PMID- 27178944
TI - Magnetic hydrogel beads based on PVA/sodium alginate/laponite RD and studying
their BSA adsorption.
AB - In this study double physically crosslinked magnetic hydrogel beads were
developed by a simple method including solution mixing of sodium alginate and
poly(vinyl alcohol) (PVA) containing magnetic laponite RD (Rapid Dispersion).
Sodium alginate and PVA were physically crosslinked by Ca(2+) and freezing
thawing cycles, respectively. Magnetic laponite RD nanoparticles were
incorporated into the system to create magnetic response and strengthen the
hydrogels. All hybrids double physically crosslinked hydrogel beads were stable
under different pH values without any disintegration. Furthermore, adsorption of
bovine serum albumin (BSA) on the hydrogel beads was investigated on the subject
of pH, ion strength, initial BSA concentration, and temperature. Nanocomposite
beads exhibited maximum adsorption capacity for BSA at pH=4.5. The experimental
adsorption isotherm data were well followed Langmuir model and based on this
model the maximum adsorption capacity was obtained 127.3mgg(-1) at 308K.
Thermodynamic parameters revealed spontaneous and monolayer adsorption of BSA on
magnetic nanocomposites beads.
PMID- 27178945
TI - Impact of dual-enzyme treatment on the octenylsuccinic anhydride esterification
of soluble starch nanoparticle.
AB - The hypothesis of improving the esterification of sugary maize soluble starch
through dual-enzyme pretreatment was investigated. Native starch nanoparticle
(NSP) was enzymatically pretreated using beta-amylase and transglucosidase (ESP)
and then esterified with octenylsuccinic anhydride (OSA). The degree of
substitution (DS), reaction efficiency (RE), molecular weight (Mw), molecular
density (rho) and in vitro digestibility were determined. Fourier transform
infrared spectroscopy and confocal laser scanning microscopy were used to analyze
starch particle and its OS derivatives. The emulsification properties of OS-NSP
and OS-ESP were also compared. The results showed that dual-enzyme modification
increased the DS and RE of OSA modified starch particle compared with the
control. Enzymatic modification had a thinning effect at the surface of starch
particle, resulting in lower Mw. The extent of reduction in rho of OS-ESP was
greater than that of OS-NSP. At equivalent DS, OSA modification of EPS was more
effective than that of NPS in reducing digestibility. Also, there was brighter
fluorescence spheres of OS-ESP in comparison to OS-NSP at equivalent DS,
suggesting more OS groups were substituted on the chains near the branch points
at less density areas. OS-ESP with higher DS (0.0197) had lower zeta-potential
and average particle size for superior emulsion stabilization properties with
high stability. The results revealed the OS-starch prepared under dual-enzyme
pretreatment was a Pickering particle stabilizer for potential application in
encapsulation and delivery of bioactive components.
PMID- 27178946
TI - Structural characterization and in vitro antitumor activity of an acidic
polysaccharide from Angelica sinensis (Oliv.) Diels.
AB - A water-soluble polysaccharide extracted from the roots of Angelica sinensis
(Oliv.) Diels, which is a traditional Chinese medicine herb, was fractioned and
purified by Sephadex G-50 gel filtration chromatography. The structural
characterization and antitumor activities of the purified polysaccharide
fraction, named as ASP, were evaluated in the present study. ASP, which molecular
weight was determined to be 80kDa by high-performance gel-permeation
chromatography, is an acidic heteropolysaccharide consisting of glucuronic acid,
glucose, arabinose and galactose in ratio of 1.00:1.70:1.85:5.02. It has a
backbone composed of (1->3)-linked Galp, (1->6)-linked Galp and 2-OMe-(1->6)
linked Galp with three branches attached to O-3 of 2-OMe-(1->6)-linked Galp and
terminated with GlcpA and Araf, and all of Araf and the majority of Glcp are
distributed in branches. Moreover, all of GlcpA were presented as (1->)-linked
GlcpA in branches. In in vitro antitumor assays, ASP displayed cytotoxicity
against HepG2 cells (34.32+/-3.50% at the concentration of 1mg/mL) and MCF-7
cells (28.90+/-1.50% at the concentration of 1mg/mL) in a dose-dependent manner,
and ASP also showed mild inhibitory activity against A549 cells.
PMID- 27178947
TI - Development of chitosan/beta-glycerophosphate/glycerol hydrogel as a
thermosensitive coupling agent.
AB - This work develops a dual-function thermosensitive hydrogel to prevent
overheating, a side effect of focused ultrasound therapy. The proposed hydrogel
has the components of chitosan, beta-glycerophosphate, and glycerol. Its
thermosensitive sol-to-gel transition gives an instant signal of overheating
without the need of any awkward sensing device. Impacts of varying component
concentrations on the sol-to-gel temperature, rate, and degree of transparency
are also investigated. Chemical structures and ultrasonic coefficients after
heating are obtained with a Fourier transform infrared spectroscopy and
ultrasonic measurement, respectively. Optimized formula of the proposed hydrogel
is 0.5% chitosan, 5% beta-glycerophosphate, and 25% glycerol. This hydrogel has a
high acoustic impedance (Z=1.8 Mrayl) close to that of human skin, high
ultrasonic transmission (T=99%, which is normalized to water) from 25 to 55
degrees C, and low attenuation coefficient (alpha=4.0Np/m). These properties
assure the success of dual functions of the hydrogel developed in this work.
PMID- 27178948
TI - Inclusion complexes of HP-beta-cyclodextrin with agomelatine: Preparation,
characterization, mechanism study and in vivo evaluation.
AB - Agomelatine (AGM), is efficacious in both the acute phase and the continuation
phase of depression. However, its poor water-solubility, low bioavailability and
polymorphism limit its pharmacological effects. To address these problems,
agomelatine-hydroxypropyl-beta-cyclodextrin inclusion complex (AGM/HPbeta-CD) was
prepared successfully by freeze-drying. The products was evaluated by structural
characterization, solubilization test, in-situ absorption of rat intestinal tract
and pharmacokinetic study. In addition, thermodynamic studies were performed, the
results indicated that the inclusion process was enthalpy-determined and
exothermic nature of complexation, signifying the role of steric interactions in
complex formation. Molecular docking of AGM with HPbeta-CD has been conducted as
well to verify the experimental findings and predict the stable molecular
structure of the inclusion complex. The in vivo data showed that, AGM was mainly
absorbed in duodenum and jejunum by passive diffusion. AGM/HPbeta-CD inclusion
complex displayed earlier Tmax and higher Cmax, and the AUC0-12h was
approximately twice larger than its physical mixture. These results suggested
that AGM/HPbeta-CD inclusion complex was established with 1:1 stoichiometry
through the naphthalene group of AGM and it was deeply inserted into the cavity
of HPbeta-CD, and the inclusion complex could significantly enhance the oral
bioavailability of AGM.
PMID- 27178949
TI - Starch gelatinization and in vitro digestibility behaviour after heat treatment:
Comparison between plantain paste and piece of pulp.
AB - Over the 65-100 degrees C range and at a water content of 1.6kgkg(-1)db, a
comparison was conducted between plantain paste (dispersion made of flour and
water) and pulp pieces after cooking to evaluate their respective degree of
starch gelatinization (alpha) and in vitro digestibility. Below 76 degrees C and
at 100 degrees C, the gelatinization behaviour of starch into pulp pieces and
paste was similar, whereas at 85 degrees C a significant mean relative difference
was observed in between. For alpha in the 0-1 range, pieces of plantain pulp
exhibited a lower rapidly digestible starch fraction (30%) and a higher resistant
starch fraction (33%) than the flour paste, suggesting some structural effects.
Both Weibull and exponential models showed a good fit for alpha over temperature
range and starch digestibility fractions over alpha. Although no explicit
relationship was established between the intact pulp structure and grinded flour
state of plantain, the evaluation of the degree of starch gelatinization and
digestibility of a plantain flour paste, could be used to predict the
gelatinization and digestibility behaviour of plantain starch in entire pieces of
pulp.
PMID- 27178950
TI - Surface patterned pH-sensitive fluorescence using beta-cyclodextrin
functionalized poly(ethylene glycol).
AB - This paper reports the development of a pH-responsive molecular pattern that
shows specific and selective affinity for particular host-guest interactions, and
its use as a pH fluorescent sensor. The pH-responsive boronate ester is formed
via interactions between the diol group of beta-cyclodextrin (CD) and
phenylboronic acid of poly(ethylene glycol), and is strategically designed to
allow reversible formation of a molecular lining pattern. Printing on a versatile
substrate provides a method to monitor the positioning of different molecules by
using a pH-responsive boronate ester, allowing specific host-guest interactions
on any surface. Confocal laser scanning microscopy, fluorescence spectroscopy,
and (1)H NMR results indicate that the assembled CD monolayer can be removed by
washing with an acidic pH buffer, demonstrating the presence of a boronate ester
connective bridge, which is acid labile. Therefore, visualization of the pH
responsive fluorescence sensor using a rhodamine-CD complex allows
straightforward discrimination between different molecules on any substrate, thus
facilitating application of this sensor in clinical diagnostics and environmental
monitoring.
PMID- 27178951
TI - Inulin: Properties, health benefits and food applications.
AB - Inulin is a water soluble storage polysaccharide and belongs to a group of non
digestible carbohydrates called fructans. Inulin has attained the GRAS status in
USA and is extensively available in about 36,000 species of plants, amongst,
chicory roots are considered as the richest source of inulin. Commonly, inulin is
used as a prebiotic, fat replacer, sugar replacer, texture modifier and for the
development of functional foods in order to improve health due to its beneficial
role in gastric health. This review provides a deep insight about its production,
physicochemical properties, role in combating various kinds of metabolic and diet
related diseases and utilization as a functional ingredient in novel product
development.
PMID- 27178952
TI - Effect of pH on the rheological properties of borate crosslinked hydroxypropyl
guar gum hydrogel and hydroxypropyl guar gum.
AB - pH is an important factor affecting the performance of polymer fluid. The
rheological properties of hydroxypropyl guar gum (HPG) base fluid and the
structural strength, rheological properties, viscoelastic properties and
thixotropy properties of HPG gel depend largely on the pH values. For the base
fluid, an apparent viscosity-increasing effect was observed over the pH range
from 7 to 11, and the apparent viscosity gradually decreased at pH 11.5-14,
exhibiting electrostatic repulsion behavior and steric effects. For the HPG gel,
at pH 7-12.5, the gel possessed higher apparent viscosity, higher elastic modulus
(G'), lower tandelta (the ratio of the viscous modulus to the elastic modulus)
and an "8"-shaped hysteresis loop, indicating stronger gel structure strength and
the elastic dominant property. At pH 13-13.5, the gel samples exhibited the
transition from a pseudoplastic fluid to a Newtonian fluid, and their viscosity,
elastic modulus decreased but tandelta increased with the increase in pH values,
exhibiting gradually weakened elastic properties. When the pH was 14, the gel
mainly exhibited viscous characteristics.
PMID- 27178953
TI - Electrospinnability of bionanocomposites with high nanocrystal loadings: The
effect of nanocrystal surface characteristics.
AB - This paper deals with the effect of solution properties and nanoparticle surface
chemistry on the spinnability of a chitosan/polyethylene oxide (PEO) with high
concentration (50wt%) of chitin and cellulose nanocrystals and the properties of
the resultant nanocomposite fibers/fiber mats. Electrospinning dispersions with
cellulose nanocrystals having sulphate surface groups showed poor spinnability
compared to chitin nanocrystals with amide and amino groups. Chitin nanocrystal
based dispersions showed good spinnability and continuous fiber formation whereas
cellulose nanocrystal system showed discontinuous fibers and branching. The
viscosity and surface tension are shown to impact this behavior, but conductivity
did not. Poor spinnability observed for cellulose nanocrystal based fibers was
attributed to the coagulation of negatively charged cellulose nanocrystals and
positively charged chitosan. The study showed that the nanocrystal surface charge
and interactions with the chitosan/PEO matrix have a significant impact on the
spinnability of bionanocomposites.
PMID- 27178954
TI - Properties and in vitro drug release of hyaluronic acid-hydroxyethyl cellulose
hydrogels for transdermal delivery of isoliquiritigenin.
AB - In the present study, the properties of hydrogel systems based on hyaluronic acid
(HA)-hydroxyethyl cellulose (HEC) were investigated for effective transdermal
delivery of isoliquiritigenin (ILTG). Hydrogels were synthesized by chemical
cross-linking, and network structures were characterised using scanning electron
microscopy (SEM) and surface area analyser. Texture properties and swelling of HA
HEC hydrogels were found to be closely linked to cross-linker concentration and
swelling medium. Water in HA-HEC hydrogels was found to exist mostly in the form
of free water. The viscoelasticity and the network stabilization of the hydrogels
were analysed via rheological studies. The release kinetics of the hydrogel
followed Fickian diffusion mechanism. In an in vitro skin penetration study, the
system substantially improved the delivery of ILTG into the skin. These results
indicate that the hydrogel system composed of HA and HEC has potential as a
transdermal delivery system, with cross-linking density and the swelling medium
influencing the properties.
PMID- 27178955
TI - Prilling and supercritical drying: A successful duo to produce core-shell
polysaccharide aerogel beads for wound healing.
AB - Bacterial infections often affect the wound, delaying healing and causing areas
of necrosis. In this work, an aerogel in form of core-shell particles, able to
prolong drug activity on wounds and to be easily removed was developed. Aerogel
microcapsules consisted of a core made by amidated pectin hosting doxycycline, an
antibiotic drug with a broad spectrum of action, and a shell consisting of high
mannuronic content alginate. Particles were obtained by prilling using a coaxial
nozzle for drop production and an ethanolic solution of CaCl2 as gelling
promoter. The alcogels where dried using supercritical CO2. The influence of
polysaccharides and drug concentrations on aerogel properties was evaluated.
Spherical particles with high drug encapsulation efficiency (87%) correlated to
alginate concentration in the processed liquid feeds were obtained. The release
of the drug, mainly concentrated into the pectin core, was prolonged till 48h,
and dependent on both drug/pectin ratio and alginate concentration.
PMID- 27178956
TI - Calcium pectinate gel beads obtained from callus cultures pectins as promising
systems for colon-targeted drug delivery.
AB - Low methyl-esterified pectins obtained from the cell walls of the campion (SV,
SV>300), tansy (TV, TV>300) and duckweed (LM, LM>300) callus cultures and apple
pectin (AP, Classic AU 701) were used as the carriers for colon delivery of
prednisolone. The pectins with molecular weight more than 300kDa (SV>300, TV>300,
LM>300) formed gels which exhibited the higher gel strength. The higher gel
strength of these gels appeared to be related to the higher Mw and the lower
degree of methylesterification (DE) of these pectins. Release aspects of
prednisolone in the simulated gastric (pH 1.25), intestinal (pH 7.0) and colonic
(pH 7.0+pectinase) media were investigated. The LM-5%, AP-3% and AP-5% beads
destroyed in simulated intestinal medium probably due to the higher DE of the LM
and AP pectins. The SV>300-3% and TV>300-3% prednisolone loaded bead systems
showed a high stability at pH 1.25 and pH 7.0. Prednisolone release occurred in a
larger extent in colonic medium due to the enzymatic erosion of the beads. The
SV>300-3% and TV>300-3% particles showed a more controlled release that appeared
to be related to the lower DE, rhamnogalacturonan content, rhamnogalacturonan I
branching and the higher linearity and Mw of the TV>300 and SV>300 pectins, as
well as to the higher gel strength. This in vitro study suggests that calcium
pectinate gel beads obtained from callus cultures pectins can be proposed as
potential systems for colon-targeted drug delivery.
PMID- 27178957
TI - Antibacterial activity of silver nanoparticles synthesized In-situ by solution
spraying onto cellulose.
AB - Spray technique was used for the adsorption of in-situ silver nanoparticles
(AgNPs) onto and inside the surface of nano- and micro- fibrillar cellulose (NFC
and MFC) as well as filter paper. The abundance of hydroxyl and carboxyl groups
located in NFC and MFC are used to stabilize Ag ions (Ag(+)) which were then in
situ reduced to (AgNPs) by chemical or UV reduction. The surface characteristic
features, elemental analysis, particle size as well as size distribution of the
obtained MFC, NFC and filter paper loaded with AgNPs were characterized via field
emission scanning electron microscopy connected to energy dispersive X-ray
spectroscopy (FESEM- EDX) and transmission electron microscopy (TEM). The
associated chemical changes after growth of AgNPs onto the cellulose substrates
were assessed by fourier transform infra-red (FT-IR) while the thermal stability
of such systems were investigated by thermogravimetrical analyses (TGA). The
antibacterial properties of AgNPs loaded NFC, MFC and filter paper as well was
investigated against Escherichia Coli. The resulted data indicate that the
particle size was found to be 11 and 26nm for AgNPs nucleated on NFC and MFC
based papers respectively. The antibacterial activity of AgNPs loaded MFC
exhibited higher antibacterial activity than that of AgNPs loaded NFC. Overall,
the present research demonstrates facile and fast method for in-situ
antibacterial AgNPs loading on cellulose substrates.
PMID- 27178958
TI - Fabrication of poly(vinyl alcohol)-Carrageenan scaffolds for cryopreservation:
Effect of composition on cell viability.
AB - The present investigation reports the fabrication of three dimensional (3D),
interconnected, highly porous, biodegradable scaffolds using freeze-gelation
technique. The hydrogels prepared with different ratios (5:5, 6:4, 7:3, 8:2 and
9:1) of poly(vinyl alcohol) (PVA) and Carrageenan (Car) was lyophilized to obtain
their respective scaffolds. The PVA-Car scaffolds were further characterized by
scanning electron microscopy (SEM), X-ray diffraction (XRD) and attenuated total
reflectance-Fourier transform infrared spectroscopy (ATR-FTIR). The prepared
scaffolds were found to be biodegradable and highly compatible with hemoglobin.
Further, normal keratinocyte (HaCaT) and osteosarcoma (Saos-2) cells seeded on
PVA-Car scaffolds were cryopreserved for 15days and their viability was checked
at regular interval of 3days (0, 3, 6, 9, 12, 15 days) through MTT assay and
fluorescence microscopy. Overall, the collective results indicate the scaffold
constructs with 7:3 and 8:2 PVA-Car ratios possess ideal characteristics for
tissue engineering applications and for long term cryopreservation of cells.
PMID- 27178959
TI - Porous cellulose diacetate-SiO2 composite coating on polyethylene separator for
high-performance lithium-ion battery.
AB - The developments of high-performance lithium ion battery are eager to the
separators with high ionic conductivity and thermal stability. In this work, a
new way to adjust the comprehensive properties of inorganic-organic composite
separator was investigated. The cellulose diacetate (CDA)-SiO2 composite coating
is beneficial for improving the electrolyte wettability and the thermal stability
of separators. Interestingly, the pore structure of composite coating can be
regulated by the weight ratio of SiO2 precursor tetraethoxysilane (TEOS) in the
coating solution. The electronic performance of lithium ion batteries assembled
with modified separators are improved compared with the pristine PE separator.
When weight ratio of TEOS in the coating solution was 9.4%, the composite
separator shows the best comprehensive performance. Compared with the pristine PE
separator, its meltdown temperature and the break-elongation at elevated
temperature increased. More importantly, the discharge capacity and the capacity
retention improved significantly.
PMID- 27178960
TI - Nitrate decontamination through functionalized chitosan in brackish water.
AB - N, N, N-Triethyl ammonium functionalized cross-linked chitosan beads (TEACCB) was
prepared by alkylation of glutaraldehyde cross-linked chitosan beads to remove
nitrate from brackish water. Physico-chemical characteristics of TEACCB were
analyzed using FTIR, SEM, EDAX, TGA, DTA, BET surface area, swelling ratio and
pHzpc. The maximum nitrate removal capacity of TEACCB was 2.26meq/g and is higher
than other reported chitosan based adsorbents. Nitrate removal ratio in the
presence and absence of common anions like chloride and sulphate demonstrated the
selectively of TEACCB towards nitrate. The kinetic data of nitrate removal fitted
well with the pseudo-second-order kinetic model. The thermodynamic parameters
indicated that nitrate removal could be spontaneous and exothermic in nature.
TEACCB was reused with 100% efficiency after regenerating with 0.05N HCl. Column
study was carried out to remove nitrate from brackish water. These results are
very significant to develop TEACCB based nitrate removal technology with great
efficiency.
PMID- 27178961
TI - Water desorption of cassava starch granules: A study based on thermogravimetric
analysis of aqueous suspensions and humid powders.
AB - This work reports on water desorption from cassava starch in relation with the
structure and conditioning of granules in suspensions or after equilibration in
desiccators. The experimental work is performed by thermogravimetric analysis
with isothermal and non-isothermal protocols and interpreted to derive the
activation energies and desorption frequencies according to the humidity range
with no adjustable parameter. The analysis points out the different types of
water interacting with the starch granules and relates the drying coefficients to
their microscopic structure. The work helps clarifying contradictory and partial
results from the literature.
PMID- 27178962
TI - Multi-scale model for the hierarchical architecture of native cellulose
hydrogels.
AB - The structure of protiated and deuterated cellulose hydrogels has been
investigated using a multi-technique approach combining small-angle scattering
with diffraction, spectroscopy and microscopy. A model for the multi-scale
structure of native cellulose hydrogels is proposed which highlights the
essential role of water at different structural levels characterised by: (i) the
existence of cellulose microfibrils containing an impermeable crystalline core
surrounded by a partially hydrated paracrystalline shell, (ii) the creation of a
strong network of cellulose microfibrils held together by hydrogen bonding to
form cellulose ribbons and (iii) the differential behaviour of tightly bound
water held within the ribbons compared to bulk solvent. Deuterium labelling
provides an effective platform on which to further investigate the role of
different plant cell wall polysaccharides in cellulose composite formation
through the production of selectively deuterated cellulose composite hydrogels.
PMID- 27178963
TI - Corrigendum to "Structure-function relationships of immunostimulatory
polysaccharides: A review" [Carbohydr. Polym. 132 (2015) 378-396].
PMID- 27178964
TI - Device Technique Used in Skin Test Study.
PMID- 27178965
TI - Reply.
PMID- 27178966
TI - A Comprehensive Approach to the Management of Children and Adults with Chronic
Granulomatous Disease.
AB - Chronic granulomatous disease (CGD), a disease characterized by inadequate
neutrophil killing of microbial pathogens, affects 4 to 5 per million live
births. For many decades following its description, CGD was a fatal disease in
childhood. With the development of effective preventive therapies and the early
recognition of infectious complications, 90% of children with CGD now survive
into adulthood. The management of CGD in adults includes unique challenges and
potential disease manifestations. In this article, the authors discuss the
current approach to the management of CGD in both children and adults. This
includes a focus on the importance of a comprehensive multidisciplinary approach
in the care of CGD and its potential complications. In addition, a novel approach
to improving education about CGD, and subsequently improving adherence to
preventive therapies, is discussed.
PMID- 27178968
TI - Minimally invasive corticotomy in orthodontics using a three-dimensional printed
CAD/CAM surgical guide.
AB - The aim of this prospective study was to evaluate the effectiveness of an
innovative, minimally invasive, flapless corticotomy procedure in orthodontics.
The STROBE guidelines were followed. Ten patients with severe dental crowding and
a class I molar relationship were selected to receive orthodontic treatment with
clear aligners and corticotomy-facilitated orthodontics. The mean age of these
patients was 21 years (range 17-28, standard deviation 6.08 years); the male to
female ratio was 2:1. The main outcome was a reduction in the total treatment
time to correct dental crowding. The secondary outcomes were periodontal index
changes, the degree of root resorption, and patient perceptions of the method
used, assessed using the short-form Oral Health Impact Profile (OHIP-14). The
occurrence of early surgical complications or unexpected events was also
recorded. All patients completed the treatment to correct dental crowding. The
average treatment time was reduced by two-thirds. The procedure did not
significantly modify the periodontal indices or oral health-related quality of
life. No early surgical complications or unexpected events were observed. In
short, the results indicate that this new procedure is safe and accelerates tooth
movement without periodontal complications or discomfort. However, the efficacy
of this procedure must be confirmed in controlled clinical trials.
PMID- 27178967
TI - Tristetraprolin binding site atlas in the macrophage transcriptome reveals a
switch for inflammation resolution.
AB - Precise regulation of mRNA decay is fundamental for robust yet not exaggerated
inflammatory responses to pathogens. However, a global model integrating
regulation and functional consequences of inflammation-associated mRNA decay
remains to be established. Using time-resolved high-resolution RNA binding
analysis of the mRNA-destabilizing protein tristetraprolin (TTP), an inflammation
limiting factor, we qualitatively and quantitatively characterize TTP binding
positions in the transcriptome of immunostimulated macrophages. We identify
pervasive destabilizing and non-destabilizing TTP binding, including a robust
intronic binding, showing that TTP binding is not sufficient for mRNA
destabilization. A low degree of flanking RNA structuredness distinguishes
occupied from silent binding motifs. By functionally relating TTP binding sites
to mRNA stability and levels, we identify a TTP-controlled switch for the
transition from inflammatory into the resolution phase of the macrophage immune
response. Mapping of binding positions of the mRNA-stabilizing protein HuR
reveals little target and functional overlap with TTP, implying a limited co
regulation of inflammatory mRNA decay by these proteins. Our study establishes a
functionally annotated and navigable transcriptome-wide atlas (http://ttp
atlas.univie.ac.at) of cis-acting elements controlling mRNA decay in
inflammation.
PMID- 27178969
TI - Strengthening leadership as a catalyst for enhanced patient safety culture: a
repeated cross-sectional experimental study.
AB - OBJECTIVES: Current literature emphasises that clinical leaders are in a position
to enable a culture of safety, and that the safety culture is a performance
mediator with the potential to influence patient outcomes. This paper aims to
investigate staff's perceptions of patient safety culture in a Danish psychiatric
department before and after a leadership intervention. METHODS: A repeated cross
sectional experimental study by design was applied. In 2 surveys, healthcare
staff were asked about their perceptions of the patient safety culture using the
7 patient safety culture dimensions in the Safety Attitudes Questionnaire. To
broaden knowledge and strengthen leadership skills, a multicomponent programme
consisting of academic input, exercises, reflections and discussions, networking,
and action learning was implemented among the clinical area level leaders.
RESULTS: In total, 358 and 325 staff members participated before and after the
intervention, respectively. 19 of the staff members were clinical area level
leaders. In both surveys, the response rate was >75%. The proportion of frontline
staff with positive attitudes improved by >=5% for 5 of the 7 patient safety
culture dimensions over time. 6 patient safety culture dimensions became more
positive (increase in mean) (p<0.05). Frontline staff became more positive on all
dimensions except stress recognition (p<0.05). For the leaders, the opposite was
the case (p<0.05). Staff leaving the department after the first measurement had
rated job satisfaction lower than the staff staying on (p<0.05). CONCLUSIONS: The
improvements documented in the patient safety culture are remarkable, and imply
that strengthening the leadership can act as a significant catalyst for patient
safety culture improvement. Further studies using a longitudinal study design are
recommended to investigate the mechanism behind leadership's influence on patient
safety culture, sustainability of improvements over time, and the association of
change in the patient safety culture measures with change in psychiatric patient
safety outcomes.
PMID- 27178970
TI - Prescribed opioids in primary care: cross-sectional and longitudinal analyses of
influence of patient and practice characteristics.
AB - OBJECTIVES: To examine trends in opioid prescribing in primary care, identify
patient and general practice characteristics associated with long-term and
stronger opioid prescribing, and identify associations with changes in opioid
prescribing. DESIGN: Trend, cross-sectional and longitudinal analyses of
routinely recorded patient data. SETTING: 111 primary care practices in Leeds and
Bradford, UK. PARTICIPANTS: We observed 471 828 patient-years in which all
patients represented had at least 1 opioid prescription between April 2005 and
March 2012. A cross-sectional analysis included 99 847 patients prescribed
opioids between April 2011 and March 2012. A longitudinal analysis included 49
065 patient-years between April 2008 and March 2012. We excluded patients with
cancer or treated for substance misuse. MAIN OUTCOME MEASURES: Long-term opioid
prescribing (4 or more prescriptions within 12 months), stronger opioid
prescribing and stepping up to or down from stronger opioids. RESULTS: Opioid
prescribing in the adult population almost doubled for weaker opioids over 2005
2012 and rose over sixfold for stronger opioids. There was marked variation among
general practices in the odds of patients stepping up to stronger opioids
compared with those not stepping up (range 0.31-3.36), unexplained by practice
level variables. Stepping up to stronger opioids was most strongly associated
with being underweight (adjusted OR 3.26, 1.49 to 7.17), increasing polypharmacy
(4.15, 3.26 to 5.29 for 10 or more repeat prescriptions), increasing numbers of
primary care appointments (3.04, 2.48 to 3.73 for over 12 appointments in the
year) and referrals to specialist pain services (5.17, 4.37 to 6.12). Compared
with women under 50 years, men under 50 were less likely to step down once
prescribed stronger opioids (0.53, 0.37 to 0.75). CONCLUSIONS: While clinicians
should be alert to patients at risk of escalated opioid prescribing, much
prescribing variation may be attributable to clinical behaviour. Effective
strategies targeting clinicians and patients are needed to curb rising
prescribing, especially of stronger opioids.
PMID- 27178971
TI - Role of sTREM-1 in predicting mortality of infection: a systematic review and
meta-analysis.
AB - OBJECTIVES: Several studies have investigated the prognostic value of soluble
triggering receptor expressed on myeloid cells-1 (sTREM-1) in patients with
infection. However, the result was controversial. Thus, the purpose of the
present meta-analysis was to determine the prognostic value of the sTREM-1 level
in predicting mortality at the initial stage of infection. METHODS: The
literature was searched in the PubMed, EMBASE, Web of Knowledge and Cochrane
databases. A 2*2 contingency table was constructed on the basis of mortality and
sTREM-1 levels in patients with infection. 2 authors independently judged study
eligibility and extracted data. The prognostic value of sTREM-1 in predicting
mortality was determined using a bivariate meta-analysis model. Q-test and I(2)
index were used to test heterogeneity. RESULTS: 9 studies were selected from 803
studies. An elevated sTREM-1 level was associated with a higher risk of death in
infection, with pooled risk ratio (RR) was 2.54 (95% CI 1.77 to 3.65) using a
random-effects model (I(2)=53.8%). With the bivariate random-effects regression
model, the pooled sensitivity and specificity of sTREM-1 to predict mortality in
infection were 0.75 (95% CI 0.61 to 0.86) and 0.66 (95% CI 0.54 to 0.75),
respectively. The diagnostic OR was 6 (95% CI 3 to 10). The overall area under
the summary receiver operator characteristic (SROC) curve was 0.76 (95% CI 0.72
to 0.79). When we calculated the sepsis subgroup, the pooled RR was 2.98 (95% CI
2.19 to 4.40). The pooled sensitivity and specificity were 0.74 (95% CI 0.58 to
0.85) and 0.72 (95% CI 0.62 to 0.80), respectively. The overall area under the
SROC curve was 0.78 (95% CI 0.74 to 0.81). CONCLUSIONS: Elevated sTREM-1
concentrations had a moderate prognostic significance in assessing the mortality
of infection in adult patients. However, sTREM-1 alone is insufficient to predict
mortality as a biomarker.
PMID- 27178972
TI - Stroke survivors', caregivers' and GPs' attitudes towards a polypill for the
secondary prevention of stroke: a qualitative interview study.
AB - OBJECTIVES: To understand the perspectives of stroke survivors, caregivers and
general practitioners (GPs) on a polypill approach, consisting of blood pressure
and cholesterol-lowering therapies, with or without aspirin, for the secondary
prevention of stroke. METHODS: A qualitative interview study was undertaken in 5
GP surgeries in the East of England. 28 survivors of stroke/transient ischaemic
attack (TIA) were interviewed, 14 of them with a caregiver present, along with a
convenience sample of 5 GPs, to assess attitudes towards a polypill and future
use. Topic guides explored participants attitudes, potential uptake and long-term
use, management of polypill medication and factors influencing the decision to
prescribe. Data were analysed using a grounded theory approach. Key themes are
presented and illustrated with verbatim quotes. RESULTS: The analysis identified
3 key themes: polypill benefits, polypill concerns and polypill lessons for
implementation. Stroke/TIA survivors were positive about the polypill concept and
considered it acceptable in the secondary prevention of stroke. Perceived
benefits of a polypill included convenience resulting in improved adherence and
reduced burden of treatment. Caregivers felt that a polypill would improve
medication-taking practices, and GPs were open to prescribing it to those at
increased cardiovascular risk. However, concerns raised included whether a
polypill provided equivalent therapeutic benefit, side effects through combining
medications, consequences of non-adherence, lack of flexibility in regulating
dosage, disruption to current treatment and suitability to the wider stroke
population. CONCLUSIONS: Participants acknowledged potential advantages in a
polypill approach for secondary prevention of stroke; however, significant
concerns remain. Further research on the efficacy of a polypill is needed to
reassure practitioners whose concerns around inflexibility and treatment
suitability are likely to influence the decision to prescribe a polypill for
secondary prevention of stroke. Acceptability among survivors, caregivers and GPs
is likely to determine the uptake and subsequent use of a polypill in the future.
PMID- 27178973
TI - Economic evaluation of manual therapy for musculoskeletal diseases: a protocol
for a systematic review and narrative synthesis of evidence.
AB - INTRODUCTION: Manual therapy is the non-surgical conservative management of
musculoskeletal disorders using the practitioner's hands on the patient's body
for diagnosing and treating disease. The aim of this study is to systematically
review trial-based economic evaluations of manual therapy relative to other
interventions used for the management of musculoskeletal diseases. METHODS AND
ANALYSIS: Randomised clinical trials (RCTs) on the economic evaluation of manual
therapy for musculoskeletal diseases will be included in the review. The
following databases will be searched from their inception: Medline, Embase,
Cochrane Central Register of Controlled Trials (CENTRAL), Cumulative Index to
Nursing and Allied Health Literature (CINAHL), Econlit, Mantis, Index to
Chiropractic Literature, Science Citation Index, Social Science Citation Index,
Allied and Complementary Medicine Database (AMED), Cochrane Database of
Systematic Reviews (CDSR), National Health Service Database of Abstracts of
Reviews of Effects (NHS DARE), National Health Service Health Technology
Assessment Database (NHS HTA), National Health Service Economic Evaluation
Database (NHS EED), CENTRAL, five Korean medical databases (Oriental Medicine
Advanced Searching Integrated System (OASIS), Research Information Service System
(RISS), DBPIA, Korean Traditional Knowledge Portal (KTKP) and KoreaMed) and three
Chinese databases (China National Knowledge Infrastructure (CNKI), VIP and
Wanfang). The evidence for the cost-effectiveness, cost-utility and cost-benefit
of manual therapy for musculoskeletal diseases will be assessed as the primary
outcome. Health-related quality of life and adverse effects will be assessed as
secondary outcomes. We will critically appraise the included studies using the
Cochrane risk of bias tool and the Drummond checklist. Results will be summarised
using Slavin's qualitative best-evidence synthesis approach. ETHICS AND
DISSEMINATION: The results of the study will be disseminated via a peer-reviewed
journal and/or conference presentations. TRIAL REGISTRATION NUMBER: PROSPERO
CRD42015026757.
PMID- 27178974
TI - General practitioners' justifications for therapeutic inertia in cardiovascular
prevention: an empirically grounded typology.
AB - OBJECTIVE: To construct a typology of general practitioners' (GPs) responses
regarding their justification of therapeutic inertia in cardiovascular primary
prevention for high-risk patients with hypertension. DESIGN: Empirically grounded
construction of typology. Types were defined by attributes derived from the
qualitative analysis of GPs' reported reasons for inaction. PARTICIPANTS: 256 GPs
randomised in the intervention group of a cluster randomised controlled trial.
SETTING: GPs members of 23 French Regional Colleges of Teachers in General
Practice, included in the EffectS of a multifaceted intervention on
CArdiovascular risk factors in high-risk hyPErtensive patients (ESCAPE) trial.
DATA COLLECTION AND ANALYSIS: The database consisted of 2638 written responses
given by the GPs to an open-ended question asking for the reasons why drug
treatment was not changed as suggested by the national guidelines. All answers
were coded using constant comparison analysis. A matrix analysis of codes per GP
allowed the construction of a response typology, where types were defined by
codes as attributes. Initial coding and definition of types were performed
independently by two teams. RESULTS: Initial coding resulted in a list of 69
codes in the final codebook, representing 4764 coded references in the question
responses. A typology including seven types was constructed. 100 GPs were
allocated to one and only one of these types, while 25 GPs did not provide enough
data to allow classification. Types (numbers of GPs allocated) were: 'optimists'
(28), 'negotiators' (20), 'checkers' (15), 'contextualisers' (13), 'cautious'
(11), 'rounders' (8) and 'scientists' (5). For the 36 GPs that provided 50 or
more coded references, analysis of the code evolution over time and across
patients showed a consistent belonging to the initial type for any given GP.
CONCLUSION: This typology could provide GPs with some insight into their general
ways of considering changes in the treatment/management of cardiovascular risk
factors and guide design of specific physician-centred interventions to reduce
inappropriate inaction. TRIAL REGISTRATION NUMBER: NCT00348855.
PMID- 27178975
TI - A qualitative study of diverse providers' behaviour in response to commissioners,
patients and innovators in England: research protocol.
AB - INTRODUCTION: The variety of organisations providing National Health Service
(NHS)-funded services in England is growing. Besides NHS hospitals and general
practitioners (GPs), they include corporations, social enterprises, voluntary
organisations and others. The degree to which these organisational types vary,
however, in the ways they manage and provide services and in the outcomes for
service quality, patient experience and innovation, remains unclear. This
research will help those who commission NHS services select among the different
types of organisation for different tasks. RESEARCH QUESTIONS: The main research
questions are how organisationally diverse NHS-funded service providers vary in
their responsiveness to patient choice, NHS commissioning and policy changes; and
their patterns of innovation. We aim to assess the implications for NHS
commissioning and managerial practice which follow from these differences.
METHODS AND ANALYSIS: Systematic qualitative comparison across a purposive sample
(c.12) of providers selected for maximum variety of organisational type, with
qualitative studies of patient experience and choice (in the same sites). We
focus is on NHS services heavily used by older people at high risk of hospital
admission: community health services; out-of-hours primary care; and secondary
care (planned orthopaedics or ophthalmology). The expected outputs will be
evidence-based schemas showing how patterns of service development and delivery
typically vary between different organisational types of provider. ETHICS,
BENEFITS AND DISSEMINATION: We will ensure informants' organisational and
individual anonymity when dealing with high profile case studies and a
competitive health economy. The frail elderly is a key demographic sector with
significant policy and financial implications. For NHS commissioners, patients,
doctors and other stakeholders, the main outcome will be better knowledge about
the relative merits of different kinds of healthcare provider. Dissemination will
make use of strategies suggested by patient and public involvement, as well as DH
and service-specific outlets.
PMID- 27178976
TI - Can patients be 'attached' to healthcare providers? An observational study to
measure attachment phenomena in patient-provider relationships.
AB - OBJECTIVES: To develop and assess the validity of measures of patients'
attachment-related perceptions of experiences with healthcare providers (HCPs).
SETTING: Online survey. PARTICIPANTS: 181 people provided consent and 119
completed the survey (66%). Most participants were women (80%). PRIMARY AND
SECONDARY OUTCOME MEASURES: Questions were developed to assess possible
attachment functions served by an HCP and patients' attachment-related attitudes
towards an HCP. Scales were constructed based on exploratory factor analysis.
Measures of adult attachment, therapeutic alliance, perceived HCP characteristics
and health utilisation were used to validate scales. RESULTS: Possible safe haven
and secure base functions served by HCPs were strongly endorsed. A model with
good fit (root mean square error of approximation=0.056) yielded 3 factors: 'HCP
experienced as supportive and safe' (SUPPORT, alpha=0.94), 'HCP experienced as
aversive' (AVERSE, alpha=0.86) and 'more and closer contact wanted with HCP'
(WANT, alpha=0.85). SUPPORT was correlated with positive HCP characteristics and
not with attachment insecurity. AVERSE was inversely correlated with positive HCP
attributes and correlated with attachment insecurity. WANT was unrelated to
positive HCP attributes, but correlated with attachment insecurity. Frequency of
HCP contact was related to WANT (Kruskal-Wallis=21.9, p<0.001) and SUPPORT
(Kruskal-Wallis=13.2, p=0.02), but not to AVERSE (Kruskal-Wallis=1.7, p=0.89).
CONCLUSIONS: Patients attribute attachment functions of secure base and safe
haven to HCPs. SUPPORT is related to positive appraisal of HCP characteristics;
AVERSE is associated with discomfort in the HCP relationship that is related with
perceived HCP characteristics and patients' insecure attachment; WANT is
associated with unmet needs for connection with an HCP related to insecure
attachment, but not to perceived HCP characteristics. These scales may be useful
in studying the application of attachment theory to the HCP-patient relationship.
PMID- 27178977
TI - Training primary care physicians to offer their patients faecal occult blood
testing and colonoscopy for colorectal cancer screening on an equal basis: a
pilot intervention with before-after and parallel group surveys.
AB - OBJECTIVES: Primary care physicians (PCPs) should prescribe faecal immunochemical
testing (FIT) or colonoscopy for colorectal cancer screening based on their
patient's values and preferences. However, there are wide variations between PCPs
in the screening method prescribed. The objective was to assess the impact of an
educational intervention on PCPs' intent to offer FIT or colonoscopy on an equal
basis. DESIGN: Survey before and after training seminars, with a parallel
comparison through a mailed survey to PCPs not attending the training seminars.
SETTING: All PCPs in the canton of Vaud, Switzerland. PARTICIPANTS: Of 592
eligible PCPs, 133 (22%) attended a seminar and 106 (80%) filled both surveys.
109 (24%) PCPs who did not attend the seminars returned the mailed survey.
INTERVENTION: A 2 h-long interactive seminar targeting PCP knowledge, skills and
attitudes regarding offering a choice of colorectal cancer (CRC) screening
options. OUTCOME MEASURES: The primary outcome was PCP intention of having their
patients screened with FIT and colonoscopy in equal proportions (between 40% and
60% each). Secondary outcomes were the perceived role of PCPs in screening
decisions (from paternalistic to informed decision-making) and correct answer to
a clinical vignette. RESULTS: Before the seminars, 8% of PCPs reported that they
had equal proportions of their patients screened for CRC by FIT and colonoscopy;
after the seminar, 33% foresaw having their patients screened in equal
proportions (p<0.001). Among those not attending, there was no change (13% vs
14%, p=0.8). Of those attending, there was no change in their perceived role in
screening decisions, while the proportion responding correctly to a clinical
vignette increased (88-99%, p<0.001). CONCLUSIONS: An interactive training
seminar increased the proportion of physicians with the intention to prescribe
FIT and colonoscopy in equal proportions.
PMID- 27178978
TI - Exploring the views of GPs, people with dementia and their carers on assistive
technology: a qualitative study.
AB - OBJECTIVES: To explore the views and experiences of people with dementia, their
family carers and general practitioners (GPs) on their knowledge and experience
of accessing information about, and use of, assistive technology (AT) in dementia
care. DESIGN: Qualitative methods with semistructured interviews and thematic
analysis. PARTICIPANTS: 56 participants comprising 17 GPs, 13 people with
dementia and 26 family carers. SETTING: Community care settings in the North East
of England. RESULTS: 4 main themes emerged: awareness and experience of AT;
accessing information on AT; roles and responsibilities in the current care
system and the future commissioning of AT services. All participants had
practical experience of witnessing AT being used in practice. For people with
dementia and their families, knowledge was usually gained from personal
experience rather than from health and social care professionals. For GPs,
knowledge was largely gained through experiential, patient-led learning. All
groups acknowledged the important role of the voluntary sector but agreed a need
for clear information pathways for AT; such pathways were perceived to be
essential to both service providers and service commissioners. CONCLUSIONS:
People with dementia and their family carers appear to be mainly responsible for
driving a gradual increase in both awareness and the use of AT in dementia care.
GPs should be equipped with the relevant knowledge to ensure families living with
dementia receive appropriate information and support to enable them to live
independently for as long as possible. There is an urgent need to simplify
current complex community care pathways; as demonstrated in other chronic health
conditions, a single point of access and a named lead professional may improve
future care.
PMID- 27178980
TI - Personalised long-term follow-up of cochlear implant patients using remote care,
compared with those on the standard care pathway: study protocol for a
feasibility randomised controlled trial.
AB - INTRODUCTION: Many resources are required to provide postoperative care to
patients who receive a cochlear implant. The implant service commits to lifetime
follow-up. The patient commits to regular adjustment and rehabilitation
appointments in the first year and annual follow-up appointments thereafter.
Offering remote follow-up may result in more stable hearing, reduced patient
travel expense, time and disruption, more empowered patients, greater equality in
service delivery and more freedom to optimise the allocation of clinic resources.
METHODS AND ANALYSIS: This will be a two-arm feasibility randomised controlled
trial (RCT) involving 60 adults using cochlear implants with at least 6 months
device experience in a 6-month clinical trial of remote care. This project will
design, implement and evaluate a person-centred long-term follow-up pathway for
people using cochlear implants offering a triple approach of remote and self
monitoring, self-adjustment of device and a personalised online support tool for
home speech recognition testing, information, self-rehabilitation, advice,
equipment training and troubleshooting. The main outcome measure is patient
activation. Secondary outcomes are stability and quality of hearing, stability of
quality of life, clinic resources, patient and clinician experience, and any
adverse events associated with remote care. We will examine the acceptability of
remote care to service users and clinicians, the willingness of participants to
be randomised, and attrition rates. We will estimate numbers required to plan a
fully powered RCT. ETHICS AND DISSEMINATION: Ethical approval was received from
North West-Greater Manchester South Research Ethics Committee (15/NW/0860) and
the University of Southampton Research Governance Office (ERGO 15329). RESULTS:
Results will be disseminated in the clinical and scientific communities and also
to the patient population via peer-reviewed research publications both online and
in print, conference and meeting presentations, posters, newsletter articles,
website reports and social media. TRIAL REGISTRATION NUMBER: ISRCTN14644286; Pre
results.
PMID- 27178979
TI - Pregnancy outcomes in Lebanese women with multiple sclerosis (the LeMS study): a
prospective multicentre study.
AB - OBJECTIVE: The Lebanese Multiple Sclerosis (LeMS) study aims to assess the
influence of pregnancy and delivery on the clinical course of multiple sclerosis
(MS) in Lebanese women. SETTING: This prospective multicentre study took place in
three MS referral university medical centres in Lebanon. PARTICIPANTS: Included
were 29 women over 18 years who had been diagnosed with MS according to the
McDonald criteria, and became pregnant between 1995 and 2015. Participating women
should have stopped treatment 3 months before conception and become pregnant
after the onset of MS. Women were followed up from 1 year preconceptionally and
for 4 years postpartum. MAIN OUTCOME MEASURES: The annualised relapse rates per
participant during each 3-month period during pregnancy and each year postpartum
were compared with the relapse rate during the year before pregnancy using the
paired two-tailed t test. p Values <0.05 were considered statistically
significant for all analyses (95% CI). RESULTS: 64 full-term pregnancies were
recorded. All pregnancies (100%) resulted in live births, with no complications
or other diseases. In comparison with the prepregnancy year, in which the mean
relapse rate+/-SE was 0.17+/-0.07, there was a significant reduction in the
relapse rate during pregnancy and in the first year postpartum (p=0.02), but an
increase in the rate in the second year postpartum (0.21+/-0.08). Thereafter,
from the third year postpartum through the following fourth year, the annualised
relapse rate fell slightly but did not differ from the annualised relapse rate
recorded in the prepregnancy year (0.17+/-0.07). CONCLUSIONS: Pregnancy in
Lebanese women with MS does not seem to increase the risk of complications. No
relapses were observed during pregnancy and in the first year postpartum;
however, relapses rebounded in the second year postpartum, and over the long
term, returned to the levels that preceded pregnancy.
PMID- 27178981
TI - Is omission of free text records a possible source of data loss and bias in
Clinical Practice Research Datalink studies? A case-control study.
AB - OBJECTIVES: To estimate data loss and bias in studies of Clinical Practice
Research Datalink (CPRD) data that restrict analyses to Read codes, omitting
anything recorded as text. DESIGN: Matched case-control study. SETTING: Patients
contributing data to the CPRD. PARTICIPANTS: 4915 bladder and 3635 pancreatic,
cancer cases diagnosed between 1 January 2000 and 31 December 2009, matched on
age, sex and general practitioner practice to up to 5 controls (bladder: n=21
718; pancreas: n=16 459). The analysis period was the year before cancer
diagnosis. PRIMARY AND SECONDARY OUTCOME MEASURES: Frequency of haematuria,
jaundice and abdominal pain, grouped by recording style: Read code or text-only
(ie, hidden text). The association between recording style and case-control
status (chi(2) test). For each feature, the odds ratio (OR; conditional logistic
regression) and positive predictive value (PPV; Bayes' theorem) for cancer,
before and after addition of hidden text records. RESULTS: Of the 20 958 total
records of the features, 7951 (38%) were recorded in hidden text. Hidden text
recording was more strongly associated with controls than with cases for
haematuria (140/336=42% vs 556/3147=18%) in bladder cancer (chi(2) test,
p<0.001), and for jaundice (21/31=67% vs 463/1565=30%, p<0.0001) and abdominal
pain (323/1126=29% vs 397/1789=22%, p<0.001) in pancreatic cancer. Adding hidden
text records corrected PPVs of haematuria for bladder cancer from 4.0% (95% CI
3.5% to 4.6%) to 2.9% (2.6% to 3.2%), and of jaundice for pancreatic cancer from
12.8% (7.3% to 21.6%) to 6.3% (4.5% to 8.7%). Adding hidden text records did not
alter the PPV of abdominal pain for bladder (codes: 0.14%, 0.13% to 0.16% vs
codes plus hidden text: 0.14%, 0.13% to 0.15%) or pancreatic (0.23%, 0.21% to
0.25% vs 0.21%, 0.20% to 0.22%) cancer. CONCLUSIONS: Omission of text records
from CPRD studies introduces bias that inflates outcome measures for recognised
alarm symptoms. This potentially reinforces clinicians' views of the known
importance of these symptoms, marginalising the significance of 'low-risk but not
no-risk' symptoms.
PMID- 27178983
TI - Progression-Free Survival, Response Rate, and Disease Control Rate as Predictors
of Overall Survival in Phase III Randomized Controlled Trials Evaluating the
First-Line Chemotherapy for Advanced, Locally Advanced, and Recurrent Non-Small
Cell Lung Carcinoma.
AB - INTRODUCTION: Recent improvements in chemotherapy agents have prolonged
postprogression survival of non-small cell lung cancer. Thus, primary outcomes
other than overall survival (OS) have been frequently used for recent phase III
trials to obtain quick results. However, no systematic review had assessed
whether progression-free survival (PFS), response rate (RR), and disease control
rate (DCR) can serve as surrogates for OS at the trial level in the phase III
first-line chemotherapy setting. METHODS: We included phase III randomized
clinical trials (RCTs) comparing two arms that were reported as a full article
regardless of their primary end point. We included only RCTs that evaluated
chemonaive patients with advanced, locally advanced, or metastatic non-small cell
lung cancer and were published after January 1, 2005. We systematically searched
four public electronic databases. Two investigators independently screened and
scrutinized candidate articles. How surrogate outcomes represented hazard ratios
(HRs) for OS was examined. RESULTS: Among 1907 articles, we ultimately found 44
eligible articles covering 22,709 subjects. HR for PFS, median PFS in the
experimental arm minus median PFS in the control arm in months, OR for RR (ORrr),
and OR for DCR were evaluated in 34, 35, 44, and 35 RCTs, respectively. HR for OS
(HRos), median PFS in the experimental arm minus median PFS in the control arm,
ORrr, and OR for DCR had weighted Spearman's rank correlation coefficients with
an HRos of 0.496, 0.477, 0.570, and 0.470, respectively; the standardized
weighted regression coefficients were 0.439, -0.376, -0.605, and -0.381,
respectively; and the adjusted weighted coefficients of determination were 0.224,
0.161, 0.350, and 0.176, respectively. CONCLUSIONS: ORrr, followed by HRpfs, had
the strongest association with HRos at the trial level. However, these measures
were not strong enough to replace OS.
PMID- 27178984
TI - Effect of First-Line Treatment on Myeloid-Derived Suppressor Cells'
Subpopulations in the Peripheral Blood of Patients with Non-Small Cell Lung
Cancer.
AB - INTRODUCTION: Myeloid-derived suppressor cells (MDSCs) are a heterogeneous
population of immature cells of myeloid origin whose expression is induced by,
among others things, vascular endothelial growth factor. We have previously
identified two monocytic and one granulocytic MDSC subpopulations associated with
the clinical outcome in patients with non-small cell lung cancer (NSCLC). The aim
of the present study was to evaluate the effect of chemotherapy on these MDSC
subpopulations. METHODS: Circulating immune cells from 46 patients with
unresectable NSCLC were analyzed by flow cytometry before the initiation of
chemotherapy and after three cycles. Changes in the frequencies of the MDSC
subpopulations were correlated with clinical outcome. RESULTS: Chemotherapy had
no uniform effect on either the number or the functionality of monocytic and
granulocytic MDSCs. However, three cycles of bevacizumab-containing regimens
significantly reduced the percentage of the granulocytic-MDSCs compared with non
bevacizumab-based regimens (p = 0.0086). At the time of evaluation of response,
disease progression was associated with significantly higher levels of all three
MDSC subpopulations compared with in patients with disease control. Iotan
patients with disease progression after three cycles of chemotherapy, the
percentage of CD15-positive monocytic MDSCs was significantly increased compared
with baseline. CONCLUSIONS: In the peripheral blood of patients with NSCLC,
bevacizumab-based chemotherapy significantly reduced the levels of granulocytic
MDSCs. An increase in the levels of CD15-positive monocytic MDSCs was associated
with poor response to treatment and disease progression, providing evidence of
their clinical relevance in patients with NSCLC.
PMID- 27178982
TI - Zeb1 controls neuron differentiation and germinal zone exit by a mesenchymal
epithelial-like transition.
AB - In the developing mammalian brain, differentiating neurons mature morphologically
via neuronal polarity programs. Despite discovery of polarity pathways acting
concurrently with differentiation, it's unclear how neurons traverse complex
polarity transitions or how neuronal progenitors delay polarization during
development. We report that zinc finger and homeobox transcription factor-1
(Zeb1), a master regulator of epithelial polarity, controls neuronal
differentiation by transcriptionally repressing polarity genes in neuronal
progenitors. Necessity-sufficiency testing and functional target screening in
cerebellar granule neuron progenitors (GNPs) reveal that Zeb1 inhibits
polarization and retains progenitors in their germinal zone (GZ). Zeb1 expression
is elevated in the Sonic Hedgehog (SHH) medulloblastoma subgroup originating from
GNPs with persistent SHH activation. Restored polarity signaling promotes
differentiation and rescues GZ exit, suggesting a model for future
differentiative therapies. These results reveal unexpected parallels between
neuronal differentiation and mesenchymal-to-epithelial transition and suggest
that active polarity inhibition contributes to altered GZ exit in pediatric brain
cancers.
PMID- 27178986
TI - Rheumatoid arthritis onset in postmenopausal women: Does the ACPA seropositive
subset result from genetic effects, estrogen deficiency, skewed profile of CD4(+)
T-cells, and their interactions?
AB - Rheumatoid arthritis (RA) incidence displays a differentiated age-dependent
female-to-male ratio in which women outnumber men. Evidence that the peak
incidence of RA in women coincides with menopause age, suggests a potential
estrogenic role to disease etiology. Estrogens exert physiologically both
stimulatory and inhibitory effects on the immune system. Epidemiologic and animal
model studies with estrogen deprivation or supplementation suggested estrogens as
to play, mainly, a protective role in RA immunopathology. In this review, we
propose that some yet unidentified disturbances associated with estrogen
circulating levels, differentiated by the menopausal status, play a major role in
women's RA susceptibility. We focus on the interaction between estrogen
deprivation and genetic risk alleles for anti-citrullinated protein antibodies
(ACPA) seropositive RA, as a major driving force for increased immune reactivity
and RA susceptibility, in postmenopausal women. This opens up new fields for
research concerning the association among different irregular estrogenic
conditions, the cytokine milieu, and age/menopausal status bias in RA.
PMID- 27178985
TI - Patient crossover and potentially avoidable repeat computed tomography exams
across a health information exchange.
AB - OBJECTIVE: The purpose of this study was to measure the number of repeat computed
tomography (CT) scans performed across an established health information exchange
(HIE) in New York City. The long-term objective is to build an HIE-based
duplicate CT alerting system to reduce potentially avoidable duplicate CTs.
METHODS: This retrospective cohort analysis was based on HIE CT study records
performed between March 2009 and July 2012. The number of CTs performed, the
total number of patients receiving CTs, and the hospital locations where CTs were
performed for each unique patient were calculated. Using a previously described
process established by one of the authors, hospital-specific proprietary CT codes
were mapped to the Logical Observation Identifiers Names and Codes (LOINC(r))
standard terminology for inter-site comparison. The number of locations where
there was a repeated CT performed with the same LOINC code was then calculated
for each unique patient. RESULTS: There were 717 231 CTs performed on 349 321
patients. Of these patients, 339 821 had all of their imaging studies performed
at a single location, accounting for 668 938 CTs. Of these, 9500 patients had 48
293 CTs performed at more than one location. Of these, 6284 patients had 24 978
CTs with the same LOINC code performed at multiple locations. The median time
between studies with the same LOINC code was 232 days (range of 0 to 1227);
however, 1327 were performed within 7 days and 5000 within 30 days. CONCLUSIONS:
A small proportion (3%) of our cohort had CTs performed at more than one
location, however this represents a large number of scans (48 293). A noteworthy
portion of these CTs (51.7%) shared the same LOINC code and may represent
potentially avoidable studies, especially those done within a short time frame.
This represents an addressable issue, and future HIE-based alerts could be
utilized to reduce potentially avoidable CT scans.
PMID- 27178987
TI - Update on FGF23 and Klotho signaling.
AB - Fibroblast growth factor-23 (FGF23) is a bone-derived hormone known to suppress
phosphate reabsorption and vitamin D hormone production in the kidney. Klotho was
originally discovered as an anti-aging factor, but the functional role of Klotho
is still a controversial issue. Three major functions have been proposed, a
hormonal function of soluble Klotho, an enzymatic function as glycosidase, and
the function as an obligatory co-receptor for FGF23 signaling. The purpose of
this review is to highlight the recent advances in the area of FGF23 and Klotho
signaling in the kidney, in the parathyroid gland, in the cardiovascular system,
in bone, and in the central nervous system. During recent years, major new
functions of FGF23 and Klotho have been discovered in these organ systems. Based
on these novel findings, FGF23 has emerged as a pleiotropic endocrine and auto
/paracrine factor influencing not only mineral metabolism but also cardiovascular
function.
PMID- 27178988
TI - Exploring human glycosylation for better therapies.
AB - Glycosylation of lipids and proteins is not encoded by genes directly and depends
on many factors including the origin of cell-lines, differential expression of
carbohydrate enzymes and availability of substrates, as well as environmental
conditions. Individual cells from different tissues produce each glycoprotein as
heterogeneous mixtures of glycoforms with distinct biological activities in
response to different conditions and disease states. As the result, the study of
glycosylation could not rely purely on biochemical methods; instead it requires a
multidisciplinary approach utilizing a variety of methods including genetic
manipulation and glycosylation pathway engineering, structural and functional
proteomic analysis, chemical and enzymatic synthesis, development of
glycosylation probes and glycan microarrays. This review highlights recent
progress and demonstrates how the availability of structure-defined
oligosaccharides enables development of new and improved therapies, such as
therapeutic homogeneous antibodies and carbohydrate-based vaccines against
cancer.
PMID- 27178989
TI - Efficacy of the RemoweLL cardiotomy reservoir for fat and leucocyte removal from
shed mediastinal blood: a randomized controlled trial.
AB - INTRODUCTION: Re-transfusion of lipid particles and activated leucocytes with
shed mediastinal blood (SMB) can aggravate cardiopulmonary bypass-associated
inflammation and increase the embolic load. This study evaluated the fat and
leucocyte removal capacity of the RemoweLL cardiotomy reservoir. METHODS: Forty
five patients undergoing elective on-pump cardiac surgery were randomly allocated
to filtration of SMB using the RemoweLL or the Admiral cardiotomy reservoir. The
primary outcome was a drop in leucocytes and lipid particles obtained with the
two filters. The effect of the filters on other blood cells and inflammatory
mediators, such as myeloperoxidase (MPO), was also assessed. RESULTS: The
RemoweLL cardiotomy filter removed 16.5% of the leucocytes (p<0.001) while no
significant removal of leucocytes was observed with the Admiral (p=0.48). The
percentage reductions in lipid particles were similar in the two groups (26% vs
23%, p=0.2). Both filters similarly affected the level of MPO (p=0.71).
CONCLUSION: The RemoweLL filter more effectively removed leucocytes from SMB than
the Admiral. It offered no advantage in terms of lipid particle clearance.
PMID- 27178990
TI - Similarity of coagulation and inflammation despite different surgical
revascularization strategies - a prospective randomized trial.
AB - BACKGROUND: Supposedly, minimized extracorporeal circulation or off-pump
revascularization as alternatives to conventional extracorporeal circulation
(ONCAB) reduce inflammation and coagulation disturbances. METHODS: One hundred
and twenty coronary artery bypass graft (CABG) patients were prospectively
randomized for three surgical techniques. Coagulation and inflammation markers
were measured up to 72 hours postoperatively. RESULTS: Coagulation factors I, II,
V, X, antithrombin III and C-reactive protein did not differ perioperatively
between the groups and increased, as did several other markers, 12 to 72 hours
postoperatively. Compared to its alternatives, ONCAB showed the most obvious
transient increase in thrombin-antithrombin complexes (p<0.0001), D-dimers
(p=0.0059), tissue factor pathway inhibitor (p=0.0005), factor VIII (p=0.0041)
and tumor necrosis factor alpha (p=0.0300) during the operation and up to 12
hours postoperatively. Furthermore, ONCAB generated lower leukocyte and platelet
counts and higher values of soluble P-selectin and soluble intercellular adhesion
molecule 1 at some time points. CONCLUSIONS: With similarity in pivot coagulation
factors, a specific detrimental influence of ONCAB on common coagulation pathways
was excluded. Higher perioperative concentrations of products from the
coagulation cascade most likely indicate activation of pericardial blood -
recirculated only in ONCAB. Furthermore, with only temporary differences in
markers of inflammation, the alternatives to ONCAB altogether were without
advantage at 72 hours postoperatively. In the general answer to surgical trauma,
the part of modern extracorporeal circulation is possibly overestimated.The study
is registered at the German Clinical Trial Registry. Registration number
DRKS00007580. URL: https://drks-neu.uniklinik-freiburg.de/drks_web/ URL:
http://apps.who.int/trialsearch/.
PMID- 27178991
TI - Extracorporeal membrane oxygenation after intravenous injection of paraffin oil.
AB - We present a rare cause of acute respiratory distress syndrome (ARDS) due to an
accidental intravascular injection of paraffin oil. While there is no specific
therapy, we decided to support the patient with veno-venous extracorporeal
membrane oxygenation (V-V ECMO) to allow the ARDS to resolve. A previously
healthy 30-year-old man was admitted to the Emergency Department with acute onset
respiratory distress following an injection with paraffin oil for cosmetic
purposes. In 36 hours, the patient developed severe ARDS and respiratory support
with V-V ECMO was initiated. The patient was successfully weaned from ECMO on day
11 and was discharged from hospital in full recovery.
PMID- 27178992
TI - Detecting multi-way epistasis in family-based association studies.
AB - The era of genome-wide association studies (GWAS) has led to the discovery of
numerous genetic variants associated with disease. Better understanding of
whether these or other variants interact leading to differential risk compared
with individual marker effects will increase our understanding of the genetic
architecture of disease, which may be investigated using the family-based study
design. We present M-TDT (the multi-locus transmission disequilibrium test), a
tool for detecting family-based multi-locus multi-allelic effects for qualitative
or quantitative traits, extended from the original transmission disequilibrium
test (TDT). Tests to handle the comparison between additive and epistatic models,
lack of independence between markers and multiple offspring are described.
Performance of M-TDT is compared with a multifactor dimensionality reduction
(MDR) approach designed for investigating families in the hypothesis-free genome
wide setting (the multifactor dimensionality reduction pedigree disequilibrium
test, MDR-PDT). Other methods derived from the TDT or MDR to investigate genetic
interaction in the family-based design are also discussed. The case of three
independent biallelic loci is illustrated using simulations for one- to three
locus alternative hypotheses. M-TDT identified joint-locus effects and
distinguished effectively between additive and epistatic models. We showed a
practical example of M-TDT based on three genes already known to be implicated in
malaria susceptibility. Our findings demonstrate the value of M-TDT in a
hypothesis-driven context to test for multi-way epistasis underlying common
disease etiology, whereas MDR-PDT-based methods are more appropriate in a
hypothesis-free genome-wide setting.
PMID- 27178993
TI - MIRU-VNTR genotype diversity and indications of homoplasy in M. avium strains
isolated from humans and slaughter pigs in Latvia.
AB - Diseases which are caused by non-tuberculous mycobacteria (NTM) are an increasing
problem in the developed countries. In Latvia, one of the most clinically
important members of NTM is Mycobacterium avium (M. avium), an opportunistic
pathogen which has been isolated from several lung disease patients and tissue
samples of slaughter pigs. This study was designed to characterize the genetic
diversity of the M. avium isolates in Latvia and to compare the distribution of
genotypic patterns among humans and pigs. Eleven (Hall and Salipante, 2010)
clinical M. avium samples, isolated from patients of Center of Tuberculosis and
Lung Diseases (years 2003-2010), and 32 isolates from pig necrotic mesenterial
lymph nodes in different regions (years 2003-2007) were analyzed. The majority
(42 of 43) of samples were identified as M. avium subsp. hominissuis; one porcine
isolate belonged to M. avium subsp. avium. MIRU-VNTR genotyping revealed 13
distinct genotypes, among which nine genotype patterns, including M. avium subsp.
avium isolate, were newly identified. IS1245 RFLP fingerprinting of 25 M. avium
subsp. hominissuis samples yielded 17 different IS1245 RFLP patterns, allowing an
efficient discrimination of isolates. Clusters of identical RFLP profiles were
observed within host species, geographical locations and time frame of several
years. Additional in silico analysis on simulated MIRU-VNTR genotype population
datasets showed that the MIRU-VNTR pattern similarity could partly arise due to
probabilistic increase of acquiring homoplasy among subpopulations, thus the
similar MIRU-VNTR profiles of M. avium strains even in close geographical
proximity should be interpreted with caution.
PMID- 27178994
TI - Untended wounds: Non-suicidal self-injury in adults with autism spectrum
disorder.
AB - Recent studies have examined non-suicidal self-injury in community and clinical
samples, but there is no published research on non-suicidal self-injury in
individuals with autism spectrum disorder. This lack of research is surprising,
since individuals with autism spectrum disorder have high rates of risk factors
for non-suicidal self-injury, including depression and poor emotion regulation
skills. Using an online survey, we examined non-suicidal self-injury methods,
frequency, severity, functions, and initial motivations in adults with autism
spectrum disorder ( n = 42). We also compared their non-suicidal self-injury
characteristics to those of a gender-matched group of adults without autism
spectrum disorder ( n = 42). Of the participants with autism spectrum disorder,
50% reported a history of non-suicidal self-injury. This proportion is higher
than non-suicidal self-injury rates previously reported for college students,
adult community samples, and adolescents with autism spectrum disorder, which
suggests that adults with autism spectrum disorder have increased risk for
engaging in non-suicidal self-injury. Women with autism spectrum disorder were
significantly more likely to endorse non-suicidal self-injury, relative to men
with autism spectrum disorder. A history of non-suicidal self-injury was not
related to current depression or emotion dysregulation for the participants with
autism spectrum disorder. Non-suicidal self-injury characteristics among the
adults with autism spectrum disorder were similar to non-suicidal self-injury in
adults without autism spectrum disorder. These preliminary findings highlight the
need for increased awareness and further research about non-suicidal self-injury
within autism spectrum disorder.
PMID- 27178995
TI - Clinical outcomes of behavioral treatments for elopement in individuals with
autism spectrum disorder and other developmental disabilities.
AB - The external validity of behavioral treatments for elopement (i.e. leaving
supervision without permission) remains unclear because studies to date include
only small samples ( n = 1-3). This study quantified the overall effectiveness of
behavioral treatments for elopement by retrospectively examining treatment data
from all patients seen for the treatment of elopement at an intensive day
treatment clinic ( n = 11), irrespective of treatment success. Reductions in
elopement from baseline to the final treatment for each participant demonstrate
that behavioral intervention is a highly effective treatment for elopement, as
determined by the large effect size (Cohen's d = 1.18).
PMID- 27178996
TI - Parent-reported patterns of loss and gain in communication in 1- to 2-year-old
children are not unique to autism spectrum disorder.
AB - We compared loss and gain in communication from 1 to 2 years in children later
diagnosed with autism spectrum disorder (n = 41), language impairment (n = 110)
and in children with typical language development at 7 years (n = 831).
Participants were selected from a prospective population cohort study of child
language (the Early Language in Victoria Study). Parent-completed communication
tools were used. As a group, children with autism spectrum disorder demonstrated
slower median skill gain, with an increasing gap between trajectories compared to
children with typical development and language impairment. A proportion from all
groups lost skills in at least one domain (autism spectrum disorder (41%),
language impairment (30%), typical development (26%)), with more children with
autism spectrum disorder losing skills in more than one domain (autism spectrum
disorder (47%), language impairment (15%, p = 0.0003), typical development (16%,
p < 0.001)). Loss was most common for all groups in the domain of 'emotion and
eye gaze' but with a higher proportion for children with autism spectrum disorder
(27%; language impairment (12%, p = 0.03), typical development (14%, p = 0.03)).
A higher proportion of children with autism spectrum disorder also lost skills in
gesture (p = 0.01), sounds (p = 0.009) and understanding (p = 0.004) compared to
children with typical development but not with language impairment. These
findings add to our understanding of early communication development and
highlight that loss is not unique to autism spectrum disorder.
PMID- 27178997
TI - Trajectories and correlates of special education supports for youth with autism
spectrum disorder and psychiatric comparisons.
AB - Relatively little is known about patterns of school-based supportive services for
youth with autism spectrum disorder. This study describes these supportive
services and their correlates, both cross-sectionally and retrospectively, in a
large sample ( N = 283) of 6- to 18- year-old youth. To assess whether special
education designation and classroom placement patterns were peculiar to autism
spectrum disorder, we also conducted analyses comparing youth with autism
spectrum disorder to those with other psychiatric diagnoses ( N = 1088). In
higher grades, the relative quantity of three common supportive services received
by youth with autism spectrum disorder decreased, while total supportive service
quantity remained stable over time. Youth with autism spectrum disorder were more
likely to receive a special education designation and were placed in less
inclusive classroom settings than youth with other psychiatric diagnoses. These
findings suggest that as youth with autism spectrum disorder reach higher grades,
changes in service provision occur in terms of both time and quantity.
PMID- 27178999
TI - Concepts for communication about risk in dementia care: A review of the
literature.
AB - Communication about risk is central to decisions in dementia care. This review
synthesises research on risk concepts and communication in dementia. Twelve
bibliographic databases and one online search engine were searched up to February
2016. Reference lists of two related literature reviews were used. Thirty-four
articles were identified that focused on risk concepts; two articles related to
risk communication. Concepts were often socially constructed, and perceptions may
differ from actual adverse outcomes. Perceptions of risk and thresholds of risk
tolerance varied between individuals with dementia, carers and professionals.
Individuals with dementia were found to behave differently from controls when
making decisions involving risk information in experimental settings. Cognitive
impairment was also associated with lower health numeracy. These findings
highlight the importance of communication between stakeholders when making
decisions and of presenting information in an appropriate way to support informed
and positive risk taking. Research is required on risk communication in dementia.
PMID- 27178998
TI - Social analogical reasoning in school-aged children with autism spectrum disorder
and typically developing peers.
AB - Analogical reasoning is an important mechanism for social cognition in typically
developing children, and recent evidence suggests that some forms of analogical
reasoning may be preserved in autism spectrum disorder. An unanswered question is
whether children with autism spectrum disorder can apply analogical reasoning to
social information. In all, 92 children with autism spectrum disorder completed a
social content analogical reasoning task presented via photographs of real-world
social interactions. Autism spectrum disorder participants exhibited performance
that was well above chance and was not significantly worse than age- and
intelligence quotient-matched typically developing children. Investigating the
relationship of social content analogical reasoning performance to age in this
cross-sectional dataset indicated similar developmental trajectories in the
autism spectrum disorder and typically developing children groups. These findings
provide new support for intact analogical reasoning in autism spectrum disorder
and have theoretical implications for analogy as a metacognitive skill that may
be at least partially dissociable from general deficits in processing social
content. As an initial study of social analogical reasoning in children with
autism spectrum disorder, this study focused on a basic research question with
limited ecological validity. Evidence that children with autism spectrum disorder
can apply analogical reasoning ability to social content may have long-range
applied implications for exploring how this capacity might be channeled to
improve social cognition in daily life.
PMID- 27179000
TI - 'Theatre as an eye-opener': How theatre may contribute to knowledge about living
close to persons with dementia.
AB - The aim of the study was to show how theatre may yield insight into living close
to persons with dementia. Six focus group interviews with health providers and
close relatives were conducted. The informants, recruited by the local dementia
associations and nursing homes in three Norwegian towns, were invited to see the
theatre play Our Wonderful World. Further, they were asked to send written
reflections from during and after the play to the project group within one week.
Transcripts from the interviews and reflection notes were analysed inspired by a
phenomenological approach. After discussion and reflection on each member's
preliminary themes, a common meaning of the informants' experiences were gained.
Informants gave written informed consent and The Norwegian Social Sciences Data
Services assessed the project. Data showed that the two groups of informants had
different knowledge of the patients' earlier life and thoughts of the future.
They became aware of how different they experienced their responsibility, and
they expressed different attitudes as to how open one should be about the
illness. Findings are summarised in four themes: Bright memories and sombre views
of the future, Life responsibility versus professional responsibility and
Shielding versus openness. The drama creates emotional engagement that enabled
the informants to transcend their personal experiences and gain new knowledge.
PMID- 27179001
TI - Study partners perform essential tasks in dementia research and can experience
burdens and benefits in this role.
AB - Most studies that enroll individuals with dementia require a study partner for
each participant. Study partners-usually family members-perform several key
roles: accompanying the participant to visits, providing information about the
participant, and assisting with procedures such as taking medication. Little is
known, however, about their experiences when performing these roles. Dementia
researchers and institutional review boards need to know these experiences
because the study partner role is one key factor in a study's success. This
prospective qualitative study, using up to three semi-structured interviews with
62 study partners involved in a range of dementia studies, documented their
subjective experiences. Content analysis demonstrates that study partners perform
a range of tasks-often within the context of being a caregiver-that enable
cognitively impaired individuals to participate in dementia research. These tasks
present study partners with unique burdens and benefits, some of which dementia
researchers and institutional review boards can address.
PMID- 27179002
TI - Missing covariates in competing risks analysis.
AB - Studies often follow individuals until they fail from one of a number of
competing failure types. One approach to analyzing such competing risks data
involves modeling the cause-specific hazards as functions of baseline covariates.
A common issue that arises in this context is missing values in covariates. In
this setting, we first establish conditions under which complete case analysis
(CCA) is valid. We then consider application of multiple imputation to handle
missing covariate values, and extend the recently proposed substantive model
compatible version of fully conditional specification (SMC-FCS) imputation to the
competing risks setting. Through simulations and an illustrative data analysis,
we compare CCA, SMC-FCS, and a recent proposal for imputing missing covariates in
the competing risks setting.
PMID- 27179003
TI - Introduction to the Special Issue: Interventions to Improve Children's Social and
Emotional Functioning at School.
AB - Identification of evidence-based practices for promotion of social and emotional
functioning of children at school is important for their academic and social
development. This introduction reviews information from this special issue
focusing on evidence-based research to improve the social and emotional
functioning of children in their classrooms and schools. An emphasis on reduction
of negative behaviors and promotion of positive, prosocial behaviors is presented
in manuscripts for this special issue. The articles in this issue may be grouped
in terms of the tiered system or School-Wide Positive Behavior Interventions and
Supports Framework into articles at the Tier I, II, and III levels. Tier I
interventions support positive behaviors and reduce problem behaviors for all
children in a classroom or school, as a type of primary prevention. In terms of
secondary prevention, Tier II interventions are selected interventions that
address problem behaviors of students at risk for poor functioning, who do not
respond to Tier I interventions. Finally, Tier III interventions are used for
those students with behavioral and emotional issues who do not respond to Tier II
interventions, and students in this group are indicated for intervention at a
tertiary care level. In summary, this special issue presents evidence-based
knowledge from research at all three intervention levels that aim to promote
children's social and emotional development in the school setting.
PMID- 27179004
TI - A review of current surgical practice in the operative treatment of proximal
humeral fractures: Does the PROFHER trial demonstrate a need for change?
AB - OBJECTIVES: The PROximal Fracture of the Humerus: Evaluation by Randomisation
(PROFHER) trial has recently demonstrated that surgery is non-superior to non
operative treatment in the management of displaced proximal humeral fractures.
The objective of this study was to assess current surgical practice in the
context of the PROFHER trial in terms of patient demographics, injury
characteristics and the nature of the surgical treatment. METHODS: A total of ten
consecutive patients undergoing surgery for the treatment of a proximal humeral
fracture from each of 11 United Kingdom hospitals were retrospectively identified
over a 15 month period between January 2014 and March 2015. Data gathered for the
110 patients included patient demographics, injury characteristics, mode of
surgical fixation, the grade of operating surgeon and the cost of the surgical
implants. RESULTS: A majority of the patients were female (66%, 73 of 110). The
mean patient age was 62 years (range 18 to 89). A majority of patients met the
inclusion criteria for the PROFHER trial (75%, 83 of 110). Plate fixation was the
most common mode of surgery (68%, 75 patients), followed by intramedullary
fixation (12%, 13 patients), reverse shoulder arthroplasty (10%, 11 patients) and
hemiarthroplasty (7%, eight patients). The consultant was either the primary
operating surgeon or supervising the operating surgeon in a large majority of
cases (91%, 100 patients). Implant costs for plate fixation were significantly
less than both hemiarthroplasty (p < 0.05) and reverse shoulder arthroplasty (p <
0.0001). Implant costs for intramedullary fixation were significantly less than
plate fixation (p < 0.01), hemiarthroplasty (p < 0.0001) and reverse shoulder
arthroplasty (p < 0.0001). CONCLUSIONS: Our study has shown that the majority of
a representative sample of patients currently undergoing surgical treatment for a
proximal humeral fracture in these United Kingdom centres met the inclusion
criteria for the PROFHER trial and that a proportion of these patients may,
therefore, have been effectively managed non-operatively.Cite this article: Mr B.
J. F. Dean. A review of current surgical practice in the operative treatment of
proximal humeral fractures: Does the PROFHER trial demonstrate a need for change?
Bone Joint Res 2016;5:178-184. DOI: 10.1302/2046-3758.55.2000596.
PMID- 27179005
TI - Targeting methanogenesis with a nitrooxypropanol bullet.
PMID- 27179006
TI - Moving forward in perceptual decision making.
PMID- 27179007
TI - Molecular basis for differential light responses in Arabidopsis stems and leaves.
PMID- 27179008
TI - Ecosystem impacts of climate extremes crucially depend on the timing.
PMID- 27179009
TI - Food deprivation induces presynaptic plasticity in the autonomic nervous system.
PMID- 27179010
TI - Registry of Youth Onset Diabetes in India (YDR): Rationale, Recruitment, and
Current Status.
AB - BACKGROUND: With the aim of addressing the relative scarcity of information on
youth-onset diabetes in India, the Indian Council of Medical Research (ICMR)
decided to establish the Registry of People with Diabetes with Young Age at Onset
(YDR) in 2006. The major objectives of YDR are to generate information on disease
pattern or types of youth-onset diabetes including their geographical variations
within India and to estimate the burden of diabetes complications. METHODS: YDR
is an observational multicenter clinic based registry enlisting physician
diagnosed diabetes in individuals below 25 years of age. Diabetes was classified
using symptom based clinical criteria. YDR data collection is coordinated through
regional collaborating centers and their interacting reporting centers across
India. A baseline and an annual follow-up proformas are used to obtain
information on sociodemographic details, clinical profile, and anthropometric and
laboratory measurements of the patients. RESULTS: In phase 1, the registry has
enrolled 5546 patients, in which type 1 diabetes mellitus (T1DM) was the most
prevalent (63.9%), followed by youth-onset type 2 diabetes mellitus (T2DM)
(25.3%). CONCLUSION: This registry provides a unique opportunity to study the
natural history of youth-onset diabetes in India.
PMID- 27179011
TI - Diabetes Educators' Intended and Reported Use of Common Diabetes-Related
Technologies: Discrepancies and Dissonance.
AB - BACKGROUND: Technology provides adjuvant and/or alternative approaches to care
and may promote self-care, communication, and engagement with health care
services. Common recent technologies for diabetes include continuous subcutaneous
insulin infusions (insulin pumps), continuous glucose monitoring systems,
smartphone and tablet applications, and telehealth (video conferencing). This
study reports Australian diabetes educators' intentions and reported professional
use of these technologies for people with type 1 diabetes, and factors predictive
of this. METHODS: An anonymous, web-based questionnaire based on the technology
acceptance model was distributed to members of the Australian Diabetes Educators
Association through their electronic newsletter. Exploratory factor analysis
revealed a 5-factor solution comprising confidence and competence, improving
clinical practice, preparation (intentions and training), ease of use, and
subjective norms. Logistic regression analyses identified factors predicting
intention and use of technology. RESULTS: Respondents (n = 228) had high
intentions to use technology. The majority reported using continuous subcutaneous
insulin infusions, continuous glucose monitoring systems, and applications with
patients, but usage was occasional. Confidence and competence independently
predicted both intentions and use of all 4 technologies. Preparation (intentions
and training) independently predicted use of each technology also. CONCLUSIONS:
Discrepancies and dissonance appear between diabetes educators' intentions and
behavior (intentions to use and reported technology use). Intentions were higher
than current use, which was relatively low and not likely to provide significant
support to people with type 1 diabetes for disease management, communication, and
engagement with health care services. Continuing education and experiential
learning may be key in supporting diabetes educators to align their intentions
with their practice.
PMID- 27179012
TI - Postmarket Approval Surveillance of a Low Acquisition Cost Blood Glucose
Monitoring System: Assessment of the Accuracy Following ISO 15197:2013.
PMID- 27179013
TI - Fatigue and Oxidative Stress in Children Undergoing Leukemia Treatment.
AB - Fatigue is a frequent and distressing symptom in children undergoing leukemia
treatment; however, little is known about factors influencing this symptom.
Antioxidants such as glutathione can decrease symptom severity in adult oncology
patients, but no study has evaluated antioxidants' effects on symptoms in
pediatric oncology patients. This study describes fatigue patterns and
associations of fatigue with antioxidants represented by reduced glutathione
(GSH) and the reduced/oxidized glutathione (GSH/GSSG) ratio among children
receiving leukemia treatment. A repeated measures design assessed fatigue and
antioxidants among 38 children from two large U.S. cancer centers. Fatigue was
assessed among school-age children and by parent proxy among young children.
Antioxidants (GSH and GSH/GSSG ratio) were assessed from cerebrospinal fluid at
four phases during leukemia treatment. Young children had a steady decline of
fatigue from the end of induction treatment through the continuation phase of
treatment, but no significant changes were noted among the school-age children.
Mean antioxidant scores varied slightly over time; however, the GSH/GSSG ratios
in these children were significantly lower than the normal ratio. Mean GSH/GSSG
ratios significantly correlated to fatigue scores of the school-age children
during early phases of treatment. Children with low mean GSH/GSSG ratios
demonstrated oxidative stress. The low ratios noted early in therapy were
significantly correlated with higher fatigue scores during induction and
postinduction treatment phases. This finding suggests that increased oxidative
stress during the more intensive phases of therapy may explain the experience of
fatigue children report.
PMID- 27179014
TI - Association of the Genetic Polymorphisms RRM1 -756T>C and -269C>A With Cervical
Neoplasia.
AB - Cervical neoplasia is one of the most prevalent malignant neoplasms worldwide.
Ribonucleotide reductase 1 (RRM1) is thought to play an essential role in
modulating the development and progression of cervical neoplasia. Two novel
genetic polymorphisms, RRM1 -756T>C and -269 C>A, are significantly correlated
with RRM1 expression. Some epidemiological studies have demonstrated that genetic
variants play a crucial role in susceptibility to cervical cancer. The present
study aimed to identify the genetic polymorphisms RRM1 -756T>C and -269 C>A in
patients with cervical neoplasia and healthy controls. In total, 493 subjects,
comprising 324 healthy controls and 169 patients with cervical neoplasia, were
enrolled for this study. The allelic discrimination of the RRM1 -756T>C
(rs11030918) and -269C>A (rs12806698) polymorphisms was assessed using the ABI
StepOneTM real-time polymerase chain reaction system and analyzed using Software
Design Specification (SDS), Version 3.0, software with TaqMan assays. The risk of
cervical cancer was examined, revealing adjusted odds ratios and 95% confidence
intervals of 1.25 [0.51, 3.08] and 1.09 [0.43, 2.78] for individuals with CC
alleles of RRM1 -756T>C and for individuals with AA alleles of RRM1 -269C>A
genetic polymorphisms, respectively, compared to individuals with wild-type RRM1
genetic polymorphisms. No significant genetic interaction effect was observed in
susceptibility to cervical neoplasia, and no association was found between
genetic polymorphisms and clinical statuses of invasive cervical cancer. The
genetic polymorphisms RRM1 -756T>C and -269C>A may not be a factor for
susceptibility to cervical neoplasia.
PMID- 27179015
TI - Efficacy and Safety of Fondaparinux in Patients With Suspected Heparin-Induced
Thrombocytopenia.
AB - OBJECTIVE: Heparin-induced thrombocytopenia (HIT) occurs in up to 5% of patients
exposed to unfractionated heparin for 5 or more days. Direct thrombin inhibitors
(DTIs) are currently the only Food and Drug Administration (FDA)-approved agents
for the treatment of HIT. The purpose of this study is to determine whether
fondaparinux is an appropriate first-line alternative anticoagulant in patients
with suspected or confirmed HIT. METHODS: A retrospective study was conducted by
identifying all patients who received a DTI or fondaparinux during a 5 year
period, August 2009-August 2014. Patients were included if they had a HIT
panel/serotonin-release assay analysis (regardless of the result) and were
initiated on a DTI or fondaparinux for alternative anticoagulation. The primary
outcome was new, recurrent, or progressive thromboembolic event. Secondary
outcomes included bleeding events, platelet count recovery, and hospital stay.
RESULTS: A total of 1022 patients were evaluated, and 47 patients met the
inclusion criteria. Twelve patients were HIT positive and 35 were HIT negative.
Seven (14.9%) of the 47 patients experienced a new thrombosis, none of whom were
on fondaparinux only (FONDA). There were 4 new minor bleeds, with 1 bleed as a
result of being on fondaparinux. FONDA treatment resulted in a slightly shorter
median duration of hospital stay compared to the DTI-only group and the DTI
followed by fondaparinux group. There is a potential for cost savings with
fondaparinux due to the ease of administration and availability to be given in
the outpatient setting. CONCLUSION: In this small retrospective review,
fondaparinux appeared similarly efficacious and safe compared to DTIs for the
treatment of suspected HIT.
PMID- 27179016
TI - Two new blood tests will help doctors rule out pre-eclampsia, says NICE.
PMID- 27179017
TI - Inequalities in a National Health Care System From the Perspective of Social
Workers in Israel.
AB - This study explores social workers' perceptions of inequalities in Israel's
national health care system. Unlike previous studies, which relied on patients'
and practitioners' reports, it is based on interviews with 60 social workers in
hospitals and ambulatory clinics. The findings show that although Israeli law
provides for (almost) free, universal medical care, the treatment of persons
lacking in money, education, and social affiliation may be compromised by
difficulties in paying for medications, treatments, and travel to and from
hospital; by difficulties in understanding doctors' instructions; and by
reluctance to ask questions. Most doctors tend to focus exclusively on patients'
medical needs, seem to lack sympathy with less educated patients, have little
understanding of the life circumstances that impinge on their compliance, and
make little effort to speak to them in the language they can understand.
Practical suggestions are made with regard to the need to turn doctors' attention
to their patients' non-medical needs.
PMID- 27179018
TI - Distributed Cognition in Cancer Treatment Decision Making: An Application of the
DECIDE Decision-Making Styles Typology.
AB - Distributed cognition occurs when cognitive and affective schemas are shared
between two or more people during interpersonal discussion. Although extant
research focuses on distributed cognition in decision making between health care
providers and patients, studies show that caregivers are also highly influential
in the treatment decisions of patients. However, there are little empirical data
describing how and when families exert influence. The current article addresses
this gap by examining decisional support in the context of cancer randomized
clinical trial (RCT) decision making. Data are drawn from in-depth interviews
with rural, Appalachian cancer patients ( N = 46). Analysis of transcript data
yielded empirical support for four distinct models of health decision making. The
implications of these findings for developing interventions to improve the
quality of treatment decision making and overall well-being are discussed.
PMID- 27179020
TI - Seeking Help From Everyone and No-One: Conceptualizing the Online Help-Seeking
Process Among Adolescent Males.
AB - Online help-seeking is an emerging trend within the 21st century. Yet, despite
some movement toward developing online services, little is known about how young
people locate, access, and receive support online. This study aims to
conceptualize the process of online help-seeking among adolescent males. Modified
photo-elicitation techniques were employed within eight semi-structured focus
group sessions with adolescent males aged 14 to 15 years (n = 56) across seven
schools in Northern Ireland. Thematic analyses were conducted within an
ontological framework of critical realism and an epistemological framework of
contextualism. Informal online help-seeking pathways increased opportunity for
social support and reduced stigma but also included loss of control and reduced
anonymity. Formal pathways offered increased anonymity but concerns were raised
regarding participants' ability to locate and appraise the quality of information
online. A conceptual model of online help-seeking has been developed to highlight
the key help-seeking pathways taken by adolescent males.
PMID- 27179019
TI - Illness Experiences, Collective Decisions, and the Therapeutic Encounter in
Indian Oncology.
AB - Social science scholarship on cancer has been almost exclusively focused on
Organization for Economic Cooperation and Development (OECD) countries, despite a
significant epidemiological transition taking place in many non-OECD contexts,
with cancer emerging as a prominent, and strongly feared, illness experience.
With cancer gaining an increasingly high profile in India, there is an urgent
need to explore how experiences of cancer may be socially and culturally
embedded, and in turn, how localized practices may shape the therapeutic
encounter. Here, drawing on interviews with 40 people living with cancer in
Hyderabad, India, we focus on some specific components of their therapeutic
journeys, including diagnostic and prognostic disclosure, collective versus
individual decision making, the dynamics of medical authority, and the reception
of cancer within their social milieu. These participants' accounts provide
insight into a range of cultural sensibilities around illness and care, and
reinforce the importance of understanding the cultural inflections of
communication, decisions, and illness experiences.
PMID- 27179021
TI - "What Do They Really Mean by Partnerships?" Questioning the Unquestionable Good
in Ethics Guidelines Promoting Community Engagement in Indigenous Health
Research.
AB - Academics and community members collaborated in research to examine how best to
apply ethics guidelines for research involving Indigenous communities in a
community with complex and multiple political and cultural jurisdictions. We
examined issues of NunatuKavut (Southern Inuit) authority and representation in
relation to governance of research in a context where community identity is
complex and shifting, and new provincial legislation mandates centralized ethics
review. We scrutinize the taken-for-granted assumption of research ethics that
community engagement is an unquestionable "good." We examine the question of
whether and how research ethics guidelines and associated assumptions about the
value of community engagement may be grounded in, and inadvertently reinforce,
ongoing colonialist relations of power. We present findings that community
engagement-if done uncritically and in service to ethics guidelines rather than
in service to ethical research-can itself cause harm by leading to community
fatigue, undermining the community's ability to be effectively involved in the
research, and restricting the community's ability to have oversight and control
over research. We conclude by suggesting that the laudable goal of engaging
communities in research requires careful reflection on the appropriate use of
resources to operationalize meaningful collaboration.
PMID- 27179022
TI - Belonging and Mental Wellbeing Among a Rural Indian-Canadian Diaspora: Navigating
Tensions in "Finding a Space of Our Own".
AB - Belonging is linked to a variety of positive health outcomes. Yet this
relationship is not well understood, particularly among rural immigrant
diasporas. In this article, we explore the experiences of community belonging and
wellbeing among a rural Indian-Canadian diaspora in the Interior of British
Columbia, Canada, our central research questions being, "What are the experiences
of belonging in this community? How does a sense of belonging (or lack of) shape
mental health and wellbeing among local residents?" Using a situational analysis
research approach, our findings indicate that local residents must navigate
several tensions within an overarching reality of finding a space of our own.
Such tensions reveal contradictory experiences of tight-knitedness, context
informed notions of cultural continuity, access/acceptability barriers,
particularly in relation to rural agricultural living, and competing expectations
of "small town" life. Such tensions can begin to be addressed through creative
service provision, collaborative decision making, and diversity-informed program
planning.
PMID- 27179023
TI - Toward a Better Understanding of Patient Health Literacy: A Focus on the Skills
Patients Need to Find Health Information.
AB - While many health literacy assessments exist, this area of research lacks an
instrument that isolates and reflects the four components driving this concept
(abilities to find, understand, use, and communicate about health information).
The purpose of this study was to determine what abilities comprise the first
component, how a patient finds health information. Low ( n = 13) and adequate ( n
= 14) health literacy patients, and health professionals ( n = 10) described
their experiences when looking for health information and the skills they
employed to complete these tasks. Major skills/themes elicited included knowing
when to search, credibility assessments, finding text and numerical information,
interpersonal seeking, technology and online search, and spatial navigation.
Findings from this study suggest that each of the dimensions included in the
definition of health literacy warrants specific attention and assessment. Given
identification of the skills comprising each dimension, interventions targeting
deficits across health literacy dimensions could be developed to improve patient
health.
PMID- 27179024
TI - Sen1, the yeast homolog of human senataxin, plays a more direct role than Rad26
in transcription coupled DNA repair.
AB - Rad26, a DNA dependent ATPase that is homologous to human CSB, has been well
known to play an important role in transcription coupled DNA repair (TCR) in the
yeast Saccharomyces cerevisiae Sen1, a DNA/RNA helicase that is essential for
yeast cell viability and homologous to human senataxin, has been known to be
required for transcriptional termination of short noncoding RNA genes and for a
fail-safe transcriptional termination mechanism of protein-coding genes. Sen1 has
also been shown to protect the yeast genome from transcription-associated
recombination by resolving RNA:DNA hybrids naturally formed during transcription.
Here, we show that the N-terminal non-essential region of Sen1 plays an important
role in TCR, whereas the C-terminal nonessential region and the helicase activity
of Sen1 are largely dispensable for the repair. Unlike Rad26, which becomes
completely dispensable for TCR in cells lacking the TCR repressor Spt4, Sen1 is
still required for efficient TCR in the absence of Spt4. Also unlike Rad26, which
is important for repair at many but not all damaged sites in the transcribed
strand of a gene, Sen1 is required for efficient repair at essentially all the
damaged sites. Our results indicate that Sen1 plays a more direct role than Rad26
in TCR.
PMID- 27179025
TI - Allosteric communication between DNA-binding and light-responsive domains of
diatom class I aureochromes.
AB - The modular architecture of aureochrome blue light receptors, found in several
algal groups including diatoms, is unique by having the LOV-type photoreceptor
domain fused to the C-terminus of its putative effector, an N-terminal DNA
binding bZIP module. The structural and functional understanding of aureochromes'
light-dependent signaling mechanism is limited, despite their promise as an
optogenetic tool. We show that class I aureochromes 1a and 1c from the diatom
Phaeodactylum tricornutum are regulated in a light-independent circadian rhythm.
These aureochromes are capable to form functional homo- and heterodimers, which
recognize the ACGT core sequence within the canonical 'aureo box', TGACGT, in a
light-independent manner. The bZIP domain holds a more folded and less flexible
but extended conformation in the duplex DNA-bound state. FT-IR spectroscopy in
the absence and the presence of DNA shows light-dependent helix unfolding in the
LOV domain, which leads to conformational changes in the bZIP region. The
solution structure of DNA bound to aureochrome points to a tilted orientation
that was further validated by molecular dynamics simulations. We propose that
aureochrome signaling relies on an allosteric pathway from LOV to bZIP that
results in conformational changes near the bZIP-DNA interface without major
effects on the binding affinity.
PMID- 27179027
TI - TSCAN: Pseudo-time reconstruction and evaluation in single-cell RNA-seq analysis.
AB - When analyzing single-cell RNA-seq data, constructing a pseudo-temporal path to
order cells based on the gradual transition of their transcriptomes is a useful
way to study gene expression dynamics in a heterogeneous cell population.
Currently, a limited number of computational tools are available for this task,
and quantitative methods for comparing different tools are lacking. Tools for
Single Cell Analysis (TSCAN) is a software tool developed to better support in
silico pseudo-Time reconstruction in Single-Cell RNA-seq ANalysis. TSCAN uses a
cluster-based minimum spanning tree (MST) approach to order cells. Cells are
first grouped into clusters and an MST is then constructed to connect cluster
centers. Pseudo-time is obtained by projecting each cell onto the tree, and the
ordered sequence of cells can be used to study dynamic changes of gene expression
along the pseudo-time. Clustering cells before MST construction reduces the
complexity of the tree space. This often leads to improved cell ordering. It also
allows users to conveniently adjust the ordering based on prior knowledge. TSCAN
has a graphical user interface (GUI) to support data visualization and user
interaction. Furthermore, quantitative measures are developed to objectively
evaluate and compare different pseudo-time reconstruction methods. TSCAN is
available at https://github.com/zji90/TSCAN and as a Bioconductor package.
PMID- 27179026
TI - An epigenetic switch regulates de novo DNA methylation at a subset of
pluripotency gene enhancers during embryonic stem cell differentiation.
AB - Coordinated regulation of gene expression that involves activation of lineage
specific genes and repression of pluripotency genes drives differentiation of
embryonic stem cells (ESC). For complete repression of pluripotency genes during
ESC differentiation, chromatin at their enhancers is silenced by the activity of
the Lsd1-Mi2/NuRD complex. The mechanism/s that regulate DNA methylation at these
enhancers are largely unknown. Here, we investigated the affect of the Lsd1
Mi2/NuRD complex on the dynamic regulatory switch that induces the local
interaction of histone tails with the Dnmt3 ATRX-DNMT3-DNMT3L (ADD) domain, thus
promoting DNA methylation at the enhancers of a subset of pluripotency genes.
This is supported by previous structural studies showing a specific interaction
between Dnmt3-ADD domain with H3K4 unmethylated histone tails that is disrupted
by histone H3K4 methylation and histone acetylation. Our data suggest that Dnmt3a
activity is triggered by Lsd1-Mi2/NuRD-mediated histone deacetylation and
demethylation at these pluripotency gene enhancers when they are inactivated
during mouse ESC differentiation. Using Dnmt3 knockout ESCs and the inhibitors of
Lsd1 and p300 histone modifying enzymes during differentiation of E14Tg2A and
ZHBTc4 ESCs, our study systematically reveals this mechanism and establishes that
Dnmt3a is both reader and effector of the epigenetic state at these target sites.
PMID- 27179028
TI - The SNF2 family ATPase LSH promotes cell-autonomous de novo DNA methylation in
somatic cells.
AB - Methylation of DNA at carbon 5 of cytosine is essential for mammalian development
and implicated in transcriptional repression of genes and transposons. New
patterns of DNA methylation characteristic of lineage-committed cells are
established at the exit from pluripotency by de novo DNA methyltransferases
enzymes, DNMT3A and DNMT3B, which are regulated by developmental signaling and
require access to chromatin-organized DNA. Whether or not the capacity for de
novo DNA methylation of developmentally regulated loci is preserved in
differentiated somatic cells and can occur in the absence of exogenous signals is
currently unknown. Here, we demonstrate that fibroblasts derived from chromatin
remodeling ATPase LSH (HELLS)-null mouse embryos, which lack DNA methylation from
centromeric repeats, transposons and a number of gene promoters, are capable of
reestablishing DNA methylation and silencing of misregulated genes upon re
expression of LSH. We also show that the ability of LSH to bind ATP and the
cellular concentration of DNMT3B are critical for cell-autonomous de novo DNA
methylation in somatic cells. These data suggest the existence of cellular memory
that persists in differentiated cells through many cell generations and changes
in transcriptional state.
PMID- 27179030
TI - DeAnnIso: a tool for online detection and annotation of isomiRs from small RNA
sequencing data.
AB - Small RNA (sRNA) Sequencing technology has revealed that microRNAs (miRNAs) are
capable of exhibiting frequent variations from their canonical sequences,
generating multiple variants: the isoforms of miRNAs (isomiRs). However,
integrated tool to precisely detect and systematically annotate isomiRs from sRNA
sequencing data is still in great demand. Here, we present an online tool,
DeAnnIso (Detection and Annotation of IsomiRs from sRNA sequencing data).
DeAnnIso can detect all the isomiRs in an uploaded sample, and can extract the
differentially expressing isomiRs from paired or multiple samples. Once the
isomiRs detection is accomplished, detailed annotation information, including
isomiRs expression, isomiRs classification, SNPs in miRNAs and tissue specific
isomiR expression are provided to users. Furthermore, DeAnnIso provides a
comprehensive module of target analysis and enrichment analysis for the selected
isomiRs. Taken together, DeAnnIso is convenient for users to screen for isomiRs
of their interest and useful for further functional studies. The server is
implemented in PHP + Perl + R and available to all users for free at:
http://mcg.ustc.edu.cn/bsc/deanniso/ and http://mcg2.ustc.edu.cn/bsc/deanniso/.
PMID- 27179029
TI - FANCJ is essential to maintain microsatellite structure genome-wide during
replication stress.
AB - Microsatellite DNAs that form non-B structures are implicated in replication fork
stalling, DNA double strand breaks (DSBs) and human disease. Fanconi anemia (FA)
is an inherited disorder in which mutations in at least nineteen genes are
responsible for the phenotypes of genome instability and cancer predisposition.
FA pathway proteins are active in the resolution of non-B DNA structures
including interstrand crosslinks, G quadruplexes and DNA triplexes. In FANCJ
helicase depleted cells, we show that hydroxyurea or aphidicolin treatment leads
to loss of microsatellite polymerase chain reaction signals and to chromosome
recombination at an ectopic hairpin forming CTG/CAG repeat in the HeLa genome.
Moreover, diverse endogenous microsatellite signals were also lost upon
replication stress after FANCJ depletion, and in FANCJ null patient cells. The
phenotype of microsatellite signal instability is specific for FANCJ apart from
the intact FA pathway, and is consistent with DSBs at microsatellites genome-wide
in FANCJ depleted cells following replication stress.
PMID- 27179034
TI - Celebrating 35 Years of the AJNR: May 1981 edition.
PMID- 27179031
TI - tRF2Cancer: A web server to detect tRNA-derived small RNA fragments (tRFs) and
their expression in multiple cancers.
AB - tRNA-derived small RNA fragments (tRFs) are one class of small non-coding RNAs
derived from transfer RNAs (tRNAs). tRFs play important roles in cellular
processes and are involved in multiple cancers. High-throughput small RNA (sRNA)
sequencing experiments can detect all the cellular expressed sRNAs, including
tRFs. However, distinguishing genuine tRFs from RNA fragments generated by random
degradation remains a major challenge. In this study, we developed an integrated
web-based computing system, tRF2Cancer, to accurately identify tRFs from sRNA
deep-sequencing data and evaluate their expression in multiple cancers. The
binomial test was introduced to evaluate whether reads from a small RNA-seq data
set represent tRFs or degraded fragments. A classification method was then used
to annotate the types of tRFs based on their sites of origin in pre-tRNA or
mature tRNA. We applied the pipeline to analyze 10 991 data sets from 32 types of
cancers and identified thousands of expressed tRFs. A tool called 'tRFinCancer'
was developed to facilitate the users to inspect the expression of tRFs across
different types of cancers. Another tool called 'tRFBrowser' shows both the sites
of origin and the distribution of chemical modification sites in tRFs on their
source tRNA. The tRF2Cancer web server is available at
http://rna.sysu.edu.cn/tRFfinder/.
PMID- 27179035
TI - Antihepatocellular Carcinoma Potential of Tetramethylpyrazine Induces Cell Cycle
Modulation and Mitochondrial-Dependent Apoptosis: Regulation of p53 Signaling
Pathway in HepG2 Cells In Vitro.
AB - Tetramethylpyrazine (TMP) was originally isolated from a traditional Chinese
herbal medicine, Ligusticum chuanxiong In the present study, TMP exhibits potent
antitumor activities in vitro. However, the molecular mechanisms remain to be
defined. Hence, this study aims to investigate the antiproliferative and
apoptotic effects of TMP on HepG2 and elucidate the underlying mechanisms.
Analyses using Cell Counting Kit-8 and real-time cell analyzer indicated that TMP
significantly inhibited HepG2 cell proliferation. We also observed that TMP
induced cell cycle arrest at the G0/G1 checkpoint and apoptosis, using flow
cytometry and high-content screening. Furthermore, our results predicted that TMP
could directly decrease mitochondrial membrane potential (Deltapsim), increase
the release of cytochrome c, and increase caspase activation, indicating that
mitochondrial pathway apoptosis could be the mechanism for TMP within HepG2
cells. Moreover, TMP altered expression of p53 and the Bcl-2/Bax protein ratio,
which revealed that TMP induced cell cycle arrest and caspase-dependent
mitochondrial apoptosis in HepG2 cells in vitro. These studies provided
mechanistic insights into the antitumor properties of TMP, which may be explored
as a potential option for treatment of hepatocellular carcinoma.
PMID- 27179036
TI - In Vivo Genetic Screens of Patient-Derived Tumors Revealed Unexpected Frailty of
the Transformed Phenotype.
AB - The identification of genes maintaining cancer growth is critical to our
understanding of tumorigenesis. We report the first in vivo genetic screen of
patient-derived tumors, using metastatic melanomas and targeting 236 chromatin
genes by expression of specific shRNA libraries. Our screens revealed
unprecedented numerosity of genes indispensable for tumor growth (~50% of tested
genes) and unexpected functional heterogeneity among patients (<15% in common).
Notably, these genes were not activated by somatic mutations in the same patients
and are therefore distinguished from mutated cancer driver genes. We analyzed
underlying molecular mechanisms of one of the identified genes, the Histone
lysine N-methyltransferase KMT2D, and showed that it promotes tumorigenesis by
dysregulating a subset of transcriptional enhancers and target genes involved in
cell migration. The assembly of enhancer genomic patterns by activated KMT2D was
highly patient-specific, regardless of the identity of transcriptional targets,
suggesting that KMT2D might be activated by distinct upstream signaling pathways.
SIGNIFICANCE: Drug targeting of biologically relevant cancer-associated mutations
is considered a critical strategy to control cancer growth. Our functional in
vivo genetic screens of patient-derived tumors showed unprecedented numerosity
and interpatient heterogeneity of genes that are essential for tumor growth, but
not mutated, suggesting that multiple, patient-specific signaling pathways are
activated in tumors. Cancer Discov; 6(6); 650-63. (c)2016 AACR.This article is
highlighted in the In This Issue feature, p. 561.
PMID- 27179045
TI - The importance of measurement.
PMID- 27179044
TI - Echo-Guided Differential Popliteal Block in an Obese Patient With Intractable
Painful Leg Ulcer for Early Discharge in Day Surgery.
AB - Pain management is extremely important in day surgery, and it is one of the
limiting factors for this type of procedures. Locoregional anesthesia is strongly
recommended for day surgery; nevertheless, it could be very difficult to localize
nerve position especially in obese patients. Furthermore, a complete nerve
blockade could result in a delayed discharge. We present a case of analgesic
ultrasound-guided block of tibial and common peroneal nerves in the popliteal
fossa without any motor function involvement in an obese patient undergoing
debridement of an infected lower limb ulcer; all previous attempts of surgical
debridement in day surgery with topical anesthetics had failed because of
discomfort due to pain. Our aim was to ensure an optimal degree of analgesia and,
at the same time, to save motor function in order to make early discharge
possible. We used 15 mL ropivacaine 0.375% to obtain a differential block, taking
advantage of sensitive fibers selectivity of ropivacaine. Surgical debridement
was performed successfully. Our peripheral blockade was able to provide
significantly prolonged analgesia without motor block that guaranteed a safe and
early discharge according to the Post Anesthetic Discharge Scoring System. The
satisfaction expressed by the patient and her comfort during the procedure
support the choice of this approach for intractable painful ulcers of lower limb.
PMID- 27179037
TI - Macrophage PI3Kgamma Drives Pancreatic Ductal Adenocarcinoma Progression.
AB - Pancreatic ductal adenocarcinoma (PDAC) is a devastating disease with a low 5
year survival rate, yet new immunotherapeutic modalities may offer hope for this
and other intractable cancers. Here, we report that inhibitory targeting of
PI3Kgamma, a key macrophage lipid kinase, stimulates antitumor immune responses,
leading to improved survival and responsiveness to standard-of-care chemotherapy
in animal models of PDAC. PI3Kgamma selectively drives immunosuppressive
transcriptional programming in macrophages that inhibits adaptive immune
responses and promotes tumor cell invasion and desmoplasia in PDAC. Blockade of
PI3Kgamma in PDAC-bearing mice reprograms tumor-associated macrophages to
stimulate CD8(+) T-cell-mediated tumor suppression and to inhibit tumor cell
invasion, metastasis, and desmoplasia. These data indicate the central role that
macrophage PI3Kgamma plays in PDAC progression and demonstrate that pharmacologic
inhibition of PI3Kgamma represents a new therapeutic modality for this
devastating tumor type. SIGNIFICANCE: We report here that PI3Kgamma regulates
macrophage transcriptional programming, leading to T-cell suppression,
desmoplasia, and metastasis in pancreas adenocarcinoma. Genetic or pharmacologic
inhibition of PI3Kgamma restores antitumor immune responses and improves
responsiveness to standard-of-care chemotherapy. PI3Kgamma represents a new
therapeutic immune target for pancreas cancer. Cancer Discov; 6(8); 870-85.
(c)2016 AACR.This article is highlighted in the In This Issue feature, p. 803.
PMID- 27179046
TI - European Board of Hand Surgery Diploma 2015 Award.
PMID- 27179038
TI - High-Level Clonal FGFR Amplification and Response to FGFR Inhibition in a
Translational Clinical Trial.
AB - FGFR1 and FGFR2 are amplified in many tumor types, yet what determines response
to FGFR inhibition in amplified cancers is unknown. In a translational clinical
trial, we show that gastric cancers with high-level clonal FGFR2 amplification
have a high response rate to the selective FGFR inhibitor AZD4547, whereas
cancers with subclonal or low-level amplification did not respond. Using cell
lines and patient-derived xenograft models, we show that high-level FGFR2
amplification initiates a distinct oncogene addiction phenotype, characterized by
FGFR2-mediated transactivation of alternative receptor kinases, bringing
PI3K/mTOR signaling under FGFR control. Signaling in low-level FGFR1-amplified
cancers is more restricted to MAPK signaling, limiting sensitivity to FGFR
inhibition. Finally, we show that circulating tumor DNA screening can identify
high-level clonally amplified cancers. Our data provide a mechanistic
understanding of the distinct pattern of oncogene addiction seen in highly
amplified cancers and demonstrate the importance of clonality in predicting
response to targeted therapy. SIGNIFICANCE: Robust single-agent response to FGFR
inhibition is seen only in high-level FGFR-amplified cancers, with copy-number
level dictating response to FGFR inhibition in vitro, in vivo, and in the clinic.
High-level amplification of FGFR2 is relatively rare in gastric and breast
cancers, and we show that screening for amplification in circulating tumor DNA
may present a viable strategy to screen patients. Cancer Discov; 6(8); 838-51.
(c)2016 AACR.This article is highlighted in the In This Issue feature, p. 803.
PMID- 27179047
TI - Commentary on Histological assessment of the triangular fibrocartilage complex.
M. Semisch, E. Hagert, M. Garcia-Elias, A. Lluch and S. Rein. J Hand Surg Eur.
2016, 41: 527-33.
PMID- 27179048
TI - Re: MacFarlane RJ, Gillespie S, Cashin F, Mahmood A, Cheung G, Brown DJ.
Treatment of fracture subluxations of the proximal interphalangeal joint using a
ligamentotaxis device: a multidisciplinary approach. J Hand Surg Eur. 2015, 40:
825-31.
PMID- 27179049
TI - Re: Cousins et al. Arm versus forearm tourniquet for carpal tunnel decompression
which is better? A randomized controlled trial. J Hand Surg Eur. 2015, 40: 961
965.
PMID- 27179050
TI - Ecker J, Perera N and Ebert J. Supraretinacular endoscopic carpal tunnel release:
surgical technique with prospective case series. J Hand Surg Eur. 2015, 40: 193
8.
PMID- 27179051
TI - Non-progressive Duypytren's disease.
PMID- 27179054
TI - Comparison of the Suture Anchor and Transosseous Techniques for Patellar Tendon
Repair: A Biomechanical Study.
AB - BACKGROUND: Minimizing gap formation and maximizing the strength of patellar
tendon repairs are 2 critical factors for successful healing of these injuries.
PURPOSE/HYPOTHESIS: The purpose of this study was to compare transosseous and
screw-in anchor repair techniques to determine if there is a difference in gap
formation and load to failure of the 2 constructs. The research hypotheses were
that the anchor construct would have significantly less gap formation and would
also have significantly greater load-to-failure strength. STUDY DESIGN:
Controlled laboratory study. METHODS: A total of 24 porcine specimens were
randomly assigned into transosseous and 4.75-mm polyetheretherketone (PEEK) screw
in anchor repair groups. The repairs were then completed using 2 No. 2 FiberWire
sutures, and each specimen was cyclically loaded on a tensile test machine to 250
N for a total of 1000 cycles. Gap formation was measured at 1, 10, 250, 500, and
1000 cycles. Each specimen was loaded to failure after 1000 cycles. Independent t
tests were conducted. RESULTS: Statistically significant gap formation and load
to-failure differences were found between the 2 repair techniques. The mean gap
in the anchor group (2.16 +/- 1.81 mm) was significantly less than that seen in
the transosseous group (5.71 +/- 1.58 mm) (P < .001). The mean load to failure of
the anchor group (669.9 +/- 91.8 N) was significantly higher than that of the
transosseous group (582.8 +/- 92.6 N) (P = .03). CONCLUSION: The results support
the 2 study hypotheses. These findings suggest that the 4.75-mm PEEK screw-in
anchor construct may be superior to the transosseous technique for minimizing gap
formation and improving load-to-failure strength after surgical repair of the
patellar tendon. CLINICAL RELEVANCE: The use of the suture anchor technique in
patellar tendon repair may support early load-bearing rehabilitation.
PMID- 27179055
TI - The ACL Graft Has Different Cross-sectional Dimensions Compared With the Native
ACL: Implications for Graft Impingement.
AB - BACKGROUND: Impingement of anterior cruciate ligament (ACL) grafts against the
femoral notch and the posterior cruciate ligament (PCL) is thought to be
influenced primarily by tunnel position and graft orientation. Recent data have
implied that the native ACL is ribbon-shaped. PURPOSE: To evaluate the 3
dimensional shape and cross-sectional area of the native ACL versus the ACL graft
and to compare the degree of impingement against the femoral notch and PCL. STUDY
DESIGN: Cross-sectional study; Level of evidence, 3. METHODS: Bilateral knee
magnetic resonance images were analyzed for 27 patients with unilateral bone
patellar tendon-bone (BPTB) ACL reconstruction performed via transtibial or
anteromedial portal femoral tunneling techniques. Three-dimensional models of the
ACL, PCL, femur, and tibia were digitally rendered. The cross-sectional area and
dimensions of the native ACL and the reconstructed graft were determined at 3
equally spaced locations and compared via Wilcoxon-Mann-Whitney and Kruskal
Wallis tests. In addition, impingement of the ACL on the PCL and femoral notch
was graded in 3 groups. Chi-square or Fisher exact tests were used to compare the
proportional differences of impingement of the native and reconstructed ACL on
the PCL and femoral notch, respectively. All analyses were performed using 2
sided hypothesis testing, with statistical significance at P < .05. RESULTS:
Cross-sectional areas at all 3 points on the ACL graft were significantly greater
than those of the native ACL (P < .001). The long- to short-axis ratio for the
native ACL was significantly greater at each location compared with the
corresponding locations along the ACL graft (P < .001), implying that the native
ACL is "flatter" than is an ACL graft. There were 19 operated knees (70%) with
contact or impingement between the ACL graft and the femoral notch compared with
zero knees with a native ACL (P < .001). In addition, 22 operated knees (81%)
showed contact or impingement between the ACL graft and the PCL, compared with 7
knees (26%) with a native ACL (P < .001). No significant differences in
impingement frequency were noted between the transtibial and anteromedial
tunneling techniques for ACL graft specimens (P > .05). CONCLUSION: Native ACLs
have a smaller cross-sectional area, are "flatter," and experience less incidence
of impingement compared with anatomically placed BPTB ACL grafts.
PMID- 27179056
TI - High Failure Rate of a Decellularized Osteochondral Allograft for the Treatment
of Cartilage Lesions.
AB - BACKGROUND: Widespread adoption of fresh allograft transplantation remains
limited, predominantly by supply issues. To overcome these limitations, a
preshaped, cylindrical sterilized and decellularized osteochondral allograft
(SDOCA) implant was recently introduced as a clinical treatment option. PURPOSE:
To evaluate functional outcomes and graft survivorship among patients treated
with the SDOCA implant for knee cartilage injuries. STUDY DESIGN: Case series;
Level of evidence, 4. METHODS: An institutional review board-approved database
was used to identify a series of patients with prospectively collected data who
had been treated with the SDOCA implant. The surgeries were performed at 2
centers by 2 surgeons. Patient-reported outcomes, magnetic resonance imaging
(MRI), and the number and type of reoperations were assessed. Failure was defined
as structural damage of the graft diagnosed by arthroscopy or MRI, and any
reoperation resulting in removal of the allograft. Patients were evaluated pre-
and postoperatively using the Knee injury and Osteoarthritis Outcome Score (KOOS)
and Marx Sports Activity Scale. MRI was assessed preoperatively and
postoperatively. RESULTS: There were 32 patients with a mean age (+/-SD) of 35.1
+/- 10.6 years; 59% were male. Twenty-three (72%) knees had previous surgery. The
mean defect area (+/-SD) was 2.9 +/- 2.0 cm(2), and the mean allograft size was
13.18 +/- 2.3 mm (6 grafts <=9 mm and 59 grafts >=11 mm). The median number of
allografts per knee was 2 (range, 1-5 grafts). Twenty-three of the 32 knees (72%)
were considered failures by the definition detailed above. Of these, 14 knees
(43%) had further surgery after the index procedure. Implant survivorship was
19.6% at 2 years. The mean follow-up duration was 1.29 years (range, 0.11-2.8
years). KOOS pain, activities of daily living (ADL), sports and recreation
(sport/rec), and knee-related quality of life improved significantly from the
preoperative visit to latest follow-up. Age was significantly predictive of
failure, with a hazard ratio of 1.68 per 1 SD older (95% CI, 1.05-2.68; P =
.030). The MOCART (magnetic resonance observation of cartilage repair tissue)
feature effusion was the only score to correlate with KOOS (symptoms, pain, ADL,
sport/rec). CONCLUSION: The SDOCA implant demonstrated a 72% failure rate within
the first 2 years of implantation at these 2 institutions.
PMID- 27179058
TI - In-Hospital Management and Follow-Up Treatment of Venous Thromboembolism: Focus
on New and Emerging Treatments.
AB - Venous thromboembolism (VTE), encompassing pulmonary embolism (PE) and deep
venous thrombosis (DVT), is a major cause of morbidity and mortality of
particular relevance for intensivists and hospitalists. Acute VTE is usually
managed with parenteral unfractionated heparin or low-molecular-weight heparin,
followed by an oral vitamin K antagonist. Data are lacking for optimal treatment
of less common occurrences, such as upper extremity DVT, and for approaches such
as thrombolysis for PE associated with early signs of hemodynamic compromise or
inferior vena cava filters when anticoagulation is contraindicated. Direct oral
anticoagulants (DOACs) including apixaban, dabigatran, edoxaban, and rivaroxaban
are now added to the armamentarium of agents available for acute management of
VTE and/or reducing the risk of recurrence. This review outlines an algorithmic
approach to acute VTE treatment: from aggressive therapies when anticoagulation
may be inadequate, to alternative choices when anticoagulation is
contraindicated, to anticoagulant options in the majority of patients in whom
anticoagulation is appropriate. Evidence-based guidelines and the most recent
DOAC clinical trial data are discussed in the context of the standard of care.
Situations and treatment approaches for which data are unavailable or
insufficient are identified. VTE therapy in care transitions is discussed, as are
choices for secondary prevention.
PMID- 27179057
TI - Can Creatinine Height Index Predict Weaning and Survival Outcomes in Patients on
Prolonged Mechanical Ventilation After Critical Illness?
AB - OBJECTIVE: Malnutrition is common in chronic critically ill patients on prolonged
mechanical ventilation (PMV) and may affect weaning. The creatinine height index
(CHI), which reflects lean muscle mass, is regarded as the most accurate
indicator of malnutrition. The objective of this study was to determine the
impact of CHI in comparison with other traditional nutritional indices on
successful weaning and survival in patients on PMV after critical illness.
METHODS: Records of 167 patients on PMV following critical illness, admitted for
weaning, were reviewed. Parameters studied included age, gender, body mass index
(BMI), percentage ideal body weight (%IBW), total protein, albumin, prealbumin,
hemoglobin (Hb), and cause of respiratory failure. Number successfully weaned and
number discharged alive and time to wean and time to discharge alive were
determined from records. The CHI was calculated from 24-hour urine creatinine
using a standard formula. Unpaired 2-sample t test was performed to determine the
association between the studied nutritional parameters and outcomes. Predictive
value of studied parameters for successful weaning and survival was determined by
multivariate logistic regression analysis to model dichotomous outcome of
successful weaning and survival. RESULTS: Mean age was 68 +/- 14 years, 49% were
males, 64% were successfully weaned, and 65.8% survived. Total protein, Hb, and
CHI had a significant impact on successful weaning. Weight, %IBW, BMI, and CHI
had a significant effect on survival. Of all parameters, CHI was most strongly
predictive of successful weaning and survival. CONCLUSIONS: The CHI is a strong
predictor of successful weaning and survival in patients on PMV.
PMID- 27179059
TI - Detection of Carbonaceous Aerosols Released in CNT Workplaces Using an
Aethalometer.
AB - OBJECTIVES: Black carbon (BC) originating from various combustion sources has
been extensively surveyed to characterize the effects of BC on global warming and
human health, and many online monitors are available. In this study, BC was
considered as a surrogate for carbon-based nanomaterials in an occupational
health study. METHODS: Specifically, BC concentrations were monitored
continuously with an aethalometer for 24h at four carbon nanotube (CNT)
workplaces located in rural, urban, and industrial areas, which had different
background air pollution levels. Average BC concentrations for both nonworking
(background) and working periods were compared with the recommended exposure
limit (REL) of 1 MUg m(-3) for elemental carbon that was suggested by the
National Institute for Occupational Safety and Health (NIOSH). RESULTS: Diurnal
variation of BC concentrations indicated that BC measurements corresponded well
with carbonaceous aerosols such as vehicle exhaust particles and CNT aerosols. In
the rural CNT workplace, the average background BC concentration (0.36 MUg m(-3))
was lower than the REL, but the BC concentration without background correction
was higher than the REL during manufacturing hours. In this case, BC measurement
is useful to estimate CNT exposure for comparison with the REL. Conversely, in
the urban and industrial CNT workplaces, average background BC concentrations
(2.05, 1.82, and 2.64 MUg m(-3)) were well above the REL, and during working
hours, BC concentrations were substantially higher than the background level at
workplace C; however, BC concentrations showed no difference from the background
levels at workplaces B and D. In these cases (B and D), it is hard to determine
CNT exposure because of the substantial environmental exposures. CONCLUSION: Most
of the urban ambient BC concentrations were above the REL. Therefore, further
analysis and test methods for carbonaceous aerosols need to be developed so that
the exposure assessment can be easily carried out at CNT workplaces with high
background BC levels such as in urban and industrial areas.
PMID- 27179060
TI - The Impact of Vocational Education and Training Programs on Recidivism: A
Systematic Review of Current Experimental Evidence.
AB - Although the association between unemployment and offending is well established,
relatively little is known about the impact of vocational education and training
programs on re-offending, with much of the previous work in this area failing to
control for, or correct, selection bias. This article reports the findings of a
systematic review, which considers the findings of only those studies that have
used experimental or quasi-experimental designs to evaluate vocational training
and employment program outcomes for adult offenders. The analysis identifies key
features, based on these studies, of those programs associated with the best
outcomes and recommends selection criteria for those who are most likely to
benefit from prison vocational education and training.
PMID- 27179061
TI - Violent Female Offenders Compared With Violent Male Offenders on Psychological
Determinants of Aggressive Behavior.
AB - Psychological determinants of aggressive behavior (personality traits and problem
behaviors) in 59 Dutch female offenders (outpatients and detainees) were compared
with those in 170 male offenders (outpatients and detainees) who were all
convicted of a violent crime. The violent female offenders scored significantly
higher on neuroticism and trait anger, and significantly lower on hostility than
the male offenders; however, effect sizes were small. A subgroup of female
forensic psychiatric outpatients did not differ from a subgroup of male
outpatients on all measures, whereas a subgroup of female detainees scored
significantly higher on anger and aggression, but lower on hostility and
psychopathy than did a subgroup of male detainees. These first results might
indicate that violent female offenders do not differ much from violent male
offenders regarding personality traits and problem behaviors. The differences
between both groups of violent offenders were largely borne by the subgroup of
violent female detainees compared with the subgroup of violent male detainees.
PMID- 27179062
TI - Narratives of Compensated Dating of Girls in Hong Kong Using Routine Activity
Theory: Results of a Focus Group Study of Guardians.
AB - This article presents public discourses on compensated dating of adolescent girls
in Chinese society. Data are obtained from eight focus groups comprising 50
guardians at private, parochial, and public levels (i.e., social workers, police
officers, parents of students, and community representatives). Qualitative data
are used to demonstrate how the guardians conceptualize and contextualize
compensated dating as an outcome of the dynamics of societal features. Social
features such as contemporary ideologies, Internet technology advancement,
commercialization of human relationships, and ambiguity between "right" and
"wrong" motivate the service providers and customers of compensated dating, and
accelerate their convergence and weakened levels of guardianship in society. This
study is the first to connect the features of compensated dating of adolescent
girls with recent societal changes using the routine activity approach. The
findings confirm the application of the routine activity approach in the
phenomenon of girls' compensated dating, and also offer theoretical and practical
implications.
PMID- 27179063
TI - Roles of Social Movement Organizations for Securing Workers' Safety in Korea: A
Case Study of Abolition of the 30-Minute Delivery Guarantee Program in Pizza
Delivery Service.
AB - Many restaurants in Korea maintain quick-delivery service programs to satisfy
customers. This service allows delivery workers limited time to deliver, which
frequently put them in danger. Most of the workers are young, work part-time, and
are rarely organized into trade unions. In this article, through a case study of
the social movement to abolish the 30-minute delivery guarantee program of pizza
companies in Korea, we argue that social movements involving social movement
organizations (SMOs) and individual citizens could serve as a means to rectify
this problem. We show how the SMOs developed and expanded the movement using a
framing perspective and how the general public became involved through social
media. Data was collected via online searching. Interview scripts from key
players of SMOs and unofficial documents they provided were also reviewed. Three
SMOs primarily led the movement, successfully forming a frame that emphasized
social responsibility. SMOs also utilized social media to link their standing
frame with unmobilized citizens and to expand the movement. We identified
contributing factors and limitations of the movement and drew lessons that could
be applied to other sectors where workers are in vulnerable positions.
PMID- 27179064
TI - Classic Spotlight: Cyclic Di-GMP, the Molecule That Makes the Bacterial World
Stop Going 'Round.
PMID- 27179069
TI - ABN news.
PMID- 27179065
TI - Classic Spotlight: Visualization of Bacterial Genes in Action.
PMID- 27179070
TI - Human traces.
PMID- 27179071
TI - The membrane attack complex, perforin and cholesterol-dependent cytolysin
superfamily of pore-forming proteins.
AB - The membrane attack complex and perforin proteins (MACPFs) and bacterial
cholesterol-dependent cytolysins (CDCs) are two branches of a large and diverse
superfamily of pore-forming proteins that function in immunity and pathogenesis.
During pore formation, soluble monomers assemble into large transmembrane pores
through conformational transitions that involve extrusion and refolding of two
alpha-helical regions into transmembrane beta-hairpins. These transitions entail
a dramatic refolding of the protein structure, and the resulting assemblies
create large holes in cellular membranes, but they do not use any external source
of energy. Structures of the membrane-bound assemblies are required to
mechanistically understand and modulate these processes. In this Commentary, we
discuss recent advances in the understanding of assembly mechanisms and molecular
details of the conformational changes that occur during MACPF and CDC pore
formation.
PMID- 27179072
TI - Aggregation dynamics and identification of aggregation-prone mutants of the von
Hippel-Lindau tumor suppressor protein.
AB - Quality control mechanisms promote aggregation and degradation of misfolded
proteins. In budding yeast, the human von Hippel-Lindau protein (pVHL, officially
known as VHL) is misfolded and forms aggregates. Here, we investigated the
aggregation of three pVHL isoforms (pVHL213, pVHL160, pVHL172) in fission yeast.
The full-length pVHL213 isoform aggregates in highly dynamic small puncta and in
large spherical inclusions, either close to the nucleus or to the cell ends. The
large inclusions contain the yeast Hsp104 chaperone. Aggregate clearance is
regulated by proteasomal degradation. The pVHL160 isoform forms dense foci and
large irregularly shaped aggregates. In silico, prediction of pVHL aggregation
propensity identified a key aggregation-promoting region within exon 2.
Consistently, the pVHL172 isoform, which lacks exon 2, formed rare reduced
inclusions. We studied the aggregation propensity of pVHL variants harbouring
missense mutations found in kidney carcinomas. We show that the P86L mutation
stimulated small aggregate formation, the P146A mutation increased large
inclusion formation, whereas the I151S mutant destabilized pVHL. The prefoldin
subunit Pac10 (the human homolog VBP-1 binds to pVHL) is required for pVHL
stability. Reduction of soluble functional pVHL might be crucial in VHL-related
diseases.
PMID- 27179073
TI - From meiosis to mitosis - the sperm centrosome defines the kinetics of spindle
assembly after fertilization in Xenopus.
AB - Bipolar spindle assembly in the vertebrate oocyte relies on a self-organization
chromosome-dependent pathway. Upon fertilization, the male gamete provides a
centrosome, and the first and subsequent embryonic divisions occur in the
presence of duplicated centrosomes that act as dominant microtubule organizing
centres (MTOCs). The transition from meiosis to embryonic mitosis involves a
necessary adaptation to integrate the dominant chromosome-dependent pathway with
the centrosomes to form the bipolar spindle. Here, we took advantage of the
Xenopus laevis egg extract system to mimic in vitro the assembly of the first
embryonic spindle and investigate the respective contributions of the centrosome
and the chromosome-dependent pathway to the kinetics of the spindle
bipolarization. We found that centrosomes control the transition from the meiotic
to the mitotic spindle assembly mechanism. By defining the kinetics of spindle
bipolarization, the centrosomes ensure their own positioning to each spindle pole
and thereby their essential correct inheritance to the two first daughter cells
of the embryo for the development of a healthy organism.
PMID- 27179075
TI - Cortactin is a scaffolding platform for the E-cadherin adhesion complex and is
regulated by protein kinase D1 phosphorylation.
AB - Dynamic regulation of cell-cell adhesion by the coordinated formation and
dissolution of E-cadherin-based adherens junctions is crucial for tissue
homeostasis. The actin-binding protein cortactin interacts with E-cadherin and
enables F-actin accumulation at adherens junctions. Here, we were interested to
study the broader functional interactions of cortactin in adhesion complexes. In
line with literature, we demonstrate that cortactin binds to E-cadherin, and that
a posttranslational modification of cortactin, RhoA-induced phosphorylation by
protein kinase D1 (PKD1; also known as PRKD1) at S298, impairs adherens junction
assembly and supports their dissolution. Two new S298-phosphorylation-dependent
interactions were also identified, namely, that phosphorylation of cortactin
decreases its interaction with beta-catenin and the actin-binding protein
vinculin. In addition, binding of vinculin to beta-catenin, as well as linkage of
vinculin to F-actin, are also significantly compromised upon phosphorylation of
cortactin. Accordingly, we found that regulation of cell-cell adhesion by
phosphorylation of cortactin downstream of RhoA and PKD1 is vitally dependent on
vinculin-mediated protein interactions. Thus, cortactin, unexpectedly, is an
important integration node for the dynamic regulation of protein complexes during
breakdown and formation of adherens junctions.
PMID- 27179077
TI - Pedigree Dogs Exposed director issues a challenge to UK vets.
PMID- 27179087
TI - Equine disease surveillance: quarterly summary.
AB - Multiple reports of equine herpesvirus type 1 in the UKFirst cases of dourine in
Botswana and equine infectious anaemia in GreeceSummary of UK surveillance
testing, October to December 2015These are among matters discussed in the most
recent quarterly equine disease surveillance report, prepared by Defra, the
Animal Health Trust and the British Equine Veterinary Association.
PMID- 27179074
TI - Scribble is required for pregnancy-induced alveologenesis in the adult mammary
gland.
AB - The cell polarity protein scribble (SCRIB) is a crucial regulator of
polarization, cell migration and tumorigenesis. Whereas SCRIB is known to
regulate early stages of mouse mammary gland development, its function in the
adult gland is not known. Using an inducible RNA interference (RNAi) mouse model
for downregulating SCRIB expression, we report an unexpected role for SCRIB as a
positive regulator of cell proliferation during pregnancy-associated mammary
alveologenesis. SCRIB was required in the epithelial cell compartment of the
mammary gland. Lack of SCRIB attenuated prolactin-induced activation of the JAK2
STAT5 signaling pathway. In addition, loss of SCRIB resulted in the
downregulation of prolactin receptor (PRLR) at cell surface and its accumulation
in intracellular structures that express markers of the Golgi complex and the
recycling endosome. Unlike its role in virgin gland as a negative regulator cell
proliferation, SCRIB is a positive regulator of mammary epithelial cell
proliferation during pregnancy.
PMID- 27179088
TI - Equine herpesvirus - a constant threat.
AB - Camilla Strang of the Animal Health Trust provides an overview of the diagnosis
and control of conditions associated with infection by equine herpesvirus.
PMID- 27179089
TI - Target-controlled infusion in small animals: improving anaesthetic safety.
PMID- 27179090
TI - Allergic wheals, abortion and lumpy skin disease.
PMID- 27179091
TI - EU membership and animal health and welfare.
PMID- 27179092
TI - Left displaced abomasum: pilot survey of corrective techniques.
PMID- 27179093
TI - Experience of reflective practice.
PMID- 27179095
TI - RCVS Council elections.
PMID- 27179094
TI - Reporting cases of Fanconi syndrome in dogs in the UK.
PMID- 27179101
TI - Advancing as a surgeon.
AB - A year ago, Padraig Egan enrolled on the European College of Veterinary Surgeons'
residency programme, but he admits that it's only now that he realises how much
work is involved.
PMID- 27179102
TI - Second-year student diary.
AB - Rosie Perrett is happy! This term has involved completing major pieces of course
work. However, as she loves numbers, she enjoyed completing an enterprise report
that involved calculating energy requirements in horses. She also completed her
third literature review and learned that typos can be embarrassing and amusing,
but are best avoided.
PMID- 27179103
TI - A preliminary study showing that ultrasonography cannot differentiate between
psoriatic arthritis and nodal osteoarthritis based on enthesopathy scores.
PMID- 27179105
TI - Thoracic aortic aneurysms in Behcet's disease.
PMID- 27179104
TI - Reduced CLEC9A expression in synovial tissue of psoriatic arthritis patients
after adalimumab therapy.
AB - OBJECTIVES: We aimed to investigate the early changes in expression of C-type
lectin domain family 9, member A (CLEC9A), a C-type lectin that is specifically
expressed by the CD141(+) dendritic cell subset that is involved in cross
presentation to CD8(+) T cells, by evaluating gene and/or protein expression in
three different compartments [skin, synovial tissue (ST) and serum] after short
term adalimumab treatment in PsA patients compared with placebo. METHODS:
Patients with active PsA and psoriasis were randomized to receive adalimumab or
placebo for 4 weeks. Synovial and skin biopsies were obtained before and after 4
weeks of treatment and serum samples 4 weeks, 12 weeks and 1 year after
treatment. Skin and serum from healthy donors were used as control. CLEC9A
expression was assessed by immunohistochemistry, double immunofluorescence using
terminal deoxynucleotidyl transferase 2'-deoxyuridine 5'-triphosphate nick-end
labelling (TUNEL), quantitative PCR and ELISA. RESULTS: CLEC9A expression was
significantly higher in psoriatic skin compared with healthy donor. In psoriatic
skin and PsA ST, CLEC9A(+) cells were in close proximity to TUNEL(+) cells. SF
CLEC9A levels were significantly lower compared with paired PsA serum. Adalimumab
treatment did not affect CLEC9A serum level and skin expression. However, ST
CLEC9A protein expression was significantly decreased after adalimumab treatment
compared with the placebo group while CLEC9A gene expression remained unchanged.
There was a positive correlation between T cell numbers and ST CLEC9A protein
expression. CD141(+) cell numbers and chemokine (C motif) receptor 1 expression
were not affected with adalimumab treatment. CONCLUSION: Altogether, the present
study suggests that the downregulation of synovial CLEC9A might be associated
with a novel mechanism by which anti-TNF therapy might reduce CD8-mediated
inflammation in PsA patients.
PMID- 27179107
TI - Effectiveness of add-on therapy with domperidone vs alginic acid in proton pump
inhibitor partial response gastro-oesophageal reflux disease in systemic
sclerosis: randomized placebo-controlled trial.
AB - OBJECTIVES: Twice-daily dosing of proton pump inhibitor (PPI), the standard
therapy for gastro-oesophageal reflux disease (GERD), is an effective therapy for
GERD in SSc. The aim of this study was to compare the efficacy of omeprazole in
combination with domperidone vs in combination with algycon in reducing the
severity and frequency of reflux symptoms of PPI partial response (PPI-PR) GERD
in SSc. METHODS: Adult SSc patients having PPI-PR GERD were randomly assigned to
receive domperidone plus algycon placebo or algycon plus domperidone placebo in a
1:1 ratio plus omeprazole for 4 weeks. The assessment included severity of
symptom grading by visual analogue scale, frequency of symptoms by frequency
scale for symptoms of GERD and quality of life (QoL) by EuroQol five-dimensions
questionnaire scoring. RESULTS: One hundred and forty-eight SSc-GERD patients
were enrolled, of whom 88 had PPI-PR. Eighty cases were randomized for either
domperidone (n = 38) or algycon (n = 37) therapy. The majority in both groups had
the diffuse SSc subset. At the end of the study, no significant difference in
symptom grading was found between groups. After treatment and compared with
baseline, the severity of symptoms, frequency scale for symptoms of GERD and QoL
significantly improved in both groups. Five (13.2%) and 8 (21.6%) respective
cases in the domperidone and algycon groups did not respond. CONCLUSION: The
prevalence of PPI-PR GERD is common. Domperidone and algycon are equally
effective treatments in combination with omeprazole. However, ~17% of patients
were non-responsive, so the effectiveness of domperidone, algycon and PPI
combination therapy should be further investigated. TRIAL REGISTRATION:
https://clinicaltrials.gov (NCT01878526).
PMID- 27179106
TI - Vitamin D treatment for connective tissue diseases: hope beyond the hype?
AB - The prevalence of vitamin D deficiency is increased among patients with CTDs. The
active form of vitamin D (calcitriol) is a potent regulator of the immune system
and may suppress inflammatory responses. This has led to claims that vitamin D
may be a safe treatment, or a treatment adjunct, to reduce systemic inflammation
in this patient population. It is important to note, however, that there is
insufficient evidence from robust clinical trials to support these novel uses for
vitamin D. In this review we examine the potential role of vitamin D as a
treatment adjunct for CTDs. We will discuss how vitamin D may modulate the immune
response and review the current evidence for using vitamin D to treat CTDs and
their associated co-morbidities. We conclude that while there is much excitement
about vitamin D in this context, further well-designed trials are needed to
demonstrate its efficacy in the treatment of patients with CTDs.
PMID- 27179109
TI - Precise expression of Fis1 is important for glucose responsiveness of beta cells.
AB - Mitochondrial network functionality is vital for glucose-stimulated insulin
secretion in pancreatic beta cells. Altered mitochondrial dynamics in pancreatic
beta cells are thought to trigger the development of type 2 diabetes mellitus.
Fission protein 1 (Fis1) might be a key player in this process. Thus, the aim of
this study was to investigate mitochondrial morphology in dependence of beta cell
function, after knockdown and overexpression of Fis1. We demonstrate that glucose
unresponsive cells with impaired glucose-stimulated insulin secretion (INS1
832/2) showed decreased mitochondrial dynamics compared with glucose-responsive
cells (INS1-832/13). Accordingly, mitochondrial morphology visualised using
MitoTracker staining differed between the two cell lines. INS1-832/2 cells formed
elongated and clustered mitochondria, whereas INS1-832/13 cells showed a
homogenous mitochondrial network. Fis1 overexpression using lentiviral
transduction significantly improved glucose-stimulated insulin secretion and
mitochondrial network homogeneity in glucose-unresponsive cells. Conversely, Fis1
downregulation by shRNA, both in primary mouse beta cells and glucose-responsive
INS1-832/13 cells, caused unresponsiveness and significantly greater numbers of
elongated mitochondria. Overexpression of FIS1 in primary mouse beta cells
indicated an upper limit at which higher FIS1 expression reduced glucose
stimulated insulin secretion. Thus, FIS1 was overexpressed stepwise up to a high
concentration in RINm5F cells using the RheoSwitch system. Moderate FIS1
expression improved glucose-stimulated insulin secretion, whereas high expression
resulted in loss of glucose responsiveness and in mitochondrial artificial loop
structures and clustering. Our data confirm that FIS1 is a key regulator in
pancreatic beta cells, because both glucose-stimulated insulin secretion and
mitochondrial dynamics were clearly adapted to precise expression levels of this
fission protein.
PMID- 27179108
TI - Prenatal hyperandrogenism induces alterations that affect liver lipid metabolism.
AB - Prenatal hyperandrogenism is hypothesized as one of the main factors contributing
to the development of polycystic ovary syndrome (PCOS). PCOS patients have high
risk of developing fatty liver and steatosis. This study aimed to evaluate the
role of prenatal hyperandrogenism in liver lipid metabolism and fatty liver
development. Pregnant rats were hyperandrogenized with testosterone. At pubertal
age, the prenatally hyperandrogenized (PH) female offspring displayed both
ovulatory (PHov) and anovulatory (PHanov) phenotypes that mimic human PCOS
features. We evaluated hepatic transferases, liver lipid content, the balance
between lipogenesis and fatty acid oxidation pathway, oxidant/antioxidant balance
and proinflammatory status. We also evaluated the general metabolic status
through growth rate curve, basal glucose and insulin levels, glucose tolerance
test, HOMA-IR index and serum lipid profile. Although neither PH group showed
signs of liver lipid content, the lipogenesis and fatty oxidation pathways were
altered. The PH groups also showed impaired oxidant/antioxidant balance, a
decrease in the proinflammatory pathway (measured by prostaglandin E2 and
cyclooxygenase-2 levels), decreased glucose tolerance, imbalance of circulating
lipids and increased risk of metabolic syndrome. We conclude that prenatal
hyperandrogenism generates both PHov and PHanov phenotypes with signs of liver
alterations, imbalance in lipid metabolism and increased risk of developing
metabolic syndrome. The anovulatory phenotype showed more alterations in liver
lipogenesis and a more impaired balance of insulin and glucose metabolism, being
more susceptible to the development of steatosis.
PMID- 27179111
TI - Changes in Expression of Genes Representing Key Biologic Processes after
Neoadjuvant Chemotherapy in Breast Cancer, and Prognostic Implications in
Residual Disease.
AB - PURPOSE: The primary aim was to derive evidence for or against the clinical
importance of several biologic processes in patients treated with neoadjuvant
chemotherapy (NAC) by assessing expression of selected genes with prior
implications in prognosis or treatment resistance. The secondary aim was to
determine the prognostic impact in residual disease of the genes' expression.
EXPERIMENTAL DESIGN: Expression levels of 24 genes were quantified by NanoString
nCounter on formalin-fixed paraffin-embedded residual tumors from 126 patients
treated with NAC and 56 paired presurgical biopsies. The paired t test was used
for testing changes in gene expression, and Cox regression and penalized elastic
net Cox Regression for estimating HRs. RESULTS: After NAC, 12 genes were
significantly up- and 8 downregulated. Fourteen genes were significantly
associated with time to recurrence in univariable analysis in residual disease.
In a multivariable model, ACACB, CD3D, MKI67, and TOP2A added prognostic value
independent of clinical ER(-), PgR(-), and HER2(-) status. In ER(+)/HER2(-)
patients, ACACB, PAWR, and ERBB2 predicted outcome, whereas CD3D and PAWR were
prognostic in ER(-)/HER2(-) patients. By use of elastic-net analysis, a 6-gene
signature (ACACB, CD3D, DECORIN, ESR1, MKI67, PLAU) was identified adding
prognostic value independent of ER, PgR, and HER2. CONCLUSIONS: Most of the
tested genes were significantly enriched or depleted in response to NAC.
Expression levels of genes representing proliferation, stromal activation,
metabolism, apoptosis, stemcellness, immunologic response, and Ras-ERK activation
predicted outcome in residual disease. The multivariable gene models identified
could, if validated, be used to identify patients needing additional post
neoadjuvant treatment to improve prognosis. Clin Cancer Res; 22(10); 2405-16.
(c)2016 AACR.
PMID- 27179113
TI - The MEK1/2 Inhibitor Pimasertib Enhances Gemcitabine Efficacy-Letter.
PMID- 27179112
TI - Fc-gamma Receptor Polymorphisms, Cetuximab Therapy, and Survival in the NCIC CTG
CO.17 Trial of Colorectal Cancer.
AB - PURPOSE: Two germline Fc-gamma receptor (FCGR) polymorphisms, rs1801274
[FCGR2A;His(H)131Arg(R)] and rs396991 [FCGR3A;Phe(F)158Val(V)] produce altered
proteins through amino acid substitutions; both are reported to be associated
with cetuximab-related outcomes. We performed a validation of these polymorphisms
in NCIC CTG CO.17, a randomized trial of cetuximab monotherapy in refractory,
metastatic colorectal cancer expressing EGFR. EXPERIMENTAL DESIGN: DNA extracted
from formalin-fixed paraffin-embedded tissue was genotyped. In addition to log
rank tests, Cox proportional hazard models assessed their relationships with
overall (OS) and progression-free survival (PFS), adjusting for clinically
important prognostic factors, along with a polymorphism-treatment arm interaction
term. RESULTS: Somatic KRAS status was wild-type for exon 2 in 153 (52%) of 293
patients, from whom tumor DNA was available. For FCGR2A H/H, a genotype-treatment
interaction for KRAS wild-type patients was observed for OS (P = 0.03). In KRAS
wild-type patients carrying FCGR2A H/H, cetuximab (vs. no cetuximab) improved
survival substantially, with adjusted HRs (aHR) of 0.36 (OS) and 0.19 (PFS) and
absolute benefits of 5.5 months (OS; P = 0.003) and 3.7 months (PFS; P = 0.02).
In contrast, patients carrying FCGR2A R alleles (H/R or R/R) had aHRs of only
0.78 (OS; 2.8-month benefit) and 0.53 (PFS; 1.6-month benefit). No relationships
were found for rs396991 (FCGR3A). CONCLUSIONS: In the CO.17 trial, cetuximab
worked best for patients with KRAS wild-type colorectal cancers carrying FCGR2A
H/H genotypes. Significantly lower benefits were observed in patients carrying
germline FCGR2A R alleles. Clin Cancer Res; 22(10); 2435-44. (c)2016 AACR.
PMID- 27179114
TI - The MEK1/2 Inhibitor Pimasertib Enhances Gemcitabine Efficacy-Response.
PMID- 27179115
TI - Correction: A First-in-Human Phase I Study of the Oral p38 MAPK Inhibitor,
Ralimetinib (LY2228820 Dimesylate), in Patients with Advanced Cancer.
PMID- 27179116
TI - Di-Calcium Phosphate and Phytosphingosine as an Innovative Acid-Resistant
Treatment to Occlude Dentine Tubules.
AB - The present investigation evaluated the ability of an experimental di-calcium
phosphate (DCP) desensitising agent used alone or combined with phytosphingosine
(PHS) to occlude dentine tubules and resist a citric acid (CA) or artificial
saliva (AS) challenge. Three groups of human dentine specimens (DS) were treated
with the following: (1) PHS alone, (2) DCP or (3) a combination of PHS and DCP.
Dentine hydraulic conductance of DS was evaluated using a digital flow sensor at
6.9 kPa. The average fluid volume for each of the treated DS was used to
calculate the total dentine permeability reduction (%P) prior to and following CA
immersion for 1 min or AS immersion for 4 weeks. The treated DS were subjected to
both scanning electron microscopy (SEM) and Fourier transform infrared (FTIR)
spectroscopy analysis. Statistically significant differences (%P) were identified
between the groups by ANOVA and Fisher's multiple comparison test (p < 0.05),
respectively. Interestingly, both PHS and DCP appeared to work synergistically.
DS treated with DCP or PHS/DCP demonstrated a significant reduction (%P) prior to
and following CA or AS challenge (p < 0.05). Both the SEM and FTIR analyses
showed consistent brushite crystals occluding the dentine tubules. Conversely,
the application of PHS alone failed to demonstrate any significant reduction of
dentine permeability (p > 0.05) or show any evidence of occlusion of the dentine
tubules. DCP can be used alone or combined with PHS to decrease the dentine
permeability as well as to resist a CA and AS challenge. These results would,
therefore, suggest that DCP may be a suitable treatment option for dentine
hypersensitivity.
PMID- 27179117
TI - Instruction and Service Time Decisions: Itinerant Services to Deaf and Hard-of
Hearing Students.
AB - The purpose of this study was to (a) describe the specific kinds of services
provided by itinerant teachers to deaf and hard-of-hearing (DHH) students in
general education settings, (b) examine the relationship between student academic
performance and instructional support provided by the itinerant teacher, and (c)
examine how service provision decisions are made by itinerant teachers. We used
quantitative and qualitative data collected during a 5-year longitudinal study.
Data were obtained from teacher questionnaires, standardized achievement tests,
and interviews. Results indicated that itinerant teachers of DHH students
provided direct academic instruction to 60% of students with the majority of
students receiving instruction in reading and writing. They provided instruction
in nonacademic areas to 80% of students with a majority of students receiving
instruction in self-advocacy. Low-achieving students were the most likely to
receive academic instruction from the itinerant teacher. Decisions regarding
service time were influenced by student needs and performance, age, parental
request, and transitions.
PMID- 27179118
TI - Family-Based Genetic Association for Molar-Incisor Hypomineralization.
AB - Despite some evidence of genetic and environmental factors on molar-incisor
hypomineralization (MIH), its aetiology remains unclear. This family-based
genetic association study aimed more comprehensively to investigate the genetic
carriage potentially involved in MIH development. DNA was obtained from buccal
cells of 391 individuals who were birth family members of 101 Brazilian nuclear
families. Sixty-three single nucleotide polymorphisms (SNPs) were investigated in
21 candidate genes related to amelogenesis using the TaqManTM OpenArrayTM
Genotyping platform. All SNPs were genotyped in 165 birth family members
unaffected by MIH, 96 with unknown MIH status and 130 affected individuals (50.7%
with severe MIH). Association analysis was performed by the
transmission/disequilibrium test (TDT), and statistical results were corrected
using the false discovery rate. Significant results were obtained for SNPs
rs7821494 (FAM83H gene, OR = 3.7; 95% CI = 1.75-7.78), rs34367704 (AMBN gene, OR
= 2.7; 95% CI = 1.16-6.58), rs3789334 (BMP2 gene, OR = 2.9; 95% CI = 1.34-6.35),
rs6099486 (BMP7 gene, OR = 2.2; 95% CI = 1.14-4.38), rs762642 (BMP4 gene, OR =
2.3; 95% CI = 1.38-3.65), rs7664896 (ENAM gene, OR = 2.1; 95% CI = 1.19-3.51),
rs1711399 (MMP20 gene, OR = 0.4; 95% CI = 0.20-0.72), rs1711423 (MMP20 gene, OR =
2.1; 95% CI = 1.18-3.61), rs2278163 (DLX3 gene, OR = 2.8; 95% CI = 1.26-6.41),
rs6996321 (FGFR1 gene, OR = 2.7; 95% CI = 1.20-5.88), and rs5979395 (AMELX gene,
OR = 11.7; 95% CI = 1.63-84.74). Through this family-based association study, we
concluded that variations in genes related to amelogenesis were associated with
the susceptibility to develop MIH. This result is in agreement with the
multifactorial idea of the MIH aetiology, but further studies are necessary to
investigate more thoroughly the factors that could influence MIH.
PMID- 27179119
TI - 14C BOMB-PULSE DATING AND STABLE ISOTOPE ANALYSIS FOR GROWTH RATE AND DIETARY
INFORMATION IN BREAST CANCER?
AB - The purpose of this study was to perform an initial investigation of the
possibility to determine breast cancer growth rate with (14)C bomb-pulse dating.
Tissues from 11 breast cancers, diagnosed in 1983, were retrieved from a regional
biobank. The estimated average age of the majority of the samples overlapped the
year of collection (1983) within 3sigma Thus, this first study of tumour tissue
has not yet demonstrated that (14)C bomb-pulse dating can obtain information on
the growth of breast cancer. However, with further refinement, involving
extraction of cell types and components, there is a possibility that fundamental
knowledge of tumour biology might still be gained by the bomb-pulse technique.
Additionally, delta (13)C and delta (15)N analyses were performed to obtain
dietary and metabolic information, and to serve as a base for improvement of the
age determination.
PMID- 27179120
TI - COMPARISON METHODOLOGIES FOR CALIBRATION OF Hp(10) PERSONAL DOSEMETERS USING ISO
4037 AND ISO 29661 STANDARDS.
AB - The calibration of electronic personal dosemeters at the Portuguese ionizing
radiation metrology laboratory uses the standard IEC 61526 for calibration
methodology. This standard describes the irradiation geometry for testing and
indicates that the standard ISO 4037-1, 2, 3 and 4 should be used. The ISO 4037
establishes that the reference point of test is a point in the radiation monitor,
known or established, and the calibration phantom should be placed on its back in
order to simulate the trunk body. Recently, ISO published another standard, the
ISO 29661, that changes the reference point from the radiation monitor to the
front face of the calibration phantom. The aim of this work is to present the
result of the comparison of these two methodologies on personal dosemeters from
five different manufacturers. The work shows differences in the Hp(10) response
up to 4% resulting from the two different reference point concepts.
PMID- 27179121
TI - EXPERIENCES IN DEVELOPING A NATIONAL DOSE REGISTER IN FINLAND AND MERGING IT WITH
THE OVERALL SUPERVISORY DATA SYSTEM.
AB - In recent years, a new national Dose Register has been under development in
Finland. This article presents this work, the challenges in the project, the
features of the new register and experiences in using it. There were several
motivations for creating a new register. The technical implementation of the
existing Dose Register needed to be reformed, and there was also a need to
improve electronic communication and access to the recorded data. The development
was challenging and took more time and effort than expected. Despite the
challenges, the new system works quite reliably and enables the use of the
registered data to more easily improve radiation safety.
PMID- 27179122
TI - DOSE TO RADIOLOGICAL TECHNOLOGISTS FROM INDUCED RADIONUCLIDES IN CARBON ION
RADIOTHERAPY.
AB - Radioactive nuclides are induced in irradiation devices and patients during high
energy photon and ion beam radiotherapies. These nuclides potentially become
sources of exposure to radiation workers. Radiological technologists (RTs) are
often required to enter an irradiation room and approach activated devices and
patients. In this study, annual doses to RTs working in a carbon ion radiotherapy
facility were estimated based on measurements with the Si-semiconductor personal
dosemeter. In addition, the time decay of dose around a patient couch after
irradiation was obtained by phantom experiments. The annual Hp(10) values for
passive and scanned beams were estimated to be 61 and 2 MUSv, respectively, when
assuming the number of treatments in 2013. These are much lower than the ICRP
recommended dose limit for radiation workers. The time-series data of dose to RTs
during their work and the time decay of the dose should be helpful for reducing
their dose further.
PMID- 27179123
TI - Evidence Supporting a Paracrine Effect of IGF-1/VEGF on Human Mesenchymal Stromal
Cell Commitment.
AB - Healing of skeletal defects is strictly dependent on osteogenesis and efficient
vascularization of engineered scaffolds. Insulin-like growth factor-1 (IGF-1) and
vascular endothelial growth factor (VEGF) are both involved in these processes.
The in vitro administration of IGF-1 in association with VEGF is able to modulate
the osteoblastic or endothelial commitment of mesenchymal stromal cells (MSCs) of
different origins (e.g. periosteum and skin). In the present study, in order to
deepen a possible paracrine effect of IGF-1 and VEGF on periosteum-derived
progenitor cells (PDPCs) and skin-derived MSCs (S-MSCs), a Transwell coculture
approach was used. We explored the genes involved in endothelial and osteoblastic
differentiation, those modulating mitogen-activated protein kinase (MAPK) and
phosphatidylinositol 3'-kinase (PI3K)-AKT signaling pathways as well as genes
implicated in stemness (i.e. Sox2, Oct4, and Nanog). Periosteal cells, which are
typically committed toward osteoblastogenesis, are driven in the direction of
endothelial gene expression when influenced by S-MSCs. The latter, once
influenced by PDPCs, lose their endothelial commitment and increase the
expression of osteoblast-associated genes. PI3K/AKT and MAPK signaling pathways
seem to be markedly involved in this behavior. Our results evidence that
paracrine signals between MSCs may differently modulate their commitment in a
bone microenvironment, opening stimulating viewpoints for skeletal tissue
engineering strategies coupling angiogenesis and osteogenesis processes.
PMID- 27179124
TI - A Network Approach to Environmental Impact in Psychotic Disorder: Brief
Theoretical Framework.
AB - The spectrum of psychotic disorder represents a multifactorial and heterogeneous
condition and is thought to result from a complex interplay between genetic and
environmental factors. In the current paper, we analyze this interplay using
network analysis, which has been recently proposed as a novel psychometric
framework for the study of mental disorders. Using general population data, we
construct network models for the relation between 3 environmental risk factors
(cannabis use, developmental trauma, and urban environment), dimensional measures
of psychopathology (anxiety, depression, interpersonal sensitivity, obsessive
compulsive disorder, phobic anxiety, somatizations, and hostility), and a
composite measure of psychosis expression. Results indicate the existence of
specific paths between environmental factors and symptoms. These paths most often
involve cannabis use. In addition, the analyses suggest that symptom networks are
more strongly connected for people exposed to environmental risk factors,
implying that environmental exposure may lead to less resilient symptom networks.
PMID- 27179125
TI - Mild Reinforcement Learning Deficits in Patients With First-Episode Psychosis.
AB - Numerous studies have identified reinforcement learning (RL) deficits in
schizophrenia. Most have focused on chronic patients with longstanding
antipsychotic treatment, however, and studies of RL in early-illness patients
have produced mixed results, particularly regarding gradual/procedural learning.
No study has directly contrasted both rapid and gradual RL in first-episode
psychosis (FEP) samples. We examined probabilistic RL in 34 FEP patients and 36
controls, using Go/NoGo (GNG) and Gain vs Loss-Avoidance (GLA) paradigms. Our
results were mixed, with FEP patients exhibiting greater impairment in the
ability to use positive, as opposed to negative, feedback to drive rapid RL on
the GLA, but not the GNG. By contrast, patients and controls showed similar
improvement across the acquisition. Finally, we found no significant between
group differences in the postacquisition expression of value-based preference in
both tasks. Negative symptoms were modestly associated with RL measures, while
the overall bias to engage in Go-responding correlated significantly with
psychosis severity in FEP patients, consistent with striatal hyperdopaminergia.
Taken together, FEP patients demonstrated more circumscribed RL impairments than
previous studies have documented in chronic samples, possibly reflecting
differential symptom profiles between first-episode and chronic samples. Our
finding of relatively preserved gradual/procedural RL, in briefly medicated FEP
patients, might suggest spared or restored basal ganglia function. Our findings
of preserved abilities to use representations of expected value to guide decision
making, and our mixed results regarding rapid RL, may reflect a lesser degree of
prefrontal cortical functional impairment in FEP than in chronic samples. Further
longitudinal research, in larger samples, is required.
PMID- 27179126
TI - Metabolism and Disposition of Hepatitis C Polymerase Inhibitor Dasabuvir in
Humans.
AB - Dasabuvir [also known as ABT-333 or N-(6-(3-(tert-butyl)-5-(2,4-dioxo-3,4
dihydropyrimidin-1(2H)-yl)-2-methoxyphenyl)naphthalen-2-yl)methanesulfonamide] is
a potent non-nucleoside NS protein 5B polymerase inhibitor of the hepatitis C
virus (HCV) and is being developed in combination with paritaprevir/ritonavir and
ombitasvir in an oral regimen with three direct-acting antivirals for the
treatment of patients infected with HCV genotype 1. This article describes the
mass balance, metabolism, and disposition of dasabuvir in humans. After
administration of a single oral dose of 400-mg [(14)C]dasabuvir (without
coadministration of paritaprevir/ritonavir and ombitasvir) to four healthy male
volunteers, the mean total percentage of the administered radioactive dose
recovered was 96.6%. The recovery from the individual subjects ranged from 90.8%
to 103%. Dasabuvir and corresponding metabolites were predominantly eliminated in
feces (94.4% of the dose) and minimally through renal excretion (2.2% of the
dose). The biotransformation of dasabuvir primarily involves hydroxylation of the
tert-butyl group to form active metabolite M1 [N-(6-(5-(2,4-dioxo-3,4
dihydropyrimidin-1(2H)-yl)-3-(1-hydroxy-2-methylpropan-2-yl)-2
methoxyphenyl)naphthalen-2-yl)methanesulfonamide], followed by glucuronidation
and sulfation of M1 and subsequent secondary oxidation. Dasabuvir was the major
circulating component (58% of total radioactivity) in plasma, followed by
metabolite M1 (21%). Other minor metabolites represented < 10% each of total
circulating radioactivity. Dasabuvir was cleared mainly through cytochrome P450
mediated oxidation metabolism to M1. M1 and its glucuronide and sulfate
conjugates were primarily eliminated in feces. Subsequent oxidation of M1 to the
tert-butyl acid, followed by formation of the corresponding glucuronide
conjugate, plays a secondary role in elimination. Cytochrome P450 profiling
indicated that dasabuvir was mainly metabolized by CYP2C8, followed by CYP3A4. In
summary, the biotransformation pathway and clearance routes of dasabuvir were
characterized, and the structures of metabolites in circulation and excreta were
elucidated.
PMID- 27179127
TI - Metabolism and Disposition of the Hepatitis C Protease Inhibitor Paritaprevir in
Humans.
AB - Paritaprevir (also known as ABT-450), a potent NS3-4A serine protease inhibitor
[identified by AbbVie (North Chicago, IL) and Enanta Pharmaceuticals (Watertown,
MA)] of the hepatitis C virus (HCV), has been developed in combination with
ombitasvir and dasabuvir in a three-direct-acting antiviral agent (DAA) oral
regimen for the treatment of patients infected with HCV genotype 1. This article
describes the mass balance, metabolism, and disposition of paritaprevir in
humans. After the administration of a single 200-mg oral dose of
[(14)C]paritaprevir coadministered with 100 mg of ritonavir to four male healthy
volunteers, the mean total percentage of the administered radioactive dose
recovered was 96.5%, with recovery in individual subjects ranging from 96.0% to
96.9%. Radioactivity derived from [(14)C]paritaprevir was primarily eliminated in
feces (87.8% of the dose). Radioactivity recovered in urine accounted for 8.8% of
the dose. The biotransformation of paritaprevir in humans involves: 1) P450
mediated oxidation on the olefinic linker, the phenanthridine group, the
methylpyrazinyl group, or combinations thereof; and 2) amide hydrolysis at the
acyl cyclopropane-sulfonamide moiety and the pyrazine-2-carboxamide moiety.
Paritaprevir was the major component in plasma [90.1% of total radioactivity in
plasma, AUC from time 0 to 12 hours (AUC0-12hours) pool]. Five minor metabolites
were identified in plasma, including the metabolites M2, M29, M3, M13, and M6;
none of the metabolites accounted for greater than 10% of the total
radioactivity. Paritaprevir was primarily eliminated through the biliary-fecal
route followed by microflora-mediated sulfonamide hydrolysis to M29 as a major
component in feces (approximately 60% of dose). In summary, the biotransformation
and clearance pathways of paritaprevir were characterized, and the structures of
metabolites in circulation and excreta were elucidated.
PMID- 27179128
TI - Metabolism and Disposition of Pan-Genotypic Inhibitor of Hepatitis C Virus NS5A
Ombitasvir in Humans.
AB - Ombitasvir (also known as ABT-267) is a potent inhibitor of hepatitis C virus
(HCV) nonstructural protein 5A (NS5A), which has been developed in combination
with paritaprevir/ritonavir and dasabuvir in a three direct-acting antiviral oral
regimens for the treatment of patients infected with HCV genotype 1. This article
describes the mass balance, metabolism, and disposition of ombitasvir in humans
without coadministration of paritaprevir/ritonavir and dasabuvir. Following the
administration of a single 25-mg oral dose of [(14)C]ombitasvir to four healthy
male volunteers, the mean total percentage of the administered radioactive dose
recovered was 92.1% over the 192-hour sample collection in the study. The
recovery from the individual subjects ranged from 91.4 to 93.1%. Ombitasvir and
corresponding metabolites were primarily eliminated in feces (90.2% of dose),
mainly as unchanged parent drug (87.8% of dose), but minimally through renal
excretion (1.9% of dose). Biotransformation of ombitasvir in human involves
enzymatic amide hydrolysis to form M23 (dianiline), which is further metabolized
through cytochrome P450-mediated oxidative metabolism (primarily by CYP2C8) at
the tert-butyl group to generate oxidative and/or C-desmethyl metabolites.
[(14)C]Ombitasvir, M23, M29, M36, and M37 are the main components in plasma,
representing about 93% of total plasma radioactivity. The steady-state
concentration measurement of ombitasvir metabolites by liquid chromatography-mass
spectrometry analysis in human plasma following multiple doses of ombitasvir, in
combination with paritaprevir/ritonavir and dasabuvir, confirmed that ombitasvir
is the main component (51.9% of all measured drug-related components), whereas
M29 (19.9%) and M36 (13.1%) are the major circulating metabolites. In summary,
the study characterized ombitasvir metabolites in circulation, the metabolic
pathways, and the elimination routes of the drug.
PMID- 27179129
TI - Bidirectional functions of thrombin on fibrinolysis: Evidence of thrombin
dependent enhancement of fibrinolysis provided by spontaneous plasma clot lysis.
AB - Besides procoagulant activity, thrombin exhibits anticoagulant and
profibrinolytic activities. We demonstrated that the euglobulin clot lysis time
(ECLT) was shortened by endogenously generated thrombin as a result of the
inactivation of plasminogen activator inhibitor type 1 (PAI-1). In contrast,
thrombin suppressed fibrinolytic activity through the activation of thrombin
activatable fibrinolysis inhibitor (TAFI). Here, using three different clot lysis
assays of the ECLT, the tissue plasminogen activator supplemented plasma clot
lysis time (tPA-PCLT) and the spontaneous plasma clot lysis time (s-PCLT), we
analyzed how the coagulation process modifies fibrinolysis. The ECLT was
shortened by exogenously supplemented thrombin in a dose-dependent manner in the
absence of calcium ion (Ca(++)), whereas this shortening was not observed in the
presence of Ca(++) where endogenous prothrombin was effectively activated to
thrombin. This shortening was also not observed for the tPA-PCLT, in which tPA is
supplemented in excess and PAI-1 activity is mostly lost. On the contrary,
thrombin dose-dependently prolonged the tPA-PCLT, which was mostly abolished by
inhibitors of carboxypeptidase and activated FXIII, suggesting that the
prolongation is TAFI- and Factor XIII-dependent. The s-PCLT was shortened when
thrombin generation was boosted by supplementing tissue factor and
phosphatidylserine together with Ca(++), which was more apparent in the presence
of inhibitors of activated FXIII and activated TAFI. Thus, thrombin appeared to
express its enhancing effect on fibrinolysis even in plasma, in addition to its
inhibiting effect. These bidirectional functions of thrombin on fibrinolysis seem
to take place on demand under different environments to maintain adequate
vascular blood flow.
PMID- 27179130
TI - Hemodynamics associated with atrial fibrillation directly alters thrombotic
potential of endothelial cells.
AB - An experimental in vitro model of the hemodynamics that occur in atrial
fibrillation (AFib) in the left atrial appendage (LAA) was developed to study
changes in human endothelial cell thrombotic potential. We applied human-derived
sinus rhythm and AFib hemodynamic shear stress patterns to primary human
endothelial cells (ECs) in culture. We found that ECs exposed to AFib
hemodynamics have increased thrombotic potential as measured by increased
expression of pro-thrombotic gene markers and fibrin deposition on the
endothelium. Treatment with the factor Xa inhibitor, apixaban, attenuated fibrin
deposition thickness while increasing fibrin density at the endothelial cell
surface. This study suggests that altered hemodynamics associated with AFib play
a key role in driving the thrombotic potential of the LAA endothelium.
PMID- 27179131
TI - Direct oral anticoagulant drug level testing in clinical practice: A single
institution experience.
AB - We performed a review of all direct oral anticoagulant (DOAC) levels - ecarin
times for dabigatran and anti-Xa levels for rivaroxaban and apixaban - ordered at
our institution with the purpose of evaluating DOAC levels from "real-world" (non
clinical trial) patients taking DOACs long-term, in order to assess levels
obtained, reasons for checking levels, and actions taken based on the testing
result. A total of 28 patients had 48 levels sent over a 36-month period. The
majority of outpatient levels were within or close to the range of published
values. The setting in which levels were sent influenced how results affected
management decisions: in the outpatient setting, the majority of levels served to
reassure clinicians that DOAC levels were within expected ranges resulting in
continuation of chosen management, whereas in the inpatient setting, DOAC levels
were used most frequently to detect DOAC presence in urgent clinical situations
and influenced clinical decision-making in the peri-procedural and pre-operative
periods. Our results demonstrate that while testing may be useful if immediately
available in urgent clinical situations where assessment of drug presence is
needed, DOAC level monitoring is infrequently used overall, and the lack of use
combined with the paucity of available evidence to guide clinical decision-making
based on the results suggests there is little urgency to make the tests widely
available for routine use outside of acute settings in the emergency department
and urgent surgical setting.
PMID- 27179132
TI - Diabetes mellitus, glucose control parameters and platelet reactivity in
ticagrelor treated patients.
PMID- 27179133
TI - Influence of particulate and dissociated metal-on-metal hip endoprosthesis wear
on mesenchymal stromal cells in vivo and in vitro.
AB - In hip arthroplasty the implants' articulating surfaces can be made of a cobalt
chromium-molybdenum (CoCrMo) alloy. The use of these metal-on-metal (MoM)
pairings can lead to the release of wear products such as metallic particles and
dissociated metal species, raising concerns regarding their safety amongst
orthopedic surgeons and the public. MoM-wear particles are reported to be
heterogeneous in their physicochemical properties, are capable of inducing
adverse effects on a cellular level and are thought to be involved in relevant
clinical problems like aseptic osteolysis. Yet, it remains elusive how MoM-wear
affects bone forming cells and their progenitors: bone marrow residing
mesenchymal stromal cells (MSCs). This study introduces an assessment of the in
vivo exposure to particulate and dissociated Co and Cr and evaluates the effects
of MoM-wear on MSCs. The exposure to MoM-wear products in vivo and in vitro leads
to a decrease in MSCs' osteogenic matrix mineralization and alkaline phosphatase
activity on a cellular and systemic level. In conclusion, MoM-wear products are
released in the periprosthetic region and elevate bone marrow Co and Cr
concentrations towards levels that impair osteogenic differentiation of MSCs.
Therefore, the ongoing use of CoCrMo alloys for articulating surfaces in joint
replacement implants needs critical reconsideration.
PMID- 27179134
TI - A disposable power source in resource-limited environments: A paper-based
biobattery generating electricity from wastewater.
AB - We report a novel paper-based biobattery which generates power from microorganism
containing liquid derived from renewable and sustainable wastewater which is
readily accessible in the local environment. The device fuses the art of origami
and the technology of microbial fuel cells (MFCs) and has the potential to shift
the paradigm for flexible and stackable paper-based batteries by enabling
exceptional electrical characteristics and functionalities. 3D, modular, and
retractable battery stack is created from (i) 2D paper sheets through high
degrees of folding and (ii) multifunctional layers sandwiched for MFC device
configuration. The stack is based on ninja star-shaped origami design formed by
eight MFC modular blades, which is retractable from sharp shuriken (closed) to
round frisbee (opened). The microorganism-containing wastewater is added into an
inlet of the closed battery stack and it is transported into each MFC module
through patterned fluidic pathways in the paper layers. During operation, the
battery stack is transformed into the round frisbee to connect eight MFC modules
in series for improving the power output and simultaneously expose all air
cathodes to the air for their cathodic reactions. The device generates desired
values of electrical current and potential for powering an LED for more than
20min.
PMID- 27179135
TI - Platelike WO3 sensitized with CdS quantum dots heterostructures for
photoelectrochemical dynamic sensing of H2O2 based on enzymatic etching.
AB - A platelike tungsten trioxide (WO3) sensitized with CdS quantum dots (QDs)
heterojunction is developed for solar-driven, real-time, and selective
photoelectrochemical (PEC) sensing of H2O2 in the living cells. The structure is
synthesized by hydrothermally growing platelike WO3 on fluorine doped tin oxide
(FTO) and subsequently sensitized with CdS QDs. The as-prepared WO3-CdS QDs
heterojunction achieve significant photocurrent enhancement, which is remarkably
beneficial for light absorption and charge carrier separation. Based on the
enzymatic etching of CdS QDs enables the activation of quenching the charge
transfer efficiency, thus leading to sensitive PEC recording of H2O2 level in
buffer and cellular environments. The results indicated that the proposed method
will pave the way for the development of excellent PEC sensing platform with the
quantum dot sensitization. This study could also provide a new train of thought
on designing of self-operating photoanode in PEC sensing, promoting the
application of semiconductor nanomaterials in photoelectrochemistry.
PMID- 27179136
TI - Nanogold-functionalized g-C3N4 nanohybrids for sensitive impedimetric immunoassay
of prostate-specific antigen using enzymatic biocatalytic precipitation.
AB - This work reports on a new impedimetric immunosensing strategy for sensitive
detection of prostate-specific antigen (PSA) in biological fluids. The assay was
carried out on monoclonal anti-PSA capture antibody-modified glassy carbon
electrode with a sandwich-type detection format. Gold nanoparticles-decorated g
C3N4 nanosheets (AuNP/g-C3N4), synthesized by the wet-chemistry method, were
utilized for the labeling of polyclonal anti-PSA detection antibody and
horseradish peroxidase (HRP). Upon target PSA introduction, the sandwiched
immunocomplex could be formed between capture antibody and detection antibody.
Followed by the AuNP/g-C3N4, the labeled HRP could catalyze 4-choloro-1-naphthol
into benzo-4-chlorohexadienone. The as-generated insoluble product was coated on
the electrode surface, thus increasing the Faradaic impedance of Fe(CN)6(4-/3)(-)
indicator between the solution and the base electrode. Under the optimal
conditions, the impedance increased with the increasing target PSA in the sample,
and exhibited a wide linear range from 10pgmL(-1) and 30ngmL(-1) with a detection
limit of 5.2pgmL(-1). A repeatability and intermediate precision of <14% was
accomplished. The specificity and method accuracy in comparison with commercial
PSA ELISA kit for analysis of human serum specimens were relatively satisfactory.
PMID- 27179137
TI - The impact of children's exposure to greenspace on physical activity, cognitive
development, emotional wellbeing, and ability to appraise risk.
AB - INTRODUCTION: The current study utilised objective techniques to investigate the
relationship between children's time spent in greenspace (open land covered in
grass or other vegetation) with various physical and psychological variables.
Potential relationships between physical activity and greenspace with body
composition, emotional wellbeing, sensation seeking tendencies, ability to
appraise risk, and cognitive development are investigated. METHODS: 108
participants aged 11-14 years from three intermediate schools in Auckland, New
Zealand, were assessed. Moderate-to-vigorous physical activity (MVPA) and
geolocational data were recorded using accelerometers and portable global
positioning system (GPS) receivers (respectively) over a 7-day period in
September-December 2014. Body mass index (BMI) and waist-to-height ratio (WHtR)
were calculated from height, weight, and waist circumference. Participants also
completed online cognitive testing, a computerised risk appraisal tool, and a
questionnaire for assessing emotional wellbeing and sensation seeking
characteristics. Data analysis took place during February to May 2015.
Generalised linear mixed models were used to quantify the associations between
MVPA, greenspace exposure, and secondary outcome variables. RESULTS: Findings
confirmed that greenspace exposure is positively associated with MVPA in children
(B=0.94; p<0.05). Furthermore, both greenspace exposure and MVPA were related to
greater emotional wellbeing, with the former exhibiting a stronger relationship
than the latter. Risk-taking and sensation seeking scores were positively
associated with MVPA, but not with greenspace exposure. No associations were
detected between BMI, WHtR, cognitive domains, and either MVPA or greenspace
exposure. CONCLUSIONS: Findings support the theory that for children, greenspaces
are an important environmental influence on physical activity and emotional
wellbeing.
PMID- 27179138
TI - Where are the schools? Children, families and food practices.
AB - Reducing childhood obesity is an international priority and children's diets,
food knowledge and practices have come under intense scrutiny in both policy and
popular discourse. Notwithstanding evidence that health interventions which
resonate with children's own views are the most effective, there is still
relatively little research which mobilises children's everyday perspectives on
food to inform public health policy. We report key findings from a qualitative
study with 53 children aged 9-10, attending two socio-economically contrasting
schools in the UK. The study explored children's understandings of food in
everyday life and their ideas about the relationship between food and health.
Throughout the study, despite recent attempts to position schools as key sites
for public health interventions, children consistently emphasised families as the
locus for enduring food practices. The research highlights the value of listening
to children and applying our understanding of their perspectives to ensure that
public health initiatives work with the important influences on their diet and
health that they themselves identify.
PMID- 27179139
TI - Serum concentrations of fibroblast growth factor 21 are elevated in patients with
congenital or acquired lipodystrophy.
AB - OBJECTIVE: Patients with lipodystrophy (LD) suffer from loss of subcutaneous
adipose tissue accompanied by dysregulation of several adipocyte-secreted
factors. However, regulation of adipocyte-expressed fibroblast growth factor
(FGF) 21 which acts in an insulin-mimetic, lipid-lowering, and anti-atherogenic
manner has not been investigated in non-human immunodeficiency virus (HIV) LD.
MATERIAL AND METHODS: Circulating serum FGF21 levels were quantified in 37
patients with non-HIV LD and 37 controls matched for age, gender, and body mass
index. Moreover, FGF21 plasma levels and mRNA expression were measured in LD mice
and control animals. Additionally, serum FGF21 levels were assessed in 10 LD
patients before and during metreleptin therapy. RESULTS: Median FGF21 serum
concentrations were significantly higher in LD patients (381.2ng/l) as compared
to the control group (231.2ng/l; p=0.023). There was an independent and positive
association between circulating FGF21 and serum triglycerides (TG), as well as
fibrate treatment, in multiple linear regression analysis. LD mice showed
significantly upregulated FGF21 plasma levels (4.5-fold), as well as mRNA
expression in various adipose tissue depots and liver as compared to controls
(p<0.05). Metreleptin treatment did not significantly alter circulating FGF21
levels in human subjects. CONCLUSIONS: Serum concentrations of FGF21 are elevated
in patients with non-HIV LD with adipose tissue and liver being potential sources
of increased production. TG and fibrate treatment are independent positive
predictors of circulating FGF21.
PMID- 27179140
TI - Toll-like receptor 2 signalling: Significance in megakaryocyte development
through wnt signalling cross-talk and cytokine induction.
AB - TLR2 is a toll-like receptor protein which is involved in innate immune
responses. TLR2 recognize several virus, fungal and bacterial pathogens, upon
their uptake cause internalization and cellular activation. During this process
several cytokines participate including interleukins, IL6 and IL12.
Interestingly, TLR2 is expressed on megakaryocytes (MKs) and platelets, which is
crucial for immune mediated platelet activation. The role of TLR2 on MKs is not
completely understood. We observed TLR2 induction leads to MK maturation and is
involved in production of ROS which is essential for MK development. In Dami
cells, TLR2 up-regulation causes increase in the cytokine production,
particularly IL-6, which has been shown to stimulate CFU formation and CD41
expression. Additionally, TLR2 ligand induces wnt beta-catenin signalling pathway
components suggesting a cross talk between wnt and TLR pathway leading to
maturation of MKs. This study shows TLR2 signalling induce cytokine production
and regulate wnt signalling thereby cause maturation of MKs.
PMID- 27179141
TI - Dispersion relations of elastic waves in one-dimensional
piezoelectric/piezomagnetic phononic crystal with functionally graded
interlayers.
AB - The effects of functionally graded interlayers on dispersion relations of elastic
waves in a one-dimensional piezoelectric/piezomagnetic phononic crystal are
studied in this paper. First, the state transfer equation of the functionally
graded interlayer is derived from the motion equation by the reduction of order
(from second order to first order). The transfer matrix of the functionally
graded interlayer is obtained by solving the state transfer equation with the
spatial-varying coefficient. Based on the transfer matrixes of the piezoelectric
slab, the piezomagnetic slab and the functionally graded interlayers, the total
transfer matrix of a single cell is obtained. Further, the Bloch theorem is used
to obtain the resultant dispersion equations of in-plane and anti-plane Bloch
waves. The dispersion equations are solved numerically and the numerical results
are shown graphically. Five kinds of profiles of functionally graded interlayers
between a piezoelectric slab and a piezomagnetic slab are considered. It is shown
that the functionally graded interlayers have evident influences on the
dispersion curves and the band gaps.
PMID- 27179142
TI - Optimization of machining and vibration parameters for residual stresses
minimization in ultrasonic assisted turning of 4340 hardened steel.
AB - The residual stresses generated in the machined work piece have detrimental
effect on fatigue life, corrosion resistance and tribological properties.
However, the effect of cutting and vibration parameters on residual stresses in
Ultrasonic Assisted Turning (UAT) has not been dealt with. The present paper
highlights the effect of feed rate, depth of cut, cutting velocity and percentage
intensity of ultrasonic power on residual stress generation. XRD analysis has
been carried out to measure the residual stress while turning 4340 hardened steel
using UAT. The experiments were performed based on response surface methodology
to develop statistical model for residual stress. The outcome of ANOVA revealed
that percentage intensity and feed rate significantly affect the residual stress
generation. The significant interactions between process parameters have also
been presented tin order to understand the thermo-mechanical mechanism
responsible for residual stress generation.
PMID- 27179143
TI - Recent technological advancements in breast ultrasound.
AB - Ultrasound is becoming increasingly common as an imaging tool for the detection
and characterization of breast tumors. This paper provides an overview of recent
technological advancements, especially those that may have an impact in clinical
applications in the field of breast ultrasound in the near future. These
advancements include close to 100% fractional bandwidth high frequency (5-18MHz)
2D and 3D arrays, automated breast imaging systems to minimize the operator
dependence and advanced processing techniques, such as those used for detection
of microcalcifications. In addition, elastography and contrast-enhanced
ultrasound examinations that are expected to further enhance the clinical
importance of ultrasound based breast tumor screening are briefly reviewed. These
techniques have shown initial promise in clinical trials and may translate to
more comprehensive clinical adoption in the future.
PMID- 27179144
TI - Reinforcement of freeze-dried chitosan scaffolds with multiphasic calcium
phosphate short fibers.
AB - The composite scaffolds of the chitosan and multiphasic calcium phosphate (HW)
short fibers were prepared by freeze drying and characterized by X-ray
diffractometry (XRD), Fourier transform infrared spectroscopy (FTIR) and scanning
electron microscopy (SEM and FE-SEM). The mechanical properties of the scaffolds
were assessed by compression test. The incorporation of HW fibers consisting
three phases of hydroxyapatite (HA), beta-tricalcium phosphate (beta-TCP) and
calcium pyrophosphate (CPP) into the chitosan matrices was associated with an
increase in pore size, density and compressive strength and modulus, and a
decrease in porosity and swelling ratio of the scaffolds. The strongest composite
scaffolds in this study with a chitosan: HW fibers weight ratio of 1:1 showed a
mean porosity of 69% and a mean strength and modulus of 420kPa and 3.87MPa,
respectively. The in vitro bioactivity of the composites was confirmed by the
formation of a calcium phosphate rich layer on the surface of soaked scaffolds in
simulated body fluid. The findings of this initial work indicate that the
chitosan-multiphasic calcium phosphate short fibers may be a suitable material
for bone scaffolding.
PMID- 27179146
TI - The doctor-patient relationship as a toolkit for uncertain clinical decisions.
AB - Medical uncertainty is a well-recognized problem in healthcare, yet how doctors
make decisions in the face of uncertainty remains to be understood. This article
draws on interdisciplinary literature on uncertainty and physician decision
making to examine a specific physician response to uncertainty: using the doctor
patient relationship as a toolkit. Additionally, I ask what happens to this
process when the doctor-patient relationship becomes fragmented. I answer these
questions by examining obstetrician-gynecologists' narratives regarding how they
make decisions when faced with uncertainty in childbirth. Between 2013 and 2014,
I performed 21 semi-structured interviews with obstetricians in the United
States. Obstetricians were selected to maximize variation in relevant physician,
hospital, and practice characteristics. I began with grounded theory and moved to
analytical coding of themes in relation to relevant literature. My analysis
renders it evident that some physicians use the doctor-patient relationship as a
toolkit for dealing with uncertainty. I analyze how this process varies for
physicians in different models of care by comparing doctors' experiences in
models with continuous versus fragmented doctor-patient relationships. My key
findings are that obstetricians in both models appealed to the ideal of patient
centered decision-making to cope with uncertain decisions, but in practice
physicians in fragmented care faced a number of challenges to using the doctor
patient relationship as a toolkit for decision-making. These challenges led to
additional uncertainties and in some cases to poor outcomes for doctors and/or
patients; they also raised concerns about the reproduction of inequality. Thus
organization of care delivery mitigates the efficacy of doctors' use of the
doctor-patient relationship toolkit for uncertain decisions. These findings have
implications for theorizing about decision-making under conditions of medical
uncertainty, for understanding how the doctor-patient relationship and model of
care affect physician decision-making, and for forming policy on the optimal
structure of medical work.
PMID- 27179145
TI - Monitoring 3D dose distributions in proton therapy by reconstruction using an
iterative method.
AB - The Bragg peak of protons can be determined by measuring prompt gamma-rays. In
this study, prompt gamma-rays detected by single-photon emission computed
tomography with a geometrically optimized collimation system were reconstructed
by an iterative method. The falloff position by iterative method (52.48mm) was
most similar to the Bragg peak (52mm) of an 80MeV proton compared with those of
back-projection (54.11mm) and filtered back-projection (54.91mm) methods.
Iterative method also showed better image performance than other methods.
PMID- 27179147
TI - Identification of meat species by using laser-induced breakdown spectroscopy.
AB - The aim of the present study is to identify meat species by using laser-induced
breakdown spectroscopy (LIBS). Elemental composition differences between meat
species were used for meat identification. For this purpose, certain amounts of
pork, beef and chicken were collected from different sources and prepared as
pellet form for LIBS measurements. The obtained LIBS spectra were evaluated with
some chemometric methods, and meat species were qualitatively discriminated with
principal component analysis (PCA) method with 83.37% ratio. Pork-beef and
chicken-beef meat mixtures were also analyzed with partial least square (PLS)
method quantitatively. Determination coefficient (R(2)) and limit of detection
(LOD) values were found as 0.994 and 4.4% for pork adulterated beef, and 0.999
and 2.0% for chicken adulterated beef, respectively. In the light of the
findings, it was seen that LIBS can be a valuable tool for quality control
measurements of meat as a routine method.
PMID- 27179149
TI - Halal stunning and slaughter: Criteria for the assessment of dead animals.
AB - The debate surrounding the acceptability of stunning for Halal slaughter is one
that is likely to linger. Compared to a couple of decades or so ago, one may
argue that pre-slaughter stunning is becoming a popular practice during Halal
slaughter due to the increasing number of Muslim-majority countries who continue
to issue religious rulings (Fatwa) to approve the practice. Concerns have often,
however been raised about the likelihood of some animals dying as a result of
stunning and whether there are mechanisms in place to identify and remove dead
animals stunned with irreversible techniques before their necks are cut. This
paper reviews literature about what makes meat Halal, considers the arguments put
forward by proponents and opponents of pre-slaughter stunning for Halal
production and examines the criteria used by Halal Certification Bodies to
identify and reject animals that may die as a result of irreversible stunning and
considers the specific risks of waterbath stunning (for poultry) from a Halal
viewpoint.
PMID- 27179148
TI - Study of modified atmosphere packaging on the quality of ozonated freeze-dried
chicken meat.
AB - The objective of this study was to evaluate the effects of different modified
atmosphere packaging (MAP) conditions on the physicochemical and sensory
properties of ozonated freeze-dried chicken meat stored at 21+/-1 degrees C for
28days. To this end, 14 MAP treatments were performed to obtain the most suitable
packaging atmosphere. High concentrations of O2 in MAP promoted loss of redness
and increased the pH values. Moreover, when the concentration of CO2 in MAP was
more than 40%, high values of textural parameters and low scores of sensory
hardness and chewiness were achieved. The 20%CO2/80%N2 gas combination was found
to be the most effective treatment for best maintaining the physicochemical and
sensory quality of ozonated dried chicken samples similar to that of raw meat.
PMID- 27179150
TI - Increased ongoing neural variability in ADHD.
AB - Attention Deficit Hyperactivity Disorder (ADHD) has been described as a disorder
where frequent lapses of attention impair the ability of an individual to
focus/attend in a sustained manner, thereby generating abnormally large intra
individual behavioral variability across trials. Indeed, increased reaction time
(RT) variability is a fundamental behavioral characteristic of individuals with
ADHD found across a large number of cognitive tasks. But what is the underlying
neurophysiology that might generate such behavioral instability? Here, we
examined trial-by-trial EEG response variability to visual and auditory stimuli
while subjects' attention was diverted to an unrelated task at the fixation
cross. Comparisons between adult ADHD and control participants revealed that
neural response variability was significantly larger in the ADHD group as
compared with the control group in both sensory modalities. Importantly, larger
trial-by-trial variability in ADHD was apparent before and after stimulus
presentation as well as in trials where the stimulus was omitted, suggesting that
ongoing (rather than stimulus-evoked) neural activity is continuously more
variable (noisier) in ADHD. While the patho-physiological mechanisms causing this
increased neural variability remain unknown, they appear to act continuously
rather than being tied to a specific sensory or cognitive process.
PMID- 27179151
TI - Reduced sensitivity to contrast signals from the eye region in developmental
prosopagnosia.
AB - Contrast-related signals from the eye region are known to be important for the
processing of facial identity. Individuals with developmental prosopagnosia (DP)
have severe face recognition problems, which may be linked to deficits in the
perceptual processing of identity-related information from the eyes. We tested
this hypothesis by measuring N170 components in DP participants and age-matched
controls in response to face images where the contrast polarity of the eyes and
of other face parts was independently manipulated. In different trials,
participants fixated either the eye region or the lower part of a face. In the
Control group, contrast-reversal of the eyes resulted in enhanced and delayed
N170 components, irrespective of the contrast of other face parts and of gaze
location. In the DP group, these effects of eye contrast on N170 amplitudes were
strongly and significantly reduced, demonstrating that perceptual face processing
in DP is less well tuned to contrast information from the eye region. Inverting
the contrast of other parts of the face affected N170 amplitudes only when
fixation was outside the eye region. This effect did not differ between the two
groups, indicating that DPs are not generally insensitive to the contrast
polarity of face images. These results provide new evidence that a selective
deficit in detecting and analysing identity-related information provided by
contrast signals from the eye region may contribute to the face recognition
impairment in DP.
PMID- 27179152
TI - Posterior cruciate ligament tears in Taiwan: an analysis of 140 surgically
treated cases.
AB - INTRODUCTION: Posterior cruciate ligament (PCL) tears are commonly due to motor
vehicle accidents or sports-related trauma but can differ geographically. We
report the various causes, types, and associated injuries of PCL tears in Taiwan.
METHODS: One hundred forty patients with arthroscopically treated PCL tears were
reviewed. RESULTS: Scooter-related trauma was the most common cause of PCL tear
in our series and is typically an isolated ligamentous injury. High-velocity
motor vehicle accidents accounted for a small percentage of PCL tears.
CONCLUSION: Scooter-related PCL tears are common in Taiwanese patients and are
often isolated ligamentous injuries similar to low-velocity sports-related PCL
injuries.
PMID- 27179153
TI - Imaging findings of spinal brown tumors: a rare but important cause of pathologic
fracture and spinal cord compression.
AB - Brown tumors rarely develop in the spine, and neurological compromise is
exceedingly uncommon. There is a growing body of literature describing brown
tumors that involve the spine, but few emphasize the radiographic findings. In
the present case, we illustrate the development and progression of biopsy-proven
brown tumors leading to neurological compromise through radiographs, computed
tomography, magnetic resonance, and nuclear imaging acquired over a 4-year span.
PMID- 27179154
TI - Efficacy of single voxel 1H MR spectroscopic imaging at 3T for the
differentiation of benign and malign breast lesions.
AB - PURPOSE: The aim of our study was to evaluate the effect of 1H Magnetic Resonance
Spectroscopy (MRS) in differentiating breast lesions. MATERIALS AND METHODS:
Single voxel 1H Magnetic Resonance Spectroscopy (1H-MRS) was performed with 3T
magnet in 45 women. The choline cut off point was set semi-quantitavely.
Sensitivity, specificity and accuracy of MRS were calculated. RESULTS: Twenty
four of 25 (96%) malignant and 9 of 26 (35%) benign lesions had choline peak.
With the use cutoff value of 19,5 MRS provided a 96% sensitivity, 65% specificity
and 80% accuracy. CONCLUSION: MRS has a high diagnostic accuracy in
differentiating breast lesions.
PMID- 27179155
TI - Peritoneal lymphomatosis confused with peritoneal carcinomatosis due to the
previous history of gastric cancer: a case report.
AB - Peritoneal lymphomatosis is a very rare disease of extranodal involvement of
malignant lymphoma that is occasionally confounded with other peritoneal
diseases. Herein, we reported the case of a 59-year-old woman who presented with
massive ascites with prior history of stomach perforation during endoscopic
procedure to treat early gastric cancer. Imaging studies showed massive ascites
and tumor infiltration in the omentum and peritoneal wall. Initially, relapsed
gastric cancer with peritoneal seeding was suspected based on the patient's
history and imaging findings. However, final diagnosis was confirmed by ascites
cytology as peritoneal lymphomatosis of diffuse large B-cell lymphoma unlike
prior clinical information.
PMID- 27179156
TI - Inverted Meckel diverticulum as a lead point of small bowel intussusception:
misinterpreting case as a lipoma.
AB - Inverted Meckel diverticulum is an uncommon cause of intussusception in adults.
It may be confused for an intraluminal lipoma. We present a case of small bowel
intussusception due to inverted Meckel diverticulum with characteristic computed
tomography finding potentially distinguishable from lipoma.
PMID- 27179157
TI - Primary extraskeletal Ewing sarcoma of the stomach: a rare disease in an uncommon
location.
AB - We report the case of a 63-year-old female undergoing evaluation of symptomatic
anemia, gastroesophageal reflux disease, and abdominal pain. After a thorough
diagnostic workup, a large, ulcerated mass was identified in the patient's
stomach, and surgical pathology in combination with molecular analysis yielded a
diagnosis of primary extraskeletal Ewing sarcoma. In our report, we discuss the
epidemiologic, clinicopathologic, and radiographic features of this rare disease
and provide a review of the existing literature.
PMID- 27179158
TI - Improving diagnosis of atraumatic splenic lesions, Part III: malignant lesions.
AB - Focal atraumatic splenic lesions often pose a diagnostic challenge on cross
sectional imaging. They can be categorized based on etiology, as nonneoplastic,
benign neoplastic, and malignant neoplastic (discussed in Part III) lesions, or
on prevalence, as common, uncommon, and rare lesions. Familiarity with pertinent
clinical parameters, etiology, pathology, prevalence, and ancillary features such
as splenomegaly, concomitant hepatic involvement, and extrasplenic findings, in
addition to knowledge of imaging spectra of the lesions, can improve diagnostic
confidence. Consideration of these factors together can arm the radiologist with
the necessary tools to render a more confident diagnosis and thus better aid
management.
PMID- 27179160
TI - The radiologic diagnosis of idiopathic myointimal hyperplasia of mesenteric veins
with a novel presentation: case report and literature review.
AB - Idiopathic myointimal hyperplasia of mesenteric veins (IMHMV) is a rare condition
of the rectosigmoid colon that primarily affects middle-aged men. IMHMV typically
presents as proctosigmoiditis and often requires surgical resection due to
complications throughout the protracted clinical course. It can be differentiated
from idiopathic chronic inflammatory bowel disease by histopathologic examination
of surgically resected colon specimens. This report describes a rare case of
IMHMV presenting as left hemicolitis in a 64-year-old male. We also describe
potentially distinguishing computed tomographic and angiographic findings that
may aid in the diagnosis of IMHMV.
PMID- 27179159
TI - Incidence and pathology of repeat CT abdomen and pelvis in an adult emergency
department population.
AB - INTRODUCTION: Our objective was to identify the incidence of adult patients who
undergo more than one computed tomography (CT) abdomen and pelvis within 1 year
and detect the incidence of significant pathology on these repeat scans. METHODS:
All adults with an initial CT within 12 months and then during an emergency
department visit were retrospectively identified. RESULTS: A percentage of 21.1
of the repeat CT scans were positive. Approximately 20% of positive repeat CT
scans occurred within the first month and nearly 70% within 6 months of the
initial CT scan. CONCLUSIONS: Many patients undergo multiple CT scans within a 1
year time frame with significant pathology identified.
PMID- 27179161
TI - Combination of Serum Interleukin-1beta and 6 Levels in the Diagnosis of Perinatal
Asphyxia.
AB - BACKGROUND: Perinatal asphyxia is an important cause of death, as well as
permanent neurological and developmental complications. Diagnosing in time would
lead to better prognosis and applying the most proper treatment. We sought to
define the predictive values of serum concentrations of interleukin-1beta (IL
1beta) and interleukin-6 (IL-6) in newborns with perinatal asphyxia to see if
there is a relation between the short-term neurological deficit and serum IL
1beta and IL-6 concentrations. METHODS: This was a prospective (case-control)
study conducted between March 2006 and April 2013, at the Neonatal Intensive Care
Unit, Mashhad, Iran. Serum IL-1beta and IL-6 levels were measured at birth in 38
consecutive uninfected neonates with perinatal asphyxia (blood pH < 7.2, low
Apgar score, signs of fetal distress) and 47 randomly selected healthy newborns.
The results were compared between the groups, using Chi-Square, t-tests, and Mann
Whitney tests, as well as receiver operator characteristics (ROC) curves and
regression models. RESULTS: Serum IL-1beta and IL-6 concentrations in the infants
who developed perinatal asphyxia were significantly higher compared to values in
the normal infants [16.88 vs 3.34 pg/mL for IL-1beta, (P = 0.006), and 88.15 vs
6.74 pg/ mL for IL-6, (P < 0.001) respectively]. The sensitivity and specificity
for the diagnosis of perinatal asphyxia using serum IL-6 were 80.5% and 81.6%
respectively. The sensitivity and specificity using serum IL-1beta were 71% and
89.1%, respectively. CONCLUSION: Evaluating serum IL-6 and 1beta simultaneously,
could improve the sensitivity and specificity of early diagnosis of the perinatal
asphyxia. The most appropriate indicator of perinatal asphyxia is combined
measurement of interleukin 1beta and interleukin 6.
PMID- 27179162
TI - Complication of Stenting in Intracranial Arterial Stenosis.
AB - INTRODUCTION: To evaluate the perioperative complications and long-term
restenosis rates following percutaneous transluminal angioplasty and stenting
(PTAS) in patients with intracranial atherosclerotic stenosis (ICAS). METHODS: A
retrospective analysis was performed on the clinical data of 102 ICAS patients
(103 cases of stenosis) who underwent PTAS. The perioperative complications and
long-term restenosis rates were analyzed. RESULTS: The success rate of PTAS was
100%. Six patients (5.83%, 6/103) had perioperative complications. Six cases
(6.52%, 6/92) of restenosis occurred and one patient (1.09%) died. Five of the 6
restenosis cases (5.43%) occurred in the blood-supplying region and were
associated with ischemic symptoms and one patient (1.09%) had no ischemic
symptom. The postoperative restenosis rates at 6, 6 - 12, and 12 - 74 months were
3.26%, 2.56%, and 2.38%, respectively. The postoperative restenosis rates had a
significant difference between the residual stenosis rate >= 20% and < 20% (P <
0.05), and between postoperative regular medication and non-regular medication (P
< 0.05). The postoperative restenosis risk in patients with age >= 60 years was
13.481 times to that in patients with age < 60 years. The postoperative
restenosis risk in patients with residual stenosis rate < 20% was 31.25 times to
that in patients with residual stenosis rate >= 20%. The postoperative restenosis
risk in patients with regular medication was 12.65 times to that in patients
without regular medication. CONCLUSIONS: The vasospasm, arterial dissection,
arterial occlusion and acute thrombosis are common perioperative complications
following PTAS in patients with ICAS. The medium- and long-term postoperative
restenosis rate is low. Age is the risk factor for postoperative restenosis.
PMID- 27179163
TI - Transcutaneous Bilirubinometry in Preterm and Term Newborn Infants before and
during Phototherapy.
AB - INTRODUCTION: To evaluate the accuracy of transcutaneous bilirubin measurement in
a large population of newborn infants, before and during the phototherapy.
PATIENTS AND METHODS: A single Bilicheck instrument was used for transcutaneous
measurements. A photo-opaque patch was positioned over the measurement site prior
to starting phototherapy. Transcutaneous bilirubinometry was conducted on an
unpatched area of the forehead skin and on the nearby site covered by the photo
opaque patch. Readings were obtained from patched and unpatched areas and
simultaneous total serum bilirubin concentrations were compared. RESULTS: We
studied 134 term and 36 preterm newborns. Pre-phototherapy measurements showed a
strong correlation (r: 0.929, P < 0.001, Limit of agreement: -1.8 to 3.1) between
Bilicheck and serum bilirubin readings. Post-phototherapy correlation between
Bilicheck and serum bilirubin readings was (r: 0.921, P < 0.001, LOA: -1.8 to
2.8) among term and (r: 0.887, P = 0.001, LOA: -1.4 to 2.7) among preterm
neonates in patched areas. These correlations were (r: 0.666, P < 0.001, LOA:
1.7 to 7.3) among term and (r: 0.756, P < 0.001, LOA: -0.5 to 5.3) preterm
neonates post-phototherapy in unpatched areas. CONCLUSION: BiliCheck can be
safely used for the evaluation of bilirubin levels in preterm and term newborn
infants under phototherapy. BiliCheck is slightly less reliable among preterm
newborns.
PMID- 27179164
TI - The Burden of HIV in Iran: Insights from the Global Burden of Disease Study 2010.
AB - OBJECTIVES: To evaluate the HIV/AIDS burden in Iran from 1980 to 2010 using the
Global Burden of Disease Study 2010 (GBD 2010). METHODS: The burden of HIV/AIDS
in Iran was obtained from a systematic study from 1990 to 2010 by the GBD team.
The GBD 2010 disability weights were used to calculate the HIV/AIDS Disability
Adjusted Life Years (DALY) based on the HIV prevalence reported by the Joint
United Nations Program on HIV/AIDS (UNAIDS) estimation. Mortality data were
obtained from the vital registration and statistics system of Iran. In the
current study, the results are discussed, and the potential solutions are
provided for observed deficiencies. RESULTS: HIV/AIDS-related DALYs (3.6 per
100,000 in 1990, and 154 per 100,000 in 2010) and death (0.07 per 100,000 in
1990, and 3 per 100,000 in 2010) had increased in Iran from 1990 to 2010. The
majority of individuals who died of HIV were between 15 to 49 years old. The
estimated rank of HIV/AIDS burden compared with the burden of other leading
disease was 152nd in 1990 and considerably increased to 37th in 2010 in Iran.
CONCLUSION: Since the majority of HIV/AIDS DALYs and deaths occur among young
people, the burden of HIV/AIDS still remains high in Iran. Due to the limitations
of the GBD study, National and Sub-National Burden of Diseases (NASBOD) study is
being conducted in Iran to calculate the burden of diseases, including HIV/AIDS.
PMID- 27179165
TI - Assessment the Efficiency of the Constructed Minigenome of Rabies Virus using PV
Strain as Helper Virus.
AB - INTRODUCTION: Rabies is an acute viral disease that causes encephalomyelitis in
mammals and human. The only way to prevent this disease is through vaccination
before or after exposure. The aim of this study is to evaluate the efficiency of
the Pasteur virus (PV) minigenome, using PV strain. MATERIALS AND METHODS:
Enhanced Green Fluorescent Protein (EGFP) sequence was placed between the
designed necessary elements (Hammerhead, HDV ribozyme, 3' Leader, and 5' Trailer
sequences), which resemble the rabies virus PV strain (PV2061) genome and anti
genome. These constructs were placed between T7 polymerase promoter and T7
polymerase terminator sequences. The accuracy of the minigenome was confirmed by
the expression of EGFP using the helper virus in T7-BHK cell line. RESULTS: The
viral necessary elements of positive and negative sense strands were evaluated
for the ability of EGFP expression in the presence of the helper virus. While the
positive strand showed background results, no EGFP background was observed in the
negative strand application. CONCLUSION: Establishment of minigenome system does
not require advanced biosafety levels. Furthermore, using minigenome system
eliminates many potential confounding factors that may be present in coding
regions of the genome. Use of the minigenome system is easier and more feasible
than the full genome rescue of the virus. This study successfully shows the
efficiency of the constructed rabies virus minigenome in expression of inserted
gene.
PMID- 27179166
TI - Effect of Omega-3 PUFAs Supplementation with Lifestyle Modification on
Anthropometric Indices and Vo2 max in Overweight Women.
AB - BACKGROUND: Despite the fact that the recommendations of counteracting obesity
advocate for changing lifestyle and physical activity habits, the prevalence of
obesity continues to rise. The aim of the study was to investigate the effect of
omega-3 PUFAs supplementation with lifestyle modification on anthropometric
indices and Vo2max in overweight women. METHODS: Fifty overweight women aged
between 20 to 45 years were recruited in this interventional study. Women
randomly were divided into two experimental groups (n = 25). Group 1 received
omega-3 supplement, aerobic exercise program, and a healthy diet education. Group
2 was similar to group 1, except in that patients received placebo instead of
omega-3 capsules. Experimental and placebo group subjects were asked to take one
supplementary capsule every day, for 8 weeks. Anthropometric indices were
measured in the fourth and eighth weeks of the trial. The maximum aerobic
capacity (Vo2max) was determined using a gas analysis device. The level of
significance for comparing the results before and after the trial was considered
at P < 0.05. RESULTS: According to the data, body weight, body fat percentage,
waist circumference, and abdominal skinfold thickness significantly reduced in
the omega-3 treated group compared to the control group during 8 weeks after the
initiation of the study (P < 0.05). In addition, supplementation of omega-3,
significantly improved the VO2max outcome compared to that of the control group
(P = 0.03). CONCLUSION: According to the results, it seems that omega-3 PUFAS
supplementation with lifestyle modification has positive effects on
anthropometric indices and Vo2max in overweight women.
PMID- 27179167
TI - Effects of Radio Frequency and Ultrasound Cavitation Therapy on Serum C-reactive
Protein and Pro-oxidant-Antioxidant Levels.
AB - BACKGROUND: A combination of radio-frequency (RF) and ultrasound cavitation (UC)
has been reported to reduce indices of obesity. In this study, we aimed to
investigate the effect of a combination of these techniques on anthropometric
indices, pro-oxidant-antioxidant balance (PAB), and serum high-sensitivity C
reactive protein (hs-CRP). MATERIALS AND METHODS: This randomized clinical trial
was performed on 50 healthy women between January 2014 and June 2014 in Ghaem
Hospital, Mashhad, Iran. Participants were randomized to one of two groups, both
of which received a low-calorie diet containing 500-kcal energy deficit per day.
The trial group included twenty-five subjects who were assigned to the combined
treatment of RF and ultrasound cavitation program of abdomen and flank areas.
There were twenty-five control subjects who received the low calorie diet alone.
Biochemical markers, including serum hs-CRP and PAB values, and anthropometric
indices were measured in the intervention group and healthy controls. RESULTS:
For both the intervention and control groups, waist circumference was reduced
significantly by 3.76 +/- 1.69 and 2.40 +/- 1.04, respectively (P < 0.05). In
addition, abdominal circumference was reduced by 9.5 +/- 2.66 and 3.12 +/- 1.88,
in these groups, respectively (P < 0.001). Decrement of PAB level in the
intervention group, and its increment in the control group, were not significant
(P > 0.05). In addition, reductions of hs-CRP and PAB between the two studied
groups during five weeks of study were not significant (P > 0.05). CONCLUSION:
Although there were significant reductions in anthropometric indices following
treatment with RF and UC, the effects on serum PAB or hs-CRP were no
significantly different, compared to the control group. Further studies are
needed to confirm the beneficial effect for the use of these techniques.
PMID- 27179168
TI - Association of the Exotoxin A and Exoenzyme S with Antimicrobial Resistance in
Pseudomonas Aeruginosa Strains.
AB - INTRODUCTION: Pseudomonas aeruginosa is a gram-negative and opportunistic
bacterium that causes opportunistic infections in immunosuppressed patients. The
main purpose of this study was to investigate the association between exotoxin A
and exoenzyme S production with antibiotic resistance patterns. METHODS: The toxA
and exoS genes were detected in 102 clinical isolates by PCR. Antibiotic
susceptibility tests were performed by disk diffusion method (Kirby Bauer). The
Chi-square and Fisher's test were used for evaluation of the association between
"toxins and infections source" and "toxins and antibiotic resistance"
respectively. RESULTS: Frequency of toxA+ and exoS+ strains was 81% and 61%,
respectively. The association between drug resistance and toxA+ genotype was
significant for all antibiotics tested (P < 0.05) except aztreonam; however, no
significant association was observed between drug resistance and exoS gene (P >
0.05). CONCLUSIONS: High frequency of toxA+ resistant strains isolated from
inpatients and significant association between the toxin and drug resistance in
more antibiotics, reinforces possible role of exotoxin A as an extracellular
protein in the regulation of drug resistance genes. The results may be further
verified by Southern blot analysis of toxA and exoS gene expression and
elucidation of the mechanism of antibiotic resistance.
PMID- 27179169
TI - Role of Vitamin D in Cardiovascular Disease.
AB - BACKGROUND: According to many studies, vitamin D deficiency has been linked to
cardiovascular diseases (CV). Other than maintaining skeletal health, vitamin D
has been shown to decrease the risk of developing CV disease such as
hypertension, coronary artery disease (CAD) and thromboembolism. MATERIALS AND
METHODS: To perform a comprehensive review of the current literature on vitamin D
and CV disease, we searched the online database, including PUBMED, Scopus, and
Google Scholar until data inception January 2016. The search term included
"vitamin D", "blood pressure", "hypertension", "coronary artery disease "and
"thrombosis". We only included human studies that were published in English.
RESULTS: A majority of data indicate that there is no relationship between
vitamin D and hypertension, but the association of vitamin D with thrombosis is
yet to be determined. Vitamin D is a fair predictor of adverse outcomes in
coronary artery disease (CAD), which highlights it for future studies.
CONCLUSION: According to research, there is a high prevalence of vitamin D
deficiency among patients with CV diseases, which needs to be diagnosed and
treated.
PMID- 27179170
TI - Genetic Studies in Intellectual Disability and Behavioral Impairment.
AB - Intellectual Disability (ID, also known as mental retardation) is a debilitating
neurodevelopmental disorder affecting nearly 1% of the general population
worldwide. Occurrence of behavioral disorders in individuals with ID is four
times higher than that in the general population. An increasing number of studies
seek to find a common pathway to elucidate brain structure/function and its
contribution to behavior. This article deals with different behavioral disorders
reported in individuals with syndromic and non-syndromic ID and possible
candidate genes, most of which are involved in synaptic formation and function.
Many ID cases with behavior impairments were referred to genetic centers to
identify genetic causes; Therefore, the authors gathered data from their own
studies along with similar published reports, to provide a review on genes
involved in brain development and cognition. In this study, we argued how defects
in genes with diverse functional role may contribute to behavior impairments and
a brain malfunction. Evidences from individual with cognitive impairment as well
as murine and drosophila animal models have been used to show behavioral
consequences of functional deficits in genes speculated to play a role in
cognition and learning.
PMID- 27179171
TI - Left Inguinal Bladder Hernia that Causes Dilatation in the Ureter.
AB - The scrotal bladder hernia is a rare condition that may present as scrotal
swelling and urinary system obstruction or infection symptoms. Diagnosis of this
condition before the operation decreases the severe complications like bladder
injury during operation. In this article, a 75-year-old man presented to our
clinic with right inguinal swelling and lower urinary system infection. Inguinal
bladder hernia was diagnosed after performing a computed tomography. The hernia
was repaired without any complications.
PMID- 27179172
TI - A Clone with 5, 17 and 18 Monosomies as Stemline in a Patient with De novo Acute
Myeloid Leukemia.
AB - In brief, we present a case of acute myeloid leukemia (AML) with 5, 17 and 18
monosomies as stemline clonal abnormality in his cytogenetic analysis. To the
best of our knowledge, this is the first report of such a chromosomal abnormality
as a clonal aberration in AML with M0 French-American-British (FAB) type. It
seems that this monosomal karyotype imposed adverse prognosis on this patient and
could be related to the rapid and malignant course of the disease as seen.
PMID- 27179173
TI - C-doped mesoporous anatase TiO2 comprising 10nm crystallites.
AB - We report a C-doped mesoporous anatase TiO2 with high surface area synthesized
using multi-walled carbon nanotube (MWCNT) mat as a "rigid" template and carbon
doping source. The characterization by SEM, HRTEM, X-ray diffraction and nitrogen
adsorption revealed that TiO2 samples have a porous structure which are
figuratively a inverse copy of MWCNT network and pore walls are formed by
interconnected TiO2 nanoparticles with average diameter of ~10nm. We found that
annealing temperatures from 400 to 1000 degrees C before MWCNT template removal
had very limited effect on particle size (~10nm), surface area (112-129m(2)/g)
and total pore volume (0.74-0.85m(2)/g) of the samples through a significantly
delayed phase transition from anatase to rutile started at 800 degrees C,
resulting in only ~9.1% conversion at 1000 degrees C. The pore size distribution
is in mesopore range from 6 to 60nm peaked at ~24nm. XPS analysis showed a
relatively strong C1s peak at 288.4eV, indicating C doping at Ti sites, which is
responsible for red shift of adsorption edge of UV-vis spectra and photocatalytic
activity in visible-light region.
PMID- 27179174
TI - Efficiency enhancement of dye-sensitized solar cells by use of ZrO2-doped TiO2
nanofibers photoanode.
AB - Due to the good stability and convenient optical properties, TiO2 nanostructures
still the prominent photoanode materials in the Dye Sensitized Solar Cells
(DSCs). However, the well-known low bandgap energy and weak adsorption affinity
for the dye distinctly constrain the wide application. This work discusses the
impact of Zr-doping and nanofibrous morphology on the performance and
physicochemical properties of TiO2. Zr-doped TiO2 nanofibers (NFs), with various
zirconia content (0, 0.5, 1, 1.5 and 2wt%) were prepared by calcination of
electrospun mats composed of polyvinyl acetate, titanium isopropoxyl and
zirconium n-propoxyl. For all formulations, the results have shown that the
prepared materials are continuous, randomly oriented, and good morphology
nanofibers. The average diameter decreased from 353.85nm to 210.78nm after
calcination without a considerable influence on the nanofibrous structure
regardless the zirconia content. XRD result shows that there is no Rutile nor
Brookite phases in the obtained material and the average crystallite size of the
sample is affected by the presence of Zr-doping and changed from 23.01nm to
37.63nm for TiO2 and Zr-doped TiO2, respectively. Optical studies have shown Zr
doped TiO2 NFs have more absorbance in the visible region than that of pristine
TiO2 NFs; the maximum absorbance is corresponding to the NFs having 1wt%
zirconia. The improved spectra of Zr-doped TiO2 in the visible region is
attributed to the heterostructure composition resulting from Zr-doping. The
absorption bandgaps were calculated using Tauc model as 3.202 and 3.217 for
pristine and Zr (1wt%)-doped TiO2 NFs, respectively. Furthermore, in Dye
sensitized Solar Cells, utilizing Zr (1wt%)-doped TiO2 nanofibers achieved higher
efficiency of 4.51% compared to the 1.61% obtained from the pristine TiO2 NFs.
PMID- 27179175
TI - Stray-field NMR diffusion q-space diffraction imaging of monodisperse coarsening
foams.
AB - The technique of stray field diffusion NMR is adapted to study the diffusion
properties of water in monodisperse wet foams. We show for the first time, that
the technique is capable of observing q-space diffusion diffraction peaks in
monodisperse aqueous foams with initial bubble sizes in the range of 50-85MUm.
The position of the peak maximum can be correlated simply to the bubble size in
the foam leading to a technique that can investigate the stability of the foam
over time. The diffusion technique, together with supplementary spin-spin
relaxation analysis of the diffusion data is used to follow the stability and
coarsening behaviour of monodisperse foams with a water fraction range between
0.24 and 0.33. The monodisperse foams remain stable for a period of hours in
terms of the initial bubble size. The duration of this stable period correlates
to the initial size of the bubbles. Eventually the bubbles begin to coarsen and
this is observed in changes in the position of the diffusion diffraction maxima.
PMID- 27179176
TI - Bimodal fibrous structures for tissue engineering: Fabrication, characterization
and in vitro biocompatibility.
AB - We report for the first time a polycaprolactone-human serum albumin (PCL-HSA)
membrane with bimodal structures comprised of spider-web-like nano-nets and
conventional fibers via facile electro-spinning/netting (ESN) technique. Such
unique controllable morphology was developed by electrospinning the blend
solution of PCL (8wt% in HFIP 1,1,1,3,3,3,-Hexafluoro-2-propanol) and HSA (10wt%
deionized water). The phase separation during electrospinning caused the
formation of bimodal structure. Various processing factors such as applied
voltage, feeding rate, and distance between nozzle tip and collector were found
responsible for the formation and distribution of the nano-nets throughout the
nanofibrous mesh. Field emission electron microscopy (FE-SEM) confirmed that the
nano-nets were composed of interlinked nanowires with an ultrathin diameter (10
30nm). When compared with a pure PCL membrane, the membrane containing nano-nets
was shown to have better support for cellular activities as determined by cell
viability and attachment assays. These results revealed that the blending of
albumin, a hydrophilic biomolecule, with PCL, a hydrophobic polymer, proves to be
an outstanding approach to developing membranes with controlled spider-web-like
nano-nets for tissue engineering.
PMID- 27179177
TI - Designing the inner surface corrugations of hollow fibers to enhance CO2
absorption efficiency.
AB - For the first time, a low cost strategy is introduced to enhance the efficiency
of CO2 absorption using gas-liquid membrane contactors. This is implemented by
designing the corrugations in the inner layer of poly(vinyl chloride) hollow
fibers (PVC HFs) through changing the bore fluid composition. In fact, the number
of corrugations in the HF inner layer is engineered via changing the phase
separation time within the inner layer. Such that expedited phase separation
leads to highly corrugated inner layer. In contrast, decelerated phase separation
is responsible for reduced number of inner layer corrugations. Phase separation
causes the initial polymer solution with low viscoelastic moduli to be
transferred into polymer-rich domains with high viscoelastic moduli. These
domains resist against stretching-induced radial forces toward the center of HF;
therefore, the inner layer of HF buckles. Delayed phase separation defers
formation of polymer-rich domains and hence, HF with less corrugated inner
surface is expected. The phase separation within the HF inner layer is controlled
through changing the rate of solvent/nonsolvent exchange. This is conducted by
variation the solvent content in the bore fluid; as higher as solvent content, as
slower as solvent/nonsolvent exchange.
PMID- 27179178
TI - Synthesis and biological evaluation of novel pyrazolopyrimidines derivatives as
anticancer and anti-5-lipoxygenase agents.
AB - A novel series of 6-aryl-3-methyl-1-phenyl-1H-pyrazolo[3,4-d]pyrimidin-4(5H)-ones
3a-h were synthesized in a single step via condensation of carboxamide 2 with
some aromatic aldehydes (presence of iodine). Treatment of aminopyrazole 1a with
acetic anhydride afforded pyrazolopyrimidines 4 which on treatment with ethyl
chloroacetate in refluxing dry DMF furnished a single product identified as ethyl
2-(3,6-dimethyl-4-oxo-1-phenyl-1H-pyrazolo[3,4-d]pyrimidin-5(4H)-yl) acetate 5.
On the other hand, esterification of compound 6 with different alcohol, led to
the formation of new esters linked pyrazolo[3,4-d]pyrimidinones hybrids 7a-f. The
reaction of compound 2 with 3-propargyl bromide gave the compound 8 used as a
dipolarophile to access to triazoles (4- and 5-regioisomers (9a-e) and (10a-e),
respectively) via the 1,3-dipoar cycloaddition reaction. Finally, condensation
reaction of aminopyrazole 1b with alpha-cyanocinnamonitiles gave the new
pyrazolo[1,5-a]pyrimidine-3,6-dicarbonitriles 11a-e. Structures of compounds were
established on the basis of (1)H/(13)C NMR and ESI-HRMS. Compounds were screened
for their cytotoxic (HCT-116 and MCF-7) and 5-lipoxygenase inhibition activities.
The structure-activity relationship (SAR) was discussed.
PMID- 27179179
TI - Inflammation biomarkers and mortality prediction in patients with type 2 diabetes
(ZODIAC-27).
AB - BACKGROUND: C-reactive protein (CRP), procalcitonin (PCT) and pro-adrenomedullin
(MR-proADM) are inflammation markers associated with long-term mortality risk. We
compared the associations and predictive capacities of CRP, PCT and MR-proADM
with cardiovascular and all-cause mortality in patients with type 2 diabetes.
METHODS: This study included primary care treated patients with type 2 diabetes
participating in the ZODIAC cohort study. A total of 1005 out of 1688 patients
(60%) had complete baseline variables. Baseline CRP, PCT and MR-proADM were
assessed in relation to cardiovascular and all-cause mortality with Cox
proportional hazard analyses. Hazard Ratios (HR) were adjusted for age, gender,
BMI, smoking, systolic blood pressure, cholesterol-HDL ratio, duration of
diabetes, HbA1c, history of cardiovascular diseases, albumin-creatinine ratio and
creatinine. Risk prediction capabilities were assessed with Harrell's C
statistics and proportion of explained variance (R(2)). RESULTS: After a median
follow-up of 11 years, 472 (47%) of 1005 patients had died. The likelihood ratio
test showed that CRP and MR-proADM significantly improved prediction in
cardiovascular mortality [HRs 1.20 (95%CI 1.09-1.33) and 1.56 (95%CI 1.06-2.30)]
and in all-cause mortality [HRs 1.10 (95%CI: 1.03-1.18) and 1.31 (95%CI 1.02
1.69)]. Harrell's C values and R(2) measures showed slightly improved
discrimination for cardiovascular mortality in patients without macrovascular
disease (C: 0.80 to 0.81; R(2): 0.50 to 0.52) and MR-proADM (C: 0.80 to 0.82;
R(2): 0.50 to 0.52). CONCLUSIONS: CRP and MR-proADM, but not PCT, were
independently associated with cardiovascular and all-cause mortality. In patients
without macrovascular diseases, CRP and MR-proADM slightly improved
discrimination, in absolute sense, of patients at risk for cardiovascular
mortality.
PMID- 27179180
TI - Physical restraint for psychiatric patients and its associations with clinical
characteristics and the National Mental Health Law in China.
AB - Physical restraint (PR) for patients is an ongoing controversial topic in
psychiatry. This study examined the percentage of PR and its associations with
clinical characteristics and the implementation of the National Mental Health Law
(NMHL) in China. The study consecutively assessed a sample of 1364 psychiatric
inpatients. Socio-demographic and clinical data including use of PR were
collected from the medical records using a form designed for this study and
confirmed via interview. Psychopathology and insight were measured using
standardized instruments. The percentage of PR was 27.2% in the whole sample with
30.7% and 22.4% occurring respectively before and after the NMHL implementation
(p=0.001). In multiple logistic regression analysis PR was positively associated
with unemployment, lower income, aggression in the past month, being admitted
before the NMHL implementation and poorer insight. The percentage of PR in
Chinese psychiatric patients is associated with various clinical factors and
appeared to decrease after the implementation of the NMHL. Focused and
individualized care for patients who are unemployed, have low income, recent
aggression and poor insight would be necessary at early stages of admission.
PMID- 27179181
TI - Depression and anxiety among patients with somatoform disorders, panic disorder,
and other depressive/anxiety disorders in Taiwan.
AB - The aim of this study is to compare the severity of depression and anxiety in
individuals with somatoform disorders, panic disorder, other depressive/anxiety
disorders, and healthy controls in a Han Chinese population. According to the DSM
IV-TR-based diagnostic interviews, we recruited 152 subjects with somatoform
disorders (SG), 56 with panic disorder (PG), 85 with other depressive/anxiety
disorders (OG), and 179 without any psychiatric disorder (NG). The four groups
reported on the Beck Depression Inventory-II (BDI-II) and Beck Anxiety Inventory
(BAI) for depressive and anxiety symptoms, respectively. Correlation analysis and
multivariate regression analysis were used to determine the effects of
demographic factors and psychiatric diagnoses on depressive and anxiety symptoms
separately. BDI-II scores were not significantly different in SG, PG, and OG but
were higher than NG. SG and PG had the highest BAI scores, whereas NG had the
lowest. Multiple linear regression analyses revealed that the associated factors
for BDI-II were gender, residential location, somatoform disorders, panic
disorder, major depressive disorder (MDD), and generalized anxiety disorder,
whereas BAI was significantly associated with somatoform disorders, panic
disorder, and MDD. Our results strongly suggest the inclusion of clinical
assessment of depressive and anxious symptoms in patients with somatoform
disorders.
PMID- 27179182
TI - Relationships between high-density lipoprotein cholesterol and depressive
symptoms: Findings of the Korean National Health and Nutrition Examination Survey
(KNHANES).
AB - Although serum cholesterol has been associated with late-life depression, few
studies on the associations between lipids and depression among middle-aged
adults have been performed. This study examined associations between serum lipid
levels and depressive symptoms in Korean middle-aged adults. We used data from
8207 participants aged 40-64 years who completed a questionnaire about their
experience of depressive symptoms over the last year as part of the 2010-2012
Korean National Health and Nutrition Examination Survey. Higher HDL-C levels were
significantly associated with an elevated risk of depressive symptoms (OR=1.32;
95% CI=1.09-1.60) after adjusting for other covariates.
PMID- 27179183
TI - Coping with suicidal urges among youth seen in a psychiatric emergency
department.
AB - This study of youth seeking psychiatric emergency department (ED) services
examined (1) youth self-efficacy to use suicide-specific coping strategies, (2)
whether these self-efficacy beliefs varied by demographic and clinical
characteristics, (3) and associations of these beliefs with suicide attempts and
ED visits 3-5 months later. Participants were 286 psychiatric ED patients (59%
Female), ages 13-25. Ratings of self-efficacy to engage in 10 suicide-specific
coping behaviors were assessed at index visit. A total of 226 participants (79%)
were assessed 3-5 months later. Youth endorsed low-to-moderate self-efficacy for
different suicide-specific coping behaviors, with lowest ratings endorsed for
limiting access to lethal means and accessing professional resources. More severe
baseline psychopathology was associated with lower self-efficacy. Males endorsed
higher self-efficacy for coping behaviors not requiring external support. Lower
coping self-efficacy for some of the key strategies, and lower confidence that
these strategies will be helpful, differentiated those with and without follow-up
suicide attempts and ED visits. The generally low-to-moderate confidence in
youths' ability to engage in coping behaviors to manage suicidal crises, and its
association with follow-up suicidal crises, is concerning because many of these
strategies are commonly included as part of discharge recommendations or safety
planning. Implications of findings are discussed.
PMID- 27179184
TI - A strengths-based case management service for people with serious mental illness
in Israel: A randomized controlled trial.
AB - Case management services for people with serious mental illness are generally
found to be effective, but controlled and randomized studies assessing such
services are scarce. The aim of the present study was to assess the effectiveness
of a new strengths-based case management (SBCM) service in Israel, using a
randomized controlled approach. The sample consisted of 1276 individuals with
serious mental illness, who consume psychiatric rehabilitation services (PRS) in
the community, and were randomly assigned to receive or not to receive the SBCM
service in addition to treatment-as-usual PRS. Quality of life, goal setting and
attainment, unmet needs, self-efficacy, interpersonal relationships, symptom
severity, and service utilization were assessed by clients at onset and after 20
months. Results show that SBCM participants improved in self-efficacy, unmet
needs, and general quality of life, and set more goals than the control group.
SBCM participants also consumed fewer services at follow-up. Results suggest that
SBCM services are effective in helping individuals with serious mental illness
set personal goals and use PRS in a better and more focused manner.
PMID- 27179185
TI - Development and validation of a rapid and sensitive UPLC-MS/MS method for
determination of uracil and dihydrouracil in human plasma.
AB - Quantification of the endogenous dihydropyrimidine dehydrogenase (DPD) substrate
uracil (U) and the reaction product dihydrouracil (UH2) in plasma might be
suitable for identification of patients at risk of fluoropyrimidine-induced
toxicity as a result of DPD deficiency. In this paper, we describe the
development and validation of a rapid and sensitive ultra-performance liquid
chromatography-tandem mass spectrometry (UPLC-MS/MS) assay for quantification of
U and UH2 in human plasma. Analytes were extracted by protein precipitation,
chromatographically separated on an Acquity UPLC((r)) HSS T3 column with gradient
elution and analyzed with a tandem mass spectrometer equipped with an
electrospray ionization source. U was quantified in the negative ion mode and UH2
in the positive ion mode. Stable isotopes for U and UH2 were used as internal
standards. Total chromatographic run time was 5min. Validated concentration
ranges for U and UH2 were from 1 to 100ng/mL and 10 to 1000ng/mL, respectively.
Inter-assay bias and inter-assay precision for U were within +/-2.8% and <=12.4%.
For UH2, inter-assay bias and inter-assay precision were within +/-2.9% and
<=7.2%. Adequate stability of U and UH2 in dry extract, final extract, stock
solution and plasma was demonstrated. Stability of U and UH2 in whole blood was
only satisfactory when stored up to 4hours at 2-8 degrees C, but not at ambient
temperatures. An accurate, precise and sensitive UPLC-MS/MS assay for
quantification of U and UH2 in plasma was developed. This assay is now applied to
support clinical studies with fluoropyrimidine drugs.
PMID- 27179186
TI - Comparative assessment of bioanalytical method validation guidelines for
pharmaceutical industry.
AB - The concepts, importance, and application of bioanalytical method validation have
been discussed for a long time and validation of bioanalytical methods is widely
accepted as pivotal before they are taken into routine use. United States Food
and Drug Administration (USFDA) guidelines issued in 2001 have been referred for
every guideline released ever since; may it be European Medical Agency (EMA)
Europe, National Health Surveillance Agency (ANVISA) Brazil, Ministry of Health
and Labour Welfare (MHLW) Japan or any other guideline in reference to
bioanalytical method validation. After 12 years, USFDA released its new draft
guideline for comments in 2013, which covers the latest parameters or topics
encountered in bioanalytical method validation and approached towards the
harmonization of bioanalytical method validation across the globe. Even though
the regulatory agencies have general agreement, significant variations exist in
acceptance criteria and methodology. The present review highlights the
variations, similarities and comparison between bioanalytical method validation
guidelines issued by major regulatory authorities worldwide. Additionally, other
evaluation parameters such as matrix effect, incurred sample reanalysis including
other stability aspects have been discussed to provide an ease of access for
designing a bioanalytical method and its validation complying with the majority
of drug authority guidelines.
PMID- 27179187
TI - Quantitative analysis of tivantinib in rat plasma using ultra performance liquid
chromatography with tandem mass spectrometry.
AB - In this work, a simple, sensitive and fast ultra performance liquid
chromatography with tandem mass spectrometry (UPLC-MS/MS) method was developed
and validated for the quantitative determination of tivantinib in rat plasma.
Plasma samples were processed with a protein precipitation. The separation was
achieved by an Acquity UPLC BEH C18 (2.1mm*50mm, 1.7MUm) column with a gradient
mobile phase consisting of 0.1% formic acid in water and acetonitrile. Detection
was carried out using positive-ion electrospray tandem mass spectrometry via
multiple reaction monitoring (MRM). The validated method had an excellent
linearity in the range of 1.0-100ng/mL (r(2)>0.9967) with a lower limit of
quantification (1.0ng/mL). The extraction recovery was in the range of 79.4-84.2%
for tivantinib and 80.3% for carbamazepine (internal standard, IS). The intra-
and inter-day precision was below 8.9% and accuracy was from -7.2% to 9.5%. No
notable matrix effect and astaticism was observed for tivantinib. The method has
been successfully applied to a pharmacokinetic study of tivantinib in rats for
the first time, which provides the basis for the further development and
application of tivantinib.
PMID- 27179188
TI - Liquid chromatography-tandem mass spectrometric assay for ponatinib and N
desmethyl ponatinib in mouse plasma.
AB - Ponatinib is a multi-targeted third generation BCR-ABL1 tyrosine-kinase inhibitor
approved for specific types of leukemia. A bioanalytical assay for this drug and
its N-desmethyl metabolite in mouse plasma was developed and validated using
liquid chromatography-tandem mass spectrometric (LC-MS/MS) with liquid-liquid
extraction as sample pre-treatment procedure. After extraction with tert-butyl
methyl ether of both analytes with their isotopically labeled internal standards
and evaporation and reconstitution of the extract, compounds were separated by
reversed-phase liquid chromatography under alkaline conditions. After
electrospray ionization, both compounds were quantified in the selected reaction
monitoring mode of a triple quadrupole mass spectrometer. The linear assay was
validated in the ranges 5-5000ng/ml for ponatinib and 1-1000ng/ml for N-desmethyl
ponatinib. Within-run (n=18) and between-run (3 runs; n=18) precisions were 10%
and 12% at the lower limit of quantification for the metabolite, all other
precisions were <=8% for the metabolite and <=6% for ponatinib. Accuracies were
between 92 and 108% for both compounds in the whole calibration range. The drug
was sufficiently stable under most relevant analytical conditions, only ponatinib
showed more than 15% hydrolytic degradation after storage for 6h and longer at
ambient temperature in mouse plasma. Finally, the assay was successfully applied
to determine plasma drug levels and study pharmacokinetics after oral
administration of ponatinib to female FVB mice.
PMID- 27179189
TI - Determination of dexmedetomidine in children's plasma by ultra-performance liquid
chromatography tandem mass spectrometry and application to pharmacokinetic study.
AB - A rapid, sensitive, and selective ultra-performance liquid chromatography tandem
mass spectrometry (UPLC-MS/MS) was developed and validated for the determination
and pharmacokinetic investigation of dexmedetomidine in children's plasma. Sample
preparation was accomplished through a simple one-step deproteinization procedure
with 0.2mL of acetonitrile to a 0.1mL plasma sample. Plasma samples were
separated by UPLC on an Acquity UPLC BEH C18 column using a mobile phase
consisting of acetonitrile-0.1% formic acid in water with gradient elution. The
total run time was 3.1min and the elution of dexmedetomidine was at 1.24min. The
detection was performed on a triple quadrupole tandem mass spectrometer in the
multiple reaction-monitoring mode using the respective transitions m/z 201.3
>95.1 for dexmedetomidine and m/z 204.2->98.0 for the internal standard,
respectively. The calibration curve was linear over the range of 0.05-10ng/mL
with a lower limit of quantitation of 0.05ng/mL. Mean recovery rate of
dexmedetomidine in plasma was in the range of 86.7-89.1%. Intra-day and inter-day
precision were both <11.6%. This method was successfully applied in
pharmacokinetic study after commencement of 1.0MUg/kg dexmedetomidine infusion in
children.
PMID- 27179190
TI - A robust LC-MS/MS method for the determination of pidotimod in different
biological matrixes and its application to in vivo and in vitro pharmacokinetic
studies.
AB - Pidotimod, (R)-3-[(S)-(5-oxo-2-pyrrolidinyl) carbonyl]-thiazolidine-4-carboxylic
acid, was frequently used to treat children with recurrent respiratory
infections. Preclinical pharmacokinetics of pidotimod was still rarely reported
to date. Herein, a liquid chromatography-tandem mass spectrometry (LC-MS/MS)
method was developed and validated to determine pidotimod in rat plasma, tissue
homogenate and Caco-2 cells. In this process, phenacetin was chosen as the
internal standard due to its similarity in chromatographic and mass
spectrographic characteristics with pidotimod. The plasma calibration curves were
established within the concentration range of 0.01-10.00MUg/mL, and similar
linear curves were built using tissue homogenate and Caco-2 cells. The
calibration curves for all biological samples showed good linearity (r>0.99) over
the concentration ranges tested. The intra- and inter-day precision (RSD, %)
values were below 15% and accuracy (RE, %) was ranged from -15% to 15% at all
quality control levels. For plasma, tissue homogenate and Caco-2 cells, no
obvious matrix effect was found, and the average recoveries were all above 75%.
Thus, the method demonstrated excellent accuracy, precision and robustness for
high throughput applications, and was then successfully applied to the studies of
absorption in rat plasma, distribution in rat tissues and intracellular uptake
characteristics in Caco-2 cells for pidotimod.
PMID- 27179191
TI - Attention and executive functions in the early course of pediatric epilepsy.
AB - OBJECTIVE: Our prospective study aimed at exploring attention and executive
functions in children with new-onset epilepsy prior to and during the early
course of antiepileptic treatment. Sociodemographic and epilepsy-related factors
were analyzed as potential predictors both of impaired cognitive functions as
well as for changes in cognitive functioning in the early course of illness.
METHODS: From a total group of 115 children aged six to 17years without major
disabilities, 76 children were assessed longitudinally with a screening tool for
attention and executive functions (EpiTrack Junior(r)). Sociodemographic
variables (gender, age at epilepsy onset, need of special education) and epilepsy
related variables (etiology of epilepsy, semiology of seizures, number of
seizures) were considered as potential predictors for impaired functions prior to
treatment and for deterioration/amelioration in cognitive functions in the early
course. RESULTS: Attention and executive functions of children with new-onset
epilepsy were significantly more often impaired when compared with a healthy
population, but less often when compared with children with chronic epilepsy. The
majority of children showed stable cognitive functioning in the early course of
treatment. The risk of impaired cognitive functions was significantly heightened
when etiology of epilepsy was unknown or not classifiable. The chance for
improvement of functioning was lowered by having a genetic epilepsy, or an
unknown semiology of seizures. CONCLUSIONS: Children with new-onset epilepsy are
at high risk for impaired attention and executive functions even prior to
antiepileptic treatment, especially when etiology of their epilepsy remains
unclear. The high stability of cognitive functioning in the early course can be
used in counseling of families who worry about negative side effects of drug
treatment. Finally, a systematic assessment of cognitive functions in children
with new-onset epilepsy is necessary to detect subtle deficits in the early
course and adjust treatment accordingly.
PMID- 27179192
TI - Social cognition in temporal lobe epilepsy: A systematic review and meta
analysis.
AB - Objective: There is increasing evidence suggesting that social cognitive
abilities are impaired in temporal lobe epilepsy (TLE), the most common form of
focal epilepsies. Methods: In this meta-analysis, 31 studies investigating theory
of mind (ToM) and facial emotion recognition performances of 1356 patients with
TLE (351 postsurgery) and 859 healthy controls were included. Results: Patients
with TLE had significant deficits in ToM (d = 0.73-0.89) and recognition of
facial emotions. There were no significant differences in severity of social
cognitive deficits between patients with TLE with or without medial temporal
lobectomy. Earlier onset of seizures was associated with ToM impairment. Right
sided TLE was associated with more severe deficits in recognition of fear,
sadness, and disgust. Conclusions: Social cognitive information processing is
impaired in TLE, and the potential role of these deficits in functional
impairment needs to be further investigated.
PMID- 27179193
TI - Psychoses in epilepsy: A comparison of postictal and interictal psychoses.
AB - We retrospectively analyzed data of patients with epilepsy (n=1434) evaluated
with prolonged EEG monitoring in order to estimate the prevalence of postictal
psychosis (PP) and interictal psychosis (IP), to investigate a potential
association of psychosis subtype with epilepsy type, and to assess differences
between PP and IP. The overall prevalence of psychosis was 5.9% (N=85);
prevalence of PP (N=53) and IP (N=32) was 3.7% and 2.2%, respectively. Of
patients with psychosis, 97.6% had localization-related epilepsy (LRE).
Prevalence of psychosis was highest (9.3%) in patients with temporal lobe
epilepsy (TLE). When comparing PP with IP groups on demographic, clinical, and
psychopathological variables, patients with IP were younger at occurrence of
first psychosis (P=0.048), had a shorter interval between epilepsy onset and
first psychosis (P=0.002), and more frequently exhibited schizophreniform traits
(conceptual disorganization: P=0.008; negative symptoms: P=0.017) than those with
PP. Postictal psychosis was significantly associated with a temporal seizure
onset on ictal EEG (P=0.000) and a higher incidence of violent behavior during
psychosis (P=0.047). To conclude, our results support the presumption of a
preponderance of LRE in patients with psychosis and that of a specific
association of TLE with psychosis, in particular with PP. Given the significant
differences between groups, PP and IP may represent distinct clinical entities
potentially with a different neurobiological background.
PMID- 27179194
TI - White matter disruption is associated with persistent seizures in tuberous
sclerosis complex.
AB - BACKGROUND AND AIMS: White matter is diffusely altered in tuberous sclerosis
complex (TSC), and these alterations appear to be more evident in subjects with a
more severe neurologic phenotype. However, little is known on the correlation
between white matter alterations and epilepsy in TSC. The aims of this study were
to evaluate the effects of early onset and refractory seizures on white matter by
using diffusion tensor imaging (DTI). METHODS: We enrolled 20 children with TSC
and epilepsy onset in the first 3years of life and grouped them according to
seizure persistence or freedom. All patients underwent brain MRI with DTI.
Specific ROIs have were placed to generate tracks to calculate fractional
anisotropy (FA) and apparent diffusion coefficient (ADC). Statistical analysis
was performed by ANOVA. RESULTS: Children with persistent seizures presented an
overall reduced FA, with statistically significant differences on the cingulum
(right p=0.003, left p=0.016), the left cerebral peduncle (p=0.020), the superior
cerebellar peduncles (right p=0.008, left p=0.002), the posterior limbs of
internal capsule (right p=0.037, left p=0.015), the external capsule (right
p=0.018, left p=0.031), the inferior frontooccipital fasciculus (right p=0.010,
left p=0.026), and the temporal trunk (right p=0.017, left p=0.001). CONCLUSIONS:
Our study demonstrated that children with persistent seizures present more
significant alterations of brain connectivity in areas crucial for global
cognitive maturation, executive functions, and verbal abilities, implying a
higher risk of cognitive impairment, attention-deficit hyperactivity disorder,
and autism.
PMID- 27179195
TI - Complementary and alternative medicines use among pediatric patients with
epilepsy in a multiethnic community.
AB - OBJECTIVE: Prior studies highlighted that patients on chronic medications who
used complementary and alternative medicines (CAMs) did not share this
information with their healthcare providers. Furthermore, there might be
potential adverse interactions between CAMs and antiepileptic drug (AED) therapy.
However, there are no studies that investigate the effect of religion or race on
CAM use in a multiethnic community. Therefore, we aimed to investigate CAM usage
among pediatric patients with epilepsy (PPE) and identify predictors of CAM usage
in our multiethnic setting. METHODS: This was a cross-sectional study where
caregivers of recruited at an outpatient epilepsy clinic between September 2013
and July 2014 completed a self-administered survey. Those who declined
participation, lacked understanding of English or Mandarin, or missed the clinic
appointments were excluded. Those caring for more than one patient participated
only once. RESULTS: Of the 195 surveys collected, 178 were used for analysis. The
PPE used an average of 1.50 AEDs (range: 0-4). Forty-nine (27.5%) caregivers
reported giving CAMs to their PPE. Commonly used CAMs were multivitamins (44.4%),
traditional herbs (42.2%), and acupuncture (17.4%). Multivitamins were mostly
given by Christian/Catholic caregivers while Buddhist caregivers mostly gave
traditional herbs or acupuncture. The majority of the CAM users were Chinese.
Univariate analysis showed that caregivers with secondary school (high school)
education were 3.52 times more likely to use CAMs compared to those with primary
school (elementary school) education. CONCLUSIONS: While some caregivers gave
CAMs to their PPE, they had various misconceptions and did not discuss the usage
with their healthcare providers. We propose that the predictors identified in
this study can help to identify potential CAM users. Healthcare providers should
routinely probe about the usage of CAMs by PPE, and thereafter, the suitability
of CAM use could be evaluated. The caregivers will benefit from open discussion
with healthcare professionals on the safe use of AEDs with or without CAMs.
PMID- 27179196
TI - Electrochemical study of quinone redox cycling: A novel application of DNA-based
biosensors for monitoring biochemical reactions.
AB - This paper presents the results of an experimental investigation of voltammetric
and impedimetric DNA-based biosensors for monitoring biological and chemical
redox cycling reactions involving free radical intermediates. The concept is
based on associating the amounts of radicals generated with the electrochemical
signals produced, using differential pulse voltammetry (DPV) and electrochemical
impedance spectroscopy (EIS). For this purpose, a pencil graphite electrode (PGE)
modified with multiwall carbon nanotubes and poly-diallydimethlammonium chloride
decorated with double stranded fish sperm DNA was prepared to detect DNA damage
induced by the radicals generated from a redox cycling quinone (i.e., menadione
(MD; 2-methyl-1,4-naphthoquinone)). Menadione was employed as a model compound to
study the redox cycling of quinones. A direct relationship was found between free
radical production and DNA damage. The relationship between MD-induced DNA damage
and free radical generation was investigated in an attempt to identify the
possible mechanism(s) involved in the action of MD. Results showed that DPV and
EIS were appropriate, simple and inexpensive techniques for the quantitative and
qualitative comparisons of different reducing reagents. These techniques may be
recommended for monitoring DNA damages and investigating the mechanisms involved
in the production of redox cycling compounds.
PMID- 27179197
TI - How to sell a condom? The impact of demand creation tools on male and female
condom sales in resource limited settings.
AB - Despite condoms being cheap and effective in preventing HIV, there remains an
8billion shortfall in condom use in risky sex-acts. Social marketing
organisations apply private sector marketing approaches to sell public health
products. This paper investigates the impact of marketing tools, including
promotion and pricing, on demand for male and female condoms in 52 countries
between 1997 and 2009. A static model differentiates drivers of demand between
products, while a dynamic panel data estimator estimates their short- and long
run impacts. Products are not equally affected: female condoms are not affected
by advertising, but highly affected by interpersonal communication and HIV
prevalence. Price and promotion have significant short- and long-run effects,
with female condoms far more sensitive to price than male condoms. The design of
optimal distribution strategies for new and existing HIV prevention technologies
must consider both product and target population characteristics.
PMID- 27179198
TI - An elicitation of utility for quality of life under prospect theory.
AB - This paper performs several tests of decision analysis applied to the health
domain. First, we conduct a test of the normative expected utility theory.
Second, we investigate the possibility to elicit the more general prospect
theory. We observe risk aversion for gains and losses and violations of expected
utility. These results imply that mechanisms governing decisions in the health
domain are similar to those in the monetary domain. However, we also report one
important deviation: utility is universally concave for the health outcomes used
in this study, in contrast to the commonly found S-shaped utility for monetary
outcomes, with concave utility for gains and convex utility for losses.
PMID- 27179200
TI - Improving the cyanide toxicity tolerance of anaerobic reactor: Microbial
interactions and toxin reduction.
AB - Anaerobic biological treatment of high organics containing wastewater is amongst
the preferred treatment options but poor tolerance to toxins makes its use
prohibitive. In this study, efforts have been made to understand the key
parameters for developing anaerobic reactor, resilient to cyanide toxicity. A
laboratory scale anaerobic batch reactor was set up to treat cyanide containing
wastewater. The reactor was inoculated with anaerobic sludge obtained from a
wastewater treatment plant and fresh cow dung in the ratio of 3:1. The focus was
on acclimatization and development of cyanide-degrading biomass and to understand
the toxic effects of cyanide on the dynamic equilibrium between various microbial
groups. The sludge exposed to cyanide was found to have higher bacterial
diversity than the control. It was observed that certain hydrogenotrophic
methanogens and bacterial groups were able to grow and produce methane in the
presence of cyanide. Also, it was found that hydrogen utilizing methanogens were
more cyanide tolerant than acetate utilizing methanogens. So, effluents from
various industries like electroplating, coke oven plant, petroleum refining,
explosive manufacturing, and pesticides industries which are having high
concentrations of cyanide can be treated by favoring the growth of the tolerant
microbes in the reactors. It will provide much better treatment efficiency by
overcoming the inhibitory effects of cyanide to certain extent.
PMID- 27179199
TI - Village sanitation and child health: Effects and external validity in a
randomized field experiment in rural India.
AB - Over a billion people worldwide defecate in the open, with important consequences
for early-life health and human capital accumulation in developing countries. We
report a cluster randomized controlled trial of a village sanitation intervention
conducted in rural Maharashtra, India designed to identify an effect of village
sanitation on average child height, an outcome of increasing importance to
economists. We find an effect of approximately 0.3 height-for-age standard
deviations, which is consistent with observations and hypotheses in economic and
health literatures. We further exploit details of the planning and implementation
of the experiment to study treatment heterogeneity and external validity.
PMID- 27179201
TI - Variable effects of oxytetracycline on antibiotic resistance gene abundance and
the bacterial community during aerobic composting of cow manure.
AB - Livestock manure is often subjected to aerobic composting but little is known
about the variation in antibiotic resistance genes (ARGs) during the composting
process under different concentrations of antibiotics. This study compared the
effects of three concentrations of oxytetracycline (OTC; 10, 60, and 200mg/kg) on
ARGs and the succession of the bacterial community during composting. Very
similar trends were observed in the relative abundances (RAs) of each ARG among
the OTC treatments and the control during composting. After composting, the RAs
of tetC, tetX, sul1, sul2, and intI1 increased 2-43 times, whereas those of tetQ,
tetM, and tetW declined by 44-99%. OTC addition significantly increased the
absolute abundances and RAs of tetC and intI1, while 200mg/kg OTC also enhanced
those of tetM, tetQ, and drfA7. The bacterial community could be grouped
according to the composting time under different treatments. The highest
concentration of OTC had a more persistent effect on the bacterial community. In
the present study, the succession of the bacterial community appeared to have a
greater influence on the variation of ARGs during composting than the presence of
antibiotics. Aerobic composting was not effective in reducing most of the ARGs,
and thus the compost product should be considered as an important reservoir for
ARGs.
PMID- 27179202
TI - Removal of trimethoprim, sulfamethoxazole, and triclosan by the green alga
Nannochloris sp.
AB - Trimethoprim (TMP), sulfamethoxazole (SMX), and triclosan (TCS) are widely used
and continuously released into aquatic environments. Freshwater algae can be
responsible for the uptake and transfer of the contaminants because they are a
major food source for most aquatic organisms. This research applied incubation
studies to evaluate the removal efficiency of TMP, SMX, and TCS by the green alga
Nannochloris sp. The results showed that the hydrophilic antibiotics TMP and SMX
remained in the algal culture at 100% and 68%, respectively, after 14days of
incubation, and therefore were not significantly removed from the medium.
However, the lipophilic antimicrobial TCS was significantly removed from the
medium. Immediately after incubation began, 74% of TCS dissipated and 100% of TCS
was removed after 7days of incubation. Additionally, over 42% of TCS was found
associated with the algal cells throughout the incubation. The results
demonstrate that the presence of Nannochloris sp. eliminated TCS in the aquatic
system, but could not significantly remove the antibiotics TMP and SMX. The
removal mechanisms of SMX and TCS were found to be different in the algal
culture. Algae-promoted photolysis was the primary process for removing SMX and
algae-mediated uptake played a major role in removing TCS.
PMID- 27179203
TI - Impacts of sanitation upgrading to the decrease of fecal coliforms entering into
the environment in China.
AB - Identifying the sanitation efficacy of reducing fecal contaminations in the
environment is important for evaluating health risks of the public and developing
future management strategies to improve sanitation conditions. In this study, we
estimated the fecal coliforms (FC) entering into the environment in 31 provinces
in China under three sanitation scenarios. Our calculation results indicated
that, the current FC release is disparate among regions, and the human releases
in the rural regions were dominant, accounting for over 90% of the total human
releases. Compared with the human release, the FC release from the livestock was
of similar magnitude, but has a quite different spatial distribution. In China
Women's Development Program, the Chinese government set the target to make over
85% of the population in the rural access to the toilets in 2020. If the target
set by the Chinese government is achieved, a decrease of 34% (12-54%) in the FC
releases would be anticipated. In the future, the improvement in sanitation and
accesses to the safe drinking water in the less developed regions, such as Tibet,
Qinghai, and Ningxia, should be considered as a priority.
PMID- 27179204
TI - Occurrence and sources of brominated and organophosphorus flame retardants in
dust from different indoor environments in Barcelona, Spain.
AB - In this study, the simultaneous presence of eight polybrominated diphenyl ethers
(PBDEs), nine new brominated flame retardants (NBFRs) and ten organophosphorus
flame retardants (OPFRs) was investigated in dust samples collected from
different indoor environments (homes, schools, theatres, a university and a
Research Institute) in Barcelona, Spain. OPFRs were detected at the highest
concentrations followed by PBDEs. ?OPFRs ranged from 2053 to 72,090ngg(-1) and
tris(2-chloroisopropyl) phosphate (TCIPP) was the most abundant compound. BDE-209
was the main PBDE congener detected (up to 14,990ngg(-1)), while other PBDEs
ranged from 2.6 to 118ngg(-1). Among the studied NBFRs, decabromodiphenyl ethane
(DBDPE - up to 4432ngg(-1)) followed by bis(2-ethylhexyl) tetrabromophthalate
(BEH-TEBP - up to 508ngg(-1)) were detected at the highest concentration, whereas
a lower detection frequency was observed for 2-ethylhexyl 2,3,4,5
tetrabromobenzoate (EH-TBB), 1,2-bis(2,4,6-tribromophenoxy)ethane (BTBPE),
pentabromotoluene (PBT) and hexabromobenzene (HBB). The levels and profile of
flame retardants (FRs) were characteristic of each environment, where theatres
followed by homes presented the highest concentrations and schools had the lowest
levels. Principal Component Analysis permitted to identify the main sources and
distribution of all FRs, according to specific uses in each environment. The
simultaneous presence of all FR families in indoor dust points to the need to
monitor these compounds to minimize human exposure.
PMID- 27179205
TI - Longitudinal strain of systemic right ventricle correlates with exercise capacity
in adult with transposition of the great arteries after atrial switch.
AB - BACKGROUND: Systemic right ventricle (sRV) dysfunction in d-transposition of the
great arteries following atrial switch (d-TGA) is associated with increased
mortality. We aimed to characterize maladaptive sRV mechanisms in d-TGA patients,
analyzing relation of echocardiographic parameters of sRV systolic function to
objective measurements of exercise capacity. METHODS: Forty-seven adult patients
with d-TGA and atrial switch (mean age 31.6+/-4.2years) underwent conventional
echocardiography, bidimensional strain (2D-strain), cardiac magnetic resonance
(CMR) imaging and cardiopulmonary exercise evaluation on the same day. Those with
median peak oxygen uptake (VO2)>64.5% (n=23) constituted group A, those with
VO2<=64.5% (n=24) constituted group B and 23 healthy age and gender matched
subjects constituted the control group. RESULTS: In group A, global longitudinal
peak systolic 2D-strain (GLS) of sRV was significantly reduced compared to GLS of
normal RV and LV in the healthy control group (p<0.01), however peak longitudinal
2D strain was similar at basal and mid-segment of sRV free wall than normal LV.
In group B, GLS was significantly reduced compared to group A (-10.9+/-2.9% vs
13.1+/-2.3%, p<0.05), mostly due to significant decrease of interventricular
septum longitudinal strain. Other echocardiographic systolic parameters were not
significantly different between groups A and B. Only sRV GLS showed significant
correlation with functional capacity as measured by VO2 (r=0.42, p<0.01), while
CMR RVEF did not. CONCLUSION: GLS of sRV predicts functional capacity and may be
more sensitive than CMR RVEF in detecting early myocardial damage of sRV in
patients with d-TGA and atrial switch.
PMID- 27179206
TI - Circulating endothelial microparticles are elevated in bicuspid aortic valve
disease and related to aortic dilation.
AB - BACKGROUND/OBJECTIVES: The mechanisms underlying aortic dilation in bicuspid
aortic valve (BAV) disease are unknown. Circulating endothelial microparticles
(EMPs) have emerged as biomarkers of endothelial damage. We sought to evaluate
the relationships among EMPs, BAV disease, and aortic dilation. METHODS: Four
evaluations were used. Circulating EMPs (PECAM(+), E-selectin(+)) were compared
between BAV patients and tricuspid aortic valve (TAV) control subjects. The
variables related to circulating EMPs were investigated in BAV patients.
Circulating EMP levels were compared between BAV and TAV patients with a dilated
aorta. Finally, circulating EMPs in BAV patients were evaluated over time with
respect to aortic valve surgery (AVS) or aortic surgery. RESULTS: We observed
higher levels of circulating PECAM(+) EMPs in the BAV patients than in the
control subjects (3.98+/-0.2 vs. 2.39+/-0.4 per log PECAM(+) EMPs/MUl, p=0.001).
Aortic dilation was the most significant variable that correlated with the
PECAM(+) EMP levels in the BAV patients (beta=0.321, p=0.008). The BAV patients
with aortic dilation exhibited higher PECAM(+)EMP levels than the TAV patients
with dilated aortas, and this correlation was independent of aortic valve
function. We observed a drastic decrease in the circulating PECAM(+) EMPs
following AVS and aortic root replacement (4.27+/-0.6 and 1.75+/-0.3 per log
PECAM(+)EMPs/MUl, p=0.002). CONCLUSION: The observed pattern of higher
circulating PECAM(+) EMP levels links BAV disease to endothelial damage and
aortic dilation. Circulating PECAM(+) EMPs were identified as a biological
variable related to aortic dilation in patients with BAV disease.
PMID- 27179207
TI - The HAS-BLED score predicts long-term major bleeding and death in anticoagulated
non-valvular atrial fibrillation patients undergoing electrical cardioversion.
AB - BACKGROUND: Atrial fibrillation (AF) patients eligible for cardioversion tend to
be younger and are at lower risk than 'general' AF clinic populations. We
evaluated the incidence of major bleeding and death, as well as the predictive
value of the HAS-BLED score in non-valvular AF patients who underwent electrical
cardioversion (ECV). METHODS: Consecutive non-valvular AF patients who underwent
ECV were recruited. Major bleeding episodes and mortality were recorded. Factors
associated with both endpoints and the predictive value of the HAS-BLED score
were analysed. RESULTS: 406 patients (281 males; age 66.9+/-10.9years) undergoing
571 ECV were included. After a follow-up of nearly 3years, 20 patients presented
with major bleeding (1.9%/year;) and 26 patients died (2.4%/year). The HAS-BLED
score predicted both major bleeding [c-statistics: 0.77; 95%CI: 0.71-0.83;
p<0.001] and mortality [c-statistics: 0.83; 95%CI: 0.79-0.87; p<0.001]. Variables
associated with bleeding were: renal impairment (HR: 4.35; 95%CI: 1.22-15.52;
p=0.02), poor quality anticoagulation (HR: 3.21; 95%CI: 1.11-9.32; p=0.03),
previous bleeding-predisposition (HR: 5.43; 95%CI: 1.76-16.75; p=0.003) and the
HAS-BLED score (HR: 1.88; 95%CI: 1.34-2.64; p<0.001). Factors associated with
mortality were: age (HR: 1.08; 95%CI: 1.03-1.14; p=0.004), poor quality
anticoagulation (HR: 3.11; 95%CI: 1.15-8.36; p=0.02), previous bleeding
predisposition (HR: 5.90; 95%CI: 1.41-24.65; p=0.01), liver impairment (HR: 9.27;
95%CI:1.64-52.34; p=0.01), the CHA2DS2-VASc score (HR: 1.63; 95%CI: 1.18-2.26;
p=0.003) and the HAS-BLED score (HR: 2.74; 95%CI: 1.86-4.04); p<0.001).
CONCLUSIONS: In AF patients undergoing ECV, major bleeding episodes and mortality
were independently associated with poor quality anticoagulation control and
previous bleeding-predisposition. The HAS-BLED score successfully predicted major
bleeding and mortality.
PMID- 27179208
TI - Obesity and postoperative atrial fibrillation in patients undergoing cardiac
surgery: Systematic review and meta-analysis.
AB - INTRODUCTION: Post-operative atrial fibrillation (POAF) is one of the most common
complications following cardiac surgery. However, it is unclear whether there is
a relationship between obesity and POAF. We thus assessed all available evidence
investigating the association between obesity and POAF, also considering any link
between POAF and other post-operative conditions such as mortality, stroke,
myocardial infarctions and respiratory complications. METHODS: Five electronic
databases were searched and relevant studies were identified. Data was extracted
and meta-analyzed from the identified studies. RESULTS: We found that obese
patients had significantly higher odds of POAF when compared with non-obese
patients (P=0.006). There was also significant heterogeneity among the identified
studies. POAF when compared with no-POAF was associated with an increased risk of
stroke (P<0.0001), 30-day mortality (P=0.005) and respiratory complications
(P<0.00001). However, we found no significant link between POAF and myocardial
infarctions (P=0.79). CONCLUSIONS: Our findings suggest that obesity is
associated with a moderately higher risk of POAF. While POAF is also associated
with an increased incidence of stroke, 30-day mortality and respiratory
complications, further studies must be conducted before conclusions can be made
about the long-term outcomes.
PMID- 27179209
TI - Impact of preparatory coronary protection in patients at high anatomical risk of
acute coronary obstruction during transcatheter aortic valve implantation.
AB - BACKGROUND: This study aimed to assess the effectiveness of preparatory coronary
protection (CP) in patients considered at high risk of acute coronary obstruction
(ACO) after transcatheter aortic valve implantation (TAVI). METHODS: The
Optimized CathEter vAlvular iNtervention (OCEAN-TAVI) Japanese multicenter
registry enrolled 666 consecutive patients. All patients were assessed by
preprocedural multidetector computed tomography. CP using a guide wire with or
without a balloon was prospectively performed according to the following
criteria: 1) coronary height length from the annulus <10mm, 2) evidence of ACO
during balloon aortic valvuloplasty with simultaneous aortic injection, and 3)
shallow valsalva or bulky calcification on the leaflet. The incidence of ACO and
other procedural outcomes were compared between the CP and non-CP groups.
RESULTS: CP was performed in 14.1% of all patients (94/666). ACO had an incidence
of 1.5% (10/666) and mainly occurred in women (70%) and the left coronary artery
(70%). The ACO rate was significantly higher in the CP group than in the non-CP
group (7.4% [7/94] vs. 0.5% [3/572]; p<0.001), although notably 30% of ACO were
occurred in non-CP group. All 10 ACO cases were successfully treated by catheter
intervention, although periprocedural myocardial injury occurred in 42.9% of
patients with CP group and 33.3% of those without CP group. Mortality and other
periprocedural complications did not significantly differ between the 2 groups.
CONCLUSION: The preparatory CP strategy was feasible for the management of ACO
during TAVI, but the complication of ACO was difficult to predict completely.
PMID- 27179210
TI - Coronary heart disease mortality, cardiovascular disease mortality and all-cause
mortality attributable to dietary intake over 20years in Brazil.
AB - BACKGROUND/OBJECTIVES: In the last two decades, in Brazil, there has been a
decreasing trend of consumption of in natura or minimally processed food, while
intake of ultra-processed food has markedly increased. We estimated the
contribution of dietary intake in trends from coronary heart disease mortality
(CHDM), cardiovascular disease mortality (CVDM), and all-cause mortality (ACM)
over 20years in Brazil. METHODS: We used a representative sample of Brazilian
households located in metropolitan areas to estimate dietary intake in 1987/88
and 2008/09. For both periods, we estimated fractions of CHDM, CVDM, and ACM
attributable to healthy (fruits and vegetables) and unhealthy food items (sugar
sweetened beverages, processed and red meat). We also estimated the number of
prevented or postponed deaths attributable to these food items. RESULTS: The
fraction of CHDM attributable to all food items increased from 28.6% in 1987/88
to 38.7% in 2008/09. CVDM attributable to food items increased from 13.7% in 1974
to 19.3% in 2008/09. ACM attributable to all food items increased from 20.1% in
1987/88 to 27.3% in 2008/09. Without the decrease in healthy food item
consumption, and the increase in unhealthy food items, 3195 deaths from coronary
heart disease, 5340 from cardiovascular disease, and 16,970 from all causes could
have been prevented or postponed. CONCLUSIONS: The burden of cardiovascular
diseases and mortality attributable to dietary intake has increased over the last
20years in Brazil. These findings suggest a need for a population prevention
approach, focused on dietary intake to reduce the burden of disease.
PMID- 27179211
TI - Long-term administration of ranolazine attenuates diastolic dysfunction and
adverse myocardial remodeling in a model of heart failure with preserved ejection
fraction.
AB - BACKGROUND: To investigate the effects of chronic administration of ranolazine
(RAN) on experimental model of heart failure with preserved ejection fraction.
METHODS: Seven-weeks old Dahl salt-sensitive rats were fed a high salt diet for
5weeks to induce hypertension. Afterwards, rats continued with a high salt diet
and were administered either with vehicle or RAN (20mg/kg/die, ip) for the
following 8weeks. Control rats were maintained on a low salt diet. RESULTS: While
systolic parameters were not altered, diastolic parameters were changed in high
salt animals. Hemodynamic analysis showed a decreased dP/dt min, increased LVEDP,
longer time constant and steeper slope of the end-diastolic pressure-volume
relationship. Treatment with RAN attenuated these alterations and determined a
reduction in mortality. Additionally, the magnitude of myocardial hypertrophy and
activation of PI3K/Akt pathway were reduced. Alteration in diastolic compliance
as a consequence of elevated myocardial stiffness was confirmed by an increase of
collagen deposition and activation of pro-fibrotic TGF-beta/SMAD3/CTGF signaling.
These effects were counteracted by RAN. High salt rats had a decrease in SERCA2
and an increase in Na(+)/Ca(2+) exchanger (NCX). Treatment with RAN reduced NCX
expression and determined an increment of SERCA2. Moreover, the levels of
nitrotyrosine and oxidized dyhydroethidium were higher in high salt rats. RAN
induced a decrement of oxidative stress, supporting the concept that reduction in
ROS may mediate beneficial effects. CONCLUSIONS: Our findings support the
possibility that diastolic dysfunction can be attenuated by RAN, indicating its
ability to affect active relaxation and passive diastolic compliance.
PMID- 27179212
TI - Excessive exercise habits of runners as new signs of hypertension and arrhythmia.
AB - BACKGROUND: Excessive exercise may induce arrhythmia, and this risk is higher in
middle-aged people. The study aim was to compare the exercise characteristics of
middle-aged runners participating in excessive endurance exercise. METHODS: The
subjects of this study were 552 runners (mean age; 49.0+/-7.4years) without
structural heart disease who performed exercise at least twice per week, had
consistently exercised for at least three years, and had finished at least five
marathons. The arrhythmia runner group (ARG, n=14) and normal runner group (NRG,
n=538) were compared with regard to hemodynamic response, cardiorespiratory
fitness level, training history, number of finished races, finishing times, and
exercise habits. RESULTS: The mean resting systolic (134.0+/-15.8mmHg) and
diastolic (85.8+/-10.9mmHg) blood pressure values indicated pre-hypertension,
while the mean maximal SBP (213.7+/-27.4mmHg) values indicated exercise-induced
hypertension. The VO2max was significantly higher and the maximal DBP was
significantly lower in the ARG than in the NRG (p<0.05). Training history was
significantly longer in the ARG than in the NRG (p<0.05), while the number of
finished marathons, the finishing times in marathons and the exercise frequency
per week didn't differ significantly between the two groups. Exercise intensity
was significantly higher in the ARG than in the NRG (p<0.01). CONCLUSIONS: Middle
aged long-distance runners showed pre-hypertension and exercise-induced
hypertension, and the ARG had higher VO2max values, greater exercise intensities,
and longer training histories than the NRG.
PMID- 27179213
TI - Predictive ability of HAS-BLED, HEMORR2HAGES, and ATRIA bleeding risk scores in
patients with atrial fibrillation. A French nationwide cross-sectional study.
AB - OBJECTIVE: The HAS-BLED, ATRIA, and HEMORR2HAGES risk scores were created to
evaluate individual bleeding risk in atrial fibrillation (AF). We sought to
estimate and compare the predictive ability of these scores for major hemorrhage
in AF, including elderly (>=80years) and non-elderly (<80years) patients.
METHODS: This cross-sectional study is based on the French National Hospital
Database (PMSI), which covers the entire French population. Data from all
patients with an AF diagnosis in 2012 were extracted. Demographic and comorbidity
data were used to calculate the three bleeding risk scores for each patient.
Patients hospitalized with a principal diagnosis of major bleeding were
identified. RESULTS: Of the 533,044 AF patients identified, 53.2% were >=80years;
7013 patients (1.3%) were hospitalized for a bleeding event (1785 for
intracranial hemorrhage). Bleeding occurred more frequently in patients with
higher HAS-BLED, HEMORR2HAGES, and ATRIA scores. In patients >=80years, the c
statistics did not differ (p=0.27) between HAS-BLED (0.54; 95% confidence
interval [CI]: 0.53-0.54), HEMORR2HAGES (0.53; 95% CI: 0.53-0.54), and ATRIA
(0.53; 95% CI: 0.52-0.54). In patients <80years, HAS-BLED (0.59; 95% CI: 0.58
0.60) had a slightly higher c-statistic than HEMORR2HAGES (0.56; 95% CI: 0.55
0.57) and ATRIA (0.55, 95% CI: 0.55-0.56) (p<0.0001). CONCLUSIONS: Given its
simplicity and similar performance, HAS-BLED may be an attractive alternative to
HEMORR2HAGES for estimation of bleeding risk in AF patients <80years. However,
accurate determination of bleeding risk among the elderly is difficult with
existing risk-prediction scores, indicating a clear need for improvement in their
clinical utility.
PMID- 27179214
TI - Gender specific profiles of white coat and masked hypertension impacts on
arterial structure and function in the SardiNIA study.
PMID- 27179216
TI - Emery-Dreifuss muscular dystrophy: the most recognizable laminopathy.
AB - Emery-Dreifuss muscular dystrophy (EDMD), a rare inherited disease, is
characterized clinically by humero-peroneal muscle atrophy and weakness,
multijoint contractures, spine rigidity and cardiac insufficiency with conduction
defects. There are at least six types of EDMD known so far, of which five have
been associated with mutations in genes encoding nuclear proteins. The majority
of the EDMD cases described so far are of the emerinopathy (EDMD1) kind, with a
recessive X-linked mode of inheritance, or else laminopathy (EDMD2), with an
autosomal dominant mode of inheritance. In the work described here, the authors
have sought to describe the history by which EDMD came to be distinguished as a
separate entity, as well as the clinical and genetic characteristics of the
disease, the pathophysiology of lamin-related muscular diseases and, finally,
therapeutic issues, prevention and ethical aspects.
PMID- 27179215
TI - Symmetrical bis-tertiary amines as novel CXCR4 inhibitors.
AB - CXCR4 inhibitors are promising agents for the treatment of cancer metastasis and
inflammation. A series of novel tertiary amine derivatives targeting CXCR4 were
designed, synthesized, and evaluated. The central benzene ring linker and side
chains were modified and optimized to study the structure-activity relationship.
Seven compounds displayed much more potent activity than the reference drug,
AMD3100, in both the binding affinity assay and the blocking of Matrigel invasion
functional assay. These compounds exhibited effective concentration ranging from
1 to 100 nM in the binding affinity assay and inhibited invasion from 65.3% to
100% compared to AMD3100 at 100 nM. Compound IIn showed a 50% suppressive effect
against carrageenan-induced paw inflammation in a mouse model, which was as
effective as the peptidic antagonist, TN14003 (48%). These data demonstrate that
symmetrical bis-tertiary amines are unique CXCR4 inhibitors with high potency.
PMID- 27179217
TI - Histopathological comparison of Kearns-Sayre syndrome and PGC-1alpha-deficient
mice suggests a novel concept for vacuole formation in mitochondrial
encephalopathy.
AB - Despite the current hypotheses about myelinic and astrocytic ion-dyshomeostasis
underlying white (WM) and grey matter (GM) vacuolation in mitochondrial
encephalopathies, there is a paucity of data on the exact mechanism of vacuole
formation. To revisit the concepts of vacuole formation associated with
mitochondrial dysfunction, we performed a comparative neuropathological analysis
in Kearns-Sayre syndrome (KSS) and full-length peroxisome proliferator-activated
receptor-g coactivator-1a (FL-PGC-1a)-deficient mice, a recently proposed
morphological model of mitochondrial encephalopathies. Brain tissues from an
individual with genetically proven KSS (22-year-old man) and aged FL-PGC-1a
deficient and wild-type (male, 70-75-week-old) mice were analysed using
ultrastructural and immunohistochemical methods, with a specific focus on myelin
related, oligodendroglial, axonal and astrocytic pathologies. Besides
demonstrating remarkable similarities in the lesion profile of KSS and FL-PGC-1a
deficient mice, this study first provides morphological evidence for the
identical origin of WM and GM vacuolation as well as for the presence of
intracytoplasmic oligodendroglial vacuoles in mitochondriopathies. Based on these
observations, the paper proposes a theoretical model for the development of focal
myelin vacuolation as opposed to the original concepts of intramyelin oedema.
Placing oligodendrocytes in the centre of tissue lesioning in conditions related
to defects in mitochondria, our observations support the rationale for
cytoprotective targeting of oligodendrocytes in mitochondrial encephalopathies,
and may also have implications in brain aging and multiple sclerosis, as
discussed.
PMID- 27179218
TI - Identification of a novel inherited ALK variant M1199L in the WNT type of
medulloblastoma.
AB - Rearrangements involving the ALK gene were identified in a variety of cancers,
including paediatric tumour neuroblastoma where presence of ALK expression is
also associated with adverse prognosis. Microarrays data indicate that ALK is
expressed in another paediatric tumour - medulloblastoma. Therefore, we
investigated if the ALK gene is mutated in medulloblastoma and performed
simultaneously the molecular profiling of tumours. Tumours from sixty-four
medulloblastoma patients were studied for detection of ALK alterations in exons
23 and 25 using Sanger method. The molecular subtypes of tumours were identified
by detection of mutations in the CTNNB1 gene, monosomy 6 and by
immunohistochemistry using a panel of representative antibodies. Among three ALK
variants detected two resulted in intron variants (rs3738867, rs113866835) and
the third one was a novel heterozygous variant c.3595A>T in exon 23 identified in
the WNT type of tumour. It resulted in methionine to leucine substitution at
codon position 1199 (M1199L) of the kinase domain of ALK protein. Results of
analysis using three in silico algorithms confirmed the pathogenicity of this
single nucleotide variation. The same gene alteration was detected in both
patient and maternal peripheral blood leukocytes indicating an inherited type of
the detected variant. Presence of ALK expression in tumour tissue was confirmed
by immunohistochemistry. The tumour was diagnosed as classic medulloblastoma,
however with visible areas of focal anaplastic features. The patient has been
disease free for 6 years since diagnosis. This is the first evidence of an
inherited ALK variant in the WNT type of medulloblastoma, what altogether with
presence of ALK expression may point towards involvement of the ALK gene in this
type of tumours.
PMID- 27179220
TI - Angiocentric glioma from a perspective of A-B-C classification of epilepsy
associated tumors.
AB - Angiocentric glioma (AG) is a newly-classified, very rare, WHO grade I central
nervous system (CNS) lesion, occurring usually in children and young adults. Only
52 patients with AG have been reported so far, making it one of the rarest
neuropathological entities. Hereby we present two new cases of AG in young
subjects with detailed neuropathological investigations and a neuroradiological
picture along with a brief summary of all already published literature reports of
this tumor. Histopathological examination of the resected tissue from both cases
revealed similar changes characteristic of AG. The tumors were composed of
spindle-like, elongated cells, forming characteristic pseudorosettes around
vessels and diffusively infiltrating surrounding tissue, trapping neurons between
tumor cells. Noticeably, some neoplastic cells encrusting vessels extended far
beyond the main tumor mass. Hypothetically, this may be responsible for the
recurrence of the tumor even in the case of apparently total excision. In
immunohistochemistry, AG cells were glial fibrillary acidic protein (GFAP) and
vimentin positive, also exhibiting a strikingly significant epithelial membrane
antigen (EMA) dot-like staining pattern. In one of the cases, electron microscopy
revealed ependymal differentiation features such as microvilli and cilia. Taken
together, all these data strongly confirm a dual astroglial-ependymal nature of
the tumor. Follow up corroborates benign character of this neoplasm. Both AGs
reported here were immunonegative for the product of the mutated IDH-1 gene what,
according to our best knowledge, has never been reported so far. It may suggest
that in their pathogenesis AGs differ from grade II astrocytomas, which in most
cases harbor a mutation of IDH-1. Noteworthy, neuroimaging in our cases was
relatively characteristic but not conclusive, therefore biopsy (at least) is
mandatory. A newly proposed so called "A-B-C" classification of long-term
epilepsy-associated tumors (LEATs) places AG in a category named ANET. The
authors shortly review the A-B-C classification of LEATs.
PMID- 27179219
TI - Analysis of Olig2 and YKL-40 expression: a
clinicopathological/immunohistochemical study for the distinction between
subventricular zone II and III glioblastomas.
AB - Glioblastomas (GBs) are the most common and lethal primary brain tumors in the
adults. Glioblastomas originates either from astrocytes that have accumulated
mutations and de-differentiated or from neural stem cells within the
subventricular zone (SVZ) in close contact with the vasculature. Recently,
several studies have hypothesized that gliomagenesis occurs in perivascular
niches with highly invasive peripheral proliferating zones. The purpose of our
study was to investigate the pathological and clinical significance of Olig2 and
YKL40 immunoexpression in 152 GBs in relationship to the SVZ II and III. Olig2
expressions were successfully detected in 12 (15.58%) of 77 SVZ type II GBs and
16 (21.3%) of 75 SVZ type III GBs, respectively. YKL-40 expression was observed
in 45 (58.4%) of 77 SVZ type II GBs and in 17 (22.6%) of 75 SVZ type III GBs,
respectively. Stepwise multivariate Cox proportional hazards models were used,
and the prognostic factors to significantly impact OS were: PFS < 54 weeks (HR:
5.86; CI: 3.02-11.33; p = 0.00); radiotherapy (HR: 0.34; CI: 0.18-0.60; p =
0.00); radio- and chemotherapy (HR: 0.05; CI: 0.03-0.10; p = 0.0), and YKL-40+
GBs (HR: 1.61; CI: 1.28-2.31; p = 0.01).
PMID- 27179221
TI - Time-related morphometric studies of neurofilaments in brain contusions.
AB - In forensic pathology age determination of injuries is of key importance. The
purpose of the study was to analyze morphometrically changes in neurofilaments
following the brain contusion and relate them to the length of the time of
survival. To do this, the authors analyzed specimens of brains collected during
medicolegal autopsies. According to the available literature, no such study
involving material from deceased humans was conducted. The researched material
was divided into nine subgroups (10 cases each) according to the time of death of
persons: immediately at the crime site, 12 hours, 24 hours, 2 days, 3 days, 4
days, 5 days, 6 days and 7 days after head trauma. Neurofilaments were
immunohistochemically stained and evaluated quantitatively using the Met-Ilo
computer application. The initial results were then analyzed statistically with
the one way analysis of variance (ANOVA) and the least significant difference
(LSD) tests. It was calculated that there are significant differences in numbers
and area fractions of neurofilaments within 7 days after head trauma. It must be
concluded that morphometric analysis of neurofilaments is a promising method but
further studies are required.
PMID- 27179222
TI - Pelizaeus-Merzbacher disease in patients with molecularly confirmed diagnosis.
AB - Pelizaeus-Merzbacher disease (PMD) is X-linked hypomyelinating leukodystrophy
caused by mutations of the PLP1 gene, which codes the proteolipid protein 1. The
result of mutations is abnormal myelination - hypomyelination and dysmyelination
of cerebral white matter, and in some form of the disease hypomyelinating
peripheral neuropathy. DNA samples from 68 patients suspected of PMD due to the
clinical course and hypomyelination at magnetic resonance imaging (MRI) were
analyzed. Medical history and detailed clinical course of PMD patients were also
analyzed. Different mutations of the PLP1 gene were detected in 14 boys from 11
families (~20%). Amongst the molecularly confirmed patients, 13 presented
classical PMD forms but clinical phenotypes varied in the severity even amongst
siblings. One patient presented a severe connatal form. One mother, obligate
carrier, presented complicated SPG2 (spastic paraparesis). There was no phenotype
genotype correlation in our material. In many cases PMD was suspected with a
delay of many years, sometimes only after birth of another affected child in the
family. Pelizaeus-Merzbacher disease was most frequently misdiagnosed as cerebral
palsy.
PMID- 27179223
TI - Application of molecular imaging combined with genetic screening in diagnosing
MELAS, diabetes and recurrent pancreatitis.
AB - AIM: We report molecular imaging combined with gene diagnosis in a family with 7
members who carried an A3243G mutation in mitochondrial tRNA and p.Thr 137 Met in
cationic trypsinogen (PRSS1) gene presented with mitochondrial encephalomyopathy,
lactic acidosis, and stroke-like episodes (MELAS), diabetes, and recurrent
pancreatitis. MATERIAL AND METHODS: DNA sequencing was used to detect and
validate mitochondrial DNA and PRSS1. We also verified that mitochondrial
heterozygous mutations and c.410 C>T mutation causing p.Thr 137 Met could be
detected in oral epithelial cells or in urine sediment cells. In addition,
molecular imaging was carried out in the affected family members. RESULTS: In
this pedigree, MELAS syndrome accompanied by pancreatitis was an important
clinical feature, followed by diabetes. Heteroplasmy of the mtDNA A3243G and
c.410 C>T mutation of PRSS1 was found in all tissue samples of these patients,
but no mutations were found in 520 normal control and normal individuals of the
family. However, based on molecular imaging observations, patients with
relatively higher lactate/pyruvate levels had more typical and more severe
symptoms, particularly those of pancreatic disease (diabetes or pancreatitis).
CONCLUSIONS: MELAS syndrome may be associated with pancreatitis. For the
diagnosis, it is more reasonable to perform molecular imaging combined with gene
diagnosis.
PMID- 27179224
TI - Late dissemination via cerebrospinal fluid of papillary tumor of the pineal
region: a case report and literature review.
AB - Papillary tumor of the pineal region (PTPR) represents a recently described
entity and was included in the 2007 World Health Organization (WHO)
classification of central nervous system tumors. The biological and clinical
behavior of PTPR is variable and may correspond to WHO grades II or III.
Papillary tumor of the pineal region can show aggressive biological behavior with
local relapses and dissemination via the cerebrospinal fluid. Several cases of
PTPR with leptomeningeal seeding and multiple lesions or spinal metastasis have
been reported. We present an unusual clinical history of papillary tumor of the
pineal region with ventricular and spinal dissemination five years after primary
surgical treatment.
PMID- 27179225
TI - Rosette-forming glioneuronal tumour of the fourth ventricle: case report and
review of the literature.
AB - Rosette-forming glioneuronal tumour (RGNT) of the fourth ventricle is one of the
newly described primary tumours of the central nervous system. These tumours have
two components of both neurocytic and glial areas but usually the glial component
of the tumour predominates. They have biphasic cytoarchitecture with two
elements; neurocytic rosettes resembling Homer-Wright rosettes, and astrocytic
component resembling a pilocytic astrocytoma. They are low-grade tumours with
lack of histopathological signs of malignancy. Here, clinical, magnetic
resonance, computed tomography (CT) and pathological features of rosette-forming
glioneuronal tumour of posterior fossa are presented. A 29-year-man was admitted
with an acute neurological deterioration. A three ventricular hydrocephalus and a
hypo-density around vermis in the posterior fossa were seen in his CT scans. He
did well after an emergency external ventricular drainage. He had an elective
operation and a mass that was reported to be a rosette-forming glioneuronal
tumour of the fourth ventricle was excised.
PMID- 27179226
TI - Clinical strategies for the management of intestinal obstruction and pseudo
obstruction. A Delphi Consensus study of SICUT (Societa Italiana di Chirurgia
d'Urgenza e del Trauma).
AB - BACKGROUND: Intestinal obstructions/pseudo-obstruction of the small/large bowel
are frequent conditions but their management could be challenging. Moreover, a
general agreement in this field is currently lacking, thus SICUT Society designed
a consensus study aimed to define their optimal workout. METHODS: The Delphi
methodology was used to reach consensus among 47 Italian surgical experts in two
study rounds. Consensus was defined as an agreement of 75.0% or greater. Four
main topic areas included nosology, diagnosis, management and treatment. RESULTS:
A bowel obstruction was defined as an obstacle to the progression of intestinal
contents and fluids generally beginning with a sudden onset. The panel identified
four major criteria of diagnosis including absence of flatus, presence of >3.5 cm
ileal levels or >6 cm colon dilatation and abdominal distension. Panel also
recommended a surgical admission, a multidisciplinary approach, and a
gastrografin swallow for patients presenting occlusions. Criteria for immediate
surgery included: presence of strangulated hernia, a >10 cm cecal dilatation,
signs of vascular pedicles obstructions and persistence of metabolic acidosis.
Moreover, rules for non-operative management (to be conducted for maximum 72
hours) included a naso-gastric drainage placement and clinical and laboratory
controls each 12 hours. Non-operative treatment should be suspended if any
suspects of intra-abdominal complications, high level of lactates, leukocytosis
(>18.000/mm3 or Neutrophils >85%) or a doubling of creatinine level comparing
admission. Conversely, consensus was not reached regarding the exact timing of CT
scan and the appropriateness of colonic stenting. CONCLUSIONS: This consensus is
in line with current international strategies and guidelines, and it could be a
useful tool in the safe basic daily management of these common and peculiar
diseases. KEY WORDS: Delphi study, Intestinal obstruction, Large bowel
obstruction, Pseudo-obstruction, Small bowel.
PMID- 27179227
TI - Jugular diameter and venous reflux.
AB - OBJECTIVES: Aims of this study were to investigate the prevalence of reflux on
internal jugular veins(IJV) by Valsalva maneuver and to define the association
between reflux of IJV in subjects with both CCSVI and MS. METHODS: We recruited
393 patients with MS and CCSVI. Study participants underwent EchoColor Doppler
exam in order to define IJV diameter at confluence in subclavian (JSd). Subjects
were divided in three groups: group "1=10 mm" (subjects with jugular
diameter equal or more than 10 mm). RESULTS: In our sample the Jugular mean
diameter was 8 +/- 2 mm. There were not significant differences in mean diameter
values in left/right jugular, after grouping jugular diameters into three groups
by mean sample values +/- standard deviation. Veins >=10mm were more observed
than veins <=6 mm. Significant difference were found in male vs female prevalence
of subject included in "JSd>=10 mm" (30.7% vs 16.7%. X2 =22.9622 with df=2
p<0.0001). Regarding the group "JSd>=10 mm", while in males the
Valsalva+/Valsalva- ratio was about 1:3, in females the ratio was about 1:2.
Female are more aged in "JSd>=10 mm" group vs female subjects in "1=10 mm" group
(about 1:2 vs. 1:3).r Moreover jugular dilatations are equally present in left
and right side and it can confirm the wall Miopragia hypothesis. The prevalence
of V+ maneuver grows with the IJV diameter, therefore we presume that IJV
dilatation is linked with the presence of jugular reflux. Further studies are
required to consolidate our observations. KEY WORDS: CCSVI, Jugular vein
diameter, Valsalva maneuver.
PMID- 27179228
TI - Do twisted laser beams evoke nuclear hyperpolarization?
AB - The hyperpolarization of nuclear spins promises great advances in chemical
analysis and medical diagnosis by substantially increasing the sensitivity of
nuclear magnetic resonance (NMR). Current methods to produce a hyperpolarized
sample, however, are arduous, time-consuming or costly and require elaborate
equipment. Recently, a much simpler approach was introduced that holds the
potential, if harnessed appropriately, to revolutionize the production of
hyperpolarized spins. It was reported that high levels of hyperpolarization in
nuclear spins can be created by irradiation with a laser beam carrying orbital
angular momentum (twisted light). Aside from these initial reports however, no
further experimental verification has been presented. In addition, this effect
has so far evaded a critical theoretical examination. In this contribution, we
present the first independent attempt to reproduce the effect. We exposed a
sample of immersion oil or a fluorocarbon liquid that was placed within a low
field NMR spectrometer to Laguerre-Gaussian and Bessel laser beams at a
wavelength of 514.5nm and various topological charges. We acquired (1)H and (19)F
NMR free induction decay data, either during or alternating with the irradiation
that was parallel to B0. We observed an irregular increase in NMR signal in
experiments where the sample was exposed to beams with higher values of the
topological charge. However, at no time did the effect reach statistical
significance of 95%. Given the measured sensitivity of our setup, we estimate
that a possible effect did not exceed a hyperpolarization (at 5mT) of 0.14-6%,
depending on the assumed hyperpolarized volume. It should be noted though, that
there were some differences between our setup and the previous implementation of
the experiment, which may have inhibited the full incidence of this effect. To
approach a theoretical description of this effect, we considered the interaction
of an electron with a plane wave, which is known to be able to induce electronic
(e.g. in rubidium) and subsequent nuclear hyperpolarization. Compared to the
plane wave, the additional transitions caused by a twisted wave are of the order
of 10(-3) less. This suggests that the twist of the laser is unlikely to be
responsible for the hyperpolarization of nuclear spins, unless a new mechanism of
momentum transfer is identified.
PMID- 27179229
TI - LAGB: regular follow-up with an interdisciplinary team is the key to success in
terms of weight loss and complications.
AB - BACKGROUND: In severe obesity, most patients do not respond to conventional
treatment. Bariatric surgery must only be proposed in specific cases. LAGB gives
excellent long-term results if patient scrupulously complies the follow-up. STUDY
AIM: To evaluate patients who comply with the follow-up procedure over time in
terms of weight loss, maintenance of the result, complications and quality of
life. METHODS: 209 patients underwent LAGB between October 1999 and December 2007
and followed for 5 years. all patients were offered interdisciplinary counseling
to update the therapeutic strategy. RESULTS: Out of 92 patients who after two
years had reached the desired weight 58 patients (63%) had a regular follow- up
and, when necessary, specific counseling. 34 patients (37%) who did not plan
follow-up did not maintain the weight loss, and progressively put on weight
between the second and fifth year and had a higher incidence of complications.
(SF-36) established in patients regularly followed improvement of the quality of
life (QoL), up to the fifth year. Short Form Health Survey patients who did not
regularly have follow-up the SF-36 showed a worsening of all the test domains
between the second and the fifth year. CONCLUSIONS: After 5 years, patients with
severe obesity (BMI> 40) who underwent LAGB, that took part in a
interdisciplinary follow-up procedure, obtained a full rehabilitation with a
change of lifestyle, and maintained the weight loss obtained after 24 months. The
perceived quality of life (QoL) shows a progressive improvement throughout the
period of intensive intervention. Long-term efficacy of LAGB depends on a
scrupulous followup and interdisciplinary support. KEY WORDS: LAGB, Obesity,
Psychotherapy, SF-36.
PMID- 27179230
TI - Early cessation and non-response are important and possibly related problems in
growth hormone therapy: An OZGROW analysis.
AB - OBJECTIVE: To investigate growth hormone (GH) treatment and treatment cessation
with respect to efficacy and efficiency. To identify factors that best classify
or predict cessation type: completed treatment (CT), early cessation (EC), or non
response (NR). DESIGN: Observational study (1990-2013) of the Australian GH
Program comparing CT, EC, and NR groups with respect to demographic, clinical,
and response criteria. All patients treated for GH deficiency (GHD; 909), short
stature and slow growth (SSSG; 2144), and Turner Syndrome (TS; 626) were
included. Information was retrieved from the OZGROW database. RESULTS: 51.9% of
patients were EC, 40.7% CT and 7.4% NR.Median treatment durations for NR patients
were often longer than patients who completed treatment. EC and NR groups were
both associated with poor growth response with males
overrepresented.Socioeconomic status differentiated NR (higher) and EC (lower)
groups. CONCLUSIONS: EC was observed at very high rates and appears, generally,
to be a little-recognised but frequent problem in GH therapy.EC and delayed
recognition of NR may be interrelated being differentiated by the decision to
cease or continue treatment following poor response.Poor treatment compliance is
likely a major causal factor in EC.Strategies to address poor response and
compliance have been developed, however, given the scale of these problems, it
may be that long acting GH formulations or individualized treatment need
consideration.
PMID- 27179231
TI - Usefulness of ileostomy defunctioning stoma after anterior resection of rectum on
prevention of anastomotic leakage A retrospective analysis.
AB - PURPOSES: Anastomotic leakage is one of the major complications occurring after
anterior resection of rectum. A defunctioning stoma is usually created routinely
or on surgeons' discretion. The aim of this study was to investigate the
usefulness of temporary ileostomy to prevent anastomotic leakage comparing the
postoperative course of patients with and without defunctioning loop ileostomy.
METHODS: Patients that underwent anterior resection of rectum were recruited. 140
patients were enrolled and divided in two groups: patients without and with
defunctioning loop ileostomy. Patients' characteristics and other useful data
were recorded. A comparison between the two groups was made. The minimum follow
up was 11 months. RESULTS AND CONCLUSIONS: 18.6% of patients had a symptomatic
anastomotic leakage. We observed more anastomotic leakages after medium-low
resections of rectum with anastomosis than after resections with high anastomosis
(15.7% vs 2.9%; p=0.03). There were no significant differences in overall and
related mortality between patients without/with ileostomy. The presence of
ileostomy was not protective towards anastomotic leakage either in the medium-low
resections or in the high ones but it was towards its consequences such as
clinical features. Nevertheless we found a statistically significative difference
between recurrence rate of leakage in patients with and without ileostomy (p
Value=0.009). KEY WORDS: Anterior resection of rectum, Ileostomy, Leakage.
PMID- 27179232
TI - Symptoms of depression and anxiety in youth with type 1 diabetes: A systematic
review and meta-analysis.
AB - INTRODUCTION: The interaction between psychosocial factors and type 1 diabetes is
complex and screening for psychosocial risk factors from diagnosis of type 1
diabetes has been recommended. This is a systematic review and meta-analysis to
address the following questions: (1) How prevalent are symptoms of depression and
anxiety in children and adolescents with type 1 diabetes? (2) Is there an
association of symptoms of depression and anxiety with diabetes management and
glycemic control? MATERIAL AND METHODS: We searched EMBASE, MEDLINE, The Cochrane
Library, and PsycINFO in April 2014 with an update in May 2015. When possible,
data were pooled to estimate summary effects. RESULTS: 14 studies investigated
symptoms of depression and anxiety in children and adolescents with type 1
diabetes. The pooled prevalence of depressive symptoms was 30.04%, 95% CI [16.33;
43.74]. There were correlations between symptom levels and glycemic control as
well as three-way interactions between HbA1c, blood glucose monitoring frequency
or diabetes-specific stress and depression. Symptoms of anxiety were reported for
up to 32% of patients. A negative impact on glycemic control was demonstrated.
CONCLUSIONS: Our analyses confirmed a high prevalence of symptoms of depression
and anxiety in youth with type 1 diabetes that potentially compromise diabetes
management and glycemic control. In our opinion these findings support
recommendations for early screening for psychological comorbidity and regular
psychosocial assessment from diagnosis. Future prospective studies are warranted
to further explore the interaction of symptoms of depression and anxiety with
type 1 diabetes and develop evidence-based treatment models.
PMID- 27179233
TI - Genetic predisposition for high stress reactivity amplifies effects of early-life
adversity.
AB - A dysregulation of the hypothalamus-pituitary-adrenocortical (HPA) axis and the
experience of early-life adversity are both well-established risk factors for the
development of affective disorders, such as major depression. However, little is
known about the interaction of these two factors in shaping endophenotypes of the
disease. Here, we studied the gene-environment interaction of a genetic
predisposition for HPA axis dysregulation with early-life stress (ELS), assessing
the short-, as well as the long-lasting consequences on emotional behavior,
neuroendocrine functions and gene expression profiles. Three mouse lines,
selectively bred for either high (HR), intermediate (IR), or low (LR) HPA axis
reactivity, were exposed to one week of ELS using the limited nesting and bedding
material paradigm. Measurements collected during or shortly after the ELS period
showed that, regardless of genetic background, ELS exposure led to impaired
weight gain and altered the animals' coping behavior under stressful conditions.
However, only HR mice additionally showed significant changes in neuroendocrine
stress responsiveness at a young age. Accordingly, adult HR mice also showed
lasting consequences of ELS, including hyperactive stress-coping, HPA axis
hyperreactivity, and gene expression changes in the Crh system, as well as
downregulation of Fkbp5 in relevant brain regions. We suggest that the genetic
predisposition for high stress reactivity interacts with ELS exposure by
disturbing the suppression of corticosterone release during a critical period of
brain development, thus exerting lasting programming effects on the HPA axis,
presumably via epigenetic mechanisms. In concert, these changes lead to the
emergence of important endophenotypes associated with affective disorders.
PMID- 27179234
TI - The Egyptian Red Sea coastal microbiome: A study revealing differential microbial
responses to diverse anthropogenic pollutants.
AB - The Red Sea is considered one of the youngest oceanic systems, with unique
physical, geochemical and biological characteristics. Tourism, industrialization,
extensive fishing, oil processing and shipping are extensive sources of pollution
in the Red Sea. We analyzed the geochemical characteristics and microbial
community of sediments along the Egyptian coast of the Red Sea. Our sites mainly
included 1) four ports used for shipping aluminum, ilmenite and phosphate; 2) a
site previously reported to have suffered extensive oil spills; and 3) a site
impacted by tourism. Two major datasets for the sediment of ten Red Sea coastal
sites were generated; i) a chemical dataset included measurements of carbon,
hydrogen, nitrogen and sulfur, metals and selected semi-volatile oil; and ii) a
16S rRNA Pyrotags bacterial metagenomic dataset. Based on the taxonomic
assignments of the 16S rRNA Pyrotags to major bacterial groups, we report 30 taxa
constituting an Egyptian Red Sea Coastal Microbiome. Bacteria that degrade
hydrocarbons were predominant in the majority of the sites, particularly in two
ports where they reached up to 76% of the total identified genera. In contrast,
sulfate-reducing and sulfate-oxidizing bacteria dominated two lakes at the
expense of other hydrocarbon metabolizers. Despite the reported "Egyptian Red Sea
Coastal Microbiome," sites with similar anthropogenic pollutants showed unique
microbial community abundances. This suggests that the abundance of a specific
bacterial community is an evolutionary mechanism induced in response to selected
anthropogenic pollutants.
PMID- 27179235
TI - "Small is beautiful" A series of ileo-anal anastomoses performed with the 25 - mm
circular stapler.
AB - AIM: With the idea that a small diameter stapler should cause less sphincter
trauma, we began to use the 25mm circular stapler to perform ileo-pouch-anal
anastomosis (IPAA) and we report our experience. MATERIAL OF STUDY: A
retrospective study using a bowel function questionnaire and a quality of life
questionnaire has been conducted on a group of patients who underwent IPAA using
a 25mm stapler RESULTS: We performed IPAA using a 25mm circular stapler in 37
patients. Postoperative mortality was nil and morbidity was 27%. One anastomotic
stenosis occurred. Long term follow-up information was available on 28 patients.
Mean follow-up was 70 months (range 8-177). Mean number of bowel movements was
4.5 (range 2-10, median 4.5) during the day and 0.9 (range 0-10, median 0) at
night. Out of 28 patients, 19 (68%) were fully continent and 32% had occasional
soiling, no one reported incontinence. All patients except one were able to
withold their stool for more than 15 minutes. Daytime pad use was: never 86%,
occasionally 3%, frequently 11%; nightime pas use was never 86%, occasionally 7%
and frequently 7%. Bowel regulating drugs use was never 82%, occasionally 14%,
regularly 4%. Evacuation difficulties were: never 75%, occasionally 21%,
frequently 4%. DISCUSSION: Our results compare favourably with the literature,
which reports median bowel frequency 6-7.6/24h, 9.4- 33% urgency, 17-44% daytime
soiling and 32-61% nighttime soiling. CONCLUSIONS: Our results must be considered
preliminary but we found the 25-mm stapler safe and adequate to perform IPAA. KEY
WORDS: IPAA, Ulcerative Colitis, Stapler, Function.
PMID- 27179236
TI - Comparison of multiple training models of surgical rotation for third-year
medical students A prospective study.
AB - AIM: We decided to compare five different teaching models to provide the best
training for third-year medical students during their Surgical Rotation.
MATERIALS AND METHODS: Group A got a standard rotation. Group B came to the ward
once a week at half morning, spent 1 hour with the tutor and the rest of the
morning with residents. Group C was divided into smaller groups, each one
assigned 2 times to the ambulatory, to the ward and to the pre-admission service.
Group D came to the ward once a week at early morning, spent 2 hours with the
tutor and the rest of the morning with residents. Group E was divided in 2
smaller groups that were admitted 3 times in the OR and in the ward. Students
filled in an initial and final knowledge-evaluation questionnaire RESULTS: All
the Groups showed a positive learning curve. Group B showed the highest
improvement (p=0.0001). Group A and Group E showed statistically significant
improvements, (p=0.002 and p=0.03). Most of Group A and B students declared that
their experience was poor regarding medical examination, while the majority of
Group C and E defined their experience satisfactory. CONCLUSIONS: Group B
demonstrated the most significant growth and good appreciation from students, but
also Group E and Group C showed a high appreciation rate, maybe due to the
stimulating activity in the operative room and ambulatory. We propose a synthesis
of these models as the best approach, with less crowed groups and ward,
ambulatory and OR activities. KEY WORDS: General surgery, Medical students,
Surgical education, Surgical clerkship, Students training, Surgical rotation.
PMID- 27179237
TI - Polydimethylsiloxane-air partition ratios for semi-volatile organic compounds by
GC-based measurement and COSMO-RS estimation: Rapid measurements and accurate
modelling.
AB - Polydimethylsiloxane (PDMS) shows promise for use as a passive air sampler (PAS)
for semi-volatile organic compounds (SVOCs). To use PDMS as a PAS, knowledge of
its chemical-specific partitioning behaviour and time to equilibrium is needed.
Here we report on the effectiveness of two approaches for estimating the
partitioning properties of polydimethylsiloxane (PDMS), values of PDMS-to-air
partition ratios or coefficients (KPDMS-Air), and time to equilibrium of a range
of SVOCs. Measured values of KPDMS-Air, Exp' at 25 degrees C obtained using the
gas chromatography retention method (GC-RT) were compared with estimates from a
poly-parameter free energy relationship (pp-FLER) and a COSMO-RS oligomer-based
model. Target SVOCs included novel flame retardants (NFRs), polybrominated
diphenyl ethers (PBDEs), polycyclic aromatic hydrocarbons (PAHs), organophosphate
flame retardants (OPFRs), polychlorinated biphenyls (PCBs) and organochlorine
pesticides (OCPs). Significant positive relationships were found between log
KPDMS-Air, Exp' and estimates made using the pp-FLER model (log KPDMS-Air, pp
LFER) and the COSMOtherm program (log KPDMS-Air, COSMOtherm). The discrepancy and
bias between measured and predicted values were much higher for COSMO-RS than the
pp-LFER model, indicating the anticipated better performance of the pp-LFER model
than COSMO-RS. Calculations made using measured KPDMS-Air, Exp' values show that
a PDMS PAS of 0.1 cm thickness will reach 25% of its equilibrium capacity in ~1
day for alpha-hexachlorocyclohexane (alpha-HCH) to ~ 500 years for tris (4-tert
butylphenyl) phosphate (TTBPP), which brackets the volatility range of all
compounds tested. The results presented show the utility of GC-RT method for
rapid and precise measurements of KPDMS-Air.
PMID- 27179239
TI - Soil spreading of liquid olive mill processing wastes impacts leaching of
adsorbed terbuthylazine.
AB - Olive mill waste water (OMWW) is a major byproduct of the three phase olive oil
production process. OMWW has high acidity (pH ~ 4-5), high salt content (EC ~ 5
10 mS cm(-1)), extremely high biological and chemical oxygen demand (BOD and COD
up to 100,000 and 220,000 mg L(-1), respectively), and also high concentrations
of organic compounds such as phenols and polyphenols. As a result, OMWW cannot be
freely discharged into domestic wastewater treatment plants, but on-site
treatment is very expensive and not sufficiently effective. Uses for OMWW such as
agricultural recycling and co-composting were found to be impractical or
expensive. Thus, OMWW is frequently spread on agricultural land for disposal.
However, excessive or uncontrolled spreading of such organic-rich and saline
wastewater could have many deleterious effects on soil quality, including
salinization, phytotoxicity, or contaminant movement. The impact of OMWW on the
leaching of adsorbed terbuthylazine, a soil-applied herbicide, was tested in four
soils of varying physical and chemical properties. Although terbuthylazine
solubility in OMWW is significantly higher than in water, leaching of adsorbed
terbuthylazine from OMWW-treated soils was less than from control treatments. Low
soil organic carbon and clay contents were major factors that contributed to
reduced terbuthylazine leaching after soil treatment with OMWW.
PMID- 27179238
TI - Effect of copper on the performance and bacterial communities of activated sludge
using Illumina MiSeq platforms.
AB - The anaerobic-anoxic-aerobic (A2O) process is a highly efficient sewage treatment
method, which uses complex bacterial communities. However, the effect of copper
on this process and the bacterial communities involved remains unknown. In this
study, a systematic investigation of the effect of persistent exposure of copper
in the A2O wastewater treatment system was performed. An A2O device was designed
to examine the effect of copper on the removal efficiency and microbial community
compositions of activated sludge that was continuously treated with 10, 20, and
40 mg L(-1) copper, respectively. Surprisingly, a decrease in chemical oxygen
demand (COD) and ammonia nitrogen (NH4N) removal efficiency was observed, and the
toxicity of high copper concentration was significantly greater at 7d than at 1d.
Proteobacteria, Bacteroidetes, Acidobacteria, Chlorobi, and Nitrospirae were the
dominant bacterial taxa in the A2O system, and significant changes in microbial
community were observed during the exposure period. Most of the dominant
bacterial groups were easily susceptible to copper toxicity and diversely changed
at different copper concentrations. However, not all the bacterial taxa were
inhibited by copper treatment. At high copper concentration, many bacterial
species were stimulated and their abundance increased. Cluster analysis and
principal coordinate analysis (PCoA) based on operational taxonomic units (OTUs)
revealed clear differences in the bacterial communities among the samples. These
findings indicated that copper severely affected the performance and key
microbial populations in the A2O system as well as disturbed the stability of the
bacterial communities in the system, thus decreasing the removal efficiency.
PMID- 27179240
TI - Bioremediation of lead contaminated soil with Rhodobacter sphaeroides.
AB - Bioremediation with microorganisms is a promising technique for heavy metal
contaminated soil. Rhodobacter sphaeroides was previously isolated from oil field
injection water and used for bioremediation of lead (Pb) contaminated soil in the
present study. Based on the investigation of the optimum culturing conditions and
the tolerance to Pb, we employed the microorganism for the remediation of Pb
contaminated soil simulated at different contamination levels. It was found that
the optimum temperature, pH, and inoculum size for R. sphaeroides is 30-35
degrees C, 7, and 2 * 10(8) mL(-1), respectively. Rhodobacter sphaeroides did not
remove the Pb from soil but did change its speciation. During the bioremediation
process, more available fractions were transformed to less accessible and inert
fractions; in particular, the exchangeable phase was dramatically decreased while
the residual phase was substantially increased. A wheat seedling growing
experiment showed that Pb phytoavailability was reduced in amended soils. Results
inferred that the main mechanism by which R. sphaeroides treats Pb contaminated
soil is the precipitation formation of inert compounds, including lead sulfate
and lead sulfide. Although the Pb bioremediation efficiency on wheat was not very
high (14.78% root and 24.01% in leaf), R. sphaeroides remains a promising
alternative for Pb remediation in contaminated soil.
PMID- 27179241
TI - Inverse modeling of the biodegradation of emerging organic contaminants in the
soil-plant system.
AB - Understanding the processes involved in the uptake and accumulation of organic
contaminants into plants is very important to assess the possible human risk
associated with. Biodegradation of emerging contaminants in plants has been
observed, but kinetical studies are rare. In this study, we analyse experimental
data on the uptake of emerging organic contaminants into lettuce derived in a
greenhouse experiment. Measured soil, root and leaf concentrations from four
contaminants were selected within the applicability domain of a steady-state two
compartment standard plant uptake model: bisphenol A (BPA), carbamazepine (CBZ),
triclosan (TCS) and caffeine (CAF). The model overestimated concentrations in
most cases, when no degradation rates in plants were entered. Subsequently,
biodegradation rates were fitted so that the measured concentrations were met.
Obtained degradation kinetics are in the order, BPA < CAF ~ TCS < CBZ in roots,
and BPA ~ TCS < CBZ << CAF in leaves. Kinetics determined by inverse modeling
are, despite the inherent uncertainty, indicative of the dissipation rates. The
advantage of the procedure that is additional knowledge can be gained from
existing experimental data. Dissipation kinetics found via inverse modeling is
not a conclusive proof for biodegradation and confirmation by experimental
studies is needed.
PMID- 27179242
TI - Detection and quantification of boscalid and its metabolites in honeybees.
AB - Boscalid is a new-generation fungicide that has been detected in several bee
matrices. The objective of this work was to characterize boscalid metabolites in
honeybees based on in vivo experimentation, and next to verify the presence of
theses metabolites into honeybees from colonies presenting troubles. A
methodology based on complementary mass spectrometric tools, namely ultra-high
performance liquid chromatography coupled to high-resolution mass spectrometry
(UHPLC-QToF) or triple quadrupole mass spectrometry (UHPLC-QqQ) was implemented.
Honeybees were sprayed with boscalid, at field rate (to induce the metabolization
process) and the parent compound with its generated metabolites were then
extracted using modified EU-QuEChERS method. The mass characteristics including
exact mass, isotopic profile and mass fragments allowed assuming the structure of
several metabolites. Some of them were unambiguously identified by comparison
with synthesized analytical standards. The metabolites were resulted from
hydroxylation and dechlorination of the parent compound as well as the
substitution of a chlorine atom with an hydroxyl group. The metabolites were then
quantified in bee samples collected from various beehives located in France.
Boscalid and three of its metabolites were present in some samples at a level
ranged between 0.2 and 36.3 ng/g.
PMID- 27179243
TI - Removal of arsenic and cadmium with sequential soil washing techniques using
Na2EDTA, oxalic and phosphoric acid: Optimization conditions, removal
effectiveness and ecological risks.
AB - Testing of sequential soil washing in triplicate using typical chelating agent
(Na2EDTA), organic acid (oxalic acid) and inorganic weak acid (phosphoric acid)
was conducted to remediate soil contaminated by heavy metals close to a mining
area. The aim of the testing was to improve removal efficiency and reduce
mobility of heavy metals. The sequential extraction procedure and further
speciation analysis of heavy metals demonstrated that the primary components of
arsenic and cadmium in the soil were residual As (O-As) and exchangeable
fraction, which accounted for 60% and 70% of total arsenic and cadmium,
respectively. It was determined that soil washing agents and their washing order
were critical to removal efficiencies of metal fractions, metal bioavailability
and potential mobility due to different levels of dissolution of residual
fractions and inter-transformation of metal fractions. The optimal soil washing
option for arsenic and cadmium was identified as phosphoric-oxalic acid-Na2EDTA
sequence (POE) based on the high removal efficiency (41.9% for arsenic and 89.6%
for cadmium) and the minimal harmful effects of the mobility and bioavailability
of the remaining heavy metals.
PMID- 27179244
TI - Contributions of different biomass components to the sorption of 1,2,4
trichlorobenzene under a series of pyrolytic temperatures.
AB - In order to investigate contributions of cellulose (CEL), hemicellulose (HEM),
lignin (LIG) to the sorption capacity of biochar derived from lignocellulose, the
individual component and their artificially modeled biomass mixture (C-H-L) were
pyrolyzed under oxygen-limited condition at various pyrolytic temperatures (i.e.
250, 350, 500, 700 degrees C). The characterization analysis of biochars and
sorption batch experiments were carried out. Variations in physiochemical
property of different component biochars resulted in discrepancies in their
ability to function as sorbents to 1,2,4-trichlorobenzene (1,2,4-TCB). The
maximum mass sorption capacity (Qfm) of 1,2,4-TCB was the greatest on CEL
biochars ranging from 58.31 to 601.20 mg g(-1), and can be best explained by
their huge surface area and micropore volume. Hydrophobic partitioning-sorption
into 'soft' amorphous alkyl carbon may account for the second greatest Qfm (45.09
56.57 mg g(-1)) on HEM biochars under low pyrolytic temperatures (250-350
degrees C) with the lowest surface area. LIG biochars with more compact and
smooth aromatic structure surface may undergo a surface monolayer specific
adsorption. The Qfm (87.86-196.53 mg g(-1)) on C-H-L biochars were largely
dependent on CEL and HEM components for their outstanding sorption capacity and
higher content in biomass. Therefore, the results highlighted the importance of
CEL and HEM components for 1,2,4-TCB sorption to biochar.
PMID- 27179245
TI - Effects of experimental long-term CO2 exposure on Daphnia magna (Straus 1820):
From physiological effects to ecological consequences.
AB - The carbon capture and storage (CCS) technologies that were proposed to mitigate
environmental problems arising from anthropogenic CO2 emissions, also have
potential environmental risks. An eventual CCS leak might induce very low pH
values in the aquatic system. Due to the lack of knowledge of long-term CO2
exposures with very low pH values, this study aims to know the effects and
consequences of such a situation for zooplankton, using the Daphnia magna
experimental model. A CO2 injection system was used to provide the experimental
condition. A twenty-one days experiment with control and low pH treatment (pH =
7) replicates was carried out under light and temperature-controlled conditions.
Survival, individual growth, RNA:DNA ratio, and neonates production were analysed
during the aforementioned period. No differences on survival (except last day),
individual growth and RNA:DNA ratio were observed between both control and low pH
treatments. However, clear differences were detected in neonates production and,
consequently, in population growth rates and secondary production. The observed
differences could be related with an energy allocation strategy to ensure
individual survival but would have ecological consequences affecting higher
trophic levels.
PMID- 27179246
TI - Varicose veins: new trends in treatment in a Vascular Surgery Unit.
AB - AIM: Less invasive techniques such as foam sclerotherapy, endovenous laser or
radiofrequency ablation have recently been introduced as a valid alternative to
surgery for the treatment of varicose veins (VVs). We retrospectively reviewed
our experience in the treatment of VVs with particular attention to how our
therapeutic approach has changed over the last years. MATERIAL OF STUDY: Data of
all patients consecutively treated from September 1st 2013 to July 31st 2015 for
both primitive and recurrent VVs were retrospectively collected and analyzed.
Statistical analysis was performed using the software JMP 5.1.2 (SAS Institute).
RESULTS: A total of 409 legs in 378 patients were treated. The percentage of
stripping of the great saphenous veins (GSV) for primary VVs has decreased over
the years (67% in 2013 vs 15.2% in 2015), differently from what happened to the
percentage of RFA of the GSV (14.3% vs. 31.5% respectively in 2013 and in 2015)
and to the percentage of legs treated with the A.S.V.A.L. technique (8.7% vs.
31.5% respectively in 2013 and in 2015). Likewise, in 2013 most procedures were
performed using spinal anesthesia (77.5%), while in 2015 the most used anesthetic
techniques were both the local anesthesia and the local anesthesia with conscious
sedation (35.9% and 29.3% respectively). Postoperative course was uneventful in
all cases but seven (1.7%). At follow-up (median 16.9 months, IQR 7.5-22.6
months), neither major adverse events nor deaths were recorded. CONCLUSIONS:
During the years of our experience, we observed a trend towards a less invasive
approach for the treatment of VVs, with safe and effective results. KEY WORDS:
Ablation Radiofrequency, Stripping, Varicose veins.
PMID- 27179247
TI - Imaging, biodistribution, and toxicology evaluation of (212)Pb-TCMC-trastuzumab
in nonhuman primates.
AB - INTRODUCTION: The biodistribution and toxicology of a radiotherapeutic (212)Pb
trastuzumab conjugate were evaluated in nonhuman primates to meet the
investigational new drug requirements prior to a phase I clinical trial in human
subjects. METHODS: Male cynomolgus monkeys (n=3/group) were injected
intraperitoneally with the (212)Pb-trastuzumab conjugate and terminated at 8h,
10d, and 90d post-injection. Quantitative imaging studies in phantoms and monkeys
were conducted using a planar gamma camera and a high purity germanium (HPGe)
detector out to 48h following injection. Biodistribution analyses were conducted
at 8h; all tissues and time points were evaluated for macroscopic and microscopic
pathology. Blood samples were taken throughout the 90d study period for
assessment of hematology parameters and serum chemistry parameters. RESULTS:
Quantitative gamma camera imaging and region-of-interest analyses of phantoms and
monkeys indicated that 95.5+/-5.0% of the decay-corrected (212)Pb activity was
retained in the peritoneal region up to 48h following administration of the
(212)Pb-trastuzumab. Gamma-ray spectroscopy analyses confirmed that 87.6+/-4.5%
of the decay-corrected (212)Bi activity was also retained in the peritoneal
cavity during this time. Serum chemistry parameters for all groups always fell
within normal ranges. Gross and histopathology evaluations showed no radiation
related toxicity in any tissue at any time. CONCLUSION: In vivo imaging and
biodistribution analyses showed that about 90% of both (212)Pb and decay product
(212)Bi remained in the monkey peritoneal cavity. The imaging methods could also
be applied to human subjects. The lack of toxicity observed in monkeys following
intraperitoneal injection of the (212)Pb-trastuzumab conjugate supports its
clinical assessment in humans.
PMID- 27179248
TI - Cardiovascular side-effects and insulin secretion after intravenous
administration of radiolabeled Exendin-4 in pigs.
AB - INTRODUCTION: Radiolabeled Exendin-4, a synthetic glucagon-like peptide-1 (GLP-1)
analog, is used as a tracer for diagnostic purposes of beta-cells and in
experimental animal research. Exendin-4 can be radiolabeled with (68)Ga, (111)In
or (99m)Tc and used for positron emission tomography (PET) and single-photon
emission computed tomography (SPECT) imaging to diagnose insulinomas,
visualization of pancreatic beta-cell mass and transplanted Islets of Langerhans.
In humans, Exendin-4 is widely used as a therapeutic agent for treatment of type
2 diabetes (T2D). The compound, which is administered subcutaneously (SC) may
cause nausea, vomiting and a minor increase in the heart rate (HR). However,
possible side-effects on cardiovascular functions after intravenous (IV)
administration have not been reported. This study describes the Exendin-4 dose at
which cardiovascular side-effects occur in pigs and cynomolgus monkeys. The IV
effect of the tracer on insulin secretion is also investigated in pigs. METHODS:
Seven clinically healthy littermate pigs (40days old) were used; three of them
were made diabetic by streptozotocin (STZ). All pigs underwent PET imaging under
general anesthesia to examine the glucagon-like peptide-1 receptor (GLP-1R) in
beta-cells with radiolabeled Exendin-4. A baseline tracer dose IV [(68)Ga]Exendin
4 (0.025+/-0.010MUg/kg) followed by a competition dose IV [(68)Ga]Exendin-4
(3.98+/-1.33MUg/kg) 60min later were administered. Blood samples were taken and
analyzed for insulin secretion by using ELISA. Cardiovascular and respiratory
variables were monitored throughout the experiment. RESULTS: Immediately after
administration of the high dose [(68)Ga]Exendin-4 the HR rose from 122+/-14 to
227+/-40bpm (p<0.01) and from 100+/-5 to 181+/-13bpm (p<0.01) in healthy non
diabetic and diabetes-induced pigs, respectively. The tachycardia was observed
for >2h and one healthy non-diabetic pig suffered cardiac arrest 3h after the IV
[(68)Ga]Exendin-4. Arrhythmia was detected by listening to the heart with a
stethoscope up to 4days after the [(68)Ga]Exendin-4 injection. In all animals, no
effect on the cardiovascular system was registered after the low dose of IV
[(68)Ga]Exendin-4. Insulin secretion increased (p<0.05) when IV [(68)Ga]Exendin-4
was given in dosages >=0.14MUg/kg. CONCLUSIONS: Intravenous administration of
>=2.8MUg/kg [(68)Ga]Exendin-4 resulted in severe tachycardia and arrhythmias in
healthy non-diabetic and diabetes-induced pigs, and the insulin secretion was
stimulated in healthy non-diabetic animals when >=0.14MUg/kg [(68)Ga]Exendin-4
was given.
PMID- 27179249
TI - Preparation and evaluation of the tumor-specific antigen-derived synthetic mucin
1 peptide: A potential candidate for the targeting of breast carcinoma.
AB - PURPOSE: The goal of this study was to prepare a synthetic peptide derived from
breast tumor associated antigen and to evaluate its potential as a breast cancer
imaging agent. METHODS: A mucin 1 derived peptide was synthesized by solid-phase
peptide synthesis and examined for its radiochemical and metabolic stability. The
tumor cell binding affinity of (99m)Tc-MUC1 peptide was investigated on MUC1
positive T47D and MCF7 breast cancer cell lines. In vivo biodistribution was
studied in normal Balb/c mice and in vivo tumor targeting and imaging in MCF7 and
T47D tumor-bearing nude mice. RESULTS: The synthesized MUC1-derived peptide
displayed high radiochemical and metabolic stability. In vitro tumor cell-binding
on T47D and MCF7 cell lines demonstrated high affinity of (99m)Tc-MUC1 peptide
towards human breast cancer cells (binding affinities in nanomolar range).
Pharmacokinetic studies performed on Balb/c mice are characterized by an
efficient clearance from the blood and excretion predominantly through the
urinary system. In vivo tumor uptake in nude mice with MCF7 tumor xenografts was
2.77+/-0.63% ID/g as early as 1h p.i. whereas in nude mice with T47D human ductal
breast epithelial cancer cells, the accumulation in the tumor was found to be
2.65+/-0.54% ID/g at 1h p.i. Also tumor lesion was detectable in gamma-camera
imaging. The tumor uptake values were always higher than the blood and muscle
uptake, with good tumor retention and good tumor-to-blood and tumor-to-muscle
ratios. A low to moderate (<5% ID/g) accumulation and retention of (99m)Tc-MUC1
was found in the major organs (i.e., lungs, stomach, liver, intestines, kidneys,
etc.) in both normal and tumor-bearing mice. CONCLUSION: This study suggests that
(99m)Tc-MUC1 tumor-antigen peptide may be a potential candidate for the targeted
imaging of MUC1-positive human tumors and warrants further investigation.
PMID- 27179250
TI - Biodistribution of (125)I-labeled anti-endoglin antibody using SPECT/CT imaging:
Impact of in vivo deiodination on tumor accumulation in mice.
AB - INTRODUCTION: Radiolabeled antibodies directed against endoglin (CD105) are
promising tools for imaging and antiangiogenic cancer therapy. To validate
iodinated antibodies as reliable tracers, we investigated the influence of the
radiolabeling method (direct or indirect) on their in vivo stability. METHODS:
Anti-CD105 mAbs were radioiodinated directly using chloramine-T ((125)I-anti
CD105-mAbs) or indirectly using D-KRYRR peptide as a linker ((125)I-KRYRR-anti
CD105-mAbs). The biodistribution was studied in B16 tumor-bearing mice via
SPECT/CT imaging. RESULTS: Radioiodinated mAbs were stable in vitro. In vivo,
thyroid showed the most important increase of uptake after 24h for (125)I-anti
CD105-mAbs (91.9+/-4.0%ID/ml) versus(125)I-KRYRR-anti-CD105-mAbs (4.4+/
0.6%ID/ml). Tumor uptake of (125)I-anti-CD105-mAbs (0.9+/-0.3%ID/ml) was
significantly lower than that of (125)I-KRYRR-anti-CD105-mAbs (4.7+/-0.2%ID/ml).
CONCLUSIONS: An accurate characterization of the in vivo stability of
radioiodinated mAbs and the choice of an appropriate method for the
radioiodination are required, especially for novel targets. The indirect
radioiodination of internalizing anti-CD105 mAbs leads to more stable tracer by
decreasing in vivo deiodination and improves the tumor retention of
radioiodinated mAbs. ADVANCES IN KNOWLEDGE AND IMPLICATIONS FOR PATIENT CARE: To
date, the only antiangiogenic antibody approved for clinical indications is
bevacizumab. There is a need to develop more antibodies that have targets highly
expressed on tumor endothelium. CD105 represents a promising marker of
angiogenesis, but its therapeutic relevance in cancer needs to be further
investigated. In this context, this study suggests the potential use of
indirectly iodinated anti-CD105 mAbs for tumor imaging and for therapeutic
purposes.
PMID- 27179251
TI - Identification of Risk Factors for Bisphosphonate-Associated Atypical Femoral
Fractures and Osteonecrosis of the Jaw in a Pharmacovigilance Database.
AB - BACKGROUND: Atypical femoral fractures (AFs) and osteonecrosis of the jaw (ONJ)
are well-known adverse drug reactions (ADRs) associated with bisphosphonates. To
prevent these ADRs and to aid in the search for pathogenic mechanisms, knowledge
of risk factors can be helpful. OBJECTIVE: To identify risk factors for
bisphosphonate-related ONJ and AF. METHODS: In this case-control study of reports
of bisphosphonate-related ADRs from February 16, 1984, to October 16, 2013, in
the Swedish national database of ADRs, we compared characteristics for cases of
ONJ (n = 167) and AF (n = 55) with all other bisphosphonate-related ADRs (n =
565) with regard to demographic variables, clinical characteristics, and
concomitant drug treatments. We adjusted for multiple comparisons with Bonferroni
correction. RESULTS: Time to onset of ADRs differed statistically significantly
between cases of AF and controls (2156 vs 111 days). For ONJ versus controls,
differences were statistically significant for time to onset (1240 vs 111 days),
intravenous administration (40% vs 20%), dental procedures (49% vs 0.2%) and
prostheses (5% vs 0%), cancer disease (44% vs 12%), multiple myeloma (21% vs 1%),
rheumatoid arthritis (14% vs 5%), and treatment with antineoplastic agents and
oxycodone. CONCLUSION: These results lend further evidence to previously
identified risk factors for ONJ-that is, intravenous bisphosphonate
administration; invasive dental procedures and dental prostheses; cancer disease,
in particular multiple myeloma; and possibly, long-term bisphosphonate treatment.
A putative further risk factor is rheumatoid arthritis. Only long-term
bisphosphonate treatment was more common among AF cases. The lack of overlap of
risk factors between ONJ and AF suggests different pathogenic mechanisms.
PMID- 27179252
TI - A 15-Year Single-Center Experience of Endovascular Repair for Elective and
Ruptured Abdominal Aortic Aneurysms.
AB - PURPOSE: To evaluate the differences in technical outcomes and secondary
interventions between elective endovascular aneurysm repair (el-EVAR) procedures
and those for ruptured aneurysms (r-EVAR). METHODS: Of the 906 patients treated
with primary EVAR from September 1998 until July 2012, 43 cases were excluded
owing to the use of first-generation stent-grafts. Among the remaining 863
patients, 773 (89.6%) patients (mean age 72 years; 697 men) with asymptomatic or
symptomatic abdominal aortic aneurysms (AAAs) were assigned to the el-EVAR group;
90 (10.4%) patients (mean age 73 years; 73 men) were assigned to the r-EVAR group
based on blood outside the aortic wall on preoperative imaging. The primary study
outcome was technical success; secondary endpoints, including freedom from
secondary interventions and late survival, were examined with Kaplan-Meier
analyses. RESULTS: At baseline, r-EVAR patients had larger aneurysms on average
(p<0.001) compared to el-EVAR patients. Technical success was comparable
(p=0.052), but there were more type Ia endoleaks at completion angiography in the
r-EVAR group (p=0.038). As anticipated, more patients died in the first month in
the r-EVAR group (18.9% vs 2.2% el-EVAR, p<0.001). At 5 years, there was an
overall survival of 65.1% for the el-EVAR patients vs 48.1% in the r-EVAR group
(p<0.001). The freedom from AAA-related mortality was 95.7% for el-EVAR and 71.0%
for r-EVAR (p<0.001). Five-year freedom from type I/III endoleaks was
significantly lower in the r-EVAR group (78.7% vs 90.0%, p=0.003). Five-year
freedom from secondary intervention estimates were not significantly different
(el-EVAR 84.2% vs r-EVAR 78.2%, p=0.064). CONCLUSION: Within our cohort of
primary EVAR patients, r-EVAR cases showed comparable stent-graft-related
technical outcome. Although there was a higher incidence of type Ia endoleaks on
completion angiography in the r-EVAR group, the overall secondary intervention
rate was comparable to el-EVAR.
PMID- 27179253
TI - Statistical lessons learned for designing cluster randomized pragmatic clinical
trials from the NIH Health Care Systems Collaboratory Biostatistics and Design
Core.
AB - BACKGROUND/AIMS: Pragmatic clinical trials embedded within health care systems
provide an important opportunity to evaluate new interventions and treatments.
Networks have recently been developed to support practical and efficient studies.
Pragmatic trials will lead to improvements in how we deliver health care and
promise to more rapidly translate research findings into practice. METHODS: The
National Institutes of Health (NIH) Health Care Systems Collaboratory was formed
to conduct pragmatic clinical trials and to cultivate collaboration across
research areas and disciplines to develop best practices for future studies.
Through a two-stage grant process including a pilot phase (UH2) and a main trial
phase (UH3), investigators across the Collaboratory had the opportunity to work
together to improve all aspects of these trials before they were launched and to
address new issues that arose during implementation. Seven Cores were created to
address the various considerations, including Electronic Health Records;
Phenotypes, Data Standards, and Data Quality; Biostatistics and Design Core;
Patient-Reported Outcomes; Health Care Systems Interactions; Regulatory/Ethics;
and Stakeholder Engagement. The goal of this article is to summarize the
Biostatistics and Design Core's lessons learned during the initial pilot phase
with seven pragmatic clinical trials conducted between 2012 and 2014. RESULTS:
Methodological issues arose from the five cluster-randomized trials, also called
group-randomized trials, including consideration of crossover and stepped wedge
designs. We outlined general themes and challenges and proposed solutions from
the pilot phase including topics such as study design, unit of randomization,
sample size, and statistical analysis. Our findings are applicable to other
pragmatic clinical trials conducted within health care systems. CONCLUSION:
Pragmatic clinical trials using the UH2/UH3 funding mechanism provide an
opportunity to ensure that all relevant design issues have been fully considered
in order to reliably and efficiently evaluate new interventions and treatments.
The integrity and generalizability of trial results can only be ensured if
rigorous designs and appropriate analysis choices are an essential part of their
research protocols.
PMID- 27179254
TI - Lipoxin A4 Is Increased in the Plasma of Preeclamptic Women.
AB - BACKGROUND: Excessive inflammation is involved in preeclampsia (PE) pathogenesis.
Lipoxin A4 (LXA4) is an eicosanoid that counter-regulates inflammation. The main
objective of this study was to determine LXA4 plasma levels in PE women. The
correlations among LXA4 levels, ultrasensitive C-reactive protein (us-CRP)
levels, and clinical/laboratory parameters of the studied participants were also
investigated. METHODS: LXA4 plasma levels were determined by ELISA in 23
nonpregnant, 26 normotensive pregnant, and 27 PE women (early PE (N = 10) and
late PE (N = 17)), according to gestational age (GA) at clinical symptoms onset).
The clinical/laboratory parameters included in Spearman's correlation analysis
were: systolic and diastolic blood pressure (SBP and DBP, respectively), lactate
dehydrogenase (LDH) activity, platelet count, proteinuria, and white blood cell
count (WBC). RESULTS: LXA4 levels were higher in PE women than in nonpregnant and
normotensive pregnant women, and similar between nonpregnant and normotensive
pregnant women. LXA4 plasma levels were higher in early PE vs. normotensive
pregnancy (GA < 34 weeks) and in late PE vs. normotensive pregnancy (GA >= 34
weeks). No significant differences were detected between early and late PE. LXA4
levels were positively correlated with us-CRP levels, SBP, DBP, and WBC. No
significant correlation was detected between LXA4 levels and the other laboratory
parameters. CONCLUSIONS: Chronic inflammation in PE, in spite of increased levels
of LXA4, points to a possible failure in this regulatory pathway. Further studies
are necessary to clarify this issue and to evaluate the role of LXA4 and other
proresolving mediators of inflammation in the pathogenesis of PE.
PMID- 27179255
TI - The Expanding Role of Audiology Telepractice.
PMID- 27179256
TI - A Phoneme Perception Test Method for High-Frequency Hearing Aid Fitting.
AB - BACKGROUND: Outcomes with hearing aids (HAs) can be assessed using various speech
tests, but many tests are not sensitive to changes in high-frequency audibility.
PURPOSE: A Phoneme Perception Test (PPT), designed for the phonemes /s/ and /?/,
has been developed to investigate whether detection and recognition tasks are
able to measure individual differences in phoneme audibility and recognition for
various hearing instrument settings. These capabilities were studied using two
different fricative stimulus materials. The first set of materials preserves
natural low-level sound components in the low- and mid-frequency ranges (LF set);
the second set of materials attempts to limit the audibility to high-frequency
fricative noise (nLF set). To study the effect on phoneme detection and
recognition when auditory representations of /s/ and /?/ are modified, a too
strong nonlinear frequency compression (NLFC) setting was applied. RESEARCH
DESIGN: Repeated measure design was used under several different conditions.
STUDY SAMPLE: A total of 31 hearing-impaired individuals participated in this
study. Of the 31 participants, 10 individuals did not own HAs but were provided
with them during the study and 21 individuals owned HAs and were experienced
users. All participants had a symmetrical sensorineural hearing loss. DATA
COLLECTION AND ANALYSIS: The present study applied a phoneme detection test and a
recognition test with two different stimulus sets under different amplification
conditions. The statistical analysis focused on the capability of the PPT to
measure the effect on audibility and perception of high-frequency information
with and without HAs, and between HAs with two different NLFC settings ("default"
and "too strong"). RESULTS: Detection thresholds (DTs) and recognition thresholds
(RTs) were compared with respective audiometric thresholds in the free field for
all available conditions. Significant differences in thresholds between LF and
nLF stimuli were observed. The thresholds for nLF stimuli showed higher
correlation to the corresponding audiometric thresholds than the thresholds for
LF stimuli. The difference in thresholds for unaided and aided conditions was
larger for the stimulus set nLF than for the stimulus set LF. Also, thresholds
were similar in both aided conditions for stimulus set LF, whereas a large
difference between amplifications was observed for the stimulus set nLF. When
NLFC was set "too strong," DTs and RTs differed significantly for /s/.
CONCLUSIONS: The findings from this study strongly suggest that measuring DTs and
RTs with the stimulus set nLF is beneficial and useful to quantify the effects of
HAs and NLFC on high-frequency speech cues for detection and recognition tasks.
The findings also suggest that both tests are necessary because they assess
audibility as well as recognition abilities, particularly as they relate to
speech modification algorithms. The experiments conducted in this study did not
allow for any acclimatization of the participants to increased high-frequency
gain or NLFC. Further investigations should therefore examine the impact on DTs
and RTs in the PPT as well as the contrasting effects of strong setting of NLFC
to DTs and RTs because of (re)learning of modified auditory representations of
/s/ and /?/ as caused by NLFC.
PMID- 27179257
TI - The Effect of the Arabic Computer Rehabilitation Program "Rannan" on Sound
Detection and Discrimination in Children with Cochlear Implants.
AB - PURPOSE: The aim of this work is to examine the efficacy of using computer-based
training program (Rannan) as an intervention approach to enhance sound detection
and discrimination in Arabic-speaking children with cochlear implants (CIs).
RESEARCH DESIGN: A prospective study comparing performance between two groups of
children. Participants were divided into two equal groups that were matched in
age and programming strategies. Group I received the traditional clinic-based
therapy and group II received the same traditional therapy approach in addition
to a computer-based program. STUDY SAMPLE: A total of 26 children with CIs in the
age range of 3-6.5 yr were recruited from King Abdulaziz University Hospital.
DATA COLLECTION AND ANALYSIS: Listening Progress Profile and Infant-Toddler
Meaningful Integration Scale were used preoperatively, and to compare performance
between the two groups at 1-, 3-, 6-, and 12-mo after device-fitting. Data were
subjected to mixed analysis of variance. RESULTS: Both assessment tools
(Listening Progress Profile and Infant-Toddler Meaningful Integration Scale)
revealed that group II scored higher than group I. CONCLUSION: The study
demonstrated that using computer-based training in addition to the traditional
rehabilitation therapy can serve as a facilitative tool to enhance the benefit
achieved from CI.
PMID- 27179258
TI - Recognition of Speech from the Television with Use of a Wireless Technology
Designed for Cochlear Implants.
AB - BACKGROUND: Cochlear implant (CI) recipients often experience difficulty
understanding speech in noise and speech that originates from a distance. Many CI
recipients also experience difficulty understanding speech originating from a
television. Use of hearing assistance technology (HAT) may improve speech
recognition in noise and for signals that originate from more than a few feet
from the listener; however, there are no published studies evaluating the
potential benefits of a wireless HAT designed to deliver audio signals from a
television directly to a CI sound processor. PURPOSE: The objective of this study
was to compare speech recognition in quiet and in noise of CI recipients with the
use of their CI alone and with the use of their CI and a wireless HAT (Cochlear
Wireless TV Streamer). RESEARCH DESIGN: A two-way repeated measures design was
used to evaluate performance differences obtained in quiet and in competing noise
(65 dBA) with the CI sound processor alone and with the sound processor coupled
to the Cochlear Wireless TV Streamer. STUDY SAMPLE: Sixteen users of Cochlear
Nucleus 24 Freedom, CI512, and CI422 implants were included in the study. DATA
COLLECTION AND ANALYSIS: Participants were evaluated in four conditions including
use of the sound processor alone and use of the sound processor with the wireless
streamer in quiet and in the presence of competing noise at 65 dBA. Speech
recognition was evaluated in each condition with two full lists of Computer
Assisted Speech Perception Testing and Training Sentence-Level Test sentences
presented from a light-emitting diode television. RESULTS: Speech recognition in
noise was significantly better with use of the wireless streamer compared to
participants' performance with their CI sound processor alone. There was also a
nonsignificant trend toward better performance in quiet with use of the TV
Streamer. Performance was significantly poorer when evaluated in noise compared
to performance in quiet when the TV Streamer was not used. CONCLUSIONS: Use of
the Cochlear Wireless TV Streamer designed to stream audio from a television
directly to a CI sound processor provides better speech recognition in quiet and
in noise when compared to performance obtained with use of the CI sound processor
alone.
PMID- 27179259
TI - Assessment of Functional Hearing in Greek-Speaking Children Diagnosed with
Central Auditory Processing Disorder.
AB - BACKGROUND: Including speech recognition in noise testing in audiological
evaluations may reveal functional hearing deficits that may otherwise remain
undetected. PURPOSE: The current study explored the potential utility of the
Speech-in-Babble (SinB) test in the assessment of central auditory processing
disorder (CAPD) in young children for whom diagnosis is challenging. RESEARCH
DESIGN: A cross-sectional analysis. STUDY SAMPLE: Forty-one Greek children 4-13
yr of age diagnosed with CAPD and exhibiting listening and academic problems
(clinical group) and 20 age-matched controls with no listening or academic
problems participated in the study. DATA COLLECTION AND ANALYSIS: All
participants' auditory processing was assessed using the same tests and
instrumentation in a sound-treated room. Two equivalent lists of the SinB test,
developed at the Psychoacoustic Laboratory of the Aristotle University of
Thessaloniki, were administered monaurally in a counterbalanced order. SinB
consists of lists of 50 phonetically balanced disyllabic words presented in
background multitalker babble. Five signal-to-noise ratios (SNRs) were used in a
fixed order. The children were instructed to repeat the word after each
presentation. The SNR at which the child achieved 50% correct word identification
served as the dependent variable or outcome measure, with higher SinB scores
(measured in SNR dB) corresponding to poorer performance. RESULTS: SinB
performance was better (lower SNR) for the normal control group versus the
clinical group [F(1,35) = 43.03, p < 0.0001]. SinB inversely correlated with age
for both CAPD and control groups (r = -0.648, p << 0.001 and r = -0.658, p <
0.005, respectively). Regression analysis revealed that linear models better
explained the variance in the data than a quadratic model for both the control
and CAPD groups. The slope (beta value of the linear model) was steeper for the
clinical group compared to the control group (beta = -0.306 versus beta = -0.130,
respectively). An analysis of covariance run with age as the covariate to assess
the potential effect of comorbidity on SinB performance in children with CAPD
with and without comorbid conditions revealed no significant differences between
groups [F(1,38) = 0.149, p > 0.05]. CONCLUSIONS: This study offers the first
detailed presentation of the performance of Greek children on a Greek language
SinB test. The main finding is that SinB scores improved as a function of age in
a constant manner as represented by the slope of the linear regression line for
both CAPD and control groups. Results suggest that this speech recognition in
competition test holds promise for differentiating typically developing Greek
children from those children with CAPD across the age range studied here (4-13
yr). The SinB seemed rather immune to the presence of comorbid conditions
presented by some of the children in this study, suggesting its potential utility
as a valid measure of central auditory processing. While there are many speech-in
noise or competition tests in English, there are fewer in other languages. Tests
like the SinB should be developed in other languages to ensure that children
demonstrating "listening" problems can be properly evaluated.
PMID- 27179260
TI - Cortical Auditory-Evoked Potentials in Response to Multitone Stimuli in Hearing
Impaired Adults.
AB - PURPOSE: To determine if one-octave multitone (MT) stimuli increase the amplitude
of cortical auditory-evoked potentials (CAEPs) in individuals with a hearing loss
when compared to standard pure-tone (PT) stimuli and narrow-band noise (NBN).
RESEARCH DESIGN: CAEPs were obtained from 16 hearing-impaired adults in response
to PT and MT auditory stimuli centered around 0.5, 1, 2, and 4 kHz and NBN
centered around 1 and 2 kHz. Hearing impairment ranged from a mild to a moderate
hearing loss in both ears. Auditory stimuli were monaurally delivered through
insert earphones at 10 and 20 dB above threshold. The root mean square amplitude
of the CAEP and the detectability of the responses using Hotelling's T2 were
calculated and analyzed. RESULTS: CAEP amplitudes elicited with MT stimuli were
on average 29% larger than PT stimuli for frequencies centered around 1, 2, and 4
kHz. No significant difference was found for responses to 0.5-kHz stimuli.
Significantly higher objective detection scores were found for MT when compared
to PT. For the 1- and 2-kHz stimuli, the CAEP amplitudes to NBN were not
significantly different to those evoked by PT but a significant difference was
found between MT stimuli and both NBN and PT. The mean detection sensitivity of
MT for the four frequencies was 80% at 10 dB SL and 95% at 20 dB SL, and was
comparable with detection sensitivities observed in normal-hearing participants.
CONCLUSIONS: Using MT stimuli when testing CAEPs in adults with hearing
impairment showed larger amplitudes and a higher objective detection sensitivity
compared to using traditional PT stimuli for frequencies centered around 1, 2,
and 4 kHz. These findings suggest that MT stimuli are a clinically useful tool to
increase the efficiency of frequency-specific CAEP testing in adults with hearing
impairment.
PMID- 27179261
TI - Validation of the Home Hearing TestTM.
AB - BACKGROUND: The Home Hearing TestTM (HHT) is an automated pure-tone threshold
test that obtains an air-conduction audiogram at five test frequencies. It was
developed to provide increased access to hearing testing and support home
telehealth programs. PURPOSE: The study was conducted as part of an audiology
telehealth trial based at the U.S. Department of Veterans Affairs Tennessee
Valley Healthcare System, Nashville, TN. Air-conduction audiograms obtained by
the HHT were compared to results obtained in the clinic. RESEARCH DESIGN:
Prospective, repeated measures. STUDY SAMPLE: Twenty-eight participants, aged 44
88 yr (mean = 65) were recruited from the Nashville U.S. Department of Veterans
Affairs audiology clinic. Participants were required to have a Windows personal
computer in the home and were self-reported to be comfortable with using
computers. Two participants had normal hearing and 26 had hearing loss of various
severities and configurations. DATA COLLECTION AND ANALYSIS: Audiograms were
obtained in the audiology clinic by experienced audiologists following standard
clinical protocols. Participants were provided with a kit for installing HHT on
their home computers. The HHT air-conduction audiogram is obtained with Automated
Method for Testing Auditory Sensitivity (AMTAS((r))), described in previous
publications. Threshold pairs (clinic versus HHT) were analyzed by determining
distributions of threshold differences and absolute differences. These were
compared to distributions of differences between manual threshold pairs obtained
by two audiologists and AMTAS((r)) versus manual threshold pairs obtained under
laboratory conditions. RESULTS: Threshold differences (clinic versus HHT) were
slightly larger than differences between thresholds obtained by two audiologists
and AMTAS((r)) versus manual threshold differences obtained under laboratory
conditions. The differences were not statistically significant. CONCLUSIONS: HHT
air-conduction audiograms agree well with audiograms obtained in the clinic. HHT
is well suited to home telehealth applications and personal use.
PMID- 27179262
TI - How Tobacco Companies are Perceived Within the United Kingdom: An Online Panel.
AB - INTRODUCTION: Little is known about how consumers perceive tobacco companies in
the United Kingdom. METHODS: An online cross-sectional survey with those aged 16
years and over (N = 2253) explored perceptions of, and attitudes towards, tobacco
companies. This included awareness of tobacco companies, views on tobacco
companies' practices (targeting the most vulnerable, encouraging smoking to
replace those who quit or die, making cigarettes more addictive) and values
(honesty, ethics, interest in harm reduction), perceptions of regulation of
tobacco companies (whether tobacco companies have the same marketing rights as
other companies, should be allowed to promote cigarettes, be required to sell
cigarettes in plain packs, and pay for associated health costs), and locus of
responsibility for health problems caused by tobacco use. RESULTS: Prompted
awareness of tobacco companies was high (68%). Almost a third of the sample had a
negative perception of tobacco companies' practices, for example, they thought
they made cigarettes more addictive. In terms of tobacco companies' values, less
than a fifth considered tobacco companies honest, ethical, and interested in
reducing the harm caused by cigarettes. Indeed, tobacco company executives were
rated lower than the seven other professions asked about, except car salesman, in
terms of ethics and honesty. More than half the sample supported greater
regulation, for example, requiring tobacco companies to pay for health costs due
to tobacco use. Most attributed responsibility for smoking-related health
problems to smokers (88%) and tobacco companies (55%). CONCLUSIONS: The findings
suggest that consumers are not fully informed about tobacco company practices.
IMPLICATIONS: Few studies outside of North America have explored perceptions of
tobacco companies' practices, values and regulation and responsibility for
smoking-related illness. Adults surveyed within the United Kingdom considered
tobacco companies dishonest, unethical and untrustworthy, but only a third of the
sample thought that they encourage new smokers or have made cigarettes more
addictive, and just over a half attributed most of the responsibility for smoking
related health problems to tobacco companies. As consumers do not appear fully
informed about the role of tobacco companies in initiating and perpetuating the
tobacco epidemic, tobacco industry denormalization campaigns may be of potential
value.
PMID- 27179263
TI - Does anti-Mullerian hormone predict menopause in the general population? Results
of a prospective ongoing cohort study.
AB - STUDY QUESTION: Do ovarian reserve tests (ORTs) predict age at natural menopause
(ANM) in a cohort of healthy women with a regular menstrual cycle? SUMMARY
ANSWER: Of the ORTs researched, anti-Mullerian hormone (AMH) alone predicts age
at menopause. However, its predictive value decreased with increasing age of the
woman, prediction intervals were broad and extreme ages at menopause could not be
predicted. WHAT IS KNOWN ALREADY: A fixed interval is hypothesized to exist
between ANM and age at loss of natural fertility. Therefore, if it is possible to
predict ANM, one could identify women destined for early menopause and thus at
higher risk for age-related subfertility. Of ORTs researched in the prediction of
ANM, AMH is the most promising one. STUDY DESIGN, STUDY SIZE AND DURATION: A long
term, extended follow-up study was conducted, results of the first follow-up
round were previously published. Two hundred and sixty-five normo-ovulatory women
(21-46 years) were included between 1992 and 2001, 49 women (18.5%) could not be
reached in the current follow-up round. PARTICIPANTS, SETTING, METHODS: Two
hundred and sixty-five healthy normo-ovulatory women were included, recruited in
an Academic hospital. We measured baseline AMH, follicle-stimulating hormone and
the antral follicle count (AFC). At follow-up (2009 and 2013), menopausal status
was determined via questionnaires. Cox regression analysis calculated time to
menopause (TTM) using age and ORT. A check of (non-) proportionality of the
predictive effect of AMH was performed. A Weibull survival model was used in
order to predict individual ANM. MAIN RESULTS AND THE ROLE OF CHANCE: In total,
155 women were available for analyses. Eighty-one women (37.5%) had become post
menopausal during follow-up. Univariable Cox regression analysis demonstrated age
and ORTs to be significantly correlated with TTM. Multivariable Cox regression
analysis, adjusting for baseline age and smoking; however, demonstrated AMH alone
to be an independent predictor of TTM (Hazard Ratio 0.70, 95% Confidence Interval
0.56-0.86, P-value <0.001). A (non-)proportionality analysis of AMH over time
demonstrated AMH's predictive effect to decline over time. LIMITATIONS, REASON
FOR CAUTION: The observed predictive effect of AMH became less strong with
increasing age of the woman. Individual AMH-based age at menopause predictions
did not cover the full range of menopausal ages, but did reduce the variation
around the predicted ANM from 20 to 10.1 years. WIDER IMPLICATIONS OF THE
FINDINGS: Age-specific AMH levels are predictive for ANM. Unlike in our previous
publication however, a declining AMH effect with increasing age was observed.
This declining AMH effect is in line with recent long-term follow-up data
published by others. Moreover, the accompanying predictive inaccuracy observed in
individual age at menopause predictions based on AMH, makes this marker currently
unsuitable for use in clinical practice. STUDY FUNDING/COMPETING INTERESTS: No
external funds were used for this study. M.D., M.J.C.E, S.L.B., G.J.S. and
I.A.J.R. have nothing to declare. J.S.E.L. has received fees and grant support
from the following companies (in alphabetical order): Ferring, Merck-Serono, MSD,
Organon, Serono and Schering Plough. F.J.M.B. receives monetary compensation:
member of the external advisory board for Merck Serono, the Netherlands;
consultancy work for Gedeon Richter, Belgium; educational activities for Ferring
BV, the Netherlands; strategic cooperation with Roche on automated AMH assay
development.
PMID- 27179264
TI - Bisphenol A in culture media and plastic consumables used for ART.
AB - STUDY QUESTION: Do the embryo culture media and plastic materials used during
assisted reproductive technology (ART) laboratory procedures expose embryos to
bisphenol A (BPA)? SUMMARY ANSWER: BPA was not detected in embryo culture media
or protein supplements at concentrations above those encountered in normal
patient serum and follicular fluids. WHAT IS KNOWN ALREADY: BPA is strongly
suspected of altering the epigenome during mammalian development. Medical devices
have been shown to be a source of BPA exposure in adult and neonatal intensive
care units. STUDY DESIGN, SIZE, DURATION: An analytical study of ART culture
media and plastic labware products was performed under conditions close to
routine practice and if BPA was detected, tests were carried out under more
stringent conditions. PARTICIPANTS/MATERIALS, SETTING, METHODS: Two single-step
embryo culture media, two sequential media and three different protein
supplements [a purified human serum albumin (HSA), a synthetic serum substitute,
and a recombinant HSA] were tested for BPA. Thirty-three different plastic
consumables, used from oocyte collection through to embryo transfer, were tested
for their ability to leach BPA into their surrounding environment.BPA
concentrations were measured according to a previously described liquid
chromatography/mass spectrometry method. This method is linear over the
calibration range from 0.5 to 100 ng/ml using a linear model weighted by 1/X2 and
validated in terms of selectivity, linearity, repeatability, reproducibility and
limit of quantification (0.5 ng/ml). MAIN RESULTS AND THE ROLE OF CHANCE: Neither
the culture media nor the protein supplements were shown to contain detectable
levels of BPA. None of the plastic materials leached BPA into the surrounding
medium at levels higher than the upper limit detected previously in serum and
follicular fluids in women (about 2 ng/ml). However, the plastic of the three
tested strippers used for oocyte denudation/embryo handling did contain BPA. Two
of these strippers are made with polycarbonate, a plastic whose synthesis is
known to require BPA. LIMITATIONS, REASONS FOR CAUTION: This study is limited to
the ART media and materials tested here and using a BPA assay with a limit of
quantification at 0.5 ng/ml. A minimum volume was required for testing, and one
type of plastic labware could not be tested in conditions identical to those in
routine use. WIDER IMPLICATIONS OF THE FINDINGS: Although we demonstrated that
some plastic materials used in ART contain BPA, under routine conditions none
appear capable of leaching BPA at levels higher than those from maternal internal
exposure. However, BPA is strongly suspected of altering the epigenome. Since
important epigenetic modifications occur in the early embryonic stage, it is
questionable whether plastics that contain BPA, polycarbonate in particular,
should be used in the manufacture of plastic consumables for ART procedures.
STUDY FUNDING/COMPETING INTERESTS: This work was supported by a grant from the
Agence de Biomedecine (AOR 2012) and by a grant from the French Ministry of
Health (Clinical Research Hospital Program 2012; no.12-018-0560). The authors
declared no competing interest.
PMID- 27179266
TI - In memoriam Professor Aleksandra Krygier-Stojalowska (2.10.1923 - 17.11.2015).
PMID- 27179265
TI - A randomized controlled, non-inferiority trial of modified natural versus
artificial cycle for cryo-thawed embryo transfer.
AB - STUDY QUESTION: Are live birth rates (LBRs) after artificial cycle frozen-thawed
embryo transfer (AC-FET) non-inferior to LBRs after modified natural cycle frozen
thawed embryo transfer (mNC-FET)? SUMMARY ANSWER: AC-FET is non-inferior to mNC
FET with regard to LBRs, clinical and ongoing pregnancy rates (OPRs) but AC-FET
does result in higher cancellation rates. WHAT IS ALREADY KNOWN: Pooling prior
retrospective studies of AC-FET and mNC-FET results in comparable pregnancy and
LBRs. However, these results have not yet been confirmed by a prospective
randomized trial. STUDY DESIGN, SIZE AND DURATION: In this non-inferiority
prospective randomized controlled trial (acronym 'ANTARCTICA' trial), conducted
from February 2009 to April 2014, 1032 patients were included of which 959 were
available for analysis. The primary outcome of the study was live birth.
Secondary outcomes were clinical and ongoing pregnancy, cycle cancellation and
endometrium thickness. A cost-efficiency analysis was performed.
PARTICIPANT/MATERIALS, SETTING, METHODS: This study was conducted in both
secondary and tertiary fertility centres in the Netherlands. Patients included in
this study had to be 18-40 years old, had to have a regular menstruation cycle
between 26 and 35 days and frozen-thawed embryos to be transferred had to derive
from one of the first three IVF or IVF-ICSI treatment cycles. Patients with a
uterine anomaly, a contraindication for one of the prescribed medications in this
study or patients undergoing a donor gamete procedure were excluded from
participation. Patients were randomized based on a 1:1 allocation to either one
cycle of mNC-FET or AC-FET. All embryos were cryopreserved using a slow-freeze
technique. MAIN RESULTS AND THE ROLE OF CHANCE: LBR after mNC-FET was 11.5%
(57/495) versus 8.8% in AC-FET (41/464) resulting in an absolute difference in
LBR of -0.027 in favour of mNC-FET (95% confidence interval (CI) -0.065-0.012; P
= 0.171). Clinical pregnancy occurred in 94/495 (19.0%) patients in mNC-FET
versus 75/464 (16.0%) patients in AC-FET (odds ratio (OR) 0.8, 95% CI 0.6-1.1, P
= 0.25). 57/495 (11.5%) mNC-FET resulted in ongoing pregnancy versus 45/464
(9.6%) AC-FET (OR 0.7, 95% CI 0.5-1.1, P = 0.15). chi(2) test confirmed the lack
of superiority. Significantly more cycles were cancelled in AC-FET (124/464
versus 101/495, OR 1.4, 95% CI 1.1-1.9, P = 0.02). The costs of each of the
endometrial preparation methods were comparable (?617.50 per cycle in NC-FET
versus ?625.73 per cycle in AC-FET, P = 0.54). LIMITATIONS, REASONS FOR CAUTION:
The minimum of 1150 patients required for adequate statistical power was not
achieved. Moreover, LBRs were lower than anticipated in the sample size
calculation. WIDER IMPLICATIONS OF THE FINDINGS: LBRs after AC-FET were not
inferior to those achieved by mNC-FET. No significant differences in clinical and
OPR were observed. The costs of both treatment approaches were comparable. STUDY
FUNDING/COMPETING INTERESTS: An educational grant was received during the conduct
of this study. Merck Sharpe Dohme had no influence on the design, execution and
analyses of this study. E.R.G. received an education grant by Merck Sharpe Dohme
(MSD) during the conduct of the present study. B.J.C. reports grants from MSD
during the conduct of the study. A.H. reports grants from MSD and Ferring BV the
Netherlands and personal fees from MSD. Grants from ZonMW, the Dutch Organization
for Health Research and Development. J.S.E.L. reports grants from Ferring, MSD,
Organon, Merck Serono and Schering-Plough during the conduct of the study.
F.J.M.B. receives monetary compensation as member of the external advisory board
for Merck Serono, consultancy work for Gedeon Richter, educational activities for
Ferring BV, research cooperation with Ansh Labs and a strategic cooperation with
Roche on automated anti Mullerian hormone assay development. N.S.M. reports
receiving monetary compensations for external advisory and speaking work for
Ferring BV, MSD, Anecova and Merck Serono during the conduct of the study. All
reported competing interests are outside the submitted work. No other
relationships or activities that could appear to have influenced the submitted
work. TRIAL REGISTRATION NUMBER: Netherlands trial register, number NTR 1586.
TRIAL REGISTRATION DATE: 13 January 2009. FIRST PATIENT INCLUDED: 20 April 2009.
PMID- 27179267
TI - Review of succinate dehydrogenase-deficient renal cell carcinoma with focus on
clinical and pathobiological aspects.
AB - Succinate dehydrogenase (SDH)-deficient renal cell carcinoma (RCC) was first
identified in 2004 and has been integrated into the 2016 WHO classification of
RCC. Succinate dehydrogenase (SDH) is an enzyme complex composed of four protein
subunits (SDHA, SDHB, SDHC and SDHD). The tumor which presents this enzyme
mutation accounts for 0.05 to 0.2% of all renal carcinomas. Multiple tumors may
occur in approximately 30% of affected patients. SDHB-deficient RCC is the most
frequent, and the tumor histologically consists of cuboidal cells with
eosinophilic cytoplasm, vacuolization, flocculent intracytoplasmic inclusion and
indistinct cell borders. Ultrastructurally, the tumor contains abundant
mitochondria. Immunohistochemically, tumor cells are positive for SDHA, but
negative for SDHB in SDHB-, SDHC- and SDHD-deficient RCCs. However, SDHA
deficient RCC shows negativity for both SDHA and SDHB. In molecular genetic
analyses, a germline mutation in the SDHB, SDHC or SDHD gene (in keeping with
most patients having germline mutations in an SDH gene) has been identified in
patients with or without a family history of renal tumors,
paraganglioma/pheochromocytoma or gastrointestinal stromal tumor. While most
tumors are low grade, some tumors may behave in an aggressive fashion,
particularly if they are high nuclear grade, and have coagulative necrosis or
sarcomatoid differentiation.
PMID- 27179268
TI - Influence of gross specimen sampling on the incidence of incidental prostatic
carcinoma in cystoprostatectomy specimens of patients with bladder carcinoma.
AB - Reported prostate cancer incidence rates vary greatly among cystoprostatectomy
samples. We investigated how the thoroughness of prostate sampling influences
prostatic carcinoma incidence in bladder cancer patients. In a retrospective
study, 313 cystoprostatectomy cases of urinary bladder carcinoma were analysed
for the presence of concurrent prostatic carcinoma. Patients were divided into
two groups: patients who had undergone the operation before and after 2007, when
a policy of preferably complete prostate sampling in cystoprostatectomy specimens
was introduced at our institution. Cases processed after the 2007 recommended
sampling changes had a significantly higher rate of incidental prostatic
carcinoma and clinically significant prostatic carcinoma than the pre-2007 group
(p < 0.0001 and p = 0.003, respectively). Complete prostate processing in
cystoprostatectomy specimens results in a higher incidence of incidental
prostatic carcinoma than with partial processing. More patients with clinically
significant prostate cancer are consequently discovered. In conclusion, we
believe that complete prostate sampling should be mandatory.
PMID- 27179269
TI - Concordance of KRAS mutation status between luminal and peripheral regions of
primary colorectal cancer. A laser-capture microdissection-based study.
AB - The presence of KRAS mutation in colorectal cancer (CRC) is a marker of
resistance to anti-EGFR therapy. However, there are conflicting reports
concerning intratumoral heterogeneity of KRAS mutations. The aim of this study
was to determine whether within primary CRCs with KRAS mutations intratumoral
KRAS mutation heterogeneity can be detected between two strictly defined areas,
i.e. the luminal (mucosa/submucosa) and peripheral invasive front of the tumor.
Using laser-capture microdissection, from every tumor about 400-500 nests of
cancer cells were excised from each of the examined areas (luminal and
peripheral) and PNAClamp, a high-sensitivity real-time PCR-based diagnostic assay
for KRAS mutation testing, was used for molecular analysis. KRAS mutations were
detected in codon 12 in both luminal and peripheral regions in all tumors
examined. We conclude that from the point of view of practical KRAS mutation
testing for predictive purposes in patients with CRC (i.e. testing mutations in
codons 12 and 13) sampling errors are unlikely to occur if in CRCs with KRAS
mutations only the luminal (as in biopsy tissue) or peripheral region is
examined, provided a sensitive system of detection is applied and an appropriate
number of tumor cells with minimal contamination by benign cells is analyzed.
PMID- 27179270
TI - The diagnosis of cancer in thyroid fine needle aspiration biopsy. Surgery, repeat
biopsy or specimen consultation?
AB - Fine needle aspiration biopsy (FNA) is the only diagnostic method that allows a
preoperative diagnosis of thyroid carcinoma. An unequivocal diagnosis of a
malignant change is achievable only in cases in which all cytological criteria of
carcinoma are met. The aim of the study was to evaluate the necessity of repeat
thyroid FNA in patients with papillary thyroid carcinoma verified on consultative
examination (CE). We analyzed cytology reports of thyroid FNA and CE that
resulted in the diagnosis of papillary carcinoma. Evaluation of the correlation
of the cytological diagnosis with the histopathology report was based on data
obtained after the surgery. Between 2010 and 2015 in the Institute of Oncology
(IO) there were 184 cancers diagnosed on CE or in thyroid FNA performed primarily
in IO. Additionally, 74 patients were subjected to repeat biopsy after
confirmation of cancer in CE. Histopathological diagnosis of cancer was obtained
in 62 (100%) cases that were doubly confirmed with cytological examination. The
remaining 12 patients were operated on outside the institute. From 110 FNA
primarily performed in the IO, histopathological verification was achievable in
92 cases, from which 92 (100%) provided a confirmation of cancer, and the
remaining 18 patients were operated on outside the institute. High (100%)
specificity of cancer diagnosis in FNA established primarily and verified on CE
(second independent assessment) indicates that repeat FNA in order to confirm the
diagnosis is unnecessary.
PMID- 27179271
TI - Correspondence of cytological and histopathological diagnoses in diagnostic
category V of the Bethesda system: "suspicious for malignancy".
AB - The progress in imaging methods enables fine needle aspiration (FNA) biopsy to be
performed on smaller and smaller lesions, including malignant ones (papillary
microcarcinomas). The follicular variant predominates in this group, with
cytological features often not permitting an unbiased interpretation. The aim of
the study was to determine the degree of reliability of the "suspicious for
malignancy" (SM) diagnosis in material from the Institute of Oncology in Gliwice
(IO). 290 primary SM diagnoses were established from 2010 to 2015 in the IO,
including the consultations. None of the patients was treated surgically after
the first FNA resulting in diagnostic category V (DC V). After the second FNA 80
patients underwent surgery, after the third 58, and after subsequent FNA 10.
Together, 148 surgical resections were performed. Among 148 patients treated
surgically, 111 were diagnosed with malignant lesions, which constitutes 75%.
Predominantly - in 91 cases - the histopathological outcome was papillary
carcinoma. The others were: 16 medullary carcinomas, 2 follicular carcinomas, and
2 poorly differentiated carcinoma cases. Moreover, 8 follicular adenomas and 28
nonneoplastic lesions were found. The high positive predictive value (PPV = 75%)
of SM diagnosis established in the IO testifies to the high reliability of this
test. Diagnostic category V in FNA should be an indication for surgical
treatment.
PMID- 27179272
TI - Gastric hyperplastic polyps coexisting with early gastric cancers, adenoma and
neuroendocrine cell hyperplasia.
AB - Gastric hyperplastic polyps (GHP) constitute up to 93% of all benign epithelial
polyps of the stomach. The average probability of malignant transformation in GHP
is 0.6-22% in large series. The aim of the study was to present the coexistence
of GHP with early gastric cancer (EGC), gastric adenoma (GA), neuroendocrine cell
hyperplasia (NH) and well-differentiated neuroendocrine tumour (NET G1). Three
cases were studied to reveal clinical data and morphological changes and to
assess the relationship between GHP and accompanying gastric neoplastic lesions.
PMID- 27179273
TI - Renal clear cell carcinoma metastasis to salivary glands - a series of 9 cases:
clinico-pathological study.
AB - Metastatic tumors involving salivary glands arising from the non-head and neck
area are very rare. Renal cell carcinoma (RCC) is known for its high propensity
for metastasis to unusual localizations. RCC metastasis to the maxillofacial area
is an uncommon event (16%), but metastasis to salivary glands is extremely rare.
We report a series of 9 such cases retrieved from two institutions. The group
included 6 females and 3 males. The age at diagnosis ranged from 60 to 97 years
(mean 72.6 years). The tumors involved the parotid gland in 7 cases, and the
submandibular and small salivary gland of the oral cavity in 1 case each. The
size of tumors ranged from 0.4 to 5 cm. Total parotidectomy with selective neck
dissection was performed in 4 cases, while superficial parotidectomy was
performed in 1 case and simple resection in 3 cases. Histologically, all the
tumors were clear cell renal cell carcinomas, and therefore the differential
diagnosis mainly included clear cell variants of salivary gland carcinomas. The
parotid gland was the initial manifestation of renal malignancy in 4 of the
cases, while in the remaining 5 cases a history of RCC had been known. The
salivary gland involvement developed from 11 months to 13 years after the time of
diagnosis of the primary tumor. In 2 cases it was the first site of
dissemination. Pathologists need to maintain a high index of suspicion for the
possibility of metastasis when confronted with oncocytic or clear cell neoplasms
developing in salivary glands. RCC, although rare, should be included in this
differential diagnosis.
PMID- 27179274
TI - Heterogeneous vascular patterns in renal cell carcinomas.
AB - The present study proposes a classification of renal cancer tumor blood vessels
according to their morphology and maturation grade. We identified four vascular
patterns: reticular, diffuse, fasciculated and trabecular. The reticular pattern
was present in 63% of cases, being characterized by the predominance of mature
CD34+/SMAct+ tumor vessels, highly interconnected. For this pattern, 74% of cases
had vascular invasion, and a significant correlation was observed between tumor
grade and immature state of tumor vessels (p = 0.022). The diffuse pattern was
observed in 23% of cases and was characterized by non-interconnected vessels
predominantly of mature CD34+/SMAct+ type and vascular invasion in 64% of cases.
Only 8% of cases, had a fasciculate model of vessels distribution, all of them
being of mature type, located in the connective axis of papillary renal tumors.
For this pattern vascular invasion was found in 50% of cases. In 6% of cases a
trabecular pattern was observed and the lowest rate of vascular invasion was
registered. We defined here four distinct vascular patterns in renal cell
carcinomas showing a strong impact on vascular invasion. A complete morphological
and molecular characterization of tumor vessels would be beneficial in
elucidating the mechanisms that underlie the ineffectiveness of
antiangiogenic/antitumor therapies.
PMID- 27179275
TI - Immunohistochemical investigation of endometrial leukocytes in implantation
period in rats with streptozotosin-induced diabetes.
AB - Our first aim was to determine the total leukocyte profile in implantation.
Second aim was to detect the changes in uterine leukocyte profile in diabetes, a
common accompanying disease. For this purpose 4 groups are formed with Wistar
albino rats weighing 250-300 g. Two of the groups were non-diabetic and two of
them were diabetic. One of the diabetic and one of the non-diabetic groups were
left pregnant. Then uterus tissues of pregnant animals were removed in the 5th
and 7th days of pregnancy together with tissues of other two non-pregnant groups.
Tissues were analyzed immunohistochemically with antibodies CD45, CD3, CD4, CD8,
CD56, CD68 and CD79a. It was revealed that pregnancy increased immune staining of
CD68, CD3, CD45 and CD56 in endometrium. In addition it was observed that immune
staining density of CD68, CD45 and CD56 decreased in diabetes. In the
histopathological examination, significant degeneration was detected in the
endometrium of diabetic rats. Diabetes could decrease leukocyte proportions in
decidua in early pregnancy periods. Therefore immune cell therapies could be
administrated in diabetes related problems of pregnancy.
PMID- 27179276
TI - Investigation of biochemical composition of adrenal gland tumors by means of
FTIR.
AB - The application of Fourier transform infrared (FTIR) microspectroscopy for the
analysis of biomolecular composition of adrenal gland tumors is described.
Samples were taken intraoperatively from three types of adrenal lesions: adrenal
adenoma (ACA), adrenal cortical hyperplasia (ACH), both derived from adrenal
cortical cells, and pheochromocytoma (Ph) derived from chromaffin cells of the
adrenal medulla. The specimens were cryo-sectioned and freeze-dried. Since the
investigated lesions originated from different cell types, it was predictable
that they might differ in biomolecular composition. The experimental results were
used to determine which absorption bands differentiate the analyzed samples the
most. The main difference was observed in the lipid functional groups. The
experimental results indicated that the level of lipids was higher in both the
adenoma and the hyperplasia samples compared to pheochromocytomas. In contrast,
the level of proteins was higher in the pheochromocytomas. Furthermore,
differences within the range of nucleic acids and carbohydrates were observed in
the studied adrenal gland tumor types.
PMID- 27179277
TI - Ancient cardiac myxomas - another point of view in the light of tetraspanins.
AB - Myxomas are the most common non-invasive but life-threatening cardiac neoplasms
due to obstruction of heart chambers and risk of embolism in a manner resembling
thromboembolism as well. They can occasionally disseminate via their detached
fragments into the bloodstream to seed and grow as secondary still benign tumors.
In this study we evaluated morphological and clinical aspects of 14 ancient,
degenerated left or right-sided cardiac atrial myxomas with expression of CD9 and
CD63, which are found to contribute to platelet activation, aggregation and, as a
result, intratumoral thrombosis or fragmentation. The appearance of tumors varied
from sessile to polypoid revealing that a higher rate of endocardial thrombosis
was associated with sessile compared to polypoid myxomas and left-sided tumors
compared to right-sided ones in our study. In the general aspect of ancient
calcifications, amorphous calcification with intra-tumor thrombosis was noted
more frequently in sessile tumors, while well-formed osseous metaplasia was
usually a feature of polypoid tumors. In our material osseous metaplasia did not
coexist with massive thrombosis and was found in polypoid, pedunculated myxomas.
Most importantly, CD9 overexpression was recorded in every studied myxoma and
CD63 gave a weak reaction in myxoma cells.
PMID- 27179278
TI - Identification of a molecular defect in a stillborn fetus with perinatal lethal
hypophosphatasia using a disease-associated genome sequencing approach.
AB - Lethal skeletal disorders represent a heterogeneous and clinically variable group
of genetic conditions, usually difficult to diagnose without post-mortem
radiological assessment. Here we report on a stillborn patient delivered at 22
weeks of gestation who presented with severe skeletal symptoms comprising limb
shortening and intrauterine fractures detected upon prenatal ultrasound and
autopsy examination. Since post-mortem X-ray was refused and no phenotypic
diagnosis could be attempted, we performed next-generation sequencing (NGS) of
2741 genes associated with all known Mendelian disorders. With this strategy, we
were able to demonstrate the diagnosis at a molecular level, which turned out to
be perinatal lethal hypophosphatasia (HPP). This severe form of HPP represents an
inborn defect of ossification often resulting in stillbirth or postnatal death.
The NGS panel revealed compound heterozygous ALPL missense mutations:
c.1283G>C(p.Arg428Pro) and c.1363G>A(p.Gly455Ser). Mutations detected in our
case, although previously described in other patients, have not been reported to
co-occur in a single individual. The diagnosis established in our index using the
NGS-based approach could have been successfully reached by standard radiography.
Thus, our report points to the importance of X-ray examination in stillborn cases
and highlights the emerging role of NGS strategies in the diagnostic process of
prenatally manifesting skeletal disorders.
PMID- 27179279
TI - Giant cribriform adenocarcinoma of the tongue showing PRKD3 rearrangement.
AB - Cribriform adenocarcinoma of the tongue and minor salivary glands (CAMSG) was
first described 16 years ago. It typically presents as a mass at the base of the
tongue with early spread to lymph nodes, but without potential for distant
metastases. In the 2005 World Health Organization Classification of Tumors the
entity was classified as a possible variant of polymorphous low-grade
adenocarcinoma (PLGA). Since then, more than 40 cases have been described in the
English literature. Recently, PRKD1-3 translocation was found in more than 80% of
CAMSGs. In some of those cases ARID1A or DDX3X was the translocation partner. We
reviewed 183 primary carcinomas of major and minor salivary glands, resected at
the Medical University of Gdansk, Poland, in the period 1992-2012, and identified
only one case of CAMSG. A giant tumor developed at the base of the tongue in a 76
year-old man. The primary tumor was resected with multiple bilateral cervical
lymph node metastases. The patient received radiotherapy but died 10 months after
the surgery due to causes not related to the primary cancer. The tumor presented
PRKD3 rearrangement as confirmed by FISH. As the tumor is extremely rare (it
represented only 0.5% of salivary gland tumors in our series), the controversy on
its nosological status is still unresolved. This is the first report in the world
literature of a patient who died in the course of CAMSG.
PMID- 27179280
TI - Histiocytic necrotising lymphadenitis in mediastinum mimicking thymoma or
lymphoma - case presentation and literature review of Kikuchi Fujimoto disease.
AB - Kikuchi Fujimoto disease (KFD) as a rare self-limiting lymphadenopathy of short
and benign course concerns most frequently the lymph nodes of the neck. The most
common symptoms are painfulness of the diseased area, fever and night sweating.
The etiology is not well understood, but in the role of pathogenesis viral,
autoimmune and genetic factors are taken into account. In the presented case of
37-year-old female it was necessary to exclude diseases such as lymphoma or
thymoma because of atypical mediastinal location of Kikuchi Fujimoto disease.
After multidisciplinary consultation the lymph node was resected from the
mediastinum with videothoracoscopic approach. The diagnosis was difficult for the
pathologist because of the large percentage of necrosis of the lymph node but the
image was typical for histiocytic necrotizing lymphadenitis. Two cases of
patients with KFD limited to the mediastinum have been previously reported in the
literature. This article presents the world's first reported case of this disease
in the topographic location of the thymus. Furthermore, a review of current
literature was made.
PMID- 27179281
TI - Symptoms of obstructive defecation syndrome: functional outcome after stapled
haemorrhoidectomy.
AB - BACKGROUND: Patients with haemorrhoids often present obstructive defecation
symptoms. Preoperative they can be classified in three groups: slight, severe and
mild symptoms. Aim of this study was to postoperatively evaluate functional
outcome in patients who underwent stapled haemorrhoidectomy in mild obstructive
defecation syndrome. METHODS: We enrolled 98 patients with mild obstructive
defecation symptoms using Wexner score preoperatively (range 8-16) from the 332
consecutive patients treated with stapled haemorrhoidectomy between January 2009
and December 2011. All patients were clinically examined 1 month after surgical
treatment and every 6 months with a mean followup of 30 months (range 24-42
months). RESULTS: The 98 patients enrolled included 58 men and 40 women. There
were no intraoperative complications. Postoperative complications included four
patients. A reduction of Wexner score from a mean preoperative score of 12 to a
mean postoperative score of 6 just 6 months after surgery was observed. This
difference was statistically significant (p<0.001: Mann-Whitney U test).
CONCLUSIONS: Careful clinical evaluation of patients with haemorrhoids and
obstructive defecation symptom is required to establish the most appropriate
treatment. The results of this study indicated that stapled haemorrhoidectomy can
improves the functional symptoms for patients with constipation symptoms of
moderate degree. KEY WORDS: Functional outcome, Obstructive defecation symptoms,
Stapled haemorrhoidectomy.
PMID- 27179282
TI - Intestinal permeability and systemic endotoxemia in patients with acute
pancreatitis.
AB - BACKGROUND: The bacterial contamination of pancreatic necrosis in acute
pancreatitis is supposed to occur through translocation of intestinal bacteria.
The aim of this clinical study was to evaluate intestinal mucosa permeability and
endotoxemia in patients with acute pancreatitis. METHODS: Sixtythree patients
with acute pancreatitis were studied. Classification 42 patients had mild and 21
patients severe pancreatitis. Intestinal permeability was assessed at day 0, 1,
3, 7, 9 and 11 using the lactulose/mannitol differential absorption test. Serial
venous blood samples were taken at 0, 30, 60, 90, 120, and 180 minutes, at 12, 24
hours, and at days 3, 7, 9 and 11 for endotoxin measurement RESULTS: Patients
with severe pancreatitis had higher intestinal barrier dysfunction compared with
patients with mild pancreatitis, the L:M ratio being 0.36 +/- 0.15 and 0.051 +/-
0.013 respectively (p< 0.05). The systemic endotoxin concentration were higher in
patients with severe pancreatitis as regards mild pancreatitis (p < 0.05). A
significant correlation was observed between the maximum systemic endotoxin
concentration and intestinal permeability measured at day 7 in patients with mild
(rs = 0.721; p = 0.001) and severe (rs = 0.956; p= 0.001) pancreatitis.
CONCLUSION: Gut permeability is increased in patients with acute pancreatitis.
Patients with severe pancreatitis may be more exposed to impaired gut barrier
function. Moreover the pancreatits (especially severe) can lead to systemic
endotoxemia. This agrees with the hypothesis that the splanchnic hypoperfusion,
during the pancreatitis, may impair intestinal mucosal barrier function and
contribute to the systemic inflammatory response and multiorgan failure. KEY
WORDS: Acute pacreatitis, Endotoxemia, Intestinal permeability.
PMID- 27179283
TI - Made in Italy for hernia: the Italian history of groin hernia repair.
AB - The history of groin hernia surgery is as long as the history of surgery. For
many centuries doctors, anatomists and surgeons have been devoted to this
pathology, afflicting the mankind throughout its evolution. Since ancient times
the Italian contribution has been very important with many representative
personalities. Authors, investigators and pioneers are really well represented.
Every period (the classic period, the Middle Age, the Renaissance and the post
Renaissance) opened new perspectives for a better understanding. During the 18th
century, more information about groin anatomy, mainly due to Antonio Scarpa,
prepared the Bassini revolution. Edoardo Bassini developed the first modern
anatomically based hernia repair. This procedure spread worldwide becoming the
most performed surgical technique. After World War II synthetic meshes were
introduced and a new era has begun for hernia repair, once again with the support
of Italian surgeons, first of all Ermanno Trabucco. But Italian contribution
extends also to educational, with the first national school for abdominal wall
surgery starting in Rome, and to Italian participation and support in
international scientific societies. Authors hereby wish to resume this long
history highlighting the "made in Italy" for groin hernia surgery. KEY WORDS:
Bassini, Groin hernia, History, Prosthetic repair.
PMID- 27179284
TI - Ultrasonography in diagnosis of acute appendicitis.
AB - PURPOSE: Acute appendicitis is the most common surgical abdominal emergency. In
the early diagnosis of acute appendicitis, the fact that there is no a sign which
could be a reliable indicator in most of the patients increases the
complications. In this study we aimed to search the relation between
Ultrasonography(US) findings in patients with diagnosis of acute appendicitis and
postoperative histopathologic investigation on remoced appendix.. MATERIALS AND
METHODS: The files of 174 patients who came in our emergency department with
lower right abdominal pain were studied retrospectively from January 2013 to May
2014. Of them, 26 patients were excluded, because these patients were not studied
with US. US findings and histopathology reports of 148 patients with suspected
acute appendicitis and studies preoperatively with abdominal US were enrolled.
Greater than 6-mm diameter of the appendix under compression was accepted as
positive sign of appandicitis in US. The demographic characteristics of the
patients, US findings (acut appendicitis or not) and the pathology results were
recorded on the standard proform. RESULTS: Of these 148 patients, 100 were acute
appendicitis in preoperative US, and of these 100 patients, 93 histopathologic
reports were acute appendicitis, 7 were normal appendices. The sensitivity of US
was 75.6 % and specificity was 72 %. Positive predictive value (PPV) was 93 %,
negative predictive value (NPV) was 14.6 % and the accuracy of US value was
81.7%. As a result, although US in diagnosis of acute appendicitis is a reliable
technique, negative result doesn't mean no acute appendicitis. In order to
determine an accurate diagnosis of acute appendicitis clinical and laboratoary
findings should be assessed together. KEY WORDS: Abdominal pain, Acute
appendicitis, Ultrasonography.
PMID- 27179285
TI - Review of a non-epithelial tumour of the small bowel after c-kit revolution.
AB - In this article, we reviewed the case of a patient who was object, in 1999, of a
published case report of schwannoma of the jejunal wall. Recently, the patient
has been referred to our institution for a mass of the stomach identified by
upper gastrointestinal endoscopy. The patient underwent a wedge resection of the
stomach and a histopathological diagnosis of GIST of the stomach, based on a
positive immunohistochemical staining of c-kit and CD34, was made. In
consideration of these findings, we performed immunohistochemistry for c-kit and
for CD34 on the previous lesion of the jejunal wall, which resulted strongly
positive for CD117 and negative for CD34. A new diagnosis of gastrointestinal
stromal tumour (GIST) of jejunal wall with moderate risk of progression was made.
The lesion was also classified, according to the AJCC Seventh Edition, as a pT3,
pN0, Stage II, GIST. This case shows the importance of a reassessment of the
diagnosis of mesenchymal neoplasm of the small intestine made before the
development of anti-CD117 antibody for a correct prognostic stratification, a
better therapeutic management and a close follow-up, if necessary. KEY WORDS:
Adjuvant therapy, c-kit, GIST Imatinib.
PMID- 27179286
TI - !Cocinar Para Su Salud! Development of a Culturally Based Nutrition Education
Curriculum for Hispanic Breast Cancer Survivors Using a Theory-Driven Procedural
Model.
AB - We developed a theory-based dietary change curriculum for Hispanic breast cancer
survivors with the goal of testing the effects of the intervention on change in
dietary intake of fruits/vegetables and fat in a randomized, clinical trial.
Social cognitive theory and the transtheoretical model were used as theoretical
frameworks to structure curriculum components using the Nutrition Education
DESIGN Procedure. Formative assessments were conducted to identify facilitators
and barriers common to Hispanic women and test the degree of difficulty and
appropriateness of program materials. Focus groups provided valuable insight and
informed preimplementation modifications to the dietary program. The result was a
systematically planned, evidence-based, culturally tailored dietary intervention
for Hispanic breast cancer survivors, !Cocinar Para Su Salud! (Cook for Your
Health!). The methodology described here may serve as a framework for the
development of future dietary interventions among diverse and minority
populations. Short- and long-term study results will be reported elsewhere.
PMID- 27179287
TI - Predicting Behaviors to Reduce Toxic Chemical Exposures Among New and Expectant
Mothers: The Role of Distal Variables Within the Integrative Model of Behavioral
Prediction.
AB - There is a growing body of evidence linking childhood exposure to environmental
toxins and a range of adverse health outcomes, including preterm birth, cognitive
deficits, and cancer. Little is known, however, about what drives mothers to
engage in health behaviors to reduce such risks. Guided by the integrative model
of behavioral prediction, this study surveyed women who were pregnant and/or had
children younger than 7 years (N = 819) to identify the factors that determine
engagement in behaviors to reduce childhood exposure to toxic chemicals in the
environment. Structural equation modeling pertaining to three different
environmental toxins-bisphenol A, arsenic, and pesticides-demonstrated that
perceived normative pressure was the primary determinant of behavior across all
three models. Additionally, we identified two key distal variables-perceived risk
and information seeking-that not only increased the model's predictive power but
also consistently and positively predicted perceived social norms regarding
exposure reduction behaviors. Findings also suggest important differences across
these behaviors. Implications for health communication researchers and
practitioners developing interventions, communication campaigns, and persuasive
messages to promote prenatal and pediatric environmental health are discussed.
PMID- 27179288
TI - Activation and Self-Efficacy in a Randomized Trial of a Depression Self-Care
Intervention.
AB - OBJECTIVES: In a sample of primary care participants with chronic physical
conditions and comorbid depressive symptoms: to describe the cross-sectional and
longitudinal associations of activation and self-efficacy with demographic,
physical and mental health status, health behaviors, depression self-care, health
care utilization, and use of self-care tools; and to examine the effects of a
depression self-care coaching intervention on these two outcomes. Design/Study
Setting. A secondary analysis of activation and self-efficacy data collected as
part of a randomized trial to compare the effects of a telephone-based coached
depression self-care intervention with a noncoached intervention. Activation
(Patient Activation Measure) was measured at baseline and 6 months. Depression
self-care self-efficacy was assessed at baseline, at 3 months, and at 6 months.
PRINCIPAL FINDINGS: In multivariable cross-sectional analyses (n = 215),
activation and/or self-efficacy were associated with language, birthplace, better
physical and mental health, individual exercise, specialist visits, and
antidepressant nonuse. In longitudinal analyses (n = 158), an increase in
activation was associated with increased medication adherence; an increase in
self-efficacy was associated with use of cognitive self-care strategies and
increases in social and solitary activities. There were significant improvements
from baseline to 6 months in activation and self-efficacy scores both among
coached and noncoached groups. The self-care coaching intervention did not affect
6-month activation or self-efficacy but was associated with quicker improvement
in self-efficacy. CONCLUSIONS: Overall, the results for activation and self
efficacy were similar, although self-efficacy correlated more consistently than
activation with depression-specific behaviors and was responsive to a depression
self-care coaching intervention.
PMID- 27179289
TI - Emotional and Behavioral Aspects of Diabetes in American Indians/Alaska Natives.
AB - American Indians and Alaska Natives (AI/ANs) bear a disproportionate burden of
diabetes and associated long-term complications. Behavioral interventions play a
vital role in promoting diabetes medical and psychological outcomes, yet the
development of interventions for AI/AN communities has been limited. A systematic
review was conducted of studies focused on the psychosocial and behavioral
aspects of diagnosed diabetes among AI/ANs. Ovid and PubMed databases and
published reference lists were searched for articles published between 1987 and
2014 that related to the psychosocial and behavioral aspects of type 1 or type 2
diabetes in the AI/AN population. Twenty studies were identified that met the
inclusion criteria. Nineteen studies were observational and one study was
intervention based. Two of the studies used community-based participatory
research methodology. Of the 20 studies, 2 discussed cultural influences
associated with diabetes self-management and 10 identified the specific tribes
that participated in the study. Tribal affiliations among the studies were broad
with the number of AI/AN participants in each study ranging from 30 to 23,529
participants. Emotional and behavioral topics found in the literature were
adherence ( n = 2), depression ( n = 9), physical activity ( n = 3), psychosocial
barriers ( n = 1), social support ( n = 3), and stress ( n = 2). Relatively few
studies were identified using AI/AN populations over a 27-year period. This is in
stark contrast to what is known about the prevalence and burden that type 1 and
type 2 diabetes mellitus place on AI/AN communities. Future research should
promote community engagement through the use of community-based participatory
research methodologies, seek to further understand and describe the emotional and
behavioral context for diabetes self-management in this population, and develop
and test innovative interventions to promote the best possible diabetes outcomes.
PMID- 27179290
TI - Impact of Goal Setting and Goal Attainment Methods on Asthma Outcomes.
AB - Optimal use of goal-setting strategies in self-management efforts with high-risk
individuals with asthma is not well understood. This study aimed to describe
factors associated with goal attainment in an asthma self-management intervention
for African American women with asthma and determine whether goal attainment
methods proved beneficial to goal achievement and improved asthma outcomes. Data
came from 212 African American women in the intervention arm of a randomized
clinical trial evaluating a telephone-based asthma self-management program.
Telephone interview data were collected to assess goals and goal attainment
methods identified, asthma symptoms, asthma control, and asthma-related quality
of life at baseline and 2-year follow-up. Generalized estimating equations were
used to assess the long-term impact of goal setting and goal attainment methods
on outcomes. The average age of the sample was 42.1 years ( SD = 14.8). Factors
associated with goal attainment included higher education ( p < .01) and fewer
depressive symptoms ( p < .01). Using a goal attainment method also resulted in
more goals being achieved over the course of the intervention (Estimate [ SE] =
1.25 [0.18]; p < .001) when adjusted for clinical and demographic factors. Use of
and types of goal attainment methods and goals were not found to significantly
affect asthma control, quality of life, or frequency of nighttime asthma symptoms
at follow-up. Using a method to achieve goals led to greater goal attainment.
Goal attainment alone did not translate into improved asthma outcomes in our
study sample. Further studies are warranted to assess the challenges of self
management in chronic disease patients with complex health needs and how goal
setting and goal attainment methods can be strategically integrated into self
management efforts to improve health endpoints.
PMID- 27179291
TI - Challenges and Innovations in a Community-Based Participatory Randomized
Controlled Trial.
AB - Randomized controlled trials (RCTs) are a long-standing and important design for
conducting rigorous tests of the effectiveness of health interventions. However,
many questions have been raised about the external validity of RCTs, their
utility in explicating mechanisms of intervention and participants' intervention
experiences, and their feasibility and acceptability. In the current mixed
methods study, academic and community partners developed and implemented an RCT
to test the effectiveness of a collaboratively developed community-based
advocacy, learning, and social support intervention. The goals of the
intervention were to address social determinants of health and build trust and
connections with other mental health services in order to reduce mental health
disparities among Afghan, Great Lakes Region African, and Iraqi refugee adults
and to engage and retain refugees in trauma-focused treatment, if needed. Two
cohorts completed the intervention between 2013 and 2015. Ninety-three adult
refugees were randomly assigned to intervention or control group and completed
four research interviews (pre-, mid-, and postintervention, and follow-up).
Several challenges to conducting a community-based RCT emerged, including issues
related to interviewer intervention to assist participants in the control group,
diffusion of intervention resources throughout the small refugee communities, and
staff and community concerns about the RCT design and what evidence is meaningful
to demonstrate intervention effectiveness. These findings highlight important
epistemological, methodological, and ethical challenges that should be considered
when conducting community-based RCTs and interpreting results from them. In
addition, several innovations were developed to address these challenges, which
may be useful for other community-academic partnerships engaged in RCTs.
PMID- 27179292
TI - What Does Having Your Pack in Your Pocket Say About You? Characteristics and
Attitude Differences of Youth Carrying Tobacco at a Music Festival.
AB - The purpose of this study is to understand differences in demographics, frequency
of tobacco use, and tobacco-related attitudes between youth/young adults who
carry tobacco products and those who do not. Carrying tobacco is hypothesized to
affect individuals' smoker identity and thereby their tobacco-related attitudes.
Carriers are an influential peer group, often serving as social sources of
tobacco for others, setting tobacco-related social norms, and advertising tobacco
via the packs they carry. Thus, understanding their characteristics and attitudes
can aid in the targeting and tailoring of cessation messaging. In this study,
3,927 attendees ages 13 to 24 years at the Vans Warped Tour were surveyed using
iPads and asked to photograph any tobacco products they were currently carrying.
Current tobacco users were classified as proven carriers ( n = 363), unproven
carriers ( n = 182), or noncarriers ( n = 1,426). Carriers ( N = 545) were older,
were more often White, and used tobacco over twice as often as noncarriers.
Results indicated carriers and noncarriers differed significantly on most
antitobacco attitudes, with carriers feeling less strongly antitobacco.
PMID- 27179293
TI - Gleaning structural and functional information from correlations in protein
multiple sequence alignments.
AB - The availability of vast amounts of protein sequence data facilitates detection
of subtle statistical correlations due to imposed structural and functional
constraints. Recent breakthroughs using Direct Coupling Analysis (DCA) and
related approaches have tapped into correlations believed to be due to
compensatory mutations. This has yielded some remarkable results, including
substantially improved prediction of protein intra- and inter-domain 3D contacts,
of membrane and globular protein structures, of substrate binding sites, and of
protein conformational heterogeneity. A complementary approach is Bayesian
Partitioning with Pattern Selection (BPPS), which partitions related proteins
into hierarchically-arranged subgroups based on correlated residue patterns.
These correlated patterns are presumably due to structural and functional
constraints associated with evolutionary divergence rather than to compensatory
mutations. Hence joint application of DCA- and BPPS-based approaches should help
sort out the structural and functional constraints contributing to sequence
correlations.
PMID- 27179294
TI - The effects of lauromacrogol on thyroid tissue in rabbits. Is this a safe option
for the treatment of nodular thyroid disease?
AB - AIM: The effects of lauromacrogol as a sclerosing agent were evaluated on rabbit
thyroid tissue. MATERIAL AND METHODS: Twelve rabbits were divided into two equal
groups. Intra-thyroid injections of 0.1 ml lauromacrogol were administered in the
study group and 0.1 ml physiologic serum in the control group. The blood levels
of free T3, free T4, TSH, postoperative adhesions and histopathologic differences
of the thyroid tissues were evaluated. RESULTS: The values of serum free T3, free
T4 and TSH levels did not differ significantly (p>0.05), but the free T3 levels
were significantly different in the inter-group analysis (p=0.020). Postoperative
macroscopic adhesion scores did not differ significantly (p>0.05). In the
histopathologic evaluation, the inflammation and fibrosis scores were
significantly higher in the study group (p=0.003). DISCUSSION: In our study, we
found two important outcomes. Firstly, tests of thyroid function were not
significantly different between the control and study groups. This important
finding suggests lauromacrogol can be safely used without the risk of
hypothyroidism or hyperthyroidism. Secondly, the Erlich-Hunt Model
histopathologic evaluation results revealed that inflammation and fibrosis were
significantly increased in the study group. This finding shows lauromacrogol can
be effectively used to treat thyroid nodules by means of fibrosis. CONCLUSIONS:
Lauromacrogol causes fibrosis in thyroid tissue without significant perithyroidal
adhesion formation and functional differences. Treatment of nodular thyroid
disease with lauromacrogol may be safe. KEY WORDS: Lauromacrogol, Nodule,
Thyroid.
PMID- 27179295
TI - Surface-enhanced Raman scattering studies of the reduction of p-nitroaniline
catalyzed by a nanonized Ag porous-glass hybrid composite.
AB - Nanonized noble metal composites have been known for their excellent catalytic
properties. However, the mechanism and intermediates formed on the surfaces of
nanocatalysts during catalysis are speculated with mostly insufficient evidence.
In this study, to obtain further understanding of the roles of noble metal
nanocatalysts in a catalytic reaction, surface-enhanced Raman scattering (SERS)
was used to monitor the surfaces of silver (Ag) nanocatalysts. Furthermore, UV
Vis spectrometry was used to trace the concentration variations of reactants and
products in bulk solutions, thereby correlating the variations of the Ag
nanocatalyst surfaces with those in the bulk solutions. Nanonized Ag porous-glass
hybrid composites were prepared by reducing naked Ag nanoparticles on porous
glass filter plates and were used as catalysts for nitroanilines reduction. The
complete process was monitored using SERS and UV-Vis spectrometry simultaneously.
The results indicated that the reactant and product molecules adsorbed on the Ag
nanocatalysts can reach equilibrium, and the equilibrium is affected by the
reaction conditions, including reducing agent concentration, pH of the reaction
system, and temperature. In addition, the reduction of reactants in the bulk
solutions is also related to the behavior of Ag nanocatalyst surfaces.
Furthermore, Ag nanocatalysts can act as electron relays even if their surfaces
are occupied by reactants and products. Analyzing the collected SERS and UV-Vis
spectra can provide a new insight into Ag nanoparticle catalysis, and the role of
Ag nanocatalysts can be further comprehended.
PMID- 27179296
TI - The oxidant and laser power-dependent plasmon-driven surface photocatalysis
reaction of p-aminothiophenol dimerizing into p,p'-dimercaptoazobenzene on Au
nanoparticles.
AB - Recently, plasmon-driven surface photocatalysis (PDSPC) reactions have attracted
more and more attention by means of surface-enhanced Raman scattering (SERS)
because we can in situ monitor the reaction process and determine the final
products and their quantities by the real-time SERS spectrum. In this work, self
assembly AuNPs with both high catalytic activity and strong SERS effect were used
as a bifunctional platform for in situ monitoring of PDSPC reactions. p
Aminothiophenol (PATP), a famous model molecule, was selected as a probe molecule
and FeCl3 and NaClO were selected as oxidants. In this way, oxidation reaction of
PATP dimerizing into p,p'-dimercaptoazobenzene (DMAB) has been investigated by
SERS, and the results show that oxidant and laser power can alter the conversion
rate of the reaction. This work provides a novel approach for controlling PDSPC
reaction rate, which may be useful for understanding the mechanism of PDSPC
reactions.
PMID- 27179297
TI - Enhanced phosphorus removal from wastewater by growing deep-sea bacterium
combined with basic oxygen furnace slag.
AB - As one solid waste with potential for phosphorus removal, application of slags in
water treatment merits attention. But it was inhibited greatly by alkaline
solution (pH>9.5) and cemented clogging generated. To give one solution,
phosphorus removal was investigated by combining deep-sea bacterium Alteromonas
522-1 and basic oxygen furnace slag (BOFS). Results showed that by the
combination, not only higher phosphorous removal efficiency (>90%) but also
neutral solution pH of 7.8-8.0 were achieved at wide ranges of initial solution
pH value of 5.0-9.0, phosphorus concentration of 5-30mg/L, salinity of 0.5-3.5%,
and temperature of 15-35 degrees C. Moreover, sedimentary property was also
improved with lower amount of sludge production and alleviated BOFS cementation
with increased porosity and enlarged particle size. These results provided a
promising strategy for the phosphorus recovery with slags in large-scale
wastewater treatment.
PMID- 27179298
TI - Marine microalgae growth and carbon partitioning as a function of nutrient
availability.
AB - To understand in which way the structural differences of three marine microalgae
(Nannochloropsis gaditana, Rhodomonas marina and Isochrysis sp.) affect their
carbon partitioning, growth and applicability; a stoichiometric imbalance was
imposed by steady carbon and other nutrients variation. Towards high nutrients
concentrations/low carbon availability a decrease of 12-51% in C/N microalgae
ratio was observed and maximum cell densities were achieved. Moreover, linear
correlation between the nutrient input and microalgae protein content were
observed. The macromolecular ratios pointed that carbohydrate was the main
contributor for the C/N decrement. Although lipid content in R. marina remained
constant throughout the experiment, a rise of 37-107% in N. gaditana and
Isochrysis sp. was verified. Lipid fractions revealed high percentages of
glycolipids in all microalgae (57-73% of total lipids). The present study shows
an easy way to understand and modulate microalgae carbon partitioning relying on
the field of application.
PMID- 27179299
TI - Testing methods to support management decisions in coralligenous and cave
environments. A case study at Portofino MPA.
AB - Baseline data on the distribution, condition and extent of coralligenous and cave
bioconcretions is one of the main requirements of the European Marine Strategy
Framework Directive (MSFD) necessary to assess the achievement of a Good
Environmental Status (GES) by 2020. In this study the potential of remote sensing
and distribution modelling techniques to map, measure descriptors and choose
indicators were tested, that could provide standard methods for the assessment of
the health status and assist in monitoring activities. It is demonstrated how, by
combining different methodologies, it is possible to map the distribution of the
bioconcretions with acceptable accuracy and to discriminate the main habitat
types and facies. In addition, zonal statistical analysis revealed that fishing
activities primarily coincide with areas of high coverage of the bioconcretions.
Results demonstrate that the presented methodology is a valuable simple tool to
assess several MSFD descriptors and indicators, and could strengthen management
efficiency when making informed, ecologically relevant decisions.
PMID- 27179300
TI - Synthesis, structure, DFT calculations, electrochemistry, fluorescence, DNA
binding and molecular docking aspects of a novel oxime based ligand and its
palladium(II) complex.
AB - A novel oxime based ligand, phenyl-(pyridine-2-yl-hydrazono)-acetaldehyde oxime
(LH), and its palladium(II) complex (1) have been synthesised and
spectroscopically characterised. The ligand crystallizes in the monoclinic space
group (P21/c). The X-ray crystal structure of the ligand shows that it forms a
hydrogen bonded helical network. The ligand has been characterised by C, H and N
microanalyses, (1)H and (13)C NMR, ESI-MS, FT-IR and UV-Vis spectral
measurements. Geometry optimizations at the level of DFT show that the Pd(II)
centre is nested in a square-planar 'N3Cl' coordination chromophore. The
diamagnetic palladium complex has been characterised by C, H and N microanalyses,
FAB-MS, FT-IR, UV-Vis spectra and molar electrical conductivity measurements. The
observed electronic spectrum of 1 correlates with our theoretical findings as
evaluated through TD-DFT. 1 displays quasi-reversible Pd(II)/Pd(III) and
Pd(III)/Pd(IV) redox couples in its CV in acetonitrile. 1 is nine-fold more
emissive with respect to the binding ligand. Biophysical studies have been
carried out to show the DNA binding aspects of both the ligand and complex. The
binding constants for the ligand and complex were found to be 3.93*10(4) and
1.38*10(3)M(-1) respectively. To have an insight into the mode of binding of LH
and 1 with CT DNA a hydrodynamic study was also undertaken. The mode of binding
has also been substantiated through molecular docking. A promising groove binding
efficacy has been revealed for the ligand.
PMID- 27179301
TI - Angularly-selective transmission imaging in a scanning electron microscope.
AB - This work presents recent advances in transmission scanning electron microscopy
(t-SEM) imaging control capabilities. A modular aperture system and a cantilever
style sample holder that enable comprehensive angular selectivity of forward
scattered electrons are described. When combined with a commercially available
solid-state transmission detector having only basic bright-field and dark-field
imaging capabilities, the advances described here enable numerous transmission
imaging modes. Several examples are provided that demonstrate how contrast
arising from diffraction to mass-thickness can be obtained. Unanticipated image
contrast at some imaging conditions is also observed and addressed.
PMID- 27179302
TI - From classic ethology to modern neuroethology: overcoming the three biases in
social behavior research.
AB - A typical current study investigating the neurobiology of animal behavior is
likely restricted to male subjects, of standard inbred mouse strains, tested in
simple behavioral assays under laboratory conditions. This approach enables the
use of advanced molecular tools, alongside standardization and reproducibility,
and has led to tremendous discoveries. However, the cost is a loss of genetic and
phenotypic diversity and a divergence from ethologically-relevant behaviors. Here
we review the pros and cons in behavioral neuroscience studies of the new era,
focusing on reproductive behaviors in rodents. Recent advances in molecular
technology and behavioral phenotyping in semi-natural conditions, together with
an awareness of the critical need to study both sexes, may provide new insights
into the neural mechanisms underlying social behaviors.
PMID- 27179303
TI - Time trends of polybrominated diphenyl ethers in East China Seas: Response to the
booming of PBDE pollution industry in China.
AB - The East China Seas (ECSs) are the receptors of the land-based persistent organic
pollutants (POPs), such as polybrominated diphenyl ethers (PBDEs) mainly from
China through atmospheric deposition driven by the East Asian winter monsoon and
fluvial discharge (e.g., Yangtze River and Yellow River), making the offshore
sediments to be a good proxy to reconstruct history of those pollutants in China.
In this work, four well-placed sediment cores were extracted from the mud areas
in the ECSs, and the (210)Pb-dated cores were analyzed to yield historical
concentration profiles of PBDEs in the area. The results showed that there was a
persistent increase from 1970s and almost no clear sign in the decline of PBDEs
until recently in China. More importantly, there was a faster increase of PBDEs
from 1990s in China; while this period was just shown as gradually leveling off
or even declining trends in developed countries. The persistently increasing
trend of PBDEs in China since 1990s could be largely due to the booming of the
manufacturing industry and unexpectedly transfer of PBDE pollution from developed
countries to China. Besides, the relative high concentrations and fluxes of PBDEs
in the core from coastal ECS located near a well-known electrical/electronic
waste (e-waste) recycling area suggests an important contribution of PBDE
emissions from recycling centers of e-waste in China. Although efforts in
environmental monitoring of PBDE contamination have been substantially increased
over the last decade, China is still lagging behind in terms of nationwide
regulation of PBDE usage and treatment of disposal PBDE-containing products.
PMID- 27179304
TI - Oxymatrine attenuates CCl4-induced hepatic fibrosis via modulation of TLR4
dependent inflammatory and TGF-beta1 signaling pathways.
AB - Oxymatrine (OMT) is able to effectively protect against hepatic fibrosis because
of its anti-inflammatory property, while the underlying mechanism remains
incompletely understood. In this study, forty rats were randomly divided into
five groups: control group, model group (carbon tetrachloride, CCl4) and three
OMT treatment groups (30, 60, 120mg/kg). After CCl4 alone, the fibrosis score was
20.2+/-0.8, and the level of alanine aminotransferase (ALT), aspartate
aminotransferase (AST), hydroxyproline content, and collagen I expression was
elevated, but OMT blunted these parameters. Treatment with OMT prevented CCl4
induced increases in expression of pro-inflammatory and pro-fibrotic cytokines
interleukin (IL)-6 and tumor necrosis factor (TNF)-alpha, meanwhile OMT promoted
the expression of anti-inflammatory and anti-fibrotic factors such as interleukin
(IL)-10 and bone morphogenetic protein and activin membrane-bound inhibitor
(Bambi). Moreover, lipopolysaccharides (LPS) and high mobility group box-1
(HMGB1), which activates Toll-like receptor 4 (TLR4) and modulate hepatic
fibrogenesis through hepatic stellate cells (HSCs) or Kupffer cells, were
significantly decreased by OMT treatment. These results were further supported by
in vitro data. First, OMT suppressed the expression of TLR4 and its downstream
pro-inflammatory cytokines, lowered the level of HMGB1, TGF-beta1 in macrophages.
Then, OMT promoted Bambi expression and thereby inhibited activation of HSCs
mediated by transforming growth factor (TGF)-beta1. In conclusion, this study
showed that OMT could effectively attenuate the CCl4-induced hepatic fibrosis,
and this effect may be due to modulation of TLR4-dependent inflammatory and TGF
beta1 signaling pathways.
PMID- 27179305
TI - Matrine ameliorates spontaneously developed colitis in interleukin-10-deficient
mice.
AB - Interleukin-10 (IL-10)-deficient mice spontaneously develop T cell-mediated
colitis. Previous reports have shown that Matrine may reduce the symptoms of
acute colitis induced by trinitrobenzene sulfonic acid (TNBS). However, whether
Matrine impacts chronic colitis remains unknown. In this study, we investigated
whether Matrine could limit the symptoms of spontaneously developed colitis and
its potential molecular mechanisms. IL-10 deficient mice were given Matrine or a
PBS control by oral gavage daily for 4weeks and were euthanized at week 2 or week
4. We measured body weight, colon length and weight, and histological scores. We
also evaluated the spontaneous secretion of IL-12/23p40, IFN-gamma and IL-17 in
colon explant cultures as well as IFN-gamma and IL-17 secretion in unseparated
mesenteric lymph node (MLN) cells, and assessed IFN-gamma, IL-17, IL-1beta and IL
6 mRNA expression in colon tissue. In addition, we analyzed the proportions of
CD4-positive and CD8-positive cells in unseparated MLN cells. Our results show
that Matrine-treated mice exhibited better body weight recovery than controls and
that histological scores and spontaneously secreted IL-12/23p40, IFN-gamma and IL
17 in colon tissue were significantly decreased in treated mice compared with
controls. The proportion of CD4-positive cells of MLNs in treated mice was
significantly smaller than that in controls at week 4. Both cytokine production
and mRNA expression of IFN-gamma and IL-17 were significantly reduced in treated
mice compared with controls. Taken together, our results indicate that Matrine
may ameliorate spontaneously developed chronic colitis and could be considered as
a therapeutic alternative for chronic colitis.
PMID- 27179307
TI - Microstructures, mechanical properties and corrosion resistances of extruded Mg
Zn-Ca-xCe/La alloys.
AB - Magnesium alloys are considered as good candidates for biomedical applications,
the influence of Ce/La microalloying on the microstructure, mechanical property
and corrosion performance of extruded Mg-5.3Zn-0.6Ca (wt%) alloy has been
investigated in the current study. After Ce/La addition, the conventional
Ca2Mg6Zn3 phases are gradually replaced by new Mg-Zn-Ce/La-(Ca) phases (T1'),
which can effectively divide the Ca2Mg6Zn3 phase. The Ca2Mg6Zn3/T1' structure in
Mg-Zn-Ca-0.5Ce/La alloy is favorably broken into small particles during the
extrusion, resulting in an obvious refinement of secondary phase. The dynamic
recrystallized grain size is dramatically decreased after 0.5Ce/La addition, and
the tensile yield strength is improved, while further addition reverses the
effect, due to the grain coarsening. However, the corrosion resistance of
extruded Mg-Zn-Ca alloy deteriorates after Ce/La addition, because the diameter
of secondary phase particle is remarkably decreased, which increases the amount
of cathodic sites and accelerates the galvanic corrosion process.
PMID- 27179306
TI - Tetrandrine regulates hepatic stellate cell activation via TAK1 and NF-kappaB
signaling.
AB - We investigated the anti-fibrotic mechanism of tetrandrine, a
bisbenzylisoquinoline alkaloid from the Chinese herb, Stephania tetrandra, on the
immortalized HSC-T6 rat hepatic stellate cell line. Tetrandrine (0.39-50MUM) dose
and time-dependently inhibited HSC-T6 cell viability within 24h and exhibited
almost no cytotoxicity at concentrations lower than 6.25MUM in the presence of
tumor necrosis factor-alpha (TNF-alpha). At a much high concentration (50MUM),
tetrandrine caused fatal cytotoxity in both HSCs and hepatocytes. TNF-alpha time
dependently increased alpha-smooth muscle actin (alpha-SMA) expression, while a
lower concentration of tetrandrine (6.25MUM) prior to TNF-alpha treatment reduced
the expression of alpha-SMA and TNFR-1-associated death domain (TRADD). TNF-alpha
treatment induced TGF-beta-activated kinase-1 (TAK1) and c-Jun N-terminal kinase
(JNK) phosphorylation, which were attenuated by tetrandrine. Furthermore, TNF
alpha treatment activated nuclear factor-kappaB (NF-kappaB) nuclear translocation
and IkappaB-alpha degradation. Tetrandrine treatment prior to TNF-alpha reduced
nuclear phosphorylated and total NF-kappaB p65, while the cytosolic IkappaB-alpha
and NF-kappaB p65 levels significantly increased. In addition, treatment with
only tetrandrine induced the cleavage of caspase-3 and PARP within a range of
higher concentrations. Tetrandrine-induced apoptosis was confirmed by the TUNEL
assay and flow-cytometric analysis. Treatment with only tetrandrine markedly
reduced alpha-SMA expression, except for at lower concentrations of tetrandrine.
A higher concentration of tetrandrine (25MUM) induced a significant increase in
JNK and extracellular signal-regulated kinase (ERK) phosphorylation, NF-kappaB
nuclear translocation and IkappaB-alpha degradation. In conclusion, the anti
fibrogenic effects of tetrandrine on HSCs involved a dosage-dependent signaling
pathway, based on the tetrandrine concentration, by regulating TAK1, JNK and NF
kappaB. The present data provides strong evidence for the anti-fibrotic dosage
dependent signaling pathway of tetrandrine.
PMID- 27179308
TI - Perceived quality in Day Surgery Units Proposal of an enquiry postoperative
questionnaire.
AB - INTRODUCTION: Assessing patient satisfaction could be particularly useful in Day
Surgery Units, as it helps maintaining and increasing medical care demand.
Moreover, it provides feedback that turns out useful for improving quality in
departments, and for assessing competence and skill of the whole staff.
Background and aim - The purpose of this study was to evaluate the quality
perceived in a day surgery unit through a questionnaire, covering the 10 main
aspects of the care pathway. MATERIALS AND METHODS: The results of a
questionnaire filled by patients undergoing Day Surgery between January 2007 and
December 2012 were retrospectively reviewed. Patients undergoing surgery between
2007 and 2009 filled up the questionnaire at the time of the discharge, whereas
those operated on between 2009 and 2012 filled up the same questionnaire 30 days
after discharge. RESULTS: The results were good in terms of number of returned
questionnaires, underlining its comprehensibility and suitability to be filled
out. The questionnaires' scores were good in both groups, although quality
perceived by the group that completed it in 30 days after surgery were lightly
better than the other group's. CONCLUSIONS: The advantages of the questionnaire
consisted of an overall improvement of the quality of care, whereas limitations
consisted of the difficulty in setting up the questionnaire accurately,
interpreting patients' answers correctly, and dispensing the questionnaire in a
timely fashion, in order to evaluate the quality perceived by the patients
without any bias related to delay, pain and anxiety. KEY WORDS: Day Surgery,
Nursing, Questionnaire, Quality.
PMID- 27179309
TI - Language and memory for object location.
AB - In three experiments, we investigated the influence of two types of language on
memory for object location: demonstratives (this, that) and possessives (my,
your). Participants first read instructions containing demonstratives/possessives
to place objects at different locations, and then had to recall those object
locations (following object removal). Experiments 1 and 2 tested contrasting
predictions of two possible accounts of language on object location memory: the
Expectation Model (Coventry, Griffiths, & Hamilton, 2014) and the congruence
account (Bonfiglioli, Finocchiaro, Gesierich, Rositani, & Vescovi, 2009). In
Experiment 3, the role of attention allocation as a possible mechanism was
investigated. Results across all three experiments show striking effects of
language on object location memory, with the pattern of data supporting the
Expectation Model. In this model, the expected location cued by language and the
actual location are concatenated leading to (mis)memory for object location,
consistent with models of predictive coding (Bar, 2009; Friston, 2003).
PMID- 27179310
TI - Platelet reactivity in patients receiving a maintenance dose of P2Y12-ADP
receptor antagonists undergoing elective percutaneous coronary intervention.
AB - BACKGROUND: P2Y12-ADP receptor blockade during percutaneous coronary intervention
(PCI) is critical to prevent thrombotic events. In patients under chronic P2Y12
blockers, the use of additional loading dose (LD) before an elective PCI is
debated. We aimed to investigate the rate of high on-treatment platelet
reactivity (HTPR) in patients undergoing elective PCI during chronic clopidogrel
or ticagrelor therapy. METHODS AND RESULTS: We performed a sub-group analysis of
a randomized trial comparing ticagrelor and clopidogrel in acute coronary
syndrome (ACS) patients undergoing PCI. Multi-vessel disease patients requiring a
staged PCI one month after the ACS were included. The VASP (vasodilatatory
phosphoprotein) index, which is a specific and reproducible platelet assay to
measure P2Y12-ADP receptor activity, was used to assess the biological efficacy
of the maintenance dose (MD) of ticagrelor and clopidogrel before PCI. Forty-one
patients in each group of randomization required a staged PCI. They were similar
regarding the baseline demographic, clinical and angiographic characteristics.
The mean VASP index in the ticagrelor group was 20.7+/-8.8% compared to 51.8+/
17% in the clopidogrel group (p<0.001) before PCI. No patients had a VASP index
>=50% in the ticagrelor group compared to 56% in the clopidogrel group (p<0.001).
Following PCI the rate of peri-procedural MI was higher in the clopidogrel group
(p=0.02). CONCLUSIONS: Unlike clopidogrel MD, ticagrelor MD achieves an optimal
PR inhibition in all patients during a staged PCI.
PMID- 27179311
TI - CSF and plasma adipokines after tonic-clonic seizures.
AB - PURPOSE: Adipokines, especially leptin and adiponectin, have gained increasing
importance in pathophysiology of various neurological diseases including
epilepsy. There are experimental data suggesting a role for leptin in the genesis
of seizures and neuroprotection related to seizures. However there are no
clinical studies on the effects of epileptic seizures on adipokines. METHODS: We
measured cerebrospinal fluid (CSF) and plasma levels of leptin, adiponectin and
adipsin after provoked or unprovoked primary or secondarily generalized tonic
clonic seizures in 13 female patients and seven controls. The samples were taken
within 24h after the seizure onset. RESULTS: Leptin plasma levels correlated
negatively with the time to sample withdrawal, i.e. the longer the time interval
between the seizure and the sample the lower the leptin levels in the patients.
Interestingly, plasma adiponectin levels were significantly increased after the
seizure episode. CONCLUSION: This study provides further evidence that there are
seizure-induced acute changes in adipokine metabolism. Leptin concentrations seem
to decrease during the first 24h after the seizure whereas adiponectin levels
increase. The meaning of this response is far from clear, but it might be an
endogenous attempt to prevent harmful effects of epileptic seizures in the
central nervous system.
PMID- 27179312
TI - Amphetamine alters neural response to sucrose in healthy women.
AB - Amphetamine, likely via action on the brain's dopaminergic systems, induces
anorectic eating behavior and blunts dopaminergic midbrain activation to rewards.
Past work has hypothesized that this blunted reward responsivity is a result of
increasing tonic over phasic DA activity. We sought to extend past findings to
sweet taste during fMRI following single-blind administration of
dextroamphetamine and placebo in 11 healthy women. We hypothesized that neural
response in both limbic and cognitive sweet taste circuits would mirror past work
with monetary rewards by effectively blunting sweet taste reward, and
'equalizing' it's rewarding taste with receipt of water. Behavioral results
showed that amphetamine reduced self-reported hunger (supporting the existence of
amphetamine anorexia) and increased self-report euphoria. In addition, region of
Interest analysis revealed significant treatment by taste interactions in the
middle insula and dorsal anterior cingulate confirming the 'equalizing'
hypothesis in the cingulate, but unlike monetary reinforcers, the insula actually
evinced enhanced separation between tastes on the amphetamine day. These results
suggest a divergence from prior research using monetary reinforcers when extended
to primary reinforcers, and may hint that altering dopaminergic signaling in the
insula and anterior cingulate may be a target for pharmacological manipulation of
appetite, and the treatment of obesity.
PMID- 27179313
TI - Reproducibility of hippocampal atrophy rates measured with manual, FreeSurfer,
AdaBoost, FSL/FIRST and the MAPS-HBSI methods in Alzheimer's disease.
AB - The purpose of this study is to assess the reproducibility of hippocampal atrophy
rate measurements of commonly used fully-automated algorithms in Alzheimer
disease (AD). The reproducibility of hippocampal atrophy rate for FSL/FIRST,
AdaBoost, FreeSurfer, MAPS independently and MAPS combined with the boundary
shift integral (MAPS-HBSI) were calculated. Back-to-back (BTB) 3D T1-weighted
MPRAGE MRI from the Alzheimer's Disease Neuroimaging Initiative (ADNI1) study at
baseline and year one were used. Analysis on 3 groups of subjects was performed -
562 subjects at 1.5T, a 75 subject group that also had manual segmentation and
111 subjects at 3T. A simple and novel statistical test based on the binomial
distribution was used that handled outlying data points robustly. Median
hippocampal atrophy rates were -1.1%/year for healthy controls, -3.0%/year for
mildly cognitively impaired and -5.1%/year for AD subjects. The best
reproducibility was observed for MAPS-HBSI (1.3%), while the other methods tested
had reproducibilities at least 50% higher at 1.5T and 3T which was statistically
significant. For a clinical trial, MAPS-HBSI should require less than half the
subjects of the other methods tested. All methods had good accuracy versus manual
segmentation. The MAPS-HBSI method has substantially better reproducibility than
the other methods considered.
PMID- 27179314
TI - Greater hippocampal volume is associated with PTSD treatment response.
AB - Previous research associates smaller hippocampal volume with posttraumatic stress
disorder (PTSD). It is unclear, however, whether treatment affects hippocampal
volume or vice versa. Seventy-six subjects, 40 PTSD patients and 36 matched
trauma-exposed healthy resilient controls, underwent clinical assessments and
magnetic resonance imaging (MRI) at baseline, and 10 weeks later, during which
PTSD patients completed ten weeks of Prolonged Exposure (PE) treatment. The
resilient controls and treatment responders (n=23) had greater baseline
hippocampal volume than treatment non-responders (n=17) (p=0.012 and p=0.050,
respectively), perhaps due to more robust fear-extinction capacity in both the
initial phase after exposure to trauma and during treatment.
PMID- 27179315
TI - Pallidum and lateral ventricle volume enlargement in autism spectrum disorder.
AB - Studies on structural brain abnormalities in individuals with autism spectrum
disorders (ASD) have been of limited size and many findings have not been
replicated. In the largest ASD brain morphology study to date, we compared
subcortical, total brain (TBV), and intracranial (ICV) volumes between 472
subjects with DSM-IV ASD diagnoses and 538 healthy volunteers (age range: 6-64
years), obtained from high-resolution structural brain scans provided by the
Autism Brain Imaging Data Exchange (ABIDE). Compared to healthy volunteers, we
found significantly larger pallidum (Cohen's d=0.15) and lateral ventricle
volumes (Cohen's d=0.18) in ASD. These enlargements were independent of total
brain volume and IQ, passed FDR correction for multiple comparisons, and were
observed in overall, male-only, and medication-free subjects. In addition,
intracranial, hippocampal, and caudate volumes were enlarged in ASD at a nominal
statistical threshold of p<0.05. This study provides the first robust evidence
for pallidum enlargement in ASD independent from TBV and encourages further study
of the functional role of the pallidum in individuals with autism spectrum
disorder.
PMID- 27179316
TI - Iron biofortification of wheat grains through integrated use of organic and
chemical fertilizers in pH affected calcareous soil.
AB - Incidence of iron (Fe) deficiency in human populations is an emerging global
challenge. This study was conducted to evaluate the potential of iron sulphate
combined with biochar and poultry manure for Fe biofortification of wheat grains
in pH affected calcareous soil. In first two incubation studies, rates of sulfur
(S) and Fe combined with various organic amendments for lowering pH and Fe
availability in calcareous soil were optimized. In pot experiment, best rate of
Fe along with biochar (BC) and poultry manure (PM) was evaluated for Fe
biofortification of wheat in normal and S treated low pH calcareous soil. Fe
applied with BC provided fair increase in root-shoot biomass and photosynthesis
up to 79, 53 and 67%, respectively in S treated low pH soil than control. Grain
Fe and ferritin concentration was increased up to 1.4 and 1.2 fold, respectively
while phytate and polyphenol was decreased 35 and 44%, respectively than control
in treatment where Fe was applied with BC and S. In conclusion, combined use of
Fe and BC could be an effective approach to improve growth and grain Fe
biofortification of wheat in pH affected calcareous soil.
PMID- 27179317
TI - Is synergistic organisation of muscle coordination altered in people with lateral
epicondylalgia? A case-control study.
AB - BACKGROUND: Lateral epicondylalgia is a common musculoskeletal disorder and is
associated with deficits in the motor system including painful grip. This study
compared coordination of forearm muscles (muscle synergies) during repeated
gripping between individuals with and without lateral epicondylalgia. METHODS:
Twelve participants with lateral epicondylalgia and 14 controls performed 15
cyclical repetitions of sub-maximal (20% maximum grip force of asymptomatic arm),
pain free dynamic gripping in four arm positions: shoulder neutral with elbow
flexed to 90 degrees and shoulder flexed to 90 degrees with elbow extended both
with forearm pronated and neutral. Muscle activity was recorded from extensor
carpi radialis brevis/longus, extensor digitorum, flexor digitorum
superficialis/profundus, and flexor carpi radialis, with intramuscular
electrodes. Muscle synergies were extracted using non-negative matrix
factorisation. FINDINGS: Analysis of each position and participant, demonstrated
that two muscle synergies accounted for >97% of the variance for both groups.
Between-group differences were identified after electromyography patterns of the
control group were used to reconstruct the patterns of the lateral epicondylalgia
group. A greater variance accounted for was identified for the controls than
lateral epicondylalgia (p=0.009). This difference might be explained by an
additional burst of flexor digitorum superficialis electromyography during grip
release in many lateral epicondylalgia participants. INTERPRETATION: These data
provide evidence of some differences in synergistic organisation of activation of
forearm muscles between individuals with and without lateral epicondylalgia. Due
to study design it is not possible to elucidate whether changes in the
coordination of muscle activity during gripping are associated with the cause or
effect of lateral epicondylalgia.
PMID- 27179318
TI - A two-step flocculation process on oil sands tailings treatment using oppositely
charged polymer flocculants.
AB - Water management and treatment of mineral tailings and oil sands tailings are
becoming critical challenges for the sustainable development of natural
resources. Polymeric flocculants have been widely employed to facilitate the
flocculation and settling of suspended fine solid particles in tailings,
resulting in the separation of released water and solid sediments. In this study,
a new flocculation process was developed for the treatment of oil sands tailings
by using two oppositely charged polymers, i.e. an anionic polyacrylamide and a
natural cationic biopolymer, chitosan. The new process was able to not only
improve the clarity of supernatant after settling but also achieve a high
settling efficiency. Treatment of the oil sands tailings using pure anionic
polyacrylamide showed relatively high initial settling rate (ISR) of ~10.3m/h but
with poor supernatant clarity (>1000NTU); while the treatment using pure cationic
polymer resulted in clear supernatant (turbidity as low as 22NTU) but relatively
low ISR of >2m/h. In the new flocculation process, the addition of anionic
polyacrylamide to the tailings was followed by a cationic polymer, which showed
both a high ISR (~7.7m/h) and a low turbidity (71NTU) of the supernatant. The
flocculation mechanism was further investigated via the measurements of floc
size, zeta potential and surface forces. The new flocculation process was
revealed to include two steps: (1) bridging of fine solids by anionic
polyacrylamide, and (2) further aggregation and flocculation mediated by charge
neutralisation of the cationic polymer, which significantly eliminated the fine
solids in the supernatants as well as increases floc size. Our results provide
insights into the basic understanding of the interactions between polymer
flocculants and solid particles in tailings treatment, as well as the development
of novel tailings treatment technologies.
PMID- 27179319
TI - The impact of Great Cormorants on biogenic pollution of land ecosystems: Stable
isotope signatures in small mammals.
AB - Studying the isotopic composition of the hair of two rodent species trapped in
the territories of Great Cormorant colonies, we aimed to show that Great
Cormorants transfer biogens from aquatic ecosystems to terrestrial ecosystems,
and that these substances reach small mammals through the trophic cascade, thus
influencing the nutrient balance in the terrestrial ecosystem. Analysis of
delta(13)C and delta(15)N was performed on two dominant species of small mammals,
Apodemus flavicollis and Myodes glareolus, inhabiting the territories of the
colonies. For both species, the values of delta(13)C and delta(15)N were higher
in the animals trapped in the territories of the colonies than those in control
territories. In the hair of A. flavicollis and M. glareolus, the highest values
of delta(15)N (16.31+/-3.010/00 and 17.86+/-2.760/00, respectively) were
determined in those animals trapped in the biggest Great Cormorant colony.
delta(15)N values were age dependent, highest in adult A. flavicollis and M.
glareolus and lowest in juvenile animals. For delta(13)C values, age-dependent
differences were not registered. delta(15)N values in both small mammal species
from the biggest Great Cormorant colony show direct dependence on the intensity
of influence. Biogenic pollution is at its strongest in the territories of the
colonies with nests, significantly diminishing in the ecotones of the colonies
and further in the control zones, where the influence of birds is negligible.
Thus, Great Cormorant colonies alter ecosystem functioning by enrichment with
biogens, with stable isotope values in small mammals significantly higher in the
affected territories.
PMID- 27179320
TI - Temporal trends in drug use in Adelaide, South Australia by wastewater analysis.
AB - Analysis of municipal wastewater for drug metabolites can reveal the scale of
drug use within communities. An Australian city with a population of 1.2million
inhabitants was assessed for 4 stimulants: cocaine, methamphetamine, 3.4
methylenedioxymethamphetamine (MDMA) and amphetamine; 6 opioids: codeine,
morphine, heroin, fentanyl, oxycodone and methadone; 11 new psychoactive
substances (NPS); benzylpiperazine (BZP), trifluoromethylphenylpiperazine
(TFMPP), methcathinone, methylone, mephedrone, methylenedioxypyrovalerone (MDPV),
alpha pyrrolidinopentiophenone (alpha-PVP), paramethoxyamphetamine (PMA), 25C
NBOMe, 25B-NBOMe, 25I-NBOMe; and cannabis, for up to four years between December
2011 and December 2015. Temporal trends revealed increasing usage rates of
methamphetamine, cocaine, oxycodone, and fentanyl, while decreasing rates of use
were observed for MDMA, BZP and methylone. Use of other opioids and cannabis was
generally stable across years, while use of new psychoactive substances
fluctuated without an apparent direction. Opioids and cannabis were used at a
consistent level through the course of the week, while use of stimulants and some
NPS increased on the weekend. Seasonal differences in use were observed for MDMA
and cannabis (p$_amp_$lt;0.05) where, on average, MDMA use was approximately 90%
higher in December than in other months and cannabis use was approximately 45%
lower in each February. Residual month-to-month variability measures on trend
free data showed NPS use had higher variability than the stimulants and opioids.
Frequent wastewater sampling and analysis over prolonged periods has yielded
valuable insights into long-term drug use trends, in some instances revealed
important within-year trends, and demonstrated the differing patterns of use of
drugs on weekends compared to weekdays.
PMID- 27179321
TI - Abandoned PbZn mining wastes and their mobility as proxy to toxicity: A review.
AB - Lead and zinc (PbZn) mines are a common occurrence worldwide; and while
approximately 240 mines are active, the vast majority have been abandoned for
decades. Abandoned mining wastes represent a serious environmental hazard, as Pb,
Zn and associated metals are continuously released into the environment,
threatening the health of humans and affecting ecosystems. Iron sulfide minerals,
when present, can form acid mine drainage and increase the toxicity by mobilizing
the metals into more bioavailable forms. Remediation of the metal waste is costly
and, in the case of abandoned wastes, the responsible party(ies) for the cleanup
can be difficult to determine, which makes remediation a complex and lengthy
process. In this review, we provide a common ground from a wide variety of
investigations about concentrations, chemical associations, and potential
mobility of Pb, Zn and cadmium (Cd) near abandoned PbZn mines. Comparing mobility
results is a challenging task, as instead of one standard methodology, there are
4-5 different methods reported. Results show that, as a general consensus, the
metal content of soils and sediments vary roughly around 1000mg/kg for Zn, 100
for Pb and 10 for Cd, and mobilities of Cd>Zn>Pb. Also, mobility is a function of
pH, particle size, and formation of secondary minerals. New and novel remediation
techniques continue to be developed in laboratories but have seldom been applied
to the field. Remediation at most of the sites has consisted of neutralization
(e.g. lime,) for acid mine discharge, and leveling followed by
phytostabilization. In the latter, amendments (e.g. biochar, fertilizers) are
added to boost the efficiency of the treatment. Any remediation method has to be
tested before being implemented as the best treatment is site-specific. Potential
treatments are described and compared.
PMID- 27179322
TI - Modeled effects of soil acidification on long-term ecological and economic
outcomes for managed forests in the Adirondack region (USA).
AB - Sugar maple (Acer saccharum) is among the most ecologically and economically
important tree species in North America, and its growth and regeneration is often
the focus of silvicultural practices in northern hardwood forests. A key stressor
for sugar maple (SM) is acid rain, which depletes base cations from poorly
buffered forest soils and has been associated with much lower SM vigor, growth,
and recruitment. However, the potential interactions between forest management
and soil acidification - and their implications for the sustainability of SM and
its economic and cultural benefits - have not been investigated. In this study,
we simulated the development of 50 extant SM stands in the western Adirondack
region of NY (USA) for 100years under different soil chemical conditions and
silvicultural prescriptions. We found that interactions between management
prescription and soil base saturation will strongly shape the ability to maintain
SM in managed forests. Below 12% base saturation, SM did not regenerate
sufficiently after harvest and was replaced mainly by red maple (Acer rubrum) and
American beech (Fagus grandifolia). Loss of SM on acid-impaired sites was
predicted regardless of whether the shelterwood or diameter-limit prescriptions
were used. On soils with sufficient base saturation, models predicted that SM
will regenerate after harvest and be sustained for future rotations. We then
estimated how these different post-harvest outcomes, mediated by acid impairment
of forest soils, would affect the potential monetary value of ecosystem services
provided by SM forests. Model simulations indicated that a management strategy
focused on syrup production - although not feasible across the vast areas where
acid impairment has occurred - may generate the greatest economic return.
Although pollution from acid rain is declining, its long-term legacy in forest
soils will shape future options for sustainable forestry and ecosystem
stewardship in the northern hardwood forests of North America.
PMID- 27179323
TI - The regulation of ascorbate biosynthesis.
AB - We review the regulation of ascorbate (vitamin C) biosynthesis, focusing on the l
galactose pathway. We discuss the regulation of ascorbate biosynthesis at the
level of gene transcription (both repression and enhancement) and translation
(feedback inhibition of translation by ascorbate concentration) and discuss the
eight proteins that have been demonstrated to date to affect ascorbate
concentration in plant tissues. GDP-galactose phosphorylase (GGP) and GDP-mannose
epimerase are critical steps that regulate ascorbate biosynthesis. These and
other biosynthetic genes are controlled at the transcriptional level, while GGP
is also controlled at the translational level. Ascorbate feedback on enzyme
activity has not been observed unequivocally.
PMID- 27179324
TI - Escherichia coli out in the cold: Dissemination of human-derived bacteria into
the Antarctic microbiome.
AB - Discharge of untreated sewage into Antarctic environments presents a risk of
introducing non-native microorganisms, but until now, adverse consequences have
not been conclusively identified. Here we show that sewage disposal introduces
human derived Escherichia coli carrying mobile genetic elements and virulence
traits with the potential to affect the diversity and evolution of native
Antarctic microbial communities. We compared E. coli recovered from environmental
and animal sources in Antarctica to a reference collection of E. coli from humans
and non-Antarctic animals. The distribution of phylogenetic groups and frequency
of 11 virulence factors amongst the Antarctic isolates were characteristic of E.
coli strains more commonly associated with humans. The rapidly emerging E. coli
ST131 and ST95 clones were found amongst the Antarctic isolates, and ST95 was the
predominant E. coli recovered from Weddell seals. Class 1 integrons were found in
15% of the Antarctic E. coli with 4 of 5 identified gene cassette arrays
containing antibiotic resistance genes matching those common in clinical
contexts. Disposing untreated sewage into the Antarctic environment does
disseminate non-native microorganisms, but the extent of this impact and
implications for Antarctic ecosystem health are, as yet, poorly understood.
PMID- 27179325
TI - Stereoselective induction by 2,2',3,4',6-pentachlorobiphenyl in adult zebrafish
(Danio rerio): Implication of chirality in oxidative stress and bioaccumulation.
AB - This study aimed to investigate the oxidative stress process and bioaccumulation
the racemic/(-)-/(+)- 2,2',3,4',6-pentachlorobiphenyl were administered to adult
zebrafish (Danio rerio) after prolonged exposure of 56-days uptake and 49-days
depuration experiments. Stereoselective accumulation was observed in adult
samples after racemic exposure as revealed by decreased enantiomer fractions. The
two enantiomers of PCB91 accumulated at different rates with logBCFk values close
to 3.7, suggesting that they were highly hazardous and persistent pollutants.
Exposure to racemic/(-)-/(+)- PCB91 stereoselectively induced oxidative stress
owing to changes in reactive oxygen species, malondialdehyde contents,
antioxidant enzyme activities and gene expressions in brain and liver tissues. In
addition, the stereoselective relationship between bioconcentration and oxidative
stress were also presented in this study. Our findings might be helpful for
elucidating the environmental risk of the two enantiomers of PCB91 that induce
toxicity in aquatic organisms.
PMID- 27179326
TI - Presence of trace metals in aquaculture marine ecosystems of the northwestern
Mediterranean Sea (Italy).
AB - Information regarding chemical pollutant levels in farmed fish and shellfish,
along with the risks associated with their consumption is still scarce. This
study was designed to assess levels of exposure to 21 trace elements in fish
(Dicentrarchus labrax), mussels (Mytilus galloprovincialis) and oysters
(Crassostrea gigas) collected from aquaculture marine ecosystems of the
northwestern Mediterranean Sea. Metal concentrations showed great variability in
the three species; the highest values of the nonessential elements As and Cd were
found in oysters while the highest levels of Al, Pb and V were found in mussels.
The essential elements Cu, Mn and Zn were highest in oysters, but Fe, Cr, Ni, Se,
Co and Mo levels were highest in mussels. Fish had the lowest concentrations for
all trace elements, which were at least one order of magnitude lower than in
bivalves. The rare earth elements cerium and lanthanum were found at higher
levels in mussels than in oysters, but undetectable in fish. The maximum values
set by European regulations for Hg, Cd and Pb were never exceeded in the examined
samples. However, comparing the estimated human daily intakes (EHDIs) with the
suggested tolerable copper and zinc intakes suggested a potential risk for
frequent consumers of oysters. Similarly, people who consume high quantities of
mussels could be exposed to concentrations of Al that exceed the proposed TWI
(tolerable weekly intake).
PMID- 27179327
TI - Estimation of anthropogenic heat emissions in urban Taiwan and their spatial
patterns.
AB - High energy consumption in the urban environment impacts the urban surface energy
budget and causes the emission of anthropogenic heat fluxes (AHFs) into the
atmosphere. AHFs vary over time and space. Thus, a reliable estimation of AHF is
needed for mesoscale meteorological modeling. This study used a statistical
regression method to estimate the annual mean gridded AHF with high spatial (1
km) resolution. Compared with current methods for AHF estimation, the statistical
regression method is straightforward and can be easily incorporated with
meteorological modeling. AHF of the highly populated urban areas in Taiwan were
estimated using data from the anthropogenic pollutant emission inventory of CO
and NOx for year 2010. Over 40% of the total AHF values in Taiwan main island
fell within the range of 10-40 Wm(-2). When the study domain was confined to
urban land, the percentage contributions from AHF values were increased, with
over 68% of the total AHF values within the range of 10-40 Wm(-2). AHF values >
40 Wm(-2) were more abundant in the Southern region, followed by the Central and
Northern regions. An assessment of the heat emissions by the large scale urban
consumption of energy (LUCY) model revealed that the mean AHFs are reasonably
close to those produced while the maximum AHFs are underestimated. The results
obtained evidence the impact of spatial distribution of land use types,
particularly population densities, main highways and industries on AHF generation
in Taiwan.
PMID- 27179328
TI - Metal-free catalysis of persulfate activation and organic-pollutant degradation
by nitrogen-doped graphene and aminated graphene.
AB - We evaluated three types of functionalized, graphene-based materials for
activating persulfate (PS) and removing (i.e., sorption and oxidation)
sulfamethoxazole (SMX) as a model emerging contaminant. Although advanced
oxidative water treatment requires PS activation, activation requires energy or
chemical inputs, and toxic substances are contained in many catalysts. Graphene
based materials were examined herein as an alternative to metal-based catalysts.
Results show that nitrogen-doped graphene (N-GP) and aminated graphene (NH2-GP)
can effectively activate PS. Overall, PS activation by graphene oxide was not
observed in this study. N-GP (50 mg L(-1)) can rapidly activate PS (1 mM) to
remove >99.9% SMX within 3 h, and NH2-GP (50 mg L(-1)) activated PS (1 mM) can
also remove 50% SMX within 10 h. SMX sorption and total removal was greater for N
GP, which suggests oxidation was enhanced by increasing proximity to PS
activation sites. Increasing pH enhanced the N-GP catalytic ability, and >99.9%
SMX removal time decreased from 3 h to 1 h when pH increased from 3 to 9.
However, the PS catalytic ability was inhibited at pH 9 for NH2-GP. Increases in
ionic strength (100 mM NaCl or Na2SO4) and addition of radical scavengers (500 mM
ethanol) both had negligible impacts on SMX removal. With bicarbonate addition
(100 mM), while the catalytic ability of N-GP remained unaltered, NH2-GP
catalytic ability was inhibited completely. Humic acid (250 mg L(-1)) was
partially effective in inhibiting SMX removal in both N-GP and NH2-GP systems.
These results have implications for elucidating oxidant catalysis mechanisms, and
they quantify the ability of functionalization of graphene with hetero-atom
doping to effectively catalyze PS for water treatment of organic pollutants
including emerging contaminants.
PMID- 27179329
TI - Revealing ecological risks of priority endocrine disrupting chemicals in four
marine protected areas in Hong Kong through an integrative approach.
AB - Marine Protected Areas (MPAs) in Hong Kong are situated in close proximity to
urbanized areas, and inevitably influenced by wastewater discharges and
antifouling biocides leached from vessels. Hence, marine organisms inhabiting
these MPAs are probably at risk. Here an integrative approach was employed to
comprehensively assess ecological risks of eight priority endocrine disrupting
chemicals (EDCs) in four MPAs of Hong Kong. We quantified their concentrations in
environmental and biota samples collected in different seasons during 2013-2014,
while mussels (Septifer virgatus) and semi-permeable membrane devices were
deployed to determine the extent of accumulation of the EDCs. Extracts from the
environmental samples were subjected to the yeast estrogen screen and a novel
human cell-based catechol-O-methyltransferase ELISA to evaluate their estrogenic
activities. The results indicated ecological risks of EDCs in the Cape d'Aguilar
Marine Reserve. This integrated approach can effectively evaluate ecological
risks of EDCs through linking their concentrations to biological effects.
PMID- 27179330
TI - Atmospheric occurrence and gas-particle partitioning of PBDEs at industrial,
urban and suburban sites of Thessaloniki, northern Greece: Implications for human
health.
AB - Air samples were collected during the cold and the warm period of the year 2012
and 2013 at three sites in the major Thessaloniki area, northern Greece (urban
industrial, urban-traffic and urban-background) in order to evaluate the
occurrence, profiles, seasonal variation and gas/particle partitioning of
polybrominated diphenyl ethers (PBDEs). The mean total concentrations of particle
phase ?12PBDE in the cold season were 28.7, 19.5 and 3.87 pg m(-3) at the
industrial, urban-traffic and urban-background site, respectively, dropping
slightly in the warm season (23.7, 17.5 and 3.14 pg m(-3)), respectively. The
corresponding levels of gas-phase ?12PBDE were 14.4, 7.15 and 4.73 pg m(-3) in
the cold season and 21.2, 11.1 and 6.27 pg m(-3) in the warm season,
respectively. In all samples, BDE-47 and BDE-99 were the dominant congeners.
Absorption of PBDEs in the organic matter of particles appeared to drive their
gas/particle partitioning, particularly in the cold season. The estimated average
outdoor workday inhalation exposure to ?12PBDE in the cold and the warm period
followed the order: industrial site (288 and 299 pg day(-1)) > urban-traffic site
(178 and 191 pg day(-1)) > urban-background site (58 and 63 pg day(-1)). The
exposures to BDE-47, BDE-99, BDE-153 and ?3PBDE via inhalation, for children
outdoor worker and seniors were several orders of magnitude lower than their
corresponding oral RfD values.
PMID- 27179331
TI - Artificial light at night disrupts sleep in female great tits (Parus major)
during the nestling period, and is followed by a sleep rebound.
AB - Artificial light at night has been linked to a wide variety of physiological and
behavioural consequences in humans and animals. Given that little is known about
the impact of light pollution on sleep in wild animals, we tested how
experimentally elevated light levels affected sleep behaviour of female songbirds
rearing 10 day old chicks. Using a within-subject design, individual sleep
behaviour was observed over three consecutive nights in great tits (Parus major),
with females sleeping in a natural dark situation on the first and third night,
whereas on the second night they were exposed to a light-emitting diode (1.6
lux). Artificial light in the nest box dramatically and significantly affected
sleep behaviour, causing females to fall asleep later (95 min; while entry time
was unaffected), wake up earlier (74 min) and sleep less (56%). Females spent a
greater proportion of the night awake and the frequency of their sleep bouts
decreased, while the length of their sleep bouts remained equal. Artificial light
also increased begging of chicks at night, which may have contributed to the
sleep disruption in females or vice versa. The night following the light
treatment, females slept 25% more compared to the first night, which was mainly
achieved by increasing the frequency of sleep bouts. Although there was a
consistent pattern in how artificial light affected sleep, there was also large
among-individual variation in how strongly females were affected. When comparing
current results with a similar experiment during winter, our results highlight
differences in effects between seasons and underscore the importance of studying
light pollution during different seasons. Our study shows that light pollution
may have a significant impact on sleep behaviour in free-living animals during
the reproductive season, which may provide a potential mechanism by which
artificial light affects fitness.
PMID- 27179332
TI - Remarkable regression of a giant cell tumor of the cervical spine treated
conservatively with denosumab: A case report.
AB - INTRODUCTION: Wide resection of giant cell tumors at the cervical spine is
sometimes extremely challenging, especially in cases where tumors extend into the
nearby tissues, such as vertebral arteries, the spinal cord, or spinal nerve
roots. Denosumab, a human monoclonal antibody that binds the receptor activator
of nuclear factor kappa-beta ligand, is reported to be effective for decreasing
resorption of giant cell tumor of the bone, but the detailed progress of giant
cell tumors in the cervical spine extending into the nearby tissues after such
treatment has not been reported. PRESENTATION OF CASE: A 41-year-old man
presented with neck pain. Computed tomography-guided needle biopsy showed
numerous giant cells with a large vesicular nucleus, consistent with a giant cell
tumor. Because of the extension of the tumor with involvement of the vertebral
artery and surrounding tissues, denosumab (120mg) was administered subcutaneously
once per month for 24 months. Six months after denosumab treatment, follow-up
computed tomography revealed a dramatic regression and osteosclerosis of the
tumor. Two years after starting denosumab treatment, positron emission tomography
showed no tumor recurrence. DISCUSSION: Although the tumor was extended with
involvement of the surrounding tissues and surgery following denosumab treatment
was not performed, at 24 months since initiation of denosumab treatment we
confirmed complete regression radiographically. CONCLUSIONS: Denosumab may be
used as an adjuvant by which to avoid or reduce the risks and morbidity of
surgical treatment in patients with spinal giant cell tumors extending into
nearby tissues.
PMID- 27179333
TI - Angiosarcoma arising in the non-operated, sclerosing breast after primary
irradiation, surviving 6 years post-resection: A case report and review of the
Japanese literature.
AB - INTRODUCTION: Angiosarcoma consists only 0.04% of all breast malignancies and has
a poor prognosis. This is the first reported case of an angiosarcoma arising in
the non-operated breast after primary irradiation for occult breast cancer. The
patient underwent mastectomy, surviving disease free for 6 years. PRESENTATION OF
CASE: A 73-year-old woman with a past history of irradiation of the non-operated
left breast complained of skin thickening and crust formation on the left nipple
8 years post-irradiation. Considering the clinical history and radiological
studies, recurrent cancer was suspected and biopsy was performed. However, no
proof of malignancy was obtained. As clinical symptoms continued to advance,
informed consent was obtained and mastectomy was performed. Histological
examination of the surgical specimen revealed angiosarcoma. DISCUSSION: In this
case, angiosarcoma occurred after radiation on a non-operated breast.
Preoperative diagnosis was not achieved even with two cytology specimen and one
biopsy. Each showed only fibrosis and inflammatory changes. The background breast
tissue inflammation should have been caused by radiation. Marked fibrosis and the
rather small number of sarcoma cells in the breast tumor in this case may be why
bioptic diagnosis was difficult. Kaplan-Meier analysis of 60 Japanese breast
angiosarcoma patients showed significantly better prognosis in patients with a
tumor 2cm or smaller. CONCLUSION: Angiosarcoma may occur in the non-operated
breast, post irradiation. The potential difficulties of diagnosing angiosarcoma
against background fibrosis should be kept in mind. Initial radical surgery
currently represents the only effective treatment for improving survival in these
patients.
PMID- 27179334
TI - Minimally-invasive complete resection of intrathoracic mediastinal lesions with a
Linder-Dahan spreadable-blade video mediastinoscope system: A report of two
cases.
AB - INTRODUCTION: Cervical mediastinoscopy can provide a minimally invasive access to
the paratracheobronchial mediastinum within its reachable range, but its
operability is substantially limited because of its small operative field, poor
visualisation, and one-handed operation. PRESENTATION OF CASES: Patient 1, a 56
year-old woman, presented with a 22*17mm, non-symptomatic, (18)F-fluorodeoxy
glucose (FDG)-avid, solid schwannoma originating from the vagus nerve trunk in
the right upper paratracheal space. Patient 2, a 55-year-old man, presented with
a 55*41mm cystic mass in the left upper paratracheal space that extensively
compressed and dislocated the trachea toward the right, which caused dyspnoea and
cervicothoracic pain. The masses in both cases were completely resected using a
Linder-Dahan spreadable-blade video mediastinoscope. DISCUSSION: The addition of
the video system and spreadable blades to the conventional scope combined with a
scope-holding device has enabled effective bi-manual preparation and more precise
and safer mediastinoscopic procedures than those performed using the conventional
one-handed mediastinoscope. Owing to the improved operability, more complex or
extended procedures could be performed in wider and more stable operative spaces
with better visualisation, although the system has the same minimal invasiveness
as that of the conventional mediastinoscope. CONCLUSION: We describe two patients
with mediastinal lesions that were effectively resected by using this
sophisticated video mediastinoscope system.
PMID- 27179336
TI - Eosinophilic cystitis and haematuria: Case report of a rare disease and common
presentation.
AB - INTRODUCTION: Eosinophilic cystitis is a rare inflammatory condition of the
bladder that can cause haematuria. The aetiology is unknown and clinical
presentation is difficult to distinguish from other causes of haematuria.
Diagnosis is confirmed by biopsy. In this case, a patient with haematuria is
diagnosed with eosinohpilic cystitis after presenting to hospital. He was
commenced on antibiotics for a presumed urinary tract infection with no
resolution of haematuria and symptoms. After diagnosis he was commenced on
treatment with resolution of symptoms. CASE PRESENTATION: A 73-year-old male
presents with first episode of haematuria. He was initially diagnosed with a
urinary tract infection and commenced on antibiotics with no resolution. After
further investigations including a cystoscopy and bladder biopsy, he was
diagnosed with eosinophilic cystitis. He was treated with steroids improving his
symptoms. CONCLUSION: Eosinophilic cystitis is a rare disease of the bladder
which is difficult to distinguish from other causes of haematuria, and is often
misdiagnosed. Bladder biopsy is necessary for diagnosis. Early diagnosis is
important, and it is through a combination of non-operative and operative
interventions such as biopsy. Natural history is difficult to predict as it is
difficult to determine is a patient will have a benign course with resolution
with or without treatment, or result in a chronic course which may result in
bladder damage and renal failure. This case highlights the importance of
investigating haematuria that is unresponsive to initial empiric treatment such
as antibiotics. It is important to refer to a Urologist for further investigation
to rule out a sinister cause, but to also obtain a diagnosis, leading to
definitive treatment.
PMID- 27179335
TI - Right sided spleen laying retro-duodenal: A case report and review of the
literature.
AB - INTRODUCTION: Unlike left sided accessory spleen that are seen in 10-30% of cases
at autopsy, cases of right accessory spleens are extremely rare. This congenital
body of healthy splenic tissue simulates tumors from neighboring organs and
presents a challenge in formulating a differential diagnosis. PRESENTATION OF
CASE: We present the case of a patient whose CT scan of the abdomen showed a
large mass, 11*8cm, arising retro-duodenal and lying just anterior to the right
kidney. To the best of our knowledge, this is the only case where the accessory
spleen was found retro-duodenal, directly anterior to the kidney and completely
separate from the supra-renal gland. The chief complaint of the patient was right
upper quadrant pain, radiating to the back, and colicky in nature. The patient
was diagnosed with duodenal gastro-intestinal stromal tumor and a retro
peritoneal sarcoma. The mass was removed via a Kocher's incision and
immunohistological examination showed that it was a right sided accessory spleen.
The patient's left sided spleen appeared normal. DISCUSSION: Efforts to
distinguish an accessory spleen from a retroperitoneal tumor with available
scans, percutaneous biopsy or biochemical tests are inconclusive. Differential
diagnosis between a retroperitoneal tumor and an accessory spleen can only be
made after surgical exploration. CONCLUSION: This case highlights the fact that
surgeons should consider the possibility of an accessory spleen when making a
differential diagnosis of retroperitoneal tumors.
PMID- 27179337
TI - Transient epiphyseal lesion of the femoral head after traumatic hip dislocation:
A case report.
AB - INTRODUCTION: We experienced a rare case in which magnetic resonance imaging
(MRI) showed a transient epiphyseal lesion of the femoral head four months after
traumatic hip dislocation. To our knowledge, there have been no previously
published reports on the development of such transient lesions after traumatic
hip dislocation involving no abnormalities just after dislocation. PRESENTATION
OF CASE: We report a 22-year-old man who showed a transient epiphyseal lesion of
the femoral head after traumatic hip dislocation. On MRI performed two days after
dislocation, no bony injuries were observed around the hip joint. Four months
after dislocation, the patient suddenly experienced right hip pain without any
new trauma or injury. A low-intensity band convex to the articular surface was
apparent above the epiphyseal scar on T1-weighted imaging, and bone marrow edema
was observed around the band lesion on short-tau inversion recovery imaging.
Following a two-month period of non-surgical conservative therapy, the patient's
hip pain resolved and the low-intensity band was no longer observed on follow-up
MRI. DISCUSSION: Although the detailed pathogenesis of this transient changes was
unclear, we speculate that prolonged rest after traumatic hip dislocation may
contribute to bone insufficiency, resulting in an insufficiency fracture of the
femoral head. CONCLUSION: This study suggests that transient epiphyseal lesions
of the femoral head may occur in patients with a history of traumatic hip
dislocation associated with an adapted long-term rest.
PMID- 27179339
TI - Efficient conversion of dimethylarsinate into arsenic and its simultaneous
adsorption removal over FeCx/N-doped carbon fiber composite in an electro-Fenton
process.
AB - In this study, a FeCx/N-doped carbon fiber composite (FeCx/NCNFs) was developed
via an electrospinning method. According to the characterization results of XRD,
TEM and XPS, FeCx (a mixture of Fe7C3 and Fe3C) was either embedded in or
attached to the NCNFs. It was used for the first time as a catalyst for
dimethylarsinate (DMA) degradation and as an absorbent for inorganic arsenic (As
(V)), with degradation and adsorption occurring simultaneously, in an electro
Fenton process. The effects of catalyst dosage, initial DMA concentration,
solution pH, and applied current on the treatment efficiency and the
corresponding H2O2 generation were systematically investigated. The results
showed that DMA could be efficiently oxidized into As(V). 96% of DMA was degraded
after reaction time of 360 min and the residual As(V) concentration in solution
was below the allowable limit of 0.01 mg/L under the optimum treatment
conditions. Based on an ESR and radical scavenger experiment, OH was proven to be
the sole reactive oxygen species involved in the degradation process of DMA. DMA
was oxidized to MMA as the primary oxidation product, which was subsequently
oxidized to inorganic arsenic, As (V). TOC was also efficiently removed at the
same time. The DMA removal mechanism for simultaneous degradation of
dimethylarsinate and adsorption of arsenic over FeCx/NCNFs in the electro-Fenton
process was also proposed based on the experimental results.
PMID- 27179338
TI - Neurocognitive functioning in individuals with bipolar disorder and their healthy
siblings: A preliminary study.
AB - BACKGROUND: Cognitive deficits have been consistently reported in individuals
with bipolar disorder (BD). The cognitive profile of siblings of individuals with
BD is, however, less clearly established possibly due to the heterogeneity of
neuropsychological measures used in previous studies. The aim of this exploratory
study was to assess the cognitive function of siblings of individuals with BD and
compare it with that of their first-degree relatives suffering with BD, and
healthy controls (HC) using the Cambridge Neuropsychological Test Automated
Battery (CANTAB) - a comprehensive and validated computerized cognitive battery.
METHODS: We recruited 23 HC (33.52+/-10.29 years, 8 males), 27 individuals with
BD (34.26+/-10.19 years, 9 males, 25 BDI, 1BDII and 1 BD-NOS), and 15 of their
biologically related siblings (37.47+/-13.15 years, 4 males). Siblings had no
current or lifetime history of mental disorders. Participants performed the
CANTAB and completed questionnaires assessing mood and global functioning.
Multivariate analyses compared CANTAB measures across the three participant
groups. RESULTS: Individuals with BD and their siblings were less accurate in a
task of sustained attention (Rapid Visual Processing) when compared to HC.
Further, individuals with BD displayed pronounced deficits in affective
processing (Affective Go/No-Go) compared to HC. There were no cognitive
differences between siblings and individuals with BD. After correcting for
current depressive symptoms, these results did not reach statistical
significance. CONCLUSIONS: Subthreshold depressive symptoms may be associated
with reduced sustained attention in healthy siblings of BD patients. This
preliminary result needs to be corroborated by large-scale, longitudinal studies
assessing the relationship between cognition and mood in vulnerable individuals.
PMID- 27179340
TI - A novel eductor-based MBR for the treatment of domestic wastewater.
AB - A novel aeration device has been developed that combines the mechanism of a
venturi aerator with the flow multiplier effect of an eductor used for pump
driven mixing. The performance of this novel eductor was evaluated in a flat
sheet immersed MBR and compared with the same MBR equipped with a conventional
diffuser for the treatment of domestic wastewater. The eductor showed a higher
rate of oxygen transfer both in clean and wastewater compared to the diffuser.
The alpha value with the eductor (0.91) was also found to be more than that of
the diffuser (0.75). Higher recirculation rate through the eductor resulted in a
higher mixing/turbulance inside the MBR tank and thus alleviated membrane fouling
significantly compared to the diffuser. The performance of the MBR in terms of
organics removal was also found to be higher with the eductor than the diffuser.
The eductor could have significant potential as a combined aerator and mixer in
the field of wastewater treatment by MBR.
PMID- 27179341
TI - A novel test method to determine the filter material service life of
decentralized systems treating runoff from traffic areas.
AB - In recent years, there has been a significant increase in the development and
application of technical decentralized filter systems for the treatment of runoff
from traffic areas. However, there are still many uncertainties regarding the
service life and the performance of filter materials that are employed in
decentralized treatment systems. These filter media are designed to prevent the
transport of pollutants into the environment. A novel pilot-scale test method was
developed to determine - within a few days - the service lives and long-term
removal efficiencies for dissolved heavy metals in stormwater treatment systems.
The proposed method consists of several steps including preloading the filter
media in a pilot-scale model with copper and zinc by a load of n-1 years of the
estimated service life (n). Subsequently, three representative rain events are
simulated to evaluate the long-term performance by dissolved copper and zinc
during the last year of application. The presented results, which verified the
applicability of this method, were obtained for three filter channel systems and
six filter shaft systems. The performance of the evaluated systems varied largely
for both tested heavy metals and during all three simulated rain events. A
validation of the pilot-scale assessment method with field measurements was also
performed for two systems. Findings of this study suggest that this novel method
does provide a standardized and accurate estimation of service intervals of
decentralized treatment systems employing various filter materials. The method
also provides regulatory authorities, designers, and operators with an objective
basis for performance assessment and supports stormwater managers to make
decisions for the installation of such decentralized treatment systems.
PMID- 27179342
TI - The development of environmental visions and strategies at the municipal level:
Case studies from the county of Ostergotland in Sweden.
AB - Sweden faces a number of environmental challenges. Municipalities can play an
important role in managing these challenges. Using interviews with 13
municipalities in the county of Ostergotland, the manner via which environmental
visions and strategies were developed and implemented, and the challenges were
explored. Differences were found in the effective development and implementation
of both environmental visions and strategies due to a range of factors including
the range and level of involvement of different stakeholders, variation in what
constituted an environmental strategy, and the time horizons employed for the
visions. Suggestions for how best to overcome the barriers are outlined.
PMID- 27179343
TI - Visualizing ensembles in structural biology.
AB - Displaying a single representative conformation of a biopolymer rather than an
ensemble of states mistakenly conveys a static nature rather than the actual
dynamic personality of biopolymers. However, there are few apparent options due
to the fixed nature of print media. Here we suggest a standardized methodology
for visually indicating the distribution width, standard deviation and
uncertainty of ensembles of states with little loss of the visual simplicity of
displaying a single representative conformation. Of particular note is that the
visualization method employed clearly distinguishes between isotropic and
anisotropic motion of polymer subunits. We also apply this method to ligand
binding, suggesting a way to indicate the expected error in many high throughput
docking programs when visualizing the structural spread of the output. We provide
several examples in the context of nucleic acids and proteins with particular
insights gained via this method. Such examples include investigating a
therapeutic polymer of FdUMP (5-fluoro-2-deoxyuridine-5-O-monophosphate) - a
topoisomerase-1 (Top1), apoptosis-inducing poison - and nucleotide-binding
proteins responsible for ATP hydrolysis from Bacillus subtilis. We also discuss
how these methods can be extended to any macromolecular data set with an
underlying distribution, including experimental data such as NMR structures.
PMID- 27179344
TI - Eating- and weight-related factors associated with depressive symptoms in
emerging adulthood.
AB - Research suggests that eating- and weight-related disturbances (EWRDs) are key
factors associated with depressive symptoms. However, it is unclear how EWRDs,
and to a greater extent body appreciation, are associated with depressive
symptoms among emerging adults. This study investigated the association between
EWRDs, body appreciation, and depressive symptoms among emerging adults. Female
(n=473) and male (n=135) emerging adults completed measures of restrained eating,
emotional eating, external eating, drive for muscularity, body appreciation, and
depressive symptoms. Hierarchical multiple regression analyses, performed
separately for women and men, found that restrained eating was significantly
related to depressive symptoms among both genders, whereas emotional and external
eating were significantly associated with depressive symptoms in women only. Body
appreciation was negatively associated with depressive symptoms in both genders.
The findings highlight the need for clinicians to assess for EWRDs and promote
positive body appreciation among emerging adults.
PMID- 27179345
TI - Human papillomavirus promotes Epstein-Barr virus maintenance and lytic
reactivation in immortalized oral keratinocytes.
AB - Epstein-Barr virus and human papillomaviruses are human tumor viruses that infect
and replicate in upper aerodigestive tract epithelia and cause head and neck
cancers. The productive phases of both viruses are tied to stratified epithelia
highlighting the possibility that these viruses may affect each other's life
cycles. Our lab has established an in vitro model system to test the effects of
EBV and HPV co-infection in stratified squamous oral epithelial cells. Our
results indicate that HPV increases maintenance of the EBV genome in the co
infected cells and promotes lytic reactivation of EBV in upper layers of
stratified epithelium. Expression of the HPV oncogenes E6 and E7 were found to be
necessary and sufficient to account for HPV-mediated lytic reactivation of EBV.
Our findings indicate that HPV increases the capacity of epithelial cells to
support the EBV life cycle, which could in turn increase EBV-mediated
pathogenesis in the oral cavity.
PMID- 27179347
TI - Inhibition of hepatitis B virus replication by a dNTPase-dependent function of
the host restriction factor SAMHD1.
AB - SAMHD1 is a cellular protein that possesses dNTPase activity and inhibits
retroviruses and DNA viruses through the depletion of cellular dNTPs. However,
recent evidence suggests the existence of alternative or additional mechanisms
that involve novel nuclease activities. Hepatitis B virus is a DNA virus but
resembles retroviruses in that its DNA genome is synthesized via reverse
transcription of an RNA transcript. SAMHD1 was shown to inhibit the expression
and replication of a transfected HBV DNA. We further investigated the antiviral
mechanisms in a newly developed infection assay. Our data indicated that SAMHD1
exerts a profound antiviral effect. In addition, unlike previous findings, our
results demonstrate the essential role of SAMHD1 dNTPase. SAMHD1 did not affect
virion-derived cccDNA and gene expression but specifically inhibited viral DNA
synthesis. These results indicate that SAMHD1 inhibits HBV replication at the
reverse transcription step, most likely through the depletion of cellular dNTPs.
PMID- 27179346
TI - Lack of strong anti-viral immune gene stimulation in Torque Teno Sus Virus1
infected macrophage cells.
AB - While recent findings suggest that swine TTVs (TTSuVs) can act as primary or co
infecting pathogens, very little is known about viral immunity. To determine
whether TTSuVs downregulate key host immune responses to facilitate their own
survival, a swine macrophage cell line, 3D4/31, was used to over-express
recombinant TTSuV1 viral particles or the ORF3 protein. Immune gene expression
profiles were assessed by a quantitative PCR panel consisting of 22 immune genes,
in cell samples collected at 6, 12, 24 and 48h post-transfection. Despite the
upregulation of IFN-beta and TLR9, interferon stimulated innate genes and pro
inflammatory genes were not upregulated in virally infected cells. The adaptive
immune genes, IL-4 and IL-13, were significantly downregulated at 6h post
transfection. The ORF3 protein did not appear do not have a major immuno
suppressive effect, nor did it stimulate anti-viral immunity. Data from this
study warrants further investigation into the mechanisms of TTV related immuno
pathogenesis.
PMID- 27179348
TI - A review of primary care interventions to improve health outcomes in adult
survivors of adverse childhood experiences.
AB - Research has consistently demonstrated a link between the experience of adverse
childhood experiences (ACEs) and adult health conditions, including mental and
physical health problems. While a focus on the prevention or mitigation of
adversity in childhood is an important direction of many programs, many
individuals do not access support services until adulthood, when health problems
may be fairly engrained. It is not clear which interventions have the strongest
evidence base to support the many adults who present to services with a history
of ACEs. The current review examines the evidence base for psychosocial
interventions for adults with a history of ACEs. The review focuses on
interventions that may be provided in primary care, as that is the setting where
most patients will first present and are most likely to receive treatment. A
systematic review of the literature was completed using PsycInfo and PubMed
databases, with 99 studies identified that met inclusion and exclusion criteria.
These studies evaluated a range of interventions with varying levels of
supportive evidence. Overall, cognitive-behavioral therapies (CBT) have the most
evidence for improving health problems - in particular, improving mental health
and reducing health-risk behaviors - in adults with a history of ACEs. Expressive
writing and mindfulness-based therapies also show promise, whereas other
treatments have less supportive evidence. Limitations of the current literature
base are discussed and research directions for the field are provided.
PMID- 27179349
TI - Ultrastructure of the Periplastidial Compartment of the Diatom Phaeodactylum
tricornutum.
AB - Diatoms contain a secondary plastid that derives from a red algal symbiont. This
organelle is limited by four membranes. The two outermost membranes are the
chloroplast endoplasmic reticulum membrane (cERM), which is continuous with the
host outer nuclear envelope, and the periplastidial membrane (PPM). The two
innermost membranes correspond to the outer and inner envelope membranes (oEM and
iEM) of the symbiont's chloroplast. Between the PPM and oEM lies a minimized
symbiont cytoplasm, the periplastidial compartment (PPC). In Phaeodactylum
tricornutum, PPC-resident proteins are localized in "blob-like-structures", which
remain associated with plastids after cell disruption. We analyzed disrupted
Phaeodactylum cells by focused ion beam scanning electron microscopy, revealing
the presence of a vesicular network (VN) in the PPC, at a location consistent
with blob-like structures. Presence of a VN in the PPC was confirmed in intact
cells. Additionally, direct membrane contacts were observed between the PPM and
nuclear inner envelope membrane at the level of the chloroplast-nucleus isthmus.
This study provides insights into the PPC ultrastructure and opens perspectives
on the function of this residual cytoplasm of red algal origin.
PMID- 27179351
TI - 'Near death' thromboembolic episode following device closure of atrial septal
defect.
AB - Life-threatening pulmonary thromboembolism is a rare complication following
device closure of an atrial septal defect (ASD). Our case is a 17-year old female
who presented with a sudden cardiorespiratory arrest due to pulmonary
thromboembolism, 5 months following a device closure of her ASD. She was
successfully resuscitated and then underwent removal of the device with the
associated clots and patch closure of the ASD. She made an uneventful recovery.
We report this case to highlight that life-threatening thromboembolic
complications can occur with ASD device closure.
PMID- 27179350
TI - Self-collected genital swabs compared with cervicovaginal lavage for measuring
HIV-1 and HSV-2 and the effect of acyclovir on viral shedding.
AB - HIV-1 and HSV-2 are frequent genital co-infections in women. To determine how
self-collected genital swabs compare to provider-collected cervicovaginal lavage,
paired self-collected genital swabs and cervicovaginal lavage from women co
infected with HIV-1 and HSV-2 were evaluated. Women were in an acyclovir clinical
trial and their samples were tested for HIV-1 RNA (361 samples) and HSV-2 DNA
(378 samples). Virus shedding, quantity and acyclovir effect were compared. HIV-1
and HSV-2 were more frequently detected in self-collected genital swabs: 74.5% of
self-collected genital swabs and 63.6% of cervicovaginal lavage had detectable
HIV-1 (p <= 0.001, Fisher's exact test) and 29.7% of self-collected genital swabs
and 19.3% of cervicovaginal lavage had detectable HSV-2 (p <= 0.001) in the
placebo month. Cervicovaginal lavage and self-collected genital swabs virus
levels were correlated (Spearman's rho, 0.68 for HIV; 0.61 for HSV-2) and self
collected genital swabs levels were generally higher. In multivariate modeling,
self-collected genital swabs and cervicovaginal lavage could equally detect the
virus-suppressive effect of acyclovir: for HIV-1, proportional odds ratios were
0.42 and 0.47 and for HSV-2, they were 0.10 and 0.03 for self-collected genital
swabs and cervicovaginal lavage, respectively. Self-collected genital swabs
should be considered for detection and measurement of HIV-1 and HSV-2 in clinical
trials and other studies as they are a sensitive method to detect virus and can
be collected in the home with frequent sampling.
PMID- 27179352
TI - Towards improved migraine management: Determining potential trigger factors in
individual patients.
AB - Background Certain chronic diseases such as migraine result in episodic,
debilitating attacks for which neither cause nor timing is well understood.
Historically, possible triggers were identified through analysis of aggregated
data from populations of patients. However, triggers common in populations may
not be wholly responsible for an individual's attacks. To explore this hypothesis
we developed a method to identify individual 'potential trigger' profiles and
analysed the degree of inter-individual variation. Methods We applied N = 1
statistical analysis to a 326-migraine-patient database from a study in which
patients used paper-based diaries for 90 days to track 33 factors (potential
triggers or premonitory symptoms) associated with their migraine attacks. For
each patient, univariate associations between factors and migraine events were
analysed using Cox proportional hazards models. Results We generated individual
factor-attack association profiles for 87% of the patients. The average number of
factors associated with attacks was four per patient: Factor profiles were highly
individual and were unique in 85% of patients with at least one identified
association. Conclusion Accurate identification of individual factor-attack
profiles is a prerequisite for testing which are true triggers and for
development of trigger avoidance or desensitisation strategies. Our methodology
represents a necessary development toward this goal.
PMID- 27179353
TI - Secular trends in the timing of skeletal maturation as assessed by the cervical
vertebrae maturation method.
AB - Objective: To investigate the presence of secular trends in skeletal maturation
of girls and boys as assessed by the use of cervical vertebrae bones. Materials
and methods: The study compared two main groups: the first included data
collected from the Denver growth study (1930s to 1960s) and the second included
data collected from recent pretreatment records (1980s to 2010s) of patients from
the orthodontic clinic of a North American University. The records from the two
groups were all for Caucasian subjects. The sample for each group included 78
lateral cephalographs for girls and the same number for boys. The age of the
subjects ranged from 7 to 18 years. Cervical vertebrae maturation (CVM) stages
were directly assessed from the radiographs according to the method described by
Hassel and Farman in which six CVM stages were designated from cervical vertebrae
2, 3, and 4. Results: The mean age of girls from the Denver growth study and
girls from the university clinic in each of the six CVM stages was not different
at P <=0.05. However, the mean age of boys from the two groups was not different
only in stage 3 (P = 0.139) and stage 4 (P = 0.211). Conclusions: The results
showed no evidence to indicate a tendency for earlier skeletal maturation of
girls or boys. Boys in the university group started their skeletal maturation
later than boys in the Denver group and completed their maturation earlier.
Gender was a significant factor affecting skeletal maturation stages in both
Denver and university groups.
PMID- 27179354
TI - Clinical predictors of maxillary canine impaction: a novel approach using
multivariate analysis.
AB - Background: Ectopic eruption and/or impaction of maxillary permanent canines is a
frequent problem in clinical dentistry. Previous studies aimed to identify
potential associated factors and predictors for impacted maxillary canines have
only used conventional univariate statistics, which does not allow the analysis
of the interaction between and within variables. Multivariate data analysis
(MVDA) is a better and more powerful tool for the integration and interpretation
of complex datasets. Aim: The aim of this study was to validate previously
explored predictors of permanent maxillary canine impaction using MVDA. Subjects
and methods: This cohort study included all the patients referred during 2011 to
Molndal Hospital, Sweden for surgical exposure of impacted canines (N = 45). Age-
and gender-matched orthodontic patients (N = 45) with normally erupting canines
comprised the control group. The age range for both groups was 11-17 years. The
positions of the canine teeth (orthopantograms), the skeletal variables (profile
radiographs), and dentoalveolar traits (casts) were evaluated as potential
predictive factors for impaction. Results: None of the parameters evaluated with
either profile radiography or casts were positively correlated with impacted
maxillary canines, with the exception of the location of the already impacted
canines, as identified by orthopantogram. Conclusion: No correlation between
clinical variables and impaction was found using MVDA. Therefore, these variables
could not be used as predictors of canine impaction. Other types of parameters,
such as inheritance and molecular factors that regulate the biological mechanisms
of the eruption process, need to be further investigated.
PMID- 27179355
TI - Short- and Long-Term Effects of Parent Training for Preschool Children With or at
Risk of ADHD: A Systematic Review and Meta-Analysis.
AB - OBJECTIVE: The aim of the study was to synthesize the evidence of parent training
(PT) as an early intervention for preschool children aged 2.5 to 6 years with
ADHD or ADHD symptoms. METHOD: A systematic review and meta-analysis was
conducted. RESULTS: Sixteen studies including 1,003 children were analyzed.
Parent-rated outcomes revealed moderate effect sizes (ESs; Hedges' g) of 0.51 for
ADHD symptoms, 0.4 for conduct problems, and 0.63 for negative parenting. Based
on independent assessment, results were only significant for negative parenting.
Parent-rated outcomes were sustained at follow-ups of 3 to 12 months. Program
type, intervention modality, and child diagnostic status did not moderate the
effect. CONCLUSION: PT was partially supported as an efficacious intervention for
preschool children with ADHD or ADHD symptoms with moderate ESs on parent-rated
outcomes, but no significant results on independently assessed ADHD symptoms.
PMID- 27179356
TI - Probabilities of ADD/ADHD and Related Substance Use Among Canadian Adults.
AB - OBJECTIVE: The aim of this study was to estimate the prevalence and probabilities
of comorbidities between self-reported ADD/ADHD and smoking, alcohol binge
drinking, and substance use disorders (SUDs) from a national Canadian sample.
METHOD: Data were taken from the Public Use Microdata File of the 2012 Canadian
Community Health Survey-Mental Health (N = 17 311). The prevalence of (a)
smoking, (b) alcohol binge drinking, and (c) SUDs was estimated among those with
an ADD/ADHD diagnosis versus those without an ADD/ADHD diagnosis. RESULTS: After
controlling for potential socioeconomic and mental health covariates, self
reported ADD/ADHD acted as a significant predictor for group membership in the
heaviest smoking, heaviest drinking, and heaviest drug usage categories.
CONCLUSION: Individuals self-reporting a diagnosis of ADD/ADHD were found to have
a significantly higher likelihood of engaging in smoking and alcohol binge
drinking, and were more likely to meet criteria for SUDs than individuals not
reporting an ADD/ADHD diagnosis.
PMID- 27179361
TI - Creation of an NCI comparative brain tumor consortium: informing the translation
of new knowledge from canine to human brain tumor patients.
AB - On September 14-15, 2015, a meeting of clinicians and investigators in the fields
of veterinary and human neuro-oncology, clinical trials, neuropathology, and drug
development was convened at the National Institutes of Health campus in Bethesda,
Maryland. This meeting served as the inaugural event launching a new consortium
focused on improving the knowledge, development of, and access to naturally
occurring canine brain cancer, specifically glioma, as a model for human disease.
Within the meeting, a SWOT (strengths, weaknesses, opportunities, and threats)
assessment was undertaken to critically evaluate the role that naturally
occurring canine brain tumors could have in advancing this aspect of comparative
oncology aimed at improving outcomes for dogs and human beings. A summary of this
meeting and subsequent discussion are provided to inform the scientific and
clinical community of the potential for this initiative. Canine and human
comparisons represent an unprecedented opportunity to complement conventional
brain tumor research paradigms, addressing a devastating disease for which
innovative diagnostic and treatment strategies are clearly needed.
PMID- 27179362
TI - ATGL and DGAT1 are involved in the turnover of newly synthesized triacylglycerols
in hepatic stellate cells.
AB - Hepatic stellate cell (HSC) activation is a critical step in the development of
chronic liver disease. During activation, HSCs lose their lipid droplets (LDs)
containing triacylglycerol (TAG), cholesteryl esters (CEs), and retinyl esters
(REs). Here we aimed to investigate which enzymes are involved in LD turnover in
HSCs during activation in vitro. Targeted deletion of the Atgl gene in mice HSCs
had little effect on the decrease of the overall TAG, CE, and RE levels during
activation. However, ATGL-deficient HSCs specifically accumulated TAG species
enriched in PUFAs and degraded new TAG species more slowly. TAG synthesis and
levels of PUFA-TAGs were lowered by the diacylglycerol acyltransferase (DGAT)1
inhibitor, T863. The lipase inhibitor, Atglistatin, increased the levels of TAG
in both WT and ATGL-deficient mouse HSCs. Both Atglistatin and T863 inhibited the
induction of activation marker, alpha-smooth muscle actin, in rat HSCs, but not
in mouse HSCs. Compared with mouse HSCs, rat HSCs have a higher turnover of new
TAGs, and Atglistatin and the DGAT1 inhibitor, T863, were more effective. Our
data suggest that ATGL preferentially degrades newly synthesized TAGs,
synthesized by DGAT1, and is less involved in the breakdown of preexisting TAGs
and REs in HSCs. Furthermore a large change in TAG levels has modest effect on
rat HSC activation.
PMID- 27179363
TI - Molecular species composition of plant cardiolipin determined by liquid
chromatography mass spectrometry.
AB - Cardiolipin (CL), an anionic phospholipid of the inner mitochondrial membrane,
provides essential functions for stabilizing respiratory complexes and is
involved in mitochondrial morphogenesis and programmed cell death in animals. The
role of CL and its metabolism in plants are less well understood. The measurement
of CL in plants, including its molecular species composition, is hampered by the
fact that CL is of extremely low abundance, and that plants contain large amounts
of interfering compounds including galactolipids, neutral lipids, and pigments.
We used solid phase extraction by anion exchange chromatography to purify CL from
crude plant lipid extracts. LC/MS was used to determine the content and molecular
species composition of CL. Thus, up to 23 different molecular species of CL were
detected in different plant species, including Arabidopsis, mung bean, spinach,
barley, and tobacco. Similar to animals, plant CL is dominated by highly
unsaturated species, mostly containing linoleic and linolenic acid. During
phosphate deprivation or exposure to an extended dark period, the amount of CL
decreased in Arabidopsis, accompanied with an increased degree in unsaturation.
The mechanism of CL remodeling during stress, and the function of highly
unsaturated CL molecular species, remains to be defined.
PMID- 27179364
TI - [Unexpected outings of Alzheimer patients living in retirement homes: Therapeutic
perspectives].
AB - OBJECTIVES: Various behavior disorders can occur during Alzheimer's disease, in
particular unexpected outings. This article aims at understanding the diverse
mechanisms present during a "runaway" episode, which can manifest in an acute
way. The authors bring to light through clinical examples what is at work from a
psychological perspective in order to create new accompaniment methods. METHOD:
First, the authors reviewed the literature on runaway episodes in order to point
out necessary themes for reflection. Then, from a Freudian theoretical model,
they brought to light four fundamental mechanisms: hallucinations, false
recognition, non-recognition, and recognition. These are mainly, although not
exhaustively, understood from perceptions, memory-traces, indications of quality,
and memories. This theory was questioned by means of presented clinical cases.
Various post-Freudian models allowed the authors to emphasize the pathological
experience in the role of perceptions and the functions, which come into play in
the psychic economy. By going back and forth between theory and clinical cases,
the authors underline the importance of perception in the phenomenon of
unexpected outings. Finally, the Lacanian psychoanalytical theories provide a
framework to question clinical cases but also provide answers to the criticisms
found in the diverse reserved models. RESULTS: Through this study the authors
hypothesize that the runaway episodes are not senseless but result from the
interaction between the effects of the brain damage and the anxiety, which they
arouse in the subjectivity of the person suffering from Alzheimer's. Leaning on
false-recognitions, hallucinations, and non-recognitions such as were described
in "Project for a scientific psychology", the authors put forward the hypothesis
that these mechanisms express themselves in an imaginary relation, as in
psychosis. CONCLUSION: The question of whether the runaway episodes of
Alzheimer's sufferers can be classified as psychotic breakouts with a loss of
touch with reality, or if the runaway episodes could be prevented by offering
enough reassurance and support, is open to further debate.
PMID- 27179365
TI - [Night-to-night variability of the obstructive sleep apnoea-hypopnoea syndrome].
AB - : The apnoea-hypopnoea index (AHI) is the primary measurement used to
characterize the obstructive sleep apnoea-hypopnoea syndrome (OSAHS). Despite its
popularity, there are limiting factors to its application such as night-to-night
variability. AIM: To evaluate the variability of AHI in the OSAHS. PATIENTS AND
METHODS: A prospective study was designed in our university hospital's sleep
unit. Adults with clinical suspicion of OSAHS underwent 2 consecutive nights of
polysomnographic recording. The population was divided in two groups according to
an AHI>or<10. Patients with psychiatric disorders or professions that might
result in sleep deprivation or an altered sleep/wake cycle were excluded.
RESULTS: Twenty patients were enrolled. The mean age was 50.6+/-9.3 years. OSAHS
was mild in 4 cases, moderate in 6 cases and severe in 8 cases. AHI was less than
5 in two cases. AHI values were not significantly altered throughout both
recording nights (33.2 vs. 31.8 events/h). A significant positive correlation was
found between AHI measured on the first and the second night. However, a
significant individual variability was noted. Comparison between both patient's
groups showed a correlation between AHI and the body mass index. CONCLUSION: This
study demonstrates that the AHI in OSAHS patients is well correlated between two
consecutive nights. However, a significant individual variability should be taken
into consideration, especially when AHI is used in the classification of OSAHS or
as a criterion of therapeutic success.
PMID- 27179366
TI - Real-time pose estimation of devices from x-ray images: Application to x-ray/echo
registration for cardiac interventions.
AB - In recent years, registration between x-ray fluoroscopy (XRF) and transesophageal
echocardiography (TEE) has been rapidly developed, validated, and translated to
the clinic as a tool for advanced image guidance of structural heart
interventions. This technology relies on accurate pose-estimation of the TEE
probe via standard 2D/3D registration methods. It has been shown that latencies
caused by slow registrations can result in errors during untracked frames, and a
real-time ( > 15 hz) tracking algorithm is needed to minimize these errors. This
paper presents two novel similarity metrics designed for accurate, robust, and
extremely fast pose-estimation of devices from XRF images: Direct Splat
Correlation (DSC) and Patch Gradient Correlation (PGC). Both metrics were
implemented in CUDA C, and validated on simulated and clinical datasets against
prior methods presented in the literature. It was shown that by combining DSC and
PGC in a hybrid method (HYB), target registration errors comparable to previously
reported methods were achieved, but at much higher speeds and lower failure
rates. In simulated datasets, the proposed HYB method achieved a median projected
target registration error (pTRE) of 0.33 mm and a mean registration frame-rate of
12.1 hz, while previously published methods produced median pTREs greater than
1.5 mm and mean registration frame-rates less than 4 hz. In clinical datasets,
the HYB method achieved a median pTRE of 1.1 mm and a mean registration frame
rate of 20.5 hz, while previously published methods produced median pTREs greater
than 1.3 mm and mean registration frame-rates less than 12 hz. The proposed
hybrid method also had much lower failure rates than previously published
methods.
PMID- 27179367
TI - Slic-Seg: A minimally interactive segmentation of the placenta from sparse and
motion-corrupted fetal MRI in multiple views.
AB - Segmentation of the placenta from fetal MRI is challenging due to sparse
acquisition, inter-slice motion, and the widely varying position and shape of the
placenta between pregnant women. We propose a minimally interactive framework
that combines multiple volumes acquired in different views to obtain accurate
segmentation of the placenta. In the first phase, a minimally interactive slice
by-slice propagation method called Slic-Seg is used to obtain an initial
segmentation from a single motion-corrupted sparse volume image. It combines high
level features, online Random Forests and Conditional Random Fields, and only
needs user interactions in a single slice. In the second phase, to take advantage
of the complementary resolution in multiple volumes acquired in different views,
we further propose a probability-based 4D Graph Cuts method to refine the initial
segmentations using inter-slice and inter-image consistency. We used our
minimally interactive framework to examine the placentas of 16 mid-gestation
patients from MRI acquired in axial and sagittal views respectively. The results
show the proposed method has 1) a good performance even in cases where sparse
scribbles provided by the user lead to poor results with the competitive
propagation approaches; 2) a good interactivity with low intra- and inter
operator variability; 3) higher accuracy than state-of-the-art interactive
segmentation methods; and 4) an improved accuracy due to the co-segmentation
based refinement, which outperforms single volume or intensity-based Graph Cuts.
PMID- 27179368
TI - Clinical significance of coryneform Gram-positive rods from blood identified by
MALDI-TOF mass spectrometry and their susceptibility profiles - a retrospective
chart review.
AB - With the advent of matrix-assisted laser desorption ionization-time of flight
mass spectrometry (MALDI-TOF MS), most Gram-positive rods (GPRs) are readily
identified; however, their clinical relevance in blood cultures remains unclear.
Herein, we assessed the clinical significance of GPRs isolated from blood and
identified in the era of MALDI-TOF MS. A retrospective chart review of patients
presenting to the Mayo Clinic, Rochester, MN, from January 1, 2013, to October
13, 2015, was performed. Any episode of a positive blood culture for a GPR was
included. We assessed the number of bottles positive for a given isolate, time to
positivity of blood cultures, patient age, medical history, interpretation of
culture results by the healthcare team and whether infectious diseases
consultation was obtained. We also evaluated the susceptibility profiles of a
larger collection of GPRs tested in the clinical microbiology laboratory of the
Mayo Clinic, Rochester, MN from January 1, 2013, to October 31, 2015. There were
a total of 246 GPRs isolated from the blood of 181 patients during the study
period. 56% (n = 101) were deemed contaminants by the healthcare team and were
not treated; 33% (n = 59) were clinically determined to represent true bacteremia
and were treated; and 8% (n = 14) were considered of uncertain significance, with
patients prescribed treatment regardless. Patient characteristics associated with
an isolate being treated on univariate analysis included younger age (P = 0.02),
identification to the species level (P = 0.02), higher number of positive blood
culture sets (P < 0.0001), lower time to positivity (P < 0.0001),
immunosuppression (P = 0.03), and recommendation made by an infectious disease
consultant (P = 0.0005). On multivariable analysis, infectious diseases
consultation (P = 0.03), higher number of positive blood culture sets (P =
0.0005) and lower time to positivity (P = 0.03) were associated with an isolate
being treated. 100, 83, 48 and 34% of GPRs were susceptible to vancomycin,
meropenem, penicillin and ceftriaxone, respectively.
PMID- 27179369
TI - Morbidity and mortality among patients with respiratory syncytial virus
infection: a 2-year retrospective review.
AB - Previous studies have demonstrated high morbidity and mortality for adult
patients with respiratory syncytial virus (RSV) infection. We performed a
retrospective, multicenter, two-year chart review of all patients (n = 334)
testing positive for RSV by the ProFlu + ((r)) Influenza A/B and RSV assay
(Hologic, Bedford, MA). We analyzed indicators of morbidity and mortality in
children <6 years old, immunocompetent and immunosuppressed adults, and
transplant patients. Significant morbidity and mortality was observed among
hematopoietic stem cell transplant patients (7.3%, 60-day mortality), solid organ
transplant patients (13.3%, 60-day mortality), and COPD patients (12.8%, 60-day
mortality). Of the patients positive for RSV, 144 (43.1%) of 334 received
antibacterials or antifungals following diagnosis. Of these patients, a bacterial
or fungal pathogen was not recovered from 60% of cases. Despite advances in RSV
treatment, certain populations appear to be inadequately treated, while others
appear to be inappropriately treated with unnecessary antimicrobials.
PMID- 27179370
TI - Partners' perspective on care-system support before, during and after childbirth
in relation to parenting roles.
AB - OBJECTIVES: To explore (i) ways in which partners experience support from care
systems before, during, and after childbirth in relation to their parenting roles
and (ii) ways in which support can improve. METHODS: Four focus group interviews
(n = 17; median age = 35; age range = 24-46) and inductive content analysis.
RESULTS: Analysis revealed the following three categories: (1) Care staff include
or exclude in relation to partners' parenting role; (2) Care systems continuity;
(3) Being a supportive partner. The latent content of the categories was
formulated into a theme: being engaged and wanting to be included. CONCLUSIONS:
Because partners are engaged parents, who support the woman giving birth, they
must feel included during pregnancy, birth, and postpartum care and during
encounters within child health care units. This would require (i) information
that directly targets partners before and after childbirth, (ii) specially
adapted venues for parent education, and (iii) personal, partner-focused
discussions with care staff.
PMID- 27179371
TI - Sense of coherence among healthy Norwegian women in postnatal care:
Dimensionality reliability and construct validity of the Orientation to Life
Questionnaire.
AB - OBJECTIVE: Salutogenesis focuses on identifying the causes of health rather than
the causes of illness, and in this way offers a health promotion framework for
maternity services. The application of salutogenesis theory in empirical studies
of healthy women in maternity care appears to be rare, and mostly incomplete. The
objective of this study is to examine the psychometric properties of the
Orientation to Life Questionnaire (OLQ) assessing sense of coherence (SOC) in a
population of healthy Norwegian women during the postnatal period. METHODS: Self
reported cross-sectional data were collected from 183 women six weeks into the
postnatal period. The data were analysed by descriptive statistics and
confirmative factor analysis. RESULTS: Discriminant validity was supported by
significant negative correlations between SOC, meaningfulness, comprehensibility,
manageability, anxiety and depression. Inter-item consistency with Cronbach's
alpha (0.62-0.87) and composite reliability (0.60-0.92) revealed acceptable to
good values approving the reliability. The original one-dimensional concept of
sense of coherence was confirmed in this study. However, in accordance with
previous research, some misspecifications in reference to correlated error
variances between the items OLQ2 and OLQ3 were discovered. CONCLUSION: This study
lends support to the original one-dimensional construct of sense of coherence,
and sheds more light upon the troublesome pair of items OLQ2-OLQ3. Further
studies are required. However, based on our results, a rewording or deletion of
one of these two items seems necessary in order to achieve a reliable and valid
instrument measuring SOC among healthy postnatal women.
PMID- 27179372
TI - Lebanese women and sexuality: A qualitative inquiry.
AB - OBJECTIVES: This study explores the meanings middle-aged Lebanese women attribute
to sexuality and sexual life and how these constructs are shaped socially,
culturally, and politically. STUDY DESIGN: Using a qualitative design, data
generation comprised semistructured individual interviews (n = 18) and one focus
group (n = 5) with Lebanese women aged 40-55 years. Framework analysis was used
for data analysis. RESULTS: Inductive analysis identified four themes: Sexuality
as imposed by sociocultural and gender norms; sexuality as a symbol of youthful
femininity; sexual life as a fundamental human need; and sexual life as a marital
unifier and family stabiliser. Findings show that women's sexual self is largely
defined based on men's needs. Women sacrifice themselves to maintain family
cohesiveness, which they regard as the core of society. However, some women
challenged social norms and therefore bringing new meanings to their sexuality.
CONCLUSION: This study offers new contextual information about the understanding
of sexuality of middle-aged women within a Lebanese context, where the topic is
not openly discussed. New insights are important to provide women with
professional support that is culturally sensitive and appropriate.
PMID- 27179373
TI - The perceived role of clinicians in pregnancy prevention among young Black women.
AB - OBJECTIVE: The purpose of this study is to identify young Black women's attitudes
toward clinicians and understand how they affect contraceptive behavior. STUDY
DESIGN AND MAIN OUTCOME MEASURES: We conducted semi-structured qualitative
interviews with women aged 18-23 who self-identified as Black or African-American
and analyzed data using techniques informed by grounded theory. Initial codes
were grouped thematically, and these themes into larger concepts. RESULTS:
Participants discussed two salient concepts related to pregnancy prevention: (1)
sexual responsibility and self-efficacy and (2) the perceived limited role of
health care clinicians. Women portrayed themselves as in control of their
contraceptive decision-making and practices. Many viewed their life plan, to
finish school and gain financial stability, as crucial to their resolve to use
contraception. Participants gathered information from various sources to make
their own independent decision about which method, if any, was most appropriate
for their needs. Most had limited expectations of clinicians and considered in
depth conversations about details of contraceptive use to be irrelevant and
unnecessary. CONCLUSION: These findings help understand factors contributing to
contraceptive decision-making. The patient-clinician interaction is a necessary
focus of future research to improve sexual health discussions and understand if
and what aspects of this interaction can influence behavior.
PMID- 27179374
TI - Mediolateral versus lateral episiotomy and their effect on postpartum coital
activity and dyspareunia rate 3 and 6 months postpartum.
AB - OBJECTIVES: Comparison of the effects of two episiotomy types on sexual activity,
dyspareunia and overall satisfaction after childbirth. STUDY DESIGN: A
prospective follow-up study of a randomized comparative trial evaluating
peripartum outcome of a vaginal delivery after mediolateral (MLE) or lateral (LE)
episiotomy. MAIN OUTCOME MEASURES: The participants completed questionnaires
regarding sexual activity, dyspareunia, perineal pain, aesthetic appearance and
overall satisfaction 3 (3M) and 6 months (6M) postpartum. RESULTS: A total of 648
women were available for the analyses (306 MLE, 342 LE). The groups showed no
difference regarding resumption and regularity of sex, timing of resumption,
frequency and intensity of dyspareunia, perineal pain, aesthetic appearance or
overall satisfaction 3M or 6M postpartum. 98.0% of women after MLE and 97.7%
after LE resumed sexual intercourse within 6M after delivery (p = 0.74). In the
same period 15.6% of women after MLE and 16.1% after LE suffered from
considerable dyspareunia (p = 0.86). CONCLUSIONS: Quality of sexual life and
perception of perineal pain after MLE is equivalent to LE.
PMID- 27179375
TI - Swedish women's food habits during pregnancy up to six months post-partum: A
longitudinal study.
AB - OBJECTIVES: Diet influences the health of the foetus and the woman during
pregnancy and later in life. It is therefore important to investigate pregnant
women's food habits. The aim of this study was to describe women's food habits
during pregnancy and up to six months post-partum. STUDY DESIGN: A Food Frequency
Questionnaire (VIP-FFQ) was distributed to 163 pregnant women on five occasions
during and after pregnancy. Data were analysed using Friedman's ANOVA and a
Bonferroni post-hoc test. MAIN OUTCOME MEASURES: Food habits in relation to the
National Food Agency's (NFA) food index. RESULTS: The pregnant women's diets were
inadequate according to the NFA food index. A tendency towards an even poorer
diet after delivery was identified, something which was related to an increased
intake of discretionary food, e.g. sweets, cakes, cookies, crisps, ice cream, and
decreased intake of fruit and vegetable. The alcohol consumption was low
throughout. CONCLUSIONS: The food habits during pregnancy were inadequate
compared to recommendations and these habits became unhealthier after delivery.
These suggest that dietary counselling needs to be more effective and continued
into the lactating period. An increased focus should be given to healthy eating
from the life course perspective, not just focus on effects on the foetus and
pregnancy outcomes.
PMID- 27179376
TI - The role of knowledge in the contraceptive behaviour of sexually active young
people in state care.
AB - AIM: To analyse the role of sex-focused knowledge in the contraceptive behaviour
of sexually active young people in state care. METHODS: The sample consisted of
19 care leavers (young people previously in state care) aged 18-22 years, 16
females and 3 males. In-depth interviewing was the method of data collection, and
a qualitative strategy resembling modified analytical induction was used to
analyse data. FINDINGS: Findings indicated that a lack of information was not the
sole or even the primary reason for engaging in unsafe sexual practices. Other
factors such as ambivalence to becoming pregnant also featured in participants'
accounts. Several participants conveyed a relatively weak sense of agency about
consistently using contraception. A small number of participants expressed a
strong determination to avoid pregnancy, and these appeared to have a level of
anxiety about becoming pregnant that motivated them to engage with knowledge
about contraception and its use. CONCLUSION: Lack of sex-focused information is
just one aspect of a myriad of complex factors, including socioeconomic
disadvantage and/or emotional deprivation, that influence contraceptive
behaviour.
PMID- 27179377
TI - The experiences of husbands of primiparas with depressive or anxiety disorders
during the perinatal period.
AB - OBJECTIVES: During the perinatal period, husbands take the key role as essential
supporter of wives with mental illness. The aim of this study was to explore the
experiences of husbands of primiparas with depressive or anxiety disorders.
METHODS: A qualitative descriptive design was used in the study. In-depth
interviews were held one to two months after childbirth and results were analyzed
using a constant comparative method. RESULTS: We approached ten couples and seven
husbands agreed to be interviewed. From interviews, four categories emerged. They
are "Husband is committed to decision making by exploring the impact of
pregnancy", "The husband's burden depends on his wife's mental status and the
relationship between her parents", "The preciousness of baby offsets the new
burden," and "Continuous process of trial and error dealing with wife's mental
status". CONCLUSIONS: For many years prior to pregnancy, husbands had been alone
in trying to help their wives through trial and error. After childbirth they
accepted the new child-centered lifestyle and supported their wives' mental
health. Healthcare providers are needed to become advisors not only to pregnant
women but also to their husbands and build a stable support system with members
such as psychiatrists, obstetricians and midwives.
PMID- 27179378
TI - Cross-cultural development and psychometric evaluation of a measure to assess
fear of childbirth prior to pregnancy.
AB - BACKGROUND: Assessment of childbirth fear, in advance of pregnancy, and early
identification of modifiable factors contributing to fear can inform public
health initiatives and/or school-based educational programming for the next
generation of maternity care consumers. We developed and evaluated a short fear
of birth scale that incorporates the most common dimensions of fear reported by
men and women prior to pregnancy, fear of: labour pain, being out of control and
unable to cope with labour and birth, complications, and irreversible physical
damage. METHODS: University students in six countries (Australia, Canada,
England, Germany, Iceland, and the United States, n = 2240) participated in an
online survey to assess their fears and attitudes about birth. We report internal
consistency reliability, corrected-item-to-total correlations, factor loadings
and convergent and discriminant validity of the new scale. RESULTS: The
Childbirth Fear - Prior to Pregnancy (CFPP) scale showed high internal
consistency across samples (alpha > 0.86). All corrected-item-to total
correlations exceeded 0.45, supporting the uni-dimensionality of the scale.
Construct validity of the CFPP was supported by a high correlation between the
new scale and a two-item visual analogue scale that measures fear of birth (r >
0.6 across samples). Weak correlations of the CFPP with scores on measures that
assess related psychological states (anxiety, depression and stress) support the
discriminant validity of the scale. CONCLUSION: The CFPP is a short, reliable and
valid measure of childbirth fear among young women and men in six countries who
plan to have children.
PMID- 27179379
TI - Swedish fathers contemplate the difficulties they face in parenthood.
AB - OBJECTIVE: The aim was to explore what concerns Swedish fathers had about
parenting difficulties at two months after the birth of their baby. METHODS: Self
report questionnaires were used and data were analyzed with mixed methods.
RESULTS: Thirty percent of the 827 fathers reported concerns about the
difficulties of parenthood. The theme 'Managing the demands of being a father'
emerged and was based on concerns about how to raise the baby, having enough
money, health issues, lack of time and finding balance in the new family pattern.
Financial worries, feeling less positive about expecting a baby, and self
reported poor emotional health were related to fathers who perceived parenthood
as difficult. CONCLUSION: Experienced fathers as well as new fathers expressed
similar concerns about parenthood. Preparation classes for reassurance and skills
coaching about child raising may provide important support for fathers. This is
especially important for fathers who may have poor emotional health or who may
not be feeling positive about expecting a baby. Policy-makers and health care
providers should recognize that offering support for all fathers benefits not
only men, but also their children, and their partners and can help encourage
egalitarian practices at home and work.
PMID- 27179380
TI - The media as a critical determinant of the sexual and reproductive health of
adolescents in Ibadan, Nigeria.
AB - PURPOSE: Findings on the influences of the media on the sexual health of
adolescents in vulnerable communities in Ibadan are presented. METHODS: Phase I
of the WAVE study in Ibadan was conducted among participants purposively selected
from disadvantaged communities in Ibadan North Local Government Area (LGA).
Qualitative research methods (key informant interviews, in-depth interviews,
community mapping and focus group discussions as well as photovoice sessions)
were utilized. RESULTS: A total of 132 key informants and adolescents (aged 15-19
years) participated. The key informants were teachers, youth workers, and
religious leaders working with adolescents within the LGA. Respondents mentioned
a number of media technologies (such as television, cellphones, computers, the
Internet as well as online and hard copy novels) that adolescents are exposed to
in contemporary times. They said these had positive and negative influences on
them. Adolescents often looked up information on the Internet although it was
mostly used as a means of meeting and communicating with friends. Respondents
stated that the media had a strong influence on adolescents' sexual and
reproductive health especially regarding dating, relationships, and sexual
practices. It also exposed them to pornography and Internet fraud. CONCLUSIONS:
The study highlighted the important role the media plays in the sexual health of
adolescents in Ibadan. Intervention programmes need to make use of this medium to
reach out to more adolescents and measures should be instituted to prevent
adolescents from misusing the media.
PMID- 27179381
TI - Fathers' care of the newborn infant after caesarean section in Chile: A
qualitative study.
AB - BACKGROUND: In Chilean hospitals the current model of care after caesarean
section is to separate newborn infants from both parents. The care of newborn
infants and the parents' experience immediately after caesarean section requires
further exploration. AIM: To describe fathers' experiences and perceptions of
being the primary caregiver to their newborn infant during the first 90 minutes
after caesarean section in a public general maternity hospital setting in
Santiago de Chile. METHOD: The questionnaire was one part of a larger research
programme named: "Caregiving Models after Elective Caesarean Section - Parents'
perceptions and effects on infants' wellbeing". Four open ended questions were
used to gather written text on the experiences and perceptions of 95 fathers who
were the primary caregiver to their newborn infant. Ethical approval was obtained
from the Ethics Committee, Scientific Assessment Metropolitan Health Service
South East. Systematic text condensation according to Malterud's description was
used for analysis of the written text. FINDINGS: Two themes were identified:
"understanding the first moment of life" and "shared responsibility for future
family life" with each theme divided into six categories. CONCLUSION: This study
concludes by arguing that in situations where the mother is unavailable or unable
to provide basic care, the father should be supported to care for the newborn
infant. CLINICAL IMPLICATIONS: Parents should be made aware of the benefits of
this caring model especially when mother and baby have been separated after
birth.
PMID- 27179382
TI - Counseling for childbirth fear - a national survey.
AB - BACKGROUND: Counseling by experienced midwives is offered to women with
childbirth fear in most obstetric clinics in Sweden, but information about the
content of such counseling is lacking. AIM: To study comprehensiveness, content
and organization of the midwife-led counseling for childbirth fear in all
obstetric clinics in Sweden. METHODS: In this cross-sectional study, data were
collected using a questionnaire sent to all obstetric clinics in Sweden (n = 45);
a total of 43 clinics responded. Descriptive and one-way ANOVA was used in the
analysis. RESULTS: All responding obstetric clinics in Sweden offer midwife-led
counseling to women with childbirth fear. Major differences were found regarding
the time allocated to counseling, with a range between 5.7 and 47.6 minutes per
childbirth. Supplementary education for midwives and the availability of
treatment options varied at the different clinics and were not associated with
the size of the clinic. CONCLUSION: The midwife-led counseling conducted at the
different Swedish obstetric clinics showed considerable disparities. Women with
childbirth fear would benefit from care on equal terms irrespective of place of
residence. Consequently, it would be valuable to develop a national healthcare
program for childbirth fear.
PMID- 27179383
TI - Under scrutiny: Midwives' experience of intrapartum transfer from home to
hospital within the context of a planned homebirth in Western Australia.
AB - BACKGROUND: Women's experience of homebirth has been a focus of research, with
limited international research and no Australian evidence of the experiences of
midwives in relation to their experience of intrapartum transfers within the
context of a planned homebirth. OBJECTIVE: To explore the experience of Western
Australian midwives involved in an intrapartum transfer from home to hospital.
METHODS: A descriptive phenomenological study was conducted. Women who elect to
have a homebirth in Western Australia have the choice of care from privately
practising midwives or a publicly funded program. Midwives who were currently
practising or had practised within the past three years and experienced an
intrapartum transfer were invited to participate. In-depth interviews were
conducted with 13 midwives and data analysed using the Stevick-Colaizzi-Keen
method. RESULTS: Analysis revealed an overarching theme "under scrutiny" which
captured four themes: "decision to transfer: getting the timing right";
"reception at the hospital: welcoming or not"; "maintaining continuity of carer"
and "reflections: coming to terms with the experience". CONCLUSION: The decision
to transfer to hospital represents a profound shift in expectations for the woman
and midwife that is often not recognised by hospital staff. Intrapartum transfer
is a challenging clinical decision for all parties; midwives, women, partners and
health services. Increased effort by maternity health professionals to improve
communication and collaboration must be a priority to better support women and
their partners who make an informed decision to have a planned homebirth.
PMID- 27179384
TI - Obstetric management in vacuum-extraction deliveries.
AB - OBJECTIVE: The aim of this observational study was to describe the obstetric
management in vacuum extraction (VE) deliveries and to compare these findings to
instructions in clinical guidelines on VE. METHODS: In 2013, detailed data on
management of 600 VE cases were consecutively collected from six different
delivery units in Sweden. Each unit also contributed their own clinical VE
guideline. RESULTS: In total, 93% of the VEs ended with a vaginal delivery while
7% failed and were converted to an emergency cesarean section. In 2.3% extraction
time exceeded 20 minutes, and in 6% more than six pulls were used to deliver the
fetus. Cup detachment occurred in 14.6%, and fundal pressure was used in 11% of
the deliveries. In 2.3%, fetal station was assessed as above the level of the
maternal ischial spines. The clinical guidelines on VE varied in scope and
content between units, and were often incomplete according to best practice.
CONCLUSION: The vast majority of the VEs were conducted in accordance with safety
recommendations. However, in a few extractions, safety rules were disregarded and
more than six pulls or an extraction time of more than 20 minutes were used to
complete the delivery.
PMID- 27179385
TI - Naegele's rule revisited.
AB - Recent literature suggests that Franz Carl Naegele's (1778-1851) rule for
estimating the date of delivery has been misinterpreted, resulting in this being
brought forward by five days. Baskett and Nagele's work underpinning this
argument has become widely accepted and quoted in obstetrical and midwifery
textbooks. However, our re-examination of Naegele's original statements does not
support the recent findings. On the contrary, the original textbooks of Naegele
clearly advise taking the first day of menstruation for the calculation of the
date of delivery.
PMID- 27179386
TI - Applying an intervention framework to assess North Carolina's adolescent
pregnancy prevention efforts.
AB - PURPOSE: We assessed the extent to which implementing adolescent pregnancy
prevention programs in conjunction with three level implementation strategies
reduces adolescent pregnancy rates at the county-level in North Carolina (NC).
METHODS: Fixsen and colleagues' (2005) three levels of implementation were used
to organize the prevention strategies: core (e.g., training, fidelity
monitoring), organizational (e.g., administrative support), and external (e.g.,
community resources). RESULTS: Counties that had adolescent friendly
clinic/services (external) were more likely to report lower adolescent pregnancy
rates in comparison to counties that did not have access to such services.
CONCLUSIONS: Findings suggest external implementation strategies are key to
reducing adolescent pregnancy rates.
PMID- 27179387
TI - Clinical Outcome of Isolated Popliteal Artery Aneurysms Treated with a Heparin
bonded Stent Graft.
AB - OBJECTIVE: The use of self-expanding stent grafts for treatment of popliteal
artery aneurysms (PAA) is a matter of debate, although several studies have shown
similar results compared with open surgery. In recent years, a new generation
stent graft, with heparin-bonding technology, became available. The aim of this
study is to present the results of endovascular PAA repair with heparin-bonded
stent grafts. METHODS: Data on all patients with PAA treated with a heparin
bonded polytetrafluoroethylene (ePTFE) stent graft between April 2009 and March
2014 were gathered in a database and retrospectively analyzed. Data were
collected from four participating hospitals. Standard follow-up consisted of
clinical assessment, and duplex ultrasound at 6 weeks, 6 months, 12 months, and
annually thereafter. The primary endpoint of the study was primary patency.
Secondary endpoints were primary-assisted and secondary patency and limb salvage
rate. RESULTS: A total of 72 PAA was treated in 70 patients. Mean age was 71.2 +/
8.5 years and 93% were male (n = 65). The majority of PAA were asymptomatic
(78%). Sixteen cases (22%) had a symptomatic PAA, of which seven (44%) presented
with acute ischemia. Early postoperative complications occurred in two patients
(3%). Median follow-up was 13 months (range 0-63 months). Primary patency rate at
1 year was 83% and after 3 years 69%; primary assisted patency rate was 87% at 1
year and 74% after 3 years. Secondary patency rate was 88% and 76% at 1 and 3
years, respectively. There were no amputations during follow-up. CONCLUSION:
Endovascular treatment of PAA with heparin-bonded stent grafts is a safe
treatment option with good early and mid-term patency rates comparable with open
repair using the great saphenous vein.
PMID- 27179388
TI - Vagoglossopharyngeal neuralgia revealed through predominant digestive vagal
manifestations. Case report and literature review.
AB - Vagoglossopharyngeal neuralgia is a rare pathology whose atypical forms,
dominated by syncopal manifestations, are still rarer. Although the territory of
the vagus nerve involves, beyond the cardiovascular system, the respiratory and
the digestive systems, there is no report in literature of atypical forms other
than syncopal. Therefore, the authors were prompted to report the case of a
patient whose vagoglossopharyngeal neuralgia was predominantly revealed by
digestive symptoms. A 58-year-old patient presented with stereotypical severe
digestive disturbances including nausea, vomiting and diarrhoea. High definition
cranial MRI showed a neurovascular conflict between the posterior inferior
cerebellar artery and the IXth and Xth nerves, on the right side. A microsurgical
decompression was carried out which confirmed the vascular compression and
successful transposition of the artery. One year after the surgery, the patient
was free from all painful and digestive symptoms. A survey of the literature did
not find any reference to digestive symptoms together with the neuralgia; only a
syncopal type of cardiac symptoms related to the parasympathetic nervous system
were described. The hypothesis was that the revealing digestive symptoms are
linked to a similar parasympathetic mechanism, implying the visceral component of
the Xth cranial nerve.
PMID- 27179389
TI - Extended endoscopic endonasal approach to clival and paraclival tumors:
Indications and limits.
AB - OBJECTIVE: To report our experience with the Extended endoscopic endonasal
approach (EEEA) for clival and paraclival tumors. DESIGN: Retrospective analysis
of a consecutive series of patients. RESULTS: Eleven patients were considered: 3
chordomas, 3 meningiomas, 3 metastatic lesions, one chondroma and one
chondrosarcoma. Gross total resection (GTR) was achieved in all chordomas and in
chondromas with patients free of disease at the last follow-up. The
chondrosarcoma was first operated on using a transfacial approach and endoscopy
was performed for local progression with subtotal resection. The meningiomas were
treated by a combination of transcranial and endoscopic approach due to their
extension. The resection was subtotal and the residue treated by radiosurgery.
Two patients with rhinopharyngeal carcinoma underwent palliative debulking. One
metastatic melanoma that underwent GTR experienced remission. Two patients had
postoperative cranial nerve palsy. No other complications were observed.
CONCLUSIONS: EEEA allows a direct access to the skull base. Through a minimal
access, it limits the incidence of neurological morbidities. For midline epidural
clival tumors, EEEA allows a total excision. It also offers an excellent access
to the clival component of intradural lesions. A combined approach permits good
tumor control with minimal complications.
PMID- 27179390
TI - Dynein Dysfunction Reproduces Age-Dependent Retromer Deficiency: Concomitant
Disruption of Retrograde Trafficking Is Required for Alteration in beta-Amyloid
Precursor Protein Metabolism.
AB - It is widely accepted that beta-amyloid (Abeta) protein plays a pivotal role in
Alzheimer disease pathogenesis, and accumulating evidence suggests that endocytic
dysfunction is involved in Abeta pathology. Retromer, a conserved multisubunit
complex, mediates the retrograde transport of numerous kinds of cargo from
endosomes to the trans-Golgi network. Several studies have found that retromer
deficiency enhances Abeta pathology both in vitro and in vivo. Cytoplasmic
dynein, a microtubule-based motor protein, mediates minus-end-directed vesicle
transport via interactions with dynactin, another microtubule-associated protein
that also interacts with retromer. Aging attenuates the dynein-dynactin
interaction, and dynein dysfunction reproduces age-dependent endocytic
disturbance, resulting in the intracellular accumulation of beta-amyloid
precursor protein (APP) and its beta-cleavage products, including Abeta. Here, we
report that aging itself affects retromer trafficking in cynomolgus monkey
brains. In addition, dynein dysfunction reproduces this type of age-dependent
retromer deficiency (ie, the endosomal accumulation of retromer-related proteins
and APP. Moreover, we found that knockdown of Rab7, Rab9, or Rab11 did not alter
endogenous APP metabolism, such as that observed in aged monkey brains and in
dynein-depleted cells. These findings suggest that dynein dysfunction can cause
retromer deficiency and that concomitant disruption of retrograde trafficking may
be the key factor underlying age-dependent Abeta pathology.
PMID- 27179391
TI - Association between Internet gaming disorder and adult attention deficit and
hyperactivity disorder and their correlates: Impulsivity and hostility.
AB - Internet gaming disorder (IGD) and attention deficit and hyperactivity disorder
(ADHD) are associated with impulsivity and hostility. This study evaluated the
associations among ADHD, impulsivity, hostility, and IGD. We recruited 87
individuals with IGD and 87 controls without a history of IGD. All participants
underwent a diagnostic interview based on the DSM-5 IGD criteria and DSM-IV-TR
ADHD criteria and completed a questionnaire regarding impulsivity and hostility.
The information from the diagnostic interviews was assessed using the clinical
global impression scale. The results suggested that IGD is associated with ADHD
among young adults and that young adults with both IGD and ADHD have higher
impulsivity and hostility. Furthermore, impulsivity and hostility mediate the
association between ADHD and IGD. Thus, ADHD is a common comorbidity of IGD among
young adults, and impulsivity and hostility are major factors involved in
comorbid ADHD and IGD. Young adults with ADHD should be thoroughly assessed,
particularly for their impulsivity and hostility, and interventions for IGD
should be developed.
PMID- 27179392
TI - Use of a fluorescent marker for assessing hospital bathroom cleanliness.
AB - A fluorescent marker was used to assess the efficacy of daily cleaning in
hospital en suite bathrooms. We applied the marker on 218 surfaces and we
assigned a score according how completely the mark had been removed. We found
significant statistical differences among different surfaces and wards (P < .05).
Microbiologic contamination and marker removal score did not seem to be
correlated. Differences in cleanliness may indicate discrepancies in cleaning
procedures. Fluorescent marker proved to be a practical and effective method and
it could be adopted as a first-level control system to assess hospital
cleanliness.
PMID- 27179393
TI - Risk factors for deep sternal wound infection after cardiac surgery: Influence of
red blood cell transfusions and chronic infection.
AB - BACKGROUND: Deep sternal wound infection (DSWI) following cardiac surgery is a
serious complication, but risk factors associated with DSWI have not been fully
elucidated. METHODS: We analyzed all DSWI cases at our institution from 2010-2013
in adult cardiac median sternotomy cases, based on Society of Thoracic Surgeons
or National Healthcare Safety Network definitions, but with 1-year surveillance
postsurgery. Controls were matched 3:1 per case for procedure, age, and year of
surgery. Demographic and operative data were pulled from Society of Thoracic
Surgeons database and chart review. Potential variables were evaluated using
univariate and multivariate conditional logistic regression. RESULTS: Out of
1,894 surgeries performed, 39 DSWI cases (2%) and 117 controls were identified.
In univariate analyses, patients with red blood cell (RBC) transfusion >= 4
units, any platelet transfusion, previous infections, and chronic infections were
associated with higher DSWI. RBC transfusion >= 4 units (P = .037) and chronic
infections (P = .029) remained significant risk factors for DSWI in multivariate
analysis. Preoperative anemia alone was not associated with more DSWI, but its
interaction with RBC transfusion >= 4 units was significant. CONCLUSIONS: High
volume RBC transfusions and chronic infections were strongly associated with DSWI
in our population and represent potentially modifiable areas for improvement.
PMID- 27179394
TI - A novel infection prevention approach: Leveraging a mandatory electronic
communication tool to decrease peripherally inserted central catheter infections,
complications, and cost.
AB - BACKGROUND: Peripherally inserted central catheters (PICCs) removed prematurely
for unconfirmed infection or thrombosis lead to subsequent reinsertions and
associated complications. To improve clinical quality, a mandatory electronic
communication tool (MECT) based on clinical practice guidelines was mandated for
all inpatient adult PICCs in an academically affiliated tertiary medical center.
This MECT facilitated early communication and specialized evaluation with the
PICC team for any complications related to PICCs. METHODS: A historical cohort
study was conducted. Quality and cost measurements for 200 PICCs postinstitution
of a MECT were compared with 200 PICCs 12 months prior. PICC removal and
complication rates were compared for the 2 cohorts. RESULTS: Significant outcomes
included a central-line associated blood stream infection rate that changed from
1.38/1,000 catheter days to 0/1,000 catheter days, 0 provider-led premature PICC
removals, an overall 84% decrease in premature PICC removals (from 16%-2.5%; P <
.0001), a decrease in the total complication rate from 45.5%-24% (P < .0001), and
25% reduction in radiology costs. CONCLUSION: A novel infection prevention
approach leveraging a MECT resulted in 0 central line-associated bloodstream
infections and provider-led premature PICC removals.
PMID- 27179396
TI - Encapsulated omental necrosis after Roux-en-Y gastric bypass.
PMID- 27179397
TI - Comment on: Characteristics of adolescents with poor mental health after
bariatric surgery.
PMID- 27179395
TI - Carbapenem-resistant Enterobacteriaceae and endoscopy: An evolving threat.
AB - BACKGROUND: Several clusters of Carbapenem-resistant Enterobacteriaceae (CRE)
infections associated with contaminated endoscopes have recently been reported.
Interim guidelines for mitigating endoscope-associated transmission have been
proposed, but there has not been a systematic appraisal of CRE prevention
practices. METHODS: We conducted a systematic review of endoscope-associated CRE
infection episodes, abstracting information on outbreak detection, mitigation,
outcomes, and corrective steps taken to prevent recurrence. RESULTS: Seven
distinct outbreaks were identified in the published literature, and 5 of these
were associated with duodenal endoscopy, with the remaining 2 associated with
cystoscopy and ureteroscopy. Several investigators noted difficulties in cleaning
protocols surrounding difficult to access components, such as the elevator on
duodenoscopes. The published investigations did not report any failures of
sterilization. It is unclear if routine reprocessing was ineffective, or
difficult to execute properly. CONCLUSIONS: Meticulous cleaning protocols and
increased surveillance are necessary to prevent and detect future outbreaks of
CRE and to determine whether more stringent measures, such as sterilization, are
needed for duodenoscopes.
PMID- 27179398
TI - Management of gastric fold herniation after laparoscopic adjustable gastric
banded plication: a single-center experience.
AB - BACKGROUND: Laparoscopic adjustable gastric banded plication (LAGBP) is a novel
bariatric procedure, and little is known about its potential complications.
OBJECTIVES: Herein, we report on complications of LAGBP and discuss the clinical
features and diagnostic and therapeutic strategies in such situations, with
emphasis on gastric fold herniation (GFH). SETTING: University Hospital. METHODS:
Prospectively collected data of 223 patients who underwent LAGBP for morbid
obesity between August 2009 and December 2014 were retrospectively analyzed.
Follow-up at 1 year was 75%. RESULTS: Eight patients (3.5%) required readmission
due to major complications, including 1 trocar site hernia, 1 band leak, 1
gastric stenosis, and 5 GFHs. GFHs occurred mostly in the first postoperative
month (4/5, 80%) and at the fundus (5/5, 100%); 4 GFHs occurred in the initial 70
patients. Seven laparoscopic reoperations were required for managing GFH. The
gastric band was removed in 3 patients (of 5; 60%). Two patients developed
residual intra-abdominal abscess and were treated successfully by image-guided
drainage. In March 2012, we reversed the order of our surgical techniques for the
subsequent 153 patients and performed greater curvature plication first, followed
by band placement. Only one GFH occurred after this change in surgical order
(1/153 versus 4/70; P< .05). CONCLUSIONS: High clinical suspicion assisted by
radiological investigations and early surgical intervention is the key for
managing GFH after LAGBP. Though GFH complications were rare, we significantly
reduced its occurrence by altering the surgical order in LAGBP to plication
followed by banding.
PMID- 27179399
TI - Comment on: Technique or technology? Evaluating leaks after gastric bypass.
PMID- 27179400
TI - Recommendations for the presurgical psychosocial evaluation of bariatric surgery
patients.
AB - Psychosocial factors have significant potential to affect long-term outcomes of
bariatric surgery, including emotional adjustment, adherence to the recommended
postoperative lifestyle regimen, weight loss outcomes, and co-morbidity
improvement and or resolution. Thus, it is recommended that bariatric behavioral
health clinicians with specialized knowledge and experience be involved in the
evaluation and care of patients both before and after surgery. The evaluating
clinician plays a number of important roles in the multidisciplinary treatment of
the bariatric patient. Central among these is the role of identifying factors
that may pose challenges to optimal surgical outcome and providing
recommendations to the patient and bariatric team on how to address these issues.
This document outlines recommendations for the psychosocial evaluation of
bariatric surgery patients, appropriate qualifications of those conducting these
evaluations, communication of evaluation results and suggested treatment plan,
and the extension of behavioral healthcare of the bariatric patient to the entire
span of the surgical and postsurgical process.
PMID- 27179401
TI - Pathological complete remission and long-term outcome-what do we know in 2016?
PMID- 27179403
TI - Corrigendum to 'Phosphorus, and nitrogen co-doped carbon dots as a fluorescent
probe for real-time measurement of reactive oxygen and nitrogen species inside
macrophages' [Biosens. Bioelectron. 79 (2016) 822-828].
PMID- 27179402
TI - 5-year analysis of neoadjuvant pertuzumab and trastuzumab in patients with
locally advanced, inflammatory, or early-stage HER2-positive breast cancer
(NeoSphere): a multicentre, open-label, phase 2 randomised trial.
AB - BACKGROUND: In the primary analysis of the NeoSphere trial, patients given
neoadjuvant pertuzumab, trastuzumab, and docetaxel showed a significantly
improved pathological complete response compared with those given trastuzumab and
docetaxel after surgery. Here, we report 5-year progression-free survival,
disease-free survival, and safety. METHODS: In this multicentre, open-label,
phase 2 randomised trial in hospitals and medical clinics, treatment-naive adults
with locally advanced, inflammatory, or early-stage HER2-positive breast cancer
were randomly assigned (1:1:1:1) to receive four neoadjuvant cycles of
trastuzumab (8 mg/kg loading dose, followed by 6 mg/kg every 3 weeks) plus
docetaxel (75 mg/m(2) every 3 weeks, increasing to 100 mg/m(2) from cycle 2 if
tolerated; group A), pertuzumab (840 mg loading dose, followed by 420 mg every 3
weeks) and trastuzumab plus docetaxel (group B), pertuzumab and trastuzumab
(group C), or pertuzumab and docetaxel (group D). After surgery, patients
received three cycles of FEC (fluorouracil 600 mg/m(2), epirubicin 90 mg/m(2),
and cyclophosphamide 600 mg/m(2)) every 3 weeks (patients in group C received
four cycles of docetaxel prior to FEC), and trastuzumab 6 mg/kg every 3 weeks to
complete 1 year's treatment (17 cycles in total). Randomisation was done by a
central centre using dynamic allocation, stratified by operable, locally
advanced, and inflammatory breast cancer, and by oestrogen and/or progesterone
receptor positivity. Safety analyses were done according to treatment received.
The primary endpoint (pathological complete response) was previously reported;
secondary endpoints reported here are 5-year progression-free survival (analysed
in the intention-to-treat population) and disease-free survival (analysed in
patients who had surgery). Secondary and exploratory analyses were not powered
for formal statistical hypothesis testing, and therefore results are for
descriptive purposes only. The study ended on Sept 22, 2014 (last patient, last
visit). This study is registered with ClinicalTrials.gov, number NCT00545688.
FINDINGS: Between Dec 17, 2007, and Dec 22, 2009, 417 eligible patients were
randomly assigned to group A (107 patients), group B (107 patients), group C (107
patients), or group D (96 patients). One patient in group A withdrew before
treatment. One patient assigned to group D received group A treatment, one
patient assigned to group D received group B treatment, and one patient assigned
to group B received group C treatment. At clinical cutoff, 87 patients had
progressed or died. 5-year progression-free survival rates were 81% (95% CI 71
87) for group A, 86% (77-91) for group B, 73% (64-81) for group C, and 73% (63
81) for group D (hazard ratios 0.69 [95% CI 0.34-1.40] group B vs group A, 1.25
[0.68-2.30] group C vs group A, and 2.05 [1.07-3.93] group D vs group B). Disease
free survival results were consistent with progression-free survival results and
were 81% (95% CI 72-88) for group A, 84% (72-91) for group B, 80% (70-86) for
group C, and 75% (64-83) for group D. Patients who achieved total pathological
complete response (all groups combined) had longer progression-free survival
compared with patients who did not (85% [76-91] in patients who achieved total
pathological response vs 76% [71-81] in patients who did not achieve total
pathological response; hazard ratio 0.54 [95% CI 0.29-1.00]). There were no new
or long-term safety concerns and tolerability was similar across groups
(neoadjuvant and adjuvant treatment periods combined). The most common grade 3 or
worse adverse events were neutropenia (group A: 71 [66%] of 107 patients; group
B: 59 [55%] of 107; group C: 40 [37%] of 108; group D: 60 [64%] of 94), febrile
neutropenia (group A: 10 [9%]; group B: 12 [11%]; group C: 5 [5%]; group D: 15
[16%]), and leucopenia (group A: 13 [12%]; group B: 6 [6%]; group C: 4 [4%];
group D: 8 [9%]). The number of patients with one or more serious adverse event
was similar across groups (19-22 serious adverse events per group in 18-22% of
patients). INTERPRETATION: Progression-free survival and disease-free survival at
5-year follow-up show large and overlapping CIs, but support the primary endpoint
(pathological complete response) and suggest that neoadjuvant pertuzumab is
beneficial when combined with trastuzumab and docetaxel. Additionally, they
suggest that total pathological complete response could be an early indicator of
long-term outcome in early-stage HER2-positive breast cancer. FUNDING: F Hoffmann
La Roche.
PMID- 27179405
TI - [Human growth hormone and Turner syndrome].
AB - OBJECTIVE: The evaluation of clinical and analytical parameters as predictors of
the final growth response in Turner syndrome patients treated with growth
hormone. MATERIAL AND METHODS: A retrospective study was performed on 25 girls
with Turner syndrome (17 treated with growth hormone), followed-up until adult
height. Auxological, analytical, genetic and pharmacological parameters were
collected. A descriptive and analytical study was conducted to evaluate short (12
months) and long term response to treatment with growth hormone. RESULTS: A
favourable treatment response was shown during the first year of treatment in
terms of height velocity gain in 66.6% of cases (height-gain velocity >3cm/year).
A favourable long-term treatment response was also observed in terms of adult
height, which increased by 42.82+/-21.23cm (1.25+/-0.76 SDS), with an adult
height gain of 9.59+/-5.39cm (1.68+/-1.51 SDS). CONCLUSIONS: Predictors of good
response to growth hormone treatment are: A) initial growth hormone dose, B) time
on growth hormone treatment until starting oestrogen therapy, C) increased IGF1
and IGFBP-3 levels in the first year of treatment, and D) height gain velocity in
the first year of treatment.
PMID- 27179404
TI - Transarterial Chemoembolization Using Sorafenib in a Rabbit VX2 Liver Tumor
Model: Pharmacokinetics and Antitumor Effect.
AB - PURPOSE: To investigate feasibility, safety, and effect of transarterial
chemoembolization using sorafenib on degree of tumor necrosis in a rabbit VX2
liver tumor model. MATERIALS AND METHODS: New Zealand White rabbits (n = 20) with
a VX2 tumor were divided into two groups; one group was treated with hepatic
arterial administration of 0.5 mL ethiodized oil alone (Lipiodol; Guerbet, Aulnay
sous-Bois, France) (transarterial embolization with Lipiodol [TAE-L] group), and
one group was treated with 0.5 mL ethiodized oil plus 10 mg sorafenib
(transarterial embolization with sorafenib [TAE-S] group). Liquid chromatography
tandem mass spectrometry was used to measure sorafenib concentration in
peripheral blood and tissue. Hepatic enzymes, vascular endothelial growth factor
(VEGF), and hypoxia-inducible factor 1alpha (HIF-1alpha) were measured at 0, 24,
and 72 hours after treatment. Histopathologic examination was performed to
evaluate extent of tumor necrosis and normal parenchymal damage. RESULTS: Serum
sorafenib concentration peaked at 2 hours after treatment. The mean tissue
concentration was 406.8 times greater than the serum concentration. Aspartate
aminotransferase and alanine aminotransferase levels were significantly elevated
in the TAE-S group at 24 hours after treatment. Serum VEGF and HIF-1alpha
concentrations were not significantly different between the TAE-L and TAE-S
groups. Hepatic parenchymal damage was more severe in the TAE-S group. Mean
fraction of tumor necrosis after treatment was significantly greater in the TAE-S
group. CONCLUSIONS: Transarterial chemoembolization using sorafenib resulted in a
high intrahepatic concentration of sorafenib. The degree of tumor necrosis was
significantly greater in the TAE-S group compared with the TAE-L group, but more
severe toxicity of normal liver tissue also occurred.
PMID- 27179406
TI - DOPC-DOPE composition dependent Lalpha-HII thermotropic phase transition: SAXD
study.
AB - The structural polymorphism and parameters of lyotropic phases formed in the
mixed dioleoylphosphatidylcholine-dioleoylphosphatidylethanolamine (DOPC-DOPE)
system upon heating and varying DOPC:DOPE composition were studied by means of
small-angle X-ray diffraction (SAXD). In the temperature range 5-80 degrees C a
sequence of fluid lamellar Lalpha - inverse hexagonal HII - inverse cubic QII
phases was detected at DOPE mole fractions XDOPE>=0.65. A superposition of two
bicontinuous cubic QII phases of Pn3m and Ia3d space groups was identified. The
Lalpha to HII phase transition temperature, the onset of the QII phase formation,
as well as the lattice spacings of the Lalpha and HII phases were found to
decrease with rising DOPE content. Moreover, evidence of structural rearrangement
during the Lalpha to HII phase transition is given and change of transition
mechanism with varying XDOPE is suggested.
PMID- 27179408
TI - The cross-sectional relationships of dietary and serum vitamin D with
cardiometabolic risk factors: Metabolic components, subclinical atherosclerosis,
and arterial stiffness.
AB - OBJECTIVE: There has been increasing interest in non-skeletal interactions
between vitamin D insufficiency, which is common, and cardiovascular event and
cardiovascular disease (CVD) risk factors. METHODS: To evaluate cross-sectional
associations between dietary and serum vitamin D status and metabolic
abnormalities and arterial changes among 1054 adults aged >=40 y (404 men and 650
women) in a rural area of South Korea. Study subjects were divided into three
groups according to dietary vitamin D intake (tertiles) measured by food
frequency questionnaire and serum 25(OH)D levels (<=20, 21-29, and >=30 ng/mL).
Metabolic components (blood pressure, lipid profiles, and glycemic index) and
arterial changes (brachial ankle pulse wave velocity [baPWV] and carotid artery
intima-media wall thickness [cIMT]) were measured. RESULTS: Dietary vitamin D was
inversely associated with diastolic blood pressure (DBP) and baPWV among men, but
the association disappeared after multinutrient supplement users were excluded.
Among women, there was an inverse association between dietary vitamin D and
triacylglycerol (TG) levels. However, serum 25(OH)D showed a significant positive
relationship with HDL cholesterol in both men and women, while a positive linear
trend or nonlinear trend with serum 25(OH)D levels was shown in TG levels among
men and in systolic blood pressure (SBP), DBP, total cholesterol, and baPWV among
women. The positive relationship between serum 25(OH)D with baPWV disappeared
after adjustment for blood pressure. CONCLUSIONS: Serum 25(OH)D may be favorably
related to HDL cholesterol. However, serum 25(OH)D may not favorably related to
subclinical atherosclerosis and arterial stiffness measured by cIMT and baPWV.
The positive relationship between 25(OH)D and baPWV is likely to be mediated by
blood pressure.
PMID- 27179409
TI - In vitro screening of silver nanoparticles and ionic silver using neural networks
yields differential effects on spontaneous activity and pharmacological
responses.
AB - Silver nanoparticles (AgNPs) are used in a wide range of consumer and medical
products because of their antimicrobial and antifungal properties, and can
translocate to the brain following exposure. Therefore, to screen AgNPs for
potential impacts on human health, it is essential to examine neural function.
The present study examined AgNPs (3 citrate coated, 3 PVP coated; 10-75nm) and
AgNO3 effects on spontaneous and pharmacologically-induced neural network
function in rat primary cortical cells on multi-well microelectrode array (mwMEA)
plates. Baseline activity (1h) was recorded prior to exposure to non-cytotoxic
concentrations of AgNPs and AgNO3 (0.08-0.63 and 0.08-1.7MUg/ml, respectively).
Changes in number of total extracellularly-recorded action potential spikes
(total spikes; TS) and active electrodes (AE), relative to controls, were
assessed 1, 24, and 48h after exposure to AgNP suspensions or AgNO3. After the
48h recording, the response to a pharmacological challenge with the GABAA
antagonist, bicuculline (BIC), was assessed. Only two particles altered neural
network function. Citrate coated 10nm AgNP caused concentration-related increases
in AEs at 24h. After BIC treatment, PVP coated 75nm AgNP caused concentration
dependent increases in AE. AgNO3 effects differed from AgNPs, causing a
concentration-related decrease in AEs at 24 and 48h, and a concentration-related
decrease in TS following BIC challenge. Importantly, the direction of AgNO3
effects on neural activity was opposite those of 10nm Ag citrate at
concentrations up to 0.63MUg/ml, and different from 75nm Ag PVP, indicating ionic
silver does not mediate these effects. These results demonstrate that non
cytotoxic concentrations of 10nm citrate- and 75nm PVP-coated Ag NPs alter neural
network function in vitro, and should be considered for additional neurotoxicity
hazard characterization.
PMID- 27179407
TI - The role of cholesterol in membrane fusion.
AB - Cholesterol modulates the bilayer structure of biological membranes in multiple
ways. It changes the fluidity, thickness, compressibility, water penetration and
intrinsic curvature of lipid bilayers. In multi-component lipid mixtures,
cholesterol induces phase separations, partitions selectively between different
coexisting lipid phases, and causes integral membrane proteins to respond by
changing conformation or redistribution in the membrane. But, which of these
often overlapping properties are important for membrane fusion?-Here we review a
range of recent experiments that elucidate the multiple roles that cholesterol
plays in SNARE-mediated and viral envelope glycoprotein-mediated membrane fusion.
PMID- 27179410
TI - MicroRNA let-7b induces lens epithelial cell apoptosis by targeting leucine-rich
repeat containing G protein-coupled receptor 4 (Lgr4) in age-related cataract.
AB - Owing to a rapidly aging population, vision impairment caused by age-related
cataract has become very common. Age-related cataract has also become one of the
principal causes of blindness, and apoptosis of lens epithelial cells contributes
to non-congenital cataract development. Previous studies have reported that
microRNA let-7b (let-7b) is upregulated in cataractous lens epithelial cells, and
the expression level of let-7b is positively associated with N, C and P cataract
scores. However, the role of let-7b in the development of age-related cataract
remains unclear. Here, we observed that the expression level of let-7b in the
anterior lens capsules of age-related cataract was significantly higher than that
in the normal anterior lens capsules. We performed ultraviolet (UV) irradiation
to induce lens epithelial cell apoptosis. The results showed that the expression
level of let-7b in lens epithelial cells which were treated by UV irradiation was
significantly higher than that in the control, and let-7b promoted UV irradiation
induced apoptosis. Furthermore, we showed that leucine-rich repeat containing G
protein-coupled receptor 4 (Lgr4) was a direct target of let-7b, and let-7b
modulated lens epithelial cell apoptosis by directly targeting Lgr4. These
findings will offer new insights into our understanding of the molecular
mechanisms underlying the pathogenesis of cataract.
PMID- 27179412
TI - First insights into the expression of VAX2 in humans and its localization in the
adult primate retina.
AB - VAX2 is a transcription factor specifically expressed in the ventral region of
the prospective neural retina in vertebrates and is required for ventral eye
specification. Despite its extensive analysis in vertebrates, the biological role
of VAX2 in the human is presently unclear. This study was undertaken to
investigate VAX2 in humans aiming to gain new knowledge into its involvement in
retinal function. Here, we report VAX2 gene expression and protein localization
in cultured cells and adult retina. RT-PCR experiments indicated that VAX2 is
enriched in neuronal tissues. Moreover, we identified a novel isoform most
abundantly expressed in the retina. We termed the known transcript (NM_012476)
isoform-1, and the newly identified transcript as isoform-2. Analysis of protein
localization in cultured cells revealed that isoform-1 localizes to the nucleus
and isoform-2 is widely expressed within the cell; partial co-localization of
isoform-2 and actin filaments was also observed. In nonhuman primate retina VAX2
was seen either in the nuclear or in the cytoplasmic compartment depending on the
retinal cell type. In addition, a noteworthy enrichment of the signal was
observed in the outer segment of cone photoreceptors. Overall, this study
provides the first insights into the expression of VAX2 in humans and its
localization in the adult primate retina. Moreover, preliminary characterization
of alternative variants suggests an involvement of VAX2 in multiple cellular
pathways. Our findings raise the interesting possibility for further
investigation of VAX2 in the retina in health and disease.
PMID- 27179413
TI - Obstructive lung diseases and beta-blockers: Where do we stand?
PMID- 27179411
TI - Toward in vivo two-photon analysis of mouse aqueous outflow structure and
function.
AB - The promise of revolutionary insights into intraocular pressure (IOP) and aqueous
humor outflow homeostasis, IOP pathogenesis, and novel therapy offered by
engineered mouse models has been hindered by a lack of appropriate tools for
studying the aqueous drainage tissues in their original 3-dimensional (3D)
environment. Advances in 2-photon excitation fluorescence imaging (TPEF) combined
with availability of modalities such as transgenic reporter mice and intravital
dyes have placed us on the cusp of unlocking the potential of the mouse model for
unearthing insights into aqueous drainage structure and function. Multimodality 2
photon imaging permits high-resolution visualization not only of tissue
structural organization but also cells and cellular function. It is possible to
dig deeper into understanding the cellular basis of aqueous outflow regulation as
the technique integrates analysis of tissue structure, cell biology and
physiology in a way that could also lead to fresh insights into human glaucoma.
We outline recent novel applications of two-photon imaging to analyze the mouse
conventional drainage system in vivo or in whole tissues: (1) collagen second
harmonic generation (SHG) identifies the locations of episcleral vessels,
intrascleral plexuses, collector channels, and Schlemm's canal in the distal
aqueous drainage tract; (2) the prospero homeobox protein 1-green fluorescent
protein (GFP) reporter helps locate the inner wall of Schlemm's canal; (3)
Calcein AM, siGLOTM, the fluorescent reporters m-Tomato and GFP, and coherent
anti-Stokes scattering (CARS), are adjuncts to TPEF to identify live cells by
their membrane or cytosolic locations; (4) autofluorescence and sulforhodamine-B
to identify elastic fibers in the living eye. These tools greatly expand our
options for analyzing physiological and pathological processes in the aqueous
drainage tissues of live mice as a model of the analogous human system.
PMID- 27179414
TI - Zika virus in saliva-New challenges for prevention of human to human
transmission.
PMID- 27179415
TI - Watch out for diabetes: Less education but let's get moving, let's eat less!
PMID- 27179416
TI - Obesity or smoking: Which factor contributes more to the incidence of myocardial
infarction?
PMID- 27179417
TI - The cellular lipid landscape.
PMID- 27179418
TI - Reversible oxidation controls the activity and oligomeric state of the mammalian
phosphoglycolate phosphatase AUM.
AB - Redox-dependent switches of enzyme activity are emerging as important fine-tuning
mechanisms in cell signaling. For example, protein tyrosine phosphatases employ a
conserved cysteine residue for catalysis, which also renders them highly
susceptible to reversible inactivation by oxidation. In contrast, haloacid
dehalogenase (HAD)-type phosphatases perform catalysis via a
phosphoaspartyltransferase reaction. The potential regulation of HAD phosphatases
by reversible oxidation has not yet been explored. Here, we investigate the redox
sensitivity of the HAD-type phosphoglycolate phosphatase PGP, also known as AUM
or glycerol-3-phosphate phosphatase. We show that recombinant, purified murine
PGP is inhibited by oxidation and re-activated by reduction. We identify three
reactive cysteine residues in the catalytic core domain of PGP (Cys35, Cys104 and
Cys243) that mediate the reversible inhibition of PGP activity and the
associated, redox-dependent conformational changes. Structural analysis suggests
that Cys35 oxidation weakens van-der-Waals interactions with Thr67, a conserved
catalytic residue required for substrate coordination. Cys104 and Cys243 form a
redox-dependent disulfide bridge between the PGP catalytic core and cap domains,
which may impair the open/close-dynamics of the catalytic cycle. In addition, we
demonstrate that Cys297 in the PGP cap domain is essential for redox-dependent
PGP oligomerization, and that PGP oxidation/oligomerization occurs in response to
stimulation of cells with EGF. Finally, employing a modified cysteinyl-labeling
assay, we show that cysteines of cellular PGP are transiently oxidized to
sulfenic acids. Taken together, our findings establish that PGP, an aspartate
dependent HAD phosphatase, is transiently inactivated by reversible oxidation in
cells.
PMID- 27179419
TI - Vipera lebetina venom nucleases.
AB - Nucleases, in particular ribo- and deoxyribonucleases, are among the least
studied snake venom enzymes. In the present study we have partially purified
different nucleases from Vipera lebetina venom. The DNase activity has been
proved by DNA degradation both in solution as well as in-gel (zymogram-method).
In DNA-containing SDS-PAGE V. lebetina venom exhibits DNA-degrading activity in
bands with molecular masses of ~120, 30-35 and 22-25 kDa. The 120 kDa band
corresponds to phosphodiesterase, a 3', 5'-exonuclease. The endonucleolytic
activity of the lower-molecular-mass protein has been confirmed by plasmid
degradation and the visualization of the results in agarose gel (with ethidium
bromide) electrophoresis. A partial DNA sequence of putative RNase H1 has been
determined from the V. lebetina venom gland cDNA library. The translated sequence
is similar to the assumed RNase H1 from Crotalus adamanteus (AFJ51163). The
RNA/DNA hybrid is hydrolysed by V. lebetina venom and venom fractions. The masses
of tryptic peptides from the SDS-PAGE 30-35 kDa band are in concordance with the
theoretical peptide masses from the respective translated sequence. For the first
time RNase H1-like enzyme activity has been ascertained in snake venom, and
sequencing a relevant partial transcript confirmed the identification of this
enzyme.
PMID- 27179421
TI - Exploiting the antithrombotic effect of the (pro)thrombin inhibitor
bothrojaracin.
AB - Bothrojaracin is a 27 kDa C-type lectin-like protein from Bothrops jararaca snake
venom. It behaves as a potent thrombin inhibitor upon high-affinity binding to
thrombin exosites. Bothrojaracin also forms a stable complex with prothrombin
that can be detected in human plasma. Formation of the zymogen-inhibitor complex
severely decreases prothrombin activation and contributes to the anticoagulant
activity of bothrojaracin. In the present study, we employed two rodent models to
evaluate the antithrombotic effect of bothrojaracin in vivo: stasis-induced
thrombosis and thrombin-induced pulmonary thromboembolism. It was observed that
bothrojaracin interacts with rat prothrombin in plasma. Ex-vivo assays showed
stable complex formation even after 24 h of a single bothrojaracin dose. As a
result, bothrojaracin showed significant antithrombotic activity in a rat venous
thrombosis model elicited by thromboplastin combined with stasis. The
antithrombotic activity of bothrojaracin (1 mg/kg) persisted for up to 24 h and
it was associated with moderate bleeding as assessed by a tail transection
method. Formation of bothrojaracin-prothrombin complex has been also observed
following intravenous administration of the inhibitor into mice. As a result,
bothrojaracin effectively protected mice from thrombin-induced fatal
thromboembolism. We conclude that bothrojaracin is a potent antithrombotic agent
in vivo and may serve as a prototype for the development of new zymogen-directed
drugs that could result in prolonged half-life and possible decreased hemorrhagic
risk.
PMID- 27179420
TI - Functional characterizations of venom phenotypes in the eastern diamondback
rattlesnake (Crotalus adamanteus) and evidence for expression-driven divergence
in toxic activities among populations.
AB - Phenotypes frequently vary across and within species. The connection between
specific phenotypic effects and function, however, is less understood despite
being essential to our understanding of the adaptive process. Snake venoms are
ideal for identifying functionally important phenotypic variation because venom
variation is common, and venoms can be functionally characterized through simple
assays and toxicity measurements. Previous work with the eastern diamondback
rattlesnake (Crotalus adamanteus) used multivariate statistical approaches to
identify six unique venom phenotypes. We functionally characterized hemolytic,
gelatinase, fibrinogenolytic, and coagulant activity for all six phenotypes, as
well as one additional venom, to determine if the statistically significant
differences in toxin expression levels previously documented corresponded to
differences in venom activity. In general, statistical differences in toxin
expression predicted the identified functional differences, or lack thereof, in
toxic activity, demonstrating that the statistical approach used to characterize
C. adamanteus venoms was a fair representation of biologically meaningful
differences. Minor differences in activity not accounted for by the statistical
model may be the result of amino-acid differences and/or post-translational
modifications, but overall we were able to link variation in protein expression
levels to variation in function as predicted by multivariate statistical
approaches.
PMID- 27179422
TI - Inhibition of the superantigenic activities of Staphylococcal enterotoxin A by an
aptamer antagonist.
AB - Staphylococcal enterotoxin A (SEA) is an important component of Staphylococcus
aureus pathogenesis. SEA induces T lymphocytes activation and proliferation,
resulting in the release of a large number of inflammatory cytokines. Blocking
the toxic cascade triggered by SEA may be an effective strategy for the treatment
of SEA-induced diseases. Through a systematic evolution of ligands by exponential
enrichment process, we obtained an aptamer (S3) that could bind SEA with both
high affinity and specificity, with a Kd value 36.93 +/- 7.29 nM (n = 3). This
aptamer antagonist effectively inhibited SEA-mediated human peripheral blood
mononuclear cells proliferation and inflammatory cytokines (IFN-gamma, TNF-alpha,
IL-2 and IL-6) secretion. Moreover, PEGylated S3 significantly reduced mortality
in murine lethal toxic shock models established by lipopolysaccharide-potentiated
SEA. Therefore, this novel aptamer antagonist has the potential to become a new
strategy for treating S. aureus infections and SEA-induced diseases.
PMID- 27179423
TI - 3D photography is a reliable method of measuring infantile haemangioma volume
over time.
AB - BACKGROUND: Infantile haemangiomas are common lesions of infancy. With the
development of novel treatments utilised to accelerate their regression, there is
a need for a method of assessing these lesions over time. Volume is an ideal
assessment method because of its quantifiable nature. This study investigated
whether 3D photography is a valid tool for measuring the volume of infantile
haemangiomas over time. METHOD: Thirteen children with infantile haemangiomas
presenting to the Vascular Anomalies Clinic, Royal Children's Hospital/Lady
Cilento Children's Hospital treated with propranolol were included in the study.
Lesion volume was assessed using 3D photography at presentation, one month and
three months follow up. Intrarater reliability was determined by retracing all
images several months after the initial mapping. Interrater reliability of the 3D
camera software was determined by two investigators, blinded to each other's
results, independently assessing infantile haemangioma volume. RESULTS: Lesion
volume decreased significantly between presentation and three-month follow-up
(p<0.001). Volume intra- and interrater reliability were excellent with ICC 0.991
(95% CI 0.982, 0.995) and 0.978 (95% CI 0.955, 0.989), respectively. CONCLUSION:
This study demonstrates images taken with the 3D LifeVizTM camera and lesion
volume calculated with Dermapix(r) software is a reliable method for assessing
infantile haemangioma volume over time.
PMID- 27179424
TI - Zika Virus Disrupts Neural Progenitor Development and Leads to Microcephaly in
Mice.
AB - The link between Zika virus (ZIKV) infection and microcephaly has raised urgent
global alarm. The historical African ZIKV MR766 was recently shown to infect
cultured human neural precursor cells (NPCs), but unlike the contemporary ZIKV
strains, it is not believed to cause microcephaly. Here we investigated whether
the Asian ZIKV strain SZ01 could infect NPCs in vivo and affect brain
development. We found that SZ01 replicates efficiently in embryonic mouse brain
by directly targeting different neuronal linages. ZIKV infection leads to cell
cycle arrest, apoptosis, and inhibition of NPC differentiation, resulting in
cortical thinning and microcephaly. Global gene expression analysis of infected
brains reveals upregulation of candidate flavirus entry receptors and
dysregulation of genes associated with immune response, apoptosis, and
microcephaly. Our model provides evidence for a direct link between Zika virus
infection and microcephaly, with potential for further exploration of the
underlying mechanisms and management of ZIKV-related pathological effects during
brain development.
PMID- 27179425
TI - Ability of Lactobacillus plantarum lipoteichoic acid to inhibit Vibrio
anguillarum-induced inflammation and apoptosis in silvery pomfret (Pampus
argenteus) intestinal epithelial cells.
AB - Lipoteichoic acid (LTA) is a major constituent of the cell wall of Gram-positive
bacteria. The structure and immunomodulation of LTA vary greatly between
different species. LTA from Lactobacillus plantarum has been shown to exert anti
pathogenic effects. Vibrio anguillarum is a major causative agent of vibriosis,
one of the most prevalent fish diseases. The purpose of this study was to examine
the effects of L. plantarum LTA on V. anguillarum growth, adhesion, and induced
inflammation and apoptosis in intestinal epithelial cells of silvery pomfret
(Pampus argenteus). Our results showed that L. plantarum LTA was unable to
inhibit V. anguillarum growth; however, it significantly inhibited adhesion of V.
anguillarum. It also showed significant inhibitory effects on EHEC-induced
inflammation and apoptosis by modulating the expression of NF-kappaB (nuclear
factor kappa B), IkappaB (inhibitor of NF-kappaB), Bcl2 (B-cell leukemia/lymphoma
2), BAX (Bcl-2-associated X protein), IL-8 (interleukin 8) and TNF-alpha (tumor
necrosis factor-alpha), and via inhibition of caspase-9 and caspase-3 activation.
These data extend our understanding of the beneficial effects of L. plantarum
LTA, which is related to the inhibition of V. anguillarum, and suggest that L.
plantarum LTA has potential as a new therapeutic agent against V. anguillarum
caused vibriosis in fish.
PMID- 27179426
TI - Mitigation of tight junction protein dysfunction in lung microvascular
endothelial cells with pitavastatin.
AB - BACKGROUND: Statin use in individuals with chronic obstructive pulmonary disease
(COPD) with coexisting cardiovascular disease is associated with a reduced risk
of exacerbations. The mechanisms by which statin plays a role in the
pathophysiology of COPD have not been defined. To explore the mechanisms
involved, we investigated the effect of statin on endothelial cell function,
especially endothelial cell tight junctions. METHOD: We primarily assessed
whether pitavastatin could help mitigate the development of emphysema induced by
continuous cigarette smoking (CS) exposure. We also investigated the activation
of liver kinase B1 (LKB1)/AMP-activated protein kinase (AMPK) signaling, which
plays a role in maintaining endothelial functions, important tight junction
proteins, zonula occludens (ZO)-1 and claudin-5 expression, and lung
microvascular endothelial cell permeability. RESULTS: We found that pitavastatin
prevented the CS-induced decrease in angiomotin-like protein 1 (AmotL1)-positive
vessels via the activation of LKB1/AMPK signaling and IFN-gamma-induced
hyperpermeability of cultured human lung microvascular endothelial cells by
maintaining the levels of AmotL1, ZO-1, and claudin-5 expression at the tight
junctions. CONCLUSION: Our results indicate that the maintenance of lung
microvascular endothelial cells by pitavastatin prevents tight junction protein
dysfunctions induced by CS. These findings may ultimately lead to new and novel
therapeutic targets for patients with COPD.
PMID- 27179427
TI - Perfluoroalkylated substances in edible livers of farm animals, including
depuration behaviour in young sheep fed with contaminated grass.
AB - Perfluoroalkylated substances (PFASs) present a potential health risk for
consumers. In animals these compounds are known to accumulate in livers. In order
to determine potential PFASs contamination in commercially available livers,
samples from farmed sheep, horses, cows, pigs and chicken were collected from the
Dutch market. PFOS was the only detectable PFAS and its concentration was higher
in free ranging animals like cows and sheep. The detected levels of PFOS in the
liver samples were very low (up to 4.5 ng g(-1) ww). To further study the kinetic
behaviour in foraging animals, samples from a study in which sheep were fed with
grass obtained from a river floodplain, were examined. PFOS was the only
detectable PFAS in the contaminated grass pellets, showing a level of about 0.5
MUg kg(-1). Young blackhead sheep were fed with either clean or contaminated
grass for a period up to 112 days. A time-dependent increase in liver PFOS
concentrations was observed from 2.4 to 10.9 ng g(-1) ww after 8 and 112 days
respectively. A time-dependent depuration was observed in livers of animals
switched to clean grass after 56 days of exposure, from 9.2 to 4.7 ng g(-1) ww
after 64 and 112 days respectively. The percentage of PFOS ingested from the
grass and retained in the liver was estimated to be 12% at day 56, and decreased
gradually to 6% after 56 days on clean grass, showing that the decrease in levels
is not only caused by an increase in liver weight. Levels detected in commercial
livers but also those in the sheep study would not lead to exceedance of the
current TDI for PFOS set by EFSA. Therefore, it can be assumed that they do not
present a risk for human health.
PMID- 27179428
TI - Effects of reclaimed water matrix on fate of pharmaceuticals and personal care
products in soil.
AB - Reclaimed water is increasingly used to supplement water resources. However,
reclaimed water has a complex matrix, which includes emerging chemical
contaminants, that is introduced to the soil when this water is used for
irrigation. The effects of microbial activity, dissolved matter, nutrients, and
particulate matter in reclaimed water on half-life of 11 pharmaceutical and
personal care products (PPCPs) in soil were investigated with 7 treatment waters,
namely swine lagoon effluent (either unaltered, sterilized, or filtered and
sterilized) and nanopure water (either unaltered or with added nitrogen,
phosphorus, or potassium). The extractable residues of the parent PPCPs were
measured over 35 d. Lagoon microbial activity was significantly (p <= 0.05)
related to increased half-life of 4 PPCPs (carbamazepine, fluoxetine, ibuprofen,
sulfamethoxazole) by 14-74%, and to decreased half-life of 3 others (caffeine,
gemfibrozil, naproxen) by 13-25%. The presence of lagoon dissolved matter was
significantly correlated with a 20-110% increase in half-life for 6 PPCPs
(caffeine, estrone, gemfibrozil, ibuprofen, naproxen, triclocarban). However,
lagoon particulate matter was significantly correlated with 9-52% decrease in
half-life for these same compounds, as well as trimethoprim. The levels of
nitrogen, phosphorous, and potassium in the lagoon effluent were not
significantly related to half-life for most PPCPs, except caffeine. Overall,
specific components of reclaimed water matrix had different effects on the soil
half-lives of PPCPs, suggesting that the composition of reclaimed water needs to
be considered when evaluating PPCP fate after land application.
PMID- 27179429
TI - Bromine and bromide content in soils: Analytical approach from total reflection X
ray fluorescence spectrometry.
AB - Monitoring total bromine and bromide concentrations in soils is significant in
many environmental studies. Thus fast analytical methodologies that entail simple
sample preparation and low-cost analyses are desired. In the present work, the
possibilities and drawbacks of low-power total reflection X-ray fluorescence
spectrometry (TXRF) for the determination of total bromine and bromide contents
in soils were evaluated. The direct analysis of a solid suspension using 20 mg of
fine ground soil (<63 MUm) gave a 3.7 mg kg(-1) limit of detection for bromine
which, in most cases, was suitable for monitoring total bromine content in soils
(Br content range in soils = 5-40 mg kg(-1)). Information about bromide
determination in soils is also possible by analyzing the Br content in water soil
extracts. In this case, the TXRF analysis can be directly performed by depositing
10 MUL of the internal standardized soil extract sample on a quartz glass
reflector in a measuring time of 1500 s. The bromide limit of detection by this
approach was 10 MUg L(-1). Good agreement was obtained between the TXRF results
for the total bromine and bromide determinations in soils and those obtained by
other popular analytical techniques, e.g. energy dispersive X-ray fluorescence
spectrometry (total bromine) and ionic chromatography (bromide). As a study case,
the TXRF method was applied to study bromine accumulation in two agricultural
soils fumigated with a methyl bromide pesticide and irrigated with regenerated
waste water.
PMID- 27179430
TI - Use of hybrid composite particles prepared using alkoxysilane-functionalized
amphiphilic polymer precursors for simultaneous removal of various pollutants
from water.
AB - In this study, we present new inorganic-organic hybrid particles and their
possible application as an adsorbent for simultaneous removal of hydrophobic and
hydrophilic pollutants from water. These hybrid particles were prepared using
tailor-made alkoxysilane-functionalized amphiphilic polymer precursors (M-APAS),
which have amphiphilic polymers and reactive alkoxysilane groups attached to the
same backbone. Through a single conventional sol-gel process, the polymerization
of M-APAS and the chemical conjugation of M-APAS onto silica nanoparticles was
simultaneous, resulting in the formation of hybrid particles (M-APAS-SiO2)
comprised of hyperbranch-like amphiphilic polymers bonded onto silica
nanoparticles with a relatively high grafting efficiency. A test for the
adsorption of water-soluble dye (organe-16) and water insoluble dye (solvent blue
35) onto the hybrid particles was performed to evaluate the possibility of
adsorbing hydrophilic and hydrophobic compound within the same particle. The
hybrid particle was also evaluated as an adsorbent for the removal of
contaminated water containing various pollutants by wastewater treatment test.
The hybrid particle could remove phenolic compounds from wastewater and the azo
dye reactive orange-16 from aqueous solutions, and it was easily separated from
the treated wastewater because of the different densities involved. These results
demonstrate that the hybrid particles are a promising sorbent for hydrophilic
and/or hydrophobic pollutants in water.
PMID- 27179431
TI - Time-dependency of mice lung recovery after a 4-week exposure to traffic or
biomass air pollutants.
AB - The time-dependency of lung recovery after 3 intranasal instillations per week
during four weeks of distilled water (C groups) or particles (15MUg) from traffic
(U groups) or biomass burning (B groups) was observed in BALB/c mice. Lung
mechanics [static elastance (Est), viscoelastic component of elastance (DeltaE),
lung resistive (DeltaP1) and viscoelastic/inhomogeneous (DeltaP2) pressures] and
histology were analyzed 1 (C1, U1, B1), 2 (C2, U2, B2), 7 (C7, U7, B7) or 14 days
(C14, U14, B14) after the last instillation. Est, DeltaE, DeltaP1 and DeltaP2
were higher in U1 and B1 than in C1, returning to control values at day 2, except
for DeltaP1 that normalized after 7 days. Alveolar collapse, bronchoconstriction
index and alveolar lesion were larger in U1 and B1 than in C1, however collapse
returned to baseline at 7 days, while the others normalized in 2 days. A 4-week
exposure to U and B induced lung impairment that resolved 7 days after the last
exposure.
PMID- 27179432
TI - One-step synthesis, biodegradation and biocompatibility of polyesters based on
the metabolic synthon, dihydroxyacetone.
AB - The one-step synthesis of a polyester family containing dihydroxyacetone is
described along with a quantitative analysis of in vitro/in vivo degradation
kinetics and initial biocompatibility. Polyesters were synthesized by combining
dihydroxyacetone, which is a diol found in the eukaryotic glucose metabolic
pathway, with even-carbon aliphatic diacids (adipic, suberic, sebacic)
represented in the long-chain alpha carboxylic acid metabolic pathway, by
Schotten-Baumann acylation. We show that by using a crystalline monomeric form of
dihydroxyacetone, well-defined polyesters can be formed in one step without
protection and deprotection strategies. Both diacid length and polyester
molecular weight were varied to influence polymer physical and thermal
properties. Polyesters were generated with number-averaged (Mn) molecular weights
ranging from 2200-11,500. Polydispersities were consistent with step-growth
polymerization and ranged from 2 to 2.6. The melting (Tm) and recrystallization
(Tc) temperatures were impacted in an unpredictable manner. Thermal transitions
for the polyesters were highest for the adipic acid followed by suberic acid and
sebacic acid, respectively. It was shown that the thermal response of the DHA
based polyesters was influenced by both the diacid length and molecular weight.
In vitro degradation studies revealed first-order weight loss kinetics, the
molecular weight loss followed first order kinetics with 25%-40% of the original
mass remaining after 8 weeks. In vivo testing over 16 weeks highlighted that mass
loss ranged from ~70% to ~6% depending upon initial molecular weight and diacid
length. Histological analysis revealed rapid resolution of both acute and chronic
inflammatory responses, normal foreign body responses were observed and no
inflammation was present after week 4. This one-step synthesis proved robust with
unique copolymers warranting further study as potential biomaterials.
PMID- 27179434
TI - Myocardial commitment from human pluripotent stem cells: Rapid production of
human heart grafts.
AB - Genome editing on human pluripotent stem cells (hPSCs) together with the
development of protocols for organ decellularization opens the door to the
generation of autologous bioartificial hearts. Here we sought to generate for the
first time a fluorescent reporter human embryonic stem cell (hESC) line by means
of Transcription activator-like effector nucleases (TALENs) to efficiently
produce cardiomyocyte-like cells (CLCs) from hPSCs and repopulate decellularized
human heart ventricles for heart engineering. In our hands, targeting myosin
heavy chain locus (MYH6) with mCherry fluorescent reporter by TALEN technology in
hESCs did not alter major pluripotent-related features, and allowed for the
definition of a robust protocol for CLCs production also from human induced
pluripotent stem cells (hiPSCs) in 14 days. hPSCs-derived CLCs (hPSCs-CLCs) were
next used to recellularize acellular cardiac scaffolds. Electrophysiological
responses encountered when hPSCs-CLCs were cultured on ventricular decellularized
extracellular matrix (vdECM) correlated with significant increases in the levels
of expression of different ion channels determinant for calcium homeostasis and
heart contractile function. Overall, the approach described here allows for the
rapid generation of human cardiac grafts from hPSCs, in a total of 24 days,
providing a suitable platform for cardiac engineering and disease modeling in the
human setting.
PMID- 27179435
TI - Pitfalls and novel applications of particle sizing by dynamic light scattering.
AB - After briefly introducing the theoretical equations for DLS based particle size
analysis, the need for angular dependent DLS investigations is emphasized to
obtain correct particle sizes. Practical examples are given that demonstrate the
possible magnitudes of errors in particle size if DLS is measured at one large
scattering angle, only, as done by essentially all, most frequently utilized
commercial "single angle" particle sizers. The second part is focused on a novel
DLS application to sensitively trace (nano)particle interactions with
concentrated blood serum or plasma that leads to the formation of large
aggregates in a size regime of ?100 nm. Most likely, such aggregates originate
from protein induced bridging of nanoparticles, since it is well known that serum
proteins adsorb onto the surface of essentially all nanoparticles utilized in
medical applications. Thus, the protein corona around nanoparticles does not only
change their biological identity but to a large extend also their size, thus
possibly affecting biodistribution and in vivo circulation time.
PMID- 27179433
TI - In vivo fate tracking of degradable nanoparticles for lung gene transfer using
PET and Cerenkov imaging.
AB - Nanoparticles (NPs) play expanding roles in biomedical applications including
imaging and therapy, however, their long-term fate and clearance profiles have
yet to be fully characterized in vivo. NP delivery via the airway is particularly
challenging, as the clearance may be inefficient and lung immune responses
complex. Thus, specific material design is required for cargo delivery and
quantitative, noninvasive methods are needed to characterize NP pharmacokinetics.
Here, biocompatible poly(acrylamidoethylamine)-b-poly(dl-lactide) block copolymer
based degradable, cationic, shell-cross-linked knedel-like NPs (Dg-cSCKs) were
employed to transfect plasmid DNA. Radioactive and optical beacons were attached
to monitor biodistribution and imaging. The preferential release of cargo in
acidic conditions provided enhanced transfection efficiency compared to non
degradable counterparts. In vivo gene transfer to the lung was correlated with NP
pharmacokinetics by radiolabeling Dg-cSCKs and performing quantitative
biodistribution with parallel positron emission tomography and Cerenkov imaging.
Quantitation of imaging over 14 days corresponded with the pharmacokinetics of NP
movement from the lung to gastrointestinal and renal routes, consistent with
predicted degradation and excretion. This ability to noninvasively and accurately
track NP fate highlights the advantage of incorporating multifunctionality into
particle design.
PMID- 27179436
TI - Ceria/POMs hybrid nanoparticles as a mimicking metallopeptidase for treatment of
neurotoxicity of amyloid-beta peptide.
AB - Protein misfolding to amyloid aggregates is the hallmark for neurodegenerative
disease. While much attention has been paid to screen natural proteases that can
degrade amyloid-beta peptides (Abeta), it is difficult to apply them in the
clinics with the intractable problem of immunogenicity in living organisms.
Herein, we rationally designed an artificial nanozyme, Ceria/Polyoxometalates
hybrid (CeONP@POMs) with both proteolytic and superoxide dismutase (SOD)
activities. Our results indicated that CeONP@POMs could efficiently degrade Abeta
aggregates and reduce intracellular reactive oxygen species (ROS). More
importantly, CeONP@POMD could not only promote PC12 cell proliferation and can
cross blood-brain barrier (BBB), but also inhibit Abeta-induced BV2 microglial
cell activation which was demonstrated by immunoluorescence assay and flow
cytometry measurements. In vivo studies further indicated that CeONP@POMD as
nanozyme possessed good biocompatibility, evidenced by a detailed study of their
biodistribution, body weight change, and in vivo toxicology. Therefore, our
results pave the way for design of multifunctional artificial nanozyme for
treatment of neurotoxicity of amyloid-beta peptide.
PMID- 27179438
TI - Simplified extraction of bisphenols from bacterial culture suspensions and solid
matrices.
AB - We demonstrate the utility of a simple and fast methanol extraction method that
achieves similar bisphenols recovery efficiencies from microbial culture
suspensions and sediment material than more laborious and costly extraction
procedures. The methanol extraction method may have broad application for the
rapid analysis of hydrophobic compounds in biodegradation studies.
PMID- 27179437
TI - Unfolded protein response-related gene regulation in inflamed periodontal tissues
with and without Russell bodies.
AB - OBJECTIVE: To examine the expression of unfolded protein response (UPR) genes, a
set of genes that are activated to assist in protein trafficking and cellular
homeostasis when endoplasmic reticulum (ER) stress occurs, in inflamed and
uninflamed periodontal tissues, with or without Russell bodies (RB). RB are a
histologically apparent extension of the ER that represents an accumulation of
abnormal proteins that cannot be secreted or degraded and may serve as a marker
of ER stress. DESIGN: Periodontal tissue specimens were collected and categorised
histologically based on the presence of inflammation and the quantity of RB. The
differential regulation of 84 UPR-related genes was examined by qRT(2)-PCR.
RESULTS: UPR genes related to the inositol-requiring ER-to-nucleus signal kinase
(IRE)-1 pathway, molecular chaperones and ER quality control were up-regulated in
RB(+) tissues compared with RB(-) tissues, irrespective of inflammation. Inflamed
periodontal tissues showed a marked down-regulation of heat shock protein (HSP)
70 family members. CONCLUSION: The presence of RB in inflamed periodontal tissues
correlated with the expression of a unique set of ER stress-related genes and
therefore may serve as a marker of UPR response in periodontal inflammation.
Inflamed periodontal tissues showed a marked down-regulation of UPR genes, in
particular HSP70. This may be contributory to disease progression in periodontal
disease.
PMID- 27179439
TI - Anti-PLA2R Antibodies in Chinese Patients with Membranous Nephropathy.
AB - BACKROUND ~This study used two standardized methods to evaluate anti-PLA2R
antibody in serum of primary membranous nephropathy (PMN) among Chinese patients
to determine Anti-PLA2R antibody distribution and whether immunological
reactivity reflected by antibody titer correlates with kidney function
parameters. MATERIAL AND METHOD ~Overall, 82 subjects with biopsy-proven primary
membranous nephropathy (PMN) , 22 cases with secondary membranous nephropathy
(SMN), 40 non-MN patients with established glomerulonephritis, 20 healthy
volunteers were recruited from the Division of Nephrology, Nanfang Hospital,
China. Anti-PLA2R antibody in the serum of each patient was evaluated by both
recombinant cell-based indirect immunofluorescence assay (RC-IFA) and enzyme
linked immunosorbent assay (ELISA). Kidney function was assessed by proteinuria
for 24 hours, serum albumin, blood urea nitrogen (BUN), serum creatine, serum
cystatin C. We assessed the correlation between anti-PLA2R antibody levels and
clinical parameter in the PMN patients. RESULTS ~ Fifty-three patients with PMN
(64.6%) were positive for anti-PLA2R antibody. The level of antibody determined
by RC-IFA ranged from 1:10 to 1:1000 and 0 to 1423 RU/ml by ELISA. The two anti
PLA2R test systems correlated very well with each other and reached an agreement
of 95.7% for PMN patients. The level of antibody detected by ELISA in patients
with PMN also significantly correlated with proteinuria and nephritic-range
proteinuria (> 3.5g/day) . CONCLUSIONS ~Anti-PLA2R antibody is sensitive and
extremely specific for diagnosis of Chinese patients with primary membranous
nephropathy. Concentration of autoantibody against PLA2R is an ideal marker for
monitoring the activity of immunological disease.
PMID- 27179440
TI - Comparison of suture materials for subcuticular skin closure at cesarean
delivery.
AB - BACKGROUND: Subcuticular skin closure with suture after cesarean has been shown
to result in lower rates of wound complications than with staple closure.
However, the optimal choice of suture material for subcuticular skin closure is
unclear. Vicryl (a braided multifilament synthetic suture; Ethicon, Somerville,
NJ) and Monocryl (a monofilament synthetic suture; Ethicon) are the commonly used
suture materials for subcuticular closure of transverse skin incisions after
cesarean in the United States. Whereas in vitro and animal studies suggest
multifilament suture materials may be associated with a higher risk of wound
infection than monofilament sutures, clinical data on their relative
effectiveness are limited. OBJECTIVE: We sought to test the hypothesis that
Vicryl is associated with a higher rate of wound complications than Monocryl.
STUDY DESIGN: This is a secondary analysis of data from a randomized trial in
which pregnant women undergoing scheduled or unscheduled cesareans were randomly
assigned to preoperative skin preparation with either chlorhexidine-alcohol or
iodine-alcohol. Women with low transverse skin incisions who were closed with
either 4-0 Monocryl or 4-0 Vicryl were included in this analysis. Choice of
suture material was at the discretion of the operating physician. The primary
outcome was superficial or deep surgical site infection within 30 days after
cesarean. Secondary outcomes were other wound complications. Outcomes were
compared between the 2 groups using univariable and multivariable statistics.
RESULTS: Of 1082 patients who had follow-up after discharge in the primary trial,
871 had subcuticular suture: 180 with 4-0 Vicryl and 691 with 4-0 Monocryl. Skin
closure with Vicryl or Monocryl did not significantly differ between women
allocated to chlorhexidine-alcohol or iodine-alcohol (51.1% vs 49.4%, P = .67).
There was no significant difference in the risk of surgical site infection in
women closed with Vicryl compared with Monocryl (11 [6.1%] vs 35 [5.1%]; P = .58;
adjusted odds ratio, 1.23; 95% confidence interval, 0.60-2.49). Rates of other
wound complications were also not significantly different. Risks of surgical site
infection were similar with Vicryl and Monocryl closure in all subgroups
assessed. The relative risks were not materially affected by whether diabetes or
obesity was present, cesarean was scheduled or unscheduled, primary or repeat
cesarean, or the subcutaneous layer was closed. Post hoc power analysis indicated
that we had 80% power to detect >2-fold difference in surgical site infections.
CONCLUSION: Subcuticular skin closure with 4-0 Vicryl is associated with
comparable rates of surgical site infection and other wound complications as 4-0
Monocryl. While this is an observational study with the potential for selection
bias and residual confounding, our results suggest physician preference is
acceptable for choice of subcuticular suture material at cesarean.
PMID- 27179441
TI - Site of delivery contribution to black-white severe maternal morbidity disparity.
AB - BACKGROUND: The black-white maternal mortality disparity is the largest disparity
among all conventional population perinatal health measures, and the mortality
gap between black and white women in New York City has nearly doubled in recent
years. For every maternal death, 100 women experience severe maternal morbidity,
a life-threatening diagnosis, or undergo a life-saving procedure during their
delivery hospitalization. Like maternal mortality, severe maternal morbidity is
more common among black than white women. A significant portion of maternal
morbidity and mortality is preventable, making quality of care in hospitals a
critical lever for improving outcomes. Hospital variation in risk-adjusted severe
maternal morbidity rates exists. The extent to which variation in hospital
performance on severe maternal morbidity rates contributes to black-white
disparities in New York City hospitals has not been studied. OBJECTIVE: We
examined the extent to which black-white differences in severe maternal morbidity
rates in New York City hospitals can be explained by differences in the hospitals
in which black and white women deliver. STUDY DESIGN: We conducted a population
based study using linked 2011-2013 New York City discharge and birth certificate
datasets (n = 353,773 deliveries) to examine black-white differences in severe
maternal morbidity rates in New York City hospitals. A mixed-effects logistic
regression with a random hospital-specific intercept was used to generate risk
standardized severe maternal morbidity rates for each hospital (n = 40). We then
assessed differences in the distributions of black and white deliveries among
these hospitals. RESULTS: Severe maternal morbidity occurred in 8882 deliveries
(2.5%) and was higher among black than white women (4.2% vs 1.5%, P < .001).
After adjustment for patient characteristics and comorbidities, the risk remained
elevated for black women (odds ratio, 2.02; 95% confidence interval, 1.89-2.17).
Risk-standardized severe maternal morbidity rates among New York City hospitals
ranged from 0.8 to 5.7 per 100 deliveries. White deliveries were more likely to
be delivered in low-morbidity hospitals: 65% of white vs 23% of black deliveries
occurred in hospitals in the lowest tertile for morbidity. We estimated that
black-white differences in delivery location may contribute as much as 47.7% of
the racial disparity in severe maternal morbidity rates in New York City.
CONCLUSION: Black mothers are more likely to deliver at higher risk-standardized
severe maternal morbidity hospitals than are white mothers, contributing to black
white disparities. More research is needed to understand the attributes of high
performing hospitals and to share best practices among hospitals.
PMID- 27179443
TI - Maternal voluntary efforts and subsequent gynecologic outcomes.
PMID- 27179442
TI - Maternal and pregnancy characteristics affect plasma fibrin monomer complexes and
D-dimer reference ranges for venous thromboembolism in pregnancy.
AB - BACKGROUND: D-dimers have a high negative predictive value for excluding venous
thromboembolism outside of pregnancy but the use in pregnancy remains
controversial. A higher cut-off value has been proposed in pregnancy due to a
continuous increase across gestation. Fibrin monomer complexes have been
considered as an alternative diagnostic tool for exclusion of venous
thromboembolism in pregnancy due to their different behavior. OBJECTIVE: We
sought to establish normal values of fibrin monomer complexes and D-dimer as a
diagnostic tool for the exclusion of venous thromboembolism in pregnancy and
examine the effect of maternal and obstetric factors on these markers. STUDY
DESIGN: Plasma D-dimer and fibrin monomer complexes were measured by quantitative
immunoturbidimetry in 2870 women with singleton pregnancies attending their
routine first-trimester hospital visit in a prospective screening study for
adverse obstetric outcome. Multiple regression analysis was used to determine
maternal characteristics and obstetric factors affecting the plasma
concentrations and converting these into multiple of the median values after
adjusting for significant maternal and obstetric characteristics. RESULTS: Plasma
fibrin monomer complexes increased with maternal weight and were lower in women
with a history of cocaine abuse and chronic hypertension. D-dimers increased with
gestational age and maternal weight and were higher in sickle cell carriers and
in women of African and South Asian racial origin compared to Caucasians.
CONCLUSION: Fibrin monomer complexes and D-dimers are affected by maternal and
obstetric characteristics rather than only gestational age. The utility of these
fibrin-linked markers as a tool for exclusion of venous thromboembolism in
pregnancy might be improved by adjusting for patient-specific characteristics.
PMID- 27179444
TI - The Polycomb group protein CLF emerges as a specific tri-methylase of H3K27
regulating gene expression and development in Physcomitrella patens.
AB - Packaging of eukaryotic DNA largely depends on histone modifications that affect
the accessibility of DNA to transcriptional regulators, thus controlling gene
expression. The Polycomb group (PcG) chromatin remodeling complex deposits a
methyl group on lysine 27 of histone 3 leading to repressed gene expression.
Plants encode homologs of the Enhancer of zeste (E(z)), a component of the PcG
complex from Drosophila, one of which is a SET domain protein designated CURLY
LEAF (CLF). Although this SET domain protein exhibits a strong correlation with
the presence of the H3K27me3 mark in plants, the methyl-transferase activity and
specificity of its SET domain have not been directly tested in-vivo. Using the
evolutionary early-diverged land plant model species Physcomitrella patens we
show that abolishment of a single copy gene PpCLF, as well as an additional
member of the PcG complex, FERTILIZATION-INDEPENDENT ENDOSPERM (PpFIE), results
in a specific loss of tri-methylation of H3K27. Using site-directed mutagenesis
of key residues, we revealed that H3K27 tri-methylation is mediated by the SET
domain of the CLF protein. Moreover, the abolishment of H3K27me3 led to enhanced
expression of transcription factor genes. This in turn led to the development of
fertilization-independent sporophyte-like structures, as observed in PpCLF and
PpFIE null mutants. Overall, our results demonstrate the role of PpCLF as a SET
protein in tri-methylation of H3K27 in-vivo and the importance of this
modification in regulating the expression of transcription factor genes involved
in developmental programs of P. patens.
PMID- 27179445
TI - Expression of microRNA-195 is transactivated by Sp1 but inhibited by histone
deacetylase 3 in hepatocellular carcinoma cells.
AB - MiR-195 expression is frequently reduced in various cancers, but its underlying
mechanisms remain unknown. To explore whether abnormal transcription contributed
to miR-195 downregulation in hepatocellular carcinoma (HCC), we characterized the
-2165-bp site upstream of mature miR-195 as transcription start site and the -2.4
to -2.0-kb fragment as the promoter of miR-195 gene. Subsequent investigation
showed that deletion of the predicted Sp1 binding site decreased the miR-195
promoter activity; Sp1 silencing significantly reduced the miR-195 promoter
activity and the endogenous miR-195 level; Sp1 directly interacted with the miR
195 promoter in vitro and in vivo. These data suggest Sp1 as a transactivator for
miR-195 transcription. Interestingly, miR-195 expression was also subjected to
epigenetic regulation. Histone deacetylase 3 (HDAC3) could anchor to the miR-195
promoter via interacting with Sp1 and consequently repress the Sp1-mediated miR
195 transactivation by deacetylating histone in HCC cells. Consistently,
substantial increase of HDAC3 protein was detected in human HCC tissues and HDAC3
upregulation was significantly correlated with miR-195 downregulation, suggesting
that HDAC3 elevation may represent an important cause for miR-195 reduction in
HCC. Our findings uncover the mechanisms underlying the transcriptional
regulation and expression deregulation of miR-195 in HCC cells and provide new
insight into microRNA biogenesis in cancer cells.
PMID- 27179446
TI - Functional morphology of the maxillary and propharyngeal glands of Monomorium
pharaonis (L.).
AB - The maxillary and propharyngeal gland of all 3 castes of Monomorium pharaonis
were examined with light and electron microscopy. Although both glands possess a
pouch in which secretion can be stored temporarily, a proper reservoir is
lacking. The paired maxillary gland opens at the base of the maxilla and consists
of 4 secretory cells, which are smaller in workers as compared to queens and
males. A digestive role is unlikely as the gland is not directly linked to the
digestive system and the amount of rER is negligible. The propharyngeal gland
consists of 2 clusters of 16 secretory cells, which open in the pharyngeal atrium
through a duct. Secretory cells are smallest in males. Two types of endoplasmic
vesicles are observed around the end apparatus, suggesting a release of at least
2 substances. High levels of rER indicate the production of digestive enzymes as
one of its functions. No differences between mated versus virgin queens were
observed for both glands. Further experiments on chemical and behavioural essays
can improve our understanding of the role of both glands in the ant colony.
Literature on this topic is very inconsistent. We provide a survey to unravel
this chaotic nomenclature issue.
PMID- 27179447
TI - A framework for the automatic detection and characterization of brain
malformations: Validation on the corpus callosum.
AB - In this paper, we extend the one-class Support Vector Machine (SVM) and the
regularized discriminative direction analysis to the Multiple Kernel (MK)
framework, providing an effective analysis pipeline for the detection and
characterization of brain malformations, in particular those affecting the corpus
callosum. The detection of the brain malformations is currently performed by
visual inspection of MRI images, making the diagnostic process sensible to the
operator experience and subjectiveness. The method we propose addresses these
problems by automatically reproducing the neuroradiologist's approach. One-class
SVMs are appropriate to cope with heterogeneous brain abnormalities that are
considered outliers. The MK framework allows to efficiently combine the different
geometric features that can be used to describe brain structures. Moreover, the
regularized discriminative direction analysis is exploited to highlight the
specific malformative patterns for each patient. We performed two different
experiments. Firstly, we tested the proposed method to detect the malformations
of the corpus callosum on a 104 subject dataset. Results showed that the proposed
pipeline can classify the subjects with an accuracy larger than 90% and that the
discriminative direction analysis can highlight a wide range of malformative
patterns (e.g., local, diffuse, and complex abnormalities). Secondly, we compared
the diagnosis of four neuroradiologists on a dataset of 128 subjects. The
diagnosis was performed both in blind condition and using the classifier and the
discriminative direction outputs. Results showed that the use of the proposed
pipeline as an assisted diagnosis tool improves the inter-subject variability of
the diagnosis. Finally, a graphical representation of the discriminative
direction analysis was proposed to enhance the interpretability of the results
and provide the neuroradiologist with a tool to fully and clearly characterize
the patient malformations at single-subject level.
PMID- 27179448
TI - Economic and environmental sustainability of an AnMBR treating urban wastewater
and organic fraction of municipal solid waste.
AB - The objective of this study was to evaluate the economic and environmental
sustainability of a submerged anaerobic membrane bioreactor (AnMBR) treating
urban wastewater (UWW) and organic fraction of municipal solid waste (OFMSW) at
ambient temperature in mild/hot climates. To this aim, power requirements, energy
recovery from methane (biogas methane and methane dissolved in the effluent),
consumption of reagents for membrane cleaning, and sludge handling
(polyelectrolyte and energy consumption) and disposal (farmland, landfilling and
incineration) were evaluated within different operating scenarios. Results showed
that, for the operating conditions considered in this study, AnMBR technology is
likely to be a net energy producer, resulting in considerable cost savings (up to
?0.023 per m(3) of treated water) when treating low-sulphate influent. Life cycle
analysis (LCA) results revealed that operating at high sludge retention times (70
days) and treating UWW jointly with OFMSW enhances the overall environmental
performance of AnMBR technology.
PMID- 27179449
TI - New trans dichloro (triphenylphosphine)platinum(II) complexes containing N
(butyl),N-(arylmethyl)amino ligands: Synthesis, cytotoxicity and mechanism of
action.
AB - Some new platinum(II) complexes have been prepared, of general formula trans
[PtCl2(PPh3){NH(Bu)CH2Ar}], where the dimension of the Ar residue in the
secondary amines has been varied from small phenyl to large pyrenyl group. The
obtained complexes, tested in vitro towards a panel of human tumor cell lines
showed an interesting antiproliferative effect on both cisplatin-sensitive and
resistant cells. For the most cytotoxic derivative 2a the investigation on the
mechanism of action highlighted the ability to induce apoptosis on resistant
cells and interestingly, to inhibit the catalytic activity of topoisomerase II.
PMID- 27179450
TI - Tauroursodeoxycholate improves 2,4,6-trinitrobenzenesulfonic acid-induced
experimental acute ulcerative colitis in mice.
AB - Ulcerative colitis is a chronic nonspecific inflammatory disease of unknown
cause. The aim of this study was to evaluate the anti-inflammatory effect of
tauroursodeoxycholate in 2, 4, 6-trinitrobenzenesulfonic acid-induced
experimental colitis in mice. After the induction of colitis for 24h, the mice
were administrated orally with tauroursodeoxycholate (20, 40 and 60mg/kg) and
sulfasalazine (500mg/kg) by gavage for 7 consecutive days. The inhibition effects
were evaluated by the body of weight change, survival rate, macroscopical and
histological evaluations. Besides, myeloperoxidase (MPO) activity, interleukin
(IL)-1beta, interferon (IFN)-gamma and tumour necrosis factor-alpha (TNF-alpha)
in colon tissue were also determined by enzyme-linked immunosorbent assay.
Treatment with different doses of tauroursodeoxycholate (20, 40 and 60mg/kg)
significantly improved the body weight change, decreased the macroscopic and
histopathological scores. Compared with the model group, the accumulation of MPO
activity, the colonic tissue levels of IL-1beta, IFN-gamma and TNF-alpha were
significantly reduced in the tauroursodeoxycholate treated groups. Moreover,
tauroursodeoxycholate assuaged the symptoms of colitis. These results suggested
that tauroursodeoxycholate has an anti-inflammatory effect in TNBS-induced
ulcerative colitis in mice.
PMID- 27179451
TI - Converging advances in science, policy and public awareness: A time of great
opportunity and change in addiction treatment.
AB - Scientific advances, increased public awareness about addiction as a disease, and
significant changes in public policy have led to transformational changes in this
field. Preclinical and clinical studies highlighted in this issue have supported
the emerging concept of substance use disorders as a novel major concern within
the healthcare community. In this Commentary, we discuss the potential impact of
recent legislation (Affordable Care Act and the Mental Health Parity and
Addiction Equity Act) when fully implemented to end the real and perceived
segregation of addiction and substance abuse disorders from mainstream healthcare
and insurance reimbursement. These legislative changes, along with the diligence
of public interest and scientific advances, have the potential to move prevention
and treatment of substance use disorders to mainstream healthcare, and to educate
professionals appropriately on the prevention and treatment of substance abuse.
PMID- 27179452
TI - In the search for integrative biomarker of resilience to psychological stress.
AB - Psychological resilience can be defined as individual's ability to withstand and
adapt to adverse and traumatic events. Resilience is traditionally assessed by
subjective reports, a method that is susceptible to self-report bias. An ideal
solution to this challenge is the introduction of standardised and validated
physiological and/or biological predictors of resilience. We provide a summary of
the major concepts in the field of resilience followed by a detailed critical
review of the literature around physiological, neurochemical and immune markers
of resilience. We conclude that in future experimental protocols, biological
markers of resilience should be assesses both during baseline and during
laboratory stressors. In the former case the most promising candidates are
represented by heart rate variability and by in vitro immune cells assay; in the
latter case-by startle responses (especially their habituation) during stress
challenge and by cardiovascular recovery after stress, and by cortisol, DHEA and
cytokine responses. Importantly, they should be used in combination to enhance
predictive power.
PMID- 27179453
TI - Metabolic reprogramming by the pyruvate dehydrogenase kinase-lactic acid axis:
Linking metabolism and diverse neuropathophysiologies.
AB - Emerging evidence indicates that there is a complex interplay between metabolism
and chronic disorders in the nervous system. In particular, the pyruvate
dehydrogenase (PDH) kinase (PDK)-lactic acid axis is a critical link that
connects metabolic reprogramming and the pathophysiology of neurological
disorders. PDKs, via regulation of PDH complex activity, orchestrate the
conversion of pyruvate either aerobically to acetyl-CoA, or anaerobically to
lactate. The kinases are also involved in neurometabolic dysregulation under
pathological conditions. Lactate, an energy substrate for neurons, is also a
recently acknowledged signaling molecule involved in neuronal plasticity, neuron
glia interactions, neuroimmune communication, and nociception. More recently, the
PDK-lactic acid axis has been recognized to modulate neuronal and glial
phenotypes and activities, contributing to the pathophysiologies of diverse
neurological disorders. This review covers the recent advances that implicate the
PDK-lactic acid axis as a novel linker of metabolism and diverse
neuropathophysiologies. We finally explore the possibilities of employing the PDK
lactic acid axis and its downstream mediators as putative future therapeutic
strategies aimed at prevention or treatment of neurological disorders.
PMID- 27179454
TI - EXponentially Converging Eradication Pulse Train (EXCEPT) for solvent-signal
suppression in investigations with variable T(1) times.
AB - Selective presaturation is a common technique for suppressing excessive solvent
signals during proton NMR analysis of dilute samples in protic solvents. When the
solvent T1 relaxation time constant varies within a series of samples, parameters
for the presaturation sequence must often be re-adjusted for each sample. The
EXCEPT (EXponentially Converging Eradication Pulse Train) presaturation pulse
sequence was developed to eliminate time consuming pulse-parameter re
optimization as long as the variation in the solvent's T1 remains within an order
of magnitude. EXCEPT consists of frequency-selective inversion pulses with
progressively decreasing interpulse delays. The interpulse delays were optimized
to encompass T1 relaxation times ranging from 1 to 10s, but they can be easily
adjusted by a single factor for other ranges that fall within an order of
magnitude with respect to T1. Sequences with different numbers of inversion
pulses were tested to maximize suppression while minimizing the number of pulses
and thus the total time needed for suppression. The EXCEPT-16 experiment, where
16 denotes the number of inversion pulses, was found satisfactory for many
standard applications. Experimental results demonstrate that EXCEPT provides
effective T1-insensitive solvent suppression as predicted by the theory. The
robustness of EXCEPT with respect to changes in solvent T1 allows NMR
investigations to be carried out for a series of samples without the need for
pulse-parameter re-optimization for each sample.
PMID- 27179455
TI - ARTSY-J: Convenient and precise measurement of (3)JHNHalpha couplings in medium
size proteins from TROSY-HSQC spectra.
AB - A new and convenient method, named ARTSY-J, is introduced that permits extraction
of the (3)JHNHalpha couplings in proteins from the relative intensities in a pair
of (15)N-(1)H TROSY-HSQC spectra. The pulse scheme includes (3)JHNHalpha
dephasing of the narrower TROSY (1)H(N)-{(15)N} doublet component during a delay,
integrated into the regular two-dimensional TROSY-HSQC pulse scheme, and compares
the obtained intensity with a reference spectrum where (3)JHNHalpha dephasing is
suppressed. The effect of passive (1)H(alpha) spin flips downscales the apparent
(3)JHNHalpha coupling by a uniform factor that depends approximately linearly on
both the duration of the (3)JHNHalpha dephasing delay and the (1)H-(1)H cross
relaxation rate. Using such a correction factor, which accounts for the effects
of both inhomogeneity of the radiofrequency field and (1)H(alpha) spin flips,
agreement between prior and newly measured values for the small model protein GB3
is better than 0.3Hz. Measurement for the HIV-1 protease homodimer (22kDa) yields
(3)JHNHalpha values that agree to better than 0.7Hz with predictions made on the
basis of a previously parameterized Karplus equation. Although for Gly residues
the two individual (3)JHNHalpha couplings cannot be extracted from a single set
of ARTSY-J spectra, the measurement provides valuable phi angle information.
PMID- 27179456
TI - New high homogeneity 55T pulsed magnet for high field NMR.
AB - Pulsed magnets can produce magnetic fields largely exceeding those achieved with
resistive or even hybrid magnets. This kind of magnet is indispensable in studies
of field-induced phenomena which occur only in high magnetic field. A new high
homogeneous pulsed magnet capable of producing field up to 55T and specially
designed for NMR experiments was built and tested. Experimentally observed
homogeneity of magnetic field in central part of the magnet is 10ppm over a
sample volume of 2-3mm(3) at 12T and 30ppm at 47T, which are the best values ever
reported for a pulsed magnet. Reasons which affect the field profile and reduce
homogeneity at high field are discussed.
PMID- 27179457
TI - INDISIM-Paracoccus, an individual-based and thermodynamic model for a
denitrifying bacterium.
AB - We have developed an individual-based model for denitrifying bacteria. The model,
called INDISIM-Paracoccus, embeds a thermodynamic model for bacterial yield
prediction inside the individual-based model INDISIM, and is designed to simulate
the bacterial cell population behavior and the product dynamics within the
culture. The INDISIM-Paracoccus model assumes a culture medium containing
succinate as a carbon source, ammonium as a nitrogen source and various electron
acceptors such as oxygen, nitrate, nitrite, nitric oxide and nitrous oxide to
simulate in continuous or batch culture the different nutrient-dependent cell
growth kinetics of the bacterium Paracoccus denitrificans. The individuals in the
model represent microbes and the individual-based model INDISIM gives the
behavior-rules that they use for their nutrient uptake and reproduction cycle.
Three previously described metabolic pathways for P. denitrificans were selected
and translated into balanced chemical equations using a thermodynamic model.
These stoichiometric reactions are an intracellular model for the individual
behavior-rules for metabolic maintenance and biomass synthesis and result in the
release of different nitrogen oxides to the medium. The model was implemented
using the NetLogo platform and it provides an interactive tool to investigate the
different steps of denitrification carried out by a denitrifying bacterium. The
simulator can be obtained from the authors on request.
PMID- 27179458
TI - A model of space-fractional-order diffusion in the glial scar.
AB - Implantation of neuroprosthetic electrodes induces a stereotypical state of
neuroinflammation, which is thought to be detrimental for the neurons surrounding
the electrode. Mechanisms of this type of neuroinflammation are still poorly
understood. Recent experimental and theoretical results point to a possible role
of the diffusing species in this process. The paper considers a model of
anomalous diffusion occurring in the glial scar around a chronic implant in two
simple geometries - a separable rectilinear electrode and a cylindrical
electrode, which are solvable exactly. We describe a hypothetical extended source
of diffusing species and study its concentration profile in steady-state
conditions. Diffusion transport is assumed to obey a fractional-order Fick law,
derivable from physically realistic assumptions using a fractional calculus
approach. Presented fractional-order distribution morphs into integer-order
diffusion in the case of integral fractional exponents. The model demonstrates
that accumulation of diffusing species can occur and the scar properties (i.e.
tortuosity, fractional order, scar thickness) and boundary conditions can
influence such accumulation. The observed shape of the concentration profile
corresponds qualitatively with GFAP profiles reported in the literature. The main
difference with respect to the previous studies is the explicit incorporation of
the apparatus of fractional calculus without assumption of an ad hoc tortuosity
parameter. The approach can be adapted to other studies of diffusion in
biological tissues, for example of biomolecules or small drug molecules.
PMID- 27179459
TI - Machine learning approaches for discrimination of Extracellular Matrix proteins
using hybrid feature space.
AB - Extracellular Matrix (ECM) proteins are the vital type of proteins that are
secreted by resident cells. ECM proteins perform several significant functions
including adhesion, differentiation, cell migration and proliferation. In
addition, ECM proteins regulate angiogenesis process, embryonic development,
tumor growth and gene expression. Due to tremendous biological significance of
the ECM proteins and rapidly increases of protein sequences in databases, it is
indispensable to introduce a new high throughput computation model that can
accurately identify ECM proteins. Various traditional models have been developed,
but they are laborious and tedious. In this work, an effective and high
throughput computational classification model is proposed for discrimination of
ECM proteins. In this model, protein sequences are formulated using amino acid
composition, pseudo amino acid composition (PseAAC) and di-peptide composition
(DPC) techniques. Further, various combination of feature extraction techniques
are fused to form hybrid feature spaces. Several classifiers were employed. Among
these classifiers, K-Nearest Neighbor obtained outstanding performance in
combination with the hybrid feature space of PseAAC and DPC. The obtained
accuracy of our proposed model is 96.76%, which the highest success rate has been
reported in the literature so far.
PMID- 27179460
TI - Genetic algorithm based approach to optimize phenotypical traits of virtual rice.
AB - How to select and combine good traits of rice to get high-production individuals
is one of the key points in developing crop ideotype cultivation technologies.
Existing cultivation methods for producing ideal plants, such as field trials and
crop modeling, have some limits. In this paper, we propose a method based on a
genetic algorithm (GA) and a functional-structural plant model (FSPM) to optimize
plant types of virtual rice by dynamically adjusting phenotypical traits. In this
algorithm, phenotypical traits such as leaf angles, plant heights, the maximum
number of tiller, and the angle of tiller are considered as input parameters of
our virtual rice model. We evaluate the photosynthetic output as a function of
these parameters, and optimized them using a GA. This method has been implemented
on GroIMP using the modeling language XL (eXtended L-System) and RGG (Relational
Growth Grammar). A double haploid population of rice is adopted as test material
in a case study. Our experimental results show that our method can not only
optimize the parameters of rice plant type and increase the amount of light
absorption, but can also significantly increase crop yield.
PMID- 27179461
TI - Games of multicellularity.
AB - Evolutionary game dynamics are often studied in the context of different
population structures. Here we propose a new population structure that is
inspired by simple multicellular life forms. In our model, cells reproduce but
can stay together after reproduction. They reach complexes of a certain size, n,
before producing single cells again. The cells within a complex derive payoff
from an evolutionary game by interacting with each other. The reproductive rate
of cells is proportional to their payoff. We consider all two-strategy games. We
study deterministic evolutionary dynamics with mutations, and derive exact
conditions for selection to favor one strategy over another. Our main result has
the same symmetry as the well-known sigma condition, which has been proven for
stochastic game dynamics and weak selection. For a maximum complex size of n=2
our result holds for any intensity of selection. For n>=3 it holds for weak
selection. As specific examples we study the prisoner's dilemma and hawk-dove
games. Our model advances theoretical work on multicellularity by allowing for
frequency-dependent interactions within groups.
PMID- 27179462
TI - Association of ICAM-1 K469E polymorphism with dengue infection in North Indian
population.
AB - Dengue infection is caused by flavivirus is one of the leading cause of
mortality. There are certain factors which play role in the transformation of a
mild form of the disease (DF) into a severe form (DHF) but the most important
ones are: viral strain virulence, host genetics, and host immune status. In
severe dengue infection, plasma leakage occurs due to vascular endothelial cell
activation through expression of adhesion molecule like intercellular cell
adhesion molecule-1 (ICAM-1). A total of 100 dengue patients (DF; n = 53 and
DHF/DSS; n = 47) and 200 healthy controls were included in the study. ICAM-1
K469E genotyping was done by polymerase chain reaction-restriction fragment
length polymorphism (PCR- RFLP). Expression of ICAM-1 mRNA was done by Real time
reverse transcription- PCR (rRT-PCR). Patients with homozygous genotype (EE) have
3.22 fold risk (P = 0.008) of developing severe form of disease (DHF/DSS) as
compared to other genotypes. Patients with DHF/DSS exhibit higher expression of
ICAM-1 mRNA as compared to dengue fever and controls (P = 0.001 and < 0.001).
Patients (DHF/DSS) with homozygous (EE) genotype exhibit higher expression of
ICAM-1 mRNA when compared with wild type (KK) genotype (P = 0.005). This study
suggests a possible association between the ICAM-1 polymorphism and the disease
severity.
PMID- 27179463
TI - Unmasking a Role for Noninvasive Ventilation in Early Acute Respiratory Distress
Syndrome.
PMID- 27179465
TI - Path optimization by a variational reaction coordinate method. II. Improved
computational efficiency through internal coordinates and surface interpolation.
AB - Reaction path optimization is being used more frequently as an alternative to the
standard practice of locating a transition state and following the path downhill.
The Variational Reaction Coordinate (VRC) method was proposed as an alternative
to chain-of-states methods like nudged elastic band and string method. The VRC
method represents the path using a linear expansion of continuous basis
functions, allowing the path to be optimized variationally by updating the
expansion coefficients to minimize the line integral of the potential energy
gradient norm, referred to as the Variational Reaction Energy (VRE) of the path.
When constraints are used to control the spacing of basis functions and to couple
the minimization of the VRE with the optimization of one or more individual
points along the path (representing transition states and intermediates), an
approximate path as well as the converged geometries of transition states and
intermediates along the path are determined in only a few iterations. This
algorithmic efficiency comes at a high per-iteration cost due to numerical
integration of the VRE derivatives. In the present work, methods for
incorporating redundant internal coordinates and potential energy surface
interpolation into the VRC method are described. With these methods, the per
iteration cost, in terms of the number of potential energy surface evaluations,
of the VRC method is reduced while the high algorithmic efficiency is maintained.
PMID- 27179466
TI - Multi-state extrapolation of UV/Vis absorption spectra with QM/QM hybrid methods.
AB - In this work, we present a simple approach to simulate absorption spectra from
hybrid QM/QM calculations. The goal is to obtain reliable spectra for compounds
that are too large to be treated efficiently at a high level of theory. The
present approach is based on the extrapolation of the entire absorption spectrum
obtained by individual subcalculations. Our program locates the main spectral
features in each subcalculation, e.g., band peaks and shoulders, and fits them to
Gaussian functions. Each Gaussian is then extrapolated with a formula similar to
that of ONIOM (Our own N-layered Integrated molecular Orbital molecular
Mechanics). However, information about individual excitations is not necessary so
that difficult state-matching across subcalculations is avoided. This multi-state
extrapolation thus requires relatively low implementation effort while affording
maximum flexibility in the choice of methods to be combined in the hybrid
approach. The test calculations show the efficacy and robustness of this
methodology in reproducing the spectrum computed for the entire molecule at a
high level of theory.
PMID- 27179467
TI - Tight-binding approximations to time-dependent density functional theory - A fast
approach for the calculation of electronically excited states.
AB - We propose a new method of calculating electronically excited states that
combines a density functional theory based ground state calculation with a linear
response treatment that employs approximations used in the time-dependent density
functional based tight binding (TD-DFTB) approach. The new method termed time
dependent density functional theory TD-DFT+TB does not rely on the DFTB
parametrization and is therefore applicable to systems involving all combinations
of elements. We show that the new method yields UV/Vis absorption spectra that
are in excellent agreement with computationally much more expensive TD-DFT
calculations. Errors in vertical excitation energies are reduced by a factor of
two compared to TD-DFTB.
PMID- 27179468
TI - Approximate but accurate quantum dynamics from the Mori formalism: I.
Nonequilibrium dynamics.
AB - We present a formalism that explicitly unifies the commonly used Nakajima-Zwanzig
approach for reduced density matrix dynamics with the more versatile Mori theory
in the context of nonequilibrium dynamics. Employing a Dyson-type expansion to
circumvent the difficulty of projected dynamics, we obtain a self-consistent
equation for the memory kernel which requires only knowledge of normally evolved
auxiliary kernels. To illustrate the properties of the current approach, we focus
on the spin-boson model and limit our attention to the use of a simple and
inexpensive quasi-classical dynamics, given by the Ehrenfest method, for the
calculation of the auxiliary kernels. For the first time, we provide a detailed
analysis of the dependence of the properties of the memory kernels obtained via
different projection operators, namely, the thermal (Redfield-type) and
population based (NIBA-type) projection operators. We further elucidate the
conditions that lead to short-lived memory kernels and the regions of parameter
space to which this program is best suited. Via a thorough analysis of the
different closures available for the auxiliary kernels and the convergence
properties of the self-consistently extracted memory kernel, we identify the
mechanisms whereby the current approach leads to a significant improvement over
the direct usage of standard semi- and quasi-classical dynamics.
PMID- 27179464
TI - Perspective: Defining and quantifying the role of dynamics in enzyme catalysis.
AB - Enzymes control chemical reactions that are key to life processes, and allow them
to take place on the time scale needed for synchronization between the relevant
reaction cycles. In addition to general interest in their biological roles, these
proteins present a fundamental scientific puzzle, since the origin of their
tremendous catalytic power is still unclear. While many different hypotheses have
been put forward to rationalize this, one of the proposals that has become
particularly popular in recent years is the idea that dynamical effects
contribute to catalysis. Here, we present a critical review of the dynamical
idea, considering all reasonable definitions of what does and does not qualify as
a dynamical effect. We demonstrate that no dynamical effect (according to these
definitions) has ever been experimentally shown to contribute to catalysis.
Furthermore, the existence of non-negligible dynamical contributions to catalysis
is not supported by consistent theoretical studies. Our review is aimed, in part,
at readers with a background in chemical physics and biophysics, and illustrates
that despite a substantial body of experimental effort, there has not yet been
any study that consistently established a connection between an enzyme's
conformational dynamics and a significant increase in the catalytic contribution
of the chemical step. We also make the point that the dynamical proposal is not a
semantic issue but a well-defined scientific hypothesis with well-defined
conclusions.
PMID- 27179469
TI - Generalized quantum master equations in and out of equilibrium: When can one win?
AB - Generalized quantum master equations (GQMEs) are an important tool in modeling
chemical and physical processes. For a large number of problems, it has been
shown that exact and approximate quantum dynamics methods can be made
dramatically more efficient, and in the latter case more accurate, by proceeding
via the GQME formalism. However, there are many situations where utilizing the
GQME approach with an approximate method has been observed to return the same
dynamics as using that method directly. Here, for systems both in and out of
equilibrium, we provide a more detailed understanding of the conditions under
which using an approximate method can yield benefits when combined with the GQME
formalism. In particular, we demonstrate the necessary manipulations, which are
satisfied by exact quantum dynamics, that are required to recast the memory
kernel in a form that can be analytically shown to yield the same result as a
direct application of the dynamics regardless of the approximation used. By
considering the connections between these forms of the kernel, we derive the
conditions that approximate methods must satisfy if they are to offer different
results when used in conjunction with the GQME formalism. These analytical
results thus provide new insights as to when proceeding via the GQME approach can
be used to improve the accuracy of simulations.
PMID- 27179470
TI - Matrix elements of explicitly correlated Gaussian basis functions with arbitrary
angular momentum.
AB - A new algorithm for calculating the Hamiltonian matrix elements with all-electron
explicitly correlated Gaussian functions for quantum-mechanical calculations of
atoms with arbitrary angular momentum is presented. The calculations are checked
on several excited states of three and four electron systems. The presented
formalism can be used as unified framework for high accuracy calculations of
properties of small atoms and molecules.
PMID- 27179471
TI - Molecular dynamics at constant Cauchy stress.
AB - The Parrinello-Rahman algorithm for imposing a general state of stress in
periodic molecular dynamics simulations is widely used in the literature and has
been implemented in many readily available molecular dynamics codes. However,
what is often overlooked is that this algorithm controls the second Piola
Kirchhoff stress as opposed to the true (Cauchy) stress. This can lead to
misinterpretation of simulation results because (1) the true stress that is
imposed during the simulation depends on the deformation of the periodic cell,
(2) the true stress is potentially very different from the imposed second Piola
Kirchhoff stress, and (3) the true stress can vary significantly during the
simulation even if the imposed second Piola-Kirchhoff is constant. We propose a
simple modification to the algorithm that allows the true Cauchy stress to be
controlled directly. We then demonstrate the efficacy of the new algorithm with
the example of martensitic phase transformations under applied stress.
PMID- 27179472
TI - Resolution-of-identity stochastic time-dependent configuration interaction for
dissipative electron dynamics in strong fields.
AB - In this contribution, we introduce a method for simulating dissipative, ultrafast
many-electron dynamics in intense laser fields. The method is based on the norm
conserving stochastic unraveling of the dissipative Liouville-von Neumann
equation in its Lindblad form. The N-electron wave functions sampling the density
matrix are represented in the basis of singly excited configuration state
functions. The interaction with an external laser field is treated variationally
and the response of the electronic density is included to all orders in this
basis. The coupling to an external environment is included via relaxation
operators inducing transition between the configuration state functions. Single
electron ionization is represented by irreversible transition operators from the
ionizing states to an auxiliary continuum state. The method finds its efficiency
in the representation of the operators in the interaction picture, where the
resolution-of-identity is used to reduce the size of the Hamiltonian eigenstate
basis. The zeroth-order eigenstates can be obtained either at the configuration
interaction singles level or from a time-dependent density functional theory
reference calculation. The latter offers an alternative to explicitly time
dependent density functional theory which has the advantage of remaining strictly
valid for strong field excitations while improving the description of the
correlation as compared to configuration interaction singles. The method is
tested on a well-characterized toy system, the excitation of the low-lying charge
transfer state in LiCN.
PMID- 27179473
TI - Wave function continuity and the diagonal Born-Oppenheimer correction at conical
intersections.
AB - We demonstrate that though exact in principle, the expansion of the total
molecular wave function as a sum over adiabatic Born-Oppenheimer (BO) vibronic
states makes inclusion of the second-derivative nonadiabatic energy term near
conical intersections practically problematic. In order to construct a well
behaved molecular wave function that has density at a conical intersection, the
individual BO vibronic states in the summation must be discontinuous. When the
second-derivative nonadiabatic terms are added to the Hamiltonian, singularities
in the diagonal BO corrections (DBOCs) of the individual BO states arise from
these discontinuities. In contrast to the well-known singularities in the first
derivative couplings at conical intersections, these singularities are non
integrable, resulting in undefined DBOC matrix elements. Though these
singularities suggest that the exact molecular wave function may not have density
at the conical intersection point, there is no physical basis for this
constraint. Instead, the singularities are artifacts of the chosen basis of
discontinuous functions. We also demonstrate that continuity of the total
molecular wave function does not require continuity of the individual adiabatic
nuclear wave functions. We classify nonadiabatic molecular dynamics methods
according to the constraints placed on wave function continuity and analyze their
formal properties. Based on our analysis, it is recommended that the DBOC be
neglected when employing mixed quantum-classical methods and certain approximate
quantum dynamical methods in the adiabatic representation.
PMID- 27179475
TI - Mirrored continuum and molecular scale simulations of the ignition of high
pressure phases of RDX.
AB - We present a mirrored atomistic and continuum framework that is used to describe
the ignition of energetic materials, and a high-pressure phase of RDX in
particular. The continuum formulation uses meaningful averages of thermodynamic
properties obtained from the atomistic simulation and a simplification of
enormously complex reaction kinetics. In particular, components are identified
based on molecular weight bin averages and our methodology assumes that both the
averaged atomistic and continuum simulations are represented on the same time and
length scales. The atomistic simulations of thermally initiated ignition of RDX
are performed using reactive molecular dynamics (RMD). The continuum model is
based on multi-component thermodynamics and uses a kinetics scheme that describes
observed chemical changes of the averaged atomistic simulations. Thus the
mirrored continuum simulations mimic the rapid change in pressure, temperature,
and average molecular weight of species in the reactive mixture. This mirroring
enables a new technique to simplify the chemistry obtained from reactive MD
simulations while retaining the observed features and spatial and temporal scales
from both the RMD and continuum model. The primary benefit of this approach is a
potentially powerful, but familiar way to interpret the atomistic simulations and
understand the chemical events and reaction rates. The approach is quite general
and thus can provide a way to model chemistry based on atomistic simulations and
extend the reach of those simulations.
PMID- 27179476
TI - Quantitative velocity modulation spectroscopy.
AB - Velocity Modulation Spectroscopy (VMS) is arguably the most important development
in the 20th century for spectroscopic study of molecular ions. For decades,
interpretation of VMS lineshapes has presented challenges due to the intrinsic
covariance of fit parameters including velocity modulation amplitude, linewidth,
and intensity. This limitation has stifled the growth of this technique into the
quantitative realm. In this work, we show that subtle changes in the lineshape
can be used to help address this complexity. This allows for determination of the
linewidth, intensity relative to other transitions, velocity modulation
amplitude, and electric field strength in the positive column of a glow
discharge. Additionally, we explain the large homogeneous component of the
linewidth that has been previously described. Using this component, the ion
mobility can be determined.
PMID- 27179474
TI - Molecular dynamics of protein A and a WW domain with a united-residue model
including hydrodynamic interaction.
AB - The folding of the N-terminal part of the B-domain of staphylococcal protein A
(PDB ID: 1BDD, a 46-residue three-alpha-helix bundle) and the formin-binding
protein 28 WW domain (PDB ID: 1E0L, a 37-residue three-stranded anti-parallel
beta protein) was studied by means of Langevin dynamics with the coarse-grained
UNRES force field to assess the influence of hydrodynamic interactions on protein
folding pathways and kinetics. The unfolded, intermediate, and native-like
structures were identified by cluster analysis, and multi-exponential functions
were fitted to the time dependence of the fractions of native and intermediate
structures, respectively, to determine bulk kinetics. It was found that
introducing hydrodynamic interactions slows down both the formation of an
intermediate state and the transition from the collapsed structures to the final
native-like structures by creating multiple kinetic traps. Therefore, introducing
hydrodynamic interactions considerably slows the folding, as opposed to the
results obtained from earlier studies with the use of Go-like models.
PMID- 27179477
TI - Phase-resolved two-dimensional terahertz spectroscopy including off-resonant
interactions beyond the chi((3)) limit.
AB - We present the first two-dimensional (2D) terahertz (THz) experiment with three
phase-locked THz pulses and a fully phase-resolved detection of the nonlinearly
emitted field by electrooptic sampling. In a prototype experiment we study the
ultrafast dynamics of nonlinear two-phonon and two-photon interband coherences in
the narrow-gap semiconductor InSb. Due to the extraordinarily large optical
interband dipole of InSb the experiments were performed in the strongly
nonperturbative regime of light-matter interaction allowing for impulsive off
resonant excitation of both two-phonon coherences and two-photon interband
coherences, the ultrafast dynamics of which is experimentally observed as a
function of the waiting time in the three-pulse 2D experiment. Our novel three
pulse 2D THz spectroscopy paves the way for the detailed investigation of
nonlinear quantum coherences in solids and holds potential for an extension to
other systems.
PMID- 27179478
TI - State-resolved differential and integral cross sections for the Ne + H2 (+) (v =
0-2, j = 0) -> NeH(+) + H reaction.
AB - State-to-state quantum dynamic calculations for the proton transfer reaction Ne +
H2 (+) (v = 0-2, j = 0) are performed on the most accurate LZHH potential energy
surface, with the product Jacobi coordinate based time-dependent wave packet
method including the Coriolis coupling. The J = 0 reaction probabilities for the
title reaction agree well with previous results in a wide range of collision
energy of 0.2-1.2 eV. Total integral cross sections are in reasonable agreement
with the available experiment data. Vibrational excitation of the reactant is
much more efficient in enhancing the reaction cross sections than translational
and rotational excitation. Total differential cross sections are found to be
forward-backward peaked with strong oscillations, which is the indication of the
complex-forming mechanism. As the collision energy increases, state-resolved
differential cross section changes from forward-backward symmetric peaked to
forward scattering biased. This forward bias can be attributed to the larger J
partial waves, which makes the reaction like an abstraction process. Differential
cross sections summed over two different sets of J partial waves for the v = 0
reaction at the collision energy of 1.2 eV are plotted to illustrate the
importance of large J partial waves in the forward bias of the differential cross
sections.
PMID- 27179479
TI - Extensive theoretical study on electronically excited states of calcium
monochloride: Molecular laser cooling and production of ultracold chlorine atoms.
AB - Nine doublet Lambda-S states of calcium monochloride (CaCl) are calculated using
the internally contracted multireference configuration interaction method with
the Davidson correction. Both the core subvalence and spin-orbit coupling effects
are taken into account. Laser cooling of CaCl and production of ultracold
chlorine atoms are investigated and assessed. Our computed spectroscopic
constants and radiative lifetimes match the available experimental data very
well. The determined Franck-Condon factors and vibrational branching ratios of
the A(2)Pi1/2(nu('))<-X(2)Sigma1/2 (+)(nu) transition are highly diagonally
distributed and the evaluated radiative lifetime for the A(2)Pi1/2(nu' = 0) state
is 28.2 ns, which is short enough for rapid laser cooling. Subsequently,
detection of cold molecules via resonance enhanced multiphoton ionization to
determine the final quantum state populations is discussed and the ionization
energy calculated. A multi-pulse excitation scheme is proposed for producing
ultracold chlorine atoms from zero-energy photodissociation of the cooled CaCl.
Our results demonstrate the possibility of producing ultracold CaCl molecules and
Cl atoms.
PMID- 27179480
TI - Simulation of the single-vibronic-level emission spectra of HAsO and DAsO.
AB - The single-vibronic-level (SVL) emission spectra of HAsO and DAsO have been
simulated by electronic structure/Franck-Condon factor calculations to confirm
the spectral molecular carrier and to investigate the electronic states involved.
Various multi-reference (MR) methods, namely, NEVPT2 (n-electron valence state
second order perturbation theory), RSPT2-F12 (explicitly correlated Rayleigh
Schrodinger second order perturbation theory), and MRCI-F12 (explicitly
correlated multi-reference configuration interaction) were employed to compute
the geometries and relative electronic energies for the X(1)A(') and A(1)A(")
states of HAsO. These are the highest level calculations on these states yet
reported. The MRCI-F12 method gives computed T0 (adiabatic transition energy
including zero-point energy correction) values, which agree well with the
available experimental T0 value much better than previously computed values and
values computed with other MR methods in this work. In addition, the potential
energy surfaces of the X(1)A(') and A(1)A(") states of HAsO were computed using
the MRCI-F12 method. Franck-Condon factors between the two states, which include
anharmonicity and Duschinsky rotation, were then computed and used to simulate
the recently reported SVL emission spectra of HAsO and DAsO [R. Grimminger and D.
J. Clouthier, J. Chem. Phys. 135, 184308 (2011)]. Our simulated SVL emission
spectra confirm the assignments of the molecular carrier, the electronic states
involved, and the vibrational structures observed in the SVL emission spectra but
suggest a loss of intensity in the reported experimental spectra at the low
emission energy region almost certainly due to a loss of responsivity near the
cutoff region (~800 nm) of the detector used. Computed and experimentally derived
re (equilibrium) and/or r0 {the (0,0,0) vibrational level} geometries of the two
states of HAsO are discussed.
PMID- 27179481
TI - Structural and electronic properties of UnOm (n=1-3,m=1-3n) clusters: A
theoretical study using screened hybrid density functional theory.
AB - The structural and electronic properties of small uranium oxide clusters UnOm
(n=1-3, m=1-3n) are systematically studied within the screened hybrid density
functional theory. It is found that the formation of U-O-U bondings and isolated
U-O bonds are energetically more stable than U-U bondings. As a result, no
uranium cores are observed. Through fragmentation studies, we find that the UnOm
clusters with the m/n ratio between 2 and 2.5 are very stable, hinting that UO2+x
hyperoxides are energetically stable. Electronically, we find that the O-2p
states always distribute in the deep energy range, and the U-5f states always
distribute at the two sides of the Fermi level. The U-6d states mainly hybridize
with the U-5f states in U-rich clusters, while hybridizing with O-2p states in O
rich clusters. Our work is the first one on the screened hybrid density
functional theory level studying the atomic and electronic properties of the
actinide oxide clusters.
PMID- 27179482
TI - Photoionisation study of Xe.CF4 and Kr.CF4 van-der-Waals molecules.
AB - We report on photoionization studies of Xe.CF4 and Kr.CF4 van-der-Waals complexes
produced in a supersonic expansion and detected using synchrotron radiation and
photoelectron-photoion coincidence techniques. The ionization potential of CF4 is
larger than those of the Xe and Kr atoms and the ground state of the Rg.CF4 (+)
ion correlates with Rg(+) ((2)P3/2) + CF4. The onset of the Rg.CF4 (+) signals
was found to be only ~0.2 eV below the Rg ionization potential. In agreement with
experiment, complementary ab initio calculations show that vertical transitions
originating from the potential minimum of the ground state of Rg.CF4 terminate at
a part of the potential energy surfaces of Rg.CF4 (+), which are approximately
0.05 eV below the Rg(+) ((2)P3/2) + CF4 dissociation limit. In contrast to the
neutral complexes, which are most stable in the face geometry, for the Rg.CF4 (+)
ions, the calculations show that the minimum of the potential energy surface is
in the vertex geometry. Experiments which have been performed only with Xe.CF4
revealed no Xe.CF4 (+) signal above the first ionization threshold of Xe,
suggesting that the Rg.CF4 (+) ions are not stable above the first dissociation
limit.
PMID- 27179483
TI - Alignment of CH3F in para-H2 crystal studied by IR quantum cascade laser
polarization spectroscopy.
AB - In order to investigate the alignment of CH3F in para-H2 crystals, high
resolution polarization spectroscopy of the nu3 vibrational band is studied using
a quantum cascade laser at 1040 cm(-1). It is found that the main and satellite
series of peaks in the nu3 vibrational band of CH3F have the same polarization
dependence. This result supports the previously proposed cluster model with ortho
H2 in first and second nearest neighbor sites. The observed polarization
dependence function is well described by a simple six-axis void model in which
CH3F is not aligned along the c-axis of the crystal but tilted to 64.9(3) degrees
from it.
PMID- 27179484
TI - Femtosecond stimulated Raman spectroscopy as a tool to detect molecular
vibrations in ground and excited electronic states.
AB - We give a detailed theoretical analysis of the simplest variant of femtosecond
stimulated Raman spectroscopy, where a picosecond Raman pump pulse and a
femtosecond Raman probe pulse are applied resonantly to a chromophore in thermal
equilibrium in the ground electronic state. We demonstrate that this technique is
capable of the detection of dephasing-free Raman-like lines revealing vibrational
modes not only in the electronic ground state but also in the excited electronic
state of the chromophore. The analytical results obtained with simplifying
assumptions for the shape of the laser pulses are substantiated by numerical
simulations with realistic laser pulses, employing the equation-of-motion phase
matching approach.
PMID- 27179485
TI - Millimeter-wave spectroscopy of CrC (X(3)Sigma(-)) and CrCCH (X (6)Sigma(+)):
Examining the chromium-carbon bond.
AB - Pure rotational spectroscopy of the CrC (X(3)Sigma(-)) and CrCCH (X (6)Sigma(+))
radicals has been conducted using millimeter/sub-millimeter direct absorption
methods in the frequency range 225-585 GHz. These species were created in an AC
discharge of Cr(CO)6 and either methane or acetylene, diluted in argon. Spectra
of the CrCCD were also recorded for the first time using deuterated acetylene as
the carbon precursor. Seven rotational transitions of CrC were measured, each
consisting of three widely spaced, fine structure components, arising from spin
spin and spin-rotation interactions. Eleven rotational transitions were recorded
for CrCCH and five for CrCCD; each transition in these cases was composed of a
distinct fine structure sextet. These measurements confirm the respective
(3)Sigma(-) and (6)Sigma(+) ground electronic states of these radicals, as
indicated from optical studies. The data were analyzed using a Hund's case (b)
Hamiltonian, and rotational, spin-spin, and spin-rotation constants have been
accurately determined for all three species. The spectroscopic parameters for CrC
were significantly revised from previous optical work, while those for CrCCH are
in excellent agreement; completely new constants were established for CrCCD. The
chromium-carbon bond length for CrC was calculated to be 1.631 A, while that in
CrCCH was found to be rCr-C = 1.993 A - significantly longer. This result
suggests that a single Cr-C bond is present in CrCCH, preserving the acetylenic
structure of the ligand, while a triple bond exists in CrC. Analysis of the spin
constants suggests that CrC has a nearby excited (1)Sigma(+) state lying ~16 900
cm(-1) higher in energy, and CrCCH has a (6)Pi excited state with E ~ 4800 cm(
1).
PMID- 27179486
TI - Bond energies of ThO(+) and ThC(+): A guided ion beam and quantum chemical
investigation of the reactions of thorium cation with O2 and CO.
AB - Kinetic energy dependent reactions of Th(+) with O2 and CO are studied using a
guided ion beam tandem mass spectrometer. The formation of ThO(+) in the reaction
of Th(+) with O2 is observed to be exothermic and barrierless with a reaction
efficiency at low energies of k/kLGS = 1.21 +/- 0.24 similar to the efficiency
observed in ion cyclotron resonance experiments. Formation of ThO(+) and ThC(+)
in the reaction of Th(+) with CO is endothermic in both cases. The kinetic energy
dependent cross sections for formation of these product ions were evaluated to
determine 0 K bond dissociation energies (BDEs) of D0(Th(+)-O) = 8.57 +/- 0.14 eV
and D0(Th(+)-C) = 4.82 +/- 0.29 eV. The present value of D0 (Th(+)-O) is within
experimental uncertainty of previously reported experimental values, whereas this
is the first report of D0 (Th(+)-C). Both BDEs are observed to be larger than
those of their transition metal congeners, TiL(+), ZrL(+), and HfL(+) (L = O and
C), believed to be a result of lanthanide contraction. Additionally, the
reactions were explored by quantum chemical calculations, including a full Feller
Peterson-Dixon composite approach with correlation contributions up to coupled
cluster singles and doubles with iterative triples and quadruples (CCSDTQ) for
ThC, ThC(+), ThO, and ThO(+), as well as more approximate CCSD with perturbative
(triples) [CCSD(T)] calculations where a semi-empirical model was used to
estimate spin-orbit energy contributions. Finally, the ThO(+) BDE is compared to
other actinide (An) oxide cation BDEs and a simple model utilizing An(+)
promotion energies to the reactive state is used to estimate AnO(+) and AnC(+)
BDEs. For AnO(+), this model yields predictions that are typically within
experimental uncertainty and performs better than density functional theory
calculations presented previously.
PMID- 27179487
TI - Heterogeneous and hyperfine interactions between valence states of molecular
iodine correlating with the I((2)P1/2) + I((2)P1/2) dissociation limit.
AB - Detailed analysis of interactions between all 0g (+), 1u, and 0u (-) weakly bound
states of iodine molecule correlating with the I((2)P1/2) + I((2)P1/2) (bb)
dissociation limit has been performed. For this purpose, the 0u (-) (bb) state
has been described using analysis of rotationally resolved excitation spectra of
luminescence from the g0g (-) state populated in a three-step three-color
perturbation facilitated excitation scheme via the 0u (-) state. Energies of 41
rovibrational levels, molecular constants, and potential energy curve have been
determined. Energy gaps between closest rovibrational levels of the 0u (-) and 0g
(+), 1u (bb) states are found to be large, ~6 cm(-1). However, interaction of all
three 0g (+), 1u, and 0u (-) (bb) states has been observed. It has been found
that the 0u (-) and 1u electronic states are mixed by heterogeneous interactions,
while their mixing with the 0g (+) one is due to hyperfine interactions
predominantly. Admixture coefficients and electronic matrix elements of the
coupling between the 0g (+) ~1u, 0g (+)~0u (-), and 0u (-) ~1u states have been
estimated.
PMID- 27179488
TI - UV + V UV double-resonance studies of autoionizing Rydberg states of the hydroxyl
radical.
AB - The hydroxyl radical (OH) is a key oxidant in atmospheric and combustion
chemistry. Recently, a sensitive and state-selective ionization method has been
developed for detection of the OH radical that utilizes UV excitation on the
A(2)Sigma(+)-X(2)Pi transition followed by fixed 118 nm vacuum ultraviolet (VUV)
radiation to access autoionizing Rydberg states [J. M. Beames et al., J. Chem.
Phys. 134, 241102 (2011)]. The present study uses tunable VUV radiation generated
by four-wave mixing to examine the origin of the enhanced ionization efficiency
observed for OH radicals prepared in specific A(2)Sigma(+) intermediate levels.
The enhancement is shown to arise from resonant excitation to distinct rotational
and fine structure levels of two newly identified (2)Pi Rydberg states with an
A(3)Pi cationic core and a 3d electron followed by ionization. Spectroscopic
constants are derived and effects due to uncoupling of the Rydberg electron are
revealed for the OH (2)Pi Rydberg states. The linewidths indicate a Rydberg state
lifetime due to autoionization on the order of a picosecond.
PMID- 27179489
TI - Dynamic and temperature dependent response of physical vapor deposited Se in
freely standing nanometric thin films.
AB - Here, we report results from an investigation of nano-scale size or confinement
effects on the glass transition and viscoelastic properties of physical vapor
deposited selenium films. The viscoelastic response of freely standing Se films
was determined using a biaxial membrane inflation or bubble inflation method [P.
A. O'Connell and G. B. McKenna, Science 307, 1760-1763 (2005)] on films having
thicknesses from 60 to 267 nm and over temperatures ranging from Tg, macroscopic
15 degrees C to Tg, macroscopic + 21 degrees C. Time-temperature superposition
and time-thickness superposition were found to hold for the films in the
segmental dispersion. The responses are compared with macroscopic creep and
recoverable creep compliance data for selenium [K. M. Bernatz et al., J. Non
Cryst. Solids 307, 790-801 (2002)]. The time-temperature shift factors for the
thin films show weaker temperature dependence than seen in the macroscopic
behavior, being near to Arrhenius-like in their temperature dependence.
Furthermore, the Se films exhibit a "rubbery-like" stiffening that increases as
film thickness decreases similar to prior observations [P. A. O'Connell et al.,
Macromolecules 45(5), 2453-2459 (2012)] for organic polymers. In spite of the
differences from the macroscopic behavior in the temperature dependence of the
viscoelastic response, virtually no change in Tg as determined from the thickness
dependence of the retardation time defining Tg was observed in the bubble
inflation creep experiments to thicknesses as small as 60 nm. We also find that
the observed rubbery stiffening is consistent with the postulate of K. L. Ngai et
al. [J. Polym. Sci., Part B: Polym. Phys. 51(3), 214-224 (2013)] that it should
correlate with the change of the macroscopic segmental relaxation.
PMID- 27179490
TI - Simulated conduction rates of water through a (6,6) carbon nanotube strongly
depend on bulk properties of the model employed.
AB - We investigate pressure driven flow rates of water through a (6,6) carbon
nanotube (CNT) for the TIP3P, SPC/E, and TIP4P/2005 water models. The flow rates
are shown to be strongly model dependent, differing by factors that range from ~6
to ~2 as the temperature varies from 260 to 320 K, with TIP3P showing the fastest
flow and TIP4P/2005 the slowest. For the (6,6) CNT, the size constraint allows
only single-file conduction for all three water models. Hence, unlike the
situation for the larger [(8,8) and (9,9)] CNTs considered in our earlier work
[L. Liu and G. N. Patey, J. Chem. Phys. 141, 18C518 (2014)], the different flow
rates cannot be attributed to different model-dependent water structures within
the nanotubes. By carefully examining activation energies, we trace the origin of
the model discrepancies for the (6,6) CNT to differing rates of entry into the
nanotube, and these in turn are related to differing bulk mobilities of the water
models. Over the temperature range considered, the self-diffusion coefficients of
the TIP3P model are much larger than those of TIP4P/2005 and those of real water.
Additionally, we show that the entry rates are approximately inversely
proportional to the shear viscosity of the bulk liquid, in agreement with the
prediction of continuum hydrodynamics. For purposes of comparison, we also
consider the larger (9,9) CNT. In the (9,9) case, the flow rates for the TIP3P
model still appear to be mainly controlled by the entry rates. However, for the
SPC/E and TIP4P/2005 models, entry is no longer the rate determining step for
flow. For these models, the activation energies controlling flow are considerably
larger than the energetic barriers to entry, due in all likelihood to the ring
like water clusters that form within the larger nanotube.
PMID- 27179491
TI - Isotope effect on hydrated electron relaxation dynamics studied with time
resolved liquid jet photoelectron spectroscopy.
AB - The excited state relaxation dynamics of the solvated electron in H2O and D2O are
investigated using time-resolved photoelectron spectroscopy in a liquid microjet.
The data show that the initial excited state decays on a time scale of 75 +/- 12
fs in H2O and 102 +/- 8 fs in D2O, followed by slower relaxation on time scales
of 400 +/- 70 fs and 390 +/- 70 fs that are isotopically invariant within the
precision of our measurements. Based on the time evolution of the transient
signals, the faster and slower time constants are assigned to p -> s internal
conversion (IC) of the hydrated electron and relaxation on the ground electronic
state, respectively. This assignment is consistent with the non-adiabatic
mechanism for relaxation of the hydrated electron and yields an isotope effect of
1.4 +/- 0.2 for IC of the hydrated electron.
PMID- 27179492
TI - Solvent organization around the perfluoro group of coumarin 153 governs its
photophysical properties: An experimental and simulation study of coumarin dyes
in ethanol as well as fluorinated ethanol solvents.
AB - The self-aggregation property of the perfluoro group containing molecules makes
it important in the research fields of biology and polymer and organic synthesis.
In the quest of understanding the role of the perfluoro group on the
photophysical properties of perfluoro-containing molecules in biologically
important fluoroethanol solvents, we have applied photophysical as well as
molecular dynamics simulation techniques to explore the properties of perfluoro
groups containing molecule coumarin-153 (C153) in ethanol (ETH),
monofluoroethanol (MFE), difluoroethanol (DFE), and trifluoroethanol (TFE) and
compared them with the molecules without perfluoro moiety, namely coumarin-6H
(C6H) and coumarin-480 (C480). In contrast to C6H and C480, the excited state
lifetime of C153 in fluorinated ETHs is not monotonic. The excited state lifetime
of C153 decreases in MFE and DFE as compared to ETH, whereas in TFE, it increases
as compared to MFE and DFE. Molecular dynamics simulation reveals that the carbon
terminal away from the OH group of fluorinated ETHs has a preferential
orientation near the perfluoro (CF3) group of C153. In MFE and DFE, the CF3 group
of C153 prefers to have a CF2-F?H -(CHF) type of electrostatic interaction over
CF2-F?F -(CH2) kind of dispersion interaction which increases the rate of
nonradiative decay, probably due to the electrostatic nature of the CF2-F?H
(CHF) hydrogen bond. On the other hand, in TFE, C-F? F-C type of dispersion
interaction, also known as fluorous interaction, takes place between the CF3
groups of C153 and TFE which decreases the rate of nonradiative rate as compared
to MFE and DFE, leading to the increased lifetime of C153 in TFE. Photophysical
and MD simulation studies clearly depict that the structural organization of
solvents and their interaction with the fluorocarbon group are crucial factors
for the photophysical behavior of the fluorocarbon containing molecules.
PMID- 27179493
TI - Temperature of maximum density and excess thermodynamics of aqueous mixtures of
methanol.
AB - In this work, we present a study of representative excess thermodynamic
properties of aqueous mixtures of methanol over the complete concentration range,
based on extensive computer simulation calculations. In addition to test various
existing united atom model potentials, we have developed a new force-field which
accurately reproduces the excess thermodynamics of this system. Moreover, we have
paid particular attention to the behavior of the temperature of maximum density
(TMD) in dilute methanol mixtures. The presence of a temperature of maximum
density is one of the essential anomalies exhibited by water. This anomalous
behavior is modified in a non-monotonous fashion by the presence of fully
miscible solutes that partly disrupt the hydrogen bond network of water, such as
methanol (and other short chain alcohols). In order to obtain a better insight
into the phenomenology of the changes in the TMD of water induced by small
amounts of methanol, we have performed a new series of experimental measurements
and computer simulations using various force fields. We observe that none of the
force-fields tested capture the non-monotonous concentration dependence of the
TMD for highly diluted methanol solutions.
PMID- 27179494
TI - Enhancement of the droplet nucleation in a dense supersaturated Lennard-Jones
vapor.
AB - The vapor-liquid nucleation in a dense Lennard-Jones system is studied
analytically and numerically. A solution of the nucleation kinetic equations,
which includes the elementary processes of condensation/evaporation involving the
lightest clusters, is obtained, and the nucleation rate is calculated. Based on
the equation of state for the cluster vapor, the pre-exponential factor is
obtained. The latter diverges as a spinodal is reached, which results in the
nucleation enhancement. The work of critical cluster formation is calculated
using the previously developed two-parameter model (TPM) of small clusters. A
simple expression for the nucleation rate is deduced and it is shown that the
work of cluster formation is reduced for a dense vapor. This results in the
nucleation enhancement as well. To verify the TPM, a simulation is performed that
mimics a steady-state nucleation experiments in the thermal diffusion cloud
chamber. The nucleating vapor with and without a carrier gas is simulated using
two different thermostats for the monomers and clusters. The TPM proves to match
the simulation results of this work and of other studies.
PMID- 27179495
TI - A hybrid-exchange density functional study of the bonding and electronic
structure in bulk CuFeS2.
AB - The geometric, electronic, and magnetic properties of bulk chalcopyrite CuFeS2
have been investigated using hybrid-exchange density functional theory
calculations. The results are compared with available theoretical and
experimental data. The theoretical description of the bonding and electronic
structure in CuFeS2 is analyzed in detail and compared to those computed for
chalcocite (CuS2) and greigite (Fe3S4). In particular, the behavior of the 3d
electrons of Fe(3+) is discussed in terms of the Hubbard-Anderson model in the
strongly correlated regime and found to be similarly described in both materials
by an on-site Coulomb repulsion (U) of ~8.9 eV and a transfer integral (t) of
~0.3 eV.
PMID- 27179496
TI - On the theory of electric double layer with explicit account of a polarizable co
solvent.
AB - We present a continuation of our theoretical research into the influence of co
solvent polarizability on a differential capacitance of the electric double
layer. We formulate a modified Poisson-Boltzmann theory, using the formalism of
density functional approach on the level of local density approximation taking
into account the electrostatic interactions of ions and co-solvent molecules as
well as their excluded volume. We derive the modified Poisson-Boltzmann equation,
considering the three-component symmetric lattice gas model as a reference system
and minimizing the grand thermodynamic potential with respect to the
electrostatic potential. We apply present modified Poisson-Boltzmann equation to
the electric double layer theory, showing that accounting for the excluded volume
of co-solvent molecules and ions slightly changes the main result of our previous
simplified theory. Namely, in the case of small co-solvent polarizability with
its increase under the enough small surface potentials of electrode, the
differential capacitance undergoes the significant growth. Oppositely, when the
surface potential exceeds some threshold value (which is slightly smaller than
the saturation potential), the increase in the co-solvent polarizability results
in a differential capacitance decrease. However, when the co-solvent
polarizability exceeds some threshold value, its increase generates a
considerable enhancement of the differential capacitance in a wide range of
surface potentials. We demonstrate that two qualitatively different behaviors of
the differential capacitance are related to the depletion and adsorption of co
solvent molecules at the charged electrode. We show that an additive of the
strongly polarizable co-solvent to an electrolyte solution can shift
significantly the saturation potential in two qualitatively different manners.
Namely, a small additive of strongly polarizable co-solvent results in a shift of
saturation potential to higher surface potentials. On the contrary, a
sufficiently large additive of co-solvent shifts the saturation potential to
lower surface potentials. We obtain that an increase in the co-solvent
polarizability makes the electrostatic potential profile longer-ranged. However,
increase in the co-solvent concentration in the bulk leads to non-monotonic
behavior of the electrostatic potential profile. An increase in the co-solvent
concentration in the bulk at its sufficiently small values makes the
electrostatic potential profile longer-ranged. Oppositely, when the co-solvent
concentration in the bulk exceeds some threshold value, its further increase
leads to decrease in electrostatic potential at all distances from the electrode.
PMID- 27179497
TI - Graphene oxide and adsorption of chloroform: A density functional study.
AB - Chlorinated hydrocarbon compounds are of environmental concerns, since they are
toxic to humans and other mammals, and are widespread, and exposure is hard to
avoid. Understanding and improving methods to reduce the amount of the substances
are important. We present an atomic-scale calculational study of the adsorption
of chlorine-based substance chloroform (CHCl3) on graphene oxide, as a step in
estimating the capacity of graphene oxide for filtering out such substances,
e.g., from drinking water. The calculations are based on density functional
theory, and the recently developed consistent-exchange functional for the van der
Waals density-functional method is employed. We obtain values of the chloroform
adsorption energy varying from roughly 0.2 to 0.4 eV per molecule. This is
comparable to previously found results for chloroform adsorbed directly on clean
graphene, using similar calculations. In a wet environment, like filters for
drinking water, the graphene will not stay clean and will likely oxidize, and
thus adsorption onto graphene oxide, rather than clean graphene, is a more
relevant process to study.
PMID- 27179498
TI - Active sites of ligand-protected Au25 nanoparticle catalysts for CO2
electroreduction to CO.
AB - Recent experimental studies have reported the electrochemical reduction of carbon
dioxide (CO2) into CO at atomically precise negatively charged Au25 (-)
nanoclusters. The studies showed CO2 conversion at remarkably low overpotentials,
but the exact mechanisms and nature of the active sites remain unclear. We used
first-principles density functional theory and continuum solvation models to
examine the role of the cluster during electrochemical CO2 reduction and analyze
the free energies of proposed intermediate species. Contrary to previous
assumptions, our results show that the fully ligand protected cluster is not an
active CO2 reduction catalyst because formation of the crucial carboxyl
intermediate required very high electrochemical potentials. Instead, our
calculations suggest that the reduction process likely occurs on a dethiolated
gold site, and adsorbed carboxyl intermediate formation was significantly
stabilized at dethiolated gold sites. These findings point to the crucial role of
exposed metal sites during electrochemical CO2 reduction at gold nanocluster
catalysts.
PMID- 27179499
TI - Dissociative adsorption of H2O on LiCoO2 (00l) surfaces: Co reduction induced by
electron transfer from intrinsic defects.
AB - Understanding the mechanism of the interaction of lithium ion conductors with
water is crucial for both fundamental and technological points of view. Despite
the generally accepted fact that water is one of main sources of the degradation
of Li-ion recharge batteries, the physicochemical processes occurring at the
water-lithium ion conductor interface are not fully understood. By using
synchrotron X-ray photoelectron spectroscopy (SXPS) and O K- and Co L- X-ray
absorption near edge structure (XANES), we evidence that H2O is dissociatively
adsorbed on LiCoO2 thin film at room temperature resulting in the formation of OH
groups and the accumulation of the negative charge at the surface accompanied by
electron transfer to the initial empty Co3d (eg (*)) state. By considering the
experimentally obtained energy diagram of the ionic conductor and water, direct
charge transfer is not favorable due to a high difference in the chemical
potential of the ionic conductor and electronic levels of the molecule. Here, we
develop the model for the dissociative water adsorption which explains the
electron transfer to LiCoO2 by using the atomistic approach. The model takes into
account the intrinsic defects found on the surface (<2 nm depth) by using the
depth resolved photoemission experiments and can be explored to other layered
transition metal oxides to interpret the interaction of water with the surface of
ionic conductors.
PMID- 27179500
TI - Evaluation of molecular dynamics simulation methods for ionic liquid electric
double layers.
AB - We investigate how systematically increasing the accuracy of various molecular
dynamics modeling techniques influences the structure and capacitance of ionic
liquid electric double layers (EDLs). The techniques probed concern long-range
electrostatic interactions, electrode charging (constant charge versus constant
potential conditions), and electrolyte polarizability. Our simulations are
performed on a quasi-two-dimensional, or slab-like, model capacitor, which is
composed of a polarizable ionic liquid electrolyte, [EMIM][BF4], interfaced
between two graphite electrodes. To ensure an accurate representation of EDL
differential capacitance, we derive new fluctuation formulas that resolve the
differential capacitance as a function of electrode charge or electrode
potential. The magnitude of differential capacitance shows sensitivity to
different long-range electrostatic summation techniques, while the shape of
differential capacitance is affected by charging technique and the polarizability
of the electrolyte. For long-range summation techniques, errors in magnitude can
be mitigated by employing two-dimensional or corrected three dimensional
electrostatic summations, which led to electric fields that conform to those of a
classical electrostatic parallel plate capacitor. With respect to charging, the
changes in shape are a result of ions in the Stern layer (i.e., ions at the
electrode surface) having a higher electrostatic affinity to constant potential
electrodes than to constant charge electrodes. For electrolyte polarizability,
shape changes originate from induced dipoles that soften the interaction of Stern
layer ions with the electrode. The softening is traced to ion correlations
vertical to the electrode surface that induce dipoles that oppose double layer
formation. In general, our analysis indicates an accuracy dependent differential
capacitance profile that transitions from the characteristic camel shape with
coarser representations to a more diffuse profile with finer representations.
PMID- 27179501
TI - Mechanism and energetics of O and O2 adsorption on polar and non-polar ZnO
surfaces.
AB - Polar surfaces of semiconducting metal oxides can exhibit structures and chemical
reactivities that are distinct from their non-polar surfaces. Using first
principles calculations, we examine O adatom and O2 molecule adsorption on 8
different known ZnO reconstructions including Zn-terminated (Zn-ZnO) and O
terminated (O-ZnO) polar surfaces, and non-polar surfaces. We find that
adsorption tendencies are largely governed by the thermodynamic environment, but
exhibit variations due to the different surface chemistries of various
reconstructions. The Zn-ZnO surface reconstructions which appear under O-rich and
H-poor environments are found to be most amenable to O and O2 adsorption. We
attribute this to the fact that on Zn-ZnO, the O-rich environments that promote O
adsorption also simultaneously favor reconstructions that involve adsorbed O
species. On these Zn-ZnO surfaces, O2 dissociatively adsorbs to form O adatoms.
By contrast, on O-ZnO surfaces, the O-rich conditions required for O or O2
adsorption tend to promote reconstructions involving adsorbed H species, making
further O species adsorption more difficult. These insights about O2 adsorption
on ZnO surfaces suggest possible design rules to understand the adsorption
properties of semiconductor polar surfaces.
PMID- 27179502
TI - Mode-selective chemistry on metal surfaces: The dissociative chemisorption of CH4
on Pt(111).
AB - A quantum approach based on an expansion in vibrationally adiabatic eigenstates
is used to explore CH4 dissociation on Pt(111). Computed sticking probabilities
for molecules in the ground, 1v3 and 2v3, states are in very good agreement with
the available experimental data, reproducing the variation in reactivity with
collision energy and vibrational state. As was found in similar studies on
Ni(100) and Ni(111), exciting the 1v1 symmetric stretch of CH4 is more effective
at promoting the dissociative chemisorption of CH4 than exciting the 1v3
antisymmetric stretch. This behavior is explained in terms of symmetry, mode
softening, and nonadiabatic transitions between vibrationally adiabatic states.
We find that the efficacies of the bending modes for promoting reaction are
reasonably large, and similar to the 1v3 state. The vibrational efficacies for
promoting reaction on Ni(111) are larger than for reaction on Pt(111), due to the
larger nonadiabatic couplings. Our computed sticking probabilities are in good
agreement with results from recent ab initio molecular dynamics and reactive
force field studies.
PMID- 27179504
TI - Polymer gels with associating side chains and their interaction with surfactants.
AB - Conformational behaviour of hydrophobically modified (HM) polymer gels in
solutions of nonionic surfactants is studied theoretically. A HM gel contains
hydrophobic side chains (stickers) grafted to its subchains. Hydrophobic stickers
are capable to aggregate into joint micelles with surfactant molecules. Micelles
containing more than one sticker serve as additional physical cross-links of the
network, and their formation causes gel shrinking. In the proposed theoretical
model, the interior of the gel/surfactant complex is treated as an array of
densely packed spherical polymer brushes consisting of gel subchains tethered to
the surface of the spherical sticker/surfactant micelles. Effect of stickers
length and grafting density, surfactant concentration and hydrophobicity on gel
swelling as well as on hydrophobic association inside it is analyzed. It is shown
that increasing surfactant concentration can result in a gel collapse, which is
caused by surfactant-induced hydrophobic aggregation of stickers, and a
successive gel reswelling. The latter should be attributed to a growing fraction
of surfactants in joint aggregates and, hence, increasing number of micelles
containing only one sticker and not participating in gel physical cross-linking.
In polyelectrolyte (PE) gels hydrophobic aggregation is opposed by osmotic
pressure of mobile counterions, so that at some critical ionization degree
hydrophobic association is completely suppressed. Hydrophobic modification of
polymers is shown to open new ways for controlling gel responsiveness. In
particular, it is discussed that incorporation of photosensitive groups into gel
subchains and/or surfactant tail could give a possibility to vary the gel volume
by light. Since hydrophobic aggregation regularities in gels and solutions are
common, we hope our findings will be useful for design of polymer based self
healing materials as well.
PMID- 27179503
TI - Lipid-assisted protein transport: A diffusion-reaction model supported by kinetic
experiments and molecular dynamics simulations.
AB - The protein transport inside a cell is a complex phenomenon that goes through
several difficult steps. The facilitated transport requires sophisticated
machineries involving protein assemblies. In this work, we developed a diffusion
reaction model to simulate co-transport kinetics of proteins and lipids. We
assume the following: (a) there is always a small lipid concentration of order of
the Critical Micellar Concentration (CMC) in equilibrium with the membrane; (b)
the binding of lipids to proteins modulates the hydrophobicity of the complexes
and, therefore, their ability to interact and merge with the bilayer; and (c)
some lipids leave the bilayer to replenish those bound to proteins. The model
leads to a pair of integral equations for the time-evolution of the adsorbed
proteins in the lipid bilayer. Relationships between transport kinetics, CMC, and
lipid-protein binding constants were found. Under particular conditions, a
perturbation analysis suggests the onset of kinks in the protein adsorption
kinetics. To validate our model, we performed leakage measurements of vesicles
composed by either high or low CMC lipids interacting with Islet Amyloid
PolyPeptide (IAPP) and Abeta (1-40) used as sample proteins. Since the lipid
protein complex stoichiometry is not easily accessible, molecular dynamics
simulations were performed using monomeric IAPP interacting with an increasing
number of phospholipids. Main results are the following: (a) 1:1 lipid-protein
complexes generally show a faster insertion rate proportional to the complex
hydrophobicity and inversely related to lipid CMC; (b) on increasing the number
of bound lipids, the protein insertion rate decreases; and
PMID- 27179505
TI - Thermophoretically induced large-scale deformations around microscopic heat
centers.
AB - Selectively heating a microscopic colloidal particle embedded in a soft elastic
matrix is a situation of high practical relevance. For instance, during
hyperthermic cancer treatment, cell tissue surrounding heated magnetic colloidal
particles is destroyed. Experiments on soft elastic polymeric matrices suggest a
very long-ranged, non-decaying radial component of the thermophoretically induced
displacement fields around the microscopic heat centers. We theoretically confirm
this conjecture using a macroscopic hydrodynamic two-fluid description. Both
thermophoretic and elastic effects are included in this theory. Indeed, we find
that the elasticity of the environment can cause the experimentally observed
large-scale radial displacements in the embedding matrix. Additional experiments
confirm the central role of elasticity. Finally, a linearly decaying radial
component of the displacement field in the experiments is attributed to the
finite size of the experimental sample. Similar results are obtained from our
theoretical analysis under modified boundary conditions.
PMID- 27179506
TI - Multi-layer multi-configuration time-dependent Hartree (ML-MCTDH) approach to the
correlated exciton-vibrational dynamics in the FMO complex.
AB - The coupled quantum dynamics of excitonic and vibrational degrees of freedom is
investigated for high-dimensional models of the Fenna-Matthews-Olson complex.
This includes a seven- and an eight-site model with 518 and 592 harmonic
vibrational modes, respectively. The coupling between local electronic
transitions and vibrations is described within the Huang-Rhys model using
parameters that are obtained by discretization of an experimental spectral
density. Different pathways of excitation energy flow are analyzed in terms of
the reduced one-exciton density matrix, focussing on the role of vibrational and
vibronic excitations. Distinct features due to both competing time scales of
vibrational and exciton motion and vibronically assisted transfer are observed.
The question of the effect of initial state preparation is addressed by comparing
the case of an instantaneous Franck-Condon excitation at a single site with that
of a laser field excitation.
PMID- 27179507
TI - Criteria for folding in structure-based models of proteins.
AB - In structure-based models of proteins, one often assumes that folding is
accomplished when all contacts are established. This assumption may frequently
lead to a conceptual problem that folding takes place in a temperature region of
very low thermodynamic stability, especially when the contact map used is too
sparse. We consider six different structure-based models and show that allowing
for a small, but model-dependent, percentage of the native contacts not being
established boosts the folding temperature substantially while affecting the time
scales of folding only in a minor way. We also compare other properties of the
six models. We show that the choice of the description of the backbone stiffness
has a substantial effect on the values of characteristic temperatures that relate
both to equilibrium and kinetic properties. Models without any backbone stiffness
(like the self-organized polymer) are found to perform similar to those with the
stiffness, including in the studies of stretching.
PMID- 27179508
TI - Erratum: "Thermodynamic perturbation theory for associating fluids confined in a
one-dimensional pore" [J. Chem. Phys. 142, 234906 (2015)].
PMID- 27179509
TI - Surveillance and response systems for elimination of tropical diseases: summary
of a thematic series in Infectious Diseases of Poverty.
AB - The peer-reviewed journal Infectious Diseases of Poverty provides a new platform
to engage with, and disseminate in an open-access format, science outside
traditional disciplinary boundaries. The current piece reviews a thematic series
on surveillance-response systems for elimination of tropical diseases. Overall,
22 contributions covering a broad array of diseases are featured - i.e.
clonorchiasis, dengue, hepatitis, human immunodeficiency virus/acquired immune
deficiency syndrome (HIV/AIDS), H7N9 avian influenza, lymphatic filariasis,
malaria, Middle East respiratory syndrome (MERS), rabies, schistosomiasis and
tuberculosis (TB). There are five scoping reviews, a commentary, a letter to the
editor, an opinion piece and an editorial pertaining to the theme "Elimination of
tropical disease through surveillance and response". The remaining 13 articles
are original contributions mainly covering (i) drug resistance; (ii) innovation
and validation in the field of mathematical modelling; (iii) elimination of
infectious diseases; and (iv) social media reports on disease outbreak
notifications released by national health authorities. Analysis of the authors'
affiliations reveals that scientists from the People's Republic of China (P.R.
China) are prominently represented. Possible explanations include the fact that
the 2012 and 2014 international conferences pertaining to surveillance-response
mechanisms were both hosted by the National Institute of Parasitic Diseases
(NIPD) in Shanghai, coupled with P.R. China's growing importance with regard to
the control of infectious diseases. Within 4 to 22 months of publication, three
of the 22 contributions were viewed more than 10 000 times each. With sustained
efforts focusing on relevant and strategic information towards control and
elimination of infectious diseases, Infectious Diseases of Poverty has become a
leading journal in the field of surveillance and response systems in infectious
diseases and beyond.
PMID- 27179510
TI - Is venous congestion associated with reduced cerebral oxygenation and worse
neurological outcome after cardiac arrest?
AB - BACKGROUND: Post-cardiac arrest (CA) patients are at risk of secondary ischemic
damage in the case of suboptimal brain oxygenation during an ICU stay. We
hypothesized that elevated central venous pressures (CVP) would impair cerebral
perfusion and oxygenation (venous cerebral congestion). The aim of the present
study was to investigate the relationship between CVP, cerebral tissue oxygen
saturation (SctO2) as assessed with near-infrared spectroscopy (NIRS) and outcome
in post-CA patients. METHODS: This was an observational study in 48 post-CA
patients with continuous CVP and SctO2 monitoring during therapeutic hypothermia.
RESULTS: The relationship between CVP and mean SctO2 was best described by an S
shaped, third-degree polynomial regression curve (SctO2 = -0.002 * CVP(3) + 0.08
* CVP(2) - 1.07 * CVP + 69.78 %, R (2) 0.89, n = 1,949,108 data points) with high
CVP (>20 mmHg) being associated with cerebral desaturation. Multivariate linear
regression revealed CVP to be a more important determinant of SctO2 than mean
arterial pressure (MAP) without important interaction between both (SctO2 = 0.01
* MAP - 0.20 * CVP + 0.001 * MAP * CVP + 65.55 %). CVP and cardiac output were
independent determinants of SctO2 with some interaction between both (SctO2 =
1.86 * CO - 0.09 * CVP - 0.05 * CO * CVP + 60.04 %). Logistic regression revealed
that a higher percentage of time with CVP above 5 mmHg was associated with lower
chance of survival with a good neurological outcome (cerebral performance
category (CPC) 1-2) at 180 days (OR 0.96, 95 % CI 0.92-1.00, p = 0.04). In a
multivariate model, the negative association between CVP and outcome persisted
after correction for hemodynamic variables, including ejection fraction and MAP.
CONCLUSIONS: Elevated CVP results in lower brain saturation and is associated
with worse outcome in post-CA patients. This pilot study provides support that
venous cerebral congestion as indicated by high CVP may be detrimental for post
CA patients.
PMID- 27179511
TI - Combinatory effect of BRCA1 and HERC2 expression on outcome in advanced non-small
cell lung cancer.
AB - BACKGROUND: BRCA1 is a main component of homologous recombination and induces
resistance to platinum in preclinical models. It has been studied as a potential
predictive marker in lung cancer. Several proteins modulate the function of
BRCA1. The E3 ubiquitin ligase HERC2 facilitates the assembly of the RNF8-UBC13
complex to recruit BRCA1 to DNA damage sites. The combined analysis of multiple
components of the pathway leading to the recruitment of BRCA1 at DNA damage sites
has the potentiality to improve the BRCA1 predictive model. METHODS: We
retrospectively analyzed 71 paraffin-embedded tumor samples from advanced non
small-cell lung cancer patients treated with first-line platinum based
chemotherapy and measured the mRNA expression levels of BRCA1, RNF8, UBC13 and
HERC2 using real-time PCR. The mRNA expression was categorized using median value
as cut-off point. RESULTS: The median progression-free survival of all 71
patients was 7.2 months whereas the median overall survival of the study
population was 10.7 months. Among patients with low BRCA1 expression, the median
PFS was 7.4 months in the presence of low HERC2 levels and 5.9 months for
patients expressing high HERC2 levels (p = 0.01). The median OS was 15.3 months
for patients expressing low levels of both genes and 7.4 months for those with
low BRCA1 but high HERC2 (p = 0.008). The multivariate analysis showed that among
patients with Eastern Cooperative Oncology Group performance status 0-1, the
combined low expression of both BRCA1 and HERC2 clearly reduced the risk of
progression (p = 0.03) and of death (p = 0.004). CONCLUSIONS: These findings
confirm the potentiality of integrated DNA repair components analysis in
predicting the sensitivity to platinum in lung cancer. The study indicates a
predictive role for HERC2 mRNA expression and paves the way for further
refinement of the BRCA1 predictive model.
PMID- 27179514
TI - Review of Handbook of Secure Care : Edited by Geoffrey Dickens, Philip Sugarman,
Marco Picchioni.
PMID- 27179512
TI - Undifferentiated sarcoma originating from the mitral valve: a case report.
AB - BACKGROUND: Primary cardiac sarcomas are quite uncommon. Among them, sarcomas
originating from the mitral valve are exceedingly rare. They are often
misdiagnosed due to non-specific symptoms. The prognosis of cardiac sarcomas
remains poor, and finding a more effective therapy poses a big challenge for the
doctors. Currently, complete surgical resection of the tumor is still the most
popular treatment in cases that without metastases. CASE PRESENTATION: A 38-year
old female was transported to our department with persistent cough and chest
pain. The operation of mitral valve replacement was scheduled after a provisional
diagnosis of serious mitral stenosis. During the operation, we found that a large
polypoid mass infiltrating mainly the mitral valve and part of the left atria. We
removed the tumor as completely as possible and replaced the mitral valve.
Histology confirmed the diagnosis of undifferentiated sarcoma after operation.
The patient was asymptomatic with no recurrence at 3-month follow-up. CONCLUSION:
Primary cardiac sarcomas is a rare and the prognosis is poor. The sarcomas is
often found by chance during the operation. Surgical operation need to be carried
out directly.
PMID- 27179513
TI - Avoiding the distant elephant: a model to approach the research component of
specialization.
PMID- 27179515
TI - Enhanced Production of Recombinant Thermobifida fusca Isoamylase in Escherichia
coli MDS42.
AB - Isoamylase, an industrially significant enzyme used primarily in the
saccharification of starch, is commonly obtained through recombinant expression
in Escherichia coli. To improve the yield of this important enzyme, the
isoamylase from Thermobifida fusca was expressed in the reduced-genome E. coli
strain MDS42. Expression conditions were initially optimized in shake flasks. The
optimal induction temperature was 37 degrees C and IPTG was superior to lactose
as an inducer due to the low intracellular beta-galactosidase activity in E. coli
MDS42 expression system. Then, expression conditions were optimized in a 3.6-L
fermenter. In the fermenter, optimal isoamylase expression was obtained when
cells were grown at 37 degrees C and expression was induced at mid-log phase
using 0.25 mM IPTG. The greatest isoamylase activity (22,983.0 U/mL of culture)
and production (18.8 mg/mL) were obtained 24 h after induction of expression.
These values are the highest ever reported, suggesting that E. coli MDS42 is a
suitable host for the production of enzymes for industrial use.
PMID- 27179516
TI - Optimization of High Solids Dilute Acid Hydrolysis of Spent Coffee Ground at Mild
Temperature for Enzymatic Saccharification and Microbial Oil Fermentation.
AB - Soluble coffee, being one of the world's most popular consuming drinks, produces
a considerable amount of spent coffee ground (SCG) along with its production. The
SCG could function as a potential lignocellulosic feedstock for production of
bioproducts. The objective of this study is to investigate the possible optimal
condition of dilute acid hydrolysis (DAH) at high solids and mild temperature
condition to release the reducing sugars from SCG. The optimal condition was
found to be 5.3 % (w/w) sulfuric acid concentration and 118 min reaction time.
Under the optimal condition, the mean yield of reducing sugars from enzymatic
saccharification of defatted SCG acid hydrolysate was 563 mg/g. The SCG
hydrolysate was then successfully applied to culture Lipomyces starkeyi for
microbial oil fermentation without showing any inhibition. The results suggested
that dilute acid hydrolysis followed by enzymatic saccharification has the great
potential to convert SCG carbohydrates to reducing sugars. This study is useful
for the further developing of biorefinery using SCG as feedstock at a large
scale.
PMID- 27179517
TI - Antimicrobial Potential of Callistemon lanceolatus Seed Extract and its
Statistical Optimization.
AB - Plants have always been eminent source of medicinal products. Screening of the
aqueous seeds extract of Callistemon lanceolatus (bottle brush) revealed its
broad spectrum antimicrobial potential with an inhibition zone ranging from 13 to
28 mm against various pathogenic microorganisms. While optimizing the different
parameters the antimicrobial activity was better expressed at 15 % concentration,
prepared by extracting the material at 60 degrees C for 20 min. The extract was
filtered through muslin cloth and gave best results at its natural pH.
Statistical optimization by Response surface methodology enhanced the
antimicrobial activity up to 1.6-fold. Minimum inhibitory concentration values of
the aqueous extract of seeds of C. lanceolatus against different organisms ranged
from 1-5 mg/ml. The viable cell count studies indicated a bactericidal effect
against most of the pathogens. The aqueous extract was found to be relatively
thermostable at 100 degrees C. When treated for shelf life at ambient conditions
and refrigeration temperature (2-8 degrees C), the latter only showed a 28 %
loss in antimicrobial activity. The aqueous extract was found to be biosafe when
evaluated by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyl tetrazolium bromide
reagent (MTT toxicity) assay and Ames mutagenicity assay.
PMID- 27179518
TI - Purification and Immobilization of a Novel Enantioselective Lipase from
Tsukamurella tyrosinosolvents for Efficient Resolution of Ethyl 2-(2
oxopyrrolidin-1-yl) Butyrate.
AB - A highly enantioselective lipase from Tsukamurella tyrosinosolvents E105 was
purified via ultrasonic extraction, precipitation, and chromatographic steps. The
enzyme was purified about 38-fold with the recovery yield of 9 % and was
confirmed as a dimer protein consisting of two identical subunits with a
molecular mass of 24 kDa. The purified lipase was used to catalyze resolution of
racemic ethyl 2-(2-oxopyrrolidin-1-yl) butyrate to (S)-2-(2-oxopyrrolidin-1-yl)
butyric acid. The maximum activity of such lipase was obtained at pH 7.5, 35
degrees C, and the highest relative activity (156.80 %) was observed in the
presence of 0.5 mM Co2+. Subsequently, the lipase was encapsulated within a
mixture of 3 % sodium alginate and 0.8 % carrageenan, and then cross-linked with
0.6 % glutaraldehyde to enhance its biocatalytic capability and stability.
Comparing with 36.9 % product yield and 97.5 % product ee of free lipase, the
highest product yield of 46.3 % and ee of 98.5 % for immobilized lipase were
achieved with the presence of 20 mM substrate. In addition, the reusability of
immobilized lipase was also investigated, which could maintain 63.7 % of its
initial conversion yield after seven repeated batch reactions. Thus, the
evaluated enantioselective lipase in this work has a good potential for further
industrial application.
PMID- 27179519
TI - Formal Nutritional Education Improves Weight Loss in Bariatric Patients Following
Laparoscopic Sleeve Gastrectomy.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (LSG) is an increasingly performed
procedure in the bariatric surgery armamentarium. This study evaluates our
experience with LSG at Dwight D. Eisenhower Army Medical Center (DDEAMC) during
the time period of 2008-2010. We found that the inclusion of formal nutritional
education significantly increased our weight loss results following LSG. METHODS:
This is a retrospective review from our LSG caseload from 2008 to 2010. During
that time, we performed 159 LSG. In our review, we performed comparative data of
all operative years during 2008-2010 for up to 3 years postoperatively. Our main
focus was in regard to weight loss as measured by percentage of excess body
weight loss (%EWL), percentage of total weight loss (%TWL), and percentage of
excess BMI loss (%EBL). We also evaluated the effect of LSG on diabetes mellitus
(DM) and hypertension (HTN) postoperatively. RESULTS: We found an improvement in
our %EWL, %TWL, and %EBL rates in our LSG cases following the inclusion of formal
nutritional education. There was a mean increase in %EWL of 15 %, %TWL of 7 %,
and %EBL of 21 %, which were statistically significant, that was present at all
postoperative years of follow-up. We determined the only variable that changed in
our bariatric program starting in 2010 was the inclusion of formal nutritional
education. We also found a statistically significant improvement in resolution of
HTN with the inclusion of formal nutritional education. CONCLUSIONS: The addition
of formal nutritional education can enhance weight loss following bariatric
surgery. We have shown a significant improvement in weight loss results following
LSG with the implementation of formal nutritional education; this is the only
study to our knowledge evaluating formal nutritional education and LSG.
PMID- 27179520
TI - Quality of Life and Bariatric Surgery: Cross-Sectional Study and Analysis of
Factors Influencing Outcome.
AB - PURPOSE: The aims of our study were to compare quality of life (QOL) in obese
patients after bariatric surgery with that in controls seeking surgery and to
investigate which factors are associated with QOL in the Moorehead-Ardelt Quality
of Life Questionnaire II (MA II). MATERIALS AND METHODS: This was a cross
sectional study. The operated group consisted of patients after laparoscopic
sleeve gastrectomy or laparoscopic Roux-en-Y gastric bypass. The MA II was
administered by e-mail to 305 patients 12-18 months after surgery. The control
groups consisted of 101 obese patients. We compared the QOL scores and considered
good and very good outcomes to be satisfactory. Multiple logistic regression and
correlation analysis was performed to identify factors associated with QOL.
RESULTS: In the operated group, the total MA II score was 1.70 +/- 0.76, which
was higher than 0.59 +/- 1.17 in the control group. The score adjusted for the
type of surgery was comparable. The prevalence of satisfactory QOL outcomes was
similar in both post-operative subgroups and was still higher than in the control
group. We identified four factors associated with higher QOL in obese patients.
Weight loss was not correlated with total score in MAII. CONCLUSIONS: This study
demonstrates that patients after bariatric surgery have a higher score in MA II,
which reflects better QOL. The scoring adjusted by type of operation is
comparable. QOL among obese patients is dependent on age, gender, history of
bariatric surgery, and partnered status. Body mass reduction was not associated
with outcome in MAII.
PMID- 27179522
TI - Genome-wide analysis of calcium-dependent protein kinases and their expression
patterns in response to herbivore and wounding stresses in soybean.
AB - Calcium-dependent protein kinases (CDPKs) play important roles in various aspects
of plant physiology and involve in many cellular processes. However, genome-wide
analysis of CDPK family in plant species is limited and few studies have been
reported in soybean. In this study, a total of 39 genes encoding CDPKs were
identified from the whole-genome sequence of soybean (Glycine max), which were
denominated as GmCPK1-GmCPK39. These 39 CDPK genes could be classified into four
subfamilies, and most genes showed tissue-specific expression patterns. Eight
soybean CDPKs clustered together with the previously reported CDPKs related to
pathogen, wounding, or herbivore stress were further analyzed. Differential gene
expression analysis of these eight CDPK genes in response to herbivore and
wounding stresses helps us identify GmCPK3 and GmCPK31 as the candidate genes for
herbivore resistance in soybean, whose relative transcript abundance rapidly
increased after wound and herbivore attacks. Sub-cellular localization revealed
that GmCPK3 and GmCPK31 were localized in plasma membranes, which is consistent
with previously reported plant defense related CDPKs. These results may suggest
that GmCPK3 and GmCPK31 play important roles in the plant response to biotic
stress. Simultaneously, our study will provide an important foundation for
further functional characterization of the soybean CDPK gene family.
PMID- 27179521
TI - The Youth Anxiety Measure for DSM-5 (YAM-5): Development and First Psychometric
Evidence of a New Scale for Assessing Anxiety Disorders Symptoms of Children and
Adolescents.
AB - The Youth Anxiety Measure for DSM-5 (YAM-5) is a new self- and parent-report
questionnaire to assess anxiety disorder symptoms in children and adolescents in
terms of the contemporary classification system. International panels of
childhood anxiety researchers and clinicians were used to construct a scale
consisting of two parts: part one consists of 28 items and measures the major
anxiety disorders including separation anxiety disorder, selective mutism, social
anxiety disorder, panic disorder, and generalized anxiety disorder, whereas part
two contains 22 items that focus on specific phobias and (given its overlap with
situational phobias) agoraphobia. In general, the face validity of the new scale
was good; most of its items were successfully linked to the intended anxiety
disorders. Notable exceptions were the selective mutism items, which were
frequently considered as symptoms of social anxiety disorder, and some specific
phobia items especially of the natural environment, situational and other type,
that were regularly assigned to an incorrect category. A preliminary
investigation of the YAM-5 in non-clinical (N = 132) and clinically referred (N =
64) children and adolescents indicated that the measure was easy to complete by
youngsters. In addition, support was found for the psychometric qualities of the
measure: that is, the internal consistency was good for both parts, as well as
for most of the subscales, the parent-child agreement appeared satisfactory, and
there was also evidence for the validity of the scale. The YAM-5 holds promise as
a tool for assessing anxiety disorder symptoms in children and adolescents.
PMID- 27179523
TI - Functional Responses of Bacterioplankton Diversity and Metabolism to Experimental
Bottom-Up and Top-Down Forcings.
AB - We conducted an experimental approach using microcosms to simultaneously examine
the functional response of natural freshwater bacterial assemblages to the impact
of resources (nutrients) and top-down factors (viruses and grazers) on bacterial
physiological state and their community structure. Addition of organic and
inorganic nutrients led to the proliferation of high nucleic acid content
bacterial cells accompanied by high bacterial growth efficiency (considered as
proxy of bacterial carbon metabolism) estimates, suggesting that this subgroup
represented the most active fraction of bacterial community and had a high
capacity to incorporate carbon into its biomass. However, their rapid growth
induced the pressure of viral lytic infection which led to their lysis toward the
end of the experiment. In microcosms with flagellates plus viruses, and with
viruses alone, the selective removal of metabolically active high nucleic acid
cells through viral lysis benefitted the less active low nucleic acid content
cells, perhaps via the use of lysis products for its growth and survival. Changes
in bacterial physiological state in microcosms were reflected in their community
structure which was examined using 16S ribosomal RNA (rRNA) gene sequencing by
Illumina's Miseq platform. Chao estimator and Shannon diversity index values
suggested that bacterial species richness was highest in the presence of both the
top-down factors, indicating a tighter control of bacterioplankton dominants
within a relatively stable bacterial community. The increase in bacterial
metabolism with nutrient addition followed by subsequent lysis of bacterial
dominants indicate that both resources and top-down factors work in concert for
the sustenance of stable bacterial communities.
PMID- 27179524
TI - Effect of Maternal Electroacupuncture on Perinatal Nicotine Exposure-Induced Lung
Phenotype in Offspring.
AB - INTRODUCTION: Pregnant women exposed to tobacco smoke predispose the offspring to
many adverse consequences including an altered lung development and function.
There is no effective therapeutic intervention to block the effects of smoke
exposure on the developing lung. Clinical and animal studies demonstrate that
acupuncture can modulate a variety of pathophysiological processes, including
those involving the respiratory system; however, whether acupuncture affects the
lung damage caused by perinatal smoke exposure is not known. METHODS: To
determine the effect of acupuncture on perinatal nicotine exposure on the
developing lung, pregnant rat dams were administered (1) saline, (2) nicotine, or
(3) nicotine + electroacupuncture (EA). Nicotine was administered (1 mg/kg
subcutaneously) once a day and EA was applied to both "Zusanli" (ST 36) points.
Both interventions were administered from gestational day 6 to postnatal day 21
(PND21), following which pups were sacrificed. Lungs, blood, and brain were
collected to examine markers of lung injury, repair, and hypothalamic pituitary
adrenal (HPA) axis. RESULTS: Concomitant EA application blocked nicotine-induced
changes in lung morphology, lung peroxisome proliferator-activated receptor gamma
and wingless-int signaling, two key lung developmental signaling pathways,
hypothalamic pituitary adrenal axis (hypothalamic corticotropic releasing hormone
and lung glucocorticoid receptor levels), and plasma beta-endorphin levels.
CONCLUSIONS: Electroacupuncture blocks the nicotine-induced changes in lung
developmental signaling pathways and the resultant myogenic lung phenotype, known
to be present in the affected offspring. We conclude that EA is a promising novel
intervention against the smoke exposed lung damage to the developing lung.
PMID- 27179525
TI - Identification and characterization of large DNA deletions affecting oil quality
traits in soybean seeds through transcriptome sequencing analysis.
AB - KEY MESSAGE: Identification and characterization of a 254-kb genomic deletion on
a duplicated chromosome segment that resulted in a low level of palmitic acid in
soybean seeds using transcriptome sequencing. A large number of soybean genotypes
varying in seed oil composition and content have been identified. Understanding
the molecular mechanisms underlying these variations is important for breeders to
effectively utilize them as a genetic resource. Through design and application of
a bioinformatics approach, we identified nine co-regulated gene clusters by
comparing seed transcriptomes of nine soybean genotypes varying in oil
composition and content. We demonstrated that four gene clusters in the genotypes
M23, Jack and N0304-303-3 coincided with large-scale genome rearrangements. The
co-regulated gene clusters in M23 and Jack mapped to a previously described 164
kb deletion and a copy number amplification of the Rhg1 locus, respectively. The
coordinately down-regulated gene clusters in N0304-303-3 were caused by a 254-kb
deletion containing 19 genes including a fatty acyl-ACP thioesterase B gene
(FATB1a). This deletion was associated with reduced palmitic acid content in
seeds and was the molecular cause of a previously reported nonfunctional FATB1a
allele, fap nc . The M23 and N0304-304-3 deletions were located in duplicated
genome segments retained from the Glycine-specific whole genome duplication that
occurred 13 million years ago. The homoeologous genes in these duplicated regions
shared a strong similarity in both their encoded protein sequences and transcript
accumulation levels, suggesting that they may have conserved and important
functions in seeds. The functional conservation of homoeologous genes may result
in genetic redundancy and gene dosage effects for their associated seed traits,
explaining why the large deletion did not cause lethal effects or completely
eliminate palmitic acid in N0304-303-3.
PMID- 27179526
TI - A Social Reinforcement Learning Hypothesis of Mutual Reward Preferences in Rats.
AB - Although the use of neuroimaging techniques has revealed much about the neural
correlates of social decision making (SDM) in humans, it remains poorly
understood how social stimuli are represented, and how social decisions are
implemented at the neural level in humans and in other species. To address this
issue, the establishment of novel animal paradigms allowing a broad spectrum of
neurobiological causal manipulations and neurophysiological recordings provides
an exciting tool to investigate the neural implementation of social valuation in
the brain. Here, we discuss the potential of a rodent model, Rattus norvegicus,
for the understanding of SDM and its neural underpinnings. Particularly, we
consider recent data collected in a rodent prosocial choice task within a social
reinforcement framework and discuss factors that could drive SDM in rodents.
PMID- 27179527
TI - Development of a Japanese version of the BREAST-Q and the traditional
psychometric test of the mastectomy module for the assessment of HRQOL and
patient satisfaction following breast surgery.
AB - BACKGROUND: An understanding of health-related quality of life (HRQOL) is of
utmost importance in both oncological and esthetic breast surgery. The BREAST-Q
is a patient-reported outcome (PRO) measure that investigates HRQOL and patient
satisfaction before and after breast surgery. The aim of this study was to
develop a Japanese version of the BREAST-Q including the mastectomy module, the
reconstruction module, the augmentation module and the reduction/mastopexy
module, and to assess the psychometric properties of the mastectomy module among
Japanese women. METHODS: The Japanese version of the BREAST-Q was developed
through forward translation, backward translation and patient testing.
Traditional psychometric testing of the mastectomy module was administered to 45
post-mastectomy patients. RESULTS: The mastectomy, reconstruction, augmentation
and reduction/mastopexy modules were formally developed into Japanese. Despite
cultural difference between Japanese women and original target population, the
contents were considered to be valid among Japanese woman. With the exception of
the sexual well-being subscale, good reliability and validity were evident for
the mastectomy module (Test-retest reliability 0.76-0.95, Chronbach's alpha
coefficient 0.77-0.98). CONCLUSIONS: The BREAST-Q Japanese version is a useful
PRO measure for investigating the impact of breast surgery on HRQOL and patient
satisfaction. Further validation in younger Japanese women is needed to determine
the usefulness of the sexual well-being subscale.
PMID- 27179528
TI - Sequestration of Dimethylsulfoniopropionate (DMSP) and Acrylate from the Green
Alga Ulva Spp. by the Sea Hare Aplysia juliana.
AB - Many animals sequester secondary metabolites from their food. In this study, we
hypothesized that the sea hare Aplysia juliana sequesters secondary metabolites
from green algae. To test this, we performed NMR-based metabolomic analysis on
methanol extracts of Ulva spp. and A. juliana. Another sea hare, Bursatella
leachii, which mainly feeds on another type of alga, was added to this analysis
as an outgroup. Two body parts of the sea hares, skin and digestive glands, were
used in the analysis. Principal component analysis (PCA) on the NMR data of these
samples detected biomarkers common to Ulva spp. and A. juliana. This result
indicates sequestration of secondary metabolites by the herbivore from the
plants. The biomarker metabolites were identified as dimethylsulfoniopropionate
(DMSP) and acrylate, which were concentrated in skin of A. juliana and were
released from the skin of live animals when physically stressed. Thus, our NMR
based metabolomic study revealed sequestration of algae-derived secondary
metabolites in skin of A. Juliana, and in the discharge of the metabolites under
conditions that mimic attack by predators.
PMID- 27179529
TI - Rhodococcus opacus B4: a promising bacterium for production of biofuels and
biobased chemicals.
AB - Bacterial lipids have relevant applications in the production of renewable fuels
and biobased oleochemicals. The genus Rhodococcus is one of the most relevant
lipid producers due to its capability to accumulate those compounds, mainly
triacylglycerols (TAG), when cultivated on different defined substrates, namely
sugars, organic acids and hydrocarbons but also on complex carbon sources present
in industrial wastes. In this work, the production of storage lipids by
Rhodococcus opacus B4 using glucose, acetate and hexadecane is reported for the
first time and its productivity compared with Rhodococcus opacus PD630, the best
TAG producer bacterium reported. Both strains accumulated mainly TAG from all
carbon sources, being influenced by the carbon source itself and by the duration
of the accumulation period. R. opacus B4 produced 0.09 and 0.14 g L(-1) at 24 and
72 h, with hexadecane as carbon source, which was 2 and 3.3 fold higher than the
volumetric production obtained by R. opacus PD630. Both strains presented similar
fatty acids (FA) profiles in intact cells while in TAG produced fraction, R.
opacus B4 revealed a higher variability in fatty acid composition than R. opacus
PD630, when both strains were cultivated on hexadecane. The obtained results open
new perspectives for the use of R. opacus B4 to produce TAG, in particular using
oily (alkane-contaminated) waste and wastewater as cheap raw-materials. Combining
TAG production with hydrocarbons degradation is a promising strategy to achieve
environmental remediation while producing added value compounds.
PMID- 27179530
TI - Management of pediatric intracranial low-grade gliomas: long-term follow-up after
radiation therapy.
AB - INTRODUCTION: The treatment of pediatric intracranial low-grade gliomas (LGG)
generally begins with maximal safe resection. Radiation therapy (RT) and
chemotherapy are typically reserved for patients with incomplete resection and/or
disease progression. We report long-term treatment outcomes and toxicities in a
cohort of pediatric patients with LGG after RT. METHODS: Thirty-four patients <21
years old with intracranial LGG who were treated with RT at the Johns Hopkins
Hospital were included in this retrospective analysis. Patients were evaluated
for overall survival (OS), progression-free survival (PFS), recurrence patterns,
and treatment toxicities using descriptive statistics, Kaplan-Meier curves, and
Cox proportional hazard regressions. RESULTS: The mean age at diagnosis was 7.9
years (range 1.2-18.3 years) and mean age at RT was 9.8 years (range 3.0-28.9
years). The median follow-up time was 9.8 years after radiation (range 0.8-33.3
years). The estimated 10-year OS and PFS after RT were 92 and 74 %, respectively.
Twelve patients had disease progression after RT, and all recurrences were local.
Two patients died due to disease progression 2.3 and 9.1 years after RT. One
patient had malignant transformation of LGG to high-grade glioma. No significant
predictors of PFS were identified on uni- or multivariate analysis. Late effects
of LGG and treatment seen were endocrine deficiencies in 16 patients, visual
problems in 10 patients, hearing loss in 4 patients, special education
requirements for 5 patients, and a vascular injury/demyelination secondary to RT
in 1 patient. CONCLUSION: Our study suggests that the use of radiation in
patients with intracranial LGG results in excellent OS and PFS with acceptable
toxicity at long-term follow-up.
PMID- 27179531
TI - Management of infectious intracranial aneurysms in the pediatric population.
AB - INTRODUCTION: Infectious intracranial aneurysms (IIAs) account for approximately
15 % of all pediatric intracranial aneurysms. Histologically, they are
pseudoaneurysms that develop in response to an inflammatory reaction within the
adventitia and muscularis layers, ultimately resulting in disruption of both the
internal elastic membrane and the intima. The majority of pediatric IIAs are
located within the anterior circulation, and they can be multiple in 15-25 % of
cases. BACKGROUND: The most common presentation for an IIA is intracerebral
and/or subarachnoid hemorrhage. In children with a known diagnosis of infective
endocarditis who develop new neurological manifestations, it is imperative to
exclude the existence of an IIA. The natural history of untreated infectious
aneurysms is ominous; they demonstrate a high incidence of spontaneous rupture.
High clinical suspicion, prompt diagnosis, and adequate treatment are of
paramount importance to prevent devastating neurological consequences.
DISCUSSION: The prompt initiation of intravenous broad-spectrum antibiotics
represents the mainstay of treatment. Three questions should guide the management
of pediatric patients with IIAs: (a) aneurysm rupture status, (b) the presence of
intraparenchymal hemorrhage or elevated intracranial pressure, and (c)
relationship of the parent vessel to eloquent brain tissue. Those three questions
should orient the treating physician into either antibiotic therapy alone or in
combination with microsurgical or endovascular interventions. This review
discusses important aspects of the epidemiology, the diagnosis, and the
management of IIAs in the pediatric population.
PMID- 27179532
TI - Epilepsy surgery in pediatric epileptic encephalopathy: when interictal EEG
counts the most.
AB - PURPOSE: Traditionally, seizure onset localization in ictal electro
encephalography (EEG) is the main factor guiding resective epilepsy surgery. The
situation is often different in infantile epileptic encephalopathy. We
demonstrate the importance of the underrated interictal (rather than ictal)
surface EEG in informing decision-making in epilepsy surgery for children with
epileptic encephalopathy caused by subtle focal cortical dysplasia (FCD).
METHODS: We present a small case series of three children who had an epileptic
encephalopathy with either epileptic spasms or tonic seizures. All three were
thought initially to have normal neuroimaging. RESULTS: Ictal EEG localizing
features were seen in none and lateralizing features were seen only clinically in
one of the three. However, the interictal EEG showed persistent and consistent
focal irregular slowing in all, particularly after medically resolving the
diffuse encephalopathy. Subtle FCDs were uncovered in all. Surgery was performed
in all with excellent outcome. CONCLUSION: In infantile epileptic encephalopathy
caused by subtle FCD, the often underrated interictal surface EEG (particularly
persistent foal irregular slowing) informs the most; not only to the target area
for surgical resection but also to its extent. This may negate the need for
unnecessary and sometimes non-informative invasive monitoring in these cases. A
matter of "zooming out" to define the extent of a resectable abnormality rather
than "zooming in" to define a seemingly localized epileptic focus that may change
with time.
PMID- 27179533
TI - Factors associated with the timeliness of postnatal surgical repair of spina
bifida.
AB - PURPOSE: Clinical guidelines recommend repair of open spina bifida (SB)
prenatally or within the first days of an infant's life. We examined maternal,
infant, and health care system factors associated with time-to-repair among
infants with postnatal repair. METHODS: This retrospective, statewide, population
based study examined infants with SB born in Florida 1998-2007, ascertained by
the Florida Birth Defects Registry. We used procedure codes from hospital
discharge records to identify the first recorded myelomeningocele repair (ICD-9
CM procedure code 03.52) among infants with birth hospitalizations. Using Poisson
multivariable regression, we examined time-to-repair by hydrocephalus, SB type
(isolated [no other coded major birth defect] versus non-isolated), and other
selected factors. RESULTS: Of 199 infants with a recorded birth hospitalization
and coded myelomeningocele repair, 87.9 % had hydrocephalus and 19.6 % had non
isolated SB. About 76.4 % of infants had repair by day 2 of life. In adjusted
analyses, infants with hydrocephalus were more likely to have timely repair
(adjusted prevalence ratio (aPR) = 1.48, 95 % confidence interval (CI) 1.02-2.14)
than infants without hydrocephalus. SB type was not associated with repair
timing. Infants born in lower level nursery care hospitals with were less likely
to have timely repairs (aPR = 0.71, 95 % CI 0.52-0.98) than those born in higher
level nursery care hospitals. CONCLUSIONS: Most infants with SB had surgical
repair in the first 2 days of life. Lower level birth hospital nursery care was
associated with later repairs. Prenatal diagnosis can facilitate planning for a
birth hospital with higher level of nursery care, thus improving opportunities
for timely repair.
PMID- 27179535
TI - Painful pediatric traumatic neuroma: surgical management and clinical outcomes.
AB - PURPOSE: Painful neuromas can limit function and decrease quality of life.
Although management of traumatic neuromas in adults is well represented in the
literature, traumatic neuromas are seen less frequently in children and
adolescents, and their management is underrepresented in the literature. We
present a sample of our clinical experience with painful pediatric neuromas and
describe the surgical management and clinical outcomes of these cases. METHODS: A
retrospective case review was conducted on patients treated at our pediatric
tertiary care center. The same surgeon was responsible for management and follow
up of all patients. RESULTS: The sample of five patients was 60 % female and had
a mean age of 12.2 (3-16) years. Each case was managed using a different surgical
technique. All the patients had acceptable outcomes with a mean post-operative
pain score of 0.4 (0-2) out of 10 and no residual functional outcomes.
CONCLUSIONS: It is important for clinicians to recognize that pediatric patients
develop painful neuromas following nerve trauma and to understand the
neurophysiologic basis for their management. Our report demonstrates that many of
the techniques that we use for neuroma repair in adults are applicable in the
pediatric population.
PMID- 27179534
TI - Glial heterotopia of the orbit: a rare cause of proptosis.
AB - BACKGROUND: Glial heterotopia is defined as presence of normal glial tissue in an
unusual location without connection with the brain. It is a very rare clinical
entity occuring mostly in the head and neck region which is generally present at
birth. Orbital location is very rare. CASE REPORT: We report a case of a 4-month
old girl presenting congenital proptosis with progressive increase. CT scan
revealed an intraorbital mass without bony defect. The patient was operated, and
resection was subtotal. Histologically, the tumor was composed of glial tissue
with plexus choroid and pathologist concluded glial heterotopia. The child is
under constant medical supervision because recurrences can be observed after
incomplete resection; she had no new clinical signs at 18 months follow-up.
PMID- 27179536
TI - The current role of diagnostic imaging in the preoperative workup for refractory
neonatal brachial plexus palsy.
AB - INTRODUCTION: Despite recent improvements in perinatal care, the incidence of
neonatal brachial plexus palsy (NBPP) remains relatively common. CT myelography
is currently considered to be the optimal imaging modality for evaluating nerve
root integrity. Recent improvements in MRI techniques have made it an attractive
alternative to evaluate nerve root avulsions (preganglionic injuries). AIM: We
demonstrate the utility of MRI for the evaluation of normal and avulsed spinal
nerve roots. We also show the utility of ultrasound in providing useful
preoperative evaluation of the postganglionic brachial plexus in patients with
NBPP.
PMID- 27179537
TI - Rhizophagus intraradices or its associated bacteria affect gene expression of key
enzymes involved in the rosmarinic acid biosynthetic pathway of basil.
AB - In recent years, arbuscular mycorrhizal fungi (AMF) have been reported to enhance
plant biosynthesis of secondary metabolites with health-promoting activities,
such as polyphenols, carotenoids, vitamins, anthocyanins, flavonoids and
lycopene. In addition, plant growth-promoting (PGP) bacteria were shown to
modulate the concentration of nutraceutical compounds in different plant species.
This study investigated for the first time whether genes encoding key enzymes of
the biochemical pathways leading to the production of rosmarinic acid (RA), a
bioactive compound showing antioxidant, antibacterial, antiviral and anti
inflammatory properties, were differentially expressed in Ocimum basilicum (sweet
basil) inoculated with AMF or selected PGP bacteria, by using quantitative real
time reverse transcription PCR. O. basilicum plants were inoculated with either
the AMF species Rhizophagus intraradices or a combination of two PGP bacteria
isolated from its sporosphere, Sinorhizobium meliloti TSA41 and Streptomyces sp.
W43N. Present data show that the selected PGP bacteria were able to trigger the
overexpression of tyrosine amino-transferase (TAT), hydroxyphenylpyruvate
reductase (HPPR) and p-coumaroyl shikimate 3'-hydroxylase isoform 1 (CS3'H iso1)
genes, 5.7-fold, 2-fold and 2.4-fold, respectively, in O. basilicum leaves. By
contrast, inoculation with R. intraradices triggered TAT upregulation and HPPR
and CS3'H iso1 downregulation. Our data suggest that inoculation with the two
selected strains of PGP bacteria utilised here could represent a suitable
biotechnological tool to be implemented for the production of O. basilicum plants
with increased levels of key enzymes for the biosynthesis of RA, a compound
showing important functional properties as related to human health.
PMID- 27179539
TI - Genetic admixture and lineage separation in a southern Andean plant.
AB - Mountain uplifts have generated new ecologic opportunities for plants, and
triggered evolutionary processes, favouring an increase on the speciation rate in
all continents. Moreover, mountain ranges may act as corridors or barriers for
plant lineages and populations. In South America a high rate of diversification
has been linked to Andean orogeny during Pliocene/Miocene. More recently,
Pleistocene glacial cycles have also shaped species distribution and demography.
The endemic genus Escallonia is known to have diversified in the Andes. Species
with similar morphology obscure species delimitation and plants with intermediate
characters occur naturally. The aim of this study is to characterize genetic
variation and structure of two widespread species of Escallonia: E. alpina and E.
rubra We analyzed the genetic variation of populations of the entire distribution
range of the species and we also included those with intermediate morphological
characters; a total of 94 accessions from 14 populations were used for the
Amplified Fragment Length Polymorphism (AFLP) analysis. Plastid DNA sequences
(trnS-trnG, 3'trnV-ndhC intergenic spacers and the ndhF gene) from sixteen
accessions of Escallonia species were used to construct a Statistical Parsimony
network. Additionally, we performed a geometric morphometrics analysis on 88
leaves from 35 individuals of the two E. alpina varieties to further study their
differences. Wright's Fst and analysis of molecular variance tests performed on
AFLP data showed a significant level of genetic structure at the species and
population levels. Intermediate morphology populations showed a mixed genetic
contribution from E. alpina var. alpina and E. rubra both in the Principal
Coordinates Analysis (PCoA) and STRUCTURE. On the other hand, E. rubra and the
two varieties of E. alpina are well differentiated and assigned to different
genetic clusters. Moreover, the Statistical Parsimony network showed a high
degree of divergence between the varieties of E. alpina: var. alpina is more
closely related to E. rubra and other species than to its own counterpart E.
alpina var. carmelitana Geometric morphometrics analysis (Elliptic Fourier
descriptors) revealed significant differences in leaf shape between varieties. We
found that diversity in Escallonia species analyzed here is geographically
structured and deep divergence between varieties of E. alpina could be associated
to ancient evolutionary events like orogeny. Admixture in southern populations
could be the result of hybridization at the margins of the parental species'
distribution range.
PMID- 27179538
TI - Capturing Parenting as a Multidimensional and Dynamic Construct with a Person
Oriented Approach.
AB - Although parenting is one of the most commonly studied predictors of child
problem behavior, few studies have examined parenting as a multidimensional and
dynamic construct. This study investigated different patterns of developmental
trajectories of two parenting dimensions (harsh discipline [HD] and parental
warmth [PW]) with a person-oriented approach and examined the associations
between different parenting patterns and child externalizing problems and callous
unemotional traits. Data were drawn from the combined high-risk control and
normative sample (n = 753) of the Fast Track Project. Parent-reported HD and
observer-reported PW from kindergarten to grade 2 were fit to growth mixture
models. Two subgroups were identified for HD (low decreasing, 83.0 %; high
stable, 17.0 %) and PW (high increasing, 78.7 %; low increasing, 21.3 %). The
majority of parents (67.0 %) demonstrated the low decreasing HD and high
increasing PW pattern, while the prevalence of the high stable HD and low
increasing PW pattern was the lowest (6.8 %). Parenting satisfaction, parental
depression, family socioeconomic status, and neighborhood safety predicted group
memberships jointly defined by the two dimensions. Children from the high stable
HD and low increasing PW pattern showed the highest levels of externalizing
problems in grades 4 and 5. Children from the low decreasing HD and low
increasing PW pattern showed the highest levels of callous-unemotional traits in
grade 7. These findings demonstrate the utility and significance of a person
oriented approach to measuring parenting as a multidimensional and dynamic
construct and reveal the interplay between HD and PW in terms of their influences
on child developmental outcomes.
PMID- 27179540
TI - Spatiotemporal strategies that facilitate recruitment in a habitat specialist
tree species.
AB - Our understanding of processes underlying plant recruitment emerges from species
and habitats that are widely distributed at regional and global scales. However,
the applicability of dispersal-recruitment models and the role of dispersal
limitation versus microsite limitation have not been examined for specialized
habitats. In patchy, freshwater Myristica swamp forests (Western Ghats, India),
we examine the roles of primary seed dispersal, secondary seed removal and
microsite suitability for the establishment of a swamp specialist tree, Myristica
fatua We estimated primary seed shadows, performed secondary removal experiments
and enumerated recruits in swamp sites. Steady-state fruiting was observed with
the extended production (>7 months) of small numbers of fruits. Frugivores
dropped most of the large and heavy seeds under parent crowns, while a few seeds
were transported over short distances by hornbills. Seed placement experiments
indicated that removal, germination and establishment were similar within swamp
microsites, while seeds failed to survive in matrix habitats surrounding the
swamp. Crabs, which were major secondary removers of M. fatua, did not alter the
initial seed dispersal patterns substantially, which led to the retention of
seeds within the swamp. Distribution of saplings and adults from previous seasons
also suggest that dispersal-recruitment dynamics in the swamp specialist M. fatua
did not strictly follow predictions of Janzen-Connell model while abiotic effects
were significant. Large seeds, steady-state fruiting and small crop sizes may be
significant selective forces facilitating escape from density and distance
dependent effects in space and time in specialist plant species such as M. fatua.
PMID- 27179541
TI - Seed germination of seven desert plants and implications for vegetation
restoration.
AB - Germination cues reflect the conditions under which a species is likely to
succeed in recruitment. Therefore, knowledge of the seed germination
characteristics of key plant species in desertified areas is essential for
restoration. The aims of this study were to evaluate the seed germination
responses of seven native species, and to explore the implications for vegetation
restoration. Seeds of seven desert species were sown in Petri dishes and
subjected to various temperature and light conditions. The seeds germinated well
at day/night temperatures of 25/15 degrees C and 30/20 degrees C but poorly at
35/25 degrees C. Seeds germinated best in the dark, and final germination
percentages of all species were strongly inhibited at a photon irradiance of 1000
umol m(-2) s(-1) Based on these results and the environmental conditions of their
natural habitat, Agropyron cristatum and Artemisia halodendron are best adapted
to shifting sand dunes: Elymus dahuricus, Caragana korshinskii and C. microphylla
for semi-fixed sand dunes: and Medicago sativa and Melilotus suaveolen for fixed
sand dunes. If seeds are sown in early May, they will likely be buried in sand,
and the precipitation and temperature conditions will be suitable for seedling
survival.
PMID- 27179542
TI - Acute abdomen resulting in cholecystectomy in a healthy young woman.
PMID- 27179543
TI - Investigation of low back and shoulder demand during cardiopulmonary
resuscitation.
AB - Limited research has examined the effect of different compression-ventilation
ratios on the ergonomic demand of performing cardiopulmonary resuscitation (CPR)
over time. This study aimed to compare the biomechanical demand of performing
continuous chest compression CPR (CCC-CPR) and standard CPR (30:2 compression to
breath ratio). Fifteen CPR certified individuals performed both standard CPR and
CCC-CPR, randomly assigned, for three 2-min periods. Trunk and upper limb muscle
activation, lumbar spine posture and compression force applied to a testing
mannequin chest were measured throughout each CPR trial. No differences in muscle
activation of spine posture were observed, however chest compression force
decreased over the two minutes (p < 0.0001). Further, this drop in force was
larger and initiated immediately during the CCC-CPR trials. This immediate drop
in force during the CCC-CPR trials may be an anticipatory adjustment in order to
be able to sustain continuous compressions for the full 2 min duration.
PMID- 27179544
TI - Long-term Risk of Heart Failure and Myocardial Dysfunction After Thoracic
Radiotherapy: A Systematic Review.
AB - BACKGROUND: Chest irradiation is a commonly used treatment for malignancy, with
demonstrated symptomatic and survival benefit. The frequency and presentation of
cardiovascular complications of radiotherapy remains unclear. METHODS: We
performed a systematic review to evaluate the prevalence and manifestations of
myocardial dysfunction (asymptomatic and symptomatic) in long-term cancer
survivors treated with radiotherapy. RESULTS: Thoracic radiotherapy is associated
with increased risk of heart failure in long-term follow-up, with hazard ratios
ranging from 2.7 to 7.4 for Hodgkin lymphoma, and 1.5-2.4 for breast cancer.
Although ejection fraction is often normal, systolic dysfunction has been more
widely reported with modern techniques including 2-dimensional speckle strain and
cardiac magnetic resonance. This might have implications for the selection of
patients for cardioprotection. Despite common emphasis, diastolic functional
abnormalities were infrequent in the long term. A limited amount of data suggest
that right ventricular dysfunction is important in this population. CONCLUSIONS:
The reports were heterogeneous, used different treatments, end points, and
definitions of myocardial dysfunction, and most studies on the cardiac
consequences of radiotherapy involved small numbers of patients and were
published decades ago, making it difficult to formulate definitive conclusions
for the current era.
PMID- 27179545
TI - Cardiac Outcomes in Survivors of Pediatric and Adult Cancers.
AB - More than 80% of children and 60% of adults with cancer will become long-term
survivors, emphasizing the importance of late effects of cancer therapy.
Cardiotoxicity due to chemotherapy and radiation is a frequent cause of serious
morbidity and premature mortality in survivors. Anthracyclines, a core component
of many treatment regimens, have been implicated as a principal cause of
irreversible cardiomyopathy. Approximately 60% of anthracycline-treated children
will develop echocardiographic evidence of cardiac dysfunction, and 10% of those
treated with high-dose anthracyclines will develop congestive heart failure
within the 20 years after therapy. Adults treated with trastuzumab are at risk of
a cardiomyopathy that is usually reversible. As many as 12% of adults treated
with trastuzumab and 20% of those who have also received an anthracycline will
develop cardiotoxicity within 5 years. Risk factors for cardiomyopathy include
patient (eg, age, sex, genetic predisposition) and treatment characteristics (eg,
cumulative anthracycline dose). Radiotherapy to a field involving the heart
increases the risk of cardiomyopathy, coronary artery disease, valvular
dysfunction, arrhythmias, and pericardial disease. Surveillance guidelines are
available to guide long-term cardiac follow-up of childhood cancer survivors, but
not for survivors of adult cancers; however, periodic follow-up to detect cardiac
dysfunction may be reasonable. Modifiable cardiac risk factors such as
hypertension, smoking, and dyslipidemia interact with cancer therapies to
increase the risk of cardiac disease, emphasizing the importance of risk-factor
control. Coordination of care between oncologists and cardiologists would
optimize care for those individuals at high risk of cardiotoxicity who would
benefit from appropriate surveillance and treatment strategies.
PMID- 27179546
TI - Long-term Complications After Transcatheter Atrial Septal Defect Closure: A
Review of the Medical Literature.
AB - Percutaneous closure has evolved to become the first-line treatment strategy for
most cases of secundum atrial septal defect (ASD) in both adults and children.
Its safety and efficacy have been proved; percutaneous ASD occlusion offers many
advantages over surgical closure, including avoidance of cardiopulmonary bypass,
avoidance of sternotomy scar, shorter hospitalization, and a potentially lower
incidence of postprocedural complications. Periprocedural course and short-term
outcome have been widely described, with low mortality and morbidity rates.
However, the wide use of ASD closure devices and the growing experience worldwide
brought some delayed and rare complications to light. Device thrombosis and
cardiac erosion are the most severe late complications of device closure, whereas
atrial arrhythmias are the most common. Other delayed complications include
nickel allergy, cardiac conduction abnormalities, valvular damage, and device
endocarditis. The long-term complication rate is not null and, although rare,
some of these complications may be sudden and potentially life-threatening.
Moreover, the occurrence and rate of these complications vary with the different
devices used currently or in the past. Therefore, both operators and patients
need to be aware of these issues to assist them in the choice of intervention or
device, or both, and to adapt follow-up modalities. In this review, we sought to
describe the type, incidence, and outcome of these rare but potentially serious
device closure delayed complications.
PMID- 27179547
TI - Identification of Two Novel LAMP2 Gene Mutations in Danon Disease.
AB - BACKGROUND: Danon disease is a rare X-linked inherited disorder characterized by
massive left ventricular hypertrophy, skeletal muscle dystrophy, and mental
retardation. The disease is caused by mutations in the LAMP2 gene encoding for
lysosome-associated membrane protein-2. METHODS: Two young male patients with
hypertrophic cardiomyopathy, characterized by marked, concentric left ventricular
hypertrophy, elevated levels of creatine kinase, and manifest limb-girdle
muscular dystrophy in 1 case, were investigated. Genetic screening included
direct sequencing of the whole coding sequence of the LAMP2 gene. RESULTS:
Genetic analysis identified 2 novel LAMP2 gene mutations. In Family A, a G-A
transition (c.962G > A) leading to a nonsense mutation at codon 321
(p.Trp321Ter), and in Family B, a one-nucleotide insertion (c.973insC) leading to
a full frame-shift (p.Pro324+24X) was detected in exon 8 of the LAMP2 gene.
Family screening identified 8 mutation carriers, with 4 nonpenetrant cases and 3
additional, probably affected family members without DNA diagnosis. The cardiac
phenotype was hypertrophic cardiomyopathy in all cases, including female mutation
carriers. Five disease-related deaths occurred in the families, at an average age
of 33 +/- 16 years, which was clearly lower in male than in female patients (28
+/- 7 vs 42 +/- 25 years). A high prevalence of arrhythmias or conduction
abnormalities was also observed. CONCLUSIONS: The reported 2 novel LAMP2 gene
mutation carrier families, one of them being one of the largest reported to date,
highlight the malignant clinical course of Danon disease, characterized by a high
rate of disease-related death at an early age and a high prevalence of
arrhythmias or conduction abnormalities.
PMID- 27179548
TI - "Tertiary Precision Prevention" for Concussion: Customizing Care by Predicting
Outcomes.
PMID- 27179549
TI - Gastrostomy Tube Complications.
PMID- 27179550
TI - Asymmetric Hypertrophic Pyloric Stenosis with Concurrent Pancreatic Rest
Presenting as Gastric Outlet Obstruction.
PMID- 27179551
TI - An Unusual Intussusception.
PMID- 27179552
TI - Applied Behavior Analysis as Treatment for Autism Spectrum Disorder.
PMID- 27179553
TI - Different procedures of diphenyleneiodonium chloride addition affect neutrophil
extracellular trap formation.
AB - A unique strategy, in which invading microorganisms are being caught in web-like
structures composed mainly of DNA, involves a recently described phenomenon
called NETosis. This process seems to be related to the production of reactive
oxygen species (ROS). In our study, the influence of diphenyleneiodonium chloride
(DPI), which diminishes ROS production, was assessed in the context of neutrophil
extracellular trap (NET) release. According to protocol, two distinguished
procedures were compared, the first one involving DPI elimination from sample
before cell activation and the second one proceeding without the step of
inhibitor washout. The kinetics of DNA release was monitored by fluorometric
assay, and NET formation was observed by fluorescent microscopy. The addition of
DPI to the sample led to a reduction of extracellular DNA release. The strongest
inhibition was noticed after treatment with 10 MUM DPI, which was removed from
medium before stimulation with phorbol-12-myristate-13-acetate (PMA). Our
findings confirmed that DPI is able to block NET creation. However, the addition
of DPI together with PMA or the addition of inhibitor initially and then washing
it out before stimulation resulted in different levels of NET formation. Finally,
DPI that remained in the system induced specific morphological changes in the
neutrophils' nuclei that was not observed in the DPI washed out from sample.
PMID- 27179554
TI - The soluble epoxide hydrolase determines cholesterol homeostasis by regulating
AMPK and SREBP activity.
AB - Inhibition or deletion of the soluble epoxide hydrolase (sEH) has been linked to
reduced cholesterol and protection against atherosclerosis. This study set out to
identify sEH substrate(s) or product(s), altered in livers from sEH(-/-) mice
that contribute to these beneficial effects. In livers and isolated hepatocytes,
deletion of sEH decreased expression of HMG CoA reductase, fatty acid synthase
and low density lipoprotein receptor. Sterol regulatory element binding proteins
(SREBPs) regulate the expression of all three enzymes and SREBP activation was
attenuated in the absence of sEH. The effect was attributed to the AMPK-activated
protein kinase (AMPK) which was activated in the absence of sEH. Livers from wild
type versus sEH(-/-) littermates contained significantly higher levels of the sEH
substrate 12,13-epoxyoctadecenoic acid, which elicited AMPK activation, while the
corresponding sEH product was inactive. Thus, AMPK activation and subsequent
inhibition of SREBP can account for the altered expression of lipid metabolizing
enzymes in sEH(-/-) mice.
PMID- 27179556
TI - Surgical treatment of osteonecrosis of the jaw with the use of platelet-rich
fibrin: a prospective study of 15 patients.
AB - The objective of this study was to evaluate the outcome of the surgical treatment
of osteonecrosis of the jaw (ONJ) with the additional use of autologous membranes
of platelet-rich fibrin (PRF). The study population consisted of 15 patients with
ONJ lesions in the maxilla (n=3), mandible (n=11), or both (n=1). Eight patients
had malignant disease and were treated with high-dose anti-resorptive medication;
seven were treated with low-dose anti-resorptive drugs for osteoporosis. Thirteen
patients had grade 2 ONJ lesions and two had grade 3 lesions. The following
standardized surgical technique was applied: resection of necrotic bone,
mobilization of mucoperiosteal flaps, and multiple layer coverage of bone with
PRF membranes. At follow-up 7-20 months after surgery, complete mucosal healing
and an absence of symptoms were found in 14 of the 15 patients (93%). The patient
with persistent bone exposure had a grade 3 ONJ lesion before surgery. This study
suggests that the use of PRF membranes in the surgical treatment of grade 2 ONJ
may be a contributing factor to a successful outcome.
PMID- 27179557
TI - An Individualized Approach to Treatment-Resistant Bipolar Disorder: A Case
Series.
AB - CONTEXT: Treatment-resistant bipolar disorder (TRBD) is an increasingly
prevalent, debilitating condition with substandard treatment outcomes.
Polypharmacy has become the mainstay among practitioners though long-term
efficacy of this method has not been adequately tested. OBJECTIVE: Determine
retrospectively if individualized, integrative treatment strategies applied while
withdrawing pharmaceuticals were beneficial and safe among a TRBD clinic
population. DESIGN: A chart review was performed for six adult patients, treated
in a private psychiatric practice. Data were collected regarding psychiatric
diagnosis, hospitalizations, medications, side effects, substance abuse, and
applied treatments. RESULTS: Using individualized, integrative psychiatric
treatment methods, the majority of medications were eliminated. Long-term
remission was attained in all cases, defined as clinical stability with no
discernable symptoms of bipolar disorder for at least one year. CONCLUSIONS:
Applying an integrative treatment approach, and eliminating most medications,
provided lasting resolution of symptoms and side effects in a selected sample of
TRBD outpatients. These data may provide the basis for future randomized,
controlled trials.
PMID- 27179555
TI - Oxidized HDL is a potent inducer of adipogenesis and causes activation of the Ang
II and 20-HETE systems in human obese females.
AB - BACKGROUND: Oxidized-HDL (OX-HDL) has been reported to increase coronary events
in obese patients; however, OX-HDL has not been studied in subjects with the
metabolic syndrome. A high body mass index (BMI) correlates positively with
higher levels of metabolic syndrome biomarkers including vasoconstrictors and
adipokines. We hypothesize that a subject with a high BMI would present with
higher levels of OX-HDL, 20-HETE and Angiotensin II (Ang II) with a reciprocal
reduction in serum adiponectin. METHODS: Female subjects with a BMI of 17-25 and
a BMI of 30-40, without overt cardiovascular disease, were enrolled in the study.
All patients had a history and physical exam documenting the absence of signs and
symptoms of cardiovascular disease. Appropriate screening was done and
documented. Blood pressure was taken at two discrete points. The BP data are
presented as the average. Changes in the relationship between BMI, OX-HDL, 20
HETE, Ang II, TNFalpha, isoprostane and adiponectin were examined. In addition,
the effects of OX-HDL, 20-HETE and Ang II on adipogenesis were examined in human
MSC derived adipocytes. RESULTS: Subjects with a high BMI>30 displayed an
increase in OX-HDL and isoprostane (P<0.05) compared to those with the lower
BMI<25 which was associated with an increase in Ang II and 20-HETE (p<0.05).
Serum TNFalpha levels increased in subjects with a high BMI, compared to subjects
with the lower BMI (p<0.05). In contrast, adiponectin levels were increased in
subjects with a low BMI compared to obese subjects (p<0.05). In MSC derived
adipocytes OX-HDL increased adipogenesis 6 fold at a concentration of 50ng
compared to untreated adipocytes. Adipocytes treated with Ang II and 20-HETE also
displayed increased adipogenesis (p<0.05), which was attenuated by endogenous
increases of the anti-oxidant heme oxygenase-1. Our study demonstrates that OX
HDL presents a unique inflammatory biomarker profile in obese females with the
metabolic syndrome at risk for developing cardiovascular disease. CONCLUSIONS:
Females with increased BMI (30-40) exhibit a marked increase in OX-HDL and
isoprostane levels, which was associated with an increase in 20-HETE, TNF alpha
and Ang II and decreased levels of adiponectin when compared to a group with a
low BMI. OX-HDL had a more powerful adipogenic effect when compared to 20-HETE
and Ang II. Our study demonstrates that OX-HDL presents a unique inflammatory
biomarker profile in obese females with the metabolic syndrome at risk for
developing cardiovascular disease. This represents a novel mechanism by which
females with a high BMI and controlled blood pressure remain "at risk" for the
development of the metabolic syndrome as a result of increased adipogenesis by OX
HDL and activation of the 20-HETE and Ang II systems.
PMID- 27179558
TI - Efficient visual information for unfamiliar face matching despite viewpoint
variations: It's not in the eyes!
AB - Faces are encountered in highly diverse angles in real-world settings. Despite
this considerable diversity, most individuals are able to easily recognize
familiar faces. The vast majority of studies in the field of face recognition
have nonetheless focused almost exclusively on frontal views of faces. Indeed, a
number of authors have investigated the diagnostic facial features for the
recognition of frontal views of faces previously encoded in this same view.
However, the nature of the information useful for identity matching when the
encoded face and test face differ in viewing angle remains mostly unexplored. The
present study addresses this issue using individual differences and bubbles, a
method that pinpoints the facial features effectively used in a visual
categorization task. Our results indicate that the use of features located in the
center of the face, the lower left portion of the nose area and the center of the
mouth, are significantly associated with individual efficiency to generalize a
face's identity across different viewpoints. However, as faces become more
familiar, the reliance on this area decreases, while the diagnosticity of the eye
region increases. This suggests that a certain distinction can be made between
the visual mechanisms subtending viewpoint invariance and face recognition in the
case of unfamiliar face identification. Our results further support the idea that
the eye area may only come into play when the face stimulus is particularly
familiar to the observer.
PMID- 27179559
TI - Significance of microRNA 21 in gastric cancer.
AB - Despite promising developments of treatment, the mortality due to gastric cancer
remains high and the mechanisms of gastric cancer initiation and the development
also remains elusive. It has been reported that patients with positive serologic
tests for H. pylori have a higher risk of the development of gastric cancer.
microRNAs (miRNAs) are short non-coding RNA molecules consisting of 21-25
nucleotides (nt) in length. The miRNAs silence their cognate target genes by
inhibiting mRNA translation or degrading the mRNA molecules by binding to their
3'-untranslated (UTR) regions and plays a very important role in cancer biology.
Recent evidences indicate that miR-21 is overexpressed in tumour tissue,
including gastric cancer and plays a vital role in tumour cell proliferation,
apoptosis, invasion and angiogenesis. Elevated levels of miR-21 is associated
with downregulation of tumour suppressor genes, such as programmed cell death 4
(PDCD4), tissue inhibitor of metalloproteinase 3, phosphatase and tensin homolog
(PTEN), tropomyosin 1, ras homolog gene family member B, and maspin. Silencing of
miR-21 through the use of a miR-21 inhibitor affected cancer cell viability,
induced cell cycle arrest and increased chemosensitivity to anticancer agents
indicating that miR-21 functions as an oncogene. Although an increased expression
level of miR-21 has been observed in gastric cancer, studies related to the role
of miR-21 in gastric cancer progression is very limited. The main thrust of this
mini review is to explain the potency of miR-21 as a prognostic and/or diagnostic
biomarker and as a new target for clinical therapeutic for interventions of
gastric cancer progression.
PMID- 27179560
TI - PC-FACS.
AB - PC-FACS(FastArticleCriticalSummaries for Clinicians inPalliativeCare) provides
hospice and palliative care clinicians with concise summaries of the most
important findings from more than 100 medical and scientific journals. If you
have colleagues who would benefit from receiving PC-FACS, please encourage them
to join the AAHPM at aahpm.org. Comments from readers are welcomed at pc
facs@aahpm.org.
PMID- 27179562
TI - A single electrochemical biosensor for detecting the activity and inhibition of
both protein kinase and alkaline phosphatase based on phosphate ions induced
deposition of redox precipitates.
AB - Protein kinase (PKA) and alkaline phosphatase (ALP) are clinically relevant
enzymes for a number of diseases. In this work, we developed a new simple
electrochemical biosensor for the detection of the activity and inhibition of
both PKA and ALP. One common feature of the PKA and ALP catalyzing process is
that PKA can hydrolysis adenosine-5'-triphosphate (ATP) and ALP can hydrolysis
pyrophosphate, both reactions produce phosphate ions, and the amount of phosphate
ion produced is proportional to enzyme activity. Our assay is based on the
principle that phosphate ions react with molybdate to form redox molybdophosphate
precipitates on the electrode surface, thus generating electrochemical current.
The detection limit for PKA and ALP were much lower than existing assays. The
biosensor has good specificity and was used to measure drug-stimulated PKA from
lysates of HeLa cells. We also evaluated the use of the biosensor as a screening
tool for enzyme inhibitors. To the best of our knowledge, this is the first
report of a biosensor capable of detecting the activity of both PKA and ALP. This
tool has the potential to simplify PKA and ALP clinical measurement, thereby
improving diagnostics of relevant diseases. It also may serve as the basis for a
simple screening method for new enzyme inhibitors for disease treatment.
PMID- 27179561
TI - Selective and sensitive detection of MiRNA-21 based on gold-nanorod
functionalized polydiacetylene microtube waveguide.
AB - Development of rapid, highly selective and sensitive miRNA detection in a complex
biological environment has attracted considerable attention. Herein, we describe
a novel two step method to construct gold-nanorod functionalized polydiacetylene
(PDA) microtube for miRNA detection. In PDA microtube, with a one-dimensional
(1D) waveguide nature, the excitation position and emission out-coupling position
are far apart, thus helpful in reducing contribution of auto-fluorescence from
biological sample. The use of specially designed toehold-mediated strand
displacement reaction enables the reliable and selective discrimination of miRNA
sequences with high sequence homology. Based on the condensing enrichment effect,
the detection limit of the proposed PDA microtube system is as low as 0.01nM, and
it can be applied directly to detect disease-specific miRNA targets in human
serum. This PDA microtube waveguide system can be further integrated into the
chip for the potential applications in minimally invasive, portable clinical
diagnostic equipment.
PMID- 27179563
TI - Use of 3,3',5,5' tetramethylbenzidine as new electrochemical indicator of DNA
hybridization and its application in genossensor.
AB - Electrochemical tools are important biosensor platforms for disease diagnosis,
due to their speediness, easiness, low cost and portability. However, for DNA
detection, the use of indicators and/or intercalators is necessary to improve
electrochemical sensitivity. Currently, ethidium bromide (EthBr) is the cheapest
and most used DNA intercalators, but presents carcinogenic and teratogenic
properties. Other indicators may be important for DNA photonic detection, and
besides being more expensive, they behave similarly to EthBr. This investigation
shows for the first time the use of tetramethylbenzidine(TMB) as a new remarkable
non-carcinogenic DNA indicator for genosensing purposes, which may be used for
nucleic acid detection of microorganisms, based on complementarity of base
pairing between probe and target molecules. The results indicate that TMB can be
used as a new electrochemical indicator readily applicable in genosensors, which
is able to detect the hybridization of single stranded DNA probe with its
complementary target strand. An additional advantage of TMB, beside its non
genotoxicity, is the electrochemical reduction property, which prevents
interference of serum components and other oxidative samples in the
electrochemical analysis.
PMID- 27179564
TI - Disposable self-support paper-based multi-anode microbial fuel cell (PMMFC)
integrated with power management system (PMS) as the real time "shock" biosensor
for wastewater.
AB - A paper-based multi-anode microbial fuel cell (PMMFC) integrated with power
management system (PMS) was developed as a disposable self-support real-time
"shock" biosensor for wastewater. PMMFCs were examined at three types of shocks
(chromium, hypochlorite and acetate) in a batch-mode chamber, and exhibited
various responses to shock types and concentrations. The power output of PMMFC
sensor was four times as the carbon cloth (CC)-based MFCs, indicating the
advantage of paper-based anode for bacterial adhesion. The power output was more
sensitive than the voltage output under shocks, and thus preventing the false
signals. The simulation of power harvest using PMS indicated that PMMFC could
accomplish more frequent data transmission than single-anode MFCs (PSMFC) and CC
anode MFCs (CCMMFC), making the self-support wastewater monitor and data
transmission possible. Compared with traditional MFC sensors, PMMFCs integrated
with PMS exhibit the distinct advantages of tight paper-packed structure, short
acclimation period, high power output, and high sensitivity to a wide range of
shocks, posing a great potential as "disposable self-support shock sensor" for
real time in situ monitoring of wastewater quality.
PMID- 27179565
TI - Tunneling of redox enzymes to design nano-probes for monitoring NAD(+) dependent
bio-catalytic activity.
AB - Monitoring of bio-catalytic events by using nano-probes is of immense interest
due to unique optical properties of metal nanoparticles. In the present study,
tunneling of enzyme activity was achieved using redox cofactors namely oxidized
cytochrome-c (Cyt-c) and Co-enzyme-Q (Co-Q) immobilized on Quantum dots (QDs)
which acted as a bio-probe for NAD(+) dependent dehydrogenase catalyzed reaction.
We studied how electron transfer from substrate to non-native electron acceptors
can differentially modify photoluminescence properties of CdTe QDs. Two probes
were designed, QD-Ox-Cyt-c and QD-Ox-Co-Q, which were found to quench the
fluorescence of QDs. However, formaldehyde dehydrogenase (FDH) catalyzed
reduction of Cyt-c and Co-Q on the surface of QDs lead to fluorescence turn-on of
CdTe QDs. This phenomenon was successfully used for the detection of HCHO in the
range of 0.01-100,000ng/mL (LOD of 0.01ng/mL) using both QD-Ox-Cyt-c (R(2)=0.93)
and QD-Ox-Co-Q (R(2)=0.96). Further probe performance and stability in samples
like milk, wine and fruit juice matrix were studied and we could detect HCHO in
range of 0.001-100,000ng/mL (LOD of 0.001ng/mL) with good stability and
sensitivity of probe in real samples (R(2)=0.97). Appreciable recovery and
detection sensitivity in the presence of metal ions suggests that the developed
nano-probes can be used successfully for monitoring dehydrogenase based bio
catalytic events even in the absence of NAD(+). Proposed method is advantageous
over classical methods as clean up/ derivatization of samples is not required for
formaldehyde detection.
PMID- 27179566
TI - Microfluidic-integrated DNA nanobiosensors.
AB - Over the last few decades, an increased demand has emerged for integrating
biosensors with microfluidic- and nanofluidic-based lab-on-chip (LOC) devices for
point-of-care (POC) diagnostics, in the medical industry and environmental
monitoring of pathogenic threat agents. Such a merger of microfluidics with
biosensing technologies allows for the precise control of volumes, as low as one
nanolitre and the integration of various types of bioassays on a single
miniaturized platform. This integration offers several favorable advantages, such
as low reagent consumption, automation of sample preparation, reduction in
processing time, low cost analysis, minimal handling of hazardous materials, high
detection accuracy, portability and disposability. This review provides a
synopsis of the most recent developments in the microfluidic-integrated
biosensing field by delineating the fundamental theory of microfluidics,
fabrication techniques and a detailed account of the various transduction methods
that are employed. Lastly, the review discusses state-of-the-art DNA biosensors
with a focus on optical DNA biosensors.
PMID- 27179568
TI - Hepatitis B plasmonic biosensor for the analysis of clinical serum samples.
AB - A plasmonic biosensor for rapid detection of protein biomarkers in complex media
is reported. Clinical serum samples were analyzed by using a novel biointerface
architecture based on poly[(N-(2-hydroxypropyl) methacrylamide)-co
(carboxybetaine methacrylamide)] brushes functionalized with bioreceptors. This
biointerface provided an excellent resistance to fouling even after the
functionalization and allowed for the first time the direct detection of
antibodies against hepatitis B surface antigen (anti-HBs) in clinical serum
samples using surface plasmon resonance (SPR). The fabricated SPR biosensor
allowed discrimination of anti-HBs positive and negative clinical samples in
10min. Results are validated by enzyme-linked immunoassays of the sera in a
certified laboratory. The sensor could be regenerated by simple treatment with
glycine buffer.
PMID- 27179567
TI - Novel electrochemical sensing platform for quantitative monitoring of Hg(II) on
DNA-assembled graphene oxide with target recycling.
AB - This work designs a new electrochemical sensing platform for the quantitative
monitoring of mercury ion (Hg(2+)) on poly-T(15) oligonucleotide-functionalized
graphene oxide by coupling with DNase I-assisted target recycling amplification.
The assay was carried out on the basis of T-Hg(2+)-T coordination chemistry by
using target-induced dissociation of indicator-labeled poly-T(15) oligonucleotide
from graphene oxide nanosheets. The electronic signal was amplified through DNase
I-triggered target recycling. Experimental results indicated that the
amperometric response of DNA-based sensing platform deceased with the increasing
Hg(2+) concentration in the sample, and has a detection limit of 0.12nM with a
dynamic working range of up to 50nM. Our strategy afforded exquisite selectivity
for Hg(2+) against other environmentally related metal ions. More significantly,
this methodology displayed high reproducibility and acceptable accuracy, thus
representing an optional sensing scheme for the screening of Hg(2+) in
environmental water samples.
PMID- 27179569
TI - Neonatal brain injury as a consequence of insufficient cerebral oxygenation.
AB - Neonatal brain hypoxic-ischemic injury represents a serious health care and socio
economical problem since it is one of the most common causes of mortality and
morbidity of newborns. Neonatal hypoxic-ischemic encephalopathy is often
associated with signs of perinatal asphyxia, with an incidence of about 2-4 per
1,000 live births and mortality rate up to 20%. In about one half of survivors,
cerebral hypoxic-ischemic insult may result in more or less pronounced neuro
psychological sequelae of immediate or delayed nature, such as seizures, cerebral
palsy or behavioural and learning disabilities, including attention-deficit
hyperactivity disorder. Hypoxic-ischemic injury develops as a consequence of
transient or permanent restriction of blood supply to the brain. Severity of
hypoxic-ischemic encephalopathy varies depending on the intensity and duration of
hypoxia-ischemia, on the type and size of the brain region affected, and on the
maturity of the foetal/neonatal brain. Though a primary cause of hypoxic-ischemic
injury is lack of oxygen in the neonatal brain, underlying mechanisms of
subsequent events that are critical for developing hypoxic-ischemic
encephalopathy are less understood. Their understanding is however necessary for
elaborating effective management for newborns that underwent cerebral hypoxic
ischemic insult and thus are at risk of a negative outcome. The present paper
summarizes current knowledge on cerebral hypoxic-ischemic injury of the neonate,
fundamental processes involved in etiopathogenesis, with a special focus on
cellular and molecular mechanisms and particular attention on certain
controversial aspects of oxidative stress involvement.
PMID- 27179570
TI - The cumulative effect of bisphosphonates and statins on stress fractures. Is it a
failure of steroid biosynthesis? Case Report.
AB - Osteoporosis related fractures pose a significant economic and healthcare
problem. There is a growing concern about increased numbers of stress or low
energy fractures after bisphosphonates therapy. A 65-year-old woman is presented
with a stress fracture of the left femur. From our point of view, this fracture
was associated with a long-term statin and bisphosphonate therapy. We did not
find a similar presentation in medical literature.
PMID- 27179571
TI - Changes in levels of oxidative stress markers and some neuronal enzyme activities
in cerebrospinal fluid of multiple sclerosis patients.
AB - OBJECTIVES: The aim of the present study was to assess cerebrospinal fluid (CSF)
levels of malondialdehyde (MDA), F2 isoprostanes (8-iso-PGF2alpha) and total
antioxidant status (TAS) in relapsing-remitting (RR) and secondary progressive
(SP) course of MS and neurological controls. These parameters were correlated
with brain tissue damage parameters - neuron-specific enolase and 3',5'-cAMP
phosphodiesterase (PDE) in CSF. METHODS: CSF samples were obtained from MS
patients divided into two groups according to the disease severity (EDSS) - RR
and SP course of MS. Control group composed of neurological diagnoses without
demyelination and neurodegeneration. 8-iso-PGF2alpha and NSE levels in the CSF
samples were determined using specific immunochemistry assays. MDA levels in the
CSF were measured by HPLC method after reaction with thiobarbituric acid in
acidic conditions. TAS and total PDE activity of CSF was determined
spectrophotometrically. RESULTS: There were significant differences in CSF MDA
levels between MS group and controls and also between RR and SP disease course.
By contrast, CSF levels of 8-iso-PGF2alpha in MS group and both forms of MS were
comparable to control values. In addition, the results show increased CSF levels
of PDE in MS group and no changes of NSE in CSF between MS and control group.
CONCLUSION: These findings point to a possibility of using the parameters of
different specificity to lipid peroxidation for monitoring different stages
(acute/progressive) of MS. This study support the idea, that combination of CSF
markers is important for monitoring overall brain tissue pathology in MS.
PMID- 27179572
TI - Pediatric Lyme Neuroborreliosis: Different clinical presentations of the same
agent; Single center experience.
AB - OBJECTIVES: Lyme disease is a vector-associated infectious disease, caused by the
agent, spirochete Borrelia burgdorferi. Neurologic findings are observed in
approximately 12% of the cases and termed Lyme neuroborreliosis (LNB). Lyme
neuroborreliosis may manifest with different clinical neurologic manifestations.
METHODS: The study was conducted at tertiary training and research hospital. From
January 2014 to September 2015, a total of 75 patients diagnosed with
encephalitis, ataxia, Guillain Barre Syndrome (GBS), facial paralysis, acute
disseminated encephalomyelitis (ADEM), pseudotumorcerebri were evaluated for
inclusion to the study. Among these patients whom investigations of B.
burgdorferi antibody IgM and/or IgG ELISA and Western Blot (WB) were detected to
be positive, were assessed. Epidemiologic data, tick bite histories, duration of
symptoms, clinical findings, radiologic findings, treatment durations and
prognosis were investigated. RESULTS: Totally 7 patients had been treated with
the diagnosis of Lyme neuroborreliosis. The mean age was 9.14+/-4.91 years;
duration of symptoms before admission was 8.0+/-4.50 days; and the duration of
antibiotic use was 2.85+/-0.89 weeks. All patients had received ceftriaxone and
intravenous immunoglobulin (IVIG); 3 patients had received plasmapheresis (42.9%)
and one patient had received pulse corticosteroid therapy. While the patient with
the diagnosis of encephalomyeloneuritis and atypical GBS had partially improved,
the other patients were completely cured. CONCLUSION: In this article, we report
pediatric LNB patients, B. burgdorferi should also be considered in patients with
atypical or severe neurologic involvement or a history of tick bite; it is known
that the prognosis is good with appropriate and early treatment.
PMID- 27179573
TI - Biochemical manifestations of the nervous tissue degradation after the blood
brain barrier opening or water intoxication in rats.
AB - OBJECTIVES: The aim of the study was to determine changes of biomarkers of
nervous tissue degradation in experimental model of osmotic blood-brain barrier
opening or water intoxication and to find whether they correspond to changes in
well defined clinical entities. METHODS: In the cerebro-spinal fluid taken via
the suboccipital puncture, myelin basic protein (MBP ng/ml), neuron-specific
enolase (NSE ng/ml) and TAU-protein (Tau pg/ml) were determined by ELISA in 19
controls and 29 experimental rats several hours or one week after the
experimental intervention. RESULTS: Significant difference between the control
and experimental groups was revealed only for the concentration of myelin basic
protein. After the BBB opening, its level dramatically increased within hours and
dropped back to control values within one week. Water intoxication induced only
dilutional hypoproteinorachia. No significant changes were found in NSE and
levels of TAU-protein were not detectable. CONCLUSION: 1. Increased permeability
of cytoplasmic membranes induced by water intoxication does not alter any of
monitored CSF biomarkers. 2. Osmotic opening of the BBB in vivo experiment
without the presence of other pathological conditions leads to a damage of
myelin, without impairment of neurons or their axons.
PMID- 27179574
TI - Thyroid-stimulating hormone acutely increases monocyte gene expression in vivo.
AB - OBJECTIVES: Thyroid-stimulating hormone (TSH) acts in an extra-thyroidal fashion
and induces a pro-inflammatory, pro-coagulant state. Blood monocytes can be
activated by vascular stress, but it is not known if this occurs upon TSH
administration. Our aim was to determine if recombinant human (rh) TSH,
administered acutely to patients being screened for thyroid cancer recurrence,
alters blood monocyte gene expression. DESIGN AND SETTING: Patients (14 women, 1
man) had a mean (+/-SD) age of 48+/-10 years, a body mass index of 26+/-6 kg/m2,
a history of total thyroidectomy and radioablation for thyroid cancer, and were
on L-thyroxine therapy at a university teaching hospital. They received 2
intramuscular doses of rhTSH (0.9 mg), administered on days 1 and 2. Blood
samples were obtained at baseline on day1, and on days 3 and 5. RESULTS: Monocyte
MCP-1 mRNA (mean+/-SE) increased significantly by 1.7+/-0.3 fold on day 5
following rhTSH stimulation (p=0.03, n=15). IL-1beta and CD36 mRNA expression
also increased on day 5 (1.9+/-0.4 fold, p=0.07, n=14) and 2.5+/-0.4 fold, p=0.1,
n=10), respectively, although did not quite reach statistical significance.
Significant correlations were detected between the BMI of patients and their TSH
stimulated monocyte mRNA responses at day 5 for CD11a, (r=0.66, n=14, p=0.01);
CD14 (r=0.638, n=13, p=0.019), and CD16, r=0.84, n=13, p=0.0003). CONCLUSION: TSH
administration increases pro-atherogenic monocyte gene expression.
PMID- 27179575
TI - Comparison of relative telomere length measured in aortic tissue and leukocytes
in patients with end stage heart failure.
AB - OBJECTIVES: Telomeres are repetitive non-coding DNA sequences on the ends of
eukaryotic chromosomes. Relative leukocyte telomere length (LrTL) is considered
to reflect biological ageing and fitness. Therefore, we examined whether LrTL
would reflect rTL in aortic tissue (ArTL) and whether it could be used as a
marker of biological heart age. DESIGN: We analysed telomere length in aortic and
leukocyte samples from 73 heart recipients (63 males, 10 females; age 52.2+/-11.7
years). Relative telomere length was measured using a quantitative PCR-based
method. RESULTS: Neither LrTL nor ArTL correlated significantly with the age of
heart recipients. Mean ArTL was slightly shorter than LrTL (p=0.06) and there was
a slight but significant inverse correlation between LrTL and ArTL (p=0.019).
CONCLUSIONS: The age of patients with end stage heart failure was not associated
with leukocyte or aortic telomere length. An inverse correlation between LrTL and
ArTL suggests that LrTL is unlikely to be an important predictor of biological
ageing in these patients.
PMID- 27179576
TI - Assessment of the effects of oseltamivir and indomethacin on dopamine, 5-HIAA,
and some oxidative stress markers in stomach and brain of Salmonella typhimurium
infected rats.
AB - OBJECTIVES: The purpose of this study was to measure the effect of oseltamivir
and indomethacin on dopamine and 5-HIAA levels and some oxidative biomarkers in
brain and stomach of young rats in conditions of infection. METHODS: Female
Sprague Dawley rats in absence or presence of a live culture of Salmonella
typhimurium (S.Typh), were treated as follows: PBS, group 1 (control);
oseltamivir (100 mg/kg), group 2; indomethacin (67 ug/kg) group 3; oseltamivir
(100 mg/kg) + indomethacin (67 ug/kg), group 4. The drugs were administered
intraperitoneally every 24 hr for 5 days while S. Typh was give orally in the
first and third day. C-reactive proteins was measured in blood on sacrifice, and
from brain extract, dopamine and 5-HIAA levels as well as GSH, calcium, and H2O2
and total ATPase activity were measured by validated methods. RESULTS: Dopamine
increased significantly in cortex and cerebellum/medulla oblongata of groups that
received indomethacin and oseltamivir. 5-HIAA increased significantly in all
groups that received S.Typh. H2O2 decreased significantly in cortex regions of
animals that received oseltamivir and indomethacin in presence of S.Typh. Total
ATPase increased significantly in cortex and hemispheres of groups that received
oseltamivir as well as in cerebellum/medulla oblongata and stomach of animals
that received oseltamivir and indomethacin combined with S.Typh. GSH increased
and calcium decreased significantly in stomach of animals that received
oseltamivir or indomethacin alone or combined with S.Typh. CONCLUSION: These
results demonstrate the association between inflammatory response, oxidative
stress, dopaminergic, and serotonergic metabolism in an experimental inflammatory
animal model.
PMID- 27179577
TI - Multi-infarct dementia and Alzheimer disease, contribution of cerebral
circulation ultrasonography to pathogenesis and differential diagnosis. Value of
microembolisation.
AB - OBJECTIVES: Dementias are one of the most serious health and socioeconomic
issues. Multi-infarct dementia (MID) and Alzheimer's type dementia (AD) exhibit
differences in cerebrovascular blood flow velocity profiles and in presence of
microemboli, detected by transcranial Doppler sonography. MATERIAL AND METHODS: A
group of 77 persons was divided into 4 subgroups: 1. subgroup of patients with
MID (n=19; 10 male and 9 female, mean age was 74.32+/-8.30 years); 2. subgroup of
patients with AD (n=19; 11 male and 8 female, mean age was 70.37+/-87.85 years);
3. subgroup of patients with hypertension (n=19; 11 male and 8 female, age
adjusted) and 4. sex and age adjusted control group (CG) of 20 persons without
hypertension or other serious risk factors. The duplex ultrasonographic
examination of extracranial and intracranial circulation was preceded by
neurologic, neuropsychological and psychiatric examination. The presence of
microemboli was determined using Multi Dop X2 device (maker DWL), 60 minutes
monitoring. All patients underwent brain computer tomography (CT) or magnetic
resonance imaging (MRI). RESULTS: We found significantly higher incidence (68.4%,
p=0.5267) of asymptomatic microemboli in ACM in the group of patients with MID
compared to the AD group, the group of patients with hypertension and CG.
CONCLUSION: The occurrence of "asymptomatic" emboli in the middle cerebral artery
in patients with multi-infarct dementia is higher in the current study. Although
these microemboli do not cause immediate symptoms, the evidence suggests, that
they may be a risk factor for cognitive impairment, especially for multi-infarct
dementia.
PMID- 27179578
TI - Methylenotetrahydrololate reductase A1298C and C677T polymorphisms and adverse
pregnancy outcome in women with PCOS.
AB - OBJECTIVES: The aim of this study was to compare SNP C677T and A1298C in the
MTHFR gene and pregnancy outcome in PCOS women. STUDY DESIGN: We investigated 76
PCOS and 56 non-PCOS women. Among PCOS patients 63 were women with a history of
recurrent pregnancy loss (RPL) and 13 women were infertile. In non-PCOS group 40
women were RPL and 16 were infertile. We investigated the relationship between
SNP in the MTHFR gene and pregnancy loss, homocysteine and AMH concentration in
the study groups. RESULTS: DNA analysis of the PCOS and non-PCOS groups for MTHFR
C677T and A1298C polymorphism showed no significant association between the
groups. We demonstrated an increased miscarriage rate in non-PCOS women with
A1298C polymorphism in the MTHFR gene (p=0.042). We found that homocysteine
concentration was higher in women with SNP MTHFR A1298C (p=0.046). Moreover, we
did not observe any association between the level of homocysteine and the
pregnancy outcome in the whole study group. CONSLUSION: It seems that the
presence of the MTHFR mutation is not associated with PCOS in the Polish
population. However, our results may suggest a correlation between the MTHFR
A1298C mutation and RPL in the non-PCOS group.
PMID- 27179579
TI - Botulinum toxin treatment of freezing of gait in Parkinson's disease patients as
reflected in functional magnetic resonance imaging of leg movement.
AB - BACKGROUND: Freezing of gait (FOG) is a common disabling symptom of (in)
Parkinson's disease (PD). The mechanism of FOG is (in) not clearly understood. We
investigated the clinical effect and changes of the activity of the sensorimotor
system using repeated functional MRI (fMRI) before and after application of
botulinum toxin in Parkinson's disease patients with FOG. METHODS: We
investigated 20 patients with PD, 10 with FOG and 10 without FOG. PD patients
with FOG were treated with intramuscular application of botulinum toxin type A
into the tensor fasciae latae muscle bilaterally. The clinical effect of
treatment was assessed using FOG questionnaire, "Time up and go" test, UPDRS,
Hoehn and Yahr staging, Clinical global impression scale. Activation of the
sensorimotor system was studied using BOLD fMRI of the whole brain during
repetitive abduction - adduction of each leg interleaved with rest. The clinical
(in the FOG group) and imaging (in both groups) examination was repeated after a
four-week interval. RESULTS: In the FOG group, the FOG questionnaire has shown a
decline of scores after application of botulinum toxin that suggests possible
effect of botulinum toxin on freezing of gait. In fMRI results, both groups
manifested reduction of the sensorimotor network activated with leg movement,
however, the FOG group also showed increased activation in cerebellar vermis and
nuclei, in dorsal pons and in medulla after treatment. CONCLUSION: Alleviation of
the FOG in PD patients by botulinum toxin seems to be reflected in the functional
participation of the cerebellum and its projections as seen by fMRI.
PMID- 27179580
TI - Systemic lupus erythematosus and renal tubular acidosis associated with
hyperthyroidism. Case Report.
AB - A case of a 42-year-old female with hyperthyroidism was subsequently diagnosed to
have systemic lupus erythematosus with distal RTA. The clinical examination on
admission showed swelling of the knee joints and the urinalysis showed pH 6.5,
pro 3+. Her blood routine results were as follows: white blood cells 1.85*109/L,
platelets 100*109/L, erythrocyte 3.06*1012/L. The serum potassium was 3.11
mmol/L, 24 hour urinary electrolyte: K 68.87 mmol/24 H, antinuclear antibodies
(ANA) 1:1 000, speckled pattern. The anti-double stranded DNA antibody (anti
dsDNA), anti SS-A(52) antibody and anti SS-A(60) antibody were positive. The
light microscopy and immunofluorescence showed diffuse proliferative lupus
nephritis. These data were compatible with the diagnosis of systemic lupus
erythematosus. The diagnosis of hyperthyroidism and distal RTA is clear. This
report showed that other autoimmune disease in the diagnosis of hyperthyroidism
should not be ignored.
PMID- 27179581
TI - The lesson of ankle-brachial index for long-term clinical outcomes: Time is not a
line, but a series of now-points.
PMID- 27179582
TI - The impact of growth promoters on muscle growth and the potential consequences
for meat quality.
AB - To meet the demands of increased global meat consumption, animal production
systems will have to become more efficient, or at least maintain the current
efficiency utilizing feed ingredients that are not also used for human
consumption. Use of growth promoters is a potential option for increasing
production animal feed efficiency and increased muscle growth. The objective of
this manuscript is to describe the mechanisms by which the growth promoters, beta
adrenergic agonists and growth hormone, mediate their effects, with specific
consideration of the aspects which have implications for meat quality.
PMID- 27179583
TI - Clostridia in the gut and onset of atopic dermatitis via eosinophilic
inflammation.
PMID- 27179584
TI - Depleted and enriched uranium exposure quantified in former factory workers and
local residents of NL Industries, Colonie, NY USA.
AB - BACKGROUND: Between 1958 and 1982, NL Industries manufactured components of
enriched (EU) and depleted uranium (DU) at a factory in Colonie NY, USA. More
than 5 metric tons of DU was deposited as microscopic DU oxide particles on the
plant site and surrounding residential community. A prior study involving a small
number of individuals (n=23) indicated some residents were exposed to DU and
former workers to both DU and EU, most probably through inhalation of aerosol
particles. OBJECTIVES: Our aim was to measure total uranium [U] and the uranium
isotope ratios: (234)U/(238)U; (235)U/(238)U; and (236)U/(238)U, in the urine of
a cohort of former workers and nearby residents of the NLI factory, to
characterize individual exposure to natural uranium (NU), DU, and EU more than 3
decades after production ceased. METHODS: We conducted a biomonitoring study in a
larger cohort of 32 former workers and 99 residents, who may have been exposed
during its period of operation, by measuring Total U, NU, DU, and EU in urine
using Sector Field Inductively Coupled Plasma - Mass Spectrometry (SF-ICP-MS).
RESULTS: Among workers, 84% were exposed to DU, 9% to EU and DU, and 6% to
natural uranium (NU) only. For those exposed to DU, urinary isotopic and [U]
compositions result from binary mixing of NU and the DU plant feedstock. Among
residents, 8% show evidence of DU exposure, whereas none shows evidence of EU
exposure. For residents, the [U] geometric mean is significantly below the value
reported for NHANES. There is no significant difference in [U] between exposed
and unexposed residents, suggesting that [U] alone is not a reliable indicator of
exposure to DU in this group. CONCLUSIONS: Ninety four percent of workers tested
showed evidence of exposure to DU, EU or both, and were still excreting DU and EU
decades after leaving the workforce. The study demonstrates the advantage of
measuring multiple isotopic ratios (e.g., (236)U/(238)U and (235)U/(238)U) over a
single ratio ((235)U/(238)U) in determining sources of uranium exposure.
PMID- 27179586
TI - Controlling the burst release of amorphous drug-polysaccharide nanoparticle
complex via crosslinking of the polysaccharide chains.
AB - High-payload amorphous drug-polysaccharide nanoparticle complex (or nanoplex in
short) represents a new class of supersaturating drug delivery systems intended
for bioavailability enhancement of poorly-soluble drugs. Not unlike other
nanoscale amorphous formulations, the nanoplex exhibits fast dissolution
characterized by a burst drug release pattern. While the burst release is ideal
for supersaturation generation in the presence of crystallization inhibitor, it
is not as ideal for passive targeting drug delivery applications in which the
nanoplex must be delivered by itself. Herein we developed nanoplex exhibiting
controlled release via crosslinking of the polysaccharide chains onto which the
drug molecules were electrostatically bound to. Curcumin and chitosan were used,
respectively, as the drug and polysaccharide models with amine-reactive
disuccinimidyl tartrate as the crosslinking agent. The crosslinked nanoplex
exhibited improved morphology (i.e. smaller size, more spherical, and higher
uniformity) that signified its more condensed structure. A twenty-fold reduction
in the initial burst release rate with a threefold reduction in the overall
dissolution rate was obtained after crosslinking. The slower dissolution was
attributed to the more condensed structure of the crosslinked nanoplex that
enhanced its dissociation stability in phosphate buffered saline. The reduction
in the dissolution rate was proportional to the degree of crosslinking that was
governed by the crosslinker to amine ratio. The crosslinking caused slight
reductions in the payload and zeta potential of the nanoplex, but with no adverse
effect on the cytotoxicity. This proof-of-concept study successfully demonstrated
the use of polysaccharide crosslinking to control the drug release from high
payload amorphous drug nanoplex.
PMID- 27179585
TI - Maternal serum perfluoroalkyl substances during pregnancy and duration of
breastfeeding.
AB - BACKGROUND: Perfluoroalkyl substances (PFAS) may affect breast development and
decrease duration of breastfeeding, thus interfering with the health benefits of
breastfeeding. We investigated the association between maternal PFAS exposure and
breastfeeding duration. METHODS: We measured PFAS concentrations in maternal
serum collected during pregnancy in 2003-2006. After delivery, women (n=336)
completed standardized breastfeeding surveys every 3 months until ending
breastfeeding or 36 months postpartum. We estimated relative risks (RRs) for
ending any breastfeeding within 3-6 months postpartum by Poisson regression,
adjusted for relevant confounding factors. RESULTS: Women in the 4th quartile of
perfluorooctanoic acid (PFOA) serum concentration had 1.77 times the risk of
ending any breastfeeding by 3 months (95% confidence interval (CI): 1.23, 2.54; p
trend=0.003) and 1.41 times the risk of ending any breastfeeding by 6 months
(95%CI: 1.06, 1.87; p-trend=0.038), compared with women in the first quartile.
Women in the 4th quartile of perfluorooctane sulfonic acid serum concentration
had a marginally increased risk of discontinuing any breastfeeding by 3 months
(RR=1.32; 95%CI: 0.97, 1.79; p-trend=0.065). CONCLUSIONS: Maternal serum PFOA
concentrations were inversely related to duration of any breastfeeding in this
cohort, even after controlling for prior breastfeeding. These findings suggest
that PFOA exposure may adversely affect breastfeeding duration and highlight the
need to consider the potential adverse effects of maternal environmental chemical
exposure on breastfeeding.
PMID- 27179587
TI - Development, preclinical safety, formulation, and stability of clinical grade
bevacizumab-800CW, a new near infrared fluorescent imaging agent for first in
human use.
AB - There is a dire need for better visualization of cancer and analysis of specific
targets in vivo. Molecular imaging with fluorescence is gaining more and more
attention, as it allows detection of these targets and has advantages over
radioactivity, such as no radiation dose, and lower costs. A key challenge in
optical imaging however, is translation of the newly developed tracers from pre
clinical phase to clinical application. We describe the development and safety
testing of clinical grade bevacizumab-800CW, an antibody-based targeted agent for
non-invasive imaging of vascular endothelial growth factor A (VEGF-A).
Development included implementing the manufacturing process and analytical
methods according to current Good Manufacturing Practice (cGMP), formulation
studies, extended characterization and stability testing. For safety pharmacology
an extended single dose toxicity study in mice was performed. Bevacizumab-800CW
was formulated in isotonic phosphate buffered sodium chloride solution at pH 7.
The production was robust and showed a reproducible labeling efficiency, and no
impurities. The binding affinity to VEGF-A remained intact. The optimized product
meets all release specifications, is stable up to at least 3months and its
characteristics did not significantly differ from the unlabeled bevacizumab.
Toxicity testing in mice showed no remarkable findings. In conclusion, sterile
bevacizumab-800CW (6mg=6ml) can be produced in stock according to current Good
Manufacturing Practice. It is ready for first-in-human use.
PMID- 27179588
TI - Dried blood spots analysis with mass spectrometry: Potentials and pitfalls in
therapeutic drug monitoring.
AB - Therapeutic drug monitoring (TDM) relays in the availability of specialized
laboratory assays, usually available in reference centers that are not accessible
to all patients. In this context, there is a growing interest in the use of dried
blood spot (DBS) sampling, usually obtained from finger pricks, which allows
simple and cost-effective logistics in many settings, particularly in Developing
Countries. The use of DBS assays to estimate plasma concentrations is highly
dependent on the hematocrit of the blood, as well as the particular
characteristics of the measured analyte. DBS assays require specific validation
assays, most of them are related to hematocrit effects. In the present
manuscript, the application of mass spectrometric assays for determination of
drugs for TDM purposes in the last ten years is reviewed, as well as the
particular validation assays for new DBS methods.
PMID- 27179589
TI - Caenorhabditis elegans AGXT-1 is a mitochondrial and temperature-adapted ortholog
of peroxisomal human AGT1: New insights into between-species divergence in
glyoxylate metabolism.
AB - In humans, glyoxylate is an intermediary product of metabolism, whose
concentration is finely balanced. Mutations in peroxisomal alanine:glyoxylate
aminotransferase (hAGT1) cause primary hyperoxaluria type 1 (PH1), which results
in glyoxylate accumulation that is converted to toxic oxalate. In contrast,
glyoxylate is used by the nematode Caenorhabditis elegans through a glyoxylate
cycle to by-pass the decarboxylation steps of the tricarboxylic acid cycle and
thus contributing to energy production and gluconeogenesis from stored lipids. To
investigate the differences in glyoxylate metabolism between humans and C.
elegans and to determine whether the nematode might be a suitable model for PH1,
we have characterized here the predicted nematode ortholog of hAGT1 (AGXT-1) and
compared its molecular properties with those of the human enzyme. Both enzymes
form active PLP-dependent dimers with high specificity towards alanine and
glyoxylate, and display similar three-dimensional structures. Interestingly, AGXT
1 shows 5-fold higher activity towards the alanine/glyoxylate pair than hAGT1.
Thermal and chemical stability of AGXT-1 is lower than that of hAGT1, suggesting
temperature-adaptation of the nematode enzyme linked to the lower optimal growth
temperature of C. elegans. Remarkably, in vivo experiments demonstrate the
mitochondrial localization of AGXT-1 in contrast to the peroxisomal
compartmentalization of hAGT1. Our results support the view that the different
glyoxylate metabolism in the nematode is associated with the divergent molecular
properties and subcellular localization of the alanine:glyoxylate
aminotransferase activity.
PMID- 27179590
TI - Identifying intrinsically disordered protein regions likely to undergo binding
induced helical transitions.
AB - Many proteins contain intrinsically disordered regions (IDRs) lacking stable
secondary and ordered tertiary structure. IDRs are often implicated in
macromolecular interactions, and may undergo structural transitions upon binding
to interaction partners. However, as binding partners of many protein IDRs are
unknown, these structural transitions are difficult to verify and often are
poorly understood. In this study we describe a method to identify IDRs that are
likely to undergo helical transitions upon binding. This method combines
bioinformatics analyses followed by circular dichroism spectroscopy to monitor
2,2,2-trifluoroethanol (TFE)-induced changes in secondary structure content of
these IDRs. Our results demonstrate that there is no significant change in the
helicity of IDRs that are not predicted to fold upon binding. IDRs that are
predicted to fold fall into two groups: one group does not become helical in the
presence of TFE and includes examples of IDRs that form beta-strands upon
binding, while the other group becomes more helical and includes examples that
are known to fold into helices upon binding. Therefore, we propose that
bioinformatics analyses combined with experimental evaluation using TFE may
provide a general method to identify IDRs that undergo binding-induced disorder
to-helix transitions.
PMID- 27179591
TI - Clinical training in the rural setting: using photovoice to understand student
experiences.
AB - INTRODUCTION: Attracting and retaining healthcare providers in rural locations in
the USA has been an issue for more than two decades. In response to this need,
many health sciences education institutions in the USA have developed special
programs to encourage students to become healthcare providers in rural locations.
One approach is the use of community-based education experiences through rural
track programs. Rural track programs seek to address the shortage of healthcare
providers working in rural areas by nurturing and educating students interested
in rural practice and primary care. Such programs serve both medical students and
students of other health professions. Yet, little is known about student
experiences in rural track programs. As such, this study aimed to generate
discourse on student experiences in the rural training environment and gain
insight into the impact of rural environments on student learning. METHODS: An
exploratory qualitative analysis of medical and physician assistant student
experiences in two rural medical education training programs was conducted using
the photovoice methodology. Photovoice is a participatory research method
combining photography with participant commentary and focus groups. RESULTS:
Twenty-two third-year medical and six second-year physician assistant students
participated in the study. Students noted that in their rural sites the learning
environment extended beyond direct clinical teaching in four primary ways: (1)
relationships with clinical faculty translated to a sense of meaningful
participation in healthcare teams; (2) connections with community members outside
of clinical settings led to increased awareness of healthcare concerns; (3) rural
settings provided important space to reflect on their experiences; and (4) the
importance of infrastructure was highlighted. Students also believed that
diversity of occupation, education, attitude, and perception of medical care
impact learning in rural environments. CONCLUSIONS: The photovoice participatory
research methodology allowed for a deeper understanding of the aspects of the
rural training experience that resonated most among students in real time, using
visual representations of students' lived experiences as defined by the students.
PMID- 27179592
TI - Evaluation of Apically Extruded Debris from Curved Root Canal Filling Removal
Using 5 Nickel-Titanium Systems.
AB - INTRODUCTION: This study evaluated the amount of apically extruded debris in the
retreatment of curved root canals using different nickel-titanium (NiTi) systems:
the ProTaper Universal Retreatment (Dentsply Maillefer, Ballaigues, Switzerland),
Mtwo Retreatment (VDW, Munich, Germany), D-Race Retreatment (FKG Dentaire, La
Chaux-de-Fonds, Switzerland), R-Endo Retreatment (Micro-Mega, Besancon, France),
and Reciproc (VDW) systems. METHODS: One hundred human mandibular premolars with
curved root canals were prepared with the Reciproc #25 .08 NiTi system filled
with AH Plus Jet (Dentsply DeTrey, Konstanz, Germany) sealer and gutta-percha and
divided into 5 groups (n = 20). The canal filling was removed with the ProTaper,
Mtwo, D-Race, and R-Endo retreatment systems and Reciproc instruments. Final
preparation was done with the Reciproc #40 .06 NiTi system. Extruded debris was
collected in preweighed Eppendorf tubes. The times required for retreatment were
recorded. RESULTS: Reciproc produced significantly (P < .001) more debris than
the other systems. ProTaper R and Mtwo R produced significantly (P < .001) more
debris than D-Race and R-Endo. The Reciproc group required significantly (P <
.001) less preparation time than the other groups. CONCLUSIONS: In the
retreatment of curved root canals, the Reciproc system extruded significantly
more debris than the rotary retreatment NiTi systems. In addition, the ProTaper
and Mtwo retreatment systems extruded significantly more debris than the R-Endo
and D-Race systems. The Reciproc system was significantly faster than the rotary
retreatment systems.
PMID- 27179593
TI - Evaluation of the Propidium Monoazide-quantitative Polymerase Chain Reaction
Method for the Detection of Viable Enterococcus faecalis.
AB - INTRODUCTION: One limitation of DNA-based molecular assays is their inability to
distinguish between live and dead cells. A sample treatment with propidium
monoazide (PMA) before DNA amplification has been proposed to overcome this
problem. The aim of this in vitro study was to test different concentrations of
PMA coupled with quantitative polymerase chain reaction (qPCR) for the detection
of viable Enterococcus faecalis. METHODS: Viable or heat-killed suspensions of E.
faecalis (106 colony-forming units/mL) were treated with PMA at 10, 50, and 100
MUg/mL before DNA extraction. qPCR was performed using primers complementary for
E. faecalis 16S ribosomal RNA sequence. PMA was also tested on bacteria
suspensions containing different proportions of viable and dead cells. Bacterial
suspensions without PMA treatment were used as positive controls. RESULTS: The
treatment of heat-killed suspensions with PMA at different concentrations
significantly reduced the DNA amplification when compared with the group without
treatment (P < .0001), indicating that DNA from dead cells was not used as
templates. The greatest reduction in qPCR amplification of dead cell DNA was
found when 100 MUg/mL PMA was used (P < .005). In mixtures containing live/dead
cells, PMA allowed selective detection of viable cells. CONCLUSIONS: PMA was
effective in inhibiting qPCR amplification from the DNA of dead cells, enabling
in vitro detection and quantification of viable cells of E. faecalis.
PMID- 27179594
TI - MicroRNAs serving as potential biomarkers and therapeutic targets in
nasopharyngeal carcinoma: A critical review.
AB - Despite significant medical advancement, nasopharyngeal carcinoma (NPC) remains
one of the most difficult cancers to detect and treat where it continues to
prevail especially among the Asian population. miRNAs could act as tumour
suppressor genes or oncogenes in NPC. They play important roles in the
pathogenesis of NPC by regulating specific target genes which are involved in
various cellular processes and pathways. In particular, studies on miRNAs related
to the Epstein Barr virus (EBV)-encoded latent membrane protein one (LMP1) and
EBVmiRNA- BART miRNA confirmed the link between EBV and NPC. Both miRNA and its
target genes could potentially be exploited for prognostic and therapeutic
strategies. They are also important in predicting the sensitivity of NPC to
radiotherapy and chemotherapy. The detection of stable circulating miRNAs in
plasma of NPC patients has raised the potential of miRNAs as novel diagnostic
markers. To conclude, understanding the roles of miRNA in NPC will identify ways
to improve the management of patients with NPC.
PMID- 27179595
TI - Distinct kinetics and mechanisms of mZVI particles aging in saline and fresh
groundwater: H2 evolution and surface passivation.
AB - Application of microscale zero-valent iron (mZVI) is a promising technology for
in-situ contaminated groundwater remediation; however, its longevity is
negatively impacted by surface passivation, especially in saline groundwater. In
this study, the aging behavior of mZVI particles was investigated in three media
(milli-Q water, fresh groundwater and saline groundwater) using batch experiments
to evaluate their potential corrosion and passivation performance under different
field conditions. The results indicated that mZVI was reactive for 0-7 days of
exposure to water and then gradually lost H2-generating capacity over the next
hundred days in all of the tested media. In comparison, mZVI in saline
groundwater exhibited the fastest corrosion rate during the early phase (0-7 d),
followed by the sharpest kinetic constant decline in the latter phases. The SEM
EDS and XPS analyses demonstrated that in the saline groundwater, a thin and
compact oxide film was immediately formed on the surface and significantly
shielded the iron reactive site. Nevertheless, in fresh groundwater and milli-Q
water, a passive layer composed of loosely and unevenly distributed precipitates
slowly formed, with abundant reactive sites available to support continuous iron
corrosion. These findings provide insight into the molecular-scale mechanism that
governs mZVI passivation and provide implications for long-term mZVI application
in saline contaminated groundwater.
PMID- 27179596
TI - Purification of heavy metal loaded wastewater from electroplating industry under
synthesis of delafossite (ABO2) by "Lt-delafossite process".
AB - In this study we present a new, environmental friendly and economic method,
called Lt-delafossite process to treat industrial wastewater (initial Cu(2+)
concentrations of 1-15.6 g/l) by subsequent synthesis of nano-crystalline (doped)
delafossite (CuFeO2) solely by precipitation and ageing at temperatures between
50 degrees C and 90 degrees C. The reached water purification rates are
exclusively >=99.99% for both wastewater models and wastewaters from
electroplating industry. We succeeded to synthesize a mixture of 3R and 2H
delafossite at 50 degrees C after 90 h and >=70 degrees C after 16 h of ageing
directly from industrial wastewater without any additional phases. In all cases
green rust (GR), a Fe(II-III) layered double hydroxysulphate, Cu2O (cuprite) and
Fe10O14(OH)2 (ferrihydrite) precipitates first. During ageing of the residues the
metastable phases transform to delafossite. The residues are characterized by
XRD, FTIR, SEM, TEM, VFTB and Mobetabauer measurements.
PMID- 27179597
TI - Water disinfection using silver nanoparticle impregnated activated carbon:
Escherichia coli cell-killing in batch and continuous packed column operation
over a long duration.
AB - Silver nanoparticles (Ag-NP) were selectively impregnated on the external surface
of plasma treated activated carbon (AC) granules (referred to as Ag-AC hybrid,
having 0.8 wt% of Ag), for achieving continuous disinfection of water in a single
flow-column set-up. First, Ag-NPs (28 nm mean size) were synthesized by UV
reduction. Subsequently, Escherichia coli cell-killing experiments were performed
in both shake flask (i. e. batch-mode) and flow-column (i. e. continuous-mode)
operations, using E. coli K12 (MTCC 1302) as a model organism. Batch results
using 8 mg Ag-AC hybrid/ml of cell suspension showed that, 10(4) CFU/ml of cells
were killed within 25 min contact time, with cell concentration decaying
exponentially in time. Maintaining almost the same contact time as in the batch
experiments, three columns packed with Ag-AC (all having a height of 25 cm but
increasing diameters of 1, 5 and 8 cm, respectively) were used for monitoring
cell-killing performance over a long duration. For all columns, inlet water
having 10(4) CFU/ml E. coli could be completely disinfected to produce treated,
outlet water having zero cell count. Specifically for the 8 cm diameter column, a
maximum throughput of treating 1.62 L of contaminated water per hour could be
maintained for at least up to 16 days. Moreover, the Ag concentration in the
outlet water was only up to 29.8 MUg/L at steady state, which is well within the
recommended limit of 100 MUg/L for drinking water. Hence, water disinfection for
potable quality water (zero E. coli count and <100 MUg/L Ag) can be achieved in a
continuous manner over a long duration, with our packed Ag-AC column.
PMID- 27179598
TI - Supercritical water oxidation of Quinazoline: Effects of conversion parameters
and reaction mechanism.
AB - The supercritical water oxidation reaction of quinazoline and a set of related
reaction products were investigated in batch reactors by varying the temperature
(T, 400-600 degrees C), time (t, 0-400 s), water density (rho, 70.79-166.28 kg
m(-3)) and oxidation coefficient (OC, 0-4.0). The TOC removal efficiency (CRE)
increased significantly as the OC increased, whereas this effect was very limited
at high OC (>2.0). Lack of oxygen resulted in low CRE and TN removal efficiency
(NRE), also cause coke-formation, and giving high yield of NH3 and nitrogenous
organic intermediates. Prolonging reaction time did not provide an appreciable
improvement on CRE but remarkably increased NRE at temperature higher than 500
degrees C. Pyrimidines and pyridines as the nitrogenous intermediates were
largely found in GC-MS spectrum. Polymerization among benzene, phenyl radical and
benzyl radical played important roles in the formation of PAHs, such as
naphthalene, biphenyl, phenanthrene. These collective results showed how the
yield of intermediate products responded to changes in the process variables,
which permitted the development of a potential reaction network for supercritical
water oxidation of quinazoline.
PMID- 27179599
TI - Rheumatoid arthritis and pulmonary nodules: An unexpected final diagnosis.
AB - We report the case of a 50-year-old female smoker with an 11-year history of
seropositive rheumatoid arthritis (rheumatoid factor and anti-cyclic
citrullinated peptide antibodies) receiving triple therapy. She developed
pulmonary nodules diagnosed as Langerhans cell histiocytosis by lung biopsy. We
found no reported cases of the coexistence of these two diseases. Smoking
abstinence led to radiologic resolution without modifying the immunosuppressive
therapy.
PMID- 27179600
TI - Beyond Cannabis: Plants and the Endocannabinoid System.
AB - Plants have been the predominant source of medicines throughout the vast majority
of human history, and remain so today outside of industrialized societies. One of
the most versatile in terms of its phytochemistry is cannabis, whose
investigation has led directly to the discovery of a unique and widespread
homeostatic physiological regulator, the endocannabinoid system. While it had
been the conventional wisdom until recently that only cannabis harbored active
agents affecting the endocannabinoid system, in recent decades the search has
widened and identified numerous additional plants whose components stimulate,
antagonize, or modulate different aspects of this system. These include common
foodstuffs, herbs, spices, and more exotic ingredients: kava, chocolate, black
pepper, and many others that are examined in this review.
PMID- 27179603
TI - Painting place: Re-imagining landscapes for older people's subjective wellbeing.
AB - This study provides insights into how a participatory painting activity impacts
older people's subjective wellbeing. The study uses qualitative methods to
analyze the findings, and employs qualitative data collection methods to examine
how creativity conducted in an environment of relational connectivity is
beneficial to wellbeing. The findings demonstrate that processes of re-imagining
landscape contribute to participants' retaining significant places in the mind
when physical engagement is limited. The study reveals how the activity of re
imagining landscape provokes emotions and memories that help participants connect
the past with the present, and to connect their older and younger-age selves,
positively to reaffirm their older age identity. The paper reflects on the
intervention as a form of encounter with landscape whose benefits are potentially
therapeutic for different groups, particularly those for whom engagement with
memories may assist with processes of adaptation or transition.
PMID- 27179602
TI - Fatty acid composition in serum correlates with that in the liver and non
alcoholic fatty liver disease activity scores in mice fed a high-fat diet.
AB - In this study, we investigated the correlation between the serum fatty acid
composition and hepatic steatosis, inflammation, hepatocellular ballooning
scores, and liver fatty acids composition in mice fed a high-fat diet. Livers
were collected for non-alcoholic fatty liver disease score analysis. Fatty acid
compositions were analysed by gas chromatography. Correlations were determined by
Pearson correlation coefficient. Exposed to a high-fat diet, mice developed fatty
liver disease with varying severity without fibrosis. The serum fatty acid
variation became more severe with prolonged exposure to a high-fat diet. This
variation also correlated significantly with the variation in livers, with the
types of fatty acids corresponding to liver steatosis, inflammation, and
hepatocellular ballooning scores. Results of this study lead to the following
hypothesis: the extent of serum fatty acid variation may be a preliminary
biomarker of fatty liver disease caused by high-fat intake.
PMID- 27179601
TI - Heteromeric alpha7beta2 Nicotinic Acetylcholine Receptors in the Brain.
AB - The alpha7 nicotinic acetylcholine receptor (alpha7 nAChR) is highly expressed in
the brain, where it maintains various neuronal functions including (but not
limited to) learning and memory. In addition, the protein expression levels of
alpha7 nAChRs are altered in various brain disorders. The classic rule governing
alpha7 nAChR assembly in the mammalian brain was that it was assembled from five
alpha7 subunits to form a homomeric receptor pentamer. However, emerging evidence
demonstrates the presence of heteromeric alpha7 nAChRs in heterologously
expressed systems and naturally in brain neurons, where alpha7 subunits are co
assembled with beta2 subunits to form a novel type of alpha7beta2 nAChR.
Interestingly, the alpha7beta2 nAChR exhibits distinctive function and
pharmacology from traditional homomeric alpha7 nAChRs. We review recent advances
in probing the distribution, function, pharmacology, pathophysiology, and
stoichiometry of the heteromeric alpha7beta2 nAChR, which have provided new
insights into the understanding of a novel target of cholinergic signaling.
PMID- 27179604
TI - Determinants and impact of suboptimal asthma control in Europe: The INTERNATIONAL
CROSS-SECTIONAL AND LONGITUDINAL ASSESSMENT ON ASTHMA CONTROL (LIAISON) study.
AB - BACKGROUND: According to the Global Initiative of Asthma, the aim of asthma
treatment is to gain and maintain control. In the INTERNATIONAL CROSS-SECTIONAL
AND LONGITUDINAL ASSESSMENT ON ASTHMA CONTROL (LIAISON) study, we evaluated the
level of asthma control and quality of life (QoL), as well as their determinants
and impact in a population consulting specialist settings. METHODS: LIAISON is a
prospective, multicentre, observational study with a cross-sectional and a 12
month longitudinal phase. Adults with an asthma diagnosis since at least 6
months, receiving the same asthma treatment in the 4 weeks before enrolment were
included. Asthma control was assessed with the 6-item Asthma Control
Questionnaire (ACQ) and QoL with the MiniAsthma Quality of Life Questionnaire
(MiniAQLQ). RESULTS: Overall, 8111 asthmatic patients were enrolled in 12
European countries. Asthma control was suboptimal in 56.5 % of patients and it
was associated with poorer asthma-related QoL, higher risk of exacerbations and
greater consumption of healthcare resources. Variables associated with suboptimal
control were age, gender, obesity, smoking and comorbidities. Major determinants
of poor asthma control were seasonal worsening and persisting exposure to
allergens/irritants/triggers, followed by treatment-related issues. CONCLUSIONS:
The cross-sectional phase results confirm that suboptimal control is frequent and
has a high individual and economic impact. TRIAL REGISTRATION: The
clinicaltrials.gov identifier is NCT01567280 .
PMID- 27179605
TI - Prediction of brain maturity in infants using machine-learning algorithms.
AB - Recent resting-state functional MRI investigations have demonstrated that much of
the large-scale functional network architecture supporting motor, sensory and
cognitive functions in older pediatric and adult populations is present in term-
and prematurely-born infants. Application of new analytical approaches can help
translate the improved understanding of early functional connectivity provided
through these studies into predictive models of neurodevelopmental outcome. One
approach to achieving this goal is multivariate pattern analysis, a machine
learning, pattern classification approach well-suited for high-dimensional
neuroimaging data. It has previously been adapted to predict brain maturity in
children and adolescents using structural and resting state-functional MRI data.
In this study, we evaluated resting state-functional MRI data from 50 preterm
born infants (born at 23-29weeks of gestation and without moderate-severe brain
injury) scanned at term equivalent postmenstrual age compared with data from 50
term-born control infants studied within the first week of life. Using 214
regions of interest, binary support vector machines distinguished term from
preterm infants with 84% accuracy (p<0.0001). Inter- and intra-hemispheric
connections throughout the brain were important for group categorization,
indicating that widespread changes in the brain's functional network architecture
associated with preterm birth are detectable by term equivalent age. Support
vector regression enabled quantitative estimation of birth gestational age in
single subjects using only term equivalent resting state-functional MRI data,
indicating that the present approach is sensitive to the degree of disruption of
brain development associated with preterm birth (using gestational age as a
surrogate for the extent of disruption). This suggests that support vector
regression may provide a means for predicting neurodevelopmental outcome in
individual infants.
PMID- 27179607
TI - Comparative in vitro study of cholinium-based ionic liquids and deep eutectic
solvents toward fish cell line.
AB - With the advent of ionic liquids, much was expected concerning their
applicability as an alternative to organic solvents in the chemical technology
and biotechnology fields. However, the most studied and commonly used ionic
liquids based on imidazolium and pyridinium were found not to be as
environmentally friendly as it was first expected. Therefore, a new generation of
alternative solvents named natural ionic liquids and deep eutectic solvents,
composed of natural and/or renewable compounds, have come into focus in recent
years. Since the number of newly synthesized chemicals increases yearly, simple
and reliable methods for their ecotoxicological assessment are necessary.
Permanent fish cell lines can serve as a test system for the evaluation of a
chemical's cytotoxicity. This paper presents research results on the cytotoxic
effects on Channel Catfish Ovary (CCO) cell line induced by fifteen cholinium
based ionic liquids and deep eutectic solvents. Based on the decrease in cell
viability, the most obvious toxic effect on CCO cells was caused by ionic liquid
choline oxalate, while other solvents tested exhibited low cytotoxicity.
Therefore, we can conclude that cholinium-based ionic liquids and deep eutectic
solvents are comparatively less toxic to CCO cells than conventional ionic
liquids.
PMID- 27179606
TI - Behavior, sensitivity, and power of activation likelihood estimation
characterized by massive empirical simulation.
AB - Given the increasing number of neuroimaging publications, the automated knowledge
extraction on brain-behavior associations by quantitative meta-analyses has
become a highly important and rapidly growing field of research. Among several
methods to perform coordinate-based neuroimaging meta-analyses, Activation
Likelihood Estimation (ALE) has been widely adopted. In this paper, we addressed
two pressing questions related to ALE meta-analysis: i) Which thresholding method
is most appropriate to perform statistical inference? ii) Which sample size,
i.e., number of experiments, is needed to perform robust meta-analyses? We
provided quantitative answers to these questions by simulating more than 120,000
meta-analysis datasets using empirical parameters (i.e., number of subjects,
number of reported foci, distribution of activation foci) derived from the
BrainMap database. This allowed to characterize the behavior of ALE analyses, to
derive first power estimates for neuroimaging meta-analyses, and to thus
formulate recommendations for future ALE studies. We could show as a first
consequence that cluster-level family-wise error (FWE) correction represents the
most appropriate method for statistical inference, while voxel-level FWE
correction is valid but more conservative. In contrast, uncorrected inference and
false-discovery rate correction should be avoided. As a second consequence,
researchers should aim to include at least 20 experiments into an ALE meta
analysis to achieve sufficient power for moderate effects. We would like to note,
though, that these calculations and recommendations are specific to ALE and may
not be extrapolated to other approaches for (neuroimaging) meta-analysis.
PMID- 27179608
TI - Toxicity and mutagenicity of low-metallic automotive brake pad materials.
AB - Organic friction materials are standardly used in brakes of small planes,
railroad vehicles, trucks and passenger cars. The growing transportation sector
requires a better understanding of the negative impact related to the release of
potentially hazardous materials into the environment. This includes brakes which
can release enormous quantities of wear particulates. This paper addresses in
vitro detection of toxic and mutagenic potency of one model and two commercially
available low-metallic automotive brake pads used in passenger cars sold in the
EU market. The model pad made in the laboratory was also subjected to a
standardized brake dynamometer test and the generated non-airborne wear particles
were also investigated. Qualitative "organic composition" was determined by GC/MS
screening of dichloromethane extracts. Acute toxicity and mutagenicity of four
investigated sample types were assessed in vitro by bioluminescence assay using
marine bacteria Vibrio fischeri and by two bacterial bioassays i) Ames test on
Salmonella typhimurium His(-) and ii) SOS Chromotest using Escherichia coli PQ37
strain. Screening of organic composition revealed a high variety of organic
compounds present in the initial brake pads and also in the generated non
airborne wear debris. Several detected compounds are classified by IARC as
possibly carcinogenic to humans, e. g. benzene derivatives. Acute toxicity
bioassay revealed a response of bacterial cells after exposure to all samples
used. Phenolic resin and wear debris were found to be acutely toxic; however in
term of mutagenicity the response was negative. All non-friction exposed brake
pad samples (a model pad and two commercial pad samples) were mutagenic with
metabolic activation in vitro.
PMID- 27179609
TI - miR-937 contributes to the lung cancer cell proliferation by targeting INPP4B.
AB - Lung cancer is the leading cause of cancer death worldwide, microRNAs play
critical role in the initiation and development of lung cancer. Here, we used MTT
assay, colony formation assay, soft agar growth assay and BrdU incorporation
assay to investigate miR-937's role in lung cancer. We found that miR-937 was
upregulated in lung cancer tissues and cells. Overexpression of miR-937 in A549
promoted anchorage -dependent and -independent growth, whereas knockdown of miR
937 reduced this effect. Meanwhile, we also found miR-937 overexpression
increased CCND1 and c-Myc levels in both mRNA and protein levels, knockdown of
miR-937 reduced this effect, confirming miR-937 promoted cell proliferation.
Mechanism analyses found polyphosphate 4-phosphatase type II (INPP4B) was the
target of miR-937, miR-937 directly bound to the 3'UTR of INPP4B, knockdown of
INPP4B in A549 with miR-937 inhibitor promoted anchorage -dependent and
independent growth, suggesting miR-937 contributed to cell proliferation of lung
cancer by inhibiting INPP4B, it might be a valuable target for lung cancer
therapy.
PMID- 27179610
TI - Analysing pseudoephedrine/methamphetamine policy options in Australia using multi
criteria decision modelling.
AB - BACKGROUND: In this paper we capture and synthesize the unique knowledge of
experts so that choices regarding policy measures to address methamphetamine
consumption and dependency in Australia can be strengthened. We examine
perceptions of the: (1) influence of underlying factors that impact on the
methamphetamine problem; (2) importance of various models of intervention that
have the potential to affect the success of policies; and (3) efficacy of
alternative pseudoephedrine policy options. METHODS: We adopt a multi-criteria
decision model to unpack factors that affect decisions made by experts and
examine potential variations on weight/preference among groups. Seventy experts
from five groups (i.e. academia (18.6%), government and policy (27.1%), health
(18.6%), pharmaceutical (17.1%) and police (18.6%)) in Australia participated in
the survey. RESULTS: Social characteristics are considered the most important
underlying factor, prevention the most effective strategy and Project STOP the
most preferred policy option with respect to reducing methamphetamine consumption
and dependency in Australia. One-way repeated ANOVAs indicate a statistically
significant difference with regards to the influence of underlying factors
(F(2.3, 144.5)=11.256, p<.001), effectiveness of interventions (F(2.4,
153.1)=28.738, p<.001) and policy options (F(2.8, 175.5)=70.854, p<.001).
CONCLUSION: A majority of respondents believed that genetic, biological,
emotional, cognitive and social factors are the most influential explanatory
variables in terms of methamphetamine consumption and dependency. Most experts
support the use of preventative mechanisms to inhibit drug initiation and delayed
drug uptake. Compared to other policies, Project STOP (which aims to disrupt the
initial diversion of pseudoephedrine) appears to be a more preferable
preventative mechanism to control the production and subsequent sale and use of
methamphetamine. This regulatory civil law lever engages third parties in
controlling drug-related crime. The literature supports third-party partnerships
as it engages experts who have knowledge and expertise with respect to prevention
and harm minimization.
PMID- 27179611
TI - Levosimendan for Heart Failure.
PMID- 27179612
TI - Advances in Imaging for the Management of Acute Aortic Syndromes: Focus on
Transesophageal Echocardiography and Type-A Aortic Dissection for the
Perioperative Echocardiographer.
PMID- 27179614
TI - Anesthetic Management of a Patient With Situs Inversus for Bilateral Orthotopic
Lung Transplantation.
PMID- 27179613
TI - Hemodynamic Testing of Patient-Specific Mitral Valves Using a Pulse Duplicator: A
Clinical Application of Three-Dimensional Printing.
AB - OBJECTIVE: To evaluate the feasibility of obtaining hemodynamic metrics of
echocardiographically derived 3-dimensional printed mitral valve models deployed
in a pulse-duplicator chamber. DESIGN: Exploratory study. SETTING: Tertiary-care
university hospital. PARTICIPANTS: Percutaneous MitraClip procedure patient.
INTERVENTIONS: Three-dimensional R-wave gated, full-volume transesophageal
echocardiography images were obtained after deployment of the MitraClip device. A
high-quality diastolic frame of the mitral valve was segmented using Mimics
Innovation Suite and merged with a flange. The data were exported as a
stereolithography (.stl) file, and a rigid 3-dimensional model was printed using
a MakerBot Replicator 2 printer. A flexible silicone cast then was created and
deployed in the pulse-duplicator chamber filled with a blood-mimicking fluid.
MEASUREMENTS AND MAIN RESULTS: The authors were able to obtain continuous-wave
Doppler tracings of the valve inflow with a transesophageal echocardiography
transducer. They also were able to generate diastolic ventricular and atrial
pressure tracings. Pressure half-time and mitral valve area were computed from
these measurements. CONCLUSION: This pulse duplicator shows promising
applications in hemodynamic testing of patient-specific anatomy. Future
modifications to the system may allow for visualization and data collection of
gradients across the aortic valve.
PMID- 27179615
TI - Distributed semi-supervised support vector machines.
AB - The semi-supervised support vector machine (S(3)VM) is a well-known algorithm for
performing semi-supervised inference under the large margin principle. In this
paper, we are interested in the problem of training a S(3)VM when the labeled and
unlabeled samples are distributed over a network of interconnected agents. In
particular, the aim is to design a distributed training protocol over networks,
where communication is restricted only to neighboring agents and no coordinating
authority is present. Using a standard relaxation of the original S(3)VM, we
formulate the training problem as the distributed minimization of a non-convex
social cost function. To find a (stationary) solution in a distributed manner, we
employ two different strategies: (i) a distributed gradient descent algorithm;
(ii) a recently developed framework for In-Network Nonconvex Optimization (NEXT),
which is based on successive convexifications of the original problem,
interleaved by state diffusion steps. Our experimental results show that the
proposed distributed algorithms have comparable performance with respect to a
centralized implementation, while highlighting the pros and cons of the proposed
solutions. To the date, this is the first work that paves the way toward the
broad field of distributed semi-supervised learning over networks.
PMID- 27179616
TI - Binary classification SVM-based algorithms with interval-valued training data
using triangular and Epanechnikov kernels.
AB - Classification algorithms based on different forms of support vector machines
(SVMs) for dealing with interval-valued training data are proposed in the paper.
L2-norm and Linfinity-norm SVMs are used for constructing the algorithms. The
main idea allowing us to represent the complex optimization problems as a set of
simple linear or quadratic programming problems is to approximate the Gaussian
kernel by the well-known triangular and Epanechnikov kernels. The minimax
strategy is used to choose an optimal probability distribution from the set and
to construct optimal separating functions. Numerical experiments illustrate the
algorithms.
PMID- 27179617
TI - Long-term epigenetic alterations in a rat model of Gulf War Illness.
AB - Gulf War Illness (GWI) is a chronic, multisymptom illness that affects 25% of the
700,000 US veterans deployed to the Persian Gulf during the 1990-1991 Gulf War.
Central nervous system impairments are among the most common symptoms reported,
including memory dysfunction and depression. After 25 years, the diagnosis
remains elusive, useful treatments are lacking, and the cause is poorly
understood, although exposures to pyridostigmine bromide (PB) and pesticides are
consistently identified to be among the strongest risk factors. Epigenetic
changes including altered microRNA (miRNA) expression and DNA methylation play an
important role in learning, memory, and emotion regulation and have been
implicated in various neurological disorders. In this study, we used an
established rat model of GWI to determine whether 1) chronic alterations in miRNA
expression and global DNA methylation and DNA hydroxymethylation are mechanisms
involved in the pathobiology of GWI, and 2) plasma exosome small RNAs may serve
as potential noninvasive biomarkers of this debilitating disease. One year after
a 28-day exposure regimen of PB, DEET (N,N-diethyl-3-methylbenzamide),
permethrin, and mild stress, expression of 84 mature miRNAs and global 5
methylcytosine (5mC) and 5-hydroxymethylcytosine (5hmC) content were analyzed in
the brains of GWI rats and vehicle controls by PCR array and enzyme-linked
immunosorbent assay, respectively. Plasma exosome RNA next-generation sequencing
analysis was performed in pooled samples to discover potential noninvasive
biomarkers. We found that combined exposure to low doses of GW-related chemicals
and mild stress caused epigenetic modifications in the brain that persisted one
year after exposure, including increased expression of miR-124-3p and miR-29b-3p
in the hippocampus and regional alterations in global 5mC and 5hmC content. GW
relevant exposures also induced the differential expression of two piwi
interacting RNAs (piRNAs) in circulation (piR-007899 and piR-019162). Results
from this study implicate a role for epigenetic alterations in GWI. Evaluation of
the diagnostic potential of plasma exosome RNAs in veterans with GWI is
warranted.
PMID- 27179619
TI - Influences of recovery from wildfire and thinning on soil respiration of a
Mediterranean mixed forest.
AB - The ecosystem recovery after wildfire and thinning practices are both key
processes that have great potential to influence fluxes and storage of carbon
within Mediterranean semiarid ecosystems. In this study, started 7years after a
wildfire, soil respiration (SR) patterns measured from 2008 to 2010 were compared
between an unmanaged-undisturbed mature forest stand (UB site) and a naturally
regenerated post-wildfire stand (B site) in a Mediterranean mixed forest in
Spain. The disturbed stand included a control zone (unthinned forest, BUT site)
and a thinned zone (BT site). Our results indicated that SR was lower at
naturally regenerated after fire sites (BUT and BT) than at unburnt one. Soil
under the canopy layer of pine and oak trees exhibited higher SR rates than bare
or herbaceous layer soils, regardless of the site. The effect of thinning was
only manifest, with a significant increase of SR, during the 1st year after
thinning practices. SR showed a clear soil temperature-dependent seasonal
pattern, which was strongly modulated by soil water content (SWC), especially in
summer. Site-specific polynomial regression models were defined to describe SR
responses, being mainly controlled by both soil temperature (Ts) and SWC at UB
site, or Ts at burnt sites. The sensitivity of SR rate to Ts variations (Q10)
ranged between 0.20 and 6.89, with mean annual values varying between 0.92 and
1.35. Q10 values were higher at BT than at UB-BUT sites. The results revealed a
significant, non-linear dependence, of Q10 on both Ts and SWC at UB site, and on
Ts at both burnt sites. This study contributes to (i) improve the understanding
of how natural recovery and management practices affect soil respiration in a
Mediterranean forest during their early stages after fire disturbance and (ii)
highlight the importance of Q10 values <1 which emphasizes drought stress effect
on SR temperature sensitivity.
PMID- 27179620
TI - Modelling macronutrient dynamics in the Hampshire Avon river: A Bayesian approach
to estimate seasonal variability and total flux.
AB - The macronutrients nitrate and phosphate are aquatic pollutants that arise
naturally, however, in excess concentrations they can be harmful to human health
and ecosystems. These pollutants are driven by river currents and show dynamics
that are affected by weather patterns and extreme rainfall events. As a result,
the nutrient budget in the receiving estuaries and coasts can change suddenly and
seasonally, causing ecological damage to resident wildlife and fish populations.
In this paper, we propose a statistical change-point model with interactions
between time and river flow, to capture the macronutrient dynamics and their
responses to river flow threshold behaviour. It also accounts for the nonlinear
effect of water quality properties via nonparametric penalised splines. This
model enables us to estimate the daily levels of riverine macronutrient fluxes
and their seasonal and annual totals. In particular, we present a study of
macronutrient dynamics on the Hampshire Avon River, which flows to the southern
coast of the UK through the Christchurch Harbour estuary. We model daily data for
more than a year during 2013-14 in which period there were multiple severe
meteorological conditions leading to localised flooding. Adopting a Bayesian
inference framework, we have quantified riverine macronutrient fluxes based on
input river flow values. Out of sample empirical validation methods justify our
approach, which captures also the dependencies of macronutrient concentrations
with water body characteristics.
PMID- 27179618
TI - Complex translocation disrupting TCF4 and altering TCF4 isoform expression
segregates as mild autosomal dominant intellectual disability.
AB - BACKGROUND: Mutations of TCF4, which encodes a basic helix-loop-helix
transcription factor, cause Pitt-Hopkins syndrome (PTHS) via multiple genetic
mechanisms. TCF4 is a complex locus expressing multiple transcripts by
alternative splicing and use of multiple promoters. To address the relationship
between mutation of these transcripts and phenotype, we report a three-generation
family segregating mild intellectual disability with a chromosomal translocation
disrupting TCF4. RESULTS: Using whole genome sequencing, we detected a complex
unbalanced karyotype disrupting TCF4
(46,XY,del(14)(q23.3q23.3)del(18)(q21.2q21.2)del(18)(q21.2q21.2)inv(18)(q21.2q21.
)t(14;18)(q23.3;q21.2)(14pter(r)14q23.3::18q21.2(r)18q21.2::18q21.1(r)18qter;18pt
r(r)18q21.2::14q23.3(r)14qter). Subsequent transcriptome sequencing, qRT-PCR and
nCounter analyses revealed that cultured skin fibroblasts and peripheral blood
had normal expression of genes along chromosomes 14 or 18 and no marked changes
in expression of genes other than TCF4. Affected individuals had 12-33 fold
higher mRNA levels of TCF4 than did unaffected controls or individuals with PTHS.
Although the derivative chromosome generated a PLEKHG3-TCF4 fusion transcript,
the increased levels of TCF4 mRNA arose from transcript variants originating
distal to the translocation breakpoint, not from the fusion transcript.
CONCLUSIONS: Although validation in additional patients is required, our findings
suggest that the dysmorphic features and severe intellectual disability
characteristic of PTHS are partially rescued by overexpression of those short
TCF4 transcripts encoding a nuclear localization signal, a transcription
activation domain, and the basic helix-loop-helix domain.
PMID- 27179621
TI - Human Adaptive Natural Killer Cells: Beyond NKG2C.
AB - Paradigm-shifting studies have identified NKG2C(+) adaptive natural killer (NK)
cells in individuals infected with cytomegalovirus. Recently in Cell Reports, Liu
et al. demonstrate that NKG2C(-/-) HCMV(+) individuals also generate adaptive NK
cells, and reveal CD2 as a major co-stimulatory receptor for these NK cells
specialized to respond via FcgammaRIIIa/CD16.
PMID- 27179622
TI - Oxidative stress and hypoxia in normal and leukemic stem cells.
AB - The main hematopoietic stem cell (HSC) functions, self-renewal and
differentiation, are finely regulated by both intrinsic mechanisms such as
transcriptional and epigenetic regulators and extrinsic signals originating in
the bone marrow microenvironment (HSC niche) or in the body (humoral mediators).
The interaction between regulatory signals and cellular metabolism is an emerging
area. Several metabolic pathways function differently in HSCs compared with
progenitors and differentiated cells. Hypoxia, acting through hypoxia-inducing
factors, has emerged as a key regulator of stem cell biology and acts by
maintaining HSC quiescence and a condition of metabolic dormancy based on
anaerobic glycolytic energetic metabolism, with consequent low production
reactive oxygen species (ROS) and high antioxidant defense. Hematopoietic cell
differentiation is accompanied by changes in oxidative metabolism (decrease of
anaerobic glycolysis and increase of oxidative phosphorylation) and increased
levels of ROS. Leukemic stem cells, defined as the cells that initiate and
maintain the leukemic process, show peculiar metabolic properties in that they
are more dependent on oxidative respiration than on glycolysis and are more
sensitive to oxidative stress than normal HSCs. Several mitochondrial
abnormalities have been described in acute myeloid leukemia (AML) cells,
explaining the shift to aerobic glycolysis observed in these cells and offering
the unique opportunity for therapeutic metabolic targeting. Finally, frequent
mutations of the mitochondrial isocitrate dehydrogenase-2 (IDH2) enzyme are
observed in AML cells, in which the mutated enzyme acts as an oncogenic driver
and can be targeted using specific inhibitors under clinical evaluation with
promising results.
PMID- 27179623
TI - Granulomatosis with polyangiitis (Wegener's granulomatosis) causing atlantoaxial
instability: a case report.
AB - BACKGROUND CONTEXT: No previous cases of atlantoaxial instability due to
granulomatosis with polyangiitis have been reported. PURPOSE: The aim of this
study was to report a case of granulomatosis with polyangiitis causing
atlantoaxial instability. STUDY DESIGN: This is a case report. PATIENT SAMPLE: A
45-year-old woman participated in this study. OUTCOME MEASURES: The patient's
pain and atlantoaxial instability were resolved. METHODS: A 45-year-old Caucasian
woman with a large ulcerative lesion in her oropharynx initially presented with
chronic sinusitis, pharyngitis, and severe odynophagia. Years after her original
symptoms began, she developed neck pain radiating into her upper trapezial region
and shoulders. RESULTS: Atlantoaxial fusion was performed on the patient,
resolving her neck, upper trapezial, and shoulder pain. She was diagnosed with
granulomatosis with polyangiitis (formerly Wegener's granulomatosis) and treated
with cyclophosphamide. CONCLUSIONS: Granulomatosis with polyangiitis should be
part of the working differential diagnosis for non-traumatic cervical spine
injury. The atlantoaxial instability can be managed with stabilization, and the
disease process itself can be treated with cyclophosphamide.
PMID- 27179624
TI - Rare true-positive outcome of spinal cord monitoring in patients under age 4
years.
AB - BACKGROUND CONTEXT: Intraoperative monitoring (IOM) is becoming an essential
component in spinal surgery, but there are many different viewpoints about it in
patients under age 4 years. PURPOSE: This study aims to report some IOM features
in children under age 4 years. DESIGN/SETTING: This is a retrospective cases
study. PATIENT SAMPLE: A total of 37 children (35.76 months+/-1.47) and 120
patients with adolescent idiopathic scoliosis (AIS, 14.2 years) were recruited
between September 2012 and December 2014. OUTCOME MEASURES: Relevant monitoring
changes were identified as transcranial motor evoked potentials (MEP) or
somatosensory evoked potentials (SEP) loss associated with high-risk surgical
maneuvers. METHODS: Motor evoked potential, SEP, and free-run electromyography
(free-run EMG) were used for IOM. The IOM parameters (amplitude, latency, and
waveform) and monitoring outcomes (signal changes, true positive, and false
positive) were mainly analyzed in the patients under age 4 years. RESULTS: All
young patients presented stable MEP (90.6 uV+/-20.3) and SEP (1.01 uV+/-0.3)
baseline. The baseline success rate (100%) was the same as that in patients with
AIS; however, the MEP amplitude of young patients was significantly lower than
that of patients with AIS (90.6 uV+/-20.3 vs. 312.1 uV+/-25.2, n=120; **p<.01)
under the same stimulus parameters. Moreover, children under age 4 years have
more monitoring changes (18.9%, 7 of 37), but true-positive findings are rare
(0%) in our population. CONCLUSIONS: Intraoperative monitoring baseline can be
obtained satisfactorily in children under age 4 years, but true-positive findings
are rare; meanwhile, low MEP amplitude and poor waveforms are common.
PMID- 27179625
TI - Development of a clinically relevant impingement test method for a mobile bearing
lumbar total disc replacement.
AB - BACKGROUND CONTEXT: Total disc arthroplasty is an alternative therapy to spinal
fusion for the treatment of neck or low back pain and is hypothesized to reduce
the risk of disease progression to the adjacent spinal levels. Radiographic and
retrieval analyses of various total disc replacements (TDRs) have shown evidence
of impingement damage. Impingement of TDRs can occur when the device reaches the
limits of its functional range of motion, causing contact between peripheral
regions of the device. PURPOSE: Impingement can be associated with increased wear
and mechanical damage; however, impingement conditions are not simulated in
current standardized mechanical bench test methods. This study explored the test
conditions necessary to apply clinically relevant impingement loading to a lumbar
TDR in vitro. STUDY DESIGN: An experimental protocol was developed and evaluated
using in vivo retrievals for qualitative and quantitative validation. METHODS:
Retrieval analysis was conducted on a set of 11 size 3 retrieved Charite devices
using American Society for Testing and Materials F561 as a guide. The impingement
range of motion was determined using a combination of modeling and experiments,
and was used as an input in vitro testing. A 1-million cycle in vitro test was
then conducted, and the in vitro samples were characterized using methods similar
to the retreived devices. RESULTS: All in vitro tested samples exhibited
impingement regions and damage patterns consistent with retrieved devices.
Consistent with the retrievals, the impingement damage on the rim was a
combination of abrasive wear and plastic deformation. Micro computed tomography
(microCT) was used to quantitatively assess rim damage due to impingement. Rim
penetration was statistically lower in the retrievals when compared with both in
vitro groups. Rim elongation was comparable among all groups. The simulated-facet
group had statistically greater angular rim deformations than the retrieval group
and the no-facet group. CONCLUSIONS: Results demonstrate that clinically relevant
impingement seen on mobile bearings of lumbar TDRs can be replicated on the
bench.
PMID- 27179626
TI - Impact of gut microbiota on diabetes mellitus.
AB - Various functions of the gut are regulated by sophisticated interactions among
its functional elements, including the gut microbiota. These microorganisms play
a crucial role in gastrointestinal mucosa permeability. They control the
fermentation and absorption of dietary polysaccharides to produce short-chain
fatty acids, which may explain their importance in the regulation of fat
accumulation and the subsequent development of obesity-related diseases,
suggesting that they are a crucial mediator of obesity and its consequences. In
addition, gut bacteria play a crucial role in the host immune system, modulation
of inflammatory processes, extraction of energy from the host diet and
alterations of human gene expression. Dietary modulation of the human colonic
microbiota has been shown to confer a number of health benefits to the host.
Simple therapeutic strategies targeted at attenuating the progression of chronic
low-grade inflammation and insulin resistance are urgently required to prevent or
slow the development of diabetes in susceptible individuals. The main objective
of this review is to address the pathogenic association between gut microbiota
and diabetes, and to explore any novel related therapeutic targets. New insights
into the role of the gut microbiota in diabetes could lead to the development of
integrated strategies using probiotics to prevent and treat these metabolic
disorders.
PMID- 27179627
TI - Pharmacokinetics, biodistribution and excretion studies of neotuberostemonine, a
major bioactive alkaloid of Stemona tuberosa.
AB - Neotuberostemonine is a potent antitussive alkaloid extracted from Stemona
tuberosa. However, the pharmacokinetics, tissue distribution and excretion of
pure neotuberostemonine have not been reported. The present study was aimed to
investigate the pharmacokinetic parameters of neotuberostemonine by developing an
ultra-high performance liquid chromatography-tandem mass spectrometry method.
Neotuberostemonine and tetrahydropalmatine (internal standard, IS) in bio-samples
were extracted by protein precipitation with methanol and successfully separated
on a Zorbax Extend C18 column by using a mobile phase of acetonitrile and a
mixture of 0.1% formic acid and 5mM ammonium acetate. The detection was performed
by using positive ion electrospray ionization in multiple reaction monitoring
mode. The MS/MS ion transitions were monitored at m/z 376.1->302.0 for
neotuberostemonine and 355.8->192.0 for IS. After oral administration of
neotuberostemonine in rats, the Cmax and AUC0-infinity were 11.37ng/mL and
17.68ng.h/mL at 20mg/kg and 137.6ng/mL and 167.4ng.h/mL at 40mg/kg, and the t1/2
were 2.28 and 3.04h at 20 and 40mg/kg, respectively. The high neotuberostemonine
concentrations were found in intestine, stomach and liver, and there was no long
term accumulation of neotuberostemonine in tissues. Total recoveries of
neotuberostemonine were only 0.90% (0.19% in bile, 0.05% in urine and 0.66% in
feces), which might be resulted from the intestine and liver first-pass effects,
indicating that neotuberostemonine may be mainly excreted as its metabolites. All
above results would provide helpful information for the further pharmacological
and clinical studies of neotuberostemonine and the crude drug.
PMID- 27179629
TI - [Infective endocarditis by Streptococcus pluranimalium: Case report].
PMID- 27179628
TI - Frequencies of CYP2C9 polymorphisms in North Indian population and their
association with drug levels in children on phenytoin monotherapy.
AB - BACKGROUND: Phenytoin, mainly metabolized by cytochrome P450 enzyme system, has a
narrow therapeutic index and may have adverse effects due to inter-individual
variation in the dose requirement and genetic polymorphisms. This cross-sectional
study was done to study the prevalence of cytochrome P450 CYP2C9 polymorphisms in
Indian epileptic children and to see the effect of polymorphisms on serum levels
in epileptic children on phenytoin monotherapy. METHODS: We studied 89 epileptic
children of North Indian population, randomly selected, to see the genotypic and
allelic frequency of CYP2C9 and its association with drug levels on phenytoin
monotherapy. Analysis was done using STATA 9 Software. The results were analyzed
as prevalence at 95 % C.I. (Confidence Interval). The difference in mean
phenytoin serum levels between wild and mutant alleles was tested using Student's
T test for independent samples. P value less than 0.05 was considered
statistically significant. RESULTS: CYP2C9*1, *2 & *3 allelic frequencies were
85.4, 4.5 and 10.1 % respectively. CYP2C9*3 allelic group showed significantly
higher serum phenytoin levels compared to the wild variants (P = 0.009). There
was no statistically significant difference in the dose received (P = 0.12) and
side effects of CYP2C9*2 and CYP2C9*3 genotypes (P = 0.442 and 0.597
respectively) when compared with wild variant. CONCLUSION: CYP2C9*3 is more
common than *2 in the present study. All the polymorphisms demonstrated in our
study were heterozygous with no homozygosity. Serum phenytoin levels are higher
in polymorphic groups (*3) which suggest their poor metabolizing nature.
Genotyping may help to avoid toxicity and concentration-dependent adverse
effects.
PMID- 27179630
TI - Does a polyaxial-locking system confer benefits for osteosynthesis of the distal
fibula: A cadaver study.
AB - BACKGROUND: In plate osteosynthesis involving the distal fibula, antiglide
plating is superior to lateral plating in terms of the biomechanical properties.
The goal of this study was to examine whether polyaxial-locking implants confer
additional benefits in terms of biomechanical stability. METHODS: Seven pairs of
human cadaveric fibulae were subjected to osteotomy in a standardized manner to
simulate an uncomplicated Weber B fracture. The generated fractures were managed
with a dorsolateral antiglide plate. To this end, one fibula of the pair was
subjected to non-locking plating and the other to polyaxial-locking plating.
Biomechanical tests included quantification of the primary bending and torsional
stiffness. In addition, the number of cycles to failure in cyclic bending loading
were determined and compared. Bone mineral density was measured in all specimens.
RESULTS: Bone mineral density was comparable in both groups. Primary stability
was higher in the polyaxial-locking group under torsional loading, and higher in
the non-locking group under bending loading. The differences, however, were not
statistically significant. All specimens except for one fixed-angle construct
failed the cyclic loading test. The number of cycles to failure did not differ
significantly between polyaxial-locking and non-locking fixation. CONCLUSION: In
a cadaveric Weber B fracture model, we observed no differences in biomechanical
properties between polyaxial-locking and non-locking fixation using an antiglide
plate. Based on the biomechanical considerations, no recommendation can be made
regarding the choice of the implant. Further biomechanical and clinical studies
are required. CLINICAL RELEVANCE: Information on the behavior of polyaxial
locking plates is relevant to surgeons performing internal fixation of distal
fibula fractures.
PMID- 27179631
TI - Effects of antidepressants on postmenopausal bone loss - A 5-year longitudinal
study from the OSTPRE cohort.
AB - BACKGROUND: Osteoporosis and depression are major health problems worldwide. The
association between antidepressants, a treatment for depression, and bone health
needs more detailed exploration. OBJECTIVE: The present study investigates
antidepressant medication use and postmenopausal bone loss over time. METHODS: A
total of 1988 women (aged 57-67) participating in the Kuopio Osteoporosis Risk
Factor and Prevention Study (OSTPRE) cohort responded to a postal enquiry and had
their femoral neck bone mineral density (BMD) measured in 1999 and again in 2004.
Data on antidepressant use was obtained from the National Prescription Register.
Multiple regression techniques were used to test the associations, before and
after adjustment for anthropometric, medical, physical and lifestyle factors.
RESULTS: Over the five years of follow-up, 319 (16.0%) women purchased
antidepressants. Mean baseline femoral neck BMD for the entire study group was
881mg/cm(2) (SD 123) and mean 5-year bone loss was 6.0mg/cm(2) (SD 4.7). After
adjustments, users of tricyclic antidepressants (TCA) had greater annual BMD loss
than non-users (-3.6mg/cm(2) vs. -1.1mg/cm(2); P=0.031). Accelerated bone loss
was also associated with selective serotonin reuptake inhibitor's (SSRI) use
(P=0.001) and use of other antidepressants in a dose-response way, with the
latter only among women of low-weight and normal-weight women who had lost weight
over the study period. CONCLUSIONS: In conclusion, the use of SSRIs seems to
accelerate postmenopausal bone loss in a dose-response manner. Associations
between TCA and other antidepressant use and bone loss may also exist. Thus, the
possibility of increased risk of osteoporosis should be considered when
prescribing antidepressants for postmenopausal women.
PMID- 27179632
TI - Can preoperative thyroglobulin antibody levels be used as a marker for well
differentiated thyroid cancer?
AB - BACKGROUND: It has been reported that thyroglobulin antibody are more frequently
elevated in patients with thyroid cancercompared to general population. This
study aims at evaluating whether preoperative thyroglobulin antibody (TgAb)
levels increase the likelihood that a thyroid nodule is malignant. METHODS: A
retrospective review of 586 patients who underwent thyroidectomy was conducted.
Demographic data, TgAb levels, and final histopathology were recorded. Patients
were divided into two groups: TgAb positive (defined as TgAb >= 30 IU/ml) and
TgAb low/negative (defined as TgAb < 30). RESULTS: Preoperative TgAb levels were
available in 405 patients. There were 353 (87 %) patients in the TgAblow/negative
group (malignancy rate: 50.42 %) and 52 (13 %) patients in the TgAb positive
group (malignancy rate: 65.38 %). The sensitivity, specificity, positive
predictive value and negative predictive value of TgAb >= 30 IU/ml for thyroid
malignancy were 16.04 %, 90.67 %, 65.38 % and 49.58 %, respectively. The relative
risk of having a malignant thyroid nodule when the TgAb titers were>=30 IU/ml was
1.30 (CI1.04-1.62) and the odds ratio was 1.86 (CI 1.01-3.41). Both the Pearson
chi-square test (p = 0.024) and Fisher's exact test (p = 0.017) yielded
statistical significance between the two groups. CONCLUSIONS: In this study,
patients with preoperative TgAb >= 30 IU/ml had a higher rate of malignancy when
compared topatients with TgAb < 30 IU/ml. This suggests that an elevated TgAb
level may indicate that a thyroid nodule is at an increased risk for malignancy.
PMID- 27179633
TI - CD24 cell surface expression in Mvt1 mammary cancer cells serves as a biomarker
for sensitivity to anti-IGF1R therapy.
AB - BACKGROUND: The pro-tumorigenic effects of the insulin-like growth factor
receptor (IGF1R) are well described. IGF1R promotes cancer cell survival and
proliferation and prevents apoptosis, and, additionally it was shown that IGF1R
levels are significantly elevated in most common human malignancies including
breast cancer. However, results from phase 3 clinical trials in unselected
patients demonstrated lack of efficacy for anti-IGF1R therapy. These findings
suggest that predictive biomarkers are greatly warranted in order to identify
patients that will benefit from anti-IGF1R therapeutic strategies. METHODS: Using
the delivery of shRNA vectors into the Mvt1 cell line, we tested the role of the
IGF1R in the development of mammary tumors. Based on CD24 cell surface
expression, control and IGF1R-knockdown (IGF1R-KD) cells were FACS sorted into
CD24(-) and CD24(+) subsets and further characterized in vitro. The tumorigenic
capacity of each was determined following orthotopic inoculation into the mammary
fat pad of female mice. Tumor cells were FACS characterized upon sacrifice to
determine IGF1R effect on the plasticity of this cell's phenotype. Metastatic
capacity of the cells was assessed using the tail vein assay. RESULTS: In this
study we demonstrate that downregulation of the IGF1R specifically in cancer
cells expressing CD24 on the cell surface membrane affect both their morphology
(from mesenchymal-like into epithelial-like morphology) and phenotype in vitro.
Moreover, we demonstrate that IGF1R-KD abolished both CD24(+) cells capacity to
form mammary tumors and lung metastatic lesions. We found in both cells and
tumors a marked upregulation in CTFG and a significant reduction of SLP1
expression in the CD24(+)/IGF1R-KD; tumor-suppressor and tumor-promoting genes
respectively. Moreover, we demonstrate here that the IGF1R is essential for the
maintenance of stem/progenitor-like cancer cells and we further demonstrate that
IGF1R-KD induces in vivo differentiation of the CD24(+) cells toward the CD24(-)
phenotype. This further supports the antitumorigenic effects of IGF1R-KD, as we
recently published that these differentiated cells demonstrate significantly
lower tumorigenic capacity compared with their CD24(+) counterparts. CONCLUSIONS:
Taken together these findings suggest that CD24 cell surface expression may serve
as a valuable biomarker in order to identify mammary tumors that will positively
respond to targeted IGF1R therapies.
PMID- 27179634
TI - Time-programmed release of fluoroscein isocyanate dextran from micro-pattern
designed polymer scrolls.
AB - In this article we present a relevant strategy for a non-trivial time-programmed
release of water-soluble macromolecules from biocompatible MU-containers. The
system is based on self-scrolled chitosan acetate (CA) fibers, encapsulated in a
poly(dimethylsiloxane) matrix. Mass transfer between a fiber and the external
environment takes place via the only opened extremity of the fiber. Fluoroscein
isocyanate dextran (FID) is initially deposited at the inner surface of the CA
fiber according to a programmed pattern. The FID molecules became mobile after
the arriving of the swelling front, which propagates along the fiber's axis upon
the immersion of the system in aqueous solution. Diffusion of the macromolecules
into the environment is enabled by the open-tube geometry of the swollen part of
the fiber, while a programmed kinetics of the drug release is due to patterning
of the polymer film prior to rolling. The release of the macromolecules can be
retarded by a few hours according to the placement of the FID spot with respect
to the fibers orifice. A pulsatile release kinetics is demonstrated for a
discrete pattern. A few millimeter spacing of the FID spots results in a few
hours time interval between the release impulses. Random walk model is plugged in
the effective diffusion coefficient for Fick's law and the release kinetics are
simulated.
PMID- 27179636
TI - Stunned myocardium after an anesthetic procedure in a pediatric patient - case
report.
AB - Takotsubo syndrome (TTS) is an acquired transient type of systolic dysfunction
which mimics myocardial infarction clinically and electrocardiographically. TTS
is also known as stress cardiomyopathy, broken heart syndrome, apical ballooning,
reversible acute heart failure, neurogenic stunned myocardium or acute
catecholamine cardiomyopathy. This case report describes an uncommon presentation
of myocardial stunning after an anesthetic procedure. A 14-year-old girl with a
history of pineal cyst and hemiplegic migraine was admitted for control brain
magnetic resonance imaging. During anesthesia induction with propofol she
suffered bradycardia, which was reversed with atropine, followed by
tachyarrhythmia, reversed with lidocaine and precordial thump. Within hours she
developed pulmonary edema and global respiratory failure due to acute left
ventricular dysfunction. A transthoracic echocardiogram showed a dilated left
ventricle with global hypokinesia and depressed left ventricular systolic
function (ejection fraction <30%). The electrocardiogram showed persistent sinus
tachycardia and nonspecific ST-T wave abnormalities. Cardiac biomarkers were
elevated (troponin 2.42 ng/ml, proBNP 8248 pg/ml). She was placed on diuretics,
angiotensin-converting enzyme inhibitors, digoxin and dopamine. The clinical
course was satisfactory with clinical, biochemical and echocardiographic
improvement within four days. Subsequent echocardiograms showed no ventricular
dysfunction. The patient was discharged home on carvedilol, which was
discontinued after normalization of cardiac function on cardiac magnetic
resonance imaging. Few cases of TTS have been described in children, some of them
triggered by acute central nervous system disorders and others not fulfilling all
the classical diagnostic criteria. In this case the anesthetic procedure probably
triggered the TTS.
PMID- 27179637
TI - Left ventricular pseudoaneurysm - a challenging diagnosis.
AB - Left ventricular pseudoaneurysm is a rare complication of acute myocardial
infarction, associated with high mortality. However, it can present in a non
specific manner, complicating and delaying the diagnosis. The authors present the
case of a 65-year-old patient, hypertensive, with no other known relevant medical
history, who presented with chest pain, cough and left pleural effusion,
initially attributed to a pulmonary process. However, these were in fact the
result of a left ventricular pseudoaneurysm following silent acute myocardial
infarction. The diagnosis was suspected on echocardiography and confirmed by
cardiac magnetic resonance imaging, and the patient underwent successful surgical
pseudoaneurysm repair. This case illustrates an atypical presentation of a left
ventricular pseudoaneurysm, in which the manifestations resulted from pericardial
and pleural extension of the inflammatory process associated with contained
myocardial rupture. The case demonstrates the need for a high index of suspicion,
and the value of imaging techniques to confirm it, in order to proceed with
appropriate surgical treatment, and thus modify the course of the disease.
PMID- 27179638
TI - The mechanisms underlying the beneficial effects of exercise on bone remodeling:
Roles of bone-derived cytokines and microRNAs.
AB - Bone remodeling is highly dynamic and complex in response to mechanical loading,
such as exercise. In this review, we concluded that a number of individual
factors are disturbing the clinical effects of exercise on bone remodeling. We
updated the progress made on the differentiation of osteoblasts and osteoclasts
in response to mechanical loading, hoping to provide a theoretical basis to
improve bone metabolism with exercise. Increasing evidences indicate that bone is
not only a structural scaffold but also an endocrine organ, which secretes
osteocalcin and FGF23. Both of them have been known as a circulating hormone to
promote insulin sensitivity and reduce body fat mass. The effects of exercise on
these bone-derived cytokines provide a better understanding of how exercise
induced "osteokine" affects the whole-body homeostasis. Additionally, we
discussed recent studies highlighting the post-transcriptional regulation of
microRNAs in bone remodeling. We focus on the involvement of the microRNAs in
osteoblastogenesis and osteoclastogenesis, and suggest that microRNAs may be
critical for exercise-induced bone remodeling.
PMID- 27179635
TI - Periadventitial drug delivery for the prevention of intimal hyperplasia following
open surgery.
AB - BACKGROUND: Intimal hyperplasia (IH) remains a major cause of poor patient
outcomes after surgical revascularization to treat atherosclerosis. A multitude
of drugs have been shown to prevent the development of IH. Moreover, endovascular
drug delivery following angioplasty and stenting has been achieved with a marked
diminution in the incidence of restenosis. Despite advances in endovascular drug
delivery, there is currently no clinically available method of periadventitial
drug delivery suitable for open vascular reconstructions. Herein we provide an
overview of the recent literature regarding innovative polymer platforms for
periadventitial drug delivery in preclinical models of IH as well as insights
about barriers to clinical translation. METHODS: A comprehensive PubMed search
confined to the past 15years was performed for studies of periadventitial drug
delivery. Additional searches were performed for relevant clinical trials,
patents, meeting abstracts, and awards of NIH funding. RESULTS: Most of the
research involving direct periadventitial delivery without a drug carrier was
published prior to 2000. Over the past 15years there have been a surge of reports
utilizing periadventitial drug-releasing polymer platforms, most commonly
bioresorbable hydrogels and wraps. These methods proved to be effective for the
inhibition of IH in various animal models (e.g. balloon angioplasty, wire injury,
and vein graft), but very few have advanced to clinical trials. There are a
number of barriers that may account for this lack of translation. Promising new
approaches including the use of nanoparticles will be described. CONCLUSIONS: No
periadventitial drug delivery system has reached clinical application. For
periadventitial delivery, polymer hydrogels, wraps, and nanoparticles exhibit
overlapping and complementary properties. The ideal periadventitial delivery
platform would allow for sustained drug release yet exert minimal mechanical and
inflammatory stresses to the vessel wall. A clinically applicable strategy for
periadventitial drug delivery would benefit thousands of patients undergoing open
vascular reconstruction each year.
PMID- 27179639
TI - Disturbed MEK/ERK signaling increases osteoclast activity via the Hedgehog-Gli
pathway in postmenopausal osteoporosis.
AB - Postmenopausal osteoporosis is a worldwide health problem and is characterized by
increased and activated osteoclasts. However, the mechanism by which osteoclasts
are dysregulated in postmenopausal osteoporosis is not fully understood. In this
study, we found that the Hedgehog-Gli pathway was upregulated in postmenopausal
osteoporotic osteoclasts and that 17beta-estradiol both inhibited
osteoclastogenesis and induced osteoclast apoptosis by downregulating Hedgehog
Gli signaling. Furthermore, we demonstrated that the Hedgehog-Gli pathway was
negatively regulated by MEK/ERK signaling and that this effect was Sonic Hedgehog
(SHH)-dependent and was partially blocked by an anti-SHH antibody. Moreover, we
found that the stimulatory effect of Hedgehog signaling on osteoclastogenesis and
the inhibitory effect on osteoclast apoptosis were dependent on the Gli family of
transcription factors. The pathways and molecules that contribute to the
regulation of osteoclastogenesis and apoptosis represent potential new strategies
for designing molecular drugs for the treatment of postmenopausal osteoporosis.
PMID- 27179640
TI - On the microscopic and mesoscopic perturbations of lipid bilayers upon
interaction with the MPER domain of the HIV glycoprotein gp41.
AB - The effect of the 665-683 fragment of the HIV fusion glycoprotein 41,
corresponding to the MPER domain of the protein and named gp41MPER, on the
microscopic structure and mesoscopic arrangement of palmitoyl oleoyl
phosphatidylcholine (POPC) and POPC/sphingomyelin (SM)/cholesterol (CHOL) lipid
bilayers is analyzed. The microscopic structuring of the bilayers has been
studied by Electron Spin Resonance (ESR) spectroscopy, using
glycerophosphocholines spin-labelled in different positions along the acyl chain.
Transitions of the bilayer liquid crystalline state have been also monitored by
Differential Scanning Calorimetry (DSC). Changes of the bilayers morphology have
been studied by determining the dimension of the liposomes through Dynamic Light
Scattering (DLS) measurements. The results converge in showing that the sample
preparation procedure, the bilayer composition and the peptide/lipid ratio
critically tune the lipid response to the peptide/membrane interaction. When
gp41MPER is added to preformed liposomes, it positions at the bilayer interface
and the lipid perturbation is limited to the more external segments. In contrast,
if the peptide is mixed with the lipids during the liposome preparation, it
assumes a trans-membrane topology. This happens at all peptide/lipid ratios for
fluid POPC bilayers, while in the case of rigid POPC/SM/CHOL membranes a minimum
ratio has to be reached, thus suggesting peptide self-aggregation to occur.
Peptide insertion results in a dramatic increase of the lipid ordering and
bilayer stiffening, which reflect in significant changes in liposome average
dimension and distribution. The biological implications of these findings are
discussed.
PMID- 27179641
TI - Protein architecture and core residues in unwound alpha-helices provide insights
to the transport function of plant AtCHX17.
AB - Using Arabidopsis thaliana AtCHX17 as an example, we combine structural modeling
and mutagenesis to provide insights on its protein architecture and transport
function which is poorly characterized. This approach is based on the observation
that protein structures are significantly more conserved in evolution than linear
sequences, and mechanistic similarities among diverse transporters are emerging.
Two homology models of AtCHX17 were obtained that show a protein fold similar to
known structures of bacterial Na(+)/H(+) antiporters, EcNhaA and TtNapA. The
distinct secondary and tertiary structure models highlighted residues at
positions potentially important for CHX17 activity. Mutagenesis showed that
asparagine-N200 and aspartate-D201 inside transmembrane5 (TM5), and lysine-K355
inside TM10 are critical for AtCHX17 activity. We reveal previously unrecognized
threonine-T170 and lysine-K383 as key residues at unwound regions in the middle
of TM4 and TM11 alpha-helices, respectively. Mutation of glutamate-E111 located
near the membrane surface inhibited AtCHX17 activity, suggesting a role in pH
sensing. The long carboxylic tail of unknown purpose has an alternating beta
sheet and alpha-helix secondary structure that is conserved in prokaryote
universal stress proteins. These results support the overall architecture of
AtCHX17 and identify D201, N200 and novel residues T170 and K383 at the
functional core which likely participates in ion recognition, coordination and/or
translocation, similar to characterized cation/H(+) exchangers. The core of
AtCHX17 models according to EcNhaA and TtNapA templates faces inward and outward,
respectively, which may reflect two conformational states of the alternating
access transport mode for proteins belonging to the plant CHX family.
PMID- 27179642
TI - Stability-indicating UHPLC method for determination of nevirapine in its bulk
form and tablets: identification of impurities and degradation kinetic study.
AB - Nevirapine (NVP), a non-nucleoside reverse transcriptase inhibitor, is a drug
widely used in the treatment of Acquired Immunodeficiency Syndrome (AIDS). The
evaluation of NVP stability is of fundamental importance in order to guarantee
drug product efficacy, safety and quality. In this study, NVP active
pharmaceutical ingredient (API) and tablets were subjected to a detailed study of
forced degradation, employing several degrading agents (acid, alkaline, water,
metal ions, humidity, heat, light and oxidation agents). In order to determine
NVP and the degradation products formed, a stability-indicating UHPLC method
using fused core column was developed and validated. The separation was carried
out using a Poroshell 120C18 column (100*2.1mm i.d.; 2.7MUm particle size) and
the mobile phase was composed of acetonitrile and water in a gradient elution, at
a flow rate of 0.2ml/min. Chemical structures and mechanisms for the formation of
three degradation products were proposed by means of LC/MS-MS. Also, NVP
degradation kinetic was studied and its order of degradation evaluated. NVP was
degraded in acidic and oxidative conditions and the degradation profile for NVP
tablets and API were similar. The stability-indicating method proved to be
selective for NVP and its degradation products. Calibration curve was linear in
the range of 8-48MUg/ml and the method showed to be precise, accurate and robust
for both NVP API and tablets, with detection and quantification limits of
0.092MUg/ml and 0.174MUg/ml, respectively.
PMID- 27179643
TI - Tracking activity and function of microorganisms by stable isotope probing of
membrane lipids.
AB - Microorganisms in soils and sediments are highly abundant and phylogenetically
diverse, but their specific metabolic activity and function in the environment is
often not well constrained. To address this critical aspect in environmental
biogeochemistry, different methods involving stable isotope probing (SIP) and
detection of the isotope label in a variety of molecular compounds have been
developed. Here we review recent progress in lipid-SIP, a technique that combines
the assimilation of specific 13C-labeled metabolic substrates such as inorganic
carbon, methane, glucose and amino acids into diagnostic membrane lipid
compounds. Using the structural characteristics of certain lipid types in
combination with genetic molecular techniques, the SIP approach reveals the
activity and function of distinct microbial groups in the environment. More
recently, deuterium labeling in the form of deuterated water (D2O) extended the
lipid-SIP portfolio. Since lipid biosynthetic pathways involve hydrogen (H+)
uptake from water, lipid production can be inferred from the detection of D
assimilation into these compounds. Furthermore, by combining D2O and 13C
inorganic carbon (IC) labeling in a dual-SIP approach, rates of auto- and
heterotrophic carbon fixation can be estimated. We discuss the design, analytical
prerequisites, data processing and interpretation of single and dual-SIP
experiments and highlight a case study on anaerobic methanotrophic communities
inhabiting hydrothermally heated marine sediments.
PMID- 27179644
TI - Cell confluency analysis on microcarriers by micro-flow imaging.
AB - The productivity of cell culture-derived vaccines grown in anchorage-dependent
animal cells is limited by bioreactor surface area. One way to increase the
available surface area is by growing cells as monolayers on small spheres called
microcarriers, which are approximately 100-250 MUm in diameter. In order for
microcarrier-based cell culture to be a success, it is important to understand
the kinetics of cell growth on the microcarriers. Micro-flow imaging (MFI) is a
simple and powerful technique that captures images and analyzes samples as they
are drawn through a precision flow cell. In addition to providing size
distribution and defect frequency data to compare microcarrier lots, MFI was used
to generate hundreds of images to determine cell coverage and confluency on
microcarriers. Same-day manual classification of these images provided upstream
cell culture teams with actionable data that informed in-process decision making
(e.g. time of infection). Additionally, an automated cell coverage algorithm was
developed to increase the speed and throughput of the analyses.
PMID- 27179645
TI - Three homicides with darts tainted with succinylcholine: autopsy and toxicology.
AB - In emergency departments, intoxication with the muscle relaxant succinylcholine
(SUX) often leads to a potentially lethal respiratory paralysis or other
deleterious side effects. However, homicide cases with SUX poisoning are very
rare because the toxic or lethal concentration ranges of SUX have not yet been
determined. We described three uncommon homicide cases due to acute poisoning by
darts contaminated with SUX. All the victims died quickly (less than 30 min)
after being shot by an especially designed dart gun. Succinylmonocholine (SMC), a
metabolite of SUX, was used as a marker to detect the latter. HPLC-MS/MS analysis
demonstrated the presence of SUX in the droplet residues of the darts and SMC in
the blood and urine in all cases. SMC concentrations of 0.45, 14.0, and 17.9
ng/ml were detected in the victims' blood and 259.0 ng/ml in the urine from the
third case. The main pathological changes consisted of hemorrhage of the injured
soft tissues, visceral congestion, severe pulmonary edema, and multifocal
petechial hemorrhage of the heart and lungs. Taken together, the findings
supported a diagnosis of fatal SUX poisoning. Futhermore, our study provided a
reference for the lethal concentrations of SUX poisoning.
PMID- 27179647
TI - Journal of Echocardiography Award for the Year 2015.
PMID- 27179648
TI - Koch's postulates, microbial dysbiosis and inflammatory bowel disease.
AB - Over the past 20 years, a growing amount of evidence supports the role of
microbes and an imbalanced microbiota in inflammatory bowel disease (IBD). While
many reviews have been written on the microbiota in IBD, few have considered how
they fulfil the Koch's postulates. In this review, we consider how the Koch's
postulates might be modified so that they can be fulfilled for polymicrobial
diseases, and we discuss the progress made to date in fulfilling them.
PMID- 27179646
TI - The detection of gunshot residues in the nasal mucus of suspected shooters.
AB - The identification and quantification of metallic residues produced by gunshots,
called gunshot residues (GSR), provide crucial elements in forensic
investigations. The research has been largely focused on their collection onto
the hands of suspected shooters, but the method is often burdened by risks of
contamination. This research was focused on the possibility of sampling GSR
trapped inside the nasal mucus of consenting shooters. Samples of the nasal mucus
of "blank" control subjects and shooters were chemically analysed by Instrumental
Neutron Activation Analysis (INAA), for residues of antimony (Sb) and barium
(Ba), while lead (Pb) was excluded as ubiquitously environmental contaminant and
due to high instrumental quantification limit (IQL) of INAA for this element.
Shots were fired using two types of weapons (pistols and revolvers) and different
firing sequences. The mucus was sampled at different times: immediately after the
shots, after 30-60-120 and 180 min. Different amounts of Sb and Ba were detected
between controls and shooters, witnessing the ability of the nasal mucus to
retain GSR at concentrations significantly different even from the highest basal
levels. Moreover, in order to simulate actual cases, nasal mucus from five groups
of shooters was sampled after different shots with the same weapon and
cartridges, immediately and after 1, 3, 12, and 24 h. The highest values were
always found in the first 3 h from firing, for both weapons. Interestingly, for
all the weapons, significant Sb and Ba concentrations were also found up to 12 h
after firing, contrary to what occurs on hands, even though a progressive
decrease was detected, with values below the detection threshold only after 24 h,
thus demonstrating that GSR are persistent in nasal mucus. These first results
proving that both Sb and Ba were qualitatively detectable in the nasal mucus of
shooters indicate that the chemical analysis of the nasal mucus of suspected
shooters may represent a promising tool in the forensic field since it is less
burdened by problems related to sampling or contamination than the usual sampling
on hand, providing that ammunitions employed contain Ba and Sb.
PMID- 27179649
TI - Accidental blood exposures among emergency medicine residents and young
physicians in France: a national survey.
AB - The aim of the present study was to investigate the epidemiological
characteristics of blood or fluid exposure (BFE) and occupational infection risk
among emergency medicine (EM) residents and young physicians (<35 years old) in
France. We led a cross-sectional, anonymous, online survey. 1779 participants
were contacted with a response rate of 36 % (n = 633). Among the respondents, 459
(72 %) reported at least one BFE. Among participants with at least one BFE, 35 %
(n = 163) never reported the exposure to the relevant medical authorities or
support. Among participants who reported exposure, 63 % (n = 232) did it
immediately. Among participants who never or not systematically reported their
BFE, most of them (62 %, n = 181/289) did not do so because the procedure was too
long, and 28 % (n = 82/289) estimated the risk as low even if only one-third (n =
166/458) checked their HIV status even though the BFE was at a transmission risk.
Circumstances in which the participants had the most BFE were: suturing 57 % (n =
262/457) and when making precipitated gestures 24 % (n = 111/457). The latest
exposures were caused by a solid needle in 42 % (n = 191/455) or hollow-bore
needle in 27 % (n = 123/455). Post-exposure reporting rates were low. Reporting
procedure itself and self-management were the main reasons for BFE
underreporting. Simplifying procedures might increase BFE reporting, and allow
appropriate post-exposure counseling and/or prophylaxis.
PMID- 27179650
TI - Utilization of chemical shift MRI in the diagnosis of disorders affecting
pediatric bone marrow.
AB - OBJECTIVE: MRI signal intensity of pediatric bone marrow can be difficult to
interpret using conventional methods. Chemical shift imaging (CSI), which can
quantitatively assess relative fat content, may improve the ability to accurately
diagnose bone marrow abnormalities in children. METHODS: Consecutive pelvis and
extremity MRI at a children's hospital over three months were retrospectively
reviewed for inclusion of CSI. Medical records were reviewed for final
pathological and/or clinical diagnosis. Cases were classified as normal or
abnormal, and if abnormal, subclassified as marrow-replacing or non-marrow
replacing entities. Regions of interest (ROI) were then drawn on corresponding in
and out-of-phase sequences over the marrow abnormality or over a metaphysis and
epiphysis in normal studies. Relative signal intensity ratio for each case was
then calculated to determine the degree of fat content in the ROI. RESULTS: In
all, 241 MRI were reviewed and 105 met inclusion criteria. Of these, 61 had
normal marrow, 37 had non-marrow-replacing entities (osteomyelitis without
abscess n = 17, trauma n = 9, bone infarction n = 8, inflammatory arthropathy n =
3), and 7 had marrow-replacing entities (malignant neoplasm n = 4, bone cyst n =
1, fibrous dysplasia n = 1, and Langerhans cell histiocytosis n = 1). RSIR
averages were: normal metaphyseal marrow 0.442 (0.352-0.533), normal epiphyseal
marrow 0.632 (0.566-698), non-marrow-replacing diagnoses 0.715 (0.630-0.799), and
marrow-replacing diagnoses 1.06 (0.867-1.26). RSIR for marrow-replacing entities
proved significantly different from all other groups (p < 0.05). ROC analysis
demonstrated an AUC of 0.89 for RSIR in distinguishing marrow-replacing entities.
CONCLUSION: CSI techniques can help to differentiate pathologic processes that
replace marrow in children from those that do not.
PMID- 27179651
TI - Assessment of trunk muscle density using CT and its association with degenerative
disc and facet joint disease of the lumbar spine.
AB - OBJECTIVE: The purpose of this study was (1) to evaluate the association of trunk
muscle density assessed by computed tomography (CT) with age, gender, and BMI and
(2) to evaluate the association between trunk muscle CT density and degenerative
disc and facet joint disease of the lumbar spine. MATERIAL AND METHODS: The study
was IRB approved and HIPAA compliant. The study group comprised 100 subjects
(mean age 44.4 +/- 22.2 years, 51 % male) who underwent CT of the abdomen and
pelvis without intravenous contrast. Exclusion criteria included prior abdominal
or spine surgery, active malignancy and scoliosis. CTs were reviewed and the
attenuation of the rectus abdominis, transverse abdominis, internal and external
obliques, psoas, multifidus, longissimus and gluteus maximus were measured
bilaterally at consistent levels. Degenerative disc and bilateral facet joint
disease were scored using established methods. Univariate analyses were performed
using linear regression. Multivariate linear regression was performed to adjust
for age, gender and BMI. RESULTS: CT density of each trunk muscle correlated
inversely with age (p < 0.001) and BMI (p < 0.001). CT density of each trunk
muscle correlated inversely with degenerative disc and facet joint disease in the
univariate analyses (p < 0.001); however, only the gluteus maximus and the
transverse abdominis remained significant predictors of degenerative disc and
facet joint disease respectively in the multivariate analysis. CONCLUSION: Fatty
infiltration of trunk musculature increases with age and BMI. Fatty infiltration
of the gluteus maximus and transverse abdominis are associated with degenerative
disc and facet joint disease, independent of age, gender and BMI.
PMID- 27179652
TI - Periosteal chondroma with spinal cord compression in the thoracic spinal canal: a
case report.
AB - Periosteal chondroma is a very unusual cartilaginous neoplasm of the spinal
canal. We herein report a case of periosteal chondroma in a 41-year-old male who
presented with gait disturbance and paresthesia of both lower extremities.
Magnetic resonance (MR) images showed an extradural mass which caused compression
of the spinal cord at the T5/6 level. The mass showed iso-signal intensity on T1
weighted images, high signal intensity on T2-weighted images, and nodular and
peripheral rim enhancement on post-contrast T1-weighted images. Computed
tomography (CT) images showed a mass with punctate calcifications and extension
into the left T5/6 neural foramen. MR and CT images showed extrinsic cortical
bone erosion of the posterior inferior body of T5 and superior pedicle of T6,
bone remodeling with overhanging margins, and sclerosis adjacent to the tumor.
The patient underwent a complete excision of the mass by left T5/6 hemi
laminectomy and exhibited complete resolution of his symptoms. Histopathologic
examination revealed periosteal chondroma. Tumor recurrence was not recorded
during the 18-month follow-up period.
PMID- 27179654
TI - Prevalence of inter-appointment endodontic flare-ups and host-related factors.
AB - OBJECTIVES: The aims of this study were to report the prevalence of inter
appointment flare-ups following adequate root canal disinfection and to
investigate the host factors contributing to its occurrence. MATERIALS AND
METHODS: One thousand five hundred patient records were reviewed and the
prevalence of flare-up was recorded. Patients' root canal space status (vital,
non-vital or retreatment), medical condition and demographics (age, gender, tooth
type and position) were recorded from their dental records. Statistical analyses
were performed to determine the impact of the recorded factors on flare-up
occurrence. RESULTS: Nine hundred fifty-one patient records met the inclusion
criteria. The prevalence of flare-up was 2.3 %. There was a correlation between
the canal space status and patient's age with flare-up development (P < 0.05).
There was no association between flare-up occurrence and tooth type, location,
gender or medical condition (P > 0.5). CONCLUSION: The root canal space status
was the primary factor affecting flare-up occurrence. Patients >50 years had the
highest risk in developing flare-ups. CLINICAL RELEVANCE: This article provides
evidence that patients suffering from inflamed pulp will not develop flare-up if
adequate cleaning and shaping of the root canal space was performed. It also
shows that patients above the age of 50 are a high-risk group that is prone to
flare-up development.
PMID- 27179653
TI - In vitro evaluation of different dental materials used for the treatment of
extensive cervical root defects using human periodontal cells.
AB - INTRODUCTION: Repair materials for extensive cervical root defects may come in
direct contact with periodontal tissues. This in vitro study compared the effects
of four calcium silicate cements (CSC), one resin-modified glass ionomer cement,
and one glass carbomer cement on primary human gingival fibroblasts (HGF),
alveolar osteoblasts (HAO), and a human osteoblast cell line (hFOB 1.19).
METHODS: HGF, HAO, and hFOB were seeded on discoid test specimens. Relative
numbers of viable cells were quantitatively assessed after 1 and 24 h for
cytotoxicity/adhesion assays and after 4, 24, 48, and 72 h for proliferation
assays. Data were statistically analyzed using non-parametric tests (alpha =
0.05). RESULTS: Relative to the control (100 %), CSC allowed for mean numbers of
71-81 % viable HGF and 80-82 % viable HAO. Then, 64 % of HGF and 56 % of HAO were
assessed on GC Fuji II LC. Mean numbers of viable cells were 59-64 % HGF and 67
68 % HAO for GCP Glass Fill specimens. Cells exposed to CSC over 24 h remained
viable and even increased in number. Both cell types adhered almost equally well
to CSC and GC Fuji II LC. GCP Glass Fill continued to decrease cell viability and
adhesion. CSC-based materials and GC Fuji II LC allowed for HGF and hFOB
proliferation; however, none of the tested materials specifically stimulated cell
proliferation. CONCLUSIONS: CSC characterized by low cytotoxicity. GC Fuji II LC
shows moderate cytotoxic effects. ProRoot MTA, Harvard MTA, Biodentine,
EndoSequence putty, and GC Fuji II LC allow HGF and HAO to adhere and HGF and
hFOB to proliferate. GCP Glass Fill decreases cell viability, adhesion, and
proliferation. CLINICAL RELEVANCE: CSC remain the paramount biologic choice for
the repair of extensive cervical root defects. GC Fuji II LC might be considered
in addition to CSC when the defect comprises supracrestal areas and the
restoration requires superior aesthetic and mechanical characteristics.
PMID- 27179655
TI - Worsening of lipid metabolism after successful treatment of primary
aldosteronism.
AB - Primary aldosteronism (PA) describes the most frequent cause of secondary
arterial hypertension. Recently, deterioration of lipid metabolism after
adrenalectomy (ADX) for aldosterone-producing adenoma (APA) has been described.
We analysed longitudinal changes in lipid profiles in a large prospective cohort
of PA patients. Data of 215 consecutive PA patients with APA (n = 144) or
bilateral idiopathic adrenal hyperplasia (IHA, n = 71) were extracted from the
database of the German Conn's Registry. Patients were investigated before and 1
year after successful treatment by ADX or by mineralocorticoid receptor
antagonists (MRA). Glomerular filtration rate (GFR), fasting plasma glucose and
components of lipid metabolism including triglycerides (TG), total cholesterol
(TC), low-density lipoprotein cholesterol (LDL-C) and high-density lipoprotein
cholesterol (HDL-C) were determined at 8.00 after a 12-h fasting period. One year
after initiation of treatment mean serum potassium levels and blood pressure
normalized in the patients. HDL-C and TG developed inversely with decreasing HDL
C levels in patients with APA (p = .046) and IHA (p = .004) and increasing TG
levels (APA p = .000; IHA p = .020). BMI remained unchanged and fasting plasma
glucose improved in patients with APA (p = .004). Furthermore, there was a
significant decrease of GFR in both subgroups at follow-up (p = .000). Changes in
HDL-C and TG correlated with decrease in GFR in multivariate analysis (p = .024).
Treatment of PA is associated with a deterioration of lipid parameters despite
stable BMI and improved fasting plasma glucose and blood pressure. This effect
can be explained by renal dysfunction following ADX or MRA therapy.
PMID- 27179656
TI - Inhibition of BRAF kinase suppresses cellular proliferation, but not enough for
complete growth arrest in BRAF V600E mutated papillary and undifferentiated
thyroid carcinomas.
AB - The aim of our study was to inhibit BRAF kinase expression and investigate its
effect on cellular functions in thyroid carcinomas. 8505C (BRAF V600E/V600E)
undifferentiated thyroid carcinoma cell line and B-CPAP (BRAF V600E/V600E)
papillary thyroid carcinoma cell line were used to develop doxycycline-inducible
anti-BRAF shRNA stable cell lines. The inhibitions of BRAF expression in these
cells were confirmed with qPCR and Western blot. Impacts of BRAF protein
inhibition on cellular functions and signalling pathways were observed through
Western blot, proliferation and colony formation assays. BRAF kinase expression
was inhibited 83 % in undifferentiated thyroid carcinoma and 82 % in papillary
thyroid carcinoma (p < 0.05). As a result of BRAF kinase inhibition, reduction in
MEK kinase activity was seen (p < 0.05) in both thyroid cancer cell lines (72 and
75 %, respectively). Initially, big drop in proliferation (p < 0.05) was observed
(52 and 54 %, respectively), but later an increasing proliferation trend was
noticed in BRAF kinase-inhibited cell lines. In addition, reduction in colony
formation (p < 0.05) was seen in BRAF kinase-inhibited carcinoma cells (13 and 15
%, respectively). On the other hand, increase in AKT kinase activity (63 and 70
%, respectively; p < 0.05) was discovered in both BRAF kinase-inhibited carcinoma
cells. Increased activation of alternative proliferation pathways (as determined
by the increase of AKT kinase activity) counteracts the effect of BRAF kinase
inhibition in thyroid carcinomas. Thus, alternative proliferation pathways should
be inhibited for therapeutic suppression of BRAF-induced proliferation in thyroid
carcinomas.
PMID- 27179657
TI - Left ventricular geometry and 24-h blood pressure profile in Cushing's syndrome.
AB - Cushing's syndrome (CS) is associated with cardiovascular disease. The impact of
the hemodynamic load on left ventricular mass (LVM) in patients with CS is not
well known. In fact, the effects of 24-h blood pressure (BP) load and BP
circadian rhythm on cardiac structure and function have not been studied. Aim of
the present study has thus been to assess the presence of cardiac remodeling in
patients with newly diagnosed CS, combining evaluation of cardiac remodeling and
assessment of BP burden derived by 24-h ambulatory blood pressure monitoring
(ABPM). 25 patients (4 M, 21 F) with CS underwent echocardiography in order to
assess cardiac morphology and geometry (relative wall thickness-RWT). As
controls, 25 subjects similar for demographic characteristics and 24-h BP were
used. CS Patients were similar to controls by age, sex, mean 24-h BP, and body
mass index. There was a significant increase in left ventricular mass (LVM; 44.4
+/- 14.7 vs. 36.9 +/- 10 g/m2.7, p = 0.03) and a significant increase in RWT
(0.46 +/- 0.07 vs. 0.41 +/- 0.08, p = 0.02) in CS patients compared to controls.
The prevalence of CS patients with pressure non-dipping profile was greater than
that of controls (56 vs. 16 %, p < 0.05), with no significant association with
LVM or geometry. 24-h urinary cortisol was not associated with LVM (r = 0.1, p =
0.5) or RWT (r = 0.02, p = 0.89) in the CS group. In conclusion, LVM and the
concentric pattern of the left ventricle are relatively independent from 24-h BP
load and profile (dipping/non-dipping) in CS patients.
PMID- 27179658
TI - Morphology of the pancreas in type 2 diabetes: effect of weight loss with or
without normalisation of insulin secretory capacity.
AB - AIMS/HYPOTHESIS: This study was designed to establish whether the low volume and
irregular border of the pancreas in type 2 diabetes would be normalised after
reversal of diabetes. METHODS: A total of 29 individuals with type 2 diabetes
undertook a very low energy (very low calorie) diet for 8 weeks followed by
weight maintenance for 6 months. Methods were established to quantify the
pancreas volume and degree of irregularity of the pancreas border. Three
dimensional volume-rendering and fractal dimension (FD) analysis of the MRI
acquired images were employed, as was three-point Dixon imaging to quantify the
fat content. RESULTS: There was no change in pancreas volume 6 months after
reversal of diabetes compared with baseline (52.0 +/- 4.9 cm(3) and 51.4 +/- 4.5
cm(3), respectively; p = 0.69), nor was any volumetric change observed in the non
responders. There was an inverse relationship between the volume and fat content
of the pancreas in the total study population (r =-0.50, p = 0.006). Reversal of
diabetes was associated with an increase in irregularity of the pancreas borders
between baseline and 8 weeks (FD 1.143 +/- 0.013 and 1.169 +/- 0.006,
respectively; p = 0.05), followed by a decrease at 6 months (1.130 +/- 0.012, p =
0.006). On the other hand, no changes in FD were seen in the non-reversed group.
CONCLUSIONS/INTERPRETATION: Restoration of normal insulin secretion did not
increase the subnormal pancreas volume over 6 months in the study population. A
significant change in irregularity of the pancreas borders occurred after acute
weight loss only after reversal of diabetes. Pancreas morphology in type 2
diabetes may be prognostically important, and its relationship to change in beta
cell function requires further study.
PMID- 27179660
TI - Military veterans and canine assistance for post-traumatic stress disorder: A
narrative review of the literature.
AB - BACKGROUND: Veterans with post-traumatic stress disorder (PTSD) are a vulnerable
population at high risk for depression, isolation, and suicide. A substantial
body of anecdotal evidence exists supporting the use of canines as an effective
adjunct treatment for this population. However, a comprehensive review of its use
based on scientific literature has thus far not been conducted. METHODS: A
narrative literature review was conducted to examine the current state of the
science on canine assistance for veterans diagnosed with PTSD in order to
synthesize current empirical knowledge on the subject. Articles were retrieved
among the small body of recent literature using computerized database searches.
Inclusion criteria included peer-reviewed journal publications published through
October 1st, 2015. Only originally published articles that examined the outcomes
of canine assistance on veterans with PTSD were examined. Additionally, each
included article was specific to veterans, dogs, and, PTSD in combination rather
than article that discuss the concepts separately. Exclusion criteria included
symposia and conference material, dissertations, media articles, and no mention
of canines as a treatment modality. 563 articles were retrieved; 6 met the
criteria. When evaluating data, information and themes were extracted into an
Excel table; this table was employed in the synthesis of information into
manuscript form. RESULTS: The following themes were explored within the selected
publications: What is Canine Assistance; Why Use Canine Assistance for PTSD in
Veterans; Concerns; and Future Directions. The literature endorsed canine
assistance for PTSD in veterans as a promising modality. Authors also raised
concerns about lack of protocols, cost and availability barriers, and animal
welfare calling for additional, rigorous research to advance its use as a
treatment for veterans with PTSD. CONCLUSIONS: PTSD continues to pose significant
psychological, health, and welfare challenges to veterans and the multi
disciplinary providers who treat them. Analysis of this literature should expand
knowledge and outline future directions for healthcare professions and improve
health and wellness for veterans with PTSD through the use of canine assistance.
PMID- 27179659
TI - Diabetic macular oedema: pathophysiology, management challenges and treatment
resistance.
AB - Diabetic macular oedema (DMO) is the leading cause of vision loss in patients
living with diabetes. DMO results from hyperglycaemia-induced activation of
pathways that lead to oxidative stress and release of cytokines, impairing the
inner and outer blood-retinal barriers. Improved understanding of the
pathophysiological mechanisms leading to DMO have led to the development of
effective therapies, including vitreoretinal surgery, laser photocoagulation,
intravitreal anti-vascular endothelial growth factor drugs and corticosteroids.
Advances in imaging, including fluorescein angiography and optical coherence
tomography, have also enhanced diagnosis and management of the condition. Despite
these advances, there remain patients who do not respond completely to therapy,
reflecting the complex pathophysiology of DMO. These patients may be considered
treatment-resistant. In this review, we summarise the pathophysiology of DMO, as
well as the available treatments and their mechanism of action. Additionally, we
focus on treatment-resistant disease and review the literature on potential
options for managing this complication of diabetes.
PMID- 27179661
TI - Solid Platelet Rich Plasma in Corneal Surgery.
AB - Solid eye platelet-rich plasma (E-PRP) concentrates platelets in a small volume
of plasma which contains a high concentration of important growth factors and
cell adhesion molecules. These cell adhesion molecules and growth factors occupy
a major role in wound healing and enhance the physiological procedure at the site
of the injury or the surgery. There are different materials used to tectonically
maintain the solid clot attached at the site where treatment is necessary.
Although AM may be used for this purpose, other biomaterials such a bovine
pericardium or autologous fibrin membrane are at least as effective with less
interdonor variations, no biological hazards, providing a better surgical
alternative than the biologically so variable amniotic membrane patch. Solid
platelet-rich plasma in the form obtained in ophthalmology, E-PRP, is a reliable
and effective surgical coadjuvant to promote corneal wound healing in severe
corneal ulcers and corneal perforations, and may be associated with other ocular
surface reconstruction procedures. FUNDING: Supported in part by a grant from the
Spanish Ministry of Science and Innovation, Centro para el Desarrollo Tecnologico
Industrial (CDTI), CENIT: "Customized Eye Care", CeyeC (CEN-20091021).
PMID- 27179662
TI - ENT-specific therapy of obstructive sleep apnoea in adults : A revised version of
the previously published German S2e guideline.
AB - The German Society of Otorhinolaryngology, Head and Neck Surgery recently has
released the abbreviated version of its scientific guideline "ENT-specific
therapy of obstructive sleep apnoea (OSA) in adults", which has been updated in
2015 and can be found online at the Association of the Scientific Medical
Societies (Arbeitsgemeinschaft der Wissenschaftlichen Medizinischen
Fachgesellschaften, AWMF). A summary of the main recommendations is provided in
this revised English version. All recommendations are based on a systematic
literature research of articles published up until March 2014. Literature
research followed the Cochrane Handbook for Systematic Literature Research to
create Guidelines published by the German Cochrane Centre. Studies were evaluated
with respect to their scientific value according to the recommendations of the
Oxford Centre for Evidence-based Medicine, and grades of recommendation are
provided regarding each intervention.
PMID- 27179663
TI - Maternal exposure to high-fat and high-fructose diet evokes hypoadiponectinemia
and kidney injury in rat offspring.
AB - BACKGROUND: Maternal exposure to overnutrition during fetal development
contributes to metabolic and renal damage in offspring. Adiponectin plays a
protective role against obesity-related renal injury. However, role of
adiponectin in renal injury of offspring exposed to maternal overnutrition
remains unknown. We addressed the issue. METHODS: Female Sprague-Dawley rats were
fed either a standard (N) or a high-fat and high-fructose (HFF)-diet for 6 weeks
before mating, and kept each diet during the gestation and lactation period.
After 4 weeks postpartum, all the offspring were fed N diet, and followed by 12
weeks. Kidney weight, urinary albumin excretion, blood pressure, and blood
chemistry, including adiponectin and malondialdehyde, a marker of oxidative
stress, were evaluated in the offspring. RESULTS: Compared with N-offspring,
serum adiponectin levels of 1-day- and 4-week-old HFF-offspring were
significantly lower, the latter of which was inversely associated with
malondialdehyde. Kidney weight was significantly decreased in 1-day-old HFF
offspring, whereas increased in 4-week-old HFF-offspring. Urinary albumin
excretion levels of HFF-offspring at 8, 12, and 16-week old were significantly
higher than those of N-offspring at the same age, whose levels at 16-week old
were inversely correlated with plasma adiponectin. Compared with N-offspring, HFF
offspring at 16-week old exhibited glomerulosclerosis, hyperglycemia, and high
mean blood pressure associated with reduced podocin and increased transforming
growth factor-beta1 expression in the kidneys. CONCLUSIONS: Our present study
suggests that exposure to maternal HFF-diet during fetal and early postnatal
development induces hypoadiponectinemia in offspring, which might cause renal
injury and metabolic derangements later in life.
PMID- 27179665
TI - Gabapentin prevents behavioral changes on the amphetamine-induced animal model of
schizophrenia.
PMID- 27179666
TI - Childhood adversity and hippocampal and amygdala volumes in a population at
familial high risk of schizophrenia.
AB - BACKGROUND: There is an established link between childhood adversity (CA) and
schizophrenia. Hippocampus and amygdala abnormalities pre-date onset in those at
high familial risk (fHR) of schizophrenia, but it is not clear whether these
alterations are associated with CA in those at elevated risk of schizophrenia.
METHODS: We examined hippocampal and amygdala volumes in those at fHR who had
been referred to a social worker or the Children's Panel compared to those who
had not. RESULTS: The right hippocampus and left amygdala were significantly
smaller in those that had been referred to social work and Children's Panel.
CONCLUSIONS: Our findings suggest that CA can influence structural changes in the
brain in a cohort at fHR of schizophrenia. These findings provide further
evidence that while genetic factors contribute to the structural changes found in
schizophrenia, environmental factors such as CA can have a lasting impact on
specific brain regions.
PMID- 27179664
TI - Six-month outcomes from a randomized controlled trial of minimally invasive SI
joint fusion with triangular titanium implants vs conservative management.
AB - PURPOSE: To compare the safety and effectiveness of minimally invasive sacroiliac
joint fusion (SIJF) using triangular titanium implants vs conservative management
(CM) in patients with chronic sacroiliac joint (SIJ) pain. METHODS: 103 adults
with chronic SIJ pain at nine sites in four European countries were randomly
assigned to and underwent either minimally invasive SIJF using triangular
titanium implants (N = 52) or CM (N = 51). CM was performed according to the
European guidelines for the diagnosis and management of pelvic girdle pain and
consisted of optimization of medical therapy, individualized physical therapy
(PT) and adequate information and reassurance as part of a multifactorial
treatment. The primary outcome was the difference in change in self-rated low
back pain (LBP) at 6 months. Additional endpoints included quality of life using
EQ-5D-3L, disability using Oswestry Disability Index (ODI), SIJ function using
active straight leg raise (ASLR) test and adverse events. NCT01741025. RESULTS:
At 6 months, mean LBP improved by 43.3 points in the SIJF group and 5.7 points in
the CM group (difference of 38.1 points, p < 0.0001). Mean ODI improved by 26
points in the SIJF group and 6 points in the CM group (p < 0.0001). ASLR, EQ-5D
3L, walking distance and satisfaction were statistically superior in the SIJF
group. The frequency of adverse events did not differ between groups. One case of
postoperative nerve impingement occurred in the surgical group. CONCLUSIONS: In
patients with chronic SIJ pain, minimally invasive SIJF using triangular titanium
implants was safe and more effective than CM in relieving pain, reducing
disability, improving patient function and quality of life.
PMID- 27179667
TI - Biodiesel from soybean promotes cell proliferation in vitro.
AB - Toxicological responses of exhaust emissions of biodiesel are different due to
variation in methods of generation and the tested biological models. A chemical
profile was generated using ICP-MS and GC-MS for the biodiesel samples obtained
in Brazil. A cytotoxicity assay and cytokine secretion experiments were evaluated
in human bronchial epithelial cells (BEAS-2B). Cells were exposed to polar
(acetone) and nonpolar (hexane) extracts from particles obtained from fuel
exhaust: fossil diesel (B5), pure soybean biodiesel (B100), soybean biodiesel
with additive (B100A) and ethanol additive (EtOH). Biodiesel and its additives
exhibited higher organic and inorganic constituents on particles when compared to
B5. The biodiesel extracts did not exert any toxic effect at concentrations 10,
25, 50, 75, and 100MUgmL(-1). In fact quite the opposite, a cell proliferation
effect induced by the B100 and B100A extracts is reported. A small increase in
concentrations of inflammatory mediators (Interleukin-6, IL-6; and Interleukin-8,
IL-8) in the medium of biodiesel-treated cells was observed, however, no
statistical difference was found. An interesting finding indicates that the
presence of metals in the nonpolar (hexane) fraction of biodiesel fuel (B100)
represses cytokine release in lung cells. This was revealed by the use of the
metal chelator. Results suggest that metals associated with biodiesel's organic
constituents might play a significant role in molecular mechanisms associated to
cellular proliferation and immune responses.
PMID- 27179669
TI - Severe ocular side effects with acetazolamide: case report.
AB - CLINICAL CASE: A 44-year-old woman arrived in the emergency department
complaining of decreased visual acuity (VA) in oculus uterque (OU) of 4hours
onset. Signs of myopia, increased intraocular pressure (IOP) in OU, and a narrow
grade II anterior chamber (AC) were observed. In the posterior segment ultrasound
scan, a choroidal peripheral detachment is evident, and a lenticular thickness of
4.05mm is measured in the anterior segment of the right eye (OD) and 4.00mm in
the left eye (OS). On treatment with oral with naproxen (non-steroidal anti
inflammatory drug), and acetazolamide for migraine. The acetazolamide is
suspended and topical treatment is started with timolol and brimonidine every
12hours, with prednisolone and ayclopentolate every 8hours. In the follow-up, a
gradual reduction of myopia and lens thickness is observed, as well as anterior
chamber expansion. In the last control, the patient had a sphere of -0.75
diopters (D) in OD and -0.25 D in OS. IOP was 15mmHg in OU and AC was grade III.
The ultrasound showed a lens thickness of 3.59mm in OD and 3.61mm in OS.
CONCLUSION: This was an iatrogenic case of acute angle closure induced by an
anterior displacement of the irido-lenticular complex, secondary to the use of
acetazolamide. The treatment of this condition involves suspending the drug
responsible and applying topical corticosteroids, hypotensive and cycloplegic eye
drops, with the aim of lowering the eye pressure and the degree of myopia due to
the re-positioning of the irido-lenticular complex.
PMID- 27179668
TI - Mechanistic studies of the toxicity of zinc gluconate in the olfactory neuronal
cell line Odora.
AB - Zinc is both an essential and potentially toxic metal. It is widely believed that
oral zinc supplementation can reduce the effects of the common cold; however,
there is strong clinical evidence that intranasal (IN) zinc gluconate (ZG) gel
treatment for this purpose causes anosmia, or the loss of the sense of smell, in
humans. Using the rat olfactory neuron cell line, Odora, we investigated the
molecular mechanism by which zinc exposure exerts its toxic effects on olfactory
neurons. Following treatment of Odora cells with 100 and 200MUM ZG for 0-24h, RNA
seq and in silico analyses revealed up-regulation of pathways associated with
zinc metal response, oxidative stress, and ATP production. We observed that Odora
cells recovered from zinc-induced oxidative stress, but ATP depletion persisted
with longer exposure to ZG. ZG exposure increased levels of NLRP3 and IL-1beta
protein levels in a time-dependent manner, suggesting that zinc exposure may
cause an inflammasome-mediated cell death, pyroptosis, in olfactory neurons.
PMID- 27179670
TI - Development of Novel Drug and Gene Delivery Carriers Composed of Single-Walled
Carbon Nanotubes and Designed Peptides With PEGylation.
AB - Single-walled carbon nanotubes (SWCNTs) attract great interest in biomedical
applications including drug and gene delivery. In this study, we developed a
novel delivery system using SWCNTs associated with designed polycationic and
amphiphilic peptides. Wrapping of SWCNTs with H-(-Lys-Trp-Lys-Gly-)7-OH [(KWKG)7]
resulted in stable dispersion in water, but the composite aggregated in the
buffered solution. This dispersion instability was also evident in a cell culture
medium with fetal bovine serum. To improve the aqueous dispersibility, the SWCNTs
(KWKG)7 composite was further modified with polyethylene glycol (PEG) at the
lysine residues via amide bond formation and the highest modification extent of
13.3% of the amino groups which corresponded to 2 PEG chains in each peptide
molecule was achieved with fluorescein isothiocyanate-labeled carboxyl-PEG12. The
uptake of the SWCNTs composite by A549 human lung adenocarcinoma epithelial cells
was evaluated by visual observation and fluorescence activated cell sorting
analysis for SWCNTs wrapped with a mixture of (KWKG)7 with PEGylation and H-(-Cys
Trp-Lys-Gly-)-OH-(KWKG)6 [CWKG(KWKG)6] labeled with fluorescent boron
dipyrromethene tetramethylrhodamine and 7-fold higher uptake comparing with
SWCNTs-peptide composite without PEGylation was obtained suggesting the
importance of dispersibility in addition to a cationic charge. The superior
potential of SWCNTs composites assisted by polycationic and amphiphilic peptides
with PEGylation was thus demonstrated.
PMID- 27179671
TI - Enhanced Activity of Topical Hydrocortisone by Competitive Binding of
Corticosteroid-Binding Globulin.
AB - Atopic dermatitis of sensitive areas such as the face, particularly in children,
is a difficult disease to treat as the standard therapeutic, topical steroids, is
contraindicated for this application in children. Hydrocortisone (HC) can be used
in these instances because it has been shown to be safe, but is often ineffective
as it is a relatively weak steroid, especially at over-the-counter
concentrations. To enhance the local topical activity of HC, the terminal
inactive metabolite of prednisolone, Delta(1)-cortienic acid (Delta(1)-CA), is
added to HC, as Delta(1)-CA preferentially binds transcortin, liberating more HC
to elicit its therapeutic effect. Skin blanching studies, which are used to
evaluate the potency of topical steroids, were employed to assess the ability of
Delta(1)-CA to enhance the activity of HC. The results demonstrate that Delta(1)
CA, when applied in combination with HC, does indeed potentiate the
vasoconstriction effect of topically applied HC, while having no effect alone.
Thus, addition of the inert prednisolone metabolite Delta(1)-CA can increase the
therapeutic effect of over-the-counter concentrations of HC when applied
topically.
PMID- 27179672
TI - The Fast-Casual Conundrum: Fast-Casual Restaurant Entrees Are Higher in Calories
than Fast Food.
AB - BACKGROUND: Frequently eating fast food has been associated with consuming a diet
high in calories, and there is a public perception that fast-casual restaurants
(eg, Chipotle) are healthier than traditional fast food (eg, McDonald's).
However, research has not examined whether fast-food entrees and fast-casual
entrees differ in calorie content. OBJECTIVE: The purpose of this study was to
determine whether the caloric content of entrees at fast-food restaurants
differed from that found at fast-casual restaurants. DESIGN: This study was a
cross-sectional analysis of secondary data. Calorie information from 2014 for
lunch and dinner entrees for fast-food and fast-casual restaurants was downloaded
from the MenuStat database. OUTCOME MEASURES: Mean calories per entree between
fast-food restaurants and fast-casual restaurants and the proportion of
restaurant entrees that fell into different calorie ranges were assessed.
STATISTICAL ANALYSES PERFORMED: A t test was conducted to test the hypothesis
that there was no difference between the average calories per entree at fast-food
and fast-casual restaurants. To examine the difference in distribution of entrees
in different calorie ranges between fast-food and fast-casual restaurants, chi(2)
tests were used. RESULTS: There were 34 fast-food and 28 fast-casual restaurants
included in the analysis (n=3,193 entrees). Fast-casual entrees had significantly
more calories per entree (760+/-301 kcal) than fast-food entrees (561+/-268;
P<0.0001). A greater proportion of fast-casual entrees compared with fast-food
entrees exceeded the median of 640 kcal per entree (P<0.0001). CONCLUSIONS:
Although fast-casual entrees contained more calories than fast-food entrees in
the study sample, future studies should compare actual purchasing patterns from
these restaurants to determine whether the energy content or nutrient density of
full meals (ie, entrees with sides and drinks) differs between fast-casual
restaurants and fast-food restaurants. Calorie-conscious consumers should
consider the calorie content of entree items before purchase, regardless of
restaurant type.
PMID- 27179673
TI - Is It Possible to Prevent ARDS?
PMID- 27179674
TI - Who Should Be Screened for Lung Cancer? And Who Gets to Decide?
PMID- 27179675
TI - A liquid chromatography tandem mass spectrometric method on in vitro nerve agents
poisoning characterization and reactivator efficacy evaluation by determination
of specific peptide adducts in acetylcholinesterase.
AB - The terroristic availability of highly toxic nerve agents (NAs) highlights the
necessity for a deep understanding of their toxicities and effective medical
treatments. A liquid chromatography tandem mass spectrometry (LC-MS/MS) method
for a characterization of the NAs poisoning and an evaluation on the efficacy of
reactivators in in vitro was developed for the first time. After exposure to
sarin or VX and pepsin digestion, the specific peptides of acetylcholinesterase
(AChE) in a purified status, i.e. undecapeptide "GESAGAASVGM" in free, unaged, or
aged status was identified and quantified. A key termination procedure is focused
to make the reaction system "frozen" and precisely "capture" the poisoning, aging
and spontaneous reactivation status of AChE, and the abundance of such specific
peptides can thus be simultaneously measured. In our established method, as low
as 0.72% and 0.84% inhibition level of AChE induced by 0.5nM sarin and VX can be
detected from the measurement of peptide adducts, which benefits a confirmation
of NAs exposure, especially at extremely low levels. Comparing with conventional
colorimetric Ellman assays, our method provides not only enzyme activity and
inhibition rate, but also the precise poisoning status of NAs exposed AChE. Based
on the full information provided by this method, the efficacy of reactivators,
such as HI-6, obidoxime and pralidoxime, in the typical treatment of NAs poisoned
AChE in in vitro was further evaluated. Our results showed that this method is a
promising tool for the characterization of NAs poisoning and the evaluation of
reactivator efficacy.
PMID- 27179676
TI - Detection of 1,N(2)-propano-2'-deoxyguanosine adducts in genomic DNA by ultrahigh
performance liquid chromatography-electrospray ionization-tandem mass
spectrometry in combination with stable isotope dilution.
AB - Crotonaldehyde (Cro) is one of widespread and genotoxic alpha,beta-unsaturated
aldehydes and can react with the exocyclic amino group of 2'-deoxyguanosine (dG)
in genomic DNA to form 1,N(2)-propano-2'-deoxyguanosine (ProdG) adducts. In this
study, two diastereomers of high purity were prepared, including non-isotope and
stable isotope labeled ProdG adducts, and exploited stable isotope dilution-based
calibration method. By taking advantage of synthesized ProdG standards, we
developed a sensitive ultrahigh performance liquid chromatography-electrospray
ionization-tandem mass spectrometry (UHPLC-ESI-MS/MS) method for accurate
quantification of two diastereomers of ProdG adducts. In addition to optimization
of the UHPLC separation, ammonium bicarbonate (NH4HCO3) was used as additive in
the mobile phase for enhancing the ionization efficiency to ProdG adducts and
facilitating MS detection. The limits of detection (LODs, S/N=3) and the limits
of quantification (LOQs, S/N=10) are estimated about 50 amol and 150 amol,
respectively. By the use of the developed method, both diastereomers of ProdG
adducts can be detected in untreated human MRC5 cells with a frequency of 2.4-3.5
adducts per 10(8) nucleotides. Crotonaldehyde treatment dramatically increases
the levels of ProdG adducts in human MRC5 in a concentration-dependent manner.
PMID- 27179677
TI - Retention behavior of lipids in reversed-phase ultrahigh-performance liquid
chromatography-electrospray ionization mass spectrometry.
AB - Reversed-phase ultrahigh-performance liquid chromatography (RP-UHPLC) method
using two 15cm sub-2MUm particles octadecylsilica gel columns is developed with
the goal to separate and unambiguously identify a large number of lipid species
in biological samples. The identification is performed by the coupling with high
resolution tandem mass spectrometry (MS/MS) using quadrupole - time-of-flight
(QTOF) instrument. Electrospray ionization (ESI) full scan and tandem mass
spectra are measured in both polarity modes with the mass accuracy better than
5ppm, which provides a high confidence of lipid identification. Over 400 lipid
species covering 14 polar and nonpolar lipid classes from 5 lipid categories are
identified in total lipid extracts of human plasma, human urine and porcine
brain. The general dependences of relative retention times on relative carbon
number or relative double bond number are constructed and fit with the second
degree polynomial regression. The regular retention patterns in homologous lipid
series provide additional identification point for UHPLC/MS lipidomic analysis,
which increases the confidence of lipid identification. The reprocessing of
previously published data by our and other groups measured in the RP mode and
ultrahigh-performance supercritical fluid chromatography on the silica column
shows more generic applicability of the polynomial regression for the description
of retention behavior and the prediction of retention times. The novelty of this
work is the characterization of general trends in the retention behavior of
lipids within logical series with constant fatty acyl length or double bond
number, which may be used as an additional criterion to increase the confidence
of lipid identification.
PMID- 27179678
TI - Kinetic plots for programmed temperature gas chromatography.
AB - The applicability of the kinetic plot theory to temperature-programmed gas
chromatography (GC) has been confirmed experimentally by measuring the efficiency
of a temperature gradient separation of a simple test mixture on 15, 30, 60 and
120m long (coupled) columns. It has been shown that the temperature-dependent
data needed for the kinetic plot calculation can be obtained from isothermal
experiments at the significant temperature, a temperature that characterizes the
entire gradient run. Furthermore, optimal flow rates have been calculated for
various combinations of column length, diameter, and operating temperature (or
significant temperature). The tabulated outcome of these calculations provide
good starting points for the optimization of any GC separation.
PMID- 27179679
TI - Association between size-segregated particles in ambient air and acute
respiratory inflammation.
AB - The health effects of particulate matter (PM) in ambient air are well documented.
However, whether PM size plays a critical role in these effects is unclear in the
population studies. This study investigated the association between the ambient
concentrations of PM with varies sizes (5.6-560nm) and a biomarker of acute
respiratory inflammation, the fraction of exhaled nitric oxide (FENO), in a panel
of 55 elderly people in Shanghai, China. Linear mixed-effect model was fitted to
estimate the association between FENO and moving average concentrations of PM,
adjusting for temperature, relative humidity, day of the week, and age. Results
showed that among the measured particles size range, Aitken-mode (20-100nm)
particles had the strongest positive association with increased FENO when using
moving average concentration of PM up to 24h prior to visits. The estimates were
robust to the adjustment for gender, condition of chronic disease and use of
medication, and to the sensitive analysis using different times of visits. The
authors concluded that the association between acute respiratory inflammation and
PM concentration of fine particulates depended on particle size, and suggested
Aitken-mode particles may be the most responsible for this adverse health
association.
PMID- 27179680
TI - Exploring a suitable nitrogen fertilizer rate to reduce greenhouse gas emissions
and ensure rice yields in paddy fields.
AB - The application rate of nitrogen fertilizer was believed to dramatically
influence greenhouse gas (GHG) emissions from paddy fields. Thus, providing a
suitable nitrogen fertilization rate to ensure rice yields, reducing GHG
emissions and exploring emission behavior are important issues for field
management. In this paper, a two year experiment with six rates (0, 75, 150, 225,
300, 375kgN/ha) of nitrogen fertilizer application was designed to examine GHG
emissions by measuring carbon dioxide (CO2), methane (CH4), nitrous oxide (N2O)
flux and their cumulative global warming potential (GWP) from paddy fields in
Hangzhou, Zhejiang in 2013 and 2014. The results indicated that the GWP and rice
yields increased with an increasing application rate of nitrogen fertilizer.
Emission peaks of CH4 mainly appeared at the vegetative phase, and emission peaks
of CO2, and N2O mainly appeared at reproductive phase of rice growth. The CO2
flux was significantly correlated with soil temperature, while the CH4 flux was
influenced by logging water remaining period and N2O flux was significantly
associated with nitrogen application rates. This study showed that 225kgN/ha was
a suitable nitrogen fertilizer rate to minimize GHG emissions with low yield
scaled emissions of 3.69 (in 2013) and 2.23 (in 2014) kg CO2-eq/kg rice yield as
well as to ensure rice yields remained at a relatively high level of 8.89t/ha in
paddy fields.
PMID- 27179681
TI - A stochastic dynamic model to assess land use change scenarios on the ecological
status of fluvial water bodies under the Water Framework Directive.
AB - This method development paper outlines an integrative stochastic dynamic
methodology (StDM) framework to anticipate land use (LU) change effects on the
ecological status of monitored and non-monitored lotic surface waters under the
Water Framework Directive (WFD). Tested in the Alto Minho River Basin District in
North West Portugal, the model is an innovative step towards developing a
decision-making and planning tool to assess the influence impacts such as LU
change and climate change on these complex systems. Comprising a series of
sequential steps, a Generalized Linear Model based, competing model Multi Model
Inference (MMI) approach was used for parameter estimation to identify principal
land use types (distal factors) driving change in biological and physicochemical
support elements (proximal factors) in monitored water bodies. The framework
integrated MMI constants and coefficients of selected LU categories in the StDM
simulations and spatial projections to simulate the ecological status of
monitored and non-monitored lotic waterbodies in the test area under 2 scenarios
of (1) LU intensification and (2) LU extensification. A total of 100 simulations
were run for a 50year period for each scenario. Spatially dynamic projections of
WFD metrics were obtained, taking into account the occurrence of stochastic
wildfire events which typically occur in the study region and are exacerbated by
LU change. A marked projected decline to "Moderate" ecological status for most
waterbodies was detected under intensification but little change under
extensification; only a few waterbodies fell to "moderate" status. The latter
scenario describes the actual regional socio-economic situation of agricultural
abandonment due to rural poverty, partly explaining the projected lack of change
in ecological status. Based on the WFD "one out all out" criterion, projected
downward shifts in ecological status were due to physicochemical support
elements, namely increased phosphorus levels. Little or no change in status was
driven by Intercalibrated Biological Quality Elements, indicating innate
resilience and raising questions concerning uncertainty, the effect of pressures
other than land use and metric redundancy and the WFD classification process.
PMID- 27179683
TI - Phenylbenzoisoquinolindione alkaloids accumulate in stamens of Xiphidium
caeruleum Aubl. flowers.
AB - Xiphidium caeruleum (Haemodoraceae) flower organs such as carpels, pedicels,
petals, and stamens were separately investigated for their phytochemical profile.
The stamens appeared to be a rich source of previously undescribed
phenylbenzoisoquinolindiones, a group of phenylphenalenone-derived alkaloids,
also named aza-phenylphenalenones. Nine previously undescribed compounds with an
identical aza-phenylphenalenone core structure but different amino acid-derived
side chains at position 2 were isolated and their structures elucidated by
nuclear magnetic resonance (NMR) spectroscopy and mass spectrometry (MS). In
addition, some previously reported phenylbenzoisoquinolindiones,
phenylbenzoisochromenones and flavonoids were found in stamens and other parts of
the flowers. The specific occurrence of heterocyclic phenylphenalenone-type
compounds in X. caeruleum suggests these are involved in physiological or
ecological processes.
PMID- 27179682
TI - Association of Panton Valentine Leukocidin (PVL) genes with methicillin resistant
Staphylococcus aureus (MRSA) in Western Nepal: a matter of concern for community
infections (a hospital based prospective study).
AB - BACKGROUND: Methicillin resistant Staphylococcus aureus (MRSA) is a major human
pathogen associated with nosocomial and community infections. Panton Valentine
leukocidin (PVL) is considered one of the important virulence factors of S.
aureus responsible for destruction of white blood cells, necrosis and apoptosis
and as a marker of community acquired MRSA. This study was aimed to determine the
prevalence of PVL genes among MRSA isolates and to check the reliability of PVL
as marker of community acquired MRSA isolates from Western Nepal. METHODS: A
total of 400 strains of S. aureus were collected from clinical specimens and
various units (Operation Theater, Intensive Care Units) of the hospital and 139
of these had been confirmed as MRSA by previous study. Multiplex PCR was used to
detect mecA and PVL genes. Clinical data as well as antimicrobial susceptibility
data was analyzed and compared among PVL positive and negative MRSA isolates.
RESULTS: Out of 139 MRSA isolates, 79 (56.8 %) were PVL positive. The majority of
the community acquired MRSA (90.4 %) were PVL positive (Positive predictive
value: 94.9 % and negative predictive value: 86.6 %), while PVL was detected only
in 4 (7.1 %) hospital associated MRSA strains. None of the MRSA isolates from
hospital environment was found positive for the PVL genes. The majority of the
PVL positive strains (75.5 %) were isolated from pus samples. Antibiotic
resistance among PVL negative MRSA isolates was found higher as compared to PVL
positive MRSA. CONCLUSION: Our study showed high prevalence of PVL among
community acquired MRSA isolates. Absence of PVL among MRSA isolates from
hospital environment indicates its poor association with hospital acquired MRSA
and therefore, PVL may be used a marker for community acquired MRSA. This is
first study from Nepal, to test PVL among MRSA isolates from hospital
environment.
PMID- 27179684
TI - Phytochemical study and biological evaluation of chemical constituents of
Platanus orientalis and Platanus * acerifolia buds.
AB - One flavonol glycoside, two O-isoprenylated flavonols, one alpha,alpha
dimethylallyl flavonol, one dihydrochalcone, two furanocoumarins and one
terpenoid previously undescribed, along with 42 known compounds were isolated
from the buds of two European Platanaceae, Platanus orientalis and Platanus *
acerifolia. Their chemical structures were elucidated on the basis of
spectroscopic analysis, including homonuclear and heteronuclear correlation NMR
(COSY, NOESY, HSQC, and HMBC) experiments, as well as HRMS data. The estrogen
like and antiestrogen-like activity of dichloromethane and methanol extracts of
P. orientalis and P. * acerifolia buds and isolated compounds was evaluated using
estrogen-responsive cell lines. The potency of selected estrogen agonists to
regulate gene expression through ERalpha and/or ERbeta was compared with their in
vitro osteoblastogenic activity. Kaempferol and 8-C-(1,1-dimethyl-2-propen-1-yl)
5,7-dihydroxyflavonol displayed osteoblastogenic as well as ERalpha-mediated
estrogenic activity similar to estradiol.
PMID- 27179685
TI - Anthocyanins of the anthers as chemotaxonomic markers in the genus Populus L..
Differentiation between Populus nigra, Populus alba and Populus tremula.
AB - Three main species of Popululs L. (Salicaceae) have been reported to occur in the
Iberian Peninsula: Populus nigra L., Populus alba L. and Populus tremula L. The
degree of pilosity of the bracts of the male catkins is a key character for their
differentiation. The anthers of these poplar species possess anthocyanins that
provide them a red colouration. Since these poplars are wind-pollinated and,
consequently, do not need to attract pollinators, anthocyanins in the anthers
might be acting as photoprotectors, shielding pollen grains from excessive
sunlight. In order to verify this hypothesis, the first objective of this study
was to establish if there is any relationship between the degree of pilosity of
the bracts (related to the physical shading of the pollen grains) and the levels
and types of anthocyanins in the anthers of these three species. This study also
aimed to check the usefulness of the anthocyanins of the anthers as
chemotaxonomic markers, through the study of the differences in the anthocyanin
composition between these poplar species. Anthocyanins were identified from the
data supplied by HPLC-DAD-MS(n) analyses. Seventeen different compounds,
including mono-, di- and triglycosides and anthocyanin-derived pigments (F-A(+)
dimers) have been identified. Cyanidin 3-O-glucoside was the major compound in
all the samples (>60% of the total content), which may be in accordance with the
photoprotective role proposed for them. However, qualitative and quantitative
differences were detected among samples. Cyanidin and delphinidin 3-O
sambubiosides have been detected only in the anthers of P. tremula as well as
cyanidin 3-O-(2"-O-xyloxyl)rutinoside, making them valuable chemotaxonomic
markers for this species. Hierarchical Cluster and Principal Components Analyses
(HCA and PCA) carried out with the anthocyanin percent composition data have
allowed a separation of the samples that is in accordance with the initial
classification of the samples made from the morphological characters of the
specimens. Furthermore, these analyses have revealed intraspecific differences
among samples that point out to different clones or varieties of a same species.
PMID- 27179686
TI - Hey, brother neutrophil, what are you up to?
PMID- 27179687
TI - A case of ampullary follicular lymphoma.
PMID- 27179688
TI - Transhepatic tract for visualization of the remnant stomach after Roux-en-Y
gastric bypass.
PMID- 27179689
TI - A new quality indicator of colonoscopy: caveat emptor.
PMID- 27179690
TI - Common bile duct duplication: double bile ducts, double trouble.
PMID- 27179691
TI - Early gastric cancer on submucosal heterotopic gastric glands.
PMID- 27179692
TI - Structure, IR and Raman spectra of phosphotrihydrazide studied by DFT.
AB - The FTIR and FT Raman measurements of the phosphotrihydrazide (S)P[N(Me)-NH2]3
have been performed. This compound is a zero generation dendrimer G0 with
terminal amine groups. Structural optimization and normal mode analysis were
obtained for G0 by the density functional theory (DFT). Optimized geometric bond
length and angles obtained by DFT show good agreement with experiment. The amine
terminal groups are characterized by the well-defined bands at 3321, 3238,
1614cm(-1) in the experimental IR spectrum and by bands at 3327, 3241cm(-1) in
the Raman spectrum of G0. The experimental frequencies of asymmetric and
symmetric NH2 stretching vibrations of amine group are lower than theoretical
values due to intramolecular NH?S hydrogen bond. This hydrogen bond is also
responsible for higher experimental infrared intensity of these bands as compared
with theoretical values. Relying on DFT calculations a complete vibrational
assignment is proposed for the studied dendrimer.
PMID- 27179693
TI - A randomized, sham-controlled study of high frequency rTMS for auditory
hallucination in schizophrenia.
AB - Chronic auditory verbal hallucinations (AVHs) in patients with schizophrenia are
sometimes resistant to standard pharmacotherapy. Repetitive transcranial magnetic
stimulation (rTMS) may be a promising treatment modality for AVHs, but the best
protocol has yet to be identified. We used a double-blind randomized sham
controlled design aimed at 30 patients (active group N=16 vs. sham group N=14)
with chronic AVHs that persisted regardless of adequate pharmacotherapy. The
protocol was a total of four sessions of high-frequency (20-Hz) rTMS targeting
the left temporoparietal cortex over 2 days (total 10,400 stimulations)
administered to each patient. After the rTMS session the patients were followed
for 4 weeks and evaluated with the Auditory Hallucination Rating Scale (AHRS).
The mean changes of AHRS score were 22.9 (baseline) to 18.4 (4th week) in the
Active group and 24.2 (baseline) to 21.8 (4th week) in the Sham group, indicating
no significant difference by mix model analysis. As regards other secondary end
points (each subscore of AHRS, BPRS, GAF and CGI-S), none of these parameters
showed a significant between-group difference. The present study's rTMS protocol
was ineffective for our patients. However, several previous studies demonstrated
that high-frequency rTMS is a possible strategy to ameliorate pharmacotherapy
resistant AVH. It is important to establish a high-frequency rTMS protocol with
more reliability.
PMID- 27179694
TI - Behavioral predispositions to approach or avoid emotional words in schizophrenia.
AB - Many data suggest a disjunction between decreased emotional expressions and
relatively preserved experience of and ability to assess emotions in
schizophrenia. Based in an embodied approach of cognition, several studies have
highlighted affective stimulus-response congruency effect in healthy subjects
that show a direct link between the perception of emotion and associated motor
responses. This study investigated whether the categorization of emotional words
involves an automatic sensorimotor simulation of approach and avoidance
behaviors. We asked 28 subjects with schizophrenia and 28 controls to execute arm
movements of approach or avoidance to categorize emotional words, according to
their valence (positive or negative). Controls were faster to respond to a
positive stimulus with a movement of approach and a negative stimulus with a
movement of avoidance (congruent condition) than to perform the inverted response
movements (incongruent condition). However, responses of patients with
schizophrenia did not differ according to congruence condition. Our results
support the apparent non-involvement of covert sensorimotor simulation of
approach and avoidance in the categorization of emotional stimuli by patients
with schizophrenia, despite their understanding of the emotional valence of
words. This absence of affective stimulus-response compatibility effect would
imply a decoupling between emotional and bodily states in patients with
schizophrenia.
PMID- 27179695
TI - Estrogen receptor beta modulates breast cancer cells functional properties,
signaling and expression of matrix molecules.
AB - Estrogen receptors have pivotal roles in breast cancer growth and progression.
ERalpha has been clearly shown to play key role in hormone-dependent breast
cancer properties, but little is known for the isoform ERbeta. To evaluate the
role of ERbeta, we established stably transfected ERbeta-suppressed MDA-MB-231
breast cancer cells by knocking down the human ERbeta gene, using specific shRNA
lentiviral particles. As observed by scanning electron microscopy, the ERbeta
suppression induces significant phenotypic changes in these cells, as compared to
the control cells. Notably, the down-regulation of ERbeta decreases the
expression of the mesenchymal markers fibronectin and vimentin, whereas it
increases the expression levels of the epithelial marker E-cadherin and cell
junctions. These alterations are followed by reduced levels of the functional
cell properties that promote the aggressiveness of these cells, such as
proliferation, migration, spreading capacity, invasion and adhesion on collagen
I. Notably, the down-regulation of ERbeta reduces the migration of breast cancer
cells through the tyrosine kinase receptors EGFR/IGF-IR and the JAK/STAT
signaling pathways. Moreover, ERbeta has a crucial role on the gene expression of
several matrix mediators, including the proteoglycans syndecans-2/-4 and
serglycin, several matrix metalloproteinases, plasminogen activation system
components and receptor tyrosine kinases. These data clearly show that ERbeta
plays a crucial role in the cell behavior and ECM composition of the highly
aggressive MDA-MB-231 cells and opens a new area of research to further
understand its role and to improve pharmaceutical targeting of the non-hormone
dependent breast cancer.
PMID- 27179697
TI - Simulation of tumor induced angiogenesis using an analytical adaptive modeling
including dynamic sprouting and blood flow modeling.
AB - In this paper, an adaptive model for tumor induced angiogenesis is developed that
integrates generation and diffusion of a growth factor originated from hypoxic
cells, adaptive sprouting from a parent vessel, blood flow and structural
adaptation. The proposed adaptive sprout spacing model (ASS) determines position,
time and number of sprouts which are activated from a parent vessel and also the
developed vascular network is modified by a novel sprout branching prediction
algorithm. This algorithm couples local vascular endothelial growth factor (VEGF)
concentrations, stresses due to the blood flow and stochastic branching to the
structural reactions of each vessel segment in response to mechanical and
biochemical stimuli. The results provide predictions for the time-dependent
development of the network structure, including the position and diameters of
each segment and the resulting distributions of blood flow and VEGF. Considering
time delays between sprout progressions and number of sprouts activated at
different time durations provides information about micro-vessel density in the
network. Resulting insights could be useful for motivating experimental
investigations of vascular pattern in tumor induced angiogenesis and development
of therapies targeting angiogenesis.
PMID- 27179696
TI - An unregulated regulator: Vasa expression in the development of somatic cells and
in tumorigenesis.
AB - Growing evidence in diverse organisms shows that genes originally thought to
function uniquely in the germ line may also function in somatic cells, and in
some cases even contribute to tumorigenesis. Here we review the somatic functions
of Vasa, one of the most conserved "germ line" factors among metazoans. Vasa
expression in somatic cells is tightly regulated and often transient during
normal development, and appears to play essential roles in regulation of
embryonic cells and regenerative tissues. Its dysregulation, however, is believed
to be an important element of tumorigenic cell regulation. In this perspectives
paper, we propose how some conserved functions of Vasa may be selected for
somatic cell regulation, including its potential impact on efficient and
localized translational activities and in some cases on cellular malfunctioning
and tumorigenesis.
PMID- 27179699
TI - Untangling a species complex of arid zone grasses (Triodia) reveals patterns
congruent with co-occurring animals.
AB - The vast Australian arid zone formed over the last 15million years, and gradual
aridification as well as more extreme Pliocene and Pleistocene climate shifts
have impacted the evolution of its biota. Understanding the evolutionary history
of groups of organisms or regional biotas such as the Australian arid biota
requires clear delimitation of the units of biodiversity (taxa). Here we
integrate evidence from nuclear (ETS and ITS) and chloroplast (rps16-trnK spacer)
regions and morphology to clarify taxonomic boundaries in a species complex of
Australian hummock grasses (Triodia) to better understand the evolution of
Australian arid zone plants and to evaluate congruence in distribution patterns
with co-occurring organisms. We find evidence for multiple new taxa in the T.
basedowii species complex, but also incongruence between data sets and
indications of hybridization that complicate delimitation. We find that the T.
basedowii complex has high lineage diversity and endemism in the biologically
important Pilbara region of Western Australia, consistent with the region acting
as a refugium. Taxa show strong geographic structure in the Pilbara, congruent
with recent work on co-occurring animals and suggesting common evolutionary
drivers across the biota. Our findings confirm recognition of the Pilbara as an
important centre of biodiversity in the Australian arid zone, and provide a basis
for future taxonomic revision of the T. basedowii complex and more detailed study
of its evolutionary history and that of arid Australia.
PMID- 27179698
TI - The Challenges and Solutions for Cadmium-contaminated Rice in China: A Critical
Review.
AB - The wide occurrence of Cd-contaminated rice in southern China poses significant
public health risk and deserves immediate action, which arises primarily from
extensive metal (including Cd) contamination of paddies with the fast expansion
of nonferrous metal mining and smelting activities. Accumulation of Cd in rice
grains can be reduced by removing Cd from the contaminated paddy soils, reducing
its bioavailability, and controlling its uptake by rice plants. Although a range
of measures can be taken to rehabilitate Cd-contaminated lands, including soil
replacement and turnover, chemical washing, and phytoremediation, they are either
too expensive and/or too slow. Various amendment materials, including lime,
animal manures, and biochar, can be used to immobilize Cd in soils, but such
fixation approach can only temporarily reduce Cd availability to rice uptake.
Cultivation of alternative crops with low Cd accumulation in edible plant parts
is impractical on large scales due to extensive contamination and food security
concerns in southern China. Transgenic techniques can help develop rice cultivars
with low Cd accumulation in grains, but little public acceptance is expected for
such products. As an alternative, selection and development of low-Cd rice
varieties and hybrids through plant biotechnology and breeding, particularly, by
integration of marker-assisted selection (MAS) with traditional breeding, could
be a practical and acceptable option that would allow continued rice production
in soils with high bioavailability of Cd. Plant biotechnology and breeding can
also help develop Cd-hyperaccumulating rice varieties, which can greatly
facilitate phytoremediation of contaminated paddies. To eliminate the long-term
risk of Cd entering the food chain, soils contaminated by Cd should be cleaned up
when cost-effective remediation measures are available.
PMID- 27179700
TI - Molecular and morphological phylogenetics of chelonine parasitoid wasps
(Hymenoptera: Braconidae), with a critical assessment of divergence time
estimations.
AB - Parasitoid wasps of the subfamily Cheloninae are both species rich and poorly
known. Although the taxonomy of Cheloninae appears to be relatively stable, there
is no clear understanding of relationships among higher-level taxa. We here
applied molecular phylogenetic analyses using three markers (COI, EF1alpha, 28S)
and 37 morphological characters to elucidate the evolution and systematics of
these wasps. Analyses were based on 83 specimens representing 13 genera. All
genera except Ascogaster, Phanerotoma, and Pseudophanerotoma formed monophyletic
groups; Furcidentia (stat. rev.) is raised to generic rank. Neither Chelonus
(Chelonus) nor Chelonus (Microchelonus) were recovered as monophyletic, but
together formed a monophyletic lineage. The tribes Chelonini and
Odontosphaeropygini formed monophyletic groups, but the Phanerotomini sensu
Zettel and Pseudophanerotomini were retrieved as either para- or polyphyletic.
The genera comprising the former subfamily Adeliinae were confirmed as being
nested within the Cheloninae. To estimate the age of the subfamily, we used 16
fossil taxa. Three approaches were compared: fixed-rate dating, node dating, and
total-evidence dating, with age estimates differing greatly between the three
methods. Shortcomings of each approach in relation to our dataset are discussed,
and none of the age estimates is deemed sufficiently reliable. Given that most
dating studies use a single method only, in most cases without presenting
analyses on the sensitivity to priors, it is likely that numerous age estimates
in the literature suffer from a similar lack of robustness. We argue for a more
rigorous approach to dating analyses and for a faithful presentation of
uncertainties in divergence time estimates. Given the results of the phylogenetic
analysis the following taxonomic changes are proposed: Furcidentia Zettel (stat.
rev.), previously treated as a subgenus of Pseudophanerotoma Zettel is raised to
generic rank; Microchelonus Szepligeti (syn. nov.), variously treated by previous
authors, is proposed as a junior synonym of Chelonus Jurine; the following
subgenera of Microchelonus - Baculonus Braet & van Achterberg (syn. nov.),
Carinichelonus Tobias (syn. nov.) and Scabrichelonus He, Chen & van Achterberg
(syn. nov.), are proposed as junior synonyms of Chelonus; a number of new species
names are proposed due to homonyms resulting from the above changes and these are
listed in the paper.
PMID- 27179701
TI - Microwave-induced synthesis of pyrophosphate Zr1-xTixP2O7 and TiP2O7 with
enhanced sorption capacity for uranium (VI).
AB - A series of nanostructured pyrophosphates Zr1-xTixP2O7 (x=0, 0.2, 0.4, 0.5, 0.6,
0.8, and 1.0), have been prepared via a facile microwave induced route in which
zirconium hydroxide, titanium hydroxide and phosphoric acid were used as Zr, Ti
and P sources, respectively. It is demonstrated the isomorphous substitution of
Zr(4+) by Ti(4+) results in a decrease of the size and an enhancement of the
adsorption capacity of the obtained particles for U(VI) in aqueous solution. The
maximum amount of TiP2O7 for U(VI) reached up to 309.8 mgg(-1) under the
experimental conditions (pH=5, t=60min and T=303K). The as-obtained specific
metal pyrophosphates exhibit a considerably higher adsorption capability for
U(VI) in aqueous solution compared with Zr1-xTixP2O7 prepared by calcined method,
showing a high potential for U(VI) sequestration applications. The adsorption
kinetics and thermodynamic analysis of Zr1-xTixP2O7 on adsorption of U (VI) were
performed, and a possible adsoprtion mechanism was also proposed.
PMID- 27179702
TI - A multicenter study of biological effects assessment of pharmacy workers
occupationally exposed to antineoplastic drugs in Pharmacy Intravenous Admixture
Services.
AB - This multi-centered study was designed to evaluate the biological effects of
exposure to antineoplastic drugs (ADs) at PIVAS (Pharmacy Intravenous Admixture
Service) across ten Chinese hospitals. 8-hydroxy-2-deoxyguanosine (8-OHdG) was
used as a biomarker of DNA oxidative damage and lymphocyte apoptosis assays using
peripheral lymphocyte cells were used to detect primary DNA damage. The
mutagenicity activity was estimated with the Ames fluctuation test. 158 exposed
and 143 unexposed workers participated in this study. The urinary 8-OHdG/Cr
concentrations of the exposed group was 22.05+/-17.89ng/mg Cr, which was
significantly higher than controls of 17.36+/-13.50ng/mg Cr (P<0.05). The rate of
early lymphocyte apoptosis was slightly increased in exposed group than that of
the control group (P=0.087). The mutagenic activity was significantly higher in
the exposed group relative to the control group (P<0.05). Moreover, while no
statistically significant difference was observed, higher concentrations of 8
OHdG/Cr in urine and an early lymphocyte apoptosis rate were found in exposed
group II as compared to exposed group I. In addition, a significant correlation
between early lymphocyte apoptosis and exposure time to ADs was also observed
(P<0.05). In conclusion, our study identified elevated biomarkers in PIVAS
workers exposed to ADs. However whether these findings could lead to increased
incidence of genotoxic responses remains to be further investigated.
PMID- 27179704
TI - A novel reutilization method for waste printed circuit boards as flame retardant
and smoke suppressant for poly (vinyl chloride).
AB - In this study, a novel reutilization method for waste printed circuit boards
(PCBs) as flame retardant and smoke suppressant for poly (vinyl chloride) (PVC)
was successfully testified. A supercritical water oxidation (SCWO) process was
applied to treat waste PCBs before they could be used as flame retardants of PVC.
The results indicated that SCWO conditions had a significant effect on the flame
retarding and smoke suppressing properties of waste PCBs for PVC. Cu2O, CuO, and
SnO2 were the main active ingredients in waste PCBs-derived flame retardants. A
conversion of Cu elements (Cu(0)->Cu(+)->Cu(2+)) during SCWO process with the
increase of reaction temperature was found to be the key influence factor for the
flame retarding properties of SCWO-treated PCBs. The experiment results also
showed that there was a synergistic effect of flame retardancy between Cu(+) and
Cu(2+). After the optimized SCWO treatment, SCWO-treated PCBs significantly
improved the flame retardancy and smoke suppression of PVC. Limiting oxygen index
(LOI) and char yield (CY) increased with increasing SCWO-treated PCBs content in
PVC, while smoke density rating (SDR) and maximum smoke density (MSD) decreased
markedly. The mechanical properties of PVC samples were influenced in different
degree by adding different content SCWO-treated PCBs.
PMID- 27179703
TI - Human migration activities drive the fluctuation of ARGs: Case study of landfills
in Nanjing, eastern China.
AB - Landfills are perfect sites to study the effect of human migration on fluctuation
of antibiotic resistance genes (ARGs) as they are the final destination of
municipal waste. For example, large-scale human migration during the holidays is
often accompanied by changes in waste dumping having potential effects on ARG
abundance. Three landfills were selected to examine fluctuation in the abundance
of fifteen ARGs and Intl1 genes for 14 months in Nanjing, eastern China. Mass
human migration, the amount of dumped waste and temperature exerted the most
significant effects on bimonthly fluctuations of ARG levels in landfill sites. As
a middle-sized cosmopolitan city in China, millions of college students and
workers migrate during holidays, contributing to the dramatic increases in waste
production and fluctuation in ARG abundances. In line with this, mass migration
explained most of the variation in waste dumping. The waste dumping also affected
the bioaccessibility of mixed-compound pollutants that further positively
impacted the level of ARGs. The influence of various bioaccessible compounds on
ARG abundance followed the order: antibiotics>nutrients>metals>organic
pollutants. Concentrations of bioaccessible compounds were more strongly
correlated with ARG levels compared to total compound concentrations. Improved
waste classification and management strategies could thus help to decrease the
amount of bioaccessible pollutants leading to more effective control for urban
ARG dissemination.
PMID- 27179705
TI - Complement-here, there and everywhere, but what about the transplanted organ?
AB - The part of the innate immune system that communicates and effectively primes the
adaptive immune system was termed "complement" by Ehrlich to reflect its
complementarity to antibodies having previously been described as "alexine" (i.e
protective component of serum) by Buchner and Bordet. It has been established
that complement is not solely produced systemically but may have origin in
different tissues where it can influence organ specific functions that may affect
the outcome of transplanted organs. This review looks at the role of complement
in particular to kidney transplantation. We look at current literature to
determine whether blockade of the peripheral or central compartments of
complement production may prevent ischaemic reperfusion injury or rejection in
the transplanted organ. We also review new therapeutics that have been developed
to inhibit components of the complement cascade with varying degrees of success
leading to an increase in our understanding of the multiple triggers of this
complex system. In addition, we consider whether biomarkers in this field are
effective markers of disease or treatment.
PMID- 27179706
TI - Identification of novel APOB mutations by targeted next-generation sequencing for
the molecular diagnosis of familial hypobetalipoproteinemia.
AB - BACKGROUND AND AIMS: Familial hypobetalipoproteinemia (FHBL) is a co-dominant
disorder characterized by decreased plasma levels of LDL-cholesterol and
apolipoprotein B (ApoB). Currently, genetic diagnosis in FHBL relies largely on
Sanger sequencing to identify APOB and PCSK9 gene mutations and on western
blotting to detect truncated ApoB species. METHODS: Here, we applied targeted
enrichment and next-generation sequencing (NGS) on a panel of three FHBL genes
and two abetalipoproteinemia genes (APOB, PCSK9, ANGPTL3, MTTP and SAR1B).
RESULTS: In this study, we identified five likely pathogenic heterozygous rare
variants. These include four novel nonsense mutations in APOB (p.Gln845*,
p.Gln2571*, p.Cys2933* and p.Ser3718*) and a rare variant in PCSK9 (Minor Allele
Frequency <0.1%). The affected family members tested were shown to be carriers,
suggesting co-segregation with low LDL-C. CONCLUSIONS: Our study further
demonstrates that NGS is a reliable and practical approach for the molecular
screening of FHBL-causative genes that may provide a mean for deciphering the
genetic basis in FHBL.
PMID- 27179707
TI - Inverse association of total testosterone with central haemodynamics and left
ventricular mass in hypertensive men.
AB - BACKGROUND: There is evidence for inverse association between endogenous
testosterone and blood pressure. Furthermore, low plasma testosterone is
associated with increased risk of major cardiovascular events in middle-aged
hypertensive men. Central (aortic) blood pressures determine left ventricular
hypertrophy and predict cardiovascular mortality. The aim of the present study
was to assess the relationship of total testosterone (TT) with central
haemodynamics and left ventricular mass in hypertensive men. METHODS: We
investigated 134 non-diabetic, middle-aged, hypertensive men and 60 age-matched
normotensive males. All participants were subject to measurement of aortic
systolic (aoSBP) and pulse pressure (aoPP) by pulse wave analysis using the
SphygmoCor device. Wave reflections were assessed by the measurement of heart
rate corrected augmentation index (AIx75). Echocardiography was performed in all
individuals and left ventricular mass (LVM) was calculated using the Devereux's
formula. Plasma TT was measured by enzyme immunoassay. RESULTS: In hypertensive
men, univariate analysis showed an inverse, significant correlation between TT
and aoSBP (r = -20, p = 0.02), aoPP (r = -0.21, p = 0.01), AIx75 (r = -0.22, p =
0.01) and LVM (r = -0.19, p = 0.008). Multivariate regression analysis
demonstrated an independent inverse association of TT with aoPP (b = -0.21, p =
0.02), AIx75 (b = -0.19, p = 0.03) and LVM (b = -0.28, p = 0.005) after
adjustment for age, BMI, smoking, total cholesterol, triglycerides, fasting
glucose, mean arterial pressure, antihypertensive treatment and statin use.
Independent associations were retained even after inclusion of normotensive
subjects in the analysis. CONCLUSIONS: In hypertensive men, TT is independently
and inversely associated with central pulse pressure, wave reflections and left
ventricular mass. Considering the adverse prognostic role of central blood
pressures and LV hypertrophy on cardiovascular outcomes in hypertensive patients,
the present findings might explain part of the increased cardiovascular risk
associated with low testosterone. Whether measurement of central haemodynamics
may improve risk stratification in hypertensive men with low testosterone
warrants further investigation.
PMID- 27179708
TI - Comparison of 3-dimensional dose reconstruction system between fluence-based
system and dose measurement-guided system.
AB - COMPASS system (IBA Dosimetry, Schwarzenbruck, Germany) and ArcCHECK with 3DVH
software (Sun Nuclear Corp., Melbourne, FL) are commercial quasi-3-dimensional
(3D) dosimetry arrays. Cross-validation to compare them under the same
conditions, such as a treatment plan, allows for clear evaluation of such
measurement devices. In this study, we evaluated the accuracy of reconstructed
dose distributions from the COMPASS system and ArcCHECK with 3DVH software using
Monte Carlo simulation (MC) for multi-leaf collimator (MLC) test patterns and
clinical VMAT plans. In a phantom study, ArcCHECK 3DVH showed clear differences
from COMPASS, measurement and MC due to the detector resolution and the dose
reconstruction method. Especially, ArcCHECK 3DVH showed 7% difference from MC for
the heterogeneous phantom. ArcCHECK 3DVH only corrects the 3D dose distribution
of treatment planning system (TPS) using ArcCHECK measurement, and therefore the
accuracy of ArcCHECK 3DVH depends on TPS. In contrast, COMPASS showed good
agreement with MC for all cases. However, the COMPASS system requires many
complicated installation procedures such as beam modeling, and appropriate
commissioning is needed. In terms of clinical cases, there were no large
differences for each QA device. The accuracy of the compass and ArcCHECK 3DVH
systems for phantoms and clinical cases was compared. Both systems have
advantages and disadvantages for clinical use, and consideration of the operating
environment is important. The QA system selection is depending on the purpose and
workflow in each hospital.
PMID- 27179709
TI - Machine learning optimization of cross docking accuracy.
AB - Performance of small molecule automated docking programs has conceptually been
divided into docking -, scoring -, ranking - and screening power, which focuses
on the crystal pose prediction, affinity prediction, ligand ranking and database
screening capabilities of the docking program, respectively. Benchmarks show that
different docking programs can excel in individual benchmarks which suggests that
the scoring function employed by the programs can be optimized for a particular
task. Here the scoring function of Smina is re-optimized towards enhancing the
docking power using a supervised machine learning approach and a manually curated
database of ligands and cross docking receptor pairs. The optimization method
does not need associated binding data for the receptor-ligand examples used in
the data set and works with small train sets. The re-optimization of the weights
for the scoring function results in a similar docking performance with regard to
docking power towards a cross docking test set. A ligand decoy based benchmark
indicates a better discrimination between poses with high and low RMSD. The
reported parameters for Smina are compatible with Autodock Vina and represent
ready-to-use alternative parameters for researchers who aim at pose prediction
rather than affinity prediction.
PMID- 27179710
TI - Streptococcus mutans photoinactivation using a combination of a high potency
photopolymerizer and rose bengal.
AB - BACKGROUND: Long treatment periods to reach a substantial inactivation of
microorganisms are one of the critical challenges in the photodynamic therapy
field. METHODS: Planktonic suspensions of Streptococcus mutans were treated in
different groups: presence of rose bengal (RB at 2MUM) and light exposure by a
new high potency photopolymerizer (L at wavelength=440-480nm; dosimetry=96J/cm(2)
- 40s of irradiation; potency density=1200mW/cm(2); dosage=48J) - RB+L+ (PDT),
just with dye - RB+L-, just with light - RB-L+ and absence of light and dye RB-L-
(control group). Aliquots of each group were transferred to Petri dishes to
colony counting (CFU/mL) with the data transformed in log10. The results were
submitted to ANOVA and Tukey test at 5%. RESULTS: PDT group presented total
eradication of microorganisms showing statistical difference with all the other
groups (5.82log reduction?99%). CONCLUSION: The high potency photopolymerizer in
pulsed emission at an extra short irradiation and low concentration of rose
bengal could be considered as a progressive alternative to the control of S.
mutans suspensions.
PMID- 27179711
TI - Antimicrobial photodynamic therapy on Candida albicans pre-treated by fluconazole
delayed yeast inactivation.
AB - Antimicrobial photodynamic therapy (APDI) has been used to treat localized
infection and the aim of this study was to evaluate the effect of APDI combined
with fluconazole in suspension of Candida albicans. C. albicans ATCC90028 was
subcultured onto Sabouraud agar and inocula were prepared at yeast density of
1*10(6)CFU/mL. Methylene blue (MB) was used with concentration of 100mM. Yeast
cells were incubated for 30min in 24-well plate and then irradiated by LED
(660nm; 690mW; A=2.7cm(2); I=250mW/cm(2)) with radiant exposure of 30, 60, and
120J/cm(2). The same APDI setup was used with 2h fluconazole (0.5MUg/mL)
incubation. A UV-vis optical absorption spectroscopy was achieved following
fractionated irradiation up to 960s. There were substantial differences in the
killing effect following MB-mediated APDI and C. albicans was eradicated in the
both APDI groups. The fluconazole combined to APDI delayed the complete
inactivation of the yeast (p<0.05). Spectroscopy showed a decrease in absorption
following irradiation for all absorption peaks. APDI presented an antagonist
effect in the presence of fluconazole.
PMID- 27179713
TI - Autism spectrum disorder phenotype and intellectual disability in females with
epilepsy and PCDH-19 mutations.
AB - INTRODUCTION: Autism features and various degrees of cognitive deficit are
reported in patients with PCDH-19 mutations and epilepsy. Autism spectrum
disorder (ASD) and, often, cognitive profile are usually assessed clinically. We
studied autism phenotype and cognitive outcome in a series of patients using
standardized tools for development and ASD. We aimed to describe the phenotype of
ASD in this series and to understand whether ASD is strictly linked to
intellectual disability (ID) or is present as a comorbidity. METHODS: Eight
females aged 5 to 17years old with PCDH-19 mutations and epilepsy were recruited.
For ASD diagnosis, the Autism Diagnostic Interview - Revised (ADI-R) and the
Autism Diagnosis Observation Schedule (ADOS) were administered. Patients
underwent a neuropsychological examination with tests measuring global
intellectual efficiency (WPPSI-III and WISC-IV), language, and executive and
social cognition abilities. Parental adaptive behavioral questionnaires were also
obtained (VABS, CBCL, and BRIEF). RESULTS: Six out of eight patients presented
with ASD and ID. Two patients had neither ASD nor ID, and both had the latest age
of onset for their epilepsy. All cognitive functions were deficient, but theory
of-mind abilities compared to other cognitive features were even impaired.
Features of ASD lacked major repetitive and stereotyped behaviors and show some
differences with the classical ASD features related to ID. CONCLUSION: Our
results show a large spectrum of ID and a very high rate of ASD in patients with
epilepsy and PCDH-19 mutations. Autism spectrum disorder seems to be a genuine
comorbidity, more than a consequence of ID. It highlights the importance of
standardized psychiatric and cognitive evaluation in order to establish a
tailored rehabilitation program.
PMID- 27179714
TI - Auditory temporal processing in patients with temporal lobe epilepsy.
AB - OBJECTIVE: Auditory temporal processing is the main feature of speech processing
ability. Patients with temporal lobe epilepsy, despite their normal hearing
sensitivity, may present speech recognition disorders. The present study was
carried out to evaluate the auditory temporal processing in patients with
unilateral TLE. MATERIALS AND METHODS: The present study was carried out on 25
patients with epilepsy: 11 patients with right temporal lobe epilepsy and 14 with
left temporal lobe epilepsy with a mean age of 31.1years and 18 control
participants with a mean age of 29.4years. The two experimental and control
groups were evaluated via gap-in-noise and duration pattern sequence tests. One
way ANOVA was run to analyze the data. RESULTS: The mean of the threshold of the
GIN test in the control group was observed to be better than that in participants
with LTLE and RTLE. Also, it was observed that the percentage of correct
responses on the DPS test in the control group and in participants with RTLE was
better than that in participants with LTLE. CONCLUSION: Patients with TLE have
difficulties in temporal processing. Difficulties are more significant in
patients with LTLE, likely because the left temporal lobe is specialized for the
processing of temporal information.
PMID- 27179715
TI - The challenge of establishing a correct serum potassium cutoff for inhospital
triage after avalanche-induced cardiac arrest.
PMID- 27179716
TI - Sodium bicarbonate dilemma in patients with out-of-hospital cardiac arrest: A
double-edged sword.
PMID- 27179712
TI - Clinical significance of microRNAs in chronic and acute human leukemia.
AB - Small non-coding microRNAs (miRNAs) are epigenetic regulators that target
specific cellular mRNA to modulate gene expression patterns and cellular
signaling pathways. miRNAs are involved in a wide range of biological processes
and are frequently deregulated in human cancers. Numerous miRNAs promote
tumorigenesis and cancer progression by enhancing tumor growth, angiogenesis,
invasion and immune evasion, while others have tumor suppressive effects (Hayes,
et al., Trends Mol Med 20(8): 460-9, 2014; Stahlhut and Slack, Genome Med 5 (12):
111, 2013). The expression profile of cancer miRNAs can be used to predict
patient prognosis and clinical response to treatment (Bouchie, Nat Biotechnol
31(7): 577, 2013). The majority of miRNAs are intracellular localized, however
circulating miRNAs have been detected in various body fluids and represent new
biomarkers of solid and hematologic cancers (Fabris and Calin, Mol Oncol
10(3):503-8, 2016; Allegra, et al., Int J Oncol 41(6): 1897-912, 2012). This
review describes the clinical relevance of miRNAs, lncRNAs and snoRNAs in the
diagnosis, prognosis and treatment response in patients with chronic lymphocytic
leukemia (CLL), chronic myeloid leukemia (CML), acute lymphocytic leukemia (ALL),
acute myeloid leukemia (AML) and acute adult T-cell leukemia (ATL).
PMID- 27179717
TI - The serum brain-derived neurotrophic factor concentration prior to initiation of
an in vitro fertilization cycle predicts outcome.
AB - Our objective was to determine if the concentration of circulating brain-derived
neurotrophic factor (BDNF) prior to cycle initiation predicts outcome in women
undergoing in vitro fertilization (IVF). Stored serum samples from 226 women - 54
with a live birth, 45 with a spontaneous abortion, 38 with a biochemical
pregnancy, 54 who did not become pregnant and 35 with an ectopic pregnancy- were
retrospectively blindly tested for BDNF by ELISA. The median serum concentration
of BDNF was highest in women with an extrauterine ectopic pregnancy (7.3ng/ml),
intermediate in women whose embryos did not implant (5.5ng/ml) and lowest in
women with a spontaneous abortion (4.2ng/ml), biochemical pregnancy (3.8ng/ml) or
a live birth (3.6ng/ml) (P<0.0001). Among women with a positive pregnancy test an
elevated BDNF level predicted an ectopic pregnancy with a sensitivity of 0.853
(0.689, 0.950) and a specificity of 0.949 (0.897, 0.979). We conclude that
elevated BDNF in serum obtained before IVF cycle initiation is predictive of an
extrauterine pregnancy.
PMID- 27179718
TI - Comparison of performance on Hospital Compare process measures and patient
outcomes between hospitals that do and do not participate in Acute Coronary
Treatment and Intervention Outcomes Network Registry-Get With The Guidelines.
AB - BACKGROUND: Acute Coronary Treatment and Intervention Outcomes Network Registry
Get With The Guidelines (ACTION Registry-GWTG) was designed to measure and
improve the treatment and outcomes of patients with acute myocardial infarction
(AMI), yet it is unknown whether performance of Medicare Hospital Compare metrics
and outcomes differ between hospitals participating versus those not
participating in the registry. METHODS: Using 2007 to 2010 Hospital Compare data,
we matched participating to nonparticipating hospitals based on teaching status,
size, percutaneous coronary intervention capability, and baseline (2007) Hospital
Compare AMI process measure performance. We used linear mixed modeling to compare
2010 Hospital Compare process measure adherence, 30-day risk-adjusted mortality,
and readmission rates. We repeated these analyses after stratification according
to baseline performance level. RESULTS: Compared with nonparticipating hospitals,
those participating were larger (median 288 vs 139 beds, P < .0001), more often
teaching hospitals (18.8% vs 6.3%, P < .0001), and more likely had interventional
catheterization lab capabilities (85.7% vs 34.0%, P < .0001). Among 502 matched
pairs of participating and nonparticipating hospitals, we found high levels of
process measure adherence in both 2007 and 2010, with minimal differences between
them. Rates of 30-day mortality and readmission in 2010 were also similar between
both groups. Results were consistent across strata of baseline performance level.
CONCLUSIONS: In this observational analysis, there were no significant
differences in the performance of Hospital Compare process measures or outcomes
between hospitals in Acute Coronary Treatment and Intervention Outcomes Network
Registry-Get With The Guidelines and other hospitals not in the registry.
However, baseline performance on the Hospital Compare process measures was very
high in both groups, suggesting the need for new quality improvement foci to
further improve patient outcomes.
PMID- 27179720
TI - Ischemic cardiac outcomes and hospitalizations according to prior macrovascular
disease status in patients with type 2 diabetes and recent acute coronary
syndrome from the Examination of Cardiovascular Outcomes with Alogliptin versus
Standard of Care trial.
AB - BACKGROUND: Concerns raised regarding adverse cardiovascular (CV) outcomes with
new therapies for type 2 diabetes mellitus (T2DM) have led to several large-scale
CV outcome trials. The EXAMINE trial confirmed noninferiority of the dipeptidyl
dipeptidase 4 inhibitor alogliptin to placebo on major adverse cardiac event
rates in a post-acute coronary syndrome (ACS) T2DM population. We present data on
additional ischemic cardiac events and CV hospitalizations in EXAMINE. METHODS:
Patients with T2DM and an ACS event in the previous 15 to 90 days were randomly
assigned to alogliptin or placebo on a background of standard treatment for
diabetes. The incident rates of a 5-component composite end point of CV death,
stroke, myocardial infarction, unstable angina, and coronary revascularization as
well as CV hospitalization were calculated in all participants and according to
macrovascular disease at baseline. RESULTS: There were no significant differences
between alogliptin (n = 2,701) and placebo (n = 2,679) in the event rate of the 5
component composite endpoint with median follow-up 533 days (21.0% vs 21.5%,
hazard ratio [HR] 0.98 [0.87-1.10], P = .72). No differences were observed in
terms of CV hospitalization (25.0% vs 25.4%, HR 0.98 [0.88-1.09], P = .70) or
coronary revascularization (10.6% vs 10.2%, HR 1.05 [0.88-1.09], P = .60). No
interactions were observed for treatment and prior macrovascular disease.
CONCLUSIONS: EXAMINE demonstrates that there was no increase in the risk of
cardiac ischemic events and CV hospitalizations with alogliptin in a high-risk
post-ACS patient population. Because these are major driver of overall health
care costs, these data suggest that there would be no adverse impact on health
care resource utilization.
PMID- 27179719
TI - Impact of genetic variants on the upstream efficacy of renin-angiotensin system
inhibitors for the prevention of atrial fibrillation.
AB - BACKGROUND: Renin-angiotensin system (RAS) inhibition via angiotensin-converting
enzyme inhibitors and angiotensin II receptor blockers may reduce the risk of
developing atrial fibrillation (AF) in certain populations, but the evidence is
conflicting. Recent genome-wide association studies have identified several
single nucleotide polymorphisms (SNPs) associated with AF, potentially
identifying clinically relevant subtypes of the disease. We sought to investigate
the impact of carrier status of 9 AF-associated SNPs on the efficacy of RAS
inhibition for the primary prevention of AF. METHODS: We performed SNP-RAS
inhibitor interaction testing with unadjusted and adjusted Cox proportional
hazards models using a discovery (Cardiovascular Health Study) and a replication
(Atherosclerosis Risk in Communities) cohort. Additive genetic models were used
for the SNP analyses, and 2-tailed P values <.05 were considered statistically
significant. RESULTS: Among 2,796 Cardiovascular Health Study participants, none
of the 9 a priori identified candidate SNPs exhibited a significant SNP-drug
interaction. Two of the 9 SNPs, rs2106261 (16q22) and rs6666258 (1q21), revealed
interaction relationships that neared statistical significance (with point
estimates in the same direction for angiotensin-converting enzyme inhibitor only
and angiotensin II receptor blocker only analyses), but neither association could
be replicated among 8,604 participants in Atherosclerosis Risk in Communities.
CONCLUSIONS: Our study failed to identify AF-associated SNP genetic subtypes of
AF that derive increased benefit from upstream RAS inhibition for AF prevention.
Future studies should continue to investigate the impact of genotype on the
response to AF treatment strategies in an effort to develop personalized
approaches to therapy and prevention.
PMID- 27179721
TI - Family history of atrial fibrillation is associated with earlier-onset and more
symptomatic atrial fibrillation: Results from the Outcomes Registry for Better
Informed Treatment of Atrial Fibrillation (ORBIT-AF) registry.
AB - BACKGROUND: We addressed whether patients with a family history of atrial
fibrillation (AF) were diagnosed as having AF earlier in life, were more
symptomatic, and had worse outcomes compared with those without a family history
of AF. METHODS: Using the ORBIT-AF, we compared symptoms and disease
characteristics in those with and without a family history of AF. A family
history of AF was defined as AF in a first-degree family member and obtained by
patient self-reporting. Multivariable Cox proportional hazard analyses were
performed to compare the incidence of cardiovascular outcomes, AF progression,
all-cause hospitalization, and all-cause death. RESULTS: Among 9,999 patients
with AF from 176 US outpatient clinics, 1,481 (14.8%) had a family history of AF.
Relative to those without, those with a family history of AF developed AF 5 years
earlier on average (median age 65 vs 70 years, P < .01), with less comorbidity,
and had more severe AF-related symptoms. No differences were found between the 2
groups in the risk of AF progression (adjusted hazard ratio [HR] 0.98, 95% CI
0.85-1.14), stroke, non-central nervous system embolism, or transient ischemic
attack (adjusted HR 0.95, 95% CI 0.67-1.34), all-cause hospitalization (adjusted
HR 1.03, 95% CI 0.94-1.12), and all-cause death (adjusted HR 1.05, 95% CI 0.86
1.27). CONCLUSIONS: Patients with a family history of AF developed AF at a
younger age, had less comorbidity, and were more symptomatic. Once AF developed,
no significantly increased risks of AF progression and thromboembolism were
associated with a family history of AF compared with no family history.
PMID- 27179722
TI - Bivalirudin versus heparin in non-ST and ST-segment elevation myocardial
infarction-a registry-based randomized clinical trial in the SWEDEHEART registry
(the VALIDATE-SWEDEHEART trial).
AB - BACKGROUND: The optimal anticoagulant for patients with acute coronary syndrome
treated with percutaneous coronary intervention (PCI) has not been validated in
current practice of radial approach and pretreatment with potent P2Y12
inhibitors. Several studies have indicated increased bleeding rate and, in some
instances, even increased mortality by the routine use of heparin and
glycoprotein IIb/IIIa inhibitors compared to bivalirudin. Direct comparison of
bivalirudin versus heparin alone has yielded contradictory results depending on
study designs. METHODS/DESIGN: The VALIDATE-SWEDEHEART trial is a multicenter,
prospective, randomized, registry-based, controlled, and open-label clinical
trial in patients with ST-segment elevation myocardial infarction (STEMI) or non
STEMI undergoing PCI pretreated with ticagrelor, prasugrel, or cangrelor. We
hypothesize that bivalirudin is superior to heparin alone in reducing death,
myocardial infarction, and major bleeding events at 180 days (primary end point).
The trial will enroll 3,000 patients with STEMI and 3,000 patients with non-STEMI
undergoing PCI. The trial will use a hybrid registry-based randomized clinical
trial design where inclusion, randomization, and baseline data collection are
performed using The Swedish Web-system for Enhancement and Development of
Evidence-based care in Heart disease Evaluated According to Recommended Therapies
registry. The primary composite end point (death, myocardial infarction, or major
bleeding events at 180 days) will be identified through active screening after 7
and 180 days and adjudicated by a blinded central end point committee. Secondary
end points and long-term outcomes will be recorded from national registries.
CONCLUSION: The VALIDATE-SWEDEHEART trial is founded on a nationwide clinical
registry and uses a hybrid registry-based randomized clinical trial (RRCT) design
methodology to evaluate efficacy and safety of bivalirudin as compared to heparin
alone for acute coronary syndrome, in a large population receiving contemporary
recommended therapies including predominantly radial invasive approach and
pretreatment with potent P2Y12 inhibitors.
PMID- 27179723
TI - Ten-year clinical outcomes after sirolimus-eluting stent implantation: Impact of
an in-stent restenosis target lesion.
AB - Little is known about the long-term outcomes after first-generation sirolimus
eluting stent (SES) implantation. We aimed to investigate the clinical outcomes
up to 10 years after SES implantation. METHODS: The study population comprised
342 patients (504 lesions) who underwent SES implantation between January 2002
and December 2004. The median duration of follow-up was 3816 days (interquartile
range [Q1-Q3], 3,705-3,883 days). RESULTS: The cumulative event rate of definite
stent thrombosis was 3.9%. The cumulative rate of target lesion revascularization
(TLR) at 1, 5, and 10 years was 8.7%, 18.8%, and 31.1%, respectively, and the
annual rate of TLR was 3.1%. Clinically driven TLR occurred at relatively
constant rate during 10 years (2.0% per year). In a multivariate analysis, higher
body mass index, hemodialysis, in-stent restenosis (ISR) target lesion, and total
stent length >30 mm were independent risk factors of TLR within 5 years. An
independent risk factor of TLR beyond 5 years was ISR target lesion. CONCLUSIONS:
Late TLR after SES implantation is a long-term hazard, lasting up to 10 years.
The ISR target lesion is a risk factor of TLR during 10 years.
PMID- 27179724
TI - Risk and timing of recurrent ischemic events among patients with stable ischemic
heart disease, non-ST-segment elevation acute coronary syndrome, and ST-segment
elevation myocardial infarction.
AB - BACKGROUND: We aimed to compare differences in risk and timing of recurrent
ischemic events among patients with stable ischemic heart disease (SIHD), non-ST
segment elevation acute coronary syndrome (NSTE-ACS), and ST-segment elevation
myocardial infarction (STEMI) undergoing percutaneous coronary intervention
(PCI). METHODS: We performed an individual data pooled analysis of 5 randomized
controlled all-comer trials including a total of 8,859 patients and investigated
the risk and timing of recurrent ischemic events among patients with SIHD (n =
3,543), NSTE-ACS (n = 3,364), and STEMI (n = 1,952) throughout 2 years of follow
up. RESULTS: At 2 years, all-cause mortality was higher among patients with STEMI
(6.4%) and NSTE-ACS (6.1%) compared with those with SIHD (4.2%) (STEMI vs SIHD:
hazard ratio [HR] 1.40, 95% CI 1.09-1.78, P = .007; NSTE-ACS vs SIHD: 1.40, 95%
CI 1.13-1.73, P = .002). In a landmark analysis, the risk of mortality among
patients with STEMI compared with those with SIHD was confined to the first 30
days after PCI (HR 6.19, 95% CI 3.15-12.16, P < .001) but was similar between 30
days and 2 years (HR 1.00, 95% CI 0.76-1.33, P = .974) (Pinteraction < .001).
Conversely, patients with NSTE-ACS had a higher risk of mortality compared with
those with SIHD both within the first 30 days (HR 2.19, 95% CI 1.08-4.47, P =
.031) and beyond (HR 1.34, 95% CI 1.07-1.67, P = .012) (Pinteraction < .001). A
similar pattern in the differential timing of events was observed for cardiac
death. Beyond 30 days, the risk of myocardial infarction was comparable in
patients with STEMI and SIHD, whereas the risk in patients with NSTE-ACS was
increased (HR 1.65, 95% CI 1.23-2.21, P = .001). CONCLUSION: Whereas patients
with NSTE-ACS are at increased risk for death at any time after PCI, the
mortality of STEMI patients is higher during the first 30 days after PCI but not
thereafter compared with patients with SIHD.
PMID- 27179725
TI - Changes in cardiovascular risk factors after 5 years of implementation of a
population-based program to reduce cardiovascular disease: The Heart of New Ulm
Project.
AB - BACKGROUND: Population-based interventions aimed at reducing cardiovascular
disease (CVD) hold significant potential and will be increasingly relied upon as
the model for health care changes in the United States. METHODS: The Heart of New
Ulm Project is a population-based project with health care, community, and
workplace interventions addressing multiple levels of the social-ecological model
designed to reduce modifiable CVD risk factors in rural New Ulm, MN. The
community is served by one health system, enabling the use of electronic health
record data for surveillance. Electronic health record data were extracted at
baseline (2008-2009) and 2 follow-up periods (2010-2011, 2012-2013) for residents
aged 40 to 79 years. Generalized estimating equations were used to fit
longitudinal models of the risk factors. RESULTS: Of 7,855 residents in the
target population, 80% had electronic health record data for each period. The
prevalence of at goal (blood pressure [BP] <140/90 mm Hg) and (low-density
lipoprotein cholesterol [LDL-C] <130 mg/dL) increased from 79.3% to 86.4% and
68.9% to 71.1%, respectively, from baseline to 5 years, with the largest
reductions in BP and LDL-C seen in individuals not at goal at baseline. Blood
pressure and lipid-lowering medication use increased from 41.8% to 44.0% and
25.3% to 29.1%, respectively. The proportion at goal for glucose increased from
46.9% to 48.2%. The prevalence body mass index <30 kg/m(2) (55%) did not change,
whereas the proportion at-goal for high-density lipoprotein decreased from 63.8%
to 58%, and smoking showed an increase from 11.3% to 13.6%. CONCLUSION: In a
community participating in a multifaceted, population-based project aimed at
reducing modifiable CVD risk factors, significant improvements in BP, LDL-C, and
glucose were observed for 5 years, and body mass index remained stable in a state
where obesity was increasing.
PMID- 27179726
TI - Rationale and design of a home-based trial using wearable sensors to detect
asymptomatic atrial fibrillation in a targeted population: The mHealth Screening
To Prevent Strokes (mSToPS) trial.
AB - Efficient methods for screening populations for undiagnosed atrial fibrillation
(AF) are needed to reduce its associated mortality, morbidity, and costs. The use
of digital technologies, including wearable sensors and large health record data
sets allowing for targeted outreach toward individuals at increased risk for AF,
might allow for unprecedented opportunities for effective, economical screening.
The trial's primary objective is to determine, in a real-world setting, whether
using wearable sensors in a risk-targeted screening population can diagnose
asymptomatic AF more effectively than routine care. Additional key objectives
include (1) exploring 2 rhythm-monitoring strategies-electrocardiogram-based and
exploratory pulse wave-based-for detection of new AF, and (2) comparing long-term
clinical and resource outcomes among groups. In all, 2,100 Aetna members will be
randomized 1:1 to either immediate or delayed monitoring, in which a wearable
patch will capture a single-lead electrocardiogram during the first and last 2
weeks of a 4-month period beginning immediately or 4 months after enrollment,
respectively. An observational, risk factor-matched control group (n = 4,000)
will be developed from members who did not receive an invitation to participate.
The primary end point is the incidence of new AF in the immediate- vs delayed
monitoring arms at the end of the 4-month monitoring period. Additional efficacy
and safety end points will be captured at 1 and 3 years. The results of this
digital medicine trial might benefit a substantial proportion of the population
by helping identify and refine screening methods for undiagnosed AF.
PMID- 27179727
TI - Design and rationale for the Effects of Ticagrelor and Clopidogrel in Patients
with Peripheral Artery Disease (EUCLID) trial.
AB - BACKGROUND: Despite overwhelming data demonstrating the efficacy of antiplatelet
therapy in heart disease and stroke, data in peripheral artery disease (PAD) are
less compelling. Aspirin has modest evidence supporting a reduction in
cardiovascular events in patients with PAD, whereas clopidogrel monotherapy may
be more effective in PAD. Ticagrelor, a potent, reversibly binding P2Y12 receptor
antagonist, is beneficial in patients with acute coronary syndrome and prior
myocardial infarction. The EUCLID trial is designed to address the need for
effective antiplatelet therapy in PAD to decrease the risk of cardiovascular
events. STUDY DESIGN: EUCLID is a randomized, double-blind, parallel-group,
multinational clinical trial designed to evaluate the efficacy and safety of
ticagrelor compared with clopidogrel for the prevention of major adverse
cardiovascular events in subjects with symptomatic PAD. Subjects with established
PAD will be randomized in a 1:1 fashion to ticagrelor 90 mg twice daily or
clopidogrel 75 mg daily. The primary end point is a composite of cardiovascular
death, myocardial infarction, or ischemic stroke. Other end points address limb
events including acute leg ischemia, need for revascularization, disease
progression by ankle-brachial index, and quality of life. The primary safety
objective is Thrombolysis in Myocardial Infarction-defined major bleeding.
Recruitment began in December 2012 and was completed in March 2014; 13,887
patients were randomized. The trial will continue until at least 1,364
adjudicated primary end points occur. CONCLUSIONS: The EUCLID study is
investigating whether treatment with ticagrelor versus clopidogrel, given as
antiplatelet monotherapy, will reduce the incidence of cardiovascular and limb
specific events in patients with symptomatic PAD.
PMID- 27179728
TI - Patient and physician discordance in reporting symptoms of angina among stable
coronary artery disease patients: Insights from the Angina Prevalence and
Provider Evaluation of Angina Relief (APPEAR) study.
AB - BACKGROUND: A principal goal of treating patients with coronary artery disease
(CAD) is to minimize angina and optimize quality of life. For this, physicians
must accurately assess presence and frequency of patients' angina. The accuracy
with which cardiologists estimate their patients' angina in contemporary, busy
outpatient clinics across the United States (US) is unknown. METHODS: We enrolled
patients with CAD across 25 US cardiology outpatient practices. Patients
completed the Seattle Angina Questionnaire before their visit, which assessed
their angina and quality of life over the prior 4 weeks. The Seattle Angina
Questionnaire angina frequency domain categorized patients' angina as none,
daily/weekly, or monthly. After the visit, cardiologists estimated the frequency
of their patients' angina using the same categories. Kappa statistic helped to
assess agreement between patient-reported and cardiologist-estimated angina.
RESULTS: Among 1,257 outpatients with CAD, 67% reported no angina, 25% reported
monthly angina, and 8% reported daily/weekly angina. When patients reported no
angina, cardiologists accurately estimated this 93% of the time, but when
patients reported monthly or daily/weekly angina symptoms, cardiologists agreed
17% and 69% of the time, respectively. Among patients with daily/weekly angina,
26% were noted as having no angina by their physicians. Agreement between
patients' and cardiologists' reports (assessed by the kappa statistic) was 0.48
(95% CI 0.44-0.53), indicating moderate agreement. CONCLUSIONS: Among outpatients
with stable CAD, there is substantial discordance between patient-reported and
cardiologist-estimated burden of angina. Inclusion of patient-reported health
status measures in routine clinical care may support better recognition of
patients' symptoms by physicians.
PMID- 27179729
TI - Sex-related differences in left ventricular remodeling in severe aortic stenosis
and reverse remodeling after aortic valve replacement: A cardiovascular magnetic
resonance study.
AB - BACKGROUND: Cardiac adaptation to aortic stenosis (AS) appears to differ
according to sex, but reverse remodeling after aortic valve replacement has not
been extensively described. The aim of the study was to determine using cardiac
magnetic resonance imaging whether any sex-related differences exist in AS in
terms of left ventricular (LV) remodeling, myocardial fibrosis, and reverse
remodeling after valve replacement. METHODS: One hundred patients (men, n = 60)
with severe AS undergoing either transcatheter or surgical aortic valve
replacement underwent cardiac magnetic resonance scans at baseline and 6 months
after valve replacement. RESULTS: Despite similar baseline comorbidity and
severity of AS, women had a lower indexed LV mass than did men (65.3 +/- 18.4 vs
81.5 +/- 21.3 g/m(2), P < .001) and a smaller indexed LV end-diastolic volume
(87.3 +/- 17.5 vs 101.2 +/- 28.6 mL/m(2), P = .002) with a similar LV ejection
fraction (58.6% +/- 10.2% vs 54.8% +/- 12.9%, P = .178). Total myocardial
fibrosis mass was similar between sexes (2.3 +/- 4.1 vs 1.3 +/- 1.1 g, P = .714),
albeit with a differing distribution according to sex. After aortic valve
replacement, men had more absolute LV mass regression than did women (18.3 +/-
10.6 vs 12.7 +/- 8.8 g/m(2), P = .007). When expressed as a percentage reduction
of baseline indexed LV mass, mass regression was similar between the sexes (men
21.7% +/- 10.1% vs women 18.4% +/- 11.0%, P = .121). There was no sex-related
difference in postprocedural LV ejection fraction or aortic regurgitation. Sex
was not found to be a predictor of LV reverse remodeling on multiple regression
analysis. CONCLUSIONS: There are significant differences in the way that male and
female hearts adapt to AS. Six months after aortic valve replacement, there are
no sex-related differences in reverse remodeling, but superior reverse remodeling
in men as a result of their more adverse remodeling profile at baseline.
PMID- 27179732
TI - The impact of drug shortages on patients with cardiovascular disease: causes,
consequences, and a call to action.
AB - Shortages of cardiovascular drugs have become increasingly common, representing
an ongoing public health crisis. Given few therapeutic alternatives to many of
the drugs in short supply, these shortages also pose a major challenge for
cardiovascular care professionals. Although changes in the regulatory environment
have led to some improvements in recent years, problems involving manufacturing
processes remain the most common underlying cause. Because of the complex nature
of drug shortages, sustainable solutions to prevent and mitigate them will
require collaboration between regulatory agencies, drug manufacturers, and other
key stakeholder groups. In this report, we describe the scope of the
cardiovascular drug shortage crisis in the United States, including its
underlying causes and the efforts currently being made to address it.
Furthermore, we provide specific recommendations for how cardiovascular care
professionals can be involved in efforts to limit the impact of drug shortages on
patient care as well as policy changes aimed at preventing and mitigating them.
PMID- 27179730
TI - Genetic loci associated with ideal cardiovascular health: A meta-analysis of
genome-wide association studies.
AB - BACKGROUND: Multiple genetic loci are associated with clinical cardiovascular
(CV) disease and individual CV risk factors. Individuals with ideal levels of all
major CV risk factors have very low risk for CV disease morbidity or mortality.
Ideal levels of risk factors can be attained by lifestyle modifications; however,
little is known about gene variants associated with ideal CV health. Our
objective was to carry out a genome-wide association study on the trait. METHODS
AND RESULTS: We examined 2 dichotomous phenotypes of ideal CV health-clinical
(untreated cholesterol <200 mg/dL, untreated blood pressure <120/<80, not
diabetic) and clinical+behavioral (clinical plus: not a current smoker, body mass
index <25 kg/m(2))-among white participants aged 50+/-5 years. We performed a
meta-analysis of 4 genome-wide association studies (total n=11,708) from the
MESA, CARDIA, ARIC, and Framingham Heart Study cohorts. We identified a single
nucleotide polymorphism (rs445925) in the APOC1/APOE region that was associated
with clinical ideal CV health at genome-wide level of significance (P<2.0 * 10(
9)). The significance of this region was validated using exome chip genotyping.
The association with ideal CV health was attenuated after adjusting for low
density lipoprotein cholesterol. CONCLUSION: A common single-nucleotide
polymorphism in the APOC1/APOE region, previously found to be associated with
protective levels of cholesterol and lower CV risk, may be associated with ideal
health. In future replication studies, larger sample sizes may be needed to
detect loci with more modest effects on ideal CV health. In addition to the
important impact of lifestyle modifications, we have identified evidence for gene
variation that plays a role in ideal CV health.
PMID- 27179731
TI - Impact of severe lesion calcification on clinical outcome of patients with stable
angina, treated with newer generation permanent polymer-coated drug-eluting
stents: A patient-level pooled analysis from TWENTE and DUTCH PEERS (TWENTE II).
AB - BACKGROUND: The outcome of percutaneous coronary intervention with newer
generation permanent polymer-coated drug-eluting stents (DES) in patients with
severely calcified lesions is greatly unknown. We assessed the impact of severe
lesion calcification on clinical outcome in patients with stable angina who
underwent percutaneous coronary intervention with newer generation DES. METHODS:
TWENTE and DUTCH PEERS randomized trials enrolled 1423 patients with stable
angina, who were categorized into patients with versus without severe target
lesion calcification. A patient-level pooled analysis assessed clinical outcome,
including target vessel failure (TVF), a composite of cardiac death, target
vessel-related myocardial infarction, or target vessel revascularization (TVR).
RESULTS: Patients with severe calcification (n = 342) were older (66.6 +/- 9.1 vs
64.2 +/- 9.8 years, P < .001) and had more diabetes (25.7% vs 20.4%, P = .04)
than other patients (n = 1081). Patients with calcified lesions had higher rates
of TVF (16.4% vs 9.8%, pLogrank = .001), cardiac death (4.4% vs 1.5%, P = .03),
target vessel myocardial infarction (7.6% vs 3.4%, P = .001), and definite stent
thrombosis (1.8% vs 0.4%, P = .02). Multivariate analysis demonstrated that
severe calcification was an independent risk factor of 2-year TVF (HR 1.42, 95%
CI: 1.02-1.99, pLogrank = .04); landmark analysis showed that this was based on a
difference during the first year (periprocedural: 5.8% vs. 3.1%, pLogrank = .02;
first year: 7.5% vs. 3.8%, pLogrank = .007; second year: 4.1% vs. 3.3%, pLogrank
= .54). CONCLUSION: In patients with stable angina, severe target lesion
calcification is associated with an increased risk of adverse cardiovascular
events following treatment with newer generation permanent polymer-coated DES.
This increase in risk is restricted to the first year of follow-up, which is an
encouraging finding.
PMID- 27179733
TI - Utility of positron emission tomography for drug development for heart failure.
AB - Only about 1 in 5,000 investigational agents in a preclinical stage acquires Food
and Drug Administration approval. Among many reasons for this includes an
inefficient transition from preclinical to clinical phases, which exponentially
increase the cost and the delays the process of drug development. Positron
emission tomography (PET) is a nuclear imaging technique that has been used for
the diagnosis, risk stratification, and guidance of therapy. However, lately with
the advance of radiochemistry and of molecular imaging technology, it became
evident that PET could help novel drug development process. By using a PET
radioligand to report on receptor occupancy during novel agent therapy, it may
help assess the effectiveness, efficacy, and safety of such a new medication in
an early preclinical stage and help design successful clinical trials even at a
later phase. In this article, we explore the potential implications of PET in the
development of new heart failure therapies and review PET's application in the
respective pathophysiologic pathways such as myocardial perfusion, metabolism,
innervation, inflammation, apoptosis, and cardiac remodeling.
PMID- 27179734
TI - Association between publication of appropriate use criteria and the temporal
trends in diagnostic angiography in stable coronary artery disease: A population
based study.
AB - BACKGROUND: The appropriate use criteria (AUC) were developed to aid clinicians
in making clinical decisions regarding coronary angiography. The aim of the
present study was to evaluate the association between the publication of the AUC
criteria in diagnostic angiography and rates of angiography in Ontario. METHODS:
Our cohort consisted of all patients who underwent coronary angiography in
Ontario from 1st October 2008 to 31st October 2013 for the indication of
suspected stable coronary artery disease. We determined monthly age- and sex
standardized rates of angiography per 100,000 adults. To determine the
association between the publication of the AUC for diagnostic angiograms and the
rates of angiography, we conducted a time series analysis using an autoregressive
integrated moving average model. As a sensitivity analyses, we evaluated the
impact of the AUC on the rates of percutaneous coronary intervention (PCI) per
100 angiograms. RESULTS: We included 114,551 angiograms for stable coronary
artery disease. In the period prior to the publication of the AUC, the average
monthly age- and sex-standardized rate of angiography was 18.7 per 100,000; post
AUC, the average monthly rate decreased to 17.6 per 100,000 adults (P = .037). In
contrast, in the 29,358 PCIs included in the analysis, the monthly PCI rates per
100 angiograms were unchanged (25.2 pre-AUC; 26.8 post-AUC; P = .29). In the
sensitivity analysis, the rate of appropriate/inappropriate and uncertain
indication did not significantly change over the study period. CONCLUSIONS: The
publication of the diagnostic angiography AUC criteria was associated with a
decrease in the population rates of diagnostic angiography. This suggests that
the AUC potentially was associated with an increased threshold to pursue invasive
diagnostic testing.
PMID- 27179735
TI - The optimal definition of contrast-induced acute kidney injury for prediction of
inpatient mortality in patients undergoing percutaneous coronary interventions.
AB - BACKGROUND: It is unknown which definition of contrast-induced acute kidney
injury (CI-AKI) in the setting of percutaneous coronary interventions is best
associated with inpatient mortality and whether this association is stable across
patients with various preprocedural serum creatinine (SCr) values. METHODS: We
applied logistic regression models to multiple CI-AKI definitions used by the
Kidney Disease Improving Global Outcomes guidelines and previously published
studies to examine the impact of preprocedural SCr on a candidate definition's
correlation with the adverse outcome of inpatient mortality. We used likelihood
ratio tests to examine candidate definitions and identify those where association
with inpatient mortality remained constant regardless of preprocedural SCr. These
definitions were assessed for specificity, sensitivity, and positive and negative
predictive values to identify an optimal definition. RESULTS: Our study cohort
included 119,554 patients who underwent percutaneous coronary intervention in
Michigan between 2010 and 2014. Most commonly used definitions were not
associated with inpatient mortality in a constant fashion across various
preprocedural SCr values. Of the 266 candidate definitions examined, 16
definition's association with inpatient mortality was not significantly altered
by preprocedural SCr. Contrast-induced acute kidney injury defined as an absolute
increase of SCr >=0.3 mg/dL and a relative SCr increase >=50% was selected as the
optimal candidate using Perkins and Shisterman decision theoretic optimality
criteria and was highly predictive of and specific for inpatient mortality.
CONCLUSIONS: We identified the optimal definition for CI-AKI to be an absolute
increase in SCr >=0.3 mg/dL and a relative SCr increase >=50%. Further work is
needed to validate this definition in independent studies and to establish its
utility for clinical trials and quality improvement efforts.
PMID- 27179736
TI - Prior bleeding, future bleeding and stroke risk with oral anticoagulation in
atrial fibrillation: What new lessons can ARISTOTLE teach us?
PMID- 27179737
TI - Hospital triage of acute myocardial infarction: Is admission to the coronary care
unit still necessary?
PMID- 27179738
TI - History of bleeding and outcomes with apixaban versus warfarin in patients with
atrial fibrillation in the Apixaban for Reduction in Stroke and Other
Thromboembolic Events in Atrial Fibrillation trial.
AB - AIMS: History of bleeding strongly influences decisions for anticoagulation in
atrial fibrillation (AF). We analyzed outcomes in relation to history of bleeding
and randomization in ARISTOTLE trial patients. METHODS AND RESULTS: The on
treatment safety population included 18,140 patients receiving at least 1 dose of
study drug (apixaban) or warfarin. Centrally adjudicated outcomes in relation to
bleeding history were analyzed using a Cox proportional hazards model adjusted
for randomized treatment and established risk factors. Efficacy end points were
analyzed on the randomized (intention to treat) population. A bleeding history
was reported at baseline in 3,033 patients (16.7%), who more often were male,
with a history of prior stroke/transient ischemic attack/systemic embolism and
diabetes; higher CHADS2 scores, age, and body weight; and lower creatinine
clearance and mean systolic blood pressure. Major (but not intracranial) bleeding
occurred more frequently in patients with versus without a history of bleeding
(adjusted hazard ratio 1.35, 95% CI 1.14-1.61). There were no significant
interactions between bleeding history and treatment for stroke/systemic embolism,
hemorrhagic stroke, death, or major bleeding, with fewer outcomes with apixaban
versus warfarin for all of these outcomes independent of the presence/absence of
a bleeding history. CONCLUSION: In patients with AF in a randomized clinical
trial of oral anticoagulants, a history of bleeding is associated with several
risk factors for stroke and portends a higher risk of major-but not intracranial
bleeding, during anticoagulation. However, the beneficial effects of apixaban
over warfarin for stroke, hemorrhagic stroke, death, or major bleeding remains
consistent regardless of history of bleeding.
PMID- 27179739
TI - Do stable non-ST-segment elevation acute coronary syndromes require admission to
coronary care units?
AB - BACKGROUND: Clinical practice guidelines recommend admitting patients with stable
non-ST-segment elevation acute coronary syndrome (NSTE ACS) to telemetry units,
yet up to two-thirds of patients are admitted to higher-acuity critical care
units (CCUs). The outcomes of patients with stable NSTE ACS initially admitted to
a CCU vs a cardiology ward with telemetry have not been described. METHODS: We
used population-based data of 7,869 patients hospitalized with NSTE ACS admitted
to hospitals in Alberta, Canada, between April 1, 2007, and March 31, 2013. We
compared outcomes among patients initially admitted to a CCU (n=5,141) with those
admitted to cardiology telemetry wards (n=2,728). RESULTS: Patients admitted to
cardiology telemetry wards were older (median 69 vs 65years, P<.001) and more
likely to be female (37.2% vs 32.1%, P<.001) and have a prior myocardial
infarction (14.3% vs 11.5%, P<.001) compared with patients admitted to a CCU.
Patients admitted directly to cardiology telemetry wards had similar hospital
stays (6.2 vs 5.7days, P=.29) and fewer cardiac procedures (40.3% vs 48.5%,
P<.001) compared with patients initially admitted to CCUs. There were no
differences in the frequency of in-hospital mortality (1.3% vs 1.2%, adjusted
odds ratio [aOR] 1.57, 95% CI 0.98-2.52), cardiac arrest (0.7% vs 0.9%, aOR 1.37,
95% CI 0.94-2.00), 30-day all-cause mortality (1.6% vs 1.5%, aOR 1.50, 95% CI
0.82-2.75), or 30-day all-cause postdischarge readmission (10.6% vs 10.8%, aOR
1.07, 95% CI 0.90-1.28) between cardiology telemetry ward and CCU patients.
Results were similar across low-, intermediate-, and high-risk Duke Jeopardy
Scores, and in patients with non-ST-segment myocardial infarction or unstable
angina. CONCLUSIONS: There were no differences in clinical outcomes observed
between patients with NSTE ACS initially admitted to a ward or a CCU. These
findings suggest that stable NSTE ACS may be managed appropriately on telemetry
wards and presents an opportunity to reduce hospital costs and critical care
capacity strain.
PMID- 27179740
TI - The China Acute Myocardial Infarction (CAMI) Registry: A national long-term
registry-research-education integrated platform for exploring acute myocardial
infarction in China.
AB - BACKGROUND: Acute myocardial infarction (AMI) has become a major cause of
hospitalization and mortality in China. There has been limited data to date
available to characterize AMI presentation, contemporary patterns of medical
care, and outcomes in China. AIMS: The CAMI Registry is a national project with
the objectives to timely obtain real-world knowledge about AMI patients and to
provide the platform for clinical research, guide preventive measures and care
quality improvement efforts in China. METHODS AND PROGRESS: The CAMI registry is
a prospective, nationwide, multicenter observational study for AMI patients. The
registry includes three levels of hospitals (representing typical Chinese
governmental and administrative models) from all provinces and municipalities
throughout Mainland China except Hong Kong and Macau. Sites were instructed to
enroll consecutive patients with a primary diagnosis of AMI. Clinical data,
treatments, outcomes and cost are collected by local investigators and captured
electronically, with a standardized set of variables and standard definitions,
and rigorous data quality control. Post-discharge patient follow-up to 2 years is
planned. The CAMI Registry was launched in January 2013. A total of 108 hospitals
have participated in the registry so far. As of September 2014, 26,103 patients
with AMI were registered. CONCLUSIONS: The CAMI registry represents a well
supported and the largest national long-term registry-research-education platform
for surveillance, research, prevention and care improvement for AMI in China, the
world's most populous nation. The broad representation of all provinces and
different-level hospitals will allow for the exploration of AMI across diverse
geographic regions and economic circumstances.
PMID- 27179742
TI - Directions for future trials on blood glucose-lowering drugs to improve left
ventricular function post-ST-segment elevation myocardial infarction.
PMID- 27179743
TI - The Dual Complexity of PTX3 in Health and Disease: A Balancing Act?
AB - The humoral arm of innate immunity is complex and includes various molecules that
serve as markers of inflammation with complementary characteristics, such as the
short pentraxins C-reactive protein (CRP) and serum amyloid P (SAP) and the long
pentraxin PTX3. There is a growing amount of evidence - including mouse and human
genetics - that suggests that PTX3 is essential in conferring host resistance
against selected pathogens and, moreover, that it plays a dual antagonistic role
in the regulation of inflammation. Dissection of such a yin-and-yang role of
pentraxins in immunity and inflammation is timely and significant as it may pave
the way for better clinical exploitation against various diseases.
PMID- 27179745
TI - Novel treatment strategies for smooth muscle disorders: Targeting Kv7 potassium
channels.
AB - Smooth muscle cells provide crucial contractile functions in visceral, vascular,
and lung tissues. The contractile state of smooth muscle is largely determined by
their electrical excitability, which is in turn influenced by the activity of
potassium channels. The activity of potassium channels sustains smooth muscle
cell membrane hyperpolarization, reducing cellular excitability and thereby
promoting smooth muscle relaxation. Research over the past decade has indicated
an important role for Kv7 (KCNQ) voltage-gated potassium channels in the
regulation of the excitability of smooth muscle cells. Expression of multiple Kv7
channel subtypes has been demonstrated in smooth muscle cells from viscera
(gastrointestinal, bladder, myometrial), from the systemic and pulmonary
vasculature, and from the airways of the lung, from multiple species, including
humans. A number of clinically used drugs, some of which were developed to target
Kv7 channels in other tissues, have been found to exert robust effects on smooth
muscle Kv7 channels. Functional studies have indicated that Kv7 channel
activators and inhibitors have the ability to relax and contact smooth muscle
preparations, respectively, suggesting a wide range of novel applications for the
pharmacological tool set. This review summarizes recent findings regarding the
physiological functions of Kv7 channels in smooth muscle, and highlights
potential therapeutic applications based on pharmacological targeting of smooth
muscle Kv7 channels throughout the body.
PMID- 27179746
TI - Role of the EZH2 histone methyltransferase as a therapeutic target in cancer.
AB - Besides being a genetic disease, cancer is also an epigenetic disease. The
histone methyltransferase EZH2 is the catalytic subunit of PRC2, a highly
conserved protein complex that regulates gene expression by methylating lysine 27
on histone H3. Given its role in tumorigenesis and its prognostic value in
several tumor types, this protein appears a relevant therapeutic target. This
review focuses on the preclinical and preliminary clinical results of studies
investigating EZH2 inhibitors in human malignancies. These emerging data suggest
that EZH2 inhibitors represent a very promising class of drugs, which will
probably have a major impact on improving outcome and reducing toxicity for
patients with indolent and aggressive B-cell lymphomas and other specific solid
tumors.
PMID- 27179747
TI - Imaging and 1-day kinetics of intracoronary stem cell transplantation in patients
with idiopathic dilated cardiomyopathy.
AB - BACKGROUND: Stem cell transplantation is an emerging method of treatment for
patients with cardiovascular disease. There are few studies completed or ongoing
on stem cell therapy in patients with idiopathic dilated cardiomyopathy (IDCM).
Information on stem cell homing and distribution in the myocardium after
transplantation might provide important insight into effectiveness of
transplantation procedure. AIM: To assess early engraftment, retention and
migration of intracoronarily transplanted stem cells in the myocardium of
patients with advanced dilated cardiomyopathy of non-ischaemic origin using stem
cell labeling with (99m)Tc-exametazime (HMPAO). MATERIALS, METHODS: Thirty-five
patients with IDCM and advanced heart failure were included in the study.
Autologous hematopoietic (CD34+) stem cells were harvested by peripheral blood
apheresis after bone marrow stimulation, labeled with (99m)Tc-HMPAO, tested for
viability and injected into coronary vessel supplying areas of myocardium
selected by myocardial perfusion scintigraphy as dysfunctional yet viable.
Imaging was performed 1h and 18h after transplantation. RESULTS: Myocardial stem
cell retention ranged from 0 to 1.44% on early and 0-0.97% on delayed imaging.
Significant efflux of stem cells occurred from site of delivery in this time
period (p<0.001). Stem cell viability was not affected by labeling. CONCLUSION:
Stem cell labeling with (99m)Tc-HMPAO is a feasible method for stem cell tracking
after transplantation in patients with IDCM.
PMID- 27179741
TI - Corrigendum to 'The Brazilian Cardioprotective Nutritional Program to reduce
events and risk factors in secondary prevention for cardiovascular disease: study
protocol (The BALANCE Program Trial)' [American Heart Journal 171/1 (2016) 73
81].
PMID- 27179744
TI - Multifunctional roles of PKCdelta: Opportunities for targeted therapy in human
disease.
AB - The serine-threonine protein kinase, protein kinase C-delta (PKCdelta), is
emerging as a bi-functional regulator of cell death and proliferation. Studies in
PKCdelta-/- mice have confirmed a pro-apoptotic role for this kinase in response
to DNA damage and a tumor promoter role in some oncogenic contexts. In non
transformed cells, inhibition of PKCdelta suppresses the release of cytochrome c
and caspase activation, indicating a function upstream of apoptotic pathways.
Data from PKCdelta-/- mice demonstrate a role for PKCdelta in the execution of
DNA damage-induced and physiologic apoptosis. This has led to the important
finding that inhibitors of PKCdelta can be used therapeutically to reduce
irradiation and chemotherapy-induced toxicity. By contrast, PKCdelta is a tumor
promoter in mouse models of mammary gland and lung cancer, and increased PKCdelta
expression is a negative prognostic indicator in Her2+ and other subtypes of
human breast cancer. Understanding how these distinct functions of PKCdelta are
regulated is critical for the design of therapeutics to target this pathway. This
review will discuss what is currently known about biological roles of PKCdelta
and prospects for targeting PKCdelta in human disease.
PMID- 27179749
TI - Osteoarthritis and mortality: A prospective cohort study and systematic review
with meta-analysis.
AB - OBJECTIVES: Osteoarthritis (OA) is a leading cause of disability, but the
relationship with premature mortality remains uncertain. We aimed to investigate
the relationship between OA and mortality from any cause and from cardiovascular
disease (CVD). METHODS: Electronic literature databases searches were conducted
to identify prospective studies comparing mortality in a sample of people with
and without OA. Risk of all-cause and CVD mortality were summarized using
adjusted hazard ratios (HRs) for joint specific (hand, hip, and knee) and joint
non-specific OA. New data from the Progetto Veneto Anziani (PRO.V.A.) study were
also included. RESULTS: From the PRO.V.A. study (N = 2927), there was no
significant increase in mortality risk for participants with any joint OA (N =
1858) compared to non-OA (all-cause, HR = 0.95, 95% CI: 0.77-1.15 and CVD, HR =
1.12, 95% CI: 0.82-1.54). On meta-analysis, seven studies (OA = 10,018/non-OA =
18,541), with a median 12-year follow-up, reported no increased risk of any-cause
mortality in those with OA (HR = 1.10, 95% CI: 0.97-1.25). After removing data on
hand OA, a significant association between OA and mortality was observed (HR =
1.18, 95% CI: 1.08-1.28). There was a significant higher risk of overall
mortality for (1) studies conducted in Europe, (2) patients with multi-joint OA;
and (3) a radiological diagnosis of OA. OA was associated with significantly
higher CVD mortality (HR = 1.21, 95% CI: 1.10-1.34). CONCLUSIONS: People with OA
are at increased risk of death due to CVD. The relationship with overall
mortality is less clear and may be moderated by the presence of hand OA.
PMID- 27179748
TI - Radiolabeled hydroxamate-based matrix metalloproteinase inhibitors: How chemical
modifications affect pharmacokinetics and metabolic stability.
AB - INTRODUCTION: Dysregulated MMP expression or activation is associated with
several diseases. To study MMP activity in vivo by means of PET a radiolabeled
MMP inhibitor (MMPI) functioning as radiotracer has been developed by our group
based on the lead structure CGS 25966. MATERIALS AND METHODS: Aiming at the
modification of the pharmacokinetics of this lipophilic model tracer a new class
of MMPIs has been discovered, consisting of additional fluorinated hydrophilic
substructures, such as mini-PEG and/or 1,2,3-triazole units. To identify the best
candidate for further clinical applications, radiofluorinated compounds of each
subgroup have been (radio) synthesized and evaluated regarding their
biodistribution behavior and their metabolic stability. RESULTS: Radiosyntheses
of different triazole based MMPIs could be realized using two step "click
chemistry" procedures. Compared to lead structure [(18)F]FEtO-CGS 25966
([(18)F]1e, log D(exp) =2.02, IC50=2-50nM) all selected candidates showed
increased hydrophilicities and inhibition potencies (log D(exp) =0.23-1.25,
IC50=0.006-6nM). Interestingly, despite different hydrophilicities most triazole
based MMPIs showed no significant differences in their in vivo biodistribution
behavior and were cleared predominantly via the hepatobiliary excretion route.
Biostability and metabolism studies in vitro and in vivo revealed significant
higher metabolic stability for the triazole moiety compared to the benzyl ring in
the lead structure. Cleavage of ethylene glycol subunits of the mini-PEG chain
led to a faster metabolism of mini-PEG containing MMPIs. CONCLUSION: The
introduction of hydrophilic groups such as mini-PEG and 1,2,3-triazole units did
not lead to a significant shift of the hepatobiliary elimination towards renal
clearance. Particularly the introduction of mini-PEG chains led to an intense
metabolic decomposition. Substitution of the benzyl moiety in lead structure 1e
by a 1,2,3-trizole ring resulted in an increased metabolic stability. Therefore,
the 1,2,3-triazole-1-yl-methyl substituted MMPI [(18)F]3a was found to be the
most stable candidate in this series and should be chosen for further preclinical
evaluation.
PMID- 27179750
TI - High absolute risk of severe infections among Indigenous adults in rural northern
Australia is amplified by diabetes - A 7 year follow up study.
AB - AIMS: To quantify the risk of hospitalization for infections in Indigenous
Australian adults with diabetes in rural and remote communities. METHODS: 2787
Indigenous adults including 396 with diabetes at baseline from 19 communities in
North Queensland from 1998 to 2007 were included in the study. Main measures were
weight, height, waist circumference, blood pressure, fasting glucose, lipids,
self-reported tobacco smoking, alcohol intake and physical activity. Baseline
data were linked to hospital separation data using probabilistic linkage. The
association between diabetes and hospitalization for all causes and infections
was investigated using generalized linear model (GLM) and adjusted for other
baseline measurements. RESULTS: During a median follow up of 7years, 461
participants were hospitalized with 762 episodes of infection. 277 patients with
diabetes (70%) were hospitalized at least once. 40% (110 in 277) were for
community acquired infections. Patients with diabetes were twice as likely to be
hospitalized for infections as those without diabetes (adjusted risk ratio 2.1,
95% CI 1.6-2.8), especially for urinary tract infections, cellulitis, and
septicaemia. Median length of stay was 6 (IQR 3-13) days for diabetes patients
compared to 3.4days (IQR 2-6.4) for those without diabetes (P<0.001) CONCLUSIONS:
In addition to an already high rate of hospitalizations for infections among
Indigenous compared to non-Indigenous Australians, diabetes confers an additional
risk for severe infections especially urinary tract infection, cellulitis and
septicaemia. Recovery is also comparatively slower. Early recognition and
management of these infections in the primary care setting may reduce this risk
and better control of glycaemia and its risk factors may improve underlying
immune dysfunction.
PMID- 27179751
TI - Stratifying cardiovascular risk in diabetes: The role of diabetes-related
clinical characteristics and imaging.
AB - Diabetes is a major coronary heart disease (CHD) and cardiovascular disease (CVD)
risk factor and has traditionally been classified as a CHD risk equivalent. CVD
risk, however, is heterogeneous among diabetic patients and thus further
evaluation is warranted before initiating or titrating preventive
pharmacotherapy. Prognostic clinical characteristics of diabetes such as age of
onset, duration, and severity of diabetes, as well as concomitant cardiometabolic
factors account for much of the variability in CHD and CVD risk. This
heterogeneity can also be evaluated directly using non-invasive imaging, which
allows for a more individualized risk assessment in order to minimize both under
and overtreatment. In this paper, we review guideline recommendations for
atherosclerotic CVD risk assessment driving the use of statins or aspirin for
certain subgroups of patients with diabetes. We further discuss imaging
techniques, such as stress myocardial perfusion imaging, coronary computed
tomography angiography, and coronary artery calcium (CAC) scoring that can guide
the decision to treat high-risk patients. Among imaging tests, current guidelines
consider CAC scoring the most appropriate risk stratification tool for
asymptomatic individuals with diabetes that can guide initiating/intensifying or
withholding the most aggressive pharmacological therapies among high-risk
(CAC>100) or low-risk (CAC=0) individuals, respectively.
PMID- 27179752
TI - New ISSCR guidelines: clinical translation of stem cell research.
PMID- 27179753
TI - Unmet need for abortion and woman-centered contraceptive care.
PMID- 27179754
TI - Lung, e-cigarettes, and health: response from the Royal College of Physicians.
PMID- 27179755
TI - Abortion incidence between 1990 and 2014: global, regional, and subregional
levels and trends.
AB - BACKGROUND: Information about the incidence of induced abortion is needed to
motivate and inform efforts to help women avoid unintended pregnancies and to
monitor progress toward that end. We estimate subregional, regional, and global
levels and trends in abortion incidence for 1990 to 2014, and abortion rates in
subgroups of women. We use the results to estimate the proportion of pregnancies
that end in abortion and examine whether abortion rates vary in countries grouped
by the legal status of abortion. METHODS: We requested abortion data from
government agencies and compiled data from international sources and nationally
representative studies. With data for 1069 country-years, we estimated incidence
using a Bayesian hierarchical time series model whereby the overall abortion rate
is a function of the modelled rates in subgroups of women of reproductive age
defined by their marital status and contraceptive need and use, and the sizes of
these subgroups. FINDINGS: We estimated that 35 abortions (90% uncertainty
interval [UI] 33 to 44) occurred annually per 1000 women aged 15-44 years
worldwide in 2010-14, which was 5 points less than 40 (39-48) in 1990-94 (90% UI
for decline -11 to 0). Because of population growth, the annual number of
abortions worldwide increased by 5.9 million (90% UI -1.3 to 15.4), from 50.4
million in 1990-94 (48.6 to 59.9) to 56.3 million (52.4 to 70.0) in 2010-14. In
the developed world, the abortion rate declined 19 points (-26 to -14), from 46
(41 to 59) to 27 (24 to 37). In the developing world, we found a non-significant
2 point decline (90% UI -9 to 4) in the rate from 39 (37 to 47) to 37 (34 to 46).
Some 25% (90% UI 23 to 29) of pregnancies ended in abortion in 2010-14. Globally,
73% (90% UI 59 to 82) of abortions were obtained by married women in 2010-14
compared with 27% (18 to 41) obtained by unmarried women. We did not observe an
association between the abortion rates for 2010-14 and the grounds under which
abortion is legally allowed. INTERPRETATION: Abortion rates have declined
significantly since 1990 in the developed world but not in the developing world.
Ensuring access to sexual and reproductive health care could help millions of
women avoid unintended pregnancies and ensure access to safe abortion. FUNDING:
UK Government, Dutch Ministry of Foreign Affairs, Norwegian Agency for
Development Cooperation, The David and Lucile Packard Foundation,
UNDP/UNFPA/UNICEF/WHO/World Bank Special Programme of Research, Development and
Research Training in Human Reproduction.
PMID- 27179756
TI - Novel dual agonist peptide analogues derived from dogfish glucagon show promising
in vitro insulin releasing actions and antihyperglycaemic activity in mice.
AB - The antidiabetic potential of thirteen novel dogfish glucagon derived analogues
were assessed in vitro and in acute in vivo studies. Stable peptide analogues
enhanced insulin secretion from BRIN-BD11 beta-cells (p < 0.001) and reduced
acute glycaemic responses following intraperitoneal glucose (25 nmol/kg) in
healthy NIH Swiss mice (p < 0.05-p<0.001). The in vitro insulinotropic actions of
[S2a]dogfish glucagon, [S2a]dogfish glucagon-exendin-4(31-39) and [S2a]dogfish
glucagon-Lys(30)-gamma-glutamyl-PAL, were blocked (p < 0.05-p<0.001) by the
specific GLP-1 and glucagon receptor antagonists, exendin-4(9-39) and
(desHis(1)Pro(4)Glu(9))glucagon amide but not by (Pro(3))GIP, indicating lack of
GIP receptor involvement. These analogues dose-dependently stimulated cAMP
production in GLP-1 and glucagon (p < 0.05-p<0.001) but not GIP-receptor
transfected cells. They improved acute glycaemic and insulinotropic responses in
high-fat fed diabetic mice and in wild-type C57BL/6J and GIPR-KO mice (p < 0.05
p<0.001), but not GLP-1R-KO mice, confirming action on GLP-1 but not GIP
receptors. Overall, dogfish glucagon analogues have potential for diabetes
therapy, exerting beneficial metabolic effects via GLP-1 and glucagon receptors.
PMID- 27179757
TI - Up-regulation of Hsp27 by ERalpha/Sp1 facilitates proliferation and confers
resistance to apoptosis in human papillary thyroid cancer cells.
AB - 17beta-estradiol (E2) has been suggested to play a role in the development and
progression of papillary thyroid cancer. Heat shock protein 27 (Hsp27) is a
member of the Hsp family that is responsible for cell survival under stressful
conditions. Previous studies have shown that the 5'-promoter region of Hsp27 gene
contains a specificity protein-1 (Spl) and estrogen response element half-site
(ERE-half), which contributes to Hsp27 induction by E2 in breast cancer cells.
However, it is unclear whether Hsp27 can be up-regulated by E2 and which estrogen
receptor (ER) isoform and tethered transcription factor are involved in this
regulation in papillary thyroid cancer cells. In the present study, we
demonstrated that Hsp27 can be effectively up-regulated by E2 at mRNA and protein
levels in human K1 and BCPAP papillary thyroid cancer cells which have more than
two times higher level of ERalpha than that of ERbeta. The up-regulation of Hsp27
by E2 is mediated by ERalpha/Sp1 and ERbeta has repressive effect on this
ERalpha/Sp1-mediated up-regulation of Hsp27. Moreover, we showed that the up
regulation of Hsp27 by ERalpha/Sp1 facilitates proliferation and confers
resistance to apoptosis through interaction with procaspase-3. Targeting this
pathway may be a potential strategy for therapy of papillary thyroid cancer.
PMID- 27179758
TI - Network analysis of human diseases using Korean nationwide claims data.
AB - OBJECTIVE: To investigate disease-disease associations by conducting a network
analysis using Korean nationwide claims data. METHODS: We used the claims data
from the Health Insurance Review and Assessment Service-National Patient Sample
for the year 2011. Among the 2049 disease codes in the claims data, 1154 specific
disease codes were used and combined into 795 representative disease codes. We
analyzed for 381 representative codes, which had a prevalence of >0.1%. For
disease code pairs of a combination of 381 representative disease codes, P values
were calculated by using the chi(2) test and the degrees of associations were
expressed as odds ratios (ORs). RESULTS: For 5515 (7.62%) statistically
significant disease-disease associations with a large effect size (OR>5), we
constructed a human disease network consisting of 369 nodes and 5515 edges. The
human disease network shows the distribution of diseases in the disease network
and the relationships between diseases or disease groups, demonstrating that
diseases are associated with each other, forming a complex disease network. We
reviewed 5515 disease-disease associations and classified them according to
underlying mechanisms. Several disease-disease associations were identified, but
the evidence of these associations is not sufficient and the mechanisms
underlying these associations have not been clarified yet. Further research
studies are needed to investigate these associations and their underlying
mechanisms. CONCLUSION: Human disease network analysis using claims data enriches
the understanding of human diseases and provides new insights into disease
disease associations that can be useful in future research.
PMID- 27179760
TI - Effects of aluminum on cells and tissues.
PMID- 27179759
TI - Transfer of malignant trait to BRCA1 deficient human fibroblasts following
exposure to serum of cancer patients.
AB - BACKGROUND: It was reported that metastases might occur via transfer of
biologically active blood circulating molecules from the primary tumor to distant
organs rather than only migration of cancer cells. We showed in an earlier study
that exposure of immortalized human embryonic kidney cells (HEK 293) to cancer
patient sera, induce their transformation into undifferentiated cancers due to a
horizontal transfer of malignant traits. In the present work, we tested the
hypothesis that even other human cells as long as they are deficient for a single
oncosuppressor gene might undergo malignant transformation when exposed to human
cancer serum. METHODS: We used the CRISPR/Cas9 system to establish a stable BRCA1
knockout (KO) in human fibroblasts. The BRCA1-KO fibroblasts were exposed to
cancer patients' sera or healthy patients' sera for 2 weeks. Treated cells were
analyzed for cell proliferation and transformation to study their susceptibility
to the oncogenic potential of cancer patients' sera and to determine the possible
mechanisms underlying their hypothesized transformation. RESULTS: BRCA1-KO
fibroblasts treated with cancer patients' sera displayed higher proliferation and
underwent malignant transformation as opposed to wild type control fibroblasts,
which were not affected by exposure to cancer patients' sera. The malignant
transformation was not seen when BRCA1-KO fibroblasts were treated with healthy
human sera. Histological analysis of tumors generated by BRCA1-KO fibroblasts
showed that they were carcinomas with phenotypical characteristics related to the
cancers of the blood donor patients. Interestingly, BRCA1-KO fibroblasts were
significantly more prone to internalize serum-derived exosomes, when compared to
wild type fibroblasts. This suggests that oncosuppressor genes might protect the
integrity of the cell genome also by blocking integration of cancer-derived
exosomes. CONCLUSION: These data support the hypothesis that any human cells
carrying a single oncosuppressor mutation is capable of integrating cancer
factors carried in the blood and undergo complete malignant transformation.
Oncosuppressor genes might protect the cell genome by impeding the integration
inside the cells of these mutating factors.
PMID- 27179761
TI - Initial examination of priming tasks to decrease delay discounting.
AB - Steep discounting of delayed rewards is linked with a variety of unhealthy
behaviors that contribute to the major causes of preventable death and disease.
Growing evidence suggests that decreases in delay discounting contribute to
healthier preferences. This study sought to provide preliminary evidence for the
viability of developing a brief priming task to reduce delay discounting in a
large, diverse group of individuals. Participants (n=1,122) were randomized to
one of three conditions: Future Focus (FF), Present Focus (PF), and Non-Temporal
Focus (NTF) intended respectively to decrease, increase, or have no effect on
delay discounting. Participants then completed the Monetary Choice Questionnaire,
a brief assessment of delay discounting rate. Participants randomized to FF
exhibited significantly lower discounting rates than those randomized to PF or
NTF conditions. Race, Hispanic background, social self-monitoring, education, and
cigarette smoking also accounted for a significant amount of variance in the
discounting model. These findings provide support for the development of a brief
priming intervention that might be examined in clinical or public health contexts
to decrease discounting and support healthy choices.
PMID- 27179762
TI - The clinical course of hereditary pancreatitis in children - A comprehensive
analysis of 41 cases.
AB - BACKGROUND: Available data from adult patients do not reflect natural course of
hereditary pancreatitis (HP) in children. To date, no study has assessed the
clinical course of HP in children. OBJECTIVE: To investigate the clinical course
of HP in children and compare it to non-HP group with chronic pancreatitis (CP).
METHODS: A group of 265 children with CP, hospitalized from 1988 to 2014, were
enrolled in the study. Medical records of those patients were reviewed for data
on presentation, diagnostic findings and treatment. All children were screened
for mutations in major pancreatitis-associated genes, i.e. PRSS1, SPINK1, and
CFTR. RESULTS: HP was diagnosed in 41 children (15.5%). Family history was
positive in 88% of children with HP. Mutations of PRSS1 gene were found in 80%
(33/41) of HP patients. We detected p.R122H, p.R122C, p.N29I, and p.E79K mutation
in 34% (14/41), 27% (11/41), 12% (5/41), and 7% (3/41) of HP patients,
respectively. Patients with paternal inheritance had first symptoms earlier than
those with maternal inheritance (5.9 vs. 9.1 years; P < 0.05). Children with HP
showed more severe changes in ERCP then those from non-HP group (2.05 Cambridge
grade, vs. 1.6 degrees ; P < 0.05). ESWL was performed more frequently in HP
group (12.2% vs. 3.1%; P < 0.05). There was no difference in age of disease onset
(7.98 vs. 8.9 years; NS), pancreatic duct stenting (46.3% vs. 33%; NS), or number
of surgical interventions (12.2% vs. 14.3%; NS) between both groups. CONCLUSIONS:
Children with HP reveal significantly more severe clinical presentation of the
disease than non-HP patients, despite the same age of onset.
PMID- 27179763
TI - The importance of the Crohn's disease activity index in surgery for small bowel
Crohn's disease.
AB - AIM OF THE STUDY: Compared with patients with other benign intestinal conditions,
patients with CD are at increased risk of developing postoperative complications
following intestinal resection. We searched for useful tools for predicting
postoperative complication in patients with CD by comparing the relationship
between postoperative morbidity in these patients as measured by three different
scoring tools: general surgical risk (POSSUM score), disease activity (CDAI), and
nutritional screening (nutritional prognostic index). METHODS: We performed a
retrospective review of 50 patients with small bowel CD who underwent surgical
resection and primary anastomosis between 1999 and 2014. RESULTS: This study
enrolled 34 men and 16 women. The mean age was 38.4 years (range: 20-81 years).
There was no postoperative mortality. The overall postoperative morbidity rate
(33.7%) predicted by POSSUM was similar to the rate in the study patients
(36.0%). Although POSSUM score predicted higher postoperative morbidity rates in
patients who underwent emergency surgery (estimated morbidity: 52.8%), the actual
postoperative morbidity rate in the emergency surgery group (26.7%) was smaller
than in the elective surgery group (40.0%). In addition, neither preoperative
nutritional status nor POSSUM score was related to the severity of postoperative
complications. CDAI score was significantly related to the severity of
postoperative complications (P=0.032). CONCLUSION: Based on the above results, a
high preoperative CDAI score can predict negative postoperative outcomes. We
believe that disease activity should be controlled using various treatment
modalities, such as enteral or total parenteral nutrition as well as medication,
before performing surgery in patients with CD.
PMID- 27179764
TI - Upgrading biomaterials with synthetic biological modules for advanced medical
applications.
AB - One key aspect of synthetic biology is the development and characterization of
modular biological building blocks that can be assembled to construct integrated
cell-based circuits performing computational functions. Likewise, the idea of
extracting biological modules from the cellular context has led to the
development of in vitro operating systems. This principle has attracted
substantial interest to extend the repertoire of functional materials by
connecting them with modules derived from synthetic biology. In this respect,
synthetic biological switches and sensors, as well as biological targeting or
structure modules, have been employed to upgrade functions of polymers and solid
inorganic material. The resulting systems hold great promise for a variety of
applications in diagnosis, tissue engineering, and drug delivery. This review
reflects on the most recent developments and critically discusses challenges
concerning in vivo functionality and tolerance that must be addressed to allow
the future translation of such synthetic biology-upgraded materials from the
bench to the bedside.
PMID- 27179766
TI - Characterization of the fretting corrosion behavior, surface and debris from head
taper interface of two different modular hip prostheses.
AB - Modular hip prostheses are flexible to match anatomical variations and to
optimize mechanical and tribological properties of each part by using different
materials. However, micromotions associated with the modular components can lead
to fretting corrosion and, consequently, to release of debris which can cause
adverse local tissue reactions in human body. In the present study, the surface
damage and residues released during in vitro fretting corrosion tests were
characterized by stereomicroscope, SEM and EDS. Two models of modular hip
prosthesis were studied: Model SS/Ti Cementless whose stem was made of ASTM F136
Ti-6Al-4V alloy and whose metallic head was made of ASTM F138 austenitic
stainless steel, and Model SS/SS Cemented with both components made of ASTM F138
stainless steel. The fretting corrosion tests were evaluated according to the
criteria of ASTM F1875 standard. Micromotions during the test caused mechanical
wear and material loss in the head-taper interface, resulting in fretting
corrosion. Model SS/SS showed higher grade of corrosion. Different morphologies
of debris predominated in each model studied. Small and agglomerated particles
were observed in the Model SS/Ti and irregular particles in the Model SS/SS.
After 10 million cycles, the Model SS/Ti was more resistant to fretting corrosion
than the Model SS/SS.
PMID- 27179765
TI - The role of schools in children and young people's self-harm and suicide:
systematic review and meta-ethnography of qualitative research.
AB - BACKGROUND: Evidence reports that schools influence children and young people's
health behaviours across a range of outcomes. However there remains limited
understanding of the mechanisms through which institutional features may
structure self-harm and suicide. This paper reports on a systematic review and
meta-ethnography of qualitative research exploring how schools influence self
harm and suicide in students. METHODS: Systematic searches were conducted of
nineteen databases from inception to June 2015. English language, primary
research studies, utilising any qualitative research design to report on the
influence of primary or secondary educational settings (or international
equivalents) on children and young people's self-harm and suicide were included.
Two reviewers independently appraised studies against the inclusion criteria,
assessed quality, and abstracted data. Data synthesis was conducted in adherence
with Noblit and Hare's meta-ethnographic approach. Of 6744 unique articles
identified, six articles reporting on five studies were included in the meta
ethnography. RESULTS: Five meta-themes emerged from the studies. First, self-harm
is often rendered invisible within educational settings, meaning it is not
prioritised within the curriculum despite students' expressed need. Second, where
self-harm transgresses institutional rules it may be treated as 'bad behaviour',
meaning adequate support is denied. Third, schools' informal management strategy
of escalating incidents of self-harm to external 'experts' serves to contribute
to non-help seeking behaviour amongst students who desire confidential support
from teachers. Fourth, anxiety and stress associated with school performance may
escalate self-harm and suicide. Fifth, bullying within the school context can
contribute to self-harm, whilst some young people may engage in these practices
as initiation into a social group. CONCLUSIONS: Schools may influence children
and young people's self-harm, although evidence of their impact on suicide
remains limited. Prevention and intervention needs to acknowledge and accommodate
these institutional-level factors. Studies included in this review are limited by
their lack of conceptual richness, restricting the process of interpretative
synthesis. Further qualitative research should focus on the continued development
of theoretical and empirical insight into the relationship between institutional
features and students' self-harm and suicide.
PMID- 27179767
TI - Finite element analysis of a pseudoelastic compression-generating intramedullary
ankle arthrodesis nail.
AB - Tibio-talo-calcaneal (TTC) arthrodesis is an end-stage treatment for patients
with severe degeneration of the ankle joint. This treatment consists of using an
intramedullary nail (IM) to fuse the calcaneus, talus, and tibia bones together
into one construct. Poor bone quality within the joint prior to surgery is common
and thus the procedure has shown complications due to non-union. However, a new
FDA-approved IM nail has been released that houses a nickel titanium (NiTi) rod
that uses its inherent pseudoelastic material properties to apply active
compression across the fusion site. Finite element analysis was performed to
model the mechanical response of the NiTi within the device. A bone model was
then developed based on a quantitative computed tomography (QCT) image for
anatomical geometry and bone material properties. A total bone and device system
was modeled to investigate the effect of bone quality change and gather load
sharing properties during gait loading. It was found that during the highest
magnitude loading of gait, the load taken by the bone was more than 50% higher
than the load taken by the nail. When comparing the load distribution during
gait, results from this study would suggest that the device helps to prevent
stress shielding by allowing a more even distribution of load between bone and
nail. In conditions where bone quality may vary patient-to-patient, the model
indicates that a 10% decrease in overall bone modulus (i.e. material stiffness)
due to reduced bone mineral density would result in higher stresses in the nail
(3.4%) and a marginal decrease in stress for the bone (0.5%). The finite element
model presented in this study can be used as a quantitative tool to further
understand the stress environment of both bone and device for a TTC fusion.
Furthermore, the methodology presented gives insight on how to computationally
program and use the unique material properties of NiTi in an active compression
state useful for bone fracture healing or fusion treatments.
PMID- 27179768
TI - Mechanical properties, structural and texture evolution of biocompatible Ti-45Nb
alloy processed by severe plastic deformation.
AB - Biocompatible beta Ti-45Nb (wt%) alloys were subjected to different methods of
severe plastic deformation (SPD) in order to increase the mechanical strength
without increasing the low Young's modulus thus avoiding the stress shielding
effect. The mechanical properties, microstructural changes and texture evolution
were investigated, by means of tensile, microhardness and nanoindentation tests,
as well as TEM and XRD. Significant increases of hardness and ultimate tensile
strength up to a factor 1.6 and 2, respectively, could be achieved depending on
the SPD method applied (hydrostatic extrusion - HE, high pressure torsion - HPT,
and rolling and folding - R&F), while maintaining the considerable ductility. Due
to the high content of beta-stabilizing Nb, the initial lattice structure turned
out to be stable upon all of the SPD methods applied. This explains why with all
SPD methods the apparent Young's modulus measured by nanoindentation did not
exceed that of the non-processed material. For its variations below that level,
they could be quantitatively related to changes in the SPD-induced texture, by
means of calculations of the Young's modulus on basis of the texture data which
were carefully measured for all different SPD techniques and strains. This is
especially true for the significant decrease of Young's modulus for increasing
R&F processing which is thus identified as a texture effect. Considering the
mechanical biocompatibility (percentage of hardness over Young's modulus), a
value of 3-4% is achieved with all the SPD routes applied which recommends them
for enhancing beta Ti-alloys for biomedical applications.
PMID- 27179769
TI - Biochemical and biophysical characterization of cell-free synthesized Rift Valley
fever virus nucleoprotein capsids enables in vitro screening to identify novel
antivirals.
AB - BACKGROUND: Viral capsid assembly involves the oligomerization of the capsid
nucleoprotein (NP), which is an essential step in viral replication and may
represent a potential antiviral target. An in vitro transcription-translation
reaction using a wheat germ (WG) extract in combination with a sandwich ELISA
assay has recently been used to identify small molecules with antiviral activity
against the rabies virus. RESULTS: Here, we examined the application of this
system to viruses with capsids with a different structure, such as the Rift
Valley fever virus (RVFV), the etiological agent of a severe emerging infectious
disease. The biochemical and immunological characterization of the in vitro
generated RVFV NP assembly products enabled the distinction between
intermediately and highly ordered capsid structures. This distinction was used to
establish a screening method for the identification of potential antiviral drugs
for RVFV countermeasures. CONCLUSIONS: These results indicated that this unique
analytical system, which combines nucleoprotein oligomerization with the specific
immune recognition of a highly ordered capsid structure, can be extended to
various viral families and used both to study the early stages of NP assembly and
to assist in the identification of potential antiviral drugs in a cost-efficient
manner. REVIEWERS: Reviewed by Jeffry Skolnick and Noah Isakov. For the full
reviews please go to the Reviewers' comments section.
PMID- 27179770
TI - The Efficacy and Safety of Combination of Intravenous and Topical Tranexamic Acid
in Revision Hip Arthroplasty: A Randomized, Controlled Trial.
AB - BACKGROUND: Revision total hip arthroplasty (THA) is associated with substantial
blood loss and a high probability of blood transfusion in the perioperative
period. This study aimed to evaluate the efficacy and safety of combination of
intravenous (IV) and topical tranexamic acid (TXA) in revision THA. METHODS:
Eighty-four consecutive patients undergoing revision THA were randomized into
combined group and IV-TXA group. Patients in the combined group were given
intravenously 15 mg/kg TXA as a preoperative, and topical TXA solution was
applied at a concentration of 3 g TXA per 100-mL saline during the different
procedure points. Patients in the IV-TXA group were given intravenously 15 mg/kg
TXA alone. RESULTS: The mean total blood loss, drainage volume, and maximum
hemoglobin drop were significantly lower in the combined group than the IV-TXA
group (P < .001, P < .001, P < .001, respectively). Compared with the IV-TXA
group, the amount of blood transfusions and number of blood transfusions required
were decreased dramatically in the combined group (P = .027, P < .001,
respectively). One deep vein thrombosis and 4 calf muscular vein thrombosis in
the combined group and 3 calf muscular vein thrombosis in the IV-TXA were
detected by the Doppler ultrasound. No pulmonary embolism was observed and no
significant differences were found in other complications between the 2 groups.
CONCLUSION: This study showed that combined administration of IV and topical TXA
in revision THA can effectively decrease total blood loss and number of blood
transfusions required without increasing the risk of deep vein thrombosis or/and
pulmonary embolism compared with IV-TXA alone.
PMID- 27179771
TI - Lingering Risk: Bariatric Surgery Before Total Knee Arthroplasty.
AB - BACKGROUND: Obesity continues to increase in the United States with an estimated
35% obesity and 8% bariatric (body mass index >40) rate in adults. Bariatric
patients seek advice from arthroplasty surgeons regarding the temporality of
bariatric surgery (BS), yet no consensus currently exists in the literature.
METHODS: A total of 39,014 patients were identified in a claim-based review of
the entire Medicare database with International Classification of Diseases, Ninth
Revision codes to identify patients in 3 groups. Patients who underwent BS before
total knee arthroplasty (group I: 5914 experimental group) and 2 control groups
that did not undergo BS but had either a body mass index >40 (group II: 6480
bariatric control) or <25 (group III: 26,616 normal weight control).
International Classification of Diseases, Ninth Revision, Clinical Modification
codes identified preoperative demographics or comorbidities and evaluated short
term medical (30 day) and long-term surgical (90 days and 2 years) complications.
RESULTS: Group I had the greatest female predominance, youngest age, and highest
incidence of: deficiency anemia, cardiovascular disease, pulmonary disease, liver
disease, ulcer disease, polysubstance abuse, psychiatric disorders, and smoking.
Medical and surgical complication incidences were greatest in group I including:
4.98% deep vein thrombosis; 5.31% pneumonia; 10.09% heart failure; and 2-year
infection, revision, and manipulation rates of 5.8%, 7.38%, and 3.13%,
respectively. These values were significant elevation compared to III and
slightly greater than II. CONCLUSIONS: This study demonstrates that BS before
total knee arthroplasty is associated with greater risk compared to both nonobese
and obese patients. This is possibly due to a higher incidence of medical or
psychiatric comorbidities determined in the Medicare BS patients, wound healing
difficulties secondary to gastrointestinal malabsorption, malnourishment from
prolonged catabolic state, rapid weight loss before surgery, and/or age.
PMID- 27179772
TI - Response to Letter to the Editor on "No Differences Identified in Transverse
Plane Biomechanics Between Medial Pivot and Rotating Platform Total Knee Implant
Designs.".
PMID- 27179773
TI - Survival in Patients With Primary Metastatic Renal Cell Carcinoma Treated With
Sunitinib With or Without Previous Cytoreductive Nephrectomy: Results From a
Population-based Registry.
AB - OBJECTIVE: To evaluate the effect of cytoreductive nephrectomy (CN) on overall
survival (OS) in primary metastatic renal cell carcinoma (mRCC) patients treated
with first-line sunitinib. PATIENTS AND METHODS: Patients with primary mRCC
treated with first-line sunitinib were selected from a Dutch population-based
registry. A propensity score was calculated reflecting the probability of a
patient undergoing CN prior to sunitinib using a set of known covariates, such as
the Memorial Sloan Kettering Cancer Center and International mRCC Database
Consortium risk factors. After propensity score matching, differences in OS were
analyzed using the Kaplan-Meier method and a multivariable Cox proportional
hazards model was used to evaluate the effect of CN on OS. RESULTS: A total of
227 patients met the selection criteria; 74 patients (33%) underwent CN prior to
sunitinib. In the matched population, the median OS of patients who underwent CN
was 17.9 months compared to 8.8 months for patients treated with sunitinib only.
Multivariable analysis showed that CN was an independent predictor of OS (hazard
ratio 0.61, 95% confidence interval: 0.41-0.92). A subgroup analysis of patients
with a time to targeted therapy of <1 year showed a median OS of 12.7 months for
patients treated with CN compared to 8.0 months for patients treated with
sunitinib only. The corresponding hazard ratio was 0.67 (95% confidence interval:
0.46-0.98). CONCLUSION: This study suggests that CN may be effective. However,
the benefit was modest when correcting for time from diagnosis to sunitinib. One
important limitation is the use of a registry (with retrospectively collected
data), which made it impossible to correct for unmeasured characteristics that
could be associated with treatment choices or survival.
PMID- 27179774
TI - Screening of Differently Expressed miRNA and mRNA in Prostate Cancer by
Integrated Analysis of Transcription Data.
AB - OBJECTIVE: The purpose of this study was to screen aberrantly expressed miRNAs
and genes in prostate cancer (PCA), and further uncover the underlying mechanisms
for the development of PCA. MATERIALS AND METHODS: We searched the Gene
Expression Omnibus database for miRNA and gene expression datasets of PCA, and
then separately integrated miRNA and gene expression datasets to identify miRNA
and gene expression profiles in PCA. Target genes of differentially expressed
miRNAs were predicted through miRWalk database. We matched these target genes
with the list of differentially expressed genes to identify miRNA-target gene
pairs whose expression was inversely correlated. The function of these target
genes was annotated. RESULTS: Twenty-nine differentially expressed miRNAs and 946
differentially expressed genes were identified between PCA and normal control.
Seven hundred fifty-one miRNA-target gene pairs that showed inverse expression in
PCA were obtained to establish a regulatory network. In this regulatory network,
10 genes (BCL2, BNC2, CCND2, EPM2A, MRAS, NAV2, RASL12, STK33, TCEAL1, WWC2) were
co-regulated by 5 miRNAs (hsa-miR-106b, hsa-miR-130b, hsa-miR-93, hsa-miR-153,
hsa-miR-182). The expression of hsa-miR-182 was significantly associated with PCA
survival through the online validation tool of SurvMicro, suggesting the
potential use as a diagnostic or prognostic biomarker in PCA. CONCLUSION: This
integrated analysis was performed to infer new miRNA regulation activities, which
provides insights into the understanding of underlying molecular mechanisms of
PCA, and guides for exploration of novel therapeutic targets.
PMID- 27179775
TI - Variation in Testosterone Levels and Health-related Quality of Life in Men
Diagnosed With Prostate Cancer on Active Surveillance.
AB - OBJECTIVE: To determine the extent to which low testosterone levels impact health
related quality of life in patients undergoing active surveillance (AS) for
prostate cancer. MATERIALS AND METHODS: Eligible AS patients were grouped as
having low, low-normal, or normal testosterone levels (<300 vs 300-400 vs >=400
ng/dL). Patients were surveyed with the Expanded Prostate Cancer Index Composite
26 (EPIC-26), Patient Reported Outcomes Measurement Information System (PROMIS),
Memorial Anxiety Scale for Prostate Cancer, and treatment outlook satisfaction
questions at enrollment and successively during follow-up. RESULTS: The cohort
consisted of 223 patients, 74 (33%) of which had low testosterone levels. The
mean age was 66.8 +/- 7.2 years, with 85% being Caucasian. Mean prostate-specific
antigen did not differ between groups. Obesity was significantly higher for men
with low testosterone levels (P < .01). All PROMIS-Global items were
comparatively lower in men with lower testosterone. EPIC-26 scores for the sexual
domain were worse in men with lower testosterone. After age and obesity
adjustment, men with normal testosterone levels had significantly better PROMIS
Physical, Overall, and Mental Health, EPIC-26 Hormonal, and treatment
satisfaction responses when compared to those patients with low testosterone
levels. Those with normal testosterone levels reported hormonal EPIC-26 domain
responses 65% higher than for those with low testosterone, and 12% higher
treatment satisfaction during 2-year follow-up when corrected for age and obesity
(P < .05). CONCLUSION: Men with testosterone levels >=400 ng/dL reported some
improved measures of health-related quality of life including greater
satisfaction with treatment outcome. These findings are hypothesis generating in
the controversial area of exogenous testosterone administration in men on AS.
PMID- 27179776
TI - Connective tissue growth factor is activated by gastrin and involved in gastrin
induced migration and invasion.
AB - Connective tissue growth factor (CTGF) has been reported in gastric
adenocarcinoma and in carcinoid tumors. The aim of this study was to explore a
possible link between CTGF and gastrin in gastric epithelial cells and to study
the role of CTGF in gastrin induced migration and invasion of AGS-GR cells. The
effects of gastrin were studied using RT-qPCR, Western blot and assays for
migration and invasion. We report an association between serum gastrin
concentrations and CTGF abundancy in the gastric corpus mucosa of
hypergastrinemic subjects and mice. We found a higher expression of CTGF in
gastric mucosa tissue adjacent to tumor compared to normal control tissue. We
showed that gastrin induced expression of CTGF in gastric epithelial AGS-GR cells
via MEK, PKC and PKB/AKT pathways. CTGF inhibited gastrin induced migration and
invasion of AGS-GR cells. We conclude that CTGF expression is stimulated by
gastrin and involved in remodeling of the gastric epithelium.
PMID- 27179777
TI - Methylation of miR-145a-5p promoter mediates adipocytes differentiation.
AB - MicroRNAs (miRNAs, miR) play important roles in adipocyte development. Recent
studies showed that the expression of several miRNAs is closely related with
promoter methylation. However, it is not known whether miRNA mediates adipocytes
differentiation by means of DNA methylation. Here, we showed that miR-145a-5p was
poorly expressed in adipose tissue from mice fed a high fat diet (HFD).
Overexpression or inhibition of miR-145a-5p was unfavorable or beneficial,
respectively, for adipogenesis, and these effects were achieved by regulating
adipocyte-specific genes involved in lipogenic transcription, fatty acid
synthesis, and fatty acid transportation. Particularly, we first suggested that
miR-145a-5p mimics or inhibitors promoted or repressed adipocytes proliferation
by regulating p53 and p21, which act as cell cycle regulating factors.
Surprisingly, the miR-145a-5p-repressed adipocyte differentiation was enhanced or
rescued when cells treated with 5-Aza-dC were transfected with miR-145a-5p mimics
or inhibitors, respectively. These data indicated that, as a new mean to
positively regulate adipocyte proliferation, the process of miR-145a-5p-inhibited
adipogenesis may be regulated by DNA methylation.
PMID- 27179778
TI - Ultrafine particles in the airway aggravated experimental lung injury through
impairment in Treg function.
AB - Acute lung injury (ALI) is a life-threatening condition characterized by rapid
onset alveolar-capillary damage mediated by pathogenic proinflammatory immune
responses. Since exposure to airway particulate matter (PM) could significantly
change the inflammatory status of the individual, we investigated whether PM
instillation in the airway could alter the course of ALI, using a murine model
with experimental lung injury induced by intratracheal LPS challenge. We found
that PM-treated mice presented significantly aggravated lung injury, which was
characterized by further reductions in body weight, increased protein
concentration in the bronchoalveolar lavage (BAL), and higher mortality rate,
compared to control saline-treated mice. The PM-treated mice also presented
elevated lung and systemic type 1 T helper cell (Th1) frequency as well as
reduced lung regulatory T cell (Treg) frequency, which was associated with
severity of lung injury. Further examinations revealed that the Treg function was
impaired in PM-treated mice, characterized by significantly repressed
transforming growth factor beta production. Adoptive transfer of functional Tregs
from control mice to PM-treated mice significantly improved their prognosis after
intratracheal LPS challenge. Together, these results demonstrated that first, PM
in the airway aggravated lung injury; second, severity of lung injury was
associated with T cell subset imbalance in PM-treated mice; and third, PM
treatment induced quantitative as well as qualitative changes in the Tregs.
PMID- 27179779
TI - Restoration of the cellular secretory milieu overrides androgen dependence of in
vivo generated castration resistant prostate cancer cells overexpressing the
androgen receptor.
AB - It is believed that growth of castration resistant prostate cancer (CRPC) cells
is enabled by sensitization to minimal residual post-castrate androgen due to
overexpression of the androgen receptor (AR). Evidence is derived from androgen
induced colony formation in the absence of cell-secreted factors or from studies
involving forced AR overexpression in hormone-dependent cells. On the other hand,
standard cell line models established from CRPC patient tumors (e.g., LNCaP and
VCaP) are hormone-dependent and require selection pressure in castrated mice to
re-emerge as CRPC cells and the resulting tumors then tend to be insensitive to
the androgen antagonist enzalutamide. Therefore, we examined established CRPC
model cells produced by castration of mice bearing hormone-dependent cell line
xenografts including CRPC cells overexpressing full-length AR (C4-2) or co
expressing wtAR and splice-variant AR-V7 that is incapable of ligand binding
(22Rv1). In standard colony formation assays, C4-2 cells were shown to be
androgen-dependent and sensitive to enzalutamide whereas 22Rv1 cells were
incapable of colony formation under identical conditions. However, both C4-2 and
22Rv1 cells formed colonies in conditioned media derived from the same cells or
from HEK293 fibroblasts that were proven to lack androgenic activity. This effect
was (i) not enhanced by androgen, (ii) insensitive to enzalutamide, (iii)
dependent on AR (in C4-2) and on AR-V7 and wtAR (in 22Rv1) and (iv) sensitive to
inhibitors of several signaling pathways, similar to androgen-stimulation.
Therefore, during progression to CRPC in vivo, coordinate cellular changes
accompanying overexpression of AR may enable cooperation between hormone
independent activity of AR and actions of cellular secretory factors to
completely override androgen-dependence and sensitivity to drugs targeting
hormonal factors.
PMID- 27179780
TI - Hypolipidemic mechanism of oryzanol components- ferulic acid and phytosterols.
AB - The effect of oryzanol (well known hypolipidemic component in rice bran oil) and
its chemical constituents- ferulic acid (FA) and phytosterols on hypolipidemia
were investigated. METHODS AND RESULTS: Docking (in silico) studies showed that
FA had a better binding ability with lipase while sterols bound well with HMG-CoA
reductase. Further in vivo studies of feeding high fat (30%) to rats increased
body weights, serum TC, TG, non-HDL-C and reduced HDL-C were observed, compared
to normal diet fed group (ND). ORZ treated groups alleviated the lipid profile.
Furthermore, increased organ weights, higher intestinal lipase activity, and
liver lipid peroxidation was observed in the high-fat group (HF). These effects
were ameliorated in oryzanol concentrate fed groups (ORZ). Higher fecal fat was
found in ORZ groups, analysis of fecal matter by mass spectroscopy revealed the
presence of FA. In vitro, a bile acid binding study supported the strong affinity
of sterol towards bile acids. In conclusion, oryzanol in the intestine is cleaved
into FA and sterol by intestinal lipase enzymes both lipase and HMG-CoA reductase
activities were inhibited, respectively. These hydrolysates eliminated the bile
acids, thus lowering lipid profiles.
PMID- 27179781
TI - NS5ATP6 modulates intracellular triglyceride content through FGF21 and
independently of SIRT1 and SREBP1.
AB - The prevalence of nonalcoholic fatty liver disease (NAFLD) is rising strikingly
in Western countries and China. The molecular biological mechanism of NAFLD
remains unclear, with no effective therapies developed so far. Fibroblast growth
factor 21 (FGF21) is a recently discovered hormone, with safe lipid lowering
effects. FGF21 analogs are being developed for clinical application. Here we
demonstrated that a novel gene, NS5ATP6, modulated intracellular triglyceride
(TG) content independently of sirtuin1 (SIRT1) and sterol regulatory element
binding protein 1 (SREBP1) in HepG2 cells. Interestingly, NS5ATP6 regulated FGF21
expression both at the mRNA and protein levels. The modulatory effects of NS5ATP6
on intracellular TG content depended upon FGF21. Further studies revealed that
NS5ATP6 decreased the promoter activity of FGF21. In addition, NS5ATP6 regulated
the expression of miR-577, which directly targeted and regulated FGF21.
Therefore, miR-577 might be involved in NS5ATP6 regulation of FGF21 at the post
transcriptional level. In conclusion, NS5ATP6 regulates the intracellular TG
level via FGF21, and independently of SIRT1 and SREBP1.
PMID- 27179782
TI - TSH increases synthesis of hepatic ATP-binding cassette subfamily A member 1 in
hypercholesterolemia.
AB - Epidemiological evidence suggests that thyrotropin (TSH) levels are closely
correlated with the severity of hypercholesterolemia. Reverse cholesterol
transfer (RCT) plays an important role in regulating bloodcholesterol. However,
the molecular mechanism of hypercholesterolemia in subclinical hypothyroidism
(SCH) has not been fully clarified. The SCH mouse model, which is characterized
by elevated serum TSH but not thyroid hormone levels, demonstrated a significant
increase in plasma cholesterol compared with controls. Interestingly, Tshr KO
mice, with normal thyroid hormone levels after thyroid hormone supplementation,
showed lower plasma cholesterol levels compared with their wild-type littermates.
ATP binding cassette subfamily A member 1(ABCA1) is a member of the ABC
superfamily, which induces transfer of intracellular cholesterol to extracellular
apolipoprotein. TSH upregulated hepatic ABCA1 to promote the efflux of
intercellular cumulative cholesterol, resulting in increased plasma cholesterol.
These data might partially explain the pathogenesis of hypercholesterolemia in
SCH.
PMID- 27179783
TI - Cytosolic malate dehydrogenase regulates RANKL-mediated osteoclastogenesis via
AMPK/c-Fos/NFATc1 signaling.
AB - Cytosolic malate dehydrogenase (malate dehydrogenase 1, MDH1) plays pivotal roles
in the malate/aspartate shuttle that might modulate metabolism between the
cytosol and mitochondria. In this study, we investigated the role of MDH1 in
osteoclast differentiation and formation. MDH1 expression was induced by receptor
activator of nuclear factor kappa-B ligand (RANKL) treatment. Knockdown of MDH1
by infection with retrovirus containing MDH1-specific shRNA (shMDH1) reduced
mature osteoclast formation and bone resorption activity. Moreover, the
expression of marker genes associated with osteoclast differentiation was
downregulated by shMDH1 treatment, suggesting a role of MDH1 in osteoclast
differentiation. In addition, intracellular ATP production was reduced following
the activation of adenosine 5' monophosphate-activated protein kinase (AMPK), a
cellular energy sensor and negative regulator of RANKL-induced osteoclast
differentiation, in shMDH1-infected osteoclasts compared to control cells. In
addition, the expression of c-Fos and nuclear factor of activated T-cells,
cytoplasmic 1 (NFATc1), a critical transcription factor of osteoclastogenesis,
was decreased with MDH1 knockdown during RANKL-mediated osteoclast
differentiation. These findings provide strong evidence that MDH1 plays a
critical role in osteoclast differentiation and function via modulation of the
intracellular energy status, which might affect AMPK activity and NFATc1
expression.
PMID- 27179784
TI - Serum microRNAs as diagnostic markers of endometriosis: a comprehensive array
based analysis.
AB - OBJECTIVE: To investigate serum microRNAs (miRNAs) in women with endometriosis.
DESIGN: Case-control study. SETTING: University hospital. PATIENT(S): Women with
(n = 24) and without (n = 24) endometriosis. INTERVENTION(S): Serum samples were
obtained from surgically diagnosed subjects. MAIN OUTCOME MEASURE(S): miRNA from
women with without endometriosis were used for microarray profiling and confirmed
by means of quantitative real-time polymerase chain reaction (qRT-PCR). Receiver
operating characteristic (ROC) analysis was performed on differentially expressed
miRNAs. RESULT(S): miR-3613-5p, miR-6755-3p were down-regulated and miR-125b-5p,
miR-150-5p, miR-342-3p, miR-143-3p, miR-145-5p, miR-500a-3p, miR-451a, miR-18a-5p
were up-regulated more than 10-fold in the microarray. These results were
confirmed with the use of qRT-PCR. Among the differentially expressed miRNAs, miR
125b-5p expression levels had the highest area under the ROC curve (AUC). The
maximum AUC score of 1.000 was achieved when combining miR-125b-5p, miR-451a, and
miR-3613-5p with the use of a logistic regression model. CONCLUSION(S): We
identified several miRNAs in serum that distinguished subjects with endometriosis
from those without. miR-125b-5p had the greatest potential as a single diagnostic
biomarker. A combination of that miRNA with miR-451a and miR-3613-5p further
improved diagnostic performance.
PMID- 27179785
TI - Relationship between paternal somatic health and assisted reproductive technology
outcomes.
AB - OBJECTIVE: To study the association between paternal medical comorbidities and
the outcomes of assisted reproductive technology (ART). DESIGN: Retrospective
cohort study. SETTING: Academic reproductive medicine center. PATIENT(S): We
analyzed fresh ART cycles uszing freshly ejaculated sperm from the male partner
of couples undergoing ART cycles from 2004 until 2014. We recorded patient and
partner demographic characteristics. The cohort was linked to hospital billing
data to obtain information on selected male partners' comorbidities identified
using ICD-9-CM codes. INTERVENTION(S): None. MAIN OUTCOME MEASURE(S):
Fertilization, clinical pregnancy, miscarriage, implantation, and live-birth
rates as well as birth weights and gestational ages. RESULT(S): In all, we
identified 2,690 men who underwent 5,037 fresh ART cycles. Twenty-seven percent
of men had at least one medical diagnosis. Men with nervous system diseases had
on average lower pregnancy rates (23% vs. 30%) and live-birth rates (15% vs. 23%)
than men without nervous system diseases. Lower fertilization rates were also
observed among men with respiratory diseases (61% vs. 64%) and musculoskeletal
diseases (61% vs. 64%) relative to those without these diseases. In addition, men
with diseases of the endocrine system had smaller children (2,970 vs. 3,210 g)
than men without such diseases. Finally, men with mental disorders had children
born at an earlier gestational age (36.5 vs. 38.0 weeks). CONCLUSION(S): The
current report identified a possible relationship between a man's health history
and IVF outcomes. As these are potentially modifiable factors, further research
should determine whether treatment for men's health conditions may improve or
impair IVF outcomes.
PMID- 27179786
TI - Conservation of ovarian reserve across ethnicities: evidence of the evolutionary
importance of maintenance of ovarian reserve.
PMID- 27179787
TI - Genetic determinants of polycystic ovary syndrome: progress and future
directions.
AB - The field of the genetics of polycystic ovary syndrome (PCOS) has relatively
recently moved into the era of genome-wide association studies. This has led to
the discovery of 16 robust loci for PCOS. Some loci contain genes with clear
roles in reproductive (LHCGR, FSHR, and FSHB) and metabolic (INSR and HMGA2)
dysfunction in the syndrome. The next challenge facing the field is the
identification of causal variants and genes and the role they play in PCOS
pathophysiology. The potential for gene discovery to improve diagnosis and
treatment of PCOS is promising, though there is much to be done in the field
before the current findings can be translated to the clinic.
PMID- 27179788
TI - Neural control of aggression in Drosophila.
AB - Like most animal species, fruit flies fight to obtain and defend resources
essential to survival and reproduction. Aggressive behavior in Drosophila is
genetically specified and also strongly influenced by the fly's social context,
past experiences and internal states, making it an excellent framework for
investigating the neural mechanisms that regulate complex social behaviors. Here,
I summarize our current knowledge of the neural control of aggression in
Drosophila and discuss recent advances in understanding the sensory pathways that
influence the decision to fight or court, the neuromodulatory control of
aggression, the neural basis by which internal states can influence both fighting
and courtship, and how social experience modifies aggressive behavior.
PMID- 27179789
TI - Targeted inactivation of murine Ddx3x: essential roles of Ddx3x in placentation
and embryogenesis.
AB - The X-linked DEAD-box RNA helicase DDX3 (DDX3X) is a multifunctional protein that
has been implicated in gene regulation, cell cycle control, apoptosis, and
tumorigenesis. However, the precise physiological function of Ddx3x during
development remains unknown. Here, we show that loss of Ddx3x results in an early
post-implantation lethality in male mice. The size of the epiblast marked by
Oct3/4 is dramatically reduced in embryonic day 6.5 (E6.5) Ddx3x-/Y embryos.
Preferential paternal X chromosome inactivation (XCI) in extraembryonic tissues
of Ddx3x heterozygous (Ddx3x-/+) female mice with a maternally inherited null
allele leads to placental abnormalities and embryonic lethality during
development. In the embryonic tissues, Ddx3x exhibits developmental- and tissue
specific differences in escape from XCI. Targeted Ddx3x ablation in the epiblast
leads to widespread apoptosis and abnormal growth, which causes embryonic
lethality in the Sox2-cre/+;Ddx3xflox/Y mutant around E11.5. The observation of
significant increases in gammaH2AX and p-p53Ser15 indicates DNA damage, which
suggests that loss of Ddx3x leads to higher levels of genome damage. Significant
upregulation of p21WAF1/Cip1 and p15Ink4b results in cell cycle arrest and
apoptosis in Ddx3x-deficient cells. These results have uncovered that mouse Ddx3x
is essential for both embryo and extraembryonic development.
PMID- 27179790
TI - Systems-level analysis of human aging genes shed new light on mechanisms of
aging.
AB - Although studies over the last decades have firmly connected a number of genes
and molecular pathways to aging, the aging process as a whole still remains
poorly understood. To gain novel insights into the mechanisms underlying aging,
instead of considering aging genes individually, we studied their characteristics
at the systems level in the context of biological networks. We calculated a
comprehensive set of network characteristics for human aging-related genes from
the GenAge database. By comparing them with other functional groups of genes, we
identified a robust group of aging-specific network characteristics. To find the
structural basis and the molecular mechanisms underlying this aging-related
network specificity, we also analyzed protein domain interactions and gene
expression patterns across different tissues. Our study revealed that aging genes
not only tend to be network hubs, playing important roles in communication among
different functional modules or pathways, but also are more likely to physically
interact and be co-expressed with essential genes. The high expression of aging
genes across a large number of tissue types also points to a high level of
connectivity among aging genes. Unexpectedly, contrary to the depletion of
interactions among hub genes in biological networks, we observed close
interactions among aging hubs, which renders the aging subnetworks vulnerable to
random attacks and thus may contribute to the aging process. Comparison across
species reveals the evolution process of the aging subnetwork. As the organisms
become more complex, the complexity of its aging mechanisms increases and their
aging hub genes are more functionally connected.
PMID- 27179791
TI - N-acetylcysteine modulates glutamatergic dysfunction and depressive behavior in
Huntington's disease.
AB - Glutamatergic dysfunction has been implicated in the pathogenesis of depressive
disorders and Huntington's disease (HD), in which depression is the most common
psychiatric symptom. Synaptic glutamate homeostasis is regulated by cystine
dependent glutamate transporters, including GLT-1 and system xc- In HD, the
enzyme regulating cysteine (and subsequently cystine) production, cystathionine
gamma-lygase, has recently been shown to be lowered. The aim of the present study
was to establish whether cysteine supplementation, using N-acetylcysteine (NAC)
could ameliorate glutamate pathology through the cystine-dependent transporters,
system xc- and GLT-1. We demonstrate that the R6/1 transgenic mouse model of HD
has lower basal levels of cystine, and showed depressive-like behaviors in the
forced-swim test. Administration of NAC reversed these behaviors. This effect was
blocked by co-administration of the system xc- and GLT-1 inhibitors CPG and DHK,
showing that glutamate transporter activity was required for the antidepressant
effects of NAC. NAC was also able to specifically increase glutamate in HD mice,
in a glutamate transporter-dependent manner. These in vivo changes reflect
changes in glutamate transporter protein in HD mice and human HD post-mortem
tissue. Furthermore, NAC was able to rescue changes in key glutamate receptor
proteins related to excitotoxicity in HD, including NMDAR2B. Thus, we have shown
that baseline reductions in cysteine underlie glutamatergic dysfunction and
depressive-like behavior in HD and these changes can be rescued by treatment with
NAC. These findings have implications for the development of new therapeutic
approaches for depressive disorders.
PMID- 27179793
TI - G Protein-Coupled Receptors (GPCRs).
PMID- 27179792
TI - BIN1 regulates BACE1 intracellular trafficking and amyloid-beta production.
AB - BIN1 is a genetic risk factor of late-onset Alzheimer disease (AD), which was
identified in multiple genome-wide association studies. BIN1 is a member of the
amphiphysin family of proteins, and contains N-terminal Bin-Amphiphysin-Rvs and C
terminal Src homology 3 domains. BIN1 is widely expressed in the mouse and human
brains, and has been reported to function in the endocytosis and the endosomal
sorting of membrane proteins. BACE1 is a type 1 transmembrane aspartyl protease
expressed predominantly in neurons of the brain and responsible for the
production of amyloid-beta peptide (Abeta). Here we report that the depletion of
BIN1 increases cellular BACE1 levels through impaired endosomal trafficking and
reduces BACE1 lysosomal degradation, resulting in increased Abeta production. Our
findings provide a mechanistic role of BIN1 in the pathogenesis of AD as a novel
genetic regulator of BACE1 levels and Abeta production.
PMID- 27179794
TI - Dynamic chromatin organization: Role in development and disease.
AB - The spatial organization of chromatin in the nucleus is important for proper
regulation of gene expression. The cell-type specific transcription program is
mainly controlled by distal regulatory elements, which can dynamically engage in
long-range interactions with their target genes. These long-range interactions
mostly occur within insulated genomic domains and are constrained by global
organization of the chromatin, providing an extra layer of regulation. Genetic
alterations can lead to disruption of spatial organization and consequently
aberrant gene expression. In this review we will discuss the multiple layers of
chromatin organization, how this organization changes during development and how
its disruption can lead do aberrant gene expression and disease.
PMID- 27179795
TI - Effect of speed endurance and strength training on performance, running economy
and muscular adaptations in endurance-trained runners.
AB - PURPOSE: To investigate the effects of combined strength and speed endurance (SE)
training along with a reduced training volume on performance, running economy and
muscular adaptations in endurance-trained runners. METHODS: Sixteen male
endurance runners (VO2-max: ~60 ml kg(-1) min(-1)) were randomly assigned to
either a combined strength and SE training (CSS; n = 9) or a control (CON; n = 7)
group. For 8 weeks, CSS replaced their normal moderate-intensity training (~63 km
week(-1)) with SE (2 * week(-1)) and strength training (2 * week(-1)) as well as
aerobic high (1 * week(-1)) and moderate (1 * week(-1)) intensity training with a
reduction in total volume of ~58 %, whereas CON continued their training (~45 km
week(-1)). RESULTS: In CSS, 400-m and Yo-Yo intermittent recovery test
performance was improved by 5 % (P < 0.01) and 19 % (P < 0.001), respectively,
during the intervention period. Maximal aerobic speed was 0.6 km h(-1) higher (P
< 0.05), and maximal activity of lactate dehydrogenase subunits 1 and 2 was 17 %
(P < 0.05) higher after compared to before the intervention period. Time to
exhaustion and peak blood lactate during an incremental treadmill test was 9 % (P
< 0.05) and 32 % (P < 0.01), respectively, higher and expression of Na(+)-K(+)
pump beta1 subunit was 15 % higher (P < 0.05) after compared to before the
intervention period. 10-K performance, maximum oxygen uptake and running economy
were unchanged. In CON, no changes were observed. CONCLUSIONS: Adding strength
and speed endurance training, along with a reduced training volume, can improve
short-term exercise capacity and induce muscular adaptations related to anaerobic
capacity in endurance-trained runners.
PMID- 27179798
TI - Regression standardization with the R package stdReg.
AB - When studying the association between an exposure and an outcome, it is common to
use regression models to adjust for measured confounders. The most common models
in epidemiologic research are logistic regression and Cox regression, which
estimate conditional (on the confounders) odds ratios and hazard ratios. When the
model has been fitted, one can use regression standardization to estimate
marginal measures of association. If the measured confounders are sufficient for
confounding control, then the marginal association measures can be interpreted as
poulation causal effects. In this paper we describe a new R package, stdReg, that
carries out regression standardization with generalized linear models (e.g.
logistic regression) and Cox regression models. We illustrate the package with
several examples, using real data that are publicly available.
PMID- 27179797
TI - Impact of aortic root size on left ventricular afterload and stroke volume.
AB - PURPOSE: The left ventricle (LV) ejects blood into the proximal aorta. Age and
hypertension are associated with stiffening and dilation of the aortic root,
typically viewed as indicative of adverse remodeling. Based on analytical
considerations, we hypothesized that a larger aortic root should be associated
with lower global afterload (effective arterial elastance, EA) and larger stroke
volume (SV). Moreover, as antihypertensive drugs differ in their effect on
central blood pressure, we examined the role of antihypertensive drugs for the
relation between aortic root size and afterload. METHODS: We studied a large
group of patients (n = 1250; 61 +/- 12 years; 78 % males; 64 % hypertensives)
from a single-center registry with known or suspected coronary artery disease.
Aortic root size was measured by echocardiography as the diameter of the tubular
portion of the ascending aorta. LV outflow tract Doppler was used to record SV.
RESULTS: In the population as a whole, after adjusting for key covariates in
separate regression models, aortic root size was an independent determinant of
both SV and EA. This association was found to be heterogeneous and stronger in
patients taking a calcium channel blocker (CCB; 10.6 % of entire population;
aortic root size accounted for 8 % of the explained variance of EA). CONCLUSION:
Larger aortic root size is an independent determinant of EA and SV. This
association was heterogeneous and stronger in patients on CCB therapy.
PMID- 27179796
TI - Analysis of cardio-pulmonary and respiratory kinetics in different body
positions: impact of venous return on pulmonary measurements.
AB - PURPOSE: The aim of the study was to compare the kinetics responses of heart rate
(HR), pulmonary ([Formula: see text]O2pulm), and muscular ([Formula: see
text]O2musc) oxygen uptake during dynamic leg exercise across different body
positions (-6 degrees , 45 degrees , and 75 degrees ). METHODS: Ten healthy
individuals [six men, four women; age 23.4 +/- 2.8 years; height 179.7 +/- 8.3
cm; body mass 73 +/- 12 kg (mean +/- SD)] completed pseudo-random binary sequence
(PRBS) work rate (WR) changes between 30 and 80 W in each posture. HR was
measured beat-to-beat by echocardiogram and [Formula: see text]O2pulm by breath
by-breath gas exchange. [Formula: see text]O2musc kinetics were assessed by the
procedure of Hoffmann et al. (Eur J Appl Physiol 113:1745-1754, 2013) applying a
circulatory model and cross-correlation functions (CCF). RESULTS: For [Formula:
see text]O2pulm kinetics significant differences between -6 degrees (CCF-values:
0.292 +/- 0.040) and 45 degrees (0.256 +/- 0.034; p < 0.01; n = 10) as well as
between -6 degrees and 75 degrees (0.214 +/- 0.057; p < 0.05; n = 10) were
detected at lag '40 s' of the CCF course as interaction effects (factors: Lag *
Posture). HR and [Formula: see text]O2musc kinetics yield no significant
differences across the postures. CONCLUSIONS: The analysis of cardio-dynamic and
respiratory kinetics, especially with an emphasis on muscular and cellular level,
has to consider venous return and cardiac output distortions. Simplified
observations of kinetics responses resulting in time constants and time delays
only should be replaced by the time-series analysis for a more sophisticated
evaluation. The results illustrate that isolated [Formula: see text]O2pulm
measurements without cardio-dynamic influences may not represent the kinetics
responses originally revealed at muscular level.
PMID- 27179799
TI - Effect of Methamphetamine Exposure on Expression of Calcium Binding Proteins in
Rat Frontal Cortex and Hippocampus.
AB - Methamphetamine (METH) is a psychostimulant drug with potent effects on the
central nervous system that can cause psychotic symptoms similar to those of
schizophrenia. Specific alterations in GABAergic neuronal markers have been
reported in schizophrenia and animal models of psychotic illness. The aim of this
study was to determine whether there are changes in subpopulations of GABAergic
neurons, defined by the presence of calcium binding proteins (CBPs), in animal
models of METH abuse. Rats received acute (Binge) doses of 4 * 6 mg/kg, a chronic
escalating dose regime (0.1-4 mg/kg over 14 days) or a combination of the two and
were compared with a vehicle-administered control group. Brains were taken and
sections of frontal cortex (Cg1) and hippocampus (dentate gyrus and CA1-3
regions) underwent immunostaining for three CBPs [parvalbumin (PV), calbindin
(CB), and calretinin (CR)]. Significant decreases in PV-immunoreactive (IR)
neurons in each METH group and all regions were observed. Smaller METH-induced
deficits in CB-IR cells were observed, reaching significance primarily following
chronic METH regimes, while CR-IR was significantly reduced only in frontal
cortex following chronic administration. These results suggest that METH regimes
in rats can induce selective deficits in GABAergic neuronal subtypes similar to
those seen in schizophrenia and may underlie the psychosis and/or cognitive
impairment that can occur in METH abuse and dependence.
PMID- 27179800
TI - Systematic review with meta-analysis: Dietary adherence influences normalization
of health-related quality of life in coeliac disease.
AB - BACKGROUND & AIMS: Gluten-free diet is the keystone of coeliac disease treatment.
Despite adherence, some patients continue to suffer from symptoms that negatively
influence health-related quality of life (HRQoL). Therefore we performed a
systematic review and meta-analysis to assess the effect of gluten-free diet on
HRQoL in coeliac disease. We specifically sought for determinants that negatively
influenced HRQoL. METHODS: We systematically searched PubMed, EMBASE, CINAHL,
PsycINFO and Cochrane Library for studies assessing HRQoL in untreated or treated
adults using validated HRQoL-questionnaires from 1960 to September 2015,
comparing HRQoL: (1) before and after gluten-free diet initiation or (2) in
patients and non-coeliac controls. RESULTS: We included eighteen studies and
sixteen were suitable for meta-analysis. Gluten-free diet significantly improves
HRQoL, for psychological general well-being (PGWB)-Total (mean difference (MD)
7.34, 95% confidence interval (CI) [1.96; 12.72]; p = 0.008), SF-36 Mental
Component Score (MCS) (MD 7.37, 95% CI [1.84; 12.90]; p = 0.009) and SF-36
Physical Component Score (PCS) (MD 5.72, 95% CI [1.50; 9.95]; p = 0.008). Treated
patients had similar HRQoL compared with controls for PGWB-Total (MD -0.72, 95%
CI [-2.71; 1.27]; p = 0.48), but significantly lower levels for SF-36 MCS (MD
4.09, 95% CI [-6.17; -2.01]; p = 0.0001) and PCS (MD -4.57, 95% CI [-6.97;
2.17]; p = 0.0002). Symptom-detected gluten-free diet adhering patients have
lower HRQoL compared with screening-detected patients (MD -3.73, 95% CI [-6.77;
0.69]; p = 0.02) Strict adhering patients have better HRQoL compared with non
strict adhering patients for SF-36 MCS (MD 7.70, 95% CI [4.61; 10.79]; p <
0.00001) and for SF-36 PCS (MD 3.23, 95% CI [1.33; 5.14]; p = 0.0009).
CONCLUSIONS: Gluten-free diet significantly improves but does not normalize HRQoL
in adults with coeliac disease. Dietary adherence improves HRQoL. Better (self
reported) dietary adherence results in higher HRQoL.
PMID- 27179801
TI - Factors Influencing Smallholder Farmers' Climate Change Perceptions: A Study from
Farmers in Ethiopia.
AB - Factors influencing climate change perceptions have vital roles in designing
strategies to enrich climate change understanding. Despite this, factors that
influence smallholder farmers' climate change perceptions have not yet been
adequately studied. As many of the smallholder farmers live in regions where
climate change is predicted to have the most negative impact, their climate
change perception is of particular interest. In this study, based on data
collected from Ethiopian smallholder farmers, we assessed farmers' perceptions
and anticipations of past and future climate change. Furthermore, the factors
influencing farmers' climate change perceptions and the relation between farmers'
perceptions and available public climate information were assessed. Our findings
revealed that a majority of respondents perceive warming temperatures and
decreasing rainfall trends that correspond with the local meteorological record.
Farmers' perceptions about the past climate did not always reflect their
anticipations about the future. A substantial number of farmers' anticipations of
future climate were less consistent with climate model projections. The recursive
bivariate probit models employed to explore factors affecting different
categories of climate change perceptions illustrate statistical significance for
explanatory variables including location, gender, age, education, soil fertility
status, climate change information, and access to credit services. The findings
contribute to the literature by providing evidence not just on farmers' past
climate perceptions but also on future climate anticipations. The identified
factors help policy makers to provide targeted extension and advisory services to
enrich climate change understanding and support appropriate farm-level climate
change adaptations.
PMID- 27179802
TI - Conservation Beyond Park Boundaries: The Impact of Buffer Zones on Deforestation
and Mining Concessions in the Peruvian Amazon.
AB - Many researchers have tested whether protected areas save tropical forest, but
generally focus on parks and reserves, management units that have internationally
recognized standing and clear objectives. Buffer zones have received considerably
less attention because of their ambiguous rules and often informal status.
Although buffer zones are frequently dismissed as ineffective, they warrant
attention given the need for landscape-level approaches to conservation and their
prevalence around the world-in Peru, buffer zones cover >10 % of the country.
This study examines the effectiveness of buffer zones in the Peruvian Amazon to
(a) prevent deforestation and (b) limit the extent of mining concessions. We
employ covariate matching to determine the impact of 13 buffer zones on
deforestation and mining concessions from 2007 to 2012. Despite variation between
sites, these 13 buffer zones have prevented ~320 km(2) of forest loss within
their borders during the study period and ~1739 km(2) of mining concessions, an
outcome associated with the special approval process for granting formal
concessions in these areas. However, a closer look at the buffer zone around the
Tambopata National Reserve reveals the difficulties of controlling illegal and
informal activities. According to interviews with NGO employees, government
officials, and community leaders, enforcement of conservation is limited by
uncertain institutional responsibilities, inadequate budgets, and corruption,
although formal and community-based efforts to block illicit mining are on the
rise. Landscape-level conservation not only requires clear legal protocol for
addressing large-scale, formal extractive activities, but there must also be
strategies and coordination to combat illegal activities.
PMID- 27179803
TI - Formation of persistent organic pollutants from 2,4,5-trichlorothiophenol
combustion: a density functional theory investigation.
AB - Polychlorinated dibenzothiophene (PCDT) and polychlorinated thianthrene (PCTA)
are sulfur analogues of dioxins, such as polychlorinated dibenzo-p-dioxins and
polychlorinated dibenzofurans (PCDD/F). In this work, we present a detailed
mechanistic and kinetic analysis of PCDT and PCTA formation from the combustion
of 2,4,5-trichlorothiophenol. It is shown that the formation of these persistent
organic pollutants is more favourable, both kinetically and thermodynamically,
than their analogous dioxin counterparts. This is rationalised in terms of the
different influences of the S-H and O-H moieties in the 2,4,5-trichlorothiophenol
and 2,4,5-trichlorophenol precursors. Kinetic parameters also indicate that the
yield of PCDT should exceed that of PCDD. Finally, we demonstrate here that the
degree and pattern of chlorination on the 2,4,5-trichlorothiophenol precursor
leads to subtle thermodynamic and kinetic changes to the PCDT/PCTA formation
mechanisms. Graphical abstract Formation mechanisms of persistant organic
pollutants, PCDT and PCTA, from 2,4,5-trichlorothiophenol combustion, has been
investigated using density functional theory.
PMID- 27179804
TI - Theoretical studies on a new furazan compound bis[4-nitramino-furazanyl-3
azoxy]azofurazan (ADNAAF).
AB - Bis[4-nitraminofurazanyl-3-azoxy]azofurazan (ADNAAF), synthesized in our previous
work [1], contains four furazan units connected to the linkage of the azo-group
and azoxy-group. For further research, some theoretical characters were studied
by the density functional theoretical (DFT) method. The optimized structures and
the energy gaps between the HOMO and LUMO were studied at the B3LYP/6-311++G**
level. The isodesmic reaction method was used for estimating the enthalpy of
formation. The detonation performances were estimated with Kamlet-Jacobs
equations based on the predicted density and enthalpy of formation in the solid
state. ADAAF was also calculated by the same method for comparison. It was found
that the nitramino group of ADNAAF can elongate the length of adjacent C-N bonds
than the amino group of ADAAF. The gas-phase and solid-phase enthalpies of
formation of ADNAAF are larger than those of ADAAF. The detonation performances
of ADNAAF are better than ADAAF and RDX, and similar to HMX. The trigger bond of
ADNAAF is the N-N bonds in the nitramino groups, and the nitramino group is more
active than the amino group (-NH2).
PMID- 27179805
TI - Theoretical study on the substitution reactions of the germylenoid H2GeLiF with
SiH3X (X = F, Cl, Br).
AB - The substitution reactions of H2GeLiF (G) with SiH3X (X = F, Cl, Br) were
investigated using calculations performed at the QCISD/6-311++G (d, p)//B3LYP/6
311+G (d, p) level of theory. The results led to the following conclusions. (i)
The substitutions are nucleophilic reactions. There are two substitution paths, I
and II, which both lead to the germane H2GeFSiH3. The enantiomers of this germane
are obtained via these two paths if an H in SiH3X is replaced with a different
group or atom. (ii) Both substitution pathways show the same order of barrier
heights (SiH3F > SiH3Cl > SiH3Br). The difference between the bond energies of Li
X and Si-X may explain the precedence among the substitution reactions of G with
SiH3X. Path I has a lower activation barrier than path II, indicating that path I
is more favorable. (iii) Comparison between the relevant insertion and
substitution reactions shows that substitutions are more favorable and that the
substitution product H2GeFSiH3 predominates over the insertion product. (iv) The
substitution reactions of H2GeLiF with SiH3X are exothermic.
PMID- 27179806
TI - pH dependence of ligand-induced human epidermal growth factor receptor activation
investigated by molecular dynamics simulations.
AB - The activation of human epidermal growth factor receptor (hEGFR) involves a large
conformational change in its soluble extracellular domains (sECD, residues 1
620), from a tethered to an extended conformation upon binding of ligands, such
as EGF. It has been reported that this dynamic process is pH-dependent, that is,
hEGFR can be activated by EGF at high pH to form an extended dimer but remains as
an inactive monomer at low pH. In this paper, we perform all-atom molecular
dynamics (MD) simulations starting from the tethered conformation of sECD:EGF
complex, at pH 5.0 and 8.5, respectively. Simulation results indicate that
sECD:EGF shows different dynamic properties between the two pHs, and the complex
may have a higher tendency of activation at pH 8.5. Twenty residues, including 13
histidines, in sECD:EGF have different protonation states between the two pHs
(calculated by the H++ server). The charge distribution at pH 8.5 is more
favorable for forming an extended conformation toward the active state of sECD
than that at pH 5.0. Our study may shed light on the mechanism of pH dependence
of hEGFR activation. Graphical abstract pH dependence of ligand-induced human
epidermal growth factor receptor activation.
PMID- 27179808
TI - Management of massive retropubic haematoma post-TVT.
PMID- 27179807
TI - Impact of Heat Shock Protein A 12B Overexpression on Spinal Astrocyte Survival
Against Oxygen-Glucose-Serum Deprivation/Restoration in Primary Cultured
Astrocytes.
AB - Heat shock protein A 12B (HSPA12B) is a newly discovered member of the heat shock
protein 70 family. Preclinical evidence indicates that HSPA12B helps protect the
brain from ischemic injury, although its specific function remains unclear. The
aim of this study is to investigate whether HSPA12B overexpression can protect
astrocytes from oxygen-glucose-serum deprivation/restoration (OGD/R) injury. We
analyzed the effects of HSPA12B overexpression on spinal cord ischemia
reperfusion injury and spinal astrocyte survival. After ischemia-reperfusion
injury, we found that HSPA12B overexpression decreased spinal cord water content
and infarct volume. MTT assay showed that HSPA12B overexpression increased
astrocyte survival after OGD/R treatment. Flow cytometry results showed a marked
inhibition of OGD/R-induced astrocyte apoptosis. Western blot assay showed that
HSPA12B overexpression significantly increased regulatory protein B-cell
lymphocyte 2 (Bcl-2) levels, whereas it decreased expression of the Bax protein,
which forms a heterodimer with Bcl-2. Measurements of the level of activation of
caspase-3 by Caspase-Glo(r)3/7 Assay kit showed that HSPA12B overexpression
markedly inhibited caspase-3 activation. Notably, we demonstrated that the
effects of HSPA12B on spinal astrocyte survival depended on activation of the
PI3K/Akt signal pathway. These findings indicate that HSPA12B protects against
spinal cord ischemia-reperfusion injury and may represent a potential treatment
target.
PMID- 27179809
TI - Total colpocleisis: technical considerations.
AB - INTRODUCTION: We present a video describing the technical considerations for
performing a total colpocleisis in the management of symptomatic post
hysterectomy pelvic organ prolapse. METHODS: A 76-year old female presented with
pelvic pressure and the presence of a palpable vaginal bulge. She had significant
bother and had previously failed use of a pessary. She wasnot sexually active,
with no plans for future sexual activity. Her medical history was significant for
coronary artery disease with prior myocardial infarction. She had high-grade
vaginal vault prolapse, without occult incontinence. After discussing
observation, pessaries, restorative and obliterative procedures, she elected to
undergo colpocleisis. Following hydrodissection with lidocaine with epinephrine,
a quadrant-based dissection was performed to remove the vaginal epithelium
circumferentially. Following this, serial purse string sutures were used to
reduce the prolapse, with meticulous hemostasis. The vaginal epithelium was then
closed transversely. Next, a perineorrhaphy was performed. The midline was
plicated and the perineal body reconstructed. RESULTS: The patient had an
uncomplicated postoperative course. At six-week follow-up she had no evidence of
recurrent prolapse and was voiding without difficulty. CONCLUSIONS: Colpocleisis
can provide excellent anatomic and subjective outcomes. Our goal is to highlight
pertinent technical considerations in order to optimize patient outcomes.
PMID- 27179810
TI - A nCounter CNV Assay to Detect HER2 Amplification: A Correlation Study with
Immunohistochemistry and In Situ Hybridization in Advanced Gastric Cancer.
AB - AIM: Screening amplified genes for targeted therapy with high-throughput
technology is very important. The NanoString nCounter system allows multiplexed
digital quantification of target molecules through the use of color-coded
barcodes with the great advantage that formalin-fixed, paraffin-embedded (FFPE)
tissue can be utilized. METHODS: We tested nCounter custom copy number variation
(CNV) panels in 220 gastric cancer samples and evaluated the utility of this
method as a screening tool for the detection of CNV using HER2. For the
validation of results, we compared the nCounter results with immunohistochemistry
(IHC), and we further performed in situ hybridization (ISH) in discrepant cases.
RESULTS: The average HER2 gene copy numbers (CNs) by nCounter were 17.25, 2.0 and
2.61 for the HER2 IHC positive (3+), equivocal (2+), and negative cases,
respectively. Out of the 16 IHC 3+ cases, 13 (81.3 %) were reported as HER2 CN
gain (>=4). Gastric cancers with homogeneous HER2 overexpression or high tumor
purity showed HER2 CN >=10. Among the 192 cases with HER2 IHC negative and
without HER2 gene amplification, 29 showed a HER2 CN >=4 with the nCounter assay.
The nCounter assay had a concordance rate of 83.4 % (kappa value, 0.35), a
sensitivity of 66.7 %, a specificity of 85.2 %, a negative predictive value of 96
%, and a positive predictive value of 32.6 % compared with HER2 IHC/ISH results.
Fresh frozen (FF) samples revealed a higher concordance rate (91.5 %, kappa
value, 0.59) than FFPE samples (78.5 %, kappa value 0.27) and showed a high
specificity (97.2 %). CONCLUSION: The nCounter CNV assay is a reliable and
practical method to detect high CN variations. Given the intra-tumoral HER2
heterogeneity and normal cell contamination, additional IHC and/or FISH is
necessary and needs caution in interpretation, especially in FFPE tissue samples.
PMID- 27179811
TI - Reducing hazardous heavy metal ions using mangium bark waste.
AB - The objective of this study was to evaluate the characteristics of mangium bark
and its biosorbent ability to reduce heavy metal ions in standard solutions and
wastewater and to assess changes in bark characteristics after heavy metal
absorption. The experiments were conducted to determine heavy metal absorption
from solutions of heavy metals alone and in mixtures as well as from wastewater.
The results show that mangium bark can absorb heavy metals. Absorption
percentages and capacities from single heavy metal solutions showed that Cu(2+) >
Ni(2+) > Pb(2+) > Hg(2+), while those from mixture solutions showed that Hg(2+) >
Cu(2+) > Pb(2+) > Ni(2+). Wastewater from gold mining only contained Cu, with an
absorption percentage and capacity of 42.87 % and 0.75 mg/g, respectively. The
highest absorption percentage and capacity of 92.77 % and 5.18 mg/g,
respectively, were found for Hg(2+) in a mixture solution and Cu(2+) in single
metal solution. The Cu(2+) absorption process in a single-metal solution changed
the biosorbent characteristics of the mangium bark, yielding a decreased
crystalline fraction; changed transmittance on hydroxyl, carboxyl, and carbonyl
groups; and increased the presence of Cu. In conclusion, mangium bark biosorbent
can reduce hazardous heavy metal ions in both standard solutions and wastewater.
PMID- 27179813
TI - Co-infection with three linezolid-resistant Enterococcus faecium ST117 strain
variants: what are we missing in diagnosis?
PMID- 27179814
TI - Should beta-lactam antibiotics be administered by continuous infusion in
critically ill patients? A survey of Australia and New Zealand intensive care
unit doctors and pharmacists.
AB - Although there is a biological precedent for administration of beta-lactam
antibiotics by continuous or extended infusion, there is no definitive evidence
of a survival benefit compared with intermittent administration. The aim of this
study was to explore clinician uncertainty with regard to the administration of
beta-lactam antibiotics by continuous infusion. Doctors and pharmacists in
Australian and New Zealand intensive care units (ICUs) were surveyed to
investigate current beta-lactam antibiotic administration practices as well as
the degree of uncertainty regarding the benefit of continuous infusion of two
commonly used broad-spectrum beta-lactams, namely meropenem and
piperacillin/tazobactam (TZP). There were 111 respondents to the survey.
Intermittent infusion was reported as standard practice for meropenem (73.9%) and
TZP (82.0%). A greater proportion of pharmacists compared with doctors believed
continuous infusion to be more effective than intermittent administration (85.4%
vs. 34.3%, respectively; P <0.001). Both groups reported uncertainty as to
whether administration by continuous infusion resulted in better patient outcomes
(65.9% and 74.6%, respectively; P = 0.85). Overall, 91.0% of respondents were
prepared to enrol eligible patients into a definitive randomised controlled trial
on beta-lactam antibiotic administration. In conclusion, there is equipoise among
clinicians working in Australian and New Zealand ICUs as to whether
administration by continuous infusion offers a survival benefit in critically ill
patients.
PMID- 27179812
TI - Cosmet'eau-Changes in the personal care product consumption practices: from
whistle-blowers to impacts on aquatic environments.
AB - The Cosmet'eau project (2015-2018) investigates the "changes in the personal care
product (PCP) consumption practices: from whistle-blowers to impacts on aquatic
environments." In this project, the example of PCPs will be used to understand
how public health concerns related to micropollutants can be addressed by public
authorities-including local authorities, industries, and consumers. The project
aims to characterize the possible changes in PCP consumption practices and to
evaluate the impact of their implementation on aquatic contamination. Our goals
are to study the whistle-blowers, the risk perception of consumers linked with
their practices, and the contamination in parabens and their substitutes,
triclosan, and triclocarban from wastewater to surface water. The project
investigates the following potential solutions: modifications of industrial
formulation or changes in consumption practices. The final purpose is to provide
policy instruments for local authorities aiming at building effective strategies
to fight against micropollutants in receiving waters.
PMID- 27179815
TI - Impact of blaNDM-1 on fitness and pathogenicity of Escherichia coli and
Klebsiella pneumoniae.
AB - The objective of this study was to determine whether acquisition of New Delhi
metallo-beta-lactamase-1 (NDM-1) has an impact on the fitness and virulence of
Escherichia coli and Klebsiella pneumoniae. Growth kinetics and the cost of
fitness of NDM-1 plasmid carriage were assessed in isogenic E. coli J53 and K.
pneumoniae PRZ in vitro by pairwise competition assays. The pathogenicity of NDM
1-expressing E. coli and K. pneumoniae strains and their isogenic controls was
analysed in vivo using a Galleria mellonella infection model. The cytotoxicity of
NDM-1 was assessed in A549 human lung epithelial cells using the lactate
dehydrogenase (LDH) assay. No differences in growth kinetics were recorded
between NDM-1-expressing strains and controls (P = 0.92). A reduction in fitness
of NDM-1-carrying strains was observed both for E. coli J53 and K. pneumoniae PRZ
[selection rate constant (s) = -1.27 +/- 0.27 for E. coli J53 and -0.19 +/- 0.14
for K. pneumoniae PRZ; P < 0.0001]. Survival of G. mellonella larvae infected
with NDM-1-expressing strains and controls was similar for E. coli J53 and K.
pneumoniae PRZ. Cytotoxicity in A549 cells was not affected by NDM-1 expression
(P > 0.05). The presence of blaNDM-1 did not increase the virulence or
cytotoxicity of isogenic strains. However, there was a considerable cost of
fitness incurred by carriage of the pNDM-1 plasmid. Interestingly, the cost of
fitness was significantly higher in E. coli J53 compared with K. pneumoniae PRZ.
PMID- 27179816
TI - Eradication and phenotypic tolerance of Burkholderia cenocepacia biofilms exposed
to atmospheric pressure non-thermal plasma.
AB - Chronic lung infection with bacteria from the Burkholderia cepacia complex (BCC),
and in particular B. cenocepacia, is associated with significant morbidity and
mortality in patients with cystic fibrosis (CF). B. cenocepacia can spread from
person to person and exhibits intrinsic broad-spectrum antibiotic resistance.
Recently, atmospheric pressure non-thermal plasmas (APNTPs) have gained
increasing attention as a novel approach to the prevention and treatment of a
variety of hospital-acquired infections. In this study, we evaluated an in-house
designed kHz-driven plasma source for the treatment of biofilms of a number of
clinical CF B. cenocepacia isolates. The results demonstrated that APNTP is an
effective and efficient tool for the eradication of B. cenocepacia biofilms but
that efficacy is highly variable across different isolates. Determination of
phenotypic differences between isolates in an attempt to understand variability
in plasma tolerance revealed that isolates which are highly tolerant to APNTP
typically produce biofilms of greater biomass than their more sensitive
counterparts. This indicates a potential role for biofilm matrix components in
biofilm tolerance to APNTP exposure. Furthermore, significant isolate-dependent
differences in catalase activity in planktonic bacteria positively correlated
with phenotypic resistance to APNTP by isolates grown in biofilms.
PMID- 27179817
TI - Impaired growth under iron-limiting conditions associated with the acquisition of
colistin resistance in Acinetobacter baumannii.
AB - Acquisition of colistin resistance in Acinetobacter baumannii has been associated
with reduced bacterial fitness and virulence, although the mechanisms underlying
this fitness loss have not been well characterised. In this study, the role
played by environmental iron levels on the growth and survival of colistin
resistant strains of A. baumannii was assessed. Growth assays with the colistin
susceptible ATCC 19606 strain and its colistin-resistant derivative RC64
[colistin minimum inhibitory concentration (MIC) of 64 mg/L] demonstrated that
the strains grew similarly in rich laboratory medium (Mueller-Hinton broth),
whereas RC64 demonstrated impaired growth compared with ATCC 19606 in human serum
(>100-fold at 24 h). Compared with RC64, ATCC 19606 grew in the presence of
higher concentrations of the iron-specific chelator 2,2'-bipyridine and grew more
readily under iron-limiting conditions in solid and liquid media. In addition,
iron supplementation of human serum increased the growth of RC64 compared with
unsupplemented human serum to a greater extent than ATCC 19606. The ability of 11
colistin-resistant clinical isolates with mutations in the pmrB gene to grow in
iron-replete and iron-limiting conditions was assessed, demonstrating that eight
of the strains showed reduced growth under iron limitation. Individual mutations
in the pmrB gene did not directly correlate with a decreased capacity for growth
under iron limitation, suggesting that mutations in pmrB may not directly produce
this phenotype. Together these results indicate that acquisition of colistin
resistance in A. baumannii can be associated with a decreased ability to grow in
low-iron environments.
PMID- 27179818
TI - Pharmacokinetic/pharmacodynamic analysis of voriconazole against Candida spp. and
Aspergillus spp. in children, adolescents and adults by Monte Carlo simulation.
AB - The objective of this study was to investigate the cumulative fraction of
response of various voriconazole dosing regimens against six Candida and six
Aspergillus spp. in immunocompromised children, immunocompromised adolescents,
and adults. Using pharmacokinetic parameters and pharmacodynamic data, 5000
subject Monte Carlo simulations (MCSs) were conducted to evaluate the ability of
simulated dosing strategies in terms of fAUC/MIC targets of voriconazole.
According to the results of the MCSs, current voriconazole dosage regimens were
all effective for children, adolescents and adults against Candida albicans,
Candida parapsilosis and Candida orthopsilosis. For adults, dosing regimens of 4
mg/kg intravenous every 12 h (q12h) and 300 mg orally q12h were sufficient to
treat fungal infections by six Candida spp. (C. albicans, C. parapsilosis,
Candida tropicalis, Candida glabrata, Candida krusei and C. orthopsilosis) and
five Aspergillus spp. (Aspergillus fumigatus, Aspergillus flavus, Aspergillus
terreus, Aspergillus niger and Aspergillus nidulans). However, high doses should
be recommended for children and adolescents in order to achieve better clinical
efficacy against A. fumigatus and A. nidulans. The current voriconazole dosage
regimens were all ineffective against A. niger for children and adolescents. All
voriconazole dosage regimens were not optimal against Aspergillus versicolor.
This is the first study to evaluate clinical therapy of various voriconazole
dosing regimens against Candida and Aspergillus spp. infections in children,
adolescents and adults using MCS. The pharmacokinetic/pharmacodynamic-based
dosing strategy provided a theoretical rationale for identifying optimal
voriconazole dosage regimens in children, adolescents and adults in order to
maximise clinical response and minimise the probability of exposure-related
toxicity.
PMID- 27179819
TI - Vesicular acetylcholine transporter knock down-mice are more susceptible to
inflammation, c-Fos expression and sickness behavior induced by
lipopolysaccharide.
AB - In addition to the well-known functions as a neurotransmitter, acetylcholine
(ACh) can modulate of the immune system. Nonetheless, how endogenous ACh release
inflammatory responses is still not clear. To address this question, we took
advantage of an animal model with a decreased ACh release due a reduction
(knockdown) in vesicular acetylcholine transporter (VAChT) expression (VAChT
KD(HOM)). These animals were challenged with lipopolysaccharide (LPS).
Afterwards, we evaluated sickness behavior and quantified systemic and cerebral
inflammation as well as neuronal activation in the dorsal vagal complex (DVC).
VAChT-KD(HOM) mice that were injected with LPS (10mg/kg) showed increased
mortality rate as compared to control mice. In line with this result, a low dose
of LPS (0.1mg/kg) increased the levels of pro-inflammatory (TNF-alpha, IL-1beta,
and IL-6) and anti-inflammatory (IL-10) cytokines in the spleen and brain of
VAChT-KD(HOM) mice in comparison with controls. Similarly, serum levels of TNF
alpha and IL-6 were increased in VAChT-KD(HOM) mice. This excessive cytokine
production was completely prevented by administration of a nicotinic receptor
agonist (0.4mg/kg) prior to the LPS injection. Three hours after the LPS
injection, c-Fos expression increased in the DVC region of VAChT-KD(HOM) mice
compared to controls. In addition, VAChT-KD(HOM) mice showed behavioral changes
such as lowered locomotor and exploratory activity and reduced social interaction
after the LPS challenge, when compared to control mice. Taken together, our
results show that the decreased ability to release ACh exacerbates systemic and
cerebral inflammation and promotes neural activation and behavioral changes
induced by LPS. In conclusion, our findings support the notion that activity of
cholinergic pathways, which can be modulated by VAChT expression, controls
inflammatory and neural responses to LPS challenge.
PMID- 27179821
TI - Religious Belief and Mental Health in Lay and Consecrated Italian Teachers.
AB - There is compelling evidence that teachers and clergy are stressful occupations.
This study aimed to compare the rate of job strain and burnout among lay and
consecrated teachers and to study the effect of religious coping on the mental
health of these two groups. A cross-sectional survey study was carried out in
Catholic kindergarten, preschool and primary schools of an Italian Congregation
of nuns, in South Italy. The Italian versions of the Maslach Burnout Inventory
Educator Survey (MBI-ES) scale, of the General Health Questionnaire (GHQ-12) and
a study-specific questionnaire with sociodemographic variables and indicators of
subjective (self-reported religiosity) and objective (prayer personal and church
attendance) religiousness were used. Data were analyzed according to the
guidelines for data processing and an analysis of the scales used. The
participation rate of this study was 88 %. There was a significant difference
between two groups in religiousness and job strain/burnout scores (lay teachers
were the most affected group). Moreover, religiousness scores had a positive
correlation with personal accomplishment, job satisfaction and perceived
performance and a negative correlation with emotional exhaustion, turnover
intention and morbidity psychiatric. Therefore, according to religious coping's
research, prayer personal and church attendance can be recommended as two of the
ways to prevent job strain and burnout in the teacher work.
PMID- 27179820
TI - Free-choice high-fat diet alters circadian oscillation of energy intake in
adolescent mice: role of prefrontal cortex.
AB - PURPOSE: Our aim was to characterize the effect of an unfamiliar high-fat diet
(HFD) on circadian feeding behaviour, plasma parameters, body weight (BW), and
gene expression in the prefrontal cortex (PFC) of adolescent male mice. To this
end, mice were allowed to consume a HFD during 48 h, but one group was allowed a
free choice of HFD or normal chow (FC-HFD), while the other was restricted to a
non-optional unfamiliar HFD feeding (NOP-HFD). METHODS: Energy intake was
monitored at 6-h intervals during 48 h. Mice cohorts were killed at 6-h intervals
after 48-h dietary treatment, and PFC samples dissected for RT-PCR analysis.
RESULTS: Mice on the FC-HFD protocol avoided eating the standard chow, showed
lower energy intake and lower BW increase than NOP-HFD mice. All animals with
access to HFD exhibited nocturnal overeating, but diurnal hyperphagia was more
prominent in the FC-HFD cohort. A robust increase in tyrosine hydroxylase (Th)
gene expression was detected specifically during the light period of the
circadian cycle in FC-HFD mice. In contrast, both protocols similarly up
regulated the expression of cytosolic malic enzyme (Me1), which is very sensitive
to HFD. CONCLUSION: Our data show that the PFC participates in driving
motivational feeding during HFD-evoked hyperphagia and also suggest that sensory
neural pathways might be relevant for the onset of eating disorders and
overweight. Moreover, we have observed that animals that had the possibility of
choosing between standard chow and HFD were more hyperphagic and specifically
displayed an overexpression of the tyrosine hydroxylase gene.
PMID- 27179822
TI - Buprenorphine and methadone treatment for opioid dependence by income, ethnicity
and race of neighborhoods in New York City.
AB - BACKGROUND: Geographic and demographic variation in buprenorphine and methadone
treatment use in U.S. cities has not been assessed. Identifying variance in
opioid maintenance is essential to improving treatment access and equity.
PURPOSE: To examine the differential uptake of buprenorphine treatment in
comparison to methadone treatment between 2004 and 2013 in neighborhoods in New
York City characterized by income, race and ethnicity. METHODS: Social area (SA)
analysis of residential zip codes of methadone and buprenorphine patients in NYC,
which aggregated zip codes into five social areas with similar percentages of
residents below poverty, identifying as Black non-Hispanic and as Hispanic, to
examine whether treatment rates differed significantly among social areas over
time. For each rate, mixed model analyses of variance were run with fixed effects
for social area, year and the interaction of social area by year. RESULTS:
Buprenorphine treatment increased in all social areas over time with a
significantly higher rate of increase in the social area with the highest income
and the lowest percentage of Black, Hispanic, and low-income residents. Methadone
treatment decreased slightly in all social areas until 2011 and then increased
bringing rates back to 2004 levels. Treatment patterns varied by social area.
CONCLUSIONS: Buprenorphine treatment rates are increasing in all social areas,
with slower uptake in moderate income mixed ethnicity areas. Methadone rates have
remained stable over time. Targeted investments to promote public sector
buprenorphine prescription may be necessary to reduce disparities in
buprenorphine treatment and to realize its potential as a public health measure.
PMID- 27179823
TI - Withdrawal exposure with withdrawal regulation training for smoking cessation: a
randomized controlled pilot trial.
AB - INTRODUCTION: Although withdrawal processes form a key motivational basis for
cigarette use, smoking cessation treatments appear to exert only modest effects
on withdrawal. One treatment option for further reducing withdrawal severity
would be to provide smokers with withdrawal regulation training. The objective of
this study was to pilot a smoking cessation intervention comprising withdrawal
exposure with withdrawal regulation training. METHODS: Adult smokers (N=80) were
randomized to one of two conditions: 1) Withdrawal Exposure with Withdrawal
Regulation Training (WT), which included the development and application of
individualized withdrawal regulation strategies over four separate sessions that
spanned the first four hours of abstinence; 2) or Relaxation Control (RC)
training, which controlled for the therapeutic contact of WT. All sessions
occurred before the quit date, after which differential treatment was
discontinued and all participants received brief counseling, nicotine replacement
therapy, and self-help literature. Biochemically-confirmed (CO<=3) seven-day
point-prevalence abstinence was assessed at Months 2 and 3 after end-of
treatment. RESULTS: Treatment completion and ratings of credibility and efficacy
were high and equivalent across conditions. 22.2% of participants in the WT
condition were abstinent at both time points, whereas 0% and 4.2% of participants
in the RC condition were abstinent at Months 2 and 3 (Month 3 OR=6.5 [0.73,
59.19]). In-session withdrawal ratings suggested WT improved regulation of
withdrawal symptoms, which were in turn associated with abstinence. CONCLUSIONS:
This small pilot study suggests that WT promotes abstinence by enhancing
withdrawal regulation. Results warrant further investigation of this innovative
treatment approach.
PMID- 27179824
TI - Identifying mortality risks in patients with opioid use disorder using brief
screening assessment: Secondary mental health clinical records analysis.
AB - BACKGROUND: Risk assessments are widely used, but their ability to predict
outcomes in opioid use disorder (OUD) treatment remains unclear. Therefore, the
aim was to investigate if addiction-specific brief risk screening is effective in
identifying high mortality risk groups and if subsequent clinical actions
following risk assessment impacts on mortality levels. METHODS: Opioid use
disorder (OUD) patients were identified in the South London and Maudsley Case
Register. Deaths were identified through database linkage to the national
mortality dataset. Cox and competing-risk regression were used to model
associations between brief risk assessment domains and all-cause and overdose
mortality in 4488 OUD patients, with up-to 6-year follow-up time where 227 deaths
were registered. Data were stratified by admission to general mental health
services. RESULTS: All-cause mortality was significantly associated with unsafe
injecting (HR 1.53, 95% CI 1.10-2.11) and clinically appraised likelihood of
accidental overdose (HR 1.48, 95% CI 1.00-2.19). Overdose-mortality was
significantly associated with unsafe injecting (SHR 2.52, 95% CI 1.11-5.70) and
clinically appraised suicidality (SHR 2.89, 95% CI 1.38-6.03). Suicidality was
associated with a twofold increase in mortality risk among OUD patients who were
not admitted to mental health services within 2 months of their risk assessment
(HR 2.03, 95% CI 1.67-3.24). CONCLUSIONS: Diagnosis-specific brief risk screening
can identify OUD patient subgroups at increased risk of all-cause and overdose
mortality. OUD patients, where suicidality is evident, who are not admitted into
services are particularly vulnerable.
PMID- 27179825
TI - Enhanced external counterpulsation (EECP) improves biomarkers of glycemic control
in patients with non-insulin-dependent type II diabetes mellitus for up to 3
months following treatment.
AB - AIMS: The purpose of the present study was to evaluate the potential clinical
benefits of EECP on glycemic parameters [fasting plasma glucose (FPG),
postprandial glucose (PPG120), glycosylated hemoglobin (HbA1c)] in patients with
a clinical diagnosis of type II diabetes mellitus (T2DM). METHODS: Thirty
subjects (60.7 +/- 1.9 years) with T2DM were randomly assigned (2:1 ratio) to
receive either 35 1-h sessions of EECP (n = 20) or time-matched control of
standard care (n = 10). FPG, PPG120, and HbA1c were evaluated before and at 48 h,
2 weeks, 3 and 6 months following EECP treatment or time-matched control.
RESULTS: EECP significantly decreased FPG (-14.6 and -12.0 %), PPG120 (-14.6 and
13.5 %), and HbA1c (-11.5 and -19.6 %) 48 h following EECP and 2 weeks following
EECP, respectively. HbA1c remained significantly reduced at 3 months following
EECP (-14.3 %). The homeostasis model assessment of insulin resistance (-31.1 %)
and whole-body composite insulin sensitivity index (+54.2 %) were significantly
improved 48 h following EECP. Nitrite/nitrate (NO x ) was significantly increased
48 h following EECP (+48.4 %) and 2 weeks (+51.9 %) following EECP treatment.
CONCLUSIONS: Our findings provide novel evidence that EECP improves glycemic
control in patients with T2DM that persist for up to 3 months following
treatment.
PMID- 27179826
TI - Evolving Challenges for Targeting Metabolic Abnormalities in Heart Failure.
PMID- 27179827
TI - Trends in the Use of Guideline-Directed Therapies Among Dialysis Patients
Hospitalized With Systolic Heart Failure: Findings From the American Heart
Association Get With The Guidelines-Heart Failure Program.
AB - OBJECTIVES: The purpose of this study was to determine the temporal trends in the
adherence to heart failure (HF)-related process of care measures and clinical
outcomes among patients with acute decompensated HF with reduced ejection
fraction (HFrEF) and end-stage renal disease (ESRD). BACKGROUND: Previous studies
have demonstrated significant underuse of evidence-based HF therapies among
patients with coexisting ESRD and HFrEF. However, it is unclear if the
proportional use of evidence-based medical therapies and associated clinical
outcomes among these patients has changed over time. METHODS: Get With The
Guidelines-HF study participants who were admitted for acute HFrEF between
January 2005 and June 2014 were stratified into 3 groups on the basis of their
admission renal function: normal renal function, renal insufficiency without
dialysis, and dialysis. Temporal change in proportional adherence to the HF
related process of care measures and incidence of clinical outcomes (1-year
mortality, HF hospitalization, and all-cause hospitalization) during the study
period was evaluated across the 3 renal function groups. RESULTS: The study
included 111,846 patients with HFrEF from 390 participating centers, of whom 19%
had renal insufficiency but who did not require dialysis, and 3% were on
dialysis. There was a significant temporal increase in adherence to evidence
based medical therapies (angiotensin-converting enzyme inhibitor/angiotensin
receptor blocker: p trend <0.0001, beta-blockers: p trend = 0.0089; post
discharge follow-up referral: p trend <0.0001) and defect-free composite care (p
trend <0.0001) among dialysis patients. An improvement in adherence to these
measures was also observed among patients with normal renal function and patients
with renal insufficiency without a need for dialysis. There was no significant
change in cumulative incidence of clinical outcomes over time among the HF
patients on dialysis. CONCLUSIONS: In a large contemporary cohort of HFrEF
patients with ESRD, adherence to the HF process of care measures has improved
significantly over the past 10 years. Unlike patients with normal renal function,
there was no significant change in 1-year clinical outcomes over time among HF
patients on dialysis.
PMID- 27179828
TI - Right Ventricular Contractile Reserve and Pulmonary Circulation Uncoupling During
Exercise Challenge in Heart Failure: Pathophysiology and Clinical Phenotypes.
AB - OBJECTIVES: Right ventricular (RV) exercise contractile reserve (RVECR), its
phenotypes, and its functional correlates are among the unresolved issues with
regard to the role of the right ventricle in heart failure (HF) syndrome, and
understanding these issues constitutes the objective of this study. BACKGROUND:
Although the role of the right ventricle in HF syndrome might be fundamental, the
pathophysiology of the failing right ventricle has not been extensively
investigated. METHODS: Ninety-seven patients with HF (mean age 64 years, 70% men,
mean left ventricular ejection fraction 33 +/- 10%) underwent maximal exercise
stress echocardiographic and cardiopulmonary exercise testing. RVECR and RV-to
pulmonary circulation (PC) coupling were assessed using the length-force
relationship (tricuspid annular plane systolic excursion [TAPSE] vs. pulmonary
artery systolic pressure) and the slope of mean pulmonary artery pressure versus
cardiac output. On the basis of TAPSE, patients were categorized into 3 groups:
those with TAPSE at rest >=16 mm (group A, n = 60) and those with TAPSE at rest
<16 mm, who were divided according to median TAPSE at peak exercise (15.5 mm)
into 2 subgroups (group B, >=15.5 mm, n = 19; group C, <15.5 mm, n = 18).
RESULTS: Although they had similar left ventricular ejection fractions and rest
RV impairment, compared with patients in group C, those in group B showed some
degree of RVECR (upward shift of the length-force relationship), better RV-to-PC
coupling (lower mean pulmonary artery pressure vs. cardiac output slope), and
greater ventilatory efficiency (lower slope of minute ventilation to carbon
dioxide output). Rest mitral regurgitation and net changes in pulmonary artery
systolic pressure were the variables retained in the best regression model as
correlates of RVECR. CONCLUSIONS: In patients with HF, RVECR unmasks different
phenotypes. Impaired RV function at rest might not invariably lead to unfavorable
RVECR and exercise RV-to-PC coupling. Testing these variables appears useful even
in more advanced stages of HF to define various clinical conditions and, most
likely, to define different levels of risk.
PMID- 27179829
TI - Skeletal Muscle Mitochondrial Content, Oxidative Capacity, and Mfn2 Expression
Are Reduced in Older Patients With Heart Failure and Preserved Ejection Fraction
and Are Related to Exercise Intolerance.
AB - OBJECTIVES: The aim of this study was to examine skeletal muscle mitochondria
content, oxidative capacity, and the expression of key mitochondrial dynamics
proteins in patients with heart failure with preserved ejection fraction (HFpEF),
as well as to determine potential relationships with measures of exercise
performance. BACKGROUND: Multiple lines of evidence indicate that severely
reduced peak exercise oxygen uptake (peak VO2) in older patients with HFpEF is
related to abnormal skeletal muscle oxygen utilization. Mitochondria are key
regulators of skeletal muscle metabolism; however, little is known about how
these organelles are affected in HFpEF. METHODS: Both vastus lateralis skeletal
muscle citrate synthase activity and the expression of porin and regulators of
mitochondrial fusion were examined in older patients with HFpEF (n = 20) and
healthy, age-matched control subjects (n = 17). RESULTS: Compared with age
matched healthy control subjects, mitochondrial content assessed by porin
expression was 46% lower (p = 0.01), citrate synthase activity was 29% lower (p =
0.01), and Mfn2 (mitofusin 2) expression was 54% lower (p <0.001) in patients
with HFpEF. Expression of porin was significantly positively correlated with both
peak VO2 and 6-min walk distance (r = 0.48, p = 0.003 and r = 0.33, p = 0.05,
respectively). Expression of Mfn2 was also significantly positively correlated
with both peak VO2 and 6-min walk distance (r = 0.40, p = 0.02 and r = 0.37, p =
0.03 respectively). CONCLUSIONS: These findings suggest that skeletal muscle
oxidative capacity, mitochondrial content, and mitochondrial fusion are abnormal
in older patients with HFpEF and might contribute to their severe exercise
intolerance.
PMID- 27179831
TI - Myocardial Structural and Functional Response After Long-Term Mechanical
Unloading With Continuous Flow Left Ventricular Assist Device: Axial Versus
Centrifugal Flow.
AB - OBJECTIVES: The aim of this study was to assess the impact of continuous-flow
left ventricular assist device (LVAD) type-axial flow (AX) versus centrifugal
flow (CR)-on myocardial structural and functional response following mechanical
unloading. BACKGROUND: The use of continuous-flow LVADs is increasing steadily as
a therapeutic option for patients with end-stage heart failure who are not
responsive to medical therapy. Whether the type of mechanical unloading
influences the myocardial response is yet to be determined. METHODS: A total of
133 consecutive patients with end-stage heart failure implanted with continuous
flow LVADs (AX, n = 107 [HeartMate II Thoratec Corporation, Pleasanton,
California]; CR, n = 26 [HeartWare, HeartWare International, Framingham,
Massachusetts]) were prospectively studied. Echocardiograms were obtained pre
LVAD implantation and then serially at 1, 2, 3, 4, 6, 9, and 12 months post
implantation. RESULTS: The 2 pump types led to similar degrees of mechanical
unloading as assessed by invasive hemodynamic status and frequency of aortic
valve opening. Myocardial structural and functional parameters showed significant
improvement post-LVAD in both AX and CR groups. Left ventricular ejection
fraction increased significantly from a mean of 18% to 28% and 26% post-LVAD in
the AX and CR groups, respectively. Left ventricular end-systolic volume index
and left ventricular end-diastolic volume index decreased significantly as early
as 30 days post-implantation in the 2 groups. The degree of myocardial structural
or functional response between patients in the AX or CR groups appeared to be
comparable. CONCLUSIONS: Long-term mechanical unloading induced by AX and CR
LVADs, while operating within their routine clinical range, seems to exert
comparable effects on myocardial structural and functional parameters.
PMID- 27179830
TI - The Importance of Worsening Heart Failure in Ambulatory Patients: Definition,
Characteristics, and Effects of Amino-Terminal Pro-B-Type Natriuretic Peptide
Guided Therapy.
AB - OBJECTIVES: The goal of this study was to define and assess the significance of
worsening heart failure (WHF) in patients with chronic ambulatory heart failure
with reduced ejection fraction (HFrEF). BACKGROUND: WHF has been identified as a
potentially relevant clinical event in patients with acute heart failure (HF) and
is increasingly used as an endpoint in clinical trials. No standardized
definition of WHF exists. It remains uncertain how WHF relates to risk for other
HF events or how treatment may affect WHF. METHODS: A total of 151 symptomatic
patients with chronic HFrEF were randomized to standard of care HF management or
a goal to lower N-terminal pro-B-type natriuretic peptide (NT-proBNP)
concentrations <=1,000 pg/ml in addition to standard of care. WHF was
prospectively defined as: 1) new or progressive symptoms and/or signs of
decompensated HF; and 2) unplanned intensification of diuretic therapy. RESULTS:
Over a mean follow-up of 10 months, 45 subjects developed WHF. At baseline,
patients developing incident WHF had higher ejection fraction (31% vs. 25%; p =
0.03), were more likely to have jugular venous distension and edema (p < 0.02),
were less likely to receive angiotensin-converting enzyme inhibitors or received
these agents at lower doses (p < 0.04), and also received higher loop diuretic
doses (p < 0.001). Occurrence of WHF was strongly associated with subsequent HF
hospitalization/cardiovascular death (hazard ratio, landmark analysis: 18.8; 95%
confidence interval: 5.7 to 62.5; p < 0.001). NT-proBNP-guided care reduced the
incidence of WHF in adjusted analyses (hazard ratio: 0.52; p = 0.06) and improved
event-free survival (log-rank test p = 0.04). CONCLUSIONS: In chronic HFrEF, WHF
was associated with substantial risk for morbidity and mortality. NT-proBNP
guided care reduced risk for WHF.
PMID- 27179832
TI - Brain Natriuretic Peptide Treatment and Heart Failure Prevention: Reliving the
Mistakes of the Past or Charting a New Course for the Future?
PMID- 27179833
TI - A Suprainstitutional Network for Remote Extracorporeal Life Support: A
Retrospective Cohort Study.
AB - OBJECTIVES: This study sought to evaluate patient outcome within the Dusseldorf
Extracorporeal Life Support (ECLS) Network, a suprainstitutional network for
rapid-response remote ECLS and to define survival-based predictors. BACKGROUND:
Mobile venoarterial extracorporeal membrane oxygenation (vaECMO) used for ECLS
has become a treatment option for a patient population with an otherwise fatal
prognosis. However, outcome data remain scarce and institutional standards
required to manage these patients are still poorly defined. METHODS: This
retrospective cohort study analyzes the outcome of 115 patients consecutively
treated between July 2011 and October 2014 within the Dusseldorf ECLS Network due
to refractory circulatory failure. RESULTS: Of the 115 patients (56 +/- 15 years
of age, vaECMO initiation under cardiopulmonary resuscitation [CPR] 77%, CPR
duration 45 [range 5 to 90] min), 50 patients (44%) survived to primary discharge
and 38 patients (33%) were alive after a median follow-up of 1.5 years (95%
confidence interval [CI]: 1.2 to 1.7). Thirty-seven (97%) of the long-term
survivors showed a favorable neurological outcome. Risk factors associated with
mortality during vaECMO were CPR duration (hazard ratio [HR]: 1.006; 95% CI: 1.00
to 1.01) and ischemic stroke (HR: 2.63; 95% CI: 1.52 to 4.56). Risk factors
associated with mortality after vaECMO weaning were renal failure (HR: 6.60; 95%
CI: 2.72 to 16.01) and sepsis (HR: 3.6; 95% CI: 1.50 to 8.69). Visceral ischemia
had a negative impact (HR: 0.30; 95% CI: 0.11 to 0.84) whereas assist device
implantation promoted successful vaECMO weaning (HR: 2.95; 95% CI: 1.65 to 5.25).
Further, 3 distinct risk groups with significant differences in survival could be
identified, demonstrating that in patients with no or short CPR mortality was not
conditioned by age, whereas in patients with prolonged CPR young age was
associated with increased survival. CONCLUSIONS: This study illustrates the
implementation of a suprainstitutional ECLS Network. Further, our data suggest
that mobile vaECMO is beneficial for a larger patient population than actually
expected, especially regarding young patients presenting with prolonged CPR or
patients regardless of age with no or short CPR.
PMID- 27179834
TI - Plasma Corin as a Predictor of Cardiovascular Events in Patients With Chronic
Heart Failure.
AB - OBJECTIVES: The aim of this study was to determine the prognostic value of plasma
corin in patients with chronic heart failure (CHF). BACKGROUND: In recent years,
accumulating evidence has indicated that corin plays a critical role in
regulating blood pressure and cardiac function. METHODS: We enrolled 1,148
consecutive CHF patients in a prospective cohort study and explored the
association between plasma corin levels and clinical prognosis using multivariate
Cox regression analysis. RESULTS: Patients with low corin levels (<458 pg/ml)
were more likely to be women and to be hypertensive. Low corin was found to be
associated with an increase in New York Heart Association (NYHA) functional class
and N-terminal pro-B-type natriuretic peptide (NT-proBNP) levels, and a decrease
in left ventricular ejection fraction (LVEF) and the estimated glomerular
filtration rate (eGFR). Multivariate Cox regression analysis suggested that log
corin was an independent predictor of major adverse cardiac event(s) (MACE)
(hazard ratio: 0.62; 95% confidence interval: 0.39 to 0.95), together with age,
diabetes, NYHA functional class, LVEF, eGFR, and log NT-proBNP. In addition, log
corin was also a significant predictor for cardiovascular death (p = 0.041) and
heart failure rehospitalization (p = 0.015) after adjustment for clinical
variables and established biomarkers of adverse prognosis. The Kaplan-Meier
survival curves showed that low corin was a significant predictor of MACE in
patients with NT-proBNP levels above and below the median. CONCLUSIONS: Our study
demonstrates that plasma corin is a valuable prognostic marker of MACE in
patients with CHF, independent of established conventional risk factors.
PMID- 27179835
TI - Clinical Implications of Intrarenal Hemodynamic Evaluation by Doppler
Ultrasonography in Heart Failure.
AB - OBJECTIVES: This study clarified the characteristics of intrarenal Doppler
ultrasonography (IRD) profiles and their prognostic implications in heart failure
(HF). BACKGROUND: IRD can assess intrarenal hemodynamics. METHODS: Initially, 224
patients with HF were prospectively enrolled; 151 inpatients were enrolled during
hospitalization for HF, and 73 were outpatients in our institution. In IRD
profiles of interlobar vessels, the arterial resistance index (RI), venous
impedance index (VII), and intrarenal venous flow (IRVF) pattern were assessed.
Patients were followed to evaluate the associations with 1-year prognosis.
Primary endpoints included death from cardiovascular disease and unplanned
hospitalization for HF. RESULTS: Finally, 217 patients with adequate IRD images
were enrolled. IRD profiles were associated with conventional risk factors for
HF. In particular, IRVF was associated with mean right atrial pressure (RAP); 3
IRVF patterns were stratified by RAP (in a continuous pattern: 5.4 +/- 2.5; in a
biphasic pattern: 9.5 +/- 3.5; and in a monophasic pattern: 14.9 +/- 4.3 mm Hg; p
< 0.001). In addition, the monophasic IRVF pattern had a poorer prognosis than
the other patterns (log rank p < 0.001), and prognosis was poorer for the
biphasic pattern than for the continuous flow pattern (log rank p = 0.01).
Multivariate Cox proportional hazard model analysis revealed that IRVF patterns
were associated with the endpoints, independent of other HF risk factors.
CONCLUSIONS: IRVF patterns, rather than RI, depended on RAP, suggesting a
correlation with renal congestion. In addition, IRVF patterns strongly correlated
with clinical outcomes independent of RAP and other risk factors and might
provide additional information to stratify vulnerable HF patients.
PMID- 27179836
TI - Does Survival on the Heart Transplant Waiting List Depend on the Underlying Heart
Disease?
AB - OBJECTIVES: The aim of this study was to identify differences in survival on the
basis of type of heart disease while awaiting orthotopic heart transplantation
(OHT). BACKGROUND: Patients with restrictive cardiomyopathy (RCM), congenital
heart disease (CHD), or hypertrophic cardiomyopathy (HCM) may be at a
disadvantage while awaiting OHT because they often are poor candidates for
mechanical circulatory support and/or inotropes. METHODS: The study included all
adults in the Scientific Registry of Transplant Recipients database awaiting OHT
from 2004 to 2014, and outcomes were evaluated on the basis of type of heart
disease. The primary endpoint was time to all-cause mortality, censored at last
patient follow-up and time of transplantation. Multivariate Cox proportional
hazards modeling was performed to evaluate survival by type of cardiomyopathy.
RESULTS: There were 14,447 patients with DCM, 823 with RCM, 11,799 with ischemic
cardiomyopathy (ICM), 602 with HCM, 964 with CHD, 584 with valvular disease, and
1,528 in the "other" category (including 1,216 for retransplantation). During
median follow-up of 3.7 months, 4,943 patients died (1,253 women, 3,690 men).
After adjusting for possible confounding variables including age, renal function,
inotropes, mechanical ventilation, and mechanical circulatory support, the
adjusted hazard ratios by diagnoses relative to DCM were 1.70 for RCM (95%
confidence interval [CI]: 1.43 to 2.02), 1.10 for ICM (95% CI: 1.03 to 1.18),
1.23 for HCM (95% CI: 0.98 to 1.54), 1.30 for valvular disease (95% CI: 1.07 to
1.57), 1.37 for CHD (95% CI: 1.17 to 1.61), and 1.51 for "other" diagnoses (95%
CI: 1.34 to 1.69). Sex was a significant modifier of mortality for ICM, RCM, and
"other" diagnoses (p < 0.05 for interaction). CONCLUSIONS: In the United States,
patients with RCM, CHD, or prior heart transplantation had a higher risk for
death while awaiting OHT than patients with DCM, ICM, HCM, or valvular heart
disease.
PMID- 27179837
TI - Lymphangiography is a diagnostic and therapeutic intervention for patients with
plastic bronchitis after the Fontan operation.
PMID- 27179839
TI - "Some people see innovation as change, but we have never really seen it like
that. It's understanding things and making them better." Adapted from Tim Cook,
Chief Executive Officer of Apple.
PMID- 27179838
TI - Safety and feasibility of near-infrared image-guided lymphatic mapping of
regional lymph nodes in esophageal cancer.
AB - OBJECTIVE: To assess safety and feasibility of an intraoperative, minimally
invasive near-infrared (NIR) image-guided approach to lymphatic mapping in
patients with esophageal cancer. METHODS: Although local lymph nodes (LNs) are
removed with the esophageal specimen, no techniques are available to identify the
regional LNs (separate from the esophagus) during esophagectomy. We hypothesize
that NIR imaging can identify regional LNs with the potential to improve staging
and guide the extent of lymphadenectomy. Nine of the 10 patients enrolled had
resectable esophageal adenocarcinoma and underwent NIR mapping following
peritumoral submucosal injection of indocyanine green (ICG) alone or premixed in
human serum albumin (ICG:HSA) before resection. NIR imaging was performed in situ
and ex vivo. RESULTS: In 6 of the 10 patients, intraoperative NIR imaging
demonstrated an NIR signal at all tumors and in 2 to 6 NIR(+) regional LNs.
NIR(+) LNs were not identified in 4 patients: 1 patient with occult stage IV
disease, for whom further imaging was not performed and thus was excluded from
analysis, and 3 patients in whom ICG was used without HSA. Identification of
local LNs on the esophagus was obscured by a peritumoral background. Importantly,
the pathological status of NIR(+) regional LNs reflected overall regional nodal
status. CONCLUSIONS: NIR lymphatic mapping is safe and feasible in patients with
esophageal cancer and can identify regional LNs when ICG:HSA is used. Although
more work is needed to improve background signals and local LN identification,
intraoperative detection of regional NIR(+) LNs allows an in-depth histological
analysis of LN basins not commonly scrutinized as part of the specimen and may
improve the detection of occult nodal disease.
PMID- 27179840
TI - Constriction, effusion, or both: Radical pericardiectomy with improved outcomes.
PMID- 27179841
TI - More or less: Sometimes more is more if it's the lesser of evils.
PMID- 27179842
TI - The lung torsion dilemma: Detorsion without resection or resection without
detorsion?
PMID- 27179843
TI - How many leaflets in the mitral valve?
PMID- 27179844
TI - Transfemoral transcatheter valve-in-valve-in-valve replacement.
PMID- 27179845
TI - Don't sweat it: Medical management of hyperhidrosis.
PMID- 27179846
TI - Multiple arterial grafting: Please don't confuse me with the facts.
PMID- 27179847
TI - Effect of Noninvasive Ventilation Delivered by Helmet vs Face Mask on the Rate of
Endotracheal Intubation in Patients With Acute Respiratory Distress Syndrome: A
Randomized Clinical Trial.
AB - IMPORTANCE: Noninvasive ventilation (NIV) with a face mask is relatively
ineffective at preventing endotracheal intubation in patients with acute
respiratory distress syndrome (ARDS). Delivery of NIV with a helmet may be a
superior strategy for these patients. OBJECTIVE: To determine whether NIV
delivered by helmet improves intubation rate among patients with ARDS. DESIGN,
SETTING, AND PARTICIPANTS: Single-center randomized clinical trial of 83 patients
with ARDS requiring NIV delivered by face mask for at least 8 hours while in the
medical intensive care unit at the University of Chicago between October 3, 2012,
through September 21, 2015. INTERVENTIONS: Patients were randomly assigned to
continue face mask NIV or switch to a helmet for NIV support for a planned
enrollment of 206 patients (103 patients per group). The helmet is a transparent
hood that covers the entire head of the patient and has a rubber collar neck
seal. Early trial termination resulted in 44 patients randomized to the helmet
group and 39 to the face mask group. MAIN OUTCOMES AND MEASURES: The primary
outcome was the proportion of patients who required endotracheal intubation.
Secondary outcomes included 28-day invasive ventilator-free days (ie, days alive
without mechanical ventilation), duration of ICU and hospital length of stay, and
hospital and 90-day mortality. RESULTS: Eighty-three patients (45% women; median
age, 59 years; median Acute Physiology and Chronic Health Evaluation [APACHE] II
score, 26) were included in the analysis after the trial was stopped early based
on predefined criteria for efficacy. The intubation rate was 61.5% (n = 24) for
the face mask group and 18.2% (n = 8) for the helmet group (absolute difference,
43.3%; 95% CI, -62.4% to -24.3%; P < .001). The number of ventilator-free days
was significantly higher in the helmet group (28 vs 12.5, P < .001). At 90 days,
15 patients (34.1%) in the helmet group died compared with 22 patients (56.4%) in
the face mask group (absolute difference, -22.3%; 95% CI, -43.3 to -1.4; P =
.02). Adverse events included 3 interface-related skin ulcers for each group (ie,
7.6% in the face mask group had nose ulcers and 6.8% in the helmet group had neck
ulcers). CONCLUSIONS AND RELEVANCE: Among patients with ARDS, treatment with
helmet NIV resulted in a significant reduction of intubation rates. There was
also a statistically significant reduction in 90-day mortality with helmet NIV.
Multicenter studies are needed to replicate these findings. TRIAL REGISTRATION:
clinicaltrials.gov Identifier: NCT01680783.
PMID- 27179848
TI - A Validation Study for the Use of ROS1 Immunohistochemical Staining in Screening
for ROS1 Translocations in Lung Cancer.
AB - INTRODUCTION: The presence of ROS proto-oncogene 1, receptor tyrosine kinase gene
(ROS1) rearrangements in lung cancers confers sensitivity to ROS kinase
inhibitors, including crizotinib. However, they are rare abnormalities (in ~1% of
non-small cell lung carcinomas) that are typically identified by fluorescence in
situ hybridization (FISH), and so screening using immunohistochemical (IHC)
staining would be both cost- and time-efficient. METHODS: A cohort of lung tumors
negative for other common mutations related to targeted therapies were screened
to assess the sensitivity and specificity of IHC staining in detecting ROS1 gene
rearrangements, enriched by four other cases first identified by FISH. A review
of published data was also undertaken. RESULTS: IHC staining was 100% sensitive
(95% confidence interval: 48-100) and 83% specific (95% confidence interval: 86
100) overall when an h-score higher than 100 was used. Patients with ROS1 gene
rearrangements were younger and typically never-smokers, with the tumors all
being adenocarcinomas with higher-grade architectural features and focal signet
ring morphologic features (two of five). Four patients treated with crizotinib
showed a partial response, with three also showing a partial response to
pemetrexed. Three of four patients remain alive at 13, 27, and 31 months,
respectively. CONCLUSION: IHC staining can be used to screen for ROS1 gene
rearrangements, with patients herein showing a response to crizotinib. Patients
with tumors that test positive according to IHC staining but negative according
to FISH were also identified, which may have implications for treatment
selection.
PMID- 27179850
TI - Effects of partial replacement of corn and alfalfa silage with tall fescue hay on
total-tract digestibility and lactation performance in dairy cows.
AB - Our objective was to evaluate the effects of replacing either corn or alfalfa
silage with tall fescue hay on total-tract neutral detergent fiber (NDF)
digestibility and lactation performance in dairy cows. Twenty-four primiparous
(75+/-35 d in milk) and 40 multiparous (68+/-19 d in milk) Holstein cows were
blocked by parity and randomly assigned to 1 of 4 treatment groups in a pen
equipped with 32 feeding gates to record intake by cow. Each gate was randomly
assigned to 1 treatment group; thus, each cow had access to all 8 gates within
the respective treatment and cow was the experimental unit. Treatments were
formulated to replace either corn silage (CS) or alfalfa silage (AS) with tall
fescue hay (TF) as follows (DM basis): 33% AS and 67% CS (control; 33AS67CS), 60%
TF and 40% AS (60TF40AS), 60% TF and 40% CS (60TF40CS), and 33% TF and 67% CS
(33TF67CS). The experiment was a 7-wk continuous lactation trial with a 2-wk
covariate period. Milk production did not differ among treatments and averaged
40.4 kg/d. Fat yield and concentration and protein yield and concentration did
not differ among treatments and averaged 1.58 kg/d, 3.94%, 1.28 kg/d, and 3.15%,
respectively. Dry matter intake was greater for 33AS67CS (24.5 kg/d) compared
with 60TF40CS (22.1 kg/d) and 33TF67CS (22.7 kg/d), and tended to be greater than
60TF40AS (23.2 kg/d). In vivo total-tract dry matter digestibility did not differ
among treatments and averaged 66.2%. In vivo total-tract NDF digestibility was
lower for 33AS67CS (37.8%) compared with 60TF40AS (44.4%) and 33TF67CS (45.3%),
and similar to 60TF40CS (42.4%). In vivo total-tract NDF digestibility and an
estimate of in situ total-tract NDF digestibility were similar between techniques
across all treatment diets (42.3 vs. 42.6%, respectively). Inclusion of tall
fescue grass hay increased the total-tract NDF digestibility of the diet and has
the potential to replace corn silage and alfalfa silage and maintain milk
production if economically feasible based on current market prices.
PMID- 27179851
TI - Effectiveness of rubber seed oil and flaxseed oil to enhance the alpha-linolenic
acid content in milk from dairy cows.
AB - This experiment was conducted to investigate effect of rubber seed oil compared
with flaxseed oil when fed alone or in combination on milk yield, milk
composition, and alpha-linolenic acid (ALA) concentration in milk of dairy cows.
Forty-eight mid-lactation Holstein dairy cows were randomly assigned to 1 of 4
treatments according to a completely randomized design. Cows were fed a basal
diet (control; CON) or a basal diet supplemented with 4% rubber seed oil (RO), 4%
flaxseed oil (FO), or 2% rubber seed oil plus 2% flaxseed oil (RFO) on a dry
matter basis for 9 wk. Feed intake, milk protein percentage, and milk fat levels
did not differ between the treatments. Cows fed the RO, FO, or RFO treatments had
a higher milk yield than the CON group (up to 10.5% more), whereas milk fat
percentages decreased. Compared with the CON, milk concentration of ALA was
substantially higher in cows receiving RO or RFO, and was doubled in cows
receiving FO. The ALA yield (g/d) increased by 31.0, 70.3, and 33.4% in milk from
cows fed RO, FO, or RFO, respectively, compared with the CON. Both C18:1 trans-11
(vaccenic acid) and C18:2 cis-9,trans-11 (conjugated linoleic acid; CLA) levels
were higher in cows fed added flaxseed or rubber seed oil. The CLA yield (g/d)
increased by 336, 492, and 484% in cows fed RO, FO, or RFO, respectively,
compared with the CON. The increase in vaccenic acid, ALA, and CLA was greater in
cows fed RFO than in cows fed RO alone. Compared with the CON, the milk fat from
cows fed any of the dietary supplements had a higher concentration of unsaturated
fatty acids, monounsaturated fatty acids, and polyunsaturated fatty acids;
conversely, the saturated fatty acids levels in milk fat were 30.5% lower.
Insulin and growth hormones were not affected by dietary treatments; however, we
noted an increase in both cholesterol and nonesterified fatty acids levels in the
RO, FO, or RFO treatments. These results indicate that rubber seed oil and
flaxseed oil will increase milk production and the concentration of functional
fatty acids (ALA, vaccenic acid, and CLA) in milk fat while decreasing the
content of saturated fatty acids.
PMID- 27179852
TI - Effects of milk somatic cell counts on some physicochemical and functional
characteristics of skim and whole milk powders.
AB - The aim of this work was to study the influence of milk somatic cell count (SCC)
levels on spray-dried milk powders. For this reason, 3 cow milks with different
SCC (<300,000, 300,000-700,000, >700,000 SCC/mL) were processed into skim (SMP)
and whole milk powder (WMP). The effect of SCC on the physicochemical and
functional characteristics of the milk powders and textural properties of set
type yogurts produced from reconstituted milk powders with different SCC was
evaluated. A crucial difference was noted between milk powders depending on
different SCC. Protein values and ash content of powder samples decreased
correlatively with increasing SCC. The hydroxymethylfurfural content of SMP was
higher than WMP. We noted an increase in hydroxymethylfurfural content of both
SMP and WMP depending on elevated SCC. Solubility index of SMP and WMP was 1.280
to 1.632 and 0.940 to 1.208mL, respectively; with increasing SCC, solubility
index was affected adversely. The highest foam stability was determined in SMP
containing >700,000 SCC. Bulk density of SMP and WMP was between 0.682 and 0.708
and 0.660 to 0.685g/cm(3), respectively. An increase was observed in scorched
particle of both SMP and WMP depending on increasing SCC. We found significant
differences in particle size distribution of milk powders produced from milk with
SCC at different levels. Although WMP had more uniform and big particle
structure, SMP had more specific area. A negative correlation was noted between
yogurt texture and SCC. Results indicate that milk SCC has negative influences on
milk powder quality.
PMID- 27179853
TI - Transcriptome expression analysis of candidate milk genes affecting cheese
related traits in 2 sheep breeds.
AB - Because ewe milk is principally used for cheese making, its quality is related to
its content of total solids and the way in which milk constituents influence
cheese yield and determine the technological and organoleptic characteristics of
dairy products. Therefore, an in-depth knowledge of the expression levels of milk
genes influencing cheese-related traits is essential. In the present study, the
milk transcriptome data set of 2 dairy sheep breeds, Assaf and Spanish Churra,
was used to evaluate the expression levels of 77 transcripts related to cheese
yield and quality traits. For the comparison between both breeds, we selected the
RNA sequencing (RNA-Seq) data at d 10 of lactation because this is the time point
at which within and between breed differences due to lactation length are
minimal. The evaluated genes encode major milk proteins (caseins and whey
proteins), endogenous proteases, and enzymes related to fatty acid metabolism and
citrate content. Through this analysis, we identified the genes predominantly
expressed in each of the analyzed pathways that appear to be key genes for traits
related to sheep milk cheese. Among the highly expressed genes in both breeds
were the genes encoding caseins and whey proteins (CSN2, CSN3, CSN1S1,
ENSOARG00000005099/PAEP, CSN1S2, LALBA), genes related to lipid metabolism
(BTN1A1, XDH, FASN, ADFP, SCD, H-FABP, ACSS2), and one endogenous protease
(CTSB). Moreover, a differential expression analysis between Churra and Assaf
sheep allowed us to identify 7 genes that are significantly differentially
expressed between the 2 breeds. These genes were mainly linked to endogenous
protease activity (CTSL, CTSK, KLK10, KLK6, SERPINE2). Additionally, there were 2
differentially expressed genes coding for an intracellular fatty acid transporter
(FABP4), an intermediate molecule of the citric acid cycle (SUCNR1), and 2 heat
shock proteins (HSP70, HSPB8) that could be related to high protein production.
The differential expression of these genes could have a direct influence on the
different phenotypes observed between the 2 analyzed breeds.
PMID- 27179854
TI - Structural markers of the evolution of whey protein isolate powder during aging
and effects on foaming properties.
AB - The market for dairy powders, including high added-value products (e.g., infant
formulas, protein isolates) has increased continuously over the past decade.
However, the processing and storage of whey protein isolate (WPI) powders can
result in changes in their structural and functional properties. It is therefore
of great importance to understand the mechanisms and to identify the structural
markers involved in the aging of WPI powders to control their end use properties.
This study was performed to determine the effects of different storage conditions
on protein lactosylations, protein denaturation in WPI, and in parallel on their
foaming and interfacial properties. Six storage conditions involving different
temperatures (theta) and water activities (aw) were studied for periods of up to
12mo. The results showed that for theta<=20 degrees C, foaming properties of
powders did not significantly differ from nonaged whey protein isolates
(reference), regardless of the aw. On the other hand, powders presented
significant levels of denaturation/aggregation and protein modification involving
first protein lactosylation and then degradation of Maillard reaction products,
resulting in a higher browning index compared with the reference, starting from
the early stage of storage at 60 degrees C. These changes resulted in a higher
foam density and a slightly better foam stability (whisking) at 6mo. At 40
degrees C, powders showed transitional evolution. The findings of this study will
make it possible to define maximum storage durations and to recommend optimal
storage conditions in accordance with WPI powder end-use properties.
PMID- 27179855
TI - Short communication: Chemical and sensory characteristics of Canestrato di
Moliterno cheese manufactured in spring.
AB - Canestrato di Moliterno is an Italian Protected Geographical Indication hard
cheese, made in winter and spring from a mixture of ewe and goat milks, that has
been poorly investigated. The present study was aimed at characterizing the
cheese made in the warm season. Two series of samples, ripened in traditional
rooms called fondaco as indicated in the official protocol of production, were
taken from the main certified producers. The cheeses were analyzed for gross
composition; proteolysis and lipolysis; volatile fraction; and organoleptic
features. Gross composition was not completely homogeneous among the samples, but
primary proteolysis and lipolysis were quite uniform. We observed variations in
secondary proteolysis, likely caused by fluctuations in environmental conditions
in the fondaco. The sensory profiles of the samples were homogeneous: the cheese
was soluble, greasy, and adhesive, with a sheepfold and buttery odor. The main
taste attributes were fermented, pungent, and bitter. Overall, the results of
this study provide an initial contribution to the characterization of Canestrato
di Moliterno, and could be used to improve marketing strategies.
PMID- 27179849
TI - Effect of Endobronchial Coils vs Usual Care on Exercise Tolerance in Patients
With Severe Emphysema: The RENEW Randomized Clinical Trial.
AB - IMPORTANCE: Preliminary clinical trials have demonstrated that endobronchial
coils compress emphysematous lung tissue and may improve lung function, exercise
tolerance, and symptoms in patients with emphysema and severe lung
hyperinflation. OBJECTIVE: To determine the effectiveness and safety of
endobronchial coil treatment. DESIGN, SETTING, AND PARTICIPANTS: Randomized
clinical trial conducted among 315 patients with emphysema and severe air
trapping recruited from 21 North American and 5 European sites from December 2012
through November 2015. INTERVENTIONS: Participants were randomly assigned to
continue usual care alone (guideline based, including pulmonary rehabilitation
and bronchodilators; n = 157) vs usual care plus bilateral coil treatment (n =
158) involving 2 sequential procedures 4 months apart in which 10 to 14 coils
were bronchoscopically placed in a single lobe of each lung. MAIN OUTCOMES AND
MEASURES: The primary effectiveness outcome was difference in absolute change in
6-minute-walk distance between baseline and 12 months (minimal clinically
important difference [MCID], 25 m). Secondary end points included the difference
between groups in 6-minute walk distance responder rate, absolute change in
quality of life using the St George's Respiratory Questionnaire (MCID, 4) and
change in forced expiratory volume in the first second (FEV1; MCID, 10%). The
primary safety analysis compared the proportion of participants experiencing at
least 1 of 7 prespecified major complications. RESULTS: Among 315 participants
(mean age, 64 years; 52% women), 90% completed the 12-month follow-up. Median
change in 6-minute walk distance at 12 months was 10.3 m with coil treatment vs
7.6 m with usual care, with a between-group difference of 14.6 m (Hodges-Lehmann
97.5% CI, 0.4 m to infinity; 1-sided P = .02). Improvement of at least 25 m
occurred in 40.0% of patients in the coil group vs 26.9% with usual care (odds
ratio, 1.8 [97.5% CI, 1.1 to infinity]; unadjusted between-group difference,
11.8% [97.5% CI, 1.0% to infinity]; 1-sided P = .01). The between-group
difference in median change in FEV1 was 7.0% (97.5% CI, 3.4% to infinity; 1-sided
P < .001), and the between-group St George's Respiratory Questionnaire score
improved -8.9 points (97.5% CI, -infinity to -6.3 points; 1-sided P < .001), each
favoring the coil group. Major complications (including pneumonia requiring
hospitalization and other potentially life-threatening or fatal events) occurred
in 34.8% of coil participants vs 19.1% of usual care (P = .002). Other serious
adverse events including pneumonia (20% coil vs 4.5% usual care) and pneumothorax
(9.7% vs 0.6%, respectively) occurred more frequently in the coil group.
CONCLUSIONS AND RELEVANCE: Among patients with emphysema and severe
hyperinflation treated for 12 months, the use of endobronchial coils compared
with usual care resulted in an improvement in median exercise tolerance that was
modest and of uncertain clinical importance, with a higher likelihood of major
complications. Further follow-up is needed to assess long-term effects on health
outcomes. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT01608490.
PMID- 27179856
TI - Genetic and environmental variation in bovine milk infrared spectra.
AB - Fourier transform infrared (FTIR) spectroscopy is widely used to determine milk
composition. In this study, 1,060 milk infrared wavenumbers ranging from 925 to
5,008cm(-1) of 1,748 Holstein Friesian cows on 371 herds in the Netherlands were
available. The extent to which infrared wavenumbers are affected by genetic and
environmental factors was investigated. Inter-herd heritabilities of 1,060
infrared wavenumbers ranged from 0 to 0.63, indicating that the genetic
background of infrared wavenumbers differs considerably. The majority of the
wavenumbers have moderate to high inter-herd heritabilities ranging from 0.20 to
0.60. The diacylglycerol O-acyltransferase 1 (DGAT1), stearoyl-CoA desaturase
(SCD1), kappa-casein (CSN3), and beta-lactoglobulin (LGB) polymorphisms are known
to have a large effect on milk composition, and therefore we studied the effects
of these polymorphisms on infrared wavenumbers. The DGAT1 polymorphism had highly
significant effects on many wavenumbers. In contrast, the SCD1 polymorphism did
not significantly affect any of the wavenumbers. The SCD1 is known to have a
strong effect on the content of C10:1, C12:1, C14:1, and C16:1 fatty acids.
Therefore, these results suggest that FTIR spectra contain little direct
information on these monounsaturated fatty acids. The CSN3 and LGB polymorphisms
had significant effects on a few wavenumbers. Differences between herds explained
10 to 25% of the total variance for most wavenumbers. This suggests that the
wavenumbers of milk FTIR spectra are indicative for differences in feeding and
management between herds. The wavenumbers between 1,619 and 1,674cm(-1) and
between 3,073 and 3,667cm(-1) are strongly influenced by water absorption and
usually excluded when setting up prediction equations. However, we found that
some of the wavenumbers in the water absorption region are affected by the DGAT1
polymorphism and lactation stage. This suggests that these wavenumbers contain
useful information regarding milk composition.
PMID- 27179857
TI - Genomic evaluation, breed identification, and population structure of Guernsey
cattle in North America, Great Britain, and the Isle of Guernsey.
AB - As of December 2015, 2,376 Guernsey bulls and cows had genotypes from
collaboration between the United States, Canada, the United Kingdom, and the Isle
of Guernsey. Of those, 439 bulls and 504 cows had traditional US evaluations,
which provided sufficient data to justify investigation of the possible benefits
of genomic evaluation for the Guernsey breed. Evaluation accuracy was assessed
using a traditional 4-yr cutoff study. Twenty-two traits were analyzed (5 yield
traits, 3 functional traits, and 14 conformation traits). Mean reliability gain
over that for parent average was 16.8 percentage points across traits, which
compares with 8.2, 18.5, 20.0, and 32.6 percentage points reported for Ayrshires,
Brown Swiss, Jerseys, and Holsteins, respectively. Highest Guernsey reliability
gains were for rump width (44.5 percentage points) and dairy form (40.5
percentage points); lowest gains were for teat length (1.9 percentage points) and
rear legs (side view) (2.3 percentage points). Slight reliability losses (1.5 to
4.5 percentage points) were found for udder cleft, final score, and udder depth
as well as a larger loss (13.6 percentage points) for fore udder attachment.
Twenty-one single nucleotide polymorphisms were identified for Guernsey breed
determination and can be used in routine genotype quality control to confirm
breed and identify crossbreds. No haplotypes that affect fertility were
identified from the current data set. Principal component analysis showed some
divergence of US and Isle of Guernsey subpopulations. However, the overlap of US,
Canadian, UK, and Isle of Guernsey subpopulations indicated the presence of gene
flow, and the similarities in the subpopulations supports a common genomic
evaluation system across the regions.
PMID- 27179858
TI - Genetic parameters of calcium, phosphorus, magnesium, and potassium serum
concentrations during the first 8 days after calving in Holstein cows.
AB - Calcium, Mg, P, and K are of great importance for the health and productivity of
dairy cows after calving. So far genetic studies have focused on clinical
hypocalcemia, leaving the genetic parameters of these macroelements unstudied.
Our objective was to estimate the genetic parameters of Ca, Mg, P, and K serum
concentrations and their changes during the first 8d after calving. The study was
conducted in 9 herds located in northern Greece, with 1,021 Holstein cows
enrolled from November 2010 until November 2012. No herd used any kind of
preventive measures for hypocalcemia. Pedigree information for all cows was
available. A total of 35 cows were diagnosed and treated for periparturient
paresis and, therefore, excluded from the study. The remaining 986 cows were
included in genetic analysis. The distribution of cows across parities was 459
(parity 1), 234 (parity 2), 158 (parity 3), and 135 (parity >=4). A sample of
blood was taken from each cow on d1, 2, 4, and 8 after calving and serum
concentrations of Ca, P, Mg, and K were measured in each sample. A final data set
of 15,390 biochemical records was created consisting of 3,903 Ca, 3,902 P,
3,903Mg, and 3,682K measurements. Moreover, changes of these concentrations
between d1 and 4 as well as 1 and 8 after calving were calculated and treated as
different traits. Random regression models were used to analyze the data. Results
showed that daily heritabilities of Ca, P, and Mg concentrations traits were
moderate to high (0.20-0.43), whereas those of K were low to moderate (0.12
0.23). Regarding concentration changes, only Mg change between d1 and 8 after
calving had a significant heritability of 0.18. Genetic correlations between Ca,
P, Mg, and K concentrations and their concentration changes from d1 to 4 and 1 to
8 after calving were not significantly different from zero. Most phenotypic
correlations among Ca, P, Mg, and K concentrations were positive and low (0.09
0.16), whereas the correlation between P and Mg was negative and low (-0.16).
Phenotypic correlations among macromineral concentrations on d1 and their changes
from d1 to 4 and 1 to 8 after calving varied for each macromineral. This study
revealed that genetic selection for normal Ca, P, Mg, and K concentrations in the
first week of lactation is possible and could facilitate the management of their
deficiencies during the early stages of lactation.
PMID- 27179859
TI - Effects of slow-release urea and rumen-protected methionine and histidine on
mammalian target of rapamycin (mTOR) signaling and ubiquitin proteasome-related
gene expression in skeletal muscle of dairy cows.
AB - The mammalian target of rapamycin (mTOR) is a major regulator of protein
synthesis, whereas the ubiquitin-proteasome system (UPS) is regarded as the main
proteolytic pathway in skeletal muscle. The objective of the current study was to
investigate the effects of slow-release urea and rumen-protected (RP) Met and His
supplementation of a metabolizable protein (MP)-deficient diet on the abundance
of key components of the mTOR pathway and of the UPS in skeletal muscle of dairy
cows. Sixty Holstein cows were blocked based on days in milk and milk yield and
were randomly assigned within block to 1 of 5 diets in a 10-wk experiment
(including the first 2 wk as covariate period) as follows: (1) MP-adequate diet
(AMP; 107% of MP requirements, based on the National Research Council
requirements); (2) MP-deficient diet (DMP; 95% of MP requirements); (3) DMP
supplemented with slow-release urea (DMPU); (4) DMPU supplemented with RPMet
(DMPUM); and (5) DMPUM supplemented with RPHis (DMPUMH). Muscle biopsies were
collected from longissimus dorsi during the last week of the experiment. The mRNA
abundance of key mTOR signaling genes was not affected by the treatments. The
phosphorylated (P)-mTOR protein was or tended to be greater for DMP compared with
DMPU and AMP, respectively. The P-mTOR protein in DMPUMH was decreased when
compared against DMPUM. The P-ribosomal protein S6 tended to be increased by
DMPUM compared with DMPU. The abundance of total-S6 was or tended to be greater
for DMP compared with AMP and DMPU, respectively. The mRNA abundance of ubiquitin
activating and conjugating enzymes was not affected by the treatments, whereas
that of muscle ring-finger protein 1 (MuRF-1) was greater in DMP than DMPU. The
increased abundance of mTOR-associated signaling proteins and MuRF-1 mRNA
abundance indicates a higher rate of protein turnover in muscle of DMP-fed cows.
The reduced abundance of P-mTOR by supplementation of RPHis may suggest that His
is likely partitioned to the mammary gland in favor of milk protein synthesis
rather than to the skeletal muscle in dairy cows fed MP-deficient diets.
PMID- 27179860
TI - The nonlinear effect of somatic cell count on milk composition, coagulation
properties, curd firmness modeling, cheese yield, and curd nutrient recovery.
AB - The aim of this study was to investigate the relationships between somatic cell
count (SCC) in milk and several milk technological traits at the individual cow
level. In particular, we determined the effects of very low to very high SCC on
traits related to (1) milk yield and composition; (2) coagulation properties,
including the traditional milk coagulation properties (MCP) and the new curd
firming model parameters; and (3) cheese yield and recovery of milk nutrients in
the curd (or loss in the whey). Milk samples from 1,271 Brown Swiss cows from 85
herds were used. Nine coagulation traits were measured: 3 traditional MCP [rennet
coagulation time (RCT, min), curd firming rate (k20, min), and curd firmness
after 30 min (a30, mm)] and 6 new curd firming and syneresis traits [potential
asymptotic curd firmness at infinite time (CFP, mm), curd firming instant rate
constant (kCF, % * min(-1)), syneresis instant rate constant (kSR, % * min(-1)),
rennet coagulation time estimated using the equation (RCTeq, min), maximum curd
firmness achieved within 45 min (CFmax, mm), and time at achievement of CFmax
(tmax, min)]. The observed cheese-making traits included 3 cheese yield traits
(%CYCURD, %CYSOLIDS, and %CYWATER, which represented the weights of curd, total
solids, and water, respectively, as a percentage of the weight of the processed
milk) and 4 nutrient recoveries in the curd (RECFAT, RECPROTEIN, RECSOLIDS, and
RECENERGY, which each represented the percentage ratio between the nutrient in
the curd and milk). Data were analyzed using a linear mixed model with the fixed
effects of days in milk, parity, and somatic cell score (SCS), and the random
effect of herd-date. Somatic cell score had strong influences on casein number
and lactose, and also affected pH; these were traits characterized by a quadratic
pattern of the data. The results also showed a negative linear relationship
between SCS and milk yield. Somatic cell score influenced almost all of the
tested coagulation traits (both traditional and modeled), with the exceptions of
k20, CFP, and kSR. Gelation was delayed when the SCS decreased (slightly) and
when it increased (strongly) with respect to a value of 2, as confirmed by the
quadratic patterns observed for both RCT and RCTeq. The SCS effect on a30 showed
a quadratic pattern almost opposite to that observed for RCT. With respect to the
CFt parameters, kCF decreased linearly as SCS increased, resulting in a linear
decrease of CFmax and a quadratic pattern for tmax. Milk SCS attained
significance for %CYCURD, %CYWATER, and RECPROTEIN. As the SCS increased beyond
3, we observed a progressive quadratic decrease of the water retained in the curd
(%CYWATER), which caused a parallel decrease in %CYCURD. With respect to
RECPROTEIN, the negative effect of SCS was almost linear. Recovery of fat and
(consequently) RECENERGY was characterized by a more evident quadratic trend,
with the most favorable values associated with an intermediate SCS. Together, our
results confirmed that high SCS has a negative effect on milk composition and
technological traits, highlighting the nonlinear trends of some traits across the
different classes of SCS. Moreover, we report that a very low SCS has a negative
effect on some technological traits of milk.
PMID- 27179861
TI - Novel concepts on the role of prostaglandins on luteal maintenance and maternal
recognition and establishment of pregnancy in ruminants.
AB - In ruminants, the corpus luteum (CL) of early pregnancy is resistant to
luteolysis. Prostaglandin (PG)E2 is considered a luteoprotective mediator. Early
studies indicate that during maternal recognition of pregnancy (MRP) in
ruminants, a factor(s) from the conceptus or gravid uterus reaches the ovary
locally through the utero-ovarian plexus (UOP) and protects the CL from
luteolysis. The local nature of the embryonic antiluteolytic or luteoprotective
effect precludes any direct effect of a protein transported or acting between the
gravid uterus and CL in ruminants. During MRP, interferon tau (IFNT) secreted by
the trophoblast of the conceptus inhibits endometrial pulsatile release of
PGF2alpha and increases endometrial PGE2. Our recent studies indicate that (1)
luteal PG biosynthesis is selectively directed toward PGF2alpha at the time of
luteolysis and toward PGE2 at the time of establishment of pregnancy (ESP); (2)
the ability of the CL of early pregnancy to resist luteolysis is likely due to
increased intraluteal biosynthesis and signaling of PGE2; and (3) endometrial
PGE2 is transported from the uterus to the CL through the UOP vascular route
during ESP in sheep. Intrauterine co-administration of IFNT and prostaglandin E2
synthase 1 (PGES-1) inhibitor reestablishes endometrial PGF2alpha pulses and
regresses the CL. In contrast, intrauterine co-administration of IFNT and PGES-1
inhibitor along with intraovarian administration of PGE2 rescues the CL.
Together, the accumulating information provides compelling evidence that PGE2
produced by the CL in response to endometrial PGE2 induced by pregnancy may
counteract the luteolytic effect of PGF2alpha as an additional luteoprotective
mechanism during MRP or ESP in ruminants. Targeting PGE2 biosynthesis and
signaling selectively in the endometrium or CL may provide luteoprotective
therapy to improve reproductive efficiency in ruminants.
PMID- 27179862
TI - Short communication: The effects of dry matter and length of storage on the
composition and nutritive value of alfalfa silage.
AB - During the ensiling of feeds, various processes result in chemical changes that
can affect their ultimate nutritive value at feed out. The primary objective of
this study was to evaluate the effect of prolonged ensiling times on potential
changes in in vitro digestibility of neutral detergent fiber (NDF-D) of alfalfa
ensiled at about 33% [low dry matter (DM), LDM] or 45% (high DM, HDM) whole-plant
DM. Alfalfa from the same field (direct chopped or wilted) was chopped with a
conventional forage harvester set for a theoretical length of cut of 0.95 cm and
ensiled in mini silos for 45, 180, 270, and 360 d. Fresh forages and silages were
analyzed for nutrient content, fermentation end-products, and 30-h NDF-D. The pH
of the fresh forages ranged from 6.1 to 6.2 and decreased to approximately 4.7
and 4.3 in HDM and LDM silages, respectively. Production of acids and alcohols
were less in HDM compared with LDM as expected. Concentrations of soluble protein
and NH3-N also increased with time of storage as expected but soluble protein was
greater, whereas NH3-N was lower in HDM compared with LDM silage. The effect of
length of storage and DM on hemicellulose and NDF concentrations were very small,
whereas DM content at harvest tended to slightly increase the concentration of
acid detergent fiber in HDM compared with LDM up to 270 d of storage. The NDF-D
was greater in fresh forage compared with corresponding silages. However, time of
storage between 45 and 360 d had no effect on the NDF-D of alfalfa silage,
regardless of DM concentration at ensiling.
PMID- 27179863
TI - Assessment of the effect of methionine supplementation and inclusion of
hydrolyzed wheat protein in milk protein-based milk replacers on the performance
of intensively fed Holstein calves.
AB - The objectives of this study were to compare 2 milk replacers containing only
milk proteins with or without supplemental Met, and to compare a milk replacer
containing hydrolyzed wheat protein at 4.5% of dry matter (DM) and supplemental
Lys and Met against the 2 all-milk-protein formulas, by assessing their effect on
the growth performance, efficiency, and plasma urea nitrogen of pre-weaning
Holstein calves. Thus, 57 Holstein calves were allotted to the following 3
treatments: (1) a skim milk plus whey protein concentrate-based milk replacer
(SMWP) containing about 2.6% Lys and 0.6% Met on a DM basis; (2) SMWP + M based
on skim milk and whey proteins, containing about 2.6% Lys, and supplemental Met
to reach 0.9% on a DM basis; and (3) a skim milk plus whey protein concentrate
plus 4.5% of the DM as hydrolyzed wheat protein based milk replacer (HWP + LM)
where the wheat protein replaced 50% of the whey protein concentrate, and also
contained supplemental Lys and Met to match the profile of SMWP + M (i.e., Lys
2.6 and Met 0.9% on DM basis). No difference in any of the responses was observed
by supplementing the milk protein based formula with Met or when hydrolyzed wheat
protein was added to the formula. Results indicate that (1) a milk replacer based
on skim milk protein and whey protein with a Lys concentration of ~2.6% does not
benefit from Met supplementation, and (2) milk replacer containing 4.5% of the DM
as hydrolyzed wheat protein and supplemented with Lys and Met can support the
same growth performance as milk protein-based formulas.
PMID- 27179864
TI - A dynamic, mechanistic model of metabolism in adipose tissue of lactating dairy
cattle.
AB - Research in dairy cattle biology has resulted in a large body of knowledge on
nutrition and metabolism in support of milk production and efficiency. This
quantitative knowledge has been compiled in several model systems to balance and
evaluate rations and predict requirements. There are also systems models for
metabolism and reproduction in the cow that can be used to support research
programs. Adipose tissue plays a significant role in the success and efficiency
of lactation, and recent research has resulted in several data sets on genomic
differences and changes in gene transcription of adipose tissue in dairy cattle.
To fully use this knowledge, we need to build and expand mechanistic, dynamic
models that integrate control of metabolism and production. Therefore, we
constructed a second-generation dynamic, mechanistic model of adipose tissue
metabolism of dairy cattle. The model describes the biochemical interconversions
of glucose, acetate, beta-hydroxybutyrate (BHB), glycerol, C16 fatty acids, and
triacylglycerols. Data gathered from our own research and published references
were used to set equation forms and parameter values. Acetate, glucose, BHB, and
fatty acids are taken up from blood. The fatty acids are activated to the acyl
coenzyme A moieties. Enzymatically catalyzed reactions are explicitly described
with parameters including maximal velocity and substrate sensitivity. The control
of enzyme activity is partially carried out by insulin and norepinephrine,
portraying control in the cow. Model behavior was adequate, with sensitive
responses to changing substrates and hormones. Increased nutrient uptake and
increased insulin stimulate triacylglycerol synthesis, whereas a reduction in
nutrient availability or increase in norepinephrine increases triacylglycerol
hydrolysis and free fatty acid release to blood. This model can form a basis for
more sophisticated integration of existing knowledge and future studies on
metabolic efficiency of dairy cattle.
PMID- 27179865
TI - Proteolytic activity of Enterococcus faecalis VB63F for reduction of
allergenicity of bovine milk proteins.
AB - With the aim of screening proteolytic strains of lactic acid bacteria to evaluate
their potential for the reduction of allergenicity of the major bovine milk
proteins, we isolated a new proteolytic strain of Enterococcus faecalis (Ent.
faecalis VB63F) from raw bovine milk. The proteases produced by this strain had
strong activity against caseins (alphaS1-, alphaS2-, and beta-casein), in both
skim milk and sodium caseinate. However, only partial hydrolysis of whey proteins
was observed. Proteolysis of Na-caseinate and whey proteins, observed after
sodium dodecyl sulfate-PAGE, was confirmed by analysis of peptide profiles by
reversed-phase HPLC. Inhibition of proteolysis with EDTA indicated that the
proteases produced by Ent. faecalis VB63F belonged to the group of
metalloproteases. The optimal conditions for their activity were 42 degrees C and
pH 6.5. The majority of assessed virulence genes were absent in Ent. faecalis
VB63F. The obtained results suggest that Ent. faecalis VB63F could be efficient
in reducing the immunoreactivity of bovine milk proteins.
PMID- 27179866
TI - Insulin-dependent glucose metabolism in dairy cows with variable fat mobilization
around calving.
AB - Dairy cows undergo significant metabolic and endocrine changes during the
transition from pregnancy to lactation, and impaired insulin action influences
nutrient partitioning toward the fetus and the mammary gland. Because impaired
insulin action during transition is thought to be related to elevated body
condition and body fat mobilization, we hypothesized that over-conditioned cows
with excessive body fat mobilization around calving may have impaired insulin
metabolism compared with cows with low fat mobilization. Nineteen dairy cows were
grouped according to their average concentration of total liver fat (LFC) after
calving in low [LLFC; LFC <24% total fat/dry matter (DM); n=9] and high (HLFC;
LFC >24.4% total fat/DM; n=10) fat-mobilizing cows. Blood samples were taken from
wk 7 antepartum (ap) to wk 5 postpartum (pp) to determine plasma concentrations
of glucose, insulin, glucagon, and adiponectin. We applied euglycemic
hyperinsulinemic (EGHIC) and hyperglycemic clamps (HGC) in wk 5 ap and wk 3 pp to
measure insulin responsiveness in peripheral tissue and pancreatic insulin
secretion during the transition period. Before and during the pp EGHIC, [(13)C6]
glucose was infused to determine the rate of glucose appearance (GlucRa) and
glucose oxidation (GOx). Body condition, back fat thickness, and energy-corrected
milk were greater, but energy balance was lower in HLFC than in LLFC. Plasma
concentrations of glucose, insulin, glucagon, and adiponectin decreased at
calving, and this was followed by an immediate increase of glucagon and
adiponectin after calving. Insulin concentrations ap were higher in HLFC than in
LLFC cows, but the EGHIC indicated no differences in peripheral insulin
responsiveness among cows ap and pp. However, GlucRa and GOx:GlucRa during the pp
EGHIC were greater in HLFC than in LLFC cows. During HGC, pancreatic insulin
secretion was lower, but the glucose infusion rate was higher pp than ap in both
groups. Plasma concentrations of nonesterified fatty acids decreased during HGC
and EGHIC, but in both clamps, pp nonesterified fatty acid concentrations did not
reach the ap levels. The study demonstrated a minor influence of different
degrees of body fat mobilization on insulin metabolism in cows during the
transition period. The distinct decrease in the glucose-dependent release of
insulin pp is the most striking finding that explains the impaired insulin action
after calving, but does not explain differences in body fat mobilization between
HLFC and LLFC cows.
PMID- 27179867
TI - Multilocus sequence typing of Lactobacillus casei isolates from naturally
fermented foods in China and Mongolia.
AB - Lactobacillus casei is a lactic acid bacterium used in manufacturing of many
fermented food products. To investigate the genetic diversity and population
biology of this food-related bacterium, 224 Lb. casei isolates and 5 reference
isolates were examined by multilocus sequence typing (MLST). Among them, 224 Lb.
casei isolates were isolated from homemade fermented foods, including naturally
fermented dairy products, acidic gruel, and Sichuan pickles from 38 different
regions in China and Mongolia. The MLST scheme was developed based on the
analysis of 10 selected housekeeping genes (carB, clpX, dnaA, groEL, murE, pyrG,
pheS, recA, rpoC, and uvrC). All 229 isolates could be allocated to 171 unique
sequence types, including 25 clonal complexes and 71 singletons. The high index
of association value (1.3524) and standardized index of association value
(0.1503) indicate the formation of an underlying clonal population by all the
isolates. However, split-decomposition, relative frequency of occurrence of
recombination and mutation, and relative effect of recombination and mutation in
the diversification values confirm that recombination may have occurred, and were
more frequent than mutation during the evolution of Lb. casei. Results from
Structure analyses (version 2.3; http://pritch.bsd.uchicago.edu/structure.html)
demonstrated that there were 5 lineages in the Lb. casei isolates, and the
overall relatedness built by minimum spanning tree showed no clear relationship
between the clonal complexes with either the isolation sources or sampling
locations of the isolates. Our newly developed MLST scheme of Lb. casei was an
easy and valuable tool that, together with the construction of an MLST database,
will contribute to further detailed studies on the evolution and population
genetics of Lb. casei from various niches.
PMID- 27179868
TI - Cabergoline inhibits prolactin secretion and accelerates involution in dairy cows
after dry-off.
AB - Dairy cattle require a dry period between successive lactations to ensure optimal
milk production. Because prolactin (PRL) is necessary for the initiation and
maintenance of milk production, strategies that can inhibit PRL secretion might
hasten the involution process. The objective of this study was to determine the
effect of the PRL release inhibitor cabergoline on markers of mammary gland
involution during the early dry period. To assess the effect of cabergoline
treatment on mammary gland involution, 14 Holstein dairy cows in late lactation
were treated with either a single i.m. administration of 5.6mg of cabergoline
(Velactis, Ceva Sante Animale, Libourne, France, n=7) or placebo (n=7) at the
time of dry-off. Blood samples and mammary secretion samples were collected 6d
before dry-off and again 1, 2, 3, 4, 8, and 14d following the abrupt cessation of
lactation. Blood samples were used to determine plasma PRL concentrations.
Mammary secretion samples were used to determine somatic cell count, milk fat,
lactose, true protein content, and concentrations of alpha-lactalbumin,
lactoferrin, and citrate. Following the cessation of lactation, changes in
mammary secretion composition indicated diminished milk synthesis, including
reduced concentrations of alpha-lactalbumin, citrate, and lactose. In contrast,
milk somatic cell count, percent total protein, percent fat content, and
lactoferrin concentrations significantly increased as involution progressed.
Cabergoline treatment decreased the plasma PRL concentrations during the first
week of dry-off, compared with the control treatment. No significant differences
in citrate, alpha-lactalbumin, or protein content were observed between treatment
groups. The most dramatic changes in secretion composition as a consequence of
cabergoline treatment occurred during the first week of the dry period, when
lactose concentrations and the citrate:lactoferrin molar ratio were lower and
lactoferrin concentrations higher than in the control cows. Cabergoline treatment
also tended to increase fat content and somatic cell count more rapidly following
dry-off compared with the control group. These changes in mammary secretion
composition following the abrupt cessation of lactation indicate that cabergoline
treatment facilitated dry-off and effectively accelerated mammary gland
involution.
PMID- 27179869
TI - Short communication: Association of milk fatty acids with early lactation
hyperketonemia and elevated concentration of nonesterified fatty acids.
AB - The objective of our study was to extend the limited research available on the
association between concentrations of milk fatty acids and elevated nonesterified
fatty acids (NEFA) and beta-hydroxybutyrate (BHB) concentrations in early
lactation dairy cattle. Measurement of milk fatty acids for detection of cows in
excessive negative energy balance has the potential to be incorporated in routine
in-line monitoring systems. Blood samples were taken from 84 cows in second or
greater lactation 3 times per week between 3 to 14 d in milk. Cows were
characterized as hyperketonemic (HYK) if blood BHB concentration was >=1.2mmol/L
at least once and characterized as having elevated concentrations of NEFA (NEFAH)
if serum NEFA concentration was >=1mmol/L at least once. Composition of colostrum
and milk fatty acids at wk 2 postpartum was used to investigate the potential
diagnostic value of individual fatty acids and fatty acid ratios for the correct
classification of cows with NEFA and BHB concentrations above these thresholds,
respectively. Receiver operating characteristic (ROC) curves were used to
identify thresholds of fatty acid concentration and fatty acid ratios when ROC
area under the curve was >=0.70. Correct classification rate (CCR, %) was
calculated as {[(number of true positives + number of true negatives)/total
number tested] * 100}. None of the colostrum fatty acids yielded a sufficiently
high area under the curve in ROC analysis for the association with HYK and NEFAH.
The following fatty acids and fatty acid ratios were identified for an
association with NEFAH (threshold, CCR): C15:0 (<=0.65g/100g, 68.3%); cis-9 C16:1
(>=1.85g/100g, 70.7%); cis-9 C18:1 (>=26g/100g, 69.5%), cis-9 C18:1 to C15:0
ratio (>=45, 69.5%); cis-9 C16:1 to C15:0 (>=2.50, 73.2%). Several fatty acids
were associated with HYK (threshold, CCR): C6:0 (<=1.68g/100g, 80.5%), C8:0
(<=0.80g/100g, 80.5%), C10:0 (<=1.6g/100g, 79.3%); C12:0 (<=1.42g/100g, 82.9%);
C14:0 (<=6.10g/100g, 84.1%); C15:0 (<=0.50g/100g, 82.9%), cis-9 C18:1
(>=30g/100g, 81.7%). The use of fatty acid ratios did not improve CCR over using
individual fatty acids for the classification of HYK. Colostrum fatty acid
composition was not useful in predicting NEFAH or HYK between 3 to 14 d in milk.
Accuracy of milk fatty acids and fatty acid ratios to correctly classify cows
with elevated concentrations of NEFA and BHB between 3 to 14 d in milk was
moderate and overall higher for HYK. Determining changes in the fatty acid
composition of milk fat from milk samples at wk 2 postpartum for the detection of
cows with elevated concentrations of BHB and NEFA can currently not be
recommended to replace direct measurement. Future applications should target
repeated milk sampling between 3 to 14 d in milk to identify the best sampling
for determination of milk fatty acid composition within the first 2 wk
postpartum.
PMID- 27179870
TI - Repeated intrauterine infusions of lipopolysaccharide alter gene expression and
lifespan of the bovine corpus luteum.
AB - Inflammation of the uterus is associated with disturbed ovarian function and
reduced reproductive performance in dairy cows. To investigate the influence of
endometritis on the bovine corpus luteum, 8 heifers received intrauterine
infusions with either phosphate-buffered saline (PBS; 9mL) or Escherichia coli
lipopolysaccharide (LPS; 3ug/kg of body weight diluted in 9mL of PBS) at 6-h
intervals from 12h before and until 9d after ovulation during 2 cycles in a
random order (ovulation=d 1). An untreated cycle was examined before and after
PBS and LPS cycles, and the mean values from both untreated cycles were used as
control. In all cycles, blood sampling and ultrasonography of the ovaries were
performed on d 0, 1, 2, 4, 6, 8, 9, 10, 12, 15, 18, and then every 2d until
ovulation. Endometrial cells were collected for cytology and quantitative real
time reverse transcriptase PCR on d 0, 6, and 9, and on d 0 and 6, respectively,
and luteal tissue was collected for quantitative real-time reverse transcriptase
PCR on d 6 and 9. Both, PBS and LPS infusions induced subclinical endometritis,
which was accompanied by increased endometrial mRNA abundance of proinflammatory
cytokines IL1beta, IL8, and tumor necrosis factor alpha. Additionally, LPS
challenge induced premature luteolysis, which was characterized by increased
plasma concentrations of PGF2alpha metabolite, decreased plasma progesterone
concentrations, and reduced luteal size and blood flow compared with the control.
The luteal mRNA expression of the LPS receptor TLR4, PGE synthase, and the
apoptosis-related factor CASP3 were higher, and those of steroidogenic factors
STAR and HSD3B, the PGF receptor, and the angiogenic factor VEGFA121 were lower
after LPS challenge compared with the control. In conclusion, repeated
intrauterine LPS infusions during the first 9d of the estrous cycle alter gene
expression and shorten the lifespan of the bovine corpus luteum.
PMID- 27179871
TI - Evaluation of ear skin temperature as a cow-side test to predict postpartum
calcium status in dairy cows.
AB - Subclinical hypocalcemia is considered a gateway disease that increases
susceptibility to other metabolic and infectious diseases in transition dairy
cows. In the absence of a cow-side test, however, it is difficult to identify
hypocalcemic cows. The objective of this study was to evaluate ear skin
temperature as a diagnostic predictor of serum calcium concentration. We
conducted a cross-sectional study on 7 commercial dairy farms, involving 251 cows
0 to 48h after calving. Skin temperature of the ears (STEar) was scored manually
by palpating both ears. An infrared thermometer was used to measure ear
temperature, skin temperature on the coxal tuber (STCox), and ambient
temperature. Rectal temperature was measured using a digital thermometer. A blood
sample was drawn to determine serum calcium concentration. Hypocalcemia was
defined as serum calcium below 2.0mmol/L, irrespective of clinical symptoms.
Serum calcium concentration <2.0mmol/L in connection with clinical symptoms was
defined as clinical milk fever; serum calcium concentration <2.0mmol/L without
clinical symptoms was defined as subclinical hypocalcemia. Multivariate analysis
using the GENLINMIXED procedure and receiver operating characteristic analysis
were performed to evaluate whether serum calcium concentration could be predicted
using ear temperature and other temperature estimates. The prevalence of
hypocalcemia was 3.3, 27.3, 32.8, and 69.6% for cows in first, second, third, and
fourth or greater lactation, respectively. None of the cows in first and second
lactation had clinical milk fever. The prevalence of clinical milk fever was 6.0
and 20.3% for cows in their third and fourth or greater lactation, respectively.
A decrease in ear temperature of 0.39 degrees C [95% confidence interval (CI):
0.25-0.54] was associated with a decrease of 0.1mmol/L in serum calcium
concentration. Ambient temperature, however, was a major confounder for ear
temperature. With an increase in ambient temperature of 1 degrees C, STEar rose
by 0.78 degrees C (95% CI: 0.67-0.90). Hypothermia was more pronounced in
clinical milk fever (median 21.8 degrees C; interquartile range 14.7-27.0 degrees
C) compared with subclinical hypocalcemia (median 27.6 degrees C, interquartile
range 22.1-30.8 degrees C). All temperature estimates had only accurate test
characteristics based on their area under the curve for prediction of subclinical
hypocalcemia (area under the curve for STEar, STCox, and rectal temperature were
0.641, 0.668, and 0.606, respectively) when cows with clinical milk fever were
excluded. Although ear temperature has been associated with serum calcium
concentration, ear temperature cannot be recommended for diagnosis of subclinical
hypocalcemia.
PMID- 27179872
TI - Estimation of economic values for milk coagulation properties in Italian Holstein
Friesian cattle.
AB - The economic values (EV) of production traits, rennet coagulation time (RCT,
min), and curd firmness (a30, mm) were derived for Italian Holstein-Friesian
dairy cattle, based on the Grana Padano cheese industry. Three different sets of
EV for RCT and a30 were estimated, assuming +2.5% (scenario 1), +5% (scenario 2),
and +10% (scenario 3) increment in cheese yield due to the effect of milk
coagulation properties (MCP). A model was developed to simulate the
transformation of milk into Grana Padano cheese. The EV of RCT and a30 were
?2.213, -?4.426, and -?8.852/min, and ?0.877, ?1.755, and ?3.509/mm for scenarios
1, 2, and 3, respectively. Relative emphasis of traits in the breeding objectives
of the Italian Holstein-Friesian dairy cattle population should account for the
effect of MCP on cheese yield. Economic values for milk components and MCP were
affected by changes of dairy products, whereas variations of feed prices did not
influence EV of RCT and a30.
PMID- 27179874
TI - Technical note: Bayesian calibration of dynamic ruminant nutrition models.
AB - Mechanistic models of ruminant digestion and metabolism have advanced our
understanding of the processes underlying ruminant animal physiology.
Deterministic modeling practices ignore the inherent variation within and among
individual animals and thus have no way to assess how sources of error influence
model outputs. We introduce Bayesian calibration of mathematical models to
address the need for robust mechanistic modeling tools that can accommodate error
analysis by remaining within the bounds of data-based parameter estimation. For
the purpose of prediction, the Bayesian approach generates a posterior predictive
distribution that represents the current estimate of the value of the response
variable, taking into account both the uncertainty about the parameters and model
residual variability. Predictions are expressed as probability distributions,
thereby conveying significantly more information than point estimates in regard
to uncertainty. Our study illustrates some of the technical advantages of
Bayesian calibration and discusses the future perspectives in the context of
animal nutrition modeling.
PMID- 27179873
TI - Parturition in dairy cows temporarily alters the expression of genes in
circulating neutrophils.
AB - Extensive metabolic and physiologic changes occur during the peripartum,
concurrent with a high incidence of infectious disease. Immune dysfunction is a
likely contributor to the increased risk of disease at this time. Studies using
high-yielding, total mixed ration-fed cows have indicated that neutrophil
function is perturbed over the transition period; however, this reported
dysfunction has yet to be investigated in moderate-yielding, grazing dairy cows.
Therefore, we investigated changes in the expression of genes involved in
neutrophil function. Blood was collected from cows at 5 time points over the
transition period: precalving (-1wk; n=46), day of calving (d 0; n=46), and
postcalving at wk 1 (n=46), wk 2 (n=45), and wk 4 (n=43). Neutrophils were
isolated by differential centrifugation and gene expression was investigated.
Quantitative reverse transcriptase PCR with custom-designed primer pairs and
Roche Universal Probe Library (Roche, Basel, Switzerland) chemistry, combined
with microfluidics integrated fluidic circuit chips (96.96 Dynamic Array, San
Francisco, CA) were used to investigate the expression of 78 genes involved in
neutrophil function and 18 endogenous control genes. Statistical significance
between time points was determined using a repeated measures ANOVA. Genes that
were differentially expressed over the transition period included those involved
in neutrophil adhesion (SELL, ITGB2, and ITGBX), mediation of the immune response
(TLR4, HLA-DRA, and CXCR2), maturation, cell cycle progression, apoptosis (MCL1,
BCL2, FASLG, and RIPK1), and control of gene expression (PPARG, PPARD, and
STAT3). We noted reduced gene expression of proinflammatory cytokines (IFNG, TNF,
IL12, and CCL2) on the day of calving, whereas anti-inflammatory cytokine gene
expression (IL10) was upregulated. Increased gene expression of antimicrobial
peptides (BNBD4, DEFB10, and DEFB1) occurred on the day of calving. Collectively,
transcription profiles are indicative of functional changes in neutrophils of
grazing dairy cows over the transition period and align with studies in cows of
conventional total mixed ration systems. This altered function may predispose
cows to disease over the transition period and is likely to be a natural change
in function due to parturition.
PMID- 27179875
TI - Secretion of glucagon-like peptide-2 responds to nutrient intake but not glucose
provision in milk-fed calves.
AB - Glucagon-like peptide 2 (GLP-2) is a peptide released by the lower gut that has
potent trophic and restorative effects on the intestinal epithelium. Two
experiments were conducted to assess the effects of feeding rate and either
metabolizable or nonmetabolizable glucose supplementation on GLP-2 concentrations
in plasma and intestinal development in Holstein calves. In the first experiment,
48 newborn calves were assigned to 12 treatments (n=4) corresponding to the
factorial combination of 4 milk feeding amounts [1.75, 1.32, 0.88, and 0.44% of
body weight (BW) as dry matter (DM)] and 3 oral supplementation treatments
(nonsupplemented, glucose-supplemented, and 3-O-methyl glucose-supplemented). In
the second experiment 30 newborn calves (n=10) were fed milk at a fixed rate of
1.75% of BW as DM and assigned to the same glucose supplementation treatments
used in experiment 1 to investigate effects on intestinal development. In the
first experiment, we found a saturating response of plasma GLP-2 to increasing
feeding levels. The feeding rate at which 50% of the maximal GLP-2 release
occurred was estimated to be 0.53% of BW as DM or 30.3% of the maximum feeding
rate (1.75% of BW as DM), whereas maximal secretion was estimated to be about
98.6 pmol/L. In turn, feeding 75, 50, or 25% of the maximal feeding rate (i.e.,
1.75% BW as DM) resulted in plasma GLP-2 concentrations 87, 72, and 49% of that
in fully fed calves, respectively. Neither metabolizable nor nonmetabolizable
glucose supplementation affected GLP-2 secretion and no interaction with feed
intake level was detected. In the second experiment, no effect of glucose
supplementation was observed on intestinal growth, mucosal cell proliferation, or
expression of genes related to the actions of GLP-2. Nonetheless, we observed
that a pool of genes of the GLP-2 signaling pathway was more abundantly and
coordinately regulated in the colon than in the ileum of these animals,
indicating an opportunity for dietary induction of the peptide in this organ. In
conclusion, during this experiment, plasma GLP-2 concentrations responded in a
diminishing return fashion to milk intake but not to glucose supplementation,
even at milk consumption levels of only 0.4% of BW as DM.
PMID- 27179876
TI - Public and farmer perceptions of dairy cattle welfare in the United States.
AB - This research used surveys of the public and dairy farmers in the United States
to assess perceptions and attitudes related to dairy cattle welfare. Sixty-three
percent of public respondents indicated that they were concerned about dairy
cattle welfare. Most public respondents agreed that animal welfare was more
important than low milk prices but that the average American did not necessarily
agree. Most public respondents had not viewed media stories related to dairy
cattle welfare. Respondents who had viewed these stories did so on television or
Internet. The United States Department of Agriculture (USDA) was viewed as the
most accurate source of information related to dairy cattle welfare, followed by
the Humane Society of the United States (HSUS) and the American Veterinary
Medicine Association (AVMA). Both public and dairy farmer respondents viewed
farmers as having the most influence on dairy cattle welfare. However, there was
a general pattern of public respondents indicating that groups including USDA,
HSUS, and AVMA had a relatively larger influence on dairy cattle welfare than did
farmer respondents. In contrast, dairy farmers indicated that individual actors
farmers, veterinarians, consumers-had more influence than the public indicated.
When asked about production practices, most public respondents indicated that
they would vote for a ban on antibiotic use outside of disease treatment or for
the mandated use of pain control in castration. However, a minority indicated
they would vote to ban the use of recombinant bovine somatotropin (rbST) or to
pay a premium for milk produced without rbST. With respect to explaining public
support for the production practice bans and limits, respondents were more likely
to vote for the restrictions if they were older, female, had higher income, or
had viewed animal welfare stories in the media.
PMID- 27179877
TI - Short communication: Test for nonpregnancy in dairy cows based on plasma
progesterone concentrations before and after timed artificial insemination.
AB - Timed artificial insemination (AI) programs have increased reproductive
efficiency in dairy herds. A low timed AI pregnancy per AI is partially explained
by cows that fail to respond optimally to the series of treatments that are
designed to synchronize ovulation for AI. We hypothesized that testing cows for
plasma progesterone concentrations during a timed AI protocol could be used as an
early diagnostic test for nonpregnancy. Lactating Holstein cows (n=160) in 2
confinement-style dairies were used. Cows were treated with Presynch Ovsynch 56
for timed AI. Concentrations of progesterone in plasma were measured at -3, 0, 7,
and 25 d relative to timed AI. Progesterone data were analyzed and receiver
operating characteristic curves were generated by using logistic regression. The
area under the receiver operating curves for a progesterone test for nonpregnancy
on d -3 (PGF2alpha), 0 (AI), 7, and 25 d relative to timed AI were 0.68, 0.52,
0.55, and 0.89, respectively. The cutpoints and sensitivity (respectively) for
the progesterone test were 0.51ng/mL (lower=nonpregnant) and 28.2% for the day of
PGF2alpha, 0.43ng/mL (greater=nonpregnant) and 17.9% for the day of AI, 1.82ng/mL
(lower=nonpregnant) and 23.1% for 7 d after AI, and 2.67ng/mL (lower=nonpregnant)
and 76.0% for 25 d after AI. The false positive rate was less than 5% for all
tests. Analysis of a second data set from a published study gave approximately
the same cutpoints and sensitivity. When both studies were combined,
approximately 20% of nonpregnant cows could be identified with a single test that
was done before or shortly after AI with a false positive rate of less than 5%.
When 2 and 3 tests were applied sequentially, the sensitivity for identifying
nonpregnant cows increased from 38.4 to 50.5%. The pregnancy per AI for those
cows that met the established progesterone criteria was approximately 3 to 4
times greater than those that failed to meet the criteria. The conclusions were
that cows destined to be nonpregnant after timed AI can be identified before or
shortly after AI. Testing for nonpregnancy before or shortly after AI may have
utility with respect to eliminating a nonproductive AI (cows identified before
AI) or shortening the time to reinsemination (cows identified by 1 wk after AI).
PMID- 27179878
TI - Assessment of an application for touchscreen devices to record calving-related
events in dairy herds and monitor personnel performance.
AB - The objectives of the present study were to assess (1) the effectiveness of a
calving training workshop and an application (app) for touchscreen devices to
capture calving-related events, and (2) personnel compliance with calving
protocols (time from birth to feeding of first colostrum and time that cows spent
in labor). Calving personnel (n=23) from 5 large dairy farms (range: 800-10,000
cows) participated in the study. Participants received training through an on
farm workshop regarding calving management practices and functioning of the app
before recording calving-related events. Pre- and posttest evaluations were
administered to each participant to measure their knowledge gain and satisfaction
with the workshop. Calving personnel recorded calving-related events (n=323)
using the app for 7 d following training. Furthermore, the records collected with
the app were used to assess missing and incorrect data and calving personnel
compliance with calving management protocols (recording time that cows spent in
labor and timing of feeding first colostrum to calves). Calving personnel
reported that the information provided during the training was relevant
(agree=14.3% and strongly agree=85.7%) and of great immediate use (agree=33.3%
and strongly agree=66.7%). The presented materials and hands-on demonstrations
substantially increased the knowledge level of the attendees (by 23.7 percentage
points from pre- to posttest scores). The follow-up assessment with participants
revealed that the app was easy to use (91.3%) and that they would continue to use
it (100%). Frequency of incorrect (r=0.77) or missing (r=0.76) data was
positively correlated with calving:personnel ratio. Furthermore, calving
personnel compliance with calving protocols was significantly different within
and between herds. These results substantiated the great variation in compliance
with calving management protocols within and between dairy farms. Furthermore,
the app may serve as a tool to monitor personnel compliance with first feeding of
colostrum to calves and their awareness and recognition of amount of time that
each cow spent in labor. This would allow decision-makers to adjust, reassign
tasks, or plan the management according to actual calving rate to improve the
overall quality of data (frequency of incorrect and missing data) and calf
welfare (survival and performance).
PMID- 27179879
TI - Identifying variations in adherence to the CDC sexually transmitted disease
treatment guidelines of Neisseria gonorrhoeae.
AB - OBJECTIVE: Neisseria gonorrhoeae is identified as a national challenge due to
emerging antimicrobial resistance. The Centers for Disease Control and Prevention
(CDC) sexually transmitted diseases (STD) Treatment guidelines are updated to
address emerging concerns. The aims of this study were 1) to determine the
proportion of cases that were adherent to two aspects of the treatment
guidelines: antimicrobial treatment and follow-up recommendations and 2) to
evaluate differences in adherence based on clinical location. STUDY DESIGN: A
retrospective review of medical records was performed for the first positive N.
gonorrhoeae tests identified in subjects between May 2011 and December 2013 at a
large urban academic medical centre. We hypothesised that provider adherence to
STD treatment and prevention guidelines was better at STD specialised clinics
than non-specialised settings. METHODS: Adherence to CDC STD treatment guidelines
was determined for both treatment and prevention management. Demographic, testing
differences, and appropriate treatment and follow-up between speciality and non
speciality clinics were evaluated using chi-squared, Fisher's exact, and
Student's t-test, when appropriate. RESULTS: During the study period, 542/714
positive tests were analysed. Healthcare provider adherence to antimicrobial
management guidelines was 82% during the study period. Adherence to the
guidelines was 76% and 88% for the 2010 and 2012 time periods, respectively. Non
adherence to recommendations included lack of dual therapy for N. gonorrhoeae in
speciality clinics and incorrect dose in non-speciality clinics. Appropriate
preventive follow-up was identified in only 31% of cases. Both speciality clinics
and non-speciality clinics had errors related to partner therapy. CONCLUSIONS:
Providers in speciality clinics were more adherent to the guidelines compared
with providers at other clinical sites. Significant lack of adherence was
identified in the follow-up management of N. gonorrhoeae. Evaluation of treatment
errors may help improve medical management of N. gonorrhoeae.
PMID- 27179880
TI - Expansion of the neuropeptidome of the globally invasive marine crab Carcinus
maenas.
AB - Carcinus maenas is widely recognized as one of the world's most successful marine
invasive species; its success as an invader is due largely to its ability to
thrive under varied environmental conditions. The physiological/behavioral
control systems that allow C. maenas to adapt to new environments are undoubtedly
under hormonal control, the largest single class of hormones being peptides.
While numerous studies have focused on identifying native C. maenas peptides,
none has taken advantage of mining transcriptome shotgun assembly (TSA) sequence
data, a strategy proven highly successful for peptide discovery in other
crustaceans. Here, a C. maenas peptidome was predicted via in silico
transcriptome mining. Thirty-seven peptide families were searched for in the
extant TSA database, with transcripts encoding precursors for 29 groups
identified. The pre/preprohormones deduced from the identified sequences allowed
for the prediction of 263 distinct mature peptides, 193 of which are new
discoveries for C. maenas. The predicted peptides include isoforms of
adipokinetic hormone-corazonin-like peptide, allatostatin A, allatostatin B,
allatostatin C, bursicon, CCHamide, corazonin, crustacean cardioactive peptide,
crustacean hyperglycemic hormone, diuretic hormone 31, diuretic hormone 44,
eclosion hormone, FMRFamide-like peptide, HIGSLYRamide, intocin, leucokinin,
myosuppressin, neuroparsin, neuropeptide F, orcokinin, pigment dispersing
hormone, proctolin, pyrokinin, red pigment concentrating hormone, RYamide, short
neuropeptide F, SIFamide, and tachykinin-related peptide. This peptidome is the
largest predicted from any single crustacean using the in silico approach, and
provides a platform for investigating peptidergic signaling in C. maenas,
including control of the processes that allow for its success as a global marine
invader.
PMID- 27179881
TI - Melatonin biosynthesizing enzyme genes and clock genes in ovary and whole brain
of zebrafish (Danio rerio): Differential expression and a possible interplay.
AB - The present study on zebrafish (Danio rerio) is the first attempt to demonstrate
the circadian mRNA expression of melatonin biosynthesizing enzyme genes (Tph1a,
Aanat1, Aanat2 and Hiomt) and clock associated genes (Bmal1a, Clock1a, Per1b,
Per2 and Cry2a) in the ovary with a comparison to whole brain in normal (LD=12h
L:12h D) and altered photic conditions (continuous dark, DD; continuous light,
LL). Moreover, the present study also confirmed the ability of zebrafish ovary to
biosynthesize melatonin both in vivo and in vitro with a significant difference
at day and night. qRT-PCR analysis of genes revealed a dark acrophase of Aanat2
in both organs while Tph1 is in whole brain in LD condition. On the contrary,
Bmal1a and Clock1a giving their peak in light, thereby showing a negative
correlation with Tph1a and Aanat2. In LD-ovary, the acrophase of Tph1a, Bmal1a
and Clock1a is in light and thus display a positive correlation. This trend of
relationship in respect to Tph1a is not changing in altered photic conditions in
both organs (except in DD-ovary). On the other hand this association for Aanat2
is varying in ovary under altered photic conditions but only in DD-whole brain.
Both in LD and LL the expression of Aanat2 in brain presenting an opposite
acrophase with both Bmal1a and Clock1a of ovary and consequently displaying a
strong negative correlation among them. Interestingly, all ovarian clock
associated genes become totally arrhythmic in DD, representing a loss of
correlation between the melatonin synthesizing genes in brain and clock
associated genes in ovary. The result is also indicating the formation of two
heterodimers namely Clock1a:Bmal1a and Per2:Cry2a in the functioning of clock
genes in both organs, irrespective of photic conditions, as they are exhibiting a
strong significant positive correlation. Collectively, our data suggest that
ovary of zebrafish is working as peripheral oscillator having its own melatonin
biosynthesizing machinery and signifying a possible correlation with central
oscillating system in various photic conditions.
PMID- 27179882
TI - Molecular cloning of motilin and mechanism of motilin-induced gastrointestinal
motility in Japanese quail.
AB - Motilin, a peptide hormone produced in the upper intestinal mucosa, plays an
important role in the regulation of gastrointestinal (GI) motility. In the
present study, we first determined the cDNA and amino acid sequences of motilin
in the Japanese quail and studied the distribution of motilin-producing cells in
the gastrointestinal tract. We also examined the motilin-induced contractile
properties of quail GI tracts using an in vitro organ bath, and then elucidated
the mechanisms of motilin-induced contraction in the proventriculus and duodenum
of the quail. Mature quail motilin was composed of 22 amino acid residues, which
showed high homology with chicken (95.4%), human (72.7%), and dog (72.7%)
motilin. Immunohistochemical analysis showed that motilin-immunopositive cells
were present in the mucosal layer of the duodenum (23.4+/-4.6cells/mm(2)),
jejunum (15.2+/-0.8cells/mm(2)), and ileum (2.5+/-0.7cells/mm(2)), but were not
observed in the crop, proventriculus, and colon. In the organ bath study, chicken
motilin induced dose-dependent contraction in the proventriculus and small
intestine. On the other hand, chicken ghrelin had no effect on contraction in the
GI tract. Motilin-induced contraction in the duodenum was not inhibited by
atropine, hexamethonium, ritanserin, ondansetron, or tetrodotoxin. However,
motilin-induced contractions in the proventriculus were significantly inhibited
by atropine and tetrodotoxin. These results suggest that motilin is the major
stimulant of GI contraction in quail, as it is in mammals and the site of action
of motilin is different between small intestine and proventriculus.
PMID- 27179883
TI - Dominance-related seasonal song production is unrelated to circulating
testosterone in a subtropical songbird.
AB - Circulating testosterone (T) is widely considered to play a key role in the
production of sexual displays by male vertebrates. While numerous studies support
a role for circulating T in promoting the production of song in male birds, this
understanding is based primarily on evidence from seasonally breeding northern
temperate species, leaving it unclear whether this mechanism generalizes to other
regions of the world. Here we investigate whether variation in circulating levels
of T can explain the marked within- and among-individual variation in male song
performance observed in a subtropical population of the year-round territorial
white-browed sparrow weaver (Plocepasser mahali mahali). Our findings reveal that
both circulating T and male song production peaked at a similar time point,
halfway through the population-level breeding season. However, while dominant
males were more likely to sing and sang for longer than subordinate males, within
group paired comparisons revealed no dominance-related differences in circulating
T. Moreover, comparisons both among and within individual dominant males revealed
that song duration, syllable rate and proportion of time spent singing were all
unrelated to circulating T. Together, our findings suggest that natural variation
in male song production, at least in this population of white-browed sparrow
weavers, is achieved principally through mechanisms other than variation in
circulating T concentration. More widely, our results are in line with the view
that male song production is not exclusively regulated by gonadally synthesized
steroids.
PMID- 27179884
TI - Moult-inhibiting fusion protein augments while polyclonal antisera attenuate
moult stages and duration in Penaeus monodon.
AB - Moulting in crustaceans is regulated by moult-inhibiting hormone (MIH) of the CHH
family neuropeptides. The inhibitory functions of MIH have pivotal roles in
growth and reproduction of Penaeus monodon. In this study, we report the
expression of a thioredoxin-fused mature MIH I protein (mf-PmMIH I) of P. monodon
in a bacterial system and its use as antigen to raise polyclonal antiserum (anti
mf-PmMIH I). The mature MIH I gene of 231bp, that codes for 77 amino acids, was
cloned into the Escherichia coli thioredoxin gene fusion expression system. The
translation expression vector construct (mf-PmMIH I+pET32a+) upon induction
produced 29.85kDa mature MIH I fusion protein (mf-PmMIH I). The purified fusion
protein was used as exogenous MIH I and as antigen to raise polyclonal antisera.
When fusion protein (mf-PmMIH I) was injected into D2 and D3 stages of juvenile
shrimp, the moult cycle duration was extended significantly to 16.67+/-1.03 and
14.67+/-1.03days respectively compared to that of 11.67+/-1.03days in controls.
Moult duration was further reduced to 8.33+/-0.82days when polyclonal antiserum
(anti-mf-PmMIH I - 1:500 dilutions) was injected. Anti-mf-PmMIH I immunolocalized
MIH I producing neurosecretory cells in the eyestalk of P. monodon. In short, the
present manuscript reports an innovative means of moult regulation in P. monodon
with thioredoxin fused MIH I and antisera developed.
PMID- 27179885
TI - Neuroendocrine control of ionic balance in zebrafish.
AB - Zebrafish (Danio rerio) is an emerging model for integrative physiological
research. In this mini-review, we discuss recent advances in the neuroendocrine
control of ionic balance in this species, and identify current knowledge gaps and
issues that would benefit from further investigation. Zebrafish inhabit a hypo
ionic environment and therefore are challenged by a continual loss of ions to the
water. To maintain ionic homeostasis, they must actively take up ions from the
water and reduce passive ion loss. The adult gill or the skin of larvae are the
primary sites of ionic regulation. Current models for the uptake of major ions in
zebrafish incorporate at least three types of ion transporting cells (also called
ionocytes); H(+)-ATPase-rich cells for Na(+) uptake, Na(+)/K(+)-ATPase-rich cells
for Ca(2+) uptake, and Na(+)/Cl(-)-cotransporter expressing cells for both Na(+)
and Cl(-) uptake. The precise molecular mechanisms regulating the paracellular
loss of ions remain largely unknown. However, epithelial tight junction proteins,
including claudins, are thought to play a critical role in reducing ion losses to
the surrounding water. Using the zebrafish model, several key neuroendocrine
factors were identified as regulators of epithelial ion movement, including the
catecholamines (adrenaline and noradrenaline), cortisol, the renin-angiotensin
system, parathyroid hormone and prolactin. Increasing evidence also suggests that
gasotransmitters, such as H2S, are involved in regulating ion uptake.
PMID- 27179886
TI - Delayed recurrence of acute retinal necrosis (ARN): A case series.
AB - PURPOSE: To report five cases of acute retinal necrosis (ARN) that reactivated in
the same eye or presented in the contralateral eye between two and nineteen years
after the initial episode of acute retinal necrosis. CASES: Five patients with a
previous history of ARN developed recurrent ARN infection following a lengthy
latency period. In all five patients who initially presented with unilateral
disease, four developed infection in the contralateral eye and one developed
recurrent infection in the ipsilateral eye. Latency periods ranged from two to
nineteen years, and final visual acuity in the affected eyes ranged from 20/30 to
no light perception. Each patient was treated with antiviral medication for both
the initial infection and for subsequent reactivations, but was not on long-term
prophylaxis at the time of recurrent disease. CONCLUSION: Although rare, delayed
onset reactivation of ARN can occur in either the same eye or contralateral eye
despite adequate treatment. While contralateral spread of initial infection is
fairly common, these reactivations rarely occur more than six weeks after initial
infection. Currently there are no guidelines for use of prophylactic antiviral
medication to prevent late recurrence of ARN.
PMID- 27179887
TI - Can breast cancer register data on recommended adjuvant treatment be used as a
proxy for actually given treatment?
AB - PURPOSES: To study agreement between recommended adjuvant treatment after primary
breast cancer (BC) surgery from the clinical based National Breast Cancer
Register and initiated adjuvant treatment from medical records; factors
associated with agreement; and reasons for discontinuation or change of planned
treatment. METHOD: Included were 970 women who had undergone BC surgery, aged 20
63 years, living in Stockholm County, and literate in Swedish. EXCLUSION
CRITERIA: Distant metastases, pre-surgical chemotherapy, and/or a previous BC
diagnosis. Information on clinical tumor stage, surgical treatment, recommended
adjuvant radiotherapy, chemotherapy, and endocrine therapy was obtained from the
BC register. Type of initiated adjuvant treatments, if treatment plan was
followed, and reasons for discontinuation were extracted from medical records.
RESULTS: The register had high completeness and agreement was high, 94-96%,
(kappa 0.801-0.908) for all types of treatment. Disagreement regarding
radiotherapy and chemotherapy was associated with having >=1 lymph node
metastases and more extended axillary surgery, and for radiotherapy also more
extended breast surgery. There were no such associations with age, tumor size, or
invasiveness. None of these factors were associated with disagreement regarding
recommended versus initiated endocrine therapy. Endocrine therapy was most often
discontinued (24%), mostly due to toxicity which was also the most common reason
for discontinuation of chemotherapy. CONCLUSIONS: Swedish register data on
recommended treatment has high validity in women aged 24-63 years, with limited
BC, and demonstrates utility as a proxy for initiated treatment in this group.
This is of interest since extracting data from medical records is resource
demanding.
PMID- 27179888
TI - Development and validation of scales for attitudes, self-reported practices,
difficulties and knowledge among home care nurses providing palliative care.
AB - PURPOSE: Although educational programs for nurses are required to ensure high
quality home care, there is currently no scale to appropriately evaluate such
programs for home care nurses providing palliative care. We developed and
validated four scales to evaluate home care nurses' attitude, self-reported
practices, difficulties, and knowledge regarding home palliative cancer care, and
identified factors associated with home care nurses' attitude, self-reported
practices, and difficulties. METHOD: The scale items were generated based on
literature review and a cross-sectional questionnaire survey was conducted.
Experienced home care nurses from visiting nurse stations who enrolled in a home
palliative care educational program were recruited for this survey. RESULTS: Of
the 125 questionnaires delivered to home care nurses, 122 were returned (response
rate, 98%). After factor analysis, the scale for attitude comprised four domains
with 12 items, the scale for self-reported practices comprised six domains with
26 items, and the scale for difficulties comprised five domains with 18 items.
Cronbach's alphas for these scales were 0.61-0.70. After using the Item Response
Theory model, the scale for knowledge was found to comprise 26 items. The
multiple logistic regression model showed that experience in caring for terminal
patients at home or in hospitals were associated with having more positive
attitude, higher self-reported practices and lower difficulties. CONCLUSIONS: We
developed valid and reliable scales to evaluate home care nurses' attitude, self
reported practices, difficulties, and knowledge regarding home palliative cancer
care. These scales potentially useful for evaluating a home palliative cancer
care education program for nurses.
PMID- 27179889
TI - A gap between the intention of the Swedish law and interactions between nurses
and children of patients in the field of palliative oncology - The perspective of
nurses.
AB - PURPOSE: Children who have a parent with incurable cancer are in a vulnerable
situation and the Swedish law tries to protect them. This article aims to explore
the interactions between nurses and children of patients with incurable cancer
from the nurses' perspective. METHOD: Semi-structured interviews with nine nurses
in palliative oncology in Southern Sweden. Latent content analysis was carried
out, inspired by Lundmann and Graneheim. RESULTS: Parents are gatekeepers to the
children's involvement and meetings with the healthcare professionals. Therefore
the nurses were dependent on the parents for contact with their children.
Additionally, nurses were subject to the structural frame of their working
environment in terms of time, economy, resources and the medical logic ruling the
priorities for nursing during their daily working day. The opportunities to pay
attention to the children of patients were limited, despite good intentions,
willingness and a favourable legal framework. Teenagers were regarded as a
challenge, and per se they challenged the nurses' opportunities to gain control
of the meetings and situations around the families. CONCLUSIONS: Often nurses did
not see and acknowledge the children of the palliative patient. They knew that
the children were there and that it was important that they were there, but they
challenged the order in the working environment in relation to time-allocated
tasks and working flow. In the working environment patients were prioritised over
relatives. From the perspective of nurses, there is a gap between the intentions
of the Swedish law and the interactions between nurses and children.
PMID- 27179890
TI - Prevalence and predictors of distress in women taking part in surgical continuity
of care for breast cancer: A cohort study.
AB - PURPOSE: Women with breast cancer often experience distress. This cohort study
investigated the prevalence of distress, predictors of distress, and changes in
distress during surgical continuity of care for breast cancer (from diagnosis to
commencement of adjuvant treatment). METHODS: The participants were 1079 women
with breast cancer who were recruited between April 2013 and May 2014 from 11
breast surgery departments in Denmark. Distress was evaluated using the Distress
Thermometer (DT) and predictors of distress were assessed with a self
administered questionnaire at the time of diagnosis (T1), at discharge (T2), and
by the start of adjuvant treatment or follow-up (T3). Repeated measures ANOVA,
simple and multiple linear regression, and mixed effects regression models were
used to identify predictors and estimate changes in distress. RESULTS: At T1, 249
(24.3%) women reported no or minimal distress, 298 (29.1%) moderate distress, and
407 (39.8%) severe distress. The mean distress was 5.5 points on the DT, which
decreased by 0.70 (95% confidence interval (CI) -0.80, -0.54) points from T1 to
T3. Predictors of distress were time since diagnosis, age, prior or concurrent
intake of antidepressants or sedative medicine, prior emotional status, children
living at home, feelings regarding femininity and attractiveness, and hospital.
CONCLUSIONS: More than two-thirds of women with breast cancer experienced
moderate or severe distress. Mean distress decreased slightly during surgical
continuity of care. However, for some women, distress remained unchanged or even
worsened. These findings highlight the need to identify the individual women with
distress and offer them adequate support and care.
PMID- 27179892
TI - Health-related quality of life in teenagers with a parent with cancer.
AB - PURPOSE: Health-related quality of life (HRQoL) in teenagers exposed to parental
cancer has shown divergent results as an outcome measure. In this study we wanted
to: 1) compare the HRQoL of teenagers exposed to parental cancer (CASES) with
normative European HRQoL data (NORMs) measured close to parental diagnosis and
treatment; 2) study changes in the HRQoL of CASES from baseline to follow-up; 3)
explore sex differences in the HRQoL of CASES; and 4) explore eventual
confounders of HRQoL of CASES at baseline. METHODS: Forty-five families with one
parent diagnosed with primary invasive cancer were included, these families had
69 teenagers. At the follow-up, 26 families with 29 teenagers complied. Both
parents and teenagers filled in electronic questionnaires over the Internet.
HRQoL in teenagers was self-rated by the KIDSCREEN-27 at baseline and follow-up,
and the responses were compared to a European normative sample (NORMs). RESULTS:
1) The teenagers scored significantly lower on the Physical well-being dimension
compared to the NORMs at baseline, while no significant differences were observed
concerning the four other HRQoL dimensions. 2) Some significant improvements were
observed on HRQoL dimensions from baseline to follow-up. 3) CASES girls showed a
trend towards lower HRQoL scores compared to boys. 4) Parental cancer-related
characteristics and family function were not related to teenagers' HRQoL, but so
were teenagers' self-esteem. CONCLUSIONS: At group level, living with a parent
who receives curative treatment for a recently diagnosed cancer affects
teenagers' HRQoL to certain extent. Self-esteem is a confounder to teenagers'
HRQoL in our sample.
PMID- 27179891
TI - Burnout and the provision of psychosocial care amongst Australian cancer nurses.
AB - PURPOSE: To assess the prevalence of burnout amongst Australian cancer nurses as
well as investigate the systemic and individual factors associated with burnout,
including training and supervision for nurses in psychosocial care. Burnout
amongst cancer nurses can have serious consequences for the individual nurse, the
hospital and patients. Psychosocial care has been demonstrated in many studies to
reduce distress in cancer patients; however, previous studies have suggested that
providing psychosocial care can be stressful if nurses feel they lack appropriate
training. Psychosocial skill training and supervision may be a way of improving
job satisfaction and reducing burnout amongst nurses. METHOD: Two hundred and
thirty cancer nurses were recruited between November 2010 and April 2011 and
completed an online questionnaire. RESULTS: Burnout levels within this population
were found to be below nursing norms. Adequacy of training and supervision,
frequency of supervision and percentage of role spent managing psychosocial care
were found to be associated with burnout. Workload, Control, Reward and Community
were independent predictors of burnout, and nurses with a greater mismatch in
these areas identified as having High levels of burnout. CONCLUSIONS: Strategies
to reduce burnout include providing cancer nurses with a varied and sustainable
workload, awarding financial and social recognition of efforts and encouraging
nurses to develop a sense of control over their work. Providing regular training
and supervision in psychosocial care that is perceived to be adequate may also
assist in reducing burnout.
PMID- 27179893
TI - Physical symptom burden of post-treatment head and neck cancer patients
influences their characterization of food: Findings of a repertory grid study.
AB - BACKGROUND AND AIM: Dietary advice for post treatment head and neck cancer (HNC)
patients emphasizes food characteristics of nutritional value and texture, and
not patients' characterization of food. The aim of this study was to determine
patients' characterization of food. METHODS: Repertory grid interviews were
conducted with 19 orally-fed HNC patients between 4 and 10 months post-treatment
to characterize foods commonly eaten, avoided and eaten sometimes. Patients
compared and rated 12 foods using their own descriptors. Data were analyzed by
General Procrustes Analysis (GPA). Socio-demographic status, taste and smell
alterations, appetite and food intake data were also collected. Patient physical
symptom burden was defined by University of Washington-Quality of Life Physical
Function domain scores and used to stratify patients with "less physical symptom
burden" (n = 11, score >= 61.7) or "greater physical symptom burden" (n = 8,
score < 61.7). RESULTS: All patients used descriptors of taste, ease of eating,
convenience, texture, potential to worsen symptoms and liking to characterize
foods. Overall, avoided foods were characterized as having dry texture, while
foods commonly eaten were characterized by their ease of eating and low potential
to worsen symptoms. Descriptors of nutrition and smell were significant only for
patients with greater physical symptom burden. CONCLUSIONS: Physical symptom
burden influenced the characterization of foods among post-treatment HNC
patients. Nutrition counseling must consider patients' physical symptom burden
and the subsequent characterization of food that drive food selection or
avoidance to facilitate dietary advice for adequate, appropriate and enjoyable
food intake.
PMID- 27179894
TI - Preliminary evaluation of reliability and validity of head and neck external
lymphedema and fibrosis assessment criteria.
AB - PURPOSE: Measurement of head and neck external lymphedema and fibrosis (LEF) is
challenging. To address this gap, we developed the Head and Neck External
Lymphedema and Fibrosis (HN-LEF) Assessment Criteria. This article aimed to
report preliminary data on reliability and validity of the HN-LEF Assessment
Criteria. METHODS: Sixty head and neck cancer (HNC) patients who were >=3-month
post cancer therapy were recruited. Study measures included 1)
demographic/medical data; 2) LEF physical examination completed independently by
two staff members for interrater reliability (intrarater reliability completed by
one of them); and 3) grayscale ultrasound examination of the head and neck skin.
Reliability estimates used percent agreement and Kappa statistic. Validity was
assessed via Spearman correlations of physical examination findings with
ultrasound measurements. RESULTS: Fifty-one out of 60 HNC patients completed both
physical examination and ultrasound assessments. Interrater reliability: 91.0%
agreement (Kappa = 0.81, p < 0.001) on the presence of types of LEF; 84.9%
agreement regarding the grade of LEF (Kappa = 0.70, p < 0.001) across all
anatomic sites. Intrarater reliability: 96.1% agreement for type of LEF; and
91.4% agreement for grade across all sites. Ultrasound examination demonstrates
characteristics and patterns for different types of LEF (particularly in the
cheek, submental, and neck regions). CONCLUSIONS: The study provided initial
reliability and validity data for a clinician-reported tool evaluating external
LEF in the HNC population. These preliminary findings demonstrate that the tool
had good reliability. Associations with the ultrasound examination results
demonstrate that the tool validly captures soft tissue changes at select sites.
Further validation of the tool is warranted.
PMID- 27179895
TI - A qualitative study of blood and marrow transplant patient experiences
participating in art making and music listening.
AB - PURPOSE: To explore patient experiences of engaging in art making or music
listening while receiving treatment in a blood and marrow transplant clinic.
METHOD: Researchers recruited 25 individuals receiving blood and marrow
transplant (BMT) treatment, 12 men and 13 women aged 22 to 74, from a Midwestern
outpatient BMT clinic. Participants engaged in a painting activity or listened to
music on an iPad using an internet music application for one hour. Researchers
interviewed participants after the one-hour activity to gain insight into
participants' perceptions of the art making or music listening experience.
Interviews were recorded, transcribed verbatim, and independently coded by
members of the research team. Researchers met on several occasions to analyse
codes and agree on emerging themes. RESULTS: Nine themes emerged from the data
including, Engaging in Activity, Art and Music in Daily Life, Expression,
Engaging with Equipment, Novelty, BMT Process, Activity Process, Social Support,
and Living Situation. Participants enjoyed art making and music listening and
found the activities beneficial during treatment. CONCLUSIONS: Participants
benefited from art making and music listening because these activities increased
the variety of options available during treatment, allowed for self-expression,
and could be done alone or with caregivers.
PMID- 27179896
TI - The lived experiences of aboriginal adolescent survivors of childhood cancer
during the recovering process in Taiwan: A descriptive qualitative research.
AB - PURPOSE: The purpose of this study was to understand the experiences of Taiwanese
aboriginal adolescent survivors of childhood cancer during the process of
recovery. METHOD: A snowball sampling strategy was used to recruit participants
from the pediatrics unit of a medical center in the eastern region of Taiwan. In
depth interviews were conducted with 11 aboriginal adolescent childhood cancer
survivors. The data were analyzed using content analysis. RESULTS: The results
revealed three major themes with subthemes within each theme. The three major
themes are: roots of resilience, transformation and growth, and meaning of
traditional rituals for resilience. The three subthemes within "roots of
resilience" include: "feeling secure through company of family, care and
financial support", "receiving support from the important others and religion"
and "learning to self-adjust". The three subthemes revealed within
"transformation and growth" are: restructuring the relationship with peers,
"appreciating parents' hard work", and "learning to seize the moment". The two
subthemes within "meaning of traditional rituals to resilience" include: "feeling
blessed with the power of ancestral spirits" and "strengthening ethnic identity".
CONCLUSION: This study provided insight into the experiences of aboriginal
adolescents as they recovered from childhood cancer. The experiences made
positive impacts by inspiring growth in maturity and consolidating aboriginal
ethnic identity. The adolescents were empowered by support from family, friends
and clansmen, and by their participation in aboriginal rituals. As healthcare
professionals care for the aboriginal adolescents, it is critical to consider
this culturally and ethnically specific knowledge/experience of surviving cancer
to improve quality of care.
PMID- 27179897
TI - Not feeling sick from breast cancer: A framework on health status perceptions
transition process.
AB - PURPOSE: In what state of health do women with breast cancer consider themselves
to be? Health professionals classify them as cancer victims but few studies have
examined women's perceptions of their own health following a breast cancer
diagnosis. We looked at the transition in health status perceptions between
before and after receiving the diagnosis. METHODS: A grounded theory design was
chosen to develop a framework. RESULTS: From an analysis of semi-structured
individual interviews with 32 women, it emerged that 1) over a two-year period,
the participants went through four iterative steps between receipt of the
official diagnosis and the return of the level of energy once the treatments are
done. Theses four steps are: reacting emotionally, facing the situation,
constructing a new identity and reacting to social representations of cancer, 2)
the participants did not feel sick from breast cancer. CONCLUSION: This study
shows learning to live with a sword of Damocles over the head during the
transition process. The emergent steps of the health status perceptions
transition process in breast cancer trajectory give direction for care.
PMID- 27179898
TI - Managing socio-institutional enclosure: A grounded theory of caregivers'
attentiveness in hospital care.
AB - PURPOSE: Caregivers' attentiveness is vital for healthcare quality, yet existing
research lacks a specific definition and neglects its different forms and
aspects. METHODS: This paper presents a qualitative, grounded theory of
attentiveness in hospital oncology care. RESULTS: Our data show nine types of
attentiveness. We answer the question why a caregiver practices one type of
attentiveness in a certain situation, and not another type. First, it appears to
be of crucial importance whether attentiveness is essential for giving care in
the opinion of the caregiver. Second, the focus of attention is essential. Care
given by doctors and nurses is always ambivalent; on the one hand, it concerns
the body, and on the other hand, it involves the person whom that body belongs
to. What is the caregiver (mainly) focused on? The significance of socio
institutional enclosure emerged as a key theme within the findings. CONCLUSIONS:
Socio-institutional enclosure concerns the space a caregiver may or may not
experience to break free from the preponderant institutional orientation towards
the physical body of the patient. At the intersection of the influence of socio
institutional enclosure and the substance of the caregivers' concepts of care,
three cultures are found that comprise the different types of attentiveness.
PMID- 27179899
TI - C667T and A1298C polymorphisms of methylenetetrahydrofolate reductase gene and
susceptibility to myocardial infarction: A systematic review and meta-analysis.
AB - MTHFR C677T and A1298C polymorphisms have been reported to be associated with the
risk of myocardial infarction (MI), although the results of previous studies have
been inconsistent. The aim of this study was to explore whether these
polymorphisms play a role in the genetic susceptibility to MI. A comprehensive
search of MEDLINE and EMBASE databases was conducted for studies evaluating the
association between the C667T and A1298C polymorphisms and MI risk. Odds ratios
(OR) with 95% confidence intervals (CIs) were calculated to assess the strength
of association in the dominant model, recessive model, allelic model, and
genotypes contrast. A total of 47 studies were finally included in this meta
analysis. Overall, the results showed no statistically significant association
between C667T and A1298C polymorphisms and MI risk. However, in subgroup analysis
by ethnicity, the T allele of C677T polymorphism was associated with a 63%
increased risk of MI compared with the C allele (T vs. C, OR=1. 63, 95%CI=1.15
2.10, fixed effects) in African populations, while compared to wild homozygote
genotype, CT genotype was associated with a decreased risk of MI in North
American populations (CT vs. CC, OR=0.81, 95%CI=0.64-0.98, fixed effects).
Moreover, C677T polymorphism had a protective effect against MI risk under the
dominant model (OR=0.93, 945%CI=0.87-0.99, fixed effects) in elderly (>=50)
population. The A1298C polymorphism was not significantly associated with MI
risk. Unlike A1298C polymorphism, C677T polymorphism was associated with risk of
MI in African, North American, and elderly populations.
PMID- 27179900
TI - A systematic review and meta-regression of temporal trends in the excess
mortality associated with diabetes mellitus after myocardial infarction.
AB - BACKGROUND/OBJECTIVES: It is not well known whether the gap in outcomes after
myocardial infarction (MI) between patients with and without diabetes mellitus
(DM) has changed over time. We performed a systematic review and metaregression
of temporal trends in the excess mortality associated with DM after MI. METHODS:
We searched the PubMed database for studies reporting mortality data according to
diabetic status in patients hospitalized for MI or acute coronary syndromes
(ACS). We included 139 studies/cohorts for analysis (432,066 diabetic patients
and 1,182,108 nondiabetic patients). RESULTS: When compared to their non-diabetic
counterparts, patients with DM had an odds ratio (OR) [95% CI] of 1.66 [1.59
1.74] (P<0.0001) for early mortality, and of 1.86 [1.75-1.97] (P<0.0001) for 6
12months mortality. When all data from the 116 studies reporting early mortality
were pooled, there was no significant relationship between calendar year and Log
(OR). Likewise, when considering the 61 studies reporting 6-12months mortality,
there was no significant relationship between calendar year and Log (OR). Similar
to the overall pooled analysis, no significant relationship between inclusion
year and Log (OR) for mortality in diabetic patients was observed in sensitivity
analyses performed in studies with ST-elevation MI as inclusion criteria, in
randomized trials, in studies including >2000 patients, and in studies with DM
prevalence >20%. CONCLUSIONS: We found no evidence for temporal changes in the
incremental mortality risk associated with DM in the setting of MI. The
improvements in management of MI patients during the last decades have not been
associated with a reduction of the gap between diabetic and non-diabetic
patients.
PMID- 27179901
TI - Association between retinal vein occlusion and risk of heart failure: A 12-year
nationwide cohort study.
AB - BACKGROUNDS: Retinal vein occlusion (RVO) is one of the major causes of visual
impairment in elderly people. Risk factors for RVO are also common risk factors
for cardiovascular disease, including heart failure (HF). However, the
association between RVO and HF has not been evaluated. METHODS AND RESULTS: A
retrospective propensity-score matched cohort study was conducted using national
representative 1 million samples from the Korea National Health Insurance
Service. The RVO group included patients with a first diagnosis of either central
or branch RVO (n=1754) and the comparison group included randomly selected
patients (n=8749) who were matched to sociodemographic factors and the year of
RVO diagnosis. In the longitudinal cohort, HF developed in 11.6% and 8.0% of
patients in the RVO and comparison groups, respectively, (p<0.001) during the 11
year follow-up period (median, 7.6years). RVO was significantly associated with
an increased risk of HF after multivariable adjustment (HR=1.36; 95% CI, 1.16
1.60). In terms of HF subtypes, RVO was associated with the risk of ischemic HF
but not with the risk of non-ischemic HF. The effect size (~HR) for HF by RVO was
larger in patients without each comorbidity than in patients with each
comorbidity. CONCLUSIONS: Our observational study on nationwide data suggests
that RVO is associated with an increased risk of the incidence of HF, especially
ischemic HF. An optimal surveillance strategy and referring from ophthalmologists
to cardiologists should be considered in the presence of one or more additional
HF risk factors in patients with RVO.
PMID- 27179902
TI - ACE-inhibitors versus angiotensin receptor blockers for prevention of events in
cardiovascular patients without heart failure - A network meta-analysis.
AB - BACKGROUND: Angiotensin receptor blockers (ARBs) are a valuable option to reduce
cardiovascular (CV) mortality and morbidity in cardiac patients in whom ACE
inhibitors (ACE-Is) cannot be used. However, clinical outcome data from direct
comparisons between ACE-Is and ARBs are scarce, and some data have recently
suggested superiority of ACE-Is over ARBs. METHODS: We performed a Bayesian
network-meta-analysis, with data from both direct and indirect comparisons, from
27 randomized controlled trials (RCTs), including a total population of 125,330
patients, to assess the effects of ACE-Is and ARBs on the composite endpoint of
CV death, myocardial infarction (MI) and stroke, and on all-cause death, new
onset heart failure (HF) and new-onset diabetes mellitus (DM) in high CV risk
patients without HF. RESULTS: Using placebo as a common comparator, we found no
significant differences between ACE-Is and ARBs in preventing the composite
endpoint of CV death, MI and stroke (RR: 0.92; 95% CI 0.78-1.08). When components
of the composite outcome were analysed separately, ACEi and ARBs were associated
with a similar risk of CV death (RR: 0.92; 95% CI 0.73-1.10), MI (RR: 0.91; 95%
CI 0.78-1.07) and stroke (RR: 0.97; 95% CI 0.79-1.19), as well as a similar
incident risk of all-cause death (RR: 0.94; 95% CI 0.85-1.05), new-onset HF (RR:
0.92; 95% CI 0.77-1.15) and new-onset DM (RR: 99; 95% CI 0.81-1.21). CONCLUSIONS:
With the limitations of indirect comparisons, we found that in patients at high
CV risk without HF, ARBs were similar to ACE-Is in preventing the composite
endpoint of CV death, MI and stroke. Compared with ARBs, we found no evidence of
statistical superiority for ACE-Is, as a class, in preventing incident risk of
all-cause death, CV death, MI, stroke, new-onset DM and new-onset HF.
PMID- 27179903
TI - Cardiovascular magnetic resonance in rheumatology: Current status and
recommendations for use.
AB - Targeted therapies in connective tissue diseases (CTDs) have led to improvements
of disease-associated outcomes, but life expectancy remains lower compared to
general population due to emerging co-morbidities, particularly due to excess
cardiovascular risk. Cardiovascular magnetic resonance (CMR) is a noninvasive
imaging technique which can provide detailed information about multiple
cardiovascular pathologies without using ionizing radiation. CMR is considered
the reference standard for quantitative evaluation of left and right ventricular
volumes, mass and function, cardiac tissue characterization and assessment of
thoracic vessels; it may also be used for the quantitative assessment of
myocardial blood flow with high spatial resolution and for the evaluation of the
proximal coronary arteries. These applications are of particular interest in
CTDs, because of the potential of serious and variable involvement of the
cardiovascular system during their course. The International Consensus Group on
CMR in Rheumatology was formed in January 2012 aiming to achieve consensus among
CMR and rheumatology experts in developing initial recommendations on the current
state-of-the-art use of CMR in CTDs. The present report outlines the
recommendations of the participating CMR and rheumatology experts with regards
to: (a) indications for use of CMR in rheumatoid arthritis, the
spondyloarthropathies, systemic lupus erythematosus, vasculitis of small, medium
and large vessels, myositis, sarcoidosis (SRC), and scleroderma (SSc); (b) CMR
protocols, terminology for reporting CMR and diagnostic CMR criteria for
assessment and quantification of cardiovascular involvement in CTDs; and (c) a
research agenda for the further development of this evolving field.
PMID- 27179904
TI - Resting multilayer 2D speckle-tracking TTE for detection of ischemic segments
confirmed by invasive FFR part-2, using post-systolic-strain-index and time from
aortic-valve-closure to regional peak longitudinal-strain.
AB - PURPOSE: This study evaluated the post-systolic strain index (PSI), and the time
interval between aortic valve closure (AVC) and regional peak longitudinal strain
(PLS), measured by transthoracic echocardiography (TTE), for detection of left
ventricular (LV) myocardial ischemic segments confirmed by invasive fractional
flow reserve (FFR). MATERIALS AND METHODS: 39 stable patients (32 males; 65.8+/
11.9years) with 46 coronary arteries at >=50% stenosis on invasive coronary
angiography underwent 2D speckle tracking TTE (Vivid E9, GE Healthcare) and
invasive FFR measurements. PSI, AVC and regional PLS in each LV segment were
calculated. RESULTS: FFR <=0.80 was detected in 27 LV segments. There were no
significant differences between segments supplied by FFR <=0.80 and FFR >0.80
vessels in either PSI or the time interval between AVC and regional PLS. To
identify LV segments+/-FFR <=0.80, the receiver operator characteristic (ROC)
curves for PSI, and the time interval between AVC and regional PLS had areas
under the curve (AUC) values of 0.58 and 0.57, respectively, with best cut-off
points of 12% (sensitivity 70.4%, specificity 57.9%) and 88ms (sensitivity 70.4%,
specificity 52.6%), respectively, but the AUCs were not statistically
significant. CONCLUSION: In stable coronary artery disease patients with >=50%
coronary artery stenosis, measurement of PSI, and the time interval between AVC
and regional PLS, on resting TTE, enabled the identification of LV segments with
FFR <=0.80 using each appropriate threshold for PSI, and the time interval
between AVC and regional PLS, with reasonable diagnostic accuracy. However, the
AUC values were not statistically significant.
PMID- 27179905
TI - Distribution of cuticular proteins in different structures of adult Anopheles
gambiae.
AB - Anopheles gambiae devotes over 2% (295) of its protein coding genes to structural
cuticular proteins (CPs) that have been classified into 13 different families
plus ten low complexity proteins not assigned to families. Small groups of genes
code for identical proteins reducing the total number of unique cuticular
proteins to 282. Is the large number because different structures utilize
different CPs, or are all of the genes widely expressed? We used LC-MS/MS to
learn how many products of these genes were found in five adult structures:
Johnston's organs, the remainder of the male antennae, eye lenses, legs, and
wings. Data were analyzed against both the entire proteome and a smaller database
of just CPs. We recovered unique peptides for 97 CPs and shared peptides for
another 35. Members of 11 of the 13 families were recovered as well as some
unclassified. Only 11 CPs were present exclusively in only one structure while 43
CPs were recovered from all five structures. A quantitative analysis, using
normalized spectral counts, revealed that only a few CPs were abundant in each
structure. When the MS/MS data were run against the entire proteome, the majority
of the top hits were to CPs, but peptides were recovered from an additional 467
proteins. CP peptides were frequently recovered from chitin-binding domains,
confirming that protein-chitin interactions are not mediated by covalent bonds.
Comparison with three other MS/MS analyses of cuticles or cuticle-rich structures
augmented the current analysis. Our findings provide new insights into the
composition of different mosquito structures and reveal the complexity of
selection and utilization of genes coding for structural cuticular proteins.
PMID- 27179906
TI - Use of [(18)F]Fluoro-2-deoxy-d-glucose Positron Emission Tomographic Imaging in
the National Lung Screening Trial.
AB - BACKGROUND: Positron emission tomography (PET) is a diagnostic tool for lung
cancer evaluation. No studies have ascertained practice patterns and determined
the appropriateness of PET imaging in a large group of US patients with screen
detected lung nodules. METHODS: We analyzed participants in the National Lung
Screening Trial (NLST) with positive screening test results and identified
individuals with a PET scan performed prior to lung cancer diagnosis (diagnostic
PET). Appropriate scan was defined as one performed in a patient with a nodule >=
0.8 cm. Logistic regression was used to assess factors associated with diagnostic
PET scan use and appropriateness of PET scan use. RESULTS: Diagnostic PET imaging
was performed in 1,556 of 14,195 patients (11%) with positive screen results; 331
of these (21%) were inappropriate. PET scan use by endemic fungal disease area
was comparable although patients from the Northeast/Southeast were twice as
likely as the West to have a diagnostic PET. Trial arm, older age, sex, nodule
size >= 0.8 cm, upper lobe location, and spiculated margin were variables
positively associated with use. Trial arm, older age, and spiculated margin were
positively associated with appropriate use. Only 561 diagnostic PETs (36%) were
recommended by a radiologist and 284 PETs performed for nodules < 0.8 cm (86%)
were ordered despite no recommendation from a radiologist. CONCLUSIONS: PET
imaging was differentially used in the NLST and inappropriately used in many
cases against radiologist recommendations. These data suggest PET imaging may be
overused in the lung cancer screening population and may contribute to excess
health-care costs.
PMID- 27179907
TI - Association Between Testosterone Replacement Therapy and the Incidence of DVT and
Pulmonary Embolism: A Retrospective Cohort Study of the Veterans Administration
Database.
AB - BACKGROUND: Testosterone replacement therapy (TRT) prescriptions have increased
several-fold in the last decade. There have been concerns regarding a possible
increased incidence of DVT and pulmonary embolism (PE) with TRT. Few data support
the association between TRT and DVT/PE. We evaluated the incidence of DVT and PE
in men who were prescribed TRT for low serum total testosterone (sTT) levels.
METHODS: This is a retrospective cohort study, conducted using data obtained from
the Veterans Affairs Informatics and Computing Infrastructure. We compared the
incidence of DVT/PE between those who received TRT and subsequently had normal on
treatment sTT levels (Gp1), those who received TRT but continued to have low on
treatment sTT (Gp2), and those who did not receive TRT (Gp3). Those with prior
history of DVT/PE, cancer, hypercoagulable state, and chronic anticoagulation
were excluded. RESULTS: The final cohort consisted of 71,407 subjects with low
baseline sTT. Of these, 10,854 did not receive TRT (Gp3) and 60,553 received TRT.
Of those who received TRT, 38,362 achieved normal sTT (Gp1) while 22,191
continued to have low sTT (Gp2). The incidence of DVT/PE was 0.5%, 0.4%, and 0.4%
in Gp1, Gp2, and Gp3, respectively. Univariate, multivariate, and stabilized
inverse probability of treatment weights analyses showed no statistically
significant difference in DVT/PE-free survival between the various groups.
CONCLUSIONS: This study did not detect a significant association between
testosterone replacement therapy and risk of DVT/PE in adult men with low sTT who
were at low to moderate baseline risk of DVT/PE.
PMID- 27179908
TI - MicroRNA let-7d is a target of cannabinoid CB1 receptor and controls cannabinoid
signaling.
AB - Cannabinoid CB1 receptor, the molecular target of endocannabinoids and cannabis
active components, is one of the most abundant metabotropic receptors in the
brain. Cannabis is widely used for both recreational and medicinal purposes.
Despite the ever-growing fundamental roles of microRNAs in the brain, the
possible molecular connections between the CB1 receptor and microRNAs are
surprisingly unknown. Here, by using reporter gene constructs that express
interaction sequences for microRNAs in human SH-SY5Y neuroblastoma cells, we show
that CB1 receptor activation enhances the expression of several microRNAs,
including let-7d. This was confirmed by measuring hsa-let-7d expression levels.
Accordingly, knocking-down CB1 receptor in zebrafish reduced dre-let-7d levels,
and knocking-out CB1 receptor in mice decreased mmu-let-7d levels in the cortex,
striatum and hippocampus. Conversely, knocking-down let-7d increased CB1 receptor
mRNA expression in zebrafish, SH-SY5Y cells and primary striatal neurons.
Likewise, in primary striatal neurons chronically exposed to a cannabinoid or
opioid agonist, a let-7d-inhibiting sequence facilitated not only cannabinoid or
opioid signaling but also cannabinoid/opioid cross-signaling. Taken together,
these findings provide the first evidence for a bidirectional link between the
CB1 receptor and a microRNA, namely let-7d, and thus unveil a new player in the
complex process of cannabinoid action.
PMID- 27179909
TI - Impact of CYP2E1, GSTA1 and GSTP1 gene variants on serum alpha glutathione S
transferase level in patients undergoing anaesthesia.
AB - BACKGROUND: The serum glutathione S-transferase alpha (alpha-GST) concentration
has been used as a marker of hepatic condition. After sevoflurane anaesthesia a
mild impairment of hepatocellular integrity was observed. Genetic polymorphisms
in CYP2E1, GSTA1 and GSTP1 genes, affecting enzymes activity, may possibly
influence the hepatotoxic effect of sevoflurane. The aim of this study was to
assess the influence of genetic polymorphism of CYP2E1, GSTA1 and GSTP1 genes on
serum alpha-GST level in 86 unrelated patients representing ASA physical status I
II, undergoing laryngological surgery under general anaesthesia with sevoflurane.
METHODS: The serum samples from three perioperative time points were analyzed
using ELISA. Genetic variants were detected by pyrosequencing and sequencing.
Finally, the statistical associations between serum alpha-GST concentration and
analyzed alleles of CYP2E1, GSTP1 and GSTA1 genes were estimated. RESULTS: The
allele GSTA1*B (-567G, -69T, -52A) frequency was 0.43, whereas the alleles c.313G
and c.341T of GSTP1 were identified with frequencies of 0.28 and 0.1
respectively. The -1053T allele of the CYP2E1 gene was observed with 0.01
frequency. We found serum alpha-GST concentrations in homozygous changes c.313A>G
and c.341C>T of the GSTP1 gene significantly higher at the end of anaesthesia as
compared with the levels at pre-anaesthetic and 24 h post-anaesthetic time
points. Moreover, GSTA1 wild type genotype was associated with increased alpha
GST concentration at 24 h after the end of anaesthesia. CONCLUSIONS: GSTP1 gene
polymorphism has an impact on the perioperative serum alpha-GST concentration in
patients undergoing sevoflurane anaesthesia. A similar association, although not
statistically significant exists between GSTA1 gene variants and perioperative
serum alpha-GST level.
PMID- 27179910
TI - A 20-year perspective of in hospital cardiac arrest: Experiences from a
university hospital with focus on wards with and without monitoring facilities.
AB - BACKGROUND: Knowledge about change in the characteristics and outcome of in
hospital cardiac arrests (IHCAs) is insufficient. AIM: To describe a 20year
perspective of in hospital cardiac arrest (IHCA) in wards with and without
monitoring capabilities. SETTINGS: Sahlgrenska University Hospital (800 beds).
The number of beds varied during the time of survey from 850-746 TIME: 1994-2013.
METHODS: Retrospective registry study. Patients were assessed in four fiveyear
intervals. INCLUSION CRITERIA: Witnessed and nonwitnessed IHCAs when
cardiopulmonary resuscitation (CPR) was attempted. EXCLUSION CRITERIA: Age below
18years. RESULTS: In all, there were 2340 patients with IHCA during the time of
the survey. 30-Day survival increased significantly in wards with monitoring
facilities from 43.5% to 55.6% (p=0.002) for trend but not in wards without such
facilities (p=0.003 for interaction between wards with/without monitoring
facilities and time period). The CPC-score among survivors did not change
significantly in any of the two types of wards. In wards with monitoring
facilities there was a significant reduction of the delay time from collapse to
start of CPR and an increase in the proportion of patients who were defibrillated
before the arrival of the rescue team. In wards without such facilities there was
a significant reduction of the delay from collapse to defibrillation. However,
the latter observation corresponds to a marked decrease in the proportion of
patients found in ventricular fibrillation. CONCLUSION: In a 20year perspective
the treatment of in hospital cardiac arrest was characterised by a more rapid
start of treatment. This was reflected in a significant increase in 30-day
survival in wards with monitoring facilities. In wards without such facilities
there was a decrease in patients found in ventricular fibrillation.
PMID- 27179912
TI - Beta blockers and cancer prognosis - The role of immortal time bias: A systematic
review and meta-analysis.
AB - BACKGROUND: Findings from experimental and observational studies have suggested
beneficial effects of beta blocker (BB) use on cancer survival. Nevertheless,
results have been inconclusive and there have been concerns that the observed
associations might have resulted from immortal time bias (ITB). We conducted a
systematic review and meta-analysis to summarize existing evidence, paying
particular attention to this potential source of bias. METHODS: A systematic
literature search was performed in PubMed and Web of Science. Studies
investigating the association between BB use and overall or cancer-specific
survival were included. Summary estimates were derived from meta-analyses using
random effects models. The potential influence of ITB was investigated. RESULTS:
We identified 30 eligible studies including 88,026 cancer patients in total. We
deemed 11 studies to be at high or unclear risk of ITB. Including all studies in
the meta-analysis, BB users had a significantly better overall (hazard ratio (HR)
0.88, 95% CI 0.79-0.97) and cancer-specific (HR 0.75, 95% CI 0.64-0.88) survival.
Excluding the studies deemed to be prone to ITB resulted in HRs (95% CIs) of 1.00
(0.93-1.07) and 0.90 (0.83-0.98), respectively. Analyses on cancer site and BB
type did not show beneficial associations besides overall survival among melanoma
patients. However, melanoma-specific survival was not improved. CONCLUSION: We
found no clinically meaningful evidence for an association between BB use and
survival after excluding studies with a possible ITB. Our results support
suggestions that the proposed beneficial effect of BBs on cancer survival might
be based on ITB.
PMID- 27179911
TI - The "induced hyperactivity" test for the de-risking of potential off-target
activity of antihypertensive drugs.
AB - INTRODUCTION: Compound X is a new proprietary antihypertensive agent that induces
its pharmacodynamic effect at an approximate plasma Cmax.u of 0.6nmol/L (rat
hypertension model). However, Compound X also shows potent off-target activity at
PDE-10a (IC50~12nmol/L). Since PDE-10a is expressed predominantly in brain
(striatum) and inhibition/knockout of PDE-10a have been reported to result in
anti-psychotic effects, we have established the "induced hyperactivity" test for
CNS de-risking of Compound X. METHODS: Male Wistar rats treated orally with
vehicle or Compound X (single dose; 1-3-10mg/kg) were assessed for exploratory
locomotor activity following induction of hyperactivity by d-amphetamine (2mg/kg)
or the NMDA antagonist MK-801 (0.2mg/kg). The assay was validated with anti
psychotic drugs (haloperidol, clozapine). RESULTS: Induced hyperactivity was not
antagonized by Compound X at doses relevant for its primary pharmacodynamic
activity (0.1-0.3mg/kg, rat). Although sufficient plasma concentrations were
reached with Compound X (Cmax.u up to ~8nmol/L at 10mg/kg) to show its PDE-10a
activity, its low brain penetration (~10%) likely precluded any meaningful PDE
10a inhibition. In comparison, other blood pressure lowering agents such as
prazosin (alpha-1 adrenoceptor antagonist) and isradipine (L-Type Ca(2+) channel
blocker), but not the NO-donor ISDN, tended to attenuate induced hyperactivity in
rats at high doses. CONCLUSION: The relevance of a potent in-vitro off-target hit
(PDE-10a inhibition) by Compound X was attenuated by a robust in-vivo assay (rat
induced hyperactivity test), hence lowering the potential liability profile of
Compound X. Finally, this piece of investigative safety pharmacology work enabled
early de-risking of Compound X based on its primary pharmacodynamic activity in a
relevant rat model.
PMID- 27179913
TI - A collaborative study to establish the 1st WHO International Standard for human
cytomegalovirus for nucleic acid amplification technology.
AB - Variability in the performance of nucleic acid amplification technology (NAT)
based assays presents a significant problem in the diagnosis and management of
human cytomegalovirus (HCMV) infections. Here we describe a collaborative study
to evaluate the suitability of candidate reference materials to harmonize HCMV
viral load measurements in a wide range of NAT assays. Candidate materials
comprised lyophilized Merlin virus, liquid Merlin virus, liquid AD169 virus, and
purified HCMV Merlin DNA cloned into a bacterial artificial chromosome.
Variability in the laboratory mean HCMV concentrations determined for virus
samples across the different assays was 2 log10. Variability for the purified DNA
sample was higher (>3 log10). The agreement between laboratories was markedly
improved when the potencies of the liquid virus samples were expressed relative
to the lyophilized virus candidate. In contrast, the agreement between
laboratories for the purified DNA sample was not improved. Results indicated the
suitability of the lyophilized Merlin virus preparation as the 1st WHO
International Standard for HCMV for NAT. It was established in October 2010, with
an assigned potency of 5 * 10(6) International Units (IU) (NIBSC code 09/162). It
is intended to be used to calibrate secondary references, used in HCMV NAT
assays, in IU.
PMID- 27179914
TI - Predictors of processing-based task performance in bilingual and monolingual
children.
AB - In the present study we examined performance of bilingual Spanish-English
speaking and monolingual English-speaking school-age children on a range of
processing-based measures within the framework of Baddeley's working memory
model. The processing-based measures included measures of short-term memory,
measures of working memory, and a novel word-learning task. Results revealed that
monolinguals outperformed bilinguals on the short-term memory tasks but not the
working memory and novel word-learning tasks. Further, children's vocabulary
skills and socioeconomic status (SES) were more predictive of processing-based
task performance in the bilingual group than the monolingual group. Together,
these findings indicate that processing-based tasks that engage verbal working
memory rather than short-term memory may be better-suited for diagnostic purposes
with bilingual children. However, even verbal working memory measures are
sensitive to bilingual children's language-specific knowledge and demographic
characteristics, and therefore may have limited clinical utility.
PMID- 27179915
TI - Effective vaccine communication during the disneyland measles outbreak.
AB - Vaccine refusal rates have increased in recent years, highlighting the need for
effective risk communication, especially over social media. Fuzzy-trace theory
predicts that individuals encode bottom-line meaning ("gist") and statistical
information ("verbatim") in parallel and those articles expressing a clear gist
will be most compelling. We coded news articles (n=4581) collected during the
2014-2015 Disneyland measles for content including statistics, stories, or bottom
line gists regarding vaccines and vaccine-preventable illnesses. We measured the
extent to which articles were compelling by how frequently they were shared on
Facebook. The most widely shared articles expressed bottom-line gists, although
articles containing statistics were also more likely to be shared than articles
lacking statistics. Stories had limited impact on Facebook shares. Results
support Fuzzy Trace Theory's predictions regarding the distinct yet parallel
impact of categorical gist and statistical verbatim information on public health
communication.
PMID- 27179916
TI - Dorsal and ventral language pathways in persistent developmental stuttering.
AB - Persistent developmental stuttering is a speech disorder that affects an
individual's ability to fluently produce speech. While the disorder mainly
manifests in situations that require language production, it is still unclear
whether persistent developmental stuttering is indeed a language impairment, and
if so, which language stream is implicated in people who stutter. In this study,
we take a neuroanatomical approach to this question by examining the structural
properties of the dorsal and ventral language pathways in adults who stutter
(AWS) and fluent controls. We use diffusion magnetic resonance imaging and
individualized tract identification to extract white matter volumes and diffusion
properties of these tracts in samples of adults who do and do not stutter. We
further quantify diffusion properties at multiple points along the tract and
examine group differences within these diffusivity profiles. Our results show
differences in the dorsal, but not in the ventral, language-related tracts.
Specifically, AWS show reduced volume of the left dorsal stream, as well as lower
anisotropy in the right dorsal stream. These data provide neuroanatomical support
for the view that stuttering involves an impairment in the bidirectional mapping
between auditory and articulatory cortices supported by the dorsal pathways, not
in lexical access and semantic aspects of language processing which are thought
to rely more heavily on the left ventral pathways.
PMID- 27179917
TI - A general magnitude system in human adults: Evidence from a subliminal priming
paradigm.
AB - Despite general agreement that number and other magnitudes share analog format,
there is disagreement about the extent to which representations of numerical and
non-numerical magnitude recruit common cognitive and neural resources. Cross
dimensional interactions between number and other magnitudes on Stroop-like tasks
have been taken as evidence for integration across magnitudes, but such effects
are subject to alternative interpretations that allow for differentiated
representations. Here we use a subliminal priming paradigm to test for
interactions between different magnitudes (number and area) when one magnitude is
not consciously detectable. Across two experiments, we first provide evidence for
the feasibility of this paradigm by demonstrating that transfer occurs within the
dimension of number; that is, symbolic numerals (Arabic digits) that were
subliminally primed affected judgments of non-symbolic numerosities in target
displays. Crucially, we also found transfer across magnitudes-from subliminally
primed numerals to target displays of cumulative surface area whether
participants made an ordinal judgment (i.e., "which array is larger in area?") or
judged whether two arrays were the same or different in area. These findings
suggest that representations of number and area are not fully differentiated.
Moreover, they provide unique support for a general magnitude system that
includes direct connections, or overlap, between the neural codes for numerical
and non-numerical magnitudes.
PMID- 27179918
TI - The Power of Inbreeding: NGS-Based GWAS of Rice Reveals Convergent Evolution
during Rice Domestication.
AB - Low-coverage whole-genome sequencing is an effective strategy for genome-wide
association studies in humans, due to the availability of large reference panels
for genotype imputation. However, it is unclear whether this strategy can be
utilized in other species without reference panels. Using simulations, we show
that this approach is even more relevant in inbred species such as rice (Oryza
sativa L.), which are effectively haploid, allowing easy haplotype construction
and imputation-based genotype calling, even without the availability of large
reference panels. We sequenced 203 rice varieties with well-characterized
phenotypes from the United States Department of Agriculture Rice Mini-Core
Collection at an average depth of 1.5* and used the data for mapping three
traits. For the first two traits, amylose content and seed length, our approach
leads to direct identification of the previously identified causal SNPs in the
major-effect loci. For the third trait, pericarp color, an important trait
underwent selection during domestication, we identified a new major-effect locus.
Although known loci can explain color variation in the varieties of two main
subspecies of Asian domesticated rice, japonica and indica, the new locus
identified is unique to another domesticated rice subgroup, aus, and together
with existing loci, can fully explain the major variation in pericarp color in
aus. Our discovery of a unique genetic basis of white pericarp in aus provides an
example of convergent evolution during rice domestication and suggests that aus
may have a domestication history independent of japonica and indica.
PMID- 27179919
TI - Functional Partition of Cpn60alpha and Cpn60beta Subunits in Substrate
Recognition and Cooperation with Co-chaperonins.
PMID- 27179920
TI - Role of UDP-Glucuronic Acid Decarboxylase in Xylan Biosynthesis in Arabidopsis.
AB - UDP-xylose (UDP-Xyl) is the Xyl donor used in the synthesis of major plant cell
wall polysaccharides such as xylan (as a backbone-chain monosaccharide) and
xyloglucan (as a branching monosaccharide). The biosynthesis of UDP-Xyl from UDP
glucuronic acid (UDP-GlcA) is irreversibly catalyzed by UDP-glucuronic acid
decarboxylase (UXS). Until now, little has been known about the physiological
roles of UXS in plants. Here, we report that AtUXS1, AtUXS2, and AtUXS4 are
located in the Golgi apparatus whereas AtUXS3, AtUXS5, and AtUXS6 are located in
the cytosol. Although all six single AtUXS T-DNA mutants and the uxs1 usx2 uxs4
triple mutant show no obvious phenotype, the uxs3 uxs5 uxs6 triple mutant has an
irregular xylem phenotype. Monosaccharide analysis showed that Xyl levels
decreased in uxs3 uxs5 uxs6 and linkage analysis confirmed that the xylan content
in uxs3 xus5 uxs6 declined, indicating that UDP-Xyl from cytosol AtUXS
participates in xylan synthesis. Gel-permeation chromatography showed that the
molecular weight of non-cellulosic polysaccharides in the triple mutants, mainly
composed of xylans, is lower than that in the wild type, suggesting an effect on
the elongation of the xylan backbone. Upon saccharification treatment stems of
the uxs3 uxs5 uxs6 triple mutants released monosaccharides with a higher
efficiency than those of the wild type. Taken together, our results indicate that
the cytosol UXS plays a more important role than the Golgi-localized UXS in xylan
biosynthesis.
PMID- 27179921
TI - Motion management during SBRT for oligometastatic cancer: Results of a
prospective phase II trial.
AB - PURPOSE: To optimize the local control of stereotactic body radiotherapy (SBRT)
using the Vero-SBRT system and respiratory motion management in patients with
oligometastatic cancer. MATERIALS AND METHODS: Patients with five or less
metastases were eligible. In metastases with significant motion, a fiducial was
implanted for Vero dynamic tracking. For other metastases an internal target
volume (ITV) was defined to encompass the respiratory tumor trajectory. A dose of
50Gy in 10 fractions was prescribed on the 80% isodose line. RESULTS: We treated
87 metastases in 44 patients, with colorectal cancer as the most common primary
origin (65.9%). Metastatic sites were mainly lung (n=62) and liver (n=17). Twenty
seven metastases were treated with dynamic tracking, the remaining 60 using the
ITV-concept. Three patients (7%) experienced grade ?3 toxicity. After a median
follow-up of 12months, the overall one-year local control (LC) amounted to 89%
(95% CI 77-95%), with corresponding values of 90% and 88% for the metastases
irradiated with the ITV-approach and dynamic tracking, respectively. Median
progression-free survival reached 6.5months, one-year overall survival 95%.
CONCLUSIONS: SBRT with proper respiratory motion management resulted in a high LC
and an acceptable toxicity profile in oligometastatic cancer patients.
PMID- 27179922
TI - Autism and cerebellar dysfunction: Evidence from animal models.
AB - Autism is a prevalent neurodevelopmental disorder whose origins are not well
understood. Cerebellar involvement has been implicated in the pathogenesis of
autism spectrum disorders with increasing evidence from both clinical studies and
animal models supporting an important role for cerebellar dysfunction in autism
spectrum disorders. This article discusses the various cerebellar contributions
to autism spectrum disorders. Both clinical and preclinical studies are discussed
and future research directions highlighted.
PMID- 27179925
TI - Safety and feasibility of red cell exchange for sickle cell disease across
Canada.
AB - We outline a case whereby RBCX was successfully provided over disparate
geographical areas and time zones in Canada and overcame the logistical
challenges of coordinating care across four different health care systems with
the application of modern telecommunication technologies. We present this case as
a model for other SCD providers and patients.
PMID- 27179923
TI - Iron oxide nanoparticles for neuronal cell applications: uptake study and
magnetic manipulations.
AB - BACKGROUND: The ability to direct and manipulate neuronal cells has important
potential in therapeutics and neural network studies. An emerging approach for
remotely guiding cells is by incorporating magnetic nanoparticles (MNPs) into
cells and transferring the cells into magnetic sensitive units. Recent
developments offer exciting possibilities of magnetic manipulations of MNPs
loaded cells by external magnetic fields. In the present study, we evaluated and
characterized uptake properties for optimal loading of cells by MNPs. We examined
the interactions between MNPs of different cores and coatings, with primary
neurons and neuron-like cells. RESULTS: We found that uncoated-maghemite iron
oxide nanoparticles maximally interact and penetrate into cells with no cytotoxic
effect. We observed that the cellular uptake of the MNPs depends on the time of
incubation and the concentration of nanoparticles in the medium. The morphology
patterns of the neuronal cells were not affected by MNPs uptake and neurons
remained electrically active. We theoretically modeled magnetic fluxes and
demonstrated experimentally the response of MNP-loaded cells to the magnetic
fields affecting cell motility. Furthermore, we successfully directed neurite
growth orientation along regeneration. CONCLUSIONS: Applying mechanical forces
via magnetic mediators is a useful approach for biomedical applications. We have
examined several types of MNPs and studied the uptake behavior optimized for
magnetic neuronal manipulations.
PMID- 27179924
TI - Cytoreductive surgery plus hyperthermic intraperitoneal chemotherapy with
lobaplatin and docetaxel to treat synchronous peritoneal carcinomatosis from
gastric cancer: Results from a Chinese center.
AB - BACKGROUND: This work was to evaluate the efficacy and safety of cytoreductive
surgery (CRS) plus hyperthermic intraperitoneal chemotherapy (HIPEC) with
lobaplatin and docetaxel to treat peritoneal carcinomatosis (PC) from gastric
cancer (GC). METHODS: A total of 50 consecutive GC PC patients treated by 52
CRS+HIPEC procedures with lobaplatin 50 mg/m(2) and docetaxel 60 mg/m(2) in 6000
mL of normal saline at (43 +/- 0.5) degrees C for 60 min. The primary endpoint
was overall survival (OS), and the secondary endpoints were perioperative safety
profiles. RESULTS: At the median follow-up of 22.5 (range, 5.1-50.7) months, the
median OS was 14.3 (95% CI 7.6-21.0) months, and the 1-, 2-, and 3-year survival
rates were 58%, 40%, and 32%, respectively. Mortality and serious adverse event
(grade 3-5) morbidity rates in postoperative 30 days were 0.0% and 23.1%,
respectively. Univariate analysis identified 4 parameters with significant
effects on OS: completeness of cytoreduction (CC) 0-1, normal (N) the
preoperative tumor markers level (TM), adjuvant chemotherapy >=6 cycles, and
peritoneal cancer index <=20. However, multivariate analysis identified CC0-1,
perioperative TM (N), adjuvant chemotherapy >=6 cycles as the independent
predictor for better survival. CONCLUSIONS: CRS+HIPEC with lobaplatin and
docetaxel to treat selected GC PC could improve OS, with acceptable perioperative
safety.
PMID- 27179926
TI - Smart blood cell and microvesicle-based Trojan horse drug delivery: Merging
expertise in blood transfusion and biomedical engineering in the field of
nanomedicine.
AB - Therapeutic and diagnostic applications of nanomedicine are playing increasingly
important roles in human health. Various types of synthetic nanoparticles,
including liposomes, micelles, and other nanotherapeutic platforms and
conjugates, are being engineered to encapsulate or carry drugs for treating
diseases such as cancer, cardiovascular disorders, neurodegeneration, and
inflammations. Nanocarriers are designed to increase the half-life of drugs,
decrease their toxicity and, ideally, target pathological sites. Developing smart
carriers with the capacity to deliver drugs specifically to the microenvironment
of diseased cells with minimum systemic toxicity is the goal. Blood cells, and
potentially also the liposome-like micro- and nano-vesicles they generate, may be
regarded as ideally suited to perform such specific targeting with minimum
immunogenic risks. Blood cell membranes are "decorated" with complex
physiological receptors capable of targeting and communicating with other cells
and tissues and delivering their content to the surrounding pathological
microenvironment. Blood cells, such as erythrocytes, have been developed as
permeable carriers to release drugs to diseased tissues or act as biofactory
allowing enzymatic degradation of a pathological substrate. Interestingly,
attempts are also being made to improve the targeting capacity of synthetic
nanoparticles by "decorating" their surface with blood cell membrane receptor
like biochemical structures. Research is needed to further explore the benefits
that blood cell-derived microvesicles, as a Trojan horse delivery systems, can
bring to the arsenal of therapeutic micro- and nanotechnologies. This short
review focuses on the therapeutic roles that red blood cells and platelets can
play as smart drug-delivery systems, and highlights the benefits that blood
transfusion expertise can bring to this exciting and novel biomedical engineering
field.
PMID- 27179927
TI - Recognition and treatment of syndromes linked to dysregulation of the alternative
complement pathway.
PMID- 27179928
TI - Improved tolerance to post-anthesis drought stress by pre-drought priming at
vegetative stages in drought-tolerant and -sensitive wheat cultivars.
AB - Wheat crop endures a considerable penalty of yield reduction to escape the
drought events during post-anthesis period. Drought priming under a pre-drought
stress can enhance the crop potential to tolerate the subsequent drought stress
by triggering a faster and stronger defense mechanism. Towards these
understandings, a set of controlled moderate drought stress at 55-60% field
capacity (FC) was developed to prime the plants of two wheat cultivars namely
Luhan-7 (drought tolerant) and Yangmai-16 (drought sensitive) during tillering
(Feekes 2 stage) and jointing (Feekes 6 stage), respectively. The comparative
response of primed and non-primed plants, cultivars and priming stages was
evaluated by applying a subsequent severe drought stress at 7 days after
anthesis. The results showed that primed plants of both cultivars showed higher
potential to tolerate the post-anthesis drought stress through improved leaf
water potential, more chlorophyll, and ribulose-1, 5-bisphosphate
carboxylase/oxygenase contents, enhanced photosynthesis, better photoprotection
and efficient enzymatic antioxidant system leading to less yield reductions. The
primed plants of Luhan-7 showed higher capability to adapt the drought stress
events than Yangmai-16. The positive effects of drought priming to sustain higher
grain yield were pronounced in plants primed at tillering than those primed at
jointing. In consequence, upregulated functioning of photosynthetic apparatus and
efficient enzymatic antioxidant activities in primed plants indicated their
superior potential to alleviate a subsequently occurring drought stress, which
contributed to lower yield reductions than non-primed plants. However, genotypic
and priming stages differences in response to drought stress also contributed to
affect the capability of primed plants to tolerate the post-anthesis drought
stress conditions in wheat.
PMID- 27179929
TI - Zika crisis in Brazil: challenges in research and development.
AB - Infection with the Zika virus (ZIKV) usually causes a mild acute illness, but two
major severe syndromes have been described during the epidemic in Brazil:
microcephaly and the Guillain-Barre Syndrome. There is now much evidence to show
that ZIKV can infect and damage neuronal cells in vitro. In experimental animals,
ZIKV has significant neurotropism and can cause brain damage. At present,
diagnosis is still a challenge in the field and there is no treatment available.
Another major challenge is that one must devise therapies for pregnant women, at
all stages of pregnancy. Devising adequate treatment for ZIKV infections
represents a challenge that will only be met by the joint effort of the research
community.
PMID- 27179930
TI - Phosphodiesterase 5/protein kinase G signal governs stemness of prostate cancer
stem cells through Hippo pathway.
AB - Cancer stem cells (CSC) are critical for initiation, metastasis, and relapse of
cancers, however, the underlying mechanism governing stemness of CSC remains
unknown. Herein, we have investigated the roles of phosphodiesterase 5 (PDE5) in
stemness of prostate cancer cells. Both PDE5 and WW domain-containing
transcription regulator protein-1 (TAZ), a core effector of Hippo pathway, are
highly expressed in the PC3-derived cancer stem cells (PCSC). Either TAZ
knockdown or inhibition of PDE5 activity attenuated colony formation, altered
expression patterns of stem cell markers, and enhanced cisplatin cytotoxicity,
resulting in attenuation of stemness in PCSC. In addition, inhibition of PDE5
activity by its specific inhibitors activates cGMP-dependent protein kinase G
(PKG), which in turn induces MST/LATS kinases, resulting in cytosolic degradation
of TAZ and activation of Hippo pathway. Accordingly, knockdown of TAZ almost
completely abolished PDE5 inhibitor-induced attenuation in stemness in cultured
PCSC, whereas knockdown of TAZ not only abolished PDE5 inhibitor-induced
attenuation in stemness but also facilitated PDE5 inhibitor-induced trans
differentiation in PCSC xenografts. Together, the present study has uncovered
that PDE/cGMP/PKG signal targets to Hippo/TAZ pathway in maintaining stemness of
PCSC, and suggested that PDE5 inhibitors in combination with chemotherapeutic
agents could effectively prevent initiation, metastasis, and relapse of prostate
cancer.
PMID- 27179931
TI - A method for objectively quantifying propidium iodide exclusion in organotypic
hippocampal slice cultures.
AB - BACKGROUND: Organotypic hippocampal slice cultures (OHSCs) are an attractive in
vitro model to examine mechanisms of neuronal injury, because the normal
hippocampal architecture, function and cellular diversity are mostly preserved.
The effects of exposure to excitotoxins such as N-methyl-d-aspartate (NMDA) on
cell viability can be determined by propidium iodide (PI) staining. NEW METHOD:
We describe a simple method to objectively quantify cell death in NMDA exposed
slice cultures using PI that provides a standardized means of quantifying cell
death in hippocampal subfields without the need to induce maximal cell death in
each slice. The method employs separation of subfields using simple landmarks and
densitometric quantification of PI intensity in 10 template-oriented counting
fields. RESULTS: We show that exposure to increasing concentrations of NMDA
results in a dose-dependent increase in PI uptake. Additionally, our method
facilitates the comparison of cell death in different hippocampal subfields, such
as dentate gyrus, CA1 and CA3. Our results show marked differences of PI uptake
in the hippocampal regions with the CA1 area being most sensitive to NMDA-induced
injury. COMPARISON WITH EXISTING METHOD(S): The method provides a standardized
format for quantifying PI exclusion in OHSCs that can be applied to cultures of
differing shapes and sizes, permits comparisons between hippocampal subfields and
does not require induction of maximal cell death. CONCLUSION: The method of
quantifying PI uptake described herein allows for an objective, quantitative and
reproducible analysis and comparison of cell death in distinct regions of OHSCs.
PMID- 27179932
TI - Should Physicians be Encouraged to use Generic Names and to Prescribe Generic
Drugs?
AB - While using the brand names seems like a trivial issue at the outset, using these
names is inherently problematic. Cardiovascular drugs remain the most commonly
prescribed drugs by the physicians. The junior doctors are likely to introject
practices of their seniors and consequently to reciprocate from the experiences
learnt from their preceptors. Using the generic names may be one way to
facilitate prescription of the generic drugs who have a better cost profile and
similar efficacy than the more expensive branded drugs. In this editorial, we
have outlined several arguments to suggest the importance of using the generic
names in academic discussions and clinical documentation.
PMID- 27179933
TI - Strong synergy with APR-246 and DNA-damaging drugs in primary cancer cells from
patients with TP53 mutant High-Grade Serous ovarian cancer.
AB - BACKGROUND: Mutation in the tumor suppressor gene TP53 is an early event in the
development of high-grade serous (HGS) ovarian cancer and is identified in more
than 96 % of HGS cancer patients. APR-246 (PRIMA-1(MET)) is the first clinical
stage compound that reactivates mutant p53 protein by refolding it to wild type
conformation, thus inducing apoptosis. APR-246 has been tested as monotherapy in
a Phase I/IIa clinical study in hematological malignancies and prostate cancer
with promising results, and a Phase Ib/II study in combination with platinum
based therapy in ovarian cancer is ongoing. In the present study, we investigated
the anticancer effects of APR-246 in combination with conventional chemotherapy
in primary cancer cells isolated from ascitic fluid from 10 ovarian, fallopian
tube, or peritoneal cancer patients, 8 of which had HGS cancer. METHODS: Cell
viability was assessed with fluorometric microculture cytotoxicity assay (FMCA)
and Combination Index was calculated using the Additive model. p53 status was
determined by Sanger sequencing and single strand conformation analysis, and p53
protein expression by western blotting. RESULTS: We observed strong synergy with
APR-246 and cisplatin in all tumor samples carrying a TP53 missense mutation,
while synergistic or additive effects were found in cells with wild type or TP53
nonsense mutations. Strong synergy was also observed with carboplatin or
doxorubicin. Moreover, APR-246 sensitized TP53 mutant primary ovarian cancer
cells, isolated from a clinically platinum-resistant patient, to cisplatin; the
IC50 value of cisplatin decreased 3.6 fold from 6.5 to 1.8 MUM in the presence of
clinically relevant concentration of APR-246. CONCLUSION: These results suggest
that combination treatment with APR-246 and DNA-damaging drugs could
significantly improve the treatment of patients with TP53 mutant HGS cancer, and
thus provide strong support for the ongoing clinical study with APR-246 in
combination with carboplatin and pegylated liposomal doxorubicin in patients with
recurrent HGS cancer.
PMID- 27179934
TI - Bioaccumulation and elimination of mercury in juvenile seabass (Dicentrarchus
labrax) in a warmer environment.
AB - Warming is an expected impact of climate change that will affect coastal areas in
the future. These areas are also subjected to strong anthropogenic pressures
leading to chemical contamination. Yet, the consequences of both factors for
marine ecosystems, biota and consumers are still unknown. The present work aims
to investigate, for the first time, the effect of temperature increase on
bioaccumulation and elimination of mercury [(total mercury (THg) and
methylmercury (MeHg)] in three tissues (muscle, liver, and brain) of a
commercially important seafood species - European seabass (Dicentrarchus labrax).
Fish were exposed to the ambient temperature currently used in seabass rearing
(18 degrees C) and to the expected ocean warming (+4 degrees C, i.e. 22 degrees
C), as well as dietary MeHg during 28 days, followed by a depuration period of 28
days fed with a control diet. In both temperature exposures, higher MeHg contents
were observed in the brain, followed by the muscle and liver. Liver registered
the highest elimination percentages (EF; up to 64% in the liver, 20% in the
brain, and 3% in the muscle). Overall, the results clearly indicate that a
warming environment promotes MeHg bioaccumulation in all tissues (e.g. highest
levels in brain: 8.1mgkg(-1) ww at 22 degrees C against 6.2mgkg(-1) ww at 18
degrees C after 28 days of MeHg exposure) and hampers MeHg elimination (e.g.
liver EF decreases after 28 days of depuration: from 64.2% at 18 degrees C to
50.3% at 22 degrees C). These findings suggest that seafood safety may be
compromised in a warming context, particularly for seafood species with
contaminant concentrations close to the current regulatory levels. Hence, results
point out the need to strengthen research in this area and to revise and/or adapt
the current recommendations regarding human exposure to chemical contaminants
through seafood consumption, in order to integrate the expected effects of
climate change.
PMID- 27179935
TI - Monitoring the effect of air pollution episodes on health care consultations and
ambulance call-outs in England during March/April 2014: A retrospective
observational analysis.
AB - There is an increasing body of evidence illustrating the negative health effects
of air pollution including increased risk of respiratory, cardiac and other
morbid conditions. During March and April 2014 there were two air pollution
episodes in England that occurred in close succession. We used national real-time
syndromic surveillance systems, including general practitioner (GP)
consultations, emergency department attendances, telehealth calls and ambulance
dispatch calls to further understand the impact of these short term acute air
pollution periods on the health seeking behaviour of the general public. Each air
pollution period was comparable with respect to particulate matter concentrations
(PM10 and PM2.5), however, the second period was longer in duration (6 days vs 3
days) and meteorologically driven 'Sahara dust' contributed to the pollution.
Health surveillance data revealed a greater impact during the second period, with
GP consultations, emergency department attendances and telehealth (NHS 111) calls
increasing for asthma, wheeze and difficulty breathing indicators, particularly
in patients aged 15-64 years. Across regions of England there was good agreement
between air quality levels and health care seeking behaviour. The results further
demonstrate the acute impact of short term air pollution episodes on public
health and also illustrate the potential role of mass media reporting in
escalating health care seeking behaviour.
PMID- 27179936
TI - Dietary intake of working women with children does not appear to be influenced by
hours of employment: A secondary analysis of the Australian Health Survey (2011
2013).
AB - Women with children often fulfil multiple roles of running a household, raising a
family and working outside the home. Good nutrition during this time is important
to optimise their performance and prevent lifestyle diseases. Women also act as
nutritional gatekeepers for their family. The dual burden of paid employment and
unpaid family work may be associated with time scarcity in mothers which can
impact food preparation and therefore nutritional adequacy. The aim of this study
was to examine the diet of women who lived with children by comparison of hours
worked. METHODS: This was a secondary analysis of the Australian National
Nutrition and Physical Activity Survey 2011-12. Subjects were women aged 18-65
years who resided with >=1 child (<18 years). Women were grouped according to
hours of employment: not working; working <25 h a week; and working >=25 hours a
week. Data from two 24-h dietary recalls were used to compare differences between
groups in nutrient intake and proportion of energy from discretionary foods.
Covariates included were age, education, smoker status, Socio-Economic Indexes
for Areas (SEIFA), number of persons in household, week or weekend day of the
survey and the sequence of recalls. RESULTS: Analyses included 1869 women.
Dietary intakes varied minimally between groups with intakes of fibre, vitamin C,
and calcium lowest in the group not working. Overall diet quality was poor with
>30% of energy coming from discretionary foods in all groups. CONCLUSIONS: Usual
hours of employment per week have a minimal effect on diet quality in women with
children. It is likely that different factors specific to each group contribute
to the poor dietary intakes and should be further investigated.
PMID- 27179939
TI - A distribution analysis of action potential parameters obtained from patch
clamped human stem cell-derived cardiomyocytes.
AB - We investigated electrophysiological properties of human induced-pluripotent-stem
cell-derived and embryonic-stem-cell-derived cardiomyocytes, and analyzed action
potential parameters by plotting their frequency distributions. In the both cell
lines, the distribution analysis revealed that histograms of maximum upstroke
velocity showed two subpopulations with similar intersection values. Sub
populations with faster maximum upstroke velocity showed significant prolongation
of action potential durations by application of E-4031, whereas others did not,
which may be partly due to shallower maximum diastolic potentials. We described
electrophysiological and pharmacological properties of stem-cell-derived
cardiomyocytes in the respective sub-populations, which provides a way to
characterize diverse electrical properties of stem-cell-derived cardiomyocytes
systematically.
PMID- 27179937
TI - Systemic injection of the DAD1 antagonist SCH 23390 reduces saccharin seeking in
rats.
AB - Conditioned cues can elicit drug- and sucrose-seeking behaviors that have been
shown to depend on dopamine (DA) D1 receptors. If DAD1 receptors are also
involved in seeking behavior in general, blocking these receptors should reduce
seeking behavior for a non-caloric, non-drug of abuse reinforcer such as
saccharin. Forty-six male Long-Evans rats lever pressed for 0.3% saccharin
solution 1 h/day for 10 days. A lever response also activated a tone plus a white
stimulus light. This compound stimulus lasted for 5 s. After 1 day of forced
abstinence, rats received systemic (0, 1, or 10 MUg/kg IP; n = 15-16 per group)
injections of SCH 23390 15 min prior to extinction testing. Systemic SCH 23390
reduced saccharin seeking evidenced by a significant reduction in active lever
responding and a significant reduction in the number of active lever-contingent
deliveries of the tone + light cue following pretreatment with 10 MUg/kg SCH
23390. The slope of responding across the Test session in this group was also
significantly steeper, indicating that SCH 23390 may have reduced the persistence
of saccharin seeking. The results indicate that DAD1 receptors are involved in
saccharin seeking and generalize the previously demonstrated anti-seeking effects
of DAD1 antagonism to a non-caloric, non-drug of abuse reinforcer.
PMID- 27179938
TI - Validation of a French-Canadian adaptation of the Intuitive Eating Scale-2 for
the adult population.
AB - Intuitive eating is an adaptive eating style based on the reliance on
physiological cues to determine when, what, and how much to eat. The Intuitive
Eating Scale-2 (IES-2) is a validated four-subscale tool measuring the degree of
adherence to intuitive eating principles. The present series of studies aimed at
evaluating the psychometric properties of a French-Canadian adaptation of the IES
2 for the adult population. The factor structure, the reliability (internal
consistency and test-retest), the construct validity, and the discriminant
validity were evaluated in 334 women and 75 men from the Province of Quebec,
Canada, across two studies. A confirmatory factor analysis upheld that the four
factor structure of the original IES-2 was adequate for the present sample of
French-Canadians. The scale demonstrated adequate internal consistency and test
retest reliability. Construct validity evidence was obtained with the significant
associations between intuitive eating and psychological and eating-related
variables. Intuitive eating was negatively associated with eating disorder
symptomatology and with food- and weight-preoccupation, and positively associated
with body-esteem and well-being. The French-Canadian IES-2 was also able to
discriminate between genders and body mass index categories. The properties of
this new version of the IES-2 are demonstrative of a reliable and valid tool to
assess intuitive eating in the French-Canadian adult population of the Province
of Quebec.
PMID- 27179940
TI - Association of sociodemographic and environmental factors with the mental health
status among preschool children-Results from a cross-sectional study in Bavaria,
Germany.
AB - AIM: It has been reported that a great proportion of mental health disorders have
an origin in early childhood. In order to evaluate factors possibly associated
with children's health, the health monitoring units have been established since
2004 in six study regions in Bavaria, Germany. The second health monitoring
survey, implemented in 2005-06, focuses on the mental health status of preschool
children. The goal of this study is (1) to examine the association of
sociodemographic and environmental factors with mental health and (2) to analyze
the applicability of the results of the health monitoring units to all preschool
children in Bavaria by calculating weighting factors. METHODS: Data on 6206
preschool children are available. Logistic regression analysis is applied to
analyze possible associations with mental health. A weighting method is applied
to correct for deviances compared to the whole population of preschool children
in Bavaria (N=132,783). RESULTS: 11% of preschool children show mental health
problems. Regarding different indicators of sociodemographic status, low
household income [unadjusted OR 3.34, 95% CI: 2.23-4.98] shows the strongest
association of mental health problems. Non-accessibility of green space
[unadjusted OR 2.74, 95% CI: 1.87-4.00] is also strongly associated with mental
health. The results of the unweighted and weighted analysis are similar.
CONCLUSION: Our findings suggest that sociodemographic status and factors in the
living environment show associations with mental health of children. Based on the
results of the unweighted and weighted analyses, the second health monitoring
analysis shows little deviances compared to data of all Bavarian preschool
children. Therefore, the results can be compared to all Bavarian preschool
children.
PMID- 27179942
TI - Erratum to "Bortezomib for Refractory Autoimmunity in Pediatrics" [Biol Blood
Marrow Transplant 2014;20(10):1654-1659].
PMID- 27179941
TI - Gender-specific linkages of parents' childhood physical abuse and neglect with
children's problem behaviour: evidence from Japan.
AB - BACKGROUND: Childhood abuse has far-reaching effects, not only for survivors of
maltreatment but also for subsequent generations. However, the mechanism of such
intergenerational linkages has not been fully explored. This study investigated
this linkage with special reference to its gender-specific features. METHODS: A
dataset of parents and their children, obtained from a cross-sectional survey in
the Tokyo metropolitan area of Japan, was used. The study sample consisted of
1750 children aged between 2 and 18 years (865 daughters and 885 sons) and their
parents (1003 mothers and fathers). Regression models were estimated to assess
the associations among 1) both parents' childhood physical abuse and neglect
(childhood abuse), 2) parents' psychological distress, as measured by the Kessler
Psychological Distress Scale (K6), and 3) children's problem behaviour, as
measured by the clinical scales of the Child Behavior Checklist. RESULTS:
Daughters' problem behaviour was more closely associated with mothers' than
fathers' childhood abuse, whereas sons' problem behaviour was more closely
associated with their fathers' experience. The impact of mothers' childhood abuse
on daughters' problem behaviour was mediated at a rate of around 40 % by both
parents' psychological distress. The proportion of the effect mediated by
parents' psychological distress was less than 20 % for the impact of fathers'
childhood abuse on sons' problem behaviour. CONCLUSION: The intergenerational
impact of parental childhood abuse on children's problem behaviour is gender
specific, i.e. largely characterized by the same gender linkages. Further studies
that explore the mechanisms involved in the intergenerational impact of childhood
abuse are needed.
PMID- 27179943
TI - Erratum to "Salivary Gland Involvement in Chronic Graft-versus-Host Disease:
Prevalence, Clinical Significance, and Recommendations for Evaluation" [Biol
Blood Marrow Transplant 16:1362-1369].
PMID- 27179945
TI - Editorial Comment.
PMID- 27179946
TI - Editorial Comment.
PMID- 27179944
TI - Efficacy of transcranial direct-current stimulation (tDCS) in women with provoked
vestibulodynia: study protocol for a randomized controlled trial.
AB - BACKGROUND: Provoked vestibulodynia is the most common form of vulvodynia.
Despite its high prevalence and deleterious sexual, conjugal, and psychological
repercussions, effective evidence-based interventions for provoked vestibulodynia
remain limited. For a high proportion of women, significant pain persists despite
the currently available treatments. Growing evidence suggests that the central
nervous system (CNS) could play a key role in provoked vestibulodynia; thus,
treatment targeting the CNS, rather than localized dysfunctions, may be
beneficial for women suffering from provoked vestibulodynia. In this study, we
aim to build on the promising results of a previous case report and evaluate
whether transcranial direct-current stimulation, a non-invasive brain stimulation
technique targeting the CNS, could be an effective treatment option for women
with provoked vestibulodynia. METHODS/DESIGN: This single-center, triple-blind,
parallel group, randomized, controlled trial aims to compare the efficacy of
transcranial direct-current stimulation with sham transcranial direct-current
stimulation in women with provoked vestibulodynia. Forty women diagnosed with
provoked vestibulodynia by a gynecologist, following a standardized treatment
protocol, are randomized to either active transcranial direct-current stimulation
treatment for ten sessions of 20 minutes at an intensity of 2 mA or sham
transcranial direct-current stimulation over a 2-week period. Outcome measures
are collected at baseline, 2 weeks after treatment and at 3-month follow-up. The
primary outcome is pain during intercourse, assessed with a numerical rating
scale. Secondary measurements focus on the sexual function, vestibular pain
sensitivity, psychological distress, treatment satisfaction, and the patient's
global impression of change. DISCUSSION: To our knowledge, this study is the
first randomized controlled trial to examine the efficacy of transcranial direct
current stimulation in women with provoked vestibulodynia. Findings from this
trial are expected to provide significant information about a promising
intervention targeting the centralization of pain in women with provoked
vestibulodynia. TRIAL REGISTRATION: Clinicaltrials.gov, NCT02543593 . Registered
on September 4, 2015.
PMID- 27179947
TI - Author Reply.
PMID- 27179948
TI - p65 down-regulates DEPTOR expression in response to LPS stimulation in
hepatocytes.
AB - DEPTOR, a novel endogenous inhibitor of mTOR, plays an important role in
regulating the inflammatory response in vascular endothelial cells (ECs) and in
mouse skeletal muscle. However, the regulatory mechanism of DEPTOR transcription
and its effects on liver inflammation are unknown presently. Here we reported the
role of DEPTOR in regulating inflammatory response in mouse liver-derived Hepa1-6
cells and in a mouse model with LPS-induced hepatic inflammation. The results
revealed that DEPTOR over-expression in Hepa1-6 liver cells increased the mRNA
levels of the pro-inflammatory cytokines interleukin-6 (IL-6) and monocyte
chemotactic protein-1 (MCP-1). Contrasting results were observed in Hepa1-6 cells
with DEPTOR interference. Treatment Hepa1-6 cells with rapamycin, a specific
inhibitor of mTORC1, increased MCP-1 mRNA, but have no significant effect on IL-6
mRNA. DEPTOR expression was down-regulated in Hepa1-6 cells with the treatment of
inflammatory stimuli LPS or the over-expression of p65/NF-kappaB, a key
inflammatory transcription factor. NF-kappaB antagonist (PDTC) and inhibitor
(IkappaBalpha) blocked the effect of LPS on DEPTOR expression. The study in vivo
showed that DEPTOR mRNA and protein were significantly reduced in a mouse model
with LPS-induced hepatic inflammation, which was accompanied by a concurrent
activation of the mTOR signaling pathway. Further, the transcriptional regulation
of DEPTOR was explored, which revealed that DEPTOR promoter activity was
significantly down-regulated by NF-kappaB. The progressive deletions and
mutations demonstrated that the NF-kappaB binding motif situated at -145/-127
region is an essential component required for the DEPTOR promoter activity.
Chromatin immunoprecipitation (ChIP) assays determined that p65 can directly
interact with the DEPTOR promoter DNA. Those results indicate DEPTOR regulates
liver inflammation at least partially via mTORC1 pathway, and is down-regulated
by LPS through p65.
PMID- 27179949
TI - Genetic variants in chromatin-remodeling pathway associated with lung cancer risk
in a Chinese population.
AB - Chromatin remodeling complexes utilize the energy of ATP hydrolysis to remodel
nucleosomes and have essential roles in transcriptional modulation. Increasing
evidences indicate that these complexes directly interact with numerous proteins
and regulate the formation of cancer. However, few studies reported the
association of polymorphisms in chromatin remodeling genes and lung cancer. We
hypothesized that variants in critical genes of chromatin remodeling pathway
might contribute to the susceptibility of lung cancer. To validate this
hypothesis, we systematically screened 40 polymorphisms in six key chromatin
remodeling genes (SMARCA5, SMARCC2, SMARCD2, ARID1A, NR3C1 and SATB1) and
evaluated them with a case-control study including 1341 cases and 1982 controls.
Logistic regression revealed that four variants in NR3C1 and SATB1 were
significantly associated with lung cancer risk after false discovery rate (FDR)
correction [For NR3C1, rs9324921: odds ratio (OR)=1.23, P for FDR=0.029;
rs12521436: OR=0.85, P for FDR=0.040; rs4912913: OR=1.17, P for FDR=0.040; For
SATB1, rs6808523: OR=1.33, P for FDR=0.040]. Combing analysis presented a
significant allele-dosage tendency for the number of risk alleles and lung cancer
risk (Ptrend<0.001). Moreover, expression quantitative trait loci (eQTL) analysis
revealed that these two genes were differently expressed between lung tumor and
adjacent normal tissues in the database of The Cancer Genome Atlas (TCGA)
(P=0.009 for rs6808523). These findings suggested that genetic variants in key
chromatin remodeling genes may contribute to lung cancer risk in Chinese
population. Further large and well-designed studies are warranted to validate our
results.
PMID- 27179950
TI - Preparation of modified-biochar from Laminaria japonica: Simultaneous
optimization of aluminum electrode-based electro-modification and pyrolysis
processes and its application for phosphate removal.
AB - The preparation conditions of electro-modification (current density) and
pyrolysis (pyrolysis temperature and heating rate) processes were simultaneously
optimized using response surface methodology with the quadratic regression model
associated with Box-Behnken design. By numerical optimization, the phosphate
adsorption capacity of 245.06mg/g was achieved, corresponding to 99.9% of the
predicted values under statistically optimized conditions (current density:
38.78mA/cm(2), pyrolysis temperature: 584.1 degrees C, heating rate: 6.91 degrees
C/min). By considering R(2) and three error functions values, the experimental
results of adsorption kinetics, and the equilibrium isotherms at different
temperatures (10-30 degrees C) showed that predictive pseudo-second-order and
Sips isotherm models could adequately interpret the phosphate adsorption process
for 'statistically optimized electrically modified'-biochar (SOEM-biochar). The
maximum phosphate adsorption capacities of SOEM-biochar were found to be 273.9,
345.1, and 460.3mg/g at 10, 20, and 30 degrees C, respectively, which are higher
than that of other adsorbents reported in the literature.
PMID- 27179951
TI - Succinic acid production from lignocellulosic hydrolysate by Basfia
succiniciproducens.
AB - The production of chemicals alongside fuels will be essential to enhance the
feasibility of lignocellulosic biorefineries. Succinic acid (SA), a naturally
occurring C4-diacid, is a primary intermediate of the tricarboxylic acid cycle
and a promising building block chemical that has received significant industrial
attention. Basfia succiniciproducens is a relatively unexplored SA-producing
bacterium with advantageous features such as broad substrate utilization, genetic
tractability, and facultative anaerobic metabolism. Here B. succiniciproducens is
evaluated in high xylose-content hydrolysates from corn stover and different
synthetic media in batch fermentation. SA titers in hydrolysate at an initial
sugar concentration of 60g/L reached up to 30g/L, with metabolic yields of
0.69g/g, and an overall productivity of 0.43g/L/h. These results demonstrate that
B. succiniciproducens may be an attractive platform organism for bio-SA
production from biomass hydrolysates.
PMID- 27179952
TI - Concurrent production of carotenoids and lipid by a filamentous microalga
Trentepohlia arborum.
AB - During the study of Trentepohlia arborum it became clear that its cells are rich
in lipids and carotenoids. Thus, lipid content, composition and fatty acids
profiles in individual lipid classes, as well as pigment profiles, responding to
different culture conditions, were further investigated. The results showed that
the predominant carotenoids and lipid fraction in total lipid in this study was
beta-carotene and TAG, respectively. The lipid content increased significantly
under high light while nitrogen-replete conditions induced the highest
carotenoids content. However, only with a double stress of high light and
nitrogen-deficiency it was possible to maximize the productivities of both
carotenoids and lipids. Carotenoids (mainly beta-carotene) accounted for ca. 5%
of the microalgal lipid under the double stress. Data herein show the potential
of T. arborum for the production of both lipids and carotenoids, and hence
provide an appropriate way to produce different products from T. arborum.
PMID- 27179953
TI - Optimisation of slow-pyrolysis process conditions to maximise char yield and
heavy metal adsorption of biochar produced from different feedstocks.
AB - The objective of this work was to identify biomass feedstocks and optimum
pyrolysis process conditions to produce a biochar capable of adsorbing metals
from polluted groundwater. Taguchi experimental design was used to determine the
effects of slow-pyrolysis process conditions on char yield and zinc adsorption.
Treatments were repeated using six candidate feedstocks (Lolium perenne, Lolium
perenne fibre, Miscanthus x giganteus, Salix viminalis, Fraxinus excelsior and
Picea sitchensis) and the resultant chars were tested for metal adsorption
performance. Chars produced from L. perenne and its extracted fibre displayed the
greatest zinc adsorption performance and removed 83.27-92.96% respectively.
Optimum process conditions in terms of both char yield and zinc adsorption
performance were achieved from slow-pyrolysis at 300 degrees C for 2h using a
feedstock with a particle size of less than 1mm.
PMID- 27179954
TI - Membrane fouling behavior in anaerobic baffled membrane bioreactor under static
operating condition.
AB - A novel AnMBR combined with ABR as the anaerobic baffled membrane bioreactor
(ABMBR) was developed for membrane fouling mitigation without any turbulence
intensifying strategy to reduce the energy consumption further. The filtration
time of this system lasted 14-25days under stable condition only with back
flushing every 48h. The polysaccharide accounted for 6.85+/-3.1% amount of total
filter cake and the protein accounted for 4.12+/-2.1%, which took 79.12% and
11.12% of total area in laser scanning confocal microscope (CLSM) image. After
filtration, 83.72+/-10.97% of turbidity, 59.28+/-16.46% of polysaccharide, 16.51%
of tryptophan and 37.61% of humic-like substrates were rejected, respectively.
The total membrane resistance at the end of each cycle was (4.47+/-0.99)*10(13)m(
1). And the resistance from filter cake was (4.15+/-1.00)*10(13)m(-1), which
accounted for of 92.6+/-3.4% of total membrane resistance.
PMID- 27179955
TI - Pan-omics analysis of biological data.
PMID- 27179956
TI - DEET (N,N-diethyl-meta-toluamide)/PMD (para-menthane-3,8-diol) repellent-treated
mesh increases Culicoides catches in light traps.
AB - Biting midges (Culicoides spp.) are vectors of bluetongue and Schmallenberg
viruses. Treatment of mesh barriers is a common method for preventing insect
vectored diseases and has been proposed as a means of limiting Culicoides
ingression into buildings or livestock transporters. Assessments using animals
are costly, logistically difficult and subject to ethical approval. Therefore,
initial screening of test repellents/insecticides was made by applying treatments
to mesh (2 mm) cages surrounding Onderstepoort light traps. Five commercial
treatments were applied to cages as per manufacturers' application rates: control
(water), bendiocarb, DEET/p-menthane-3,8-diol (PMD) repellent, Flygo (a terpenoid
based repellent) and lambda-cyhalothrin. The experimental design was a 5 * 5
Latin square, replicated in time and repeated twice. Incongruously, the traps
surrounded by DEET/PMD repellent-treated mesh caught three to four times more
Obsoletus group Culicoides (the commonest midge group) than the other treatments.
A proposed hypothesis is that Obsoletus group Culicoides are showing a dose
response to DEET/PMD, being attracted at low concentrations and repelled at
higher concentrations but that the strong light attraction from the Onderstepoort
trap was sufficient to overcome close-range repellence. This study does not imply
that DEET/PMD is an ineffective repellent for Culicoides midges in the presence
of an animal but rather that caution should be applied to the interpretation of
light trap bioassays.
PMID- 27179957
TI - Outcomes of type II endoleaks after endovascular abdominal aortic aneurysm (AAA)
repair: a single-center, retrospective study.
AB - PURPOSE: This study aims to determine incidence and outcomes of type II endoleaks
(T2E) after endovascular abdominal aortic aneurysm repair (EVAR). METHODS: A
retrospective review of procedural angiograms, computed tomography angiography,
and medical records of 202 patients who underwent EVAR with the Gore Excluder
stent graft was performed to determine presence and outcomes of T2E. RESULTS:
Median follow-up time for 163 patients meeting inclusion criteria [136 males
(83%)] was 24.7 months (range=0.5-85.2 months). T2E occurred in 66/163 patients
(40.5%). Aneurysm sac size was unchanged in 32/66 patients (48.5%), decreased in
22/66 (33.3%), and increased in 12/66 (18.2%). No aneurysm ruptures, conversion
to open repair, or aneurysm-related deaths occurred. CONCLUSION: T2E are a common
occurrence after EVAR, often with benign outcome. However, routine surveillance
should be performed, particularly in patients with persistent endoleak or sac
growth.
PMID- 27179958
TI - Comparison of performance of various tumor response criteria in assessment of
sunitinib activity in advanced gastrointestinal stromal tumors.
AB - PURPOSE: To compare the performance of various tumor response criteria (TRC) in
the assessment of patients with advanced gastrointestinal stromal tumor (GIST)
treated with sunitinib after failure of imatinib. METHODS: Sixty-two participants
with advanced GIST in two clinical trials received oral sunitinib after prior
failure of imatinib (median duration 24 weeks; interquartile range 14-56) and
were followed with contrast-enhanced computed tomography at baseline and
thereafter at median intervals of 6 weeks (IRQ 6-9). Tumor response was
prospectively determined using Response Evaluation Criteria in Solid Tumors
(RECIST) 1.0, and retrospectively reassessed for comparison using RECIST 1.1,
Choi criteria, and modified Choi (mChoi) criteria using the original target
lesions. For mChoi criteria, progressive disease was defined as 20% increase in
sum of the longest dimension, similar to RECIST 1.1. Clinical benefit rate (CBR;
complete response, partial response, or stable disease >=12 weeks) and
progression-free survival were compared between various TRCs using kappa
statistics. RESULTS: While partial response as the best response was more
frequent by Choi and mChoi criteria (50% each) than RECIST 1.1 (15%) and RECIST
1.0 (13%), CBR was similar between various TRCs (overall CBR 60%-77%, 77%-94%
agreement between all TRC pairs). Time to best response was shorter for Choi and
mChoi criteria (median 11 weeks each) compared to RECIST 1.1 and RECIST 1.0
(median 25 and 24 weeks, respectively). PFS was similar for RECIST 1.1, RECIST
1.0, and mChoi (median 35 weeks each), and shortest for Choi criteria (median 23
weeks). CONCLUSIONS: CBR was similar among the various TRCs, although Choi
criteria led to earlier determination of disease progression. Therefore, RECIST
1.1 and mChoi criteria may be preferred for response assessment in patients with
advanced GIST.
PMID- 27179959
TI - The efficiency of multiparametric magnetic resonance imaging (mpMRI) using PI
RADS Version 2 in the diagnosis of clinically significant prostate cancer.
AB - OBJECTIVES: To investigate the efficiency of multiparametric MRI (mpMRI) based on
Prostate Imaging Reporting and Data System (PI-RADS) Version 2 (v2) in detecting
clinically significant prostate cancer (PCa) and to test the interobserver
consistency. METHODS: Based on PI-RADS v2, two radiologists reviewed the images
of 372 patients who underwent prostate biopsy and prebiopsy mpMRI. RESULTS:
There's significant correlation between higher PI-RADS score and the presence of
clinical significant PCa (P<.001). PI-RADS score 3 was the best cutoff point with
sensitivity and specificity over 80%. The diagnostic concordance was moderate
(kappa=0.478). CONCLUSIONS: PI-RADS v2 demonstrated good accuracy in detecting
clinically significant PCa, however the interobserver consistency needs to be
improved.
PMID- 27179960
TI - Isolated intraventricular hemorrhage after spinal surgery.
AB - Intracerebral hemorrhage after spinal surgery is a rare but dreaded complication.
The most commonly described form of intracranial hemorrhage after spinal surgery
is remote cerebellar hemorrhage (i.e. anatomically distant from the surgical
site) (Brockmann MA, Groden C. Remote cerebellar hemorrhage: a review. The
Cerebellum 2006;5:64-8); however subdural, subarachnoid, and intraventricular
hemorrhage can also occur in combination or isolated (Kaloostian PE, Kim JE,
Bydon A, Sciubba DM, Wolinsky JP, Gokaslan ZL, Witham TF. Intracranial hemorrhage
after spine surgery. J Neurosurg Spine 2013;19:370-80; Khalatbari MR, Khalatbari
J, Moharamzad Y. Intracranial hemorrhage following lumbar spine surgery. Eur
Spine J 2012;21:2092-96). Isolated intraventricular hemorrhage after spinal
surgery is extremely rare; to our knowledge, there are only two cases reported in
the literature (Kaloostian PE, Kim JE, Bydon A, Sciubba DM, Wolinsky JP, Gokaslan
ZL, Witham TF. Intracranial hemorrhage after spine surgery. J Neurosurg Spine
2013;19:370-80; Khalatbari MR, Khalatbari J, Moharamzad Y. Intracranial
hemorrhage following lumbar spine surgery. Eur Spine J 2012;21:2092-96). Here, we
present a 76-year-old female patient who developed isolated intraventricular
hemorrhage after spinal surgery.
PMID- 27179961
TI - Neuroinflammation in Alzheimer's disease: Current evidence and future directions.
AB - Several attempts have been made to treat Alzheimer's disease (AD) using anti
amyloid strategies with disappointing results. It is clear that the "amyloid
cascade hypothesis" alone cannot fully explain the neuronal damage in AD, as
evidenced both by autopsy and imaging studies. Neuroinflammation plays a
significant role in neurodegenerative diseases, whereas the debate is ongoing
about its precise role, whether it is protective or harmful. In this review, we
focus on the potential mechanism of glial activation and how local and systemic
factors influence disease progression. We focus on neuroinflammation in AD,
especially in the earliest stages, a vicious cycle of glial priming, release of
pro-inflammatory factors, and neuronal damage. We review the evidence from
imaging studies, regarding the temporal relationship between amyloid deposition
and neuroinflammation, the influence of systemic inflammation on glial
activation, both in acute and chronic stimulation and the relevance of
inflammation as a diagnostic and therapeutic target.
PMID- 27179962
TI - Severe pulmonary arterial hypertension: stratification of medical therapies,
mechanical support, and lung transplantation.
AB - Severe pulmonary hypertension is recognized by focusing on the clinical signs and
tests that demonstrate decompensated right ventricular failure or, in the worst
case scenario, shock. An aggressive treatment regimen including a prostacyclin
infusion is required for these patients. Once admitted to the hospital, or the
ICU for decompensated right ventricular failure, the short- and long-term
outcomes for PAH patients are poor. For those who are candidates, urgent lung
transplantation, or extracorporeal membrane oxygenation (ECMO) as a bridge to
lung transplantation may be rescue therapy.
PMID- 27179963
TI - DDR1 enhances invasion and metastasis of gastric cancer via epithelial
mesenchymal transition.
AB - In this study, we investigated the effects of DDR1 on the invasion and metastasis
in gastric cancer (GC) via epithelial-mesenchymal transition (EMT).
Immunohistochemistry analysis was used to detect DDR1, E-cadherin, and Vimentin
expression in GC tissues as well as DDR1 expression in GC cell lines and normal
gastric epithelial cells. The relationship between DDR1 expression and EMT in GC
cell lines was explored by down and upregulating DDR1 and examining corresponding
changes in the expression of EMT-related proteins and in biological
characteristics. Furthermore, a nude mice model with a transplantation tumor
generating from stably transfected GC cells with DDR1 overexpression was
established and performed to further reveal the effects of DDR1 expression on
cellular morphology and growth of GC. Our results showed that DDR1 was highly
expressed in GC tissues and cell lines compared with adjacent tissues and normal
cell line, and its expression was significantly higher in GC having poor
differentiation (p < 0.01), advanced depth of wall invasion (p = 0.020), lymph
node metastasis (p = 0.0001), liver metastasis (p < 0.01), and high TNM stage (p
< 0.01). Western blot analyses revealed that DDR1 overexpression resulted in a
significant decrease in the expression of E-cadherin (p < 0.01) and an increase
in the expression of Vimentin and Snail (p < 0.01), while knockdown of DDR1 led
to opposite outcomes. We further demonstrated that DDR1 overexpression promoted
GC cell proliferation (p < 0.05), migration (p < 0.01), and invasion (p < 0.01),
and accelerated the growth (p < 0.05) as well as the microvessel formation (p <
0.01) of transplantation tumor in nude mice. Our study establishes that DDR1
enhances invasion and metastasis of gastric cancer via EMT.
PMID- 27179966
TI - Low frontal serotonin 2A receptor binding is a state marker for schizophrenia?
AB - Here we imaged serotonin 2A receptor (5-HT2AR) binding in a very rare population
of monozygotic twins discordant for schizophrenia to provide insight into trait
and state components in brain 5-HT2AR patterns. In four twin pairs not medicated
with drugs that target 5-HT2AR, frontal 5-HT2AR binding was consistently lower
(33%) in schizophrenic- relative to their healthy co-twins. Our results strongly
imply low frontal 5-HT2AR availability as a state feature of schizophrenia. If
replicated, ideally in a larger sample also including dizygotic twin pairs and
drug-naive patients, this finding critically advance our understanding of the
complex pathophysiology of schizophrenia.
PMID- 27179967
TI - Effective mitigation of tumor lysis syndrome with gradual venetoclax dose ramp,
prophylaxis, and monitoring in patients with chronic lymphocytic leukemia.
PMID- 27179964
TI - Significance of oncogenes and tumor suppressor genes in AML prognosis.
AB - Acute myeloid leukemia (AML) is a heterogeneous disorder among hematologic
malignancies. Several genetic alterations occur in this disease, which cause
proliferative progression, reducing differentiation and apoptosis in leukemic
cells as well as increasing their survival. In the genetic study of AML, genetic
translocations, gene overexpression, and mutations effective upon biology and
pathogenesis of this disease have been recognized. Proto-oncogenes and tumor
suppressor genes, which are important in normal development of myeloid cells, are
involved in the regulation of cell cycle and apoptosis, undergo mutation in this
type of leukemia, and are effective in prognosis of AML subtypes. This review
deals with these genes, the assessment of which can be important in the diagnosis
and prognosis of patients as well as therapeutic outcome.
PMID- 27179965
TI - Insulin Resistance of Puberty.
AB - Puberty is a time of considerable metabolic and hormonal change. Notably, puberty
is associated with a marked decrease in insulin sensitivity, on par with that
seen during pregnancy. In otherwise healthy youth, there is a nadir in insulin
sensitivity in mid-puberty, and then it recovers at puberty completion. However,
there is evidence that insulin resistance (IR) does not resolve in youth who are
obese going into puberty and may result in increased cardiometabolic risk. Little
is known about the underlying pathophysiology of IR in puberty, and how it might
contribute to increased disease risk (e.g., type 2 diabetes). In this review, we
have outlined what is known about the IR in puberty in terms of pattern,
potential underlying mechanisms and other mediating factors. We also outline
other potentially related metabolic changes that occur during puberty, and
effects of underlying insulin resistant states (e.g., obesity) on pubertal
changes in insulin sensitivity.
PMID- 27179968
TI - Shifting the pulsatility by increasing the change in rotational speed for a
rotary LVAD using a native heart load control system.
AB - We have previously developed a native heart load control system for a continuous
flow left ventricular assist device (LVAD) ((EVAHEART(r); Sun Medical) and
demonstrated that the rotational speed (RS) in synchronization with the cardiac
cycle can alter pulsatility and left ventricular (LV) load under general
anesthesia. In this study, we assessed the effects of different levels of
increase in RS on pulsatility and LV load in the chronic awake phase. We
implanted the EVAHEART via left thoracotomy in 7 normal goats (59.3 +/- 4.6 kg).
Two weeks after implantation, we examined the effects of co-pulse mode (increased
RS in the systolic phase) and counter-pulse mode (increased RS in the diastolic
phase), as well as shifting the change in RS from 250 to 500 rpm, and 750 rpm in
both modes on pulsatility and LV load. Pulsatility was assessed using pulse
pressure and mean dP/dt max of aortic pressure. LV load was assessed using stroke
work and left ventricle end-diastolic volume determined from LV pressure-volume
loops. In the co-pulse mode, pulsatility values increased as the change in RS
increased. By contrast, in the counter-pulse mode, these values decreased as the
change in RS increased. LV load increased significantly in the co-pulse mode
compared with the counter-pulse mode, but there were no significant differences
among the three levels of RS increase in either mode. Increasing RS to varying
degrees with our newly developed system could contribute to pulsatility. However,
it appeared to have little effect on LV load in normal hearts.
PMID- 27179970
TI - A case of severe NSAID exacerbated respiratory disease (NERD) following a dental
procedure in a child.
AB - BACKGROUND: An allergic reaction following a dental procedure is a rare event. A
local anaesthetic (LA) may initially be suspected as a causative agent but
allergy to dental LA solutions is extremely rare. CASE REPORT: This report
concerns a 13 year old child who underwent dental treatment and was exposed to
Lignospan Special((r)) LA, mouthwash, latex gloves, ibuprofen medication, and a
Magnum((r)) (almond) ice cream all within 45 min. He subsequently developed acute
symptoms of nasal rhinorrhoea, facial flushing, peri-orbital and lip angioedema,
followed by throat tightness and wheeze (respiratory compromise). His acute
reaction was treated by his medical practitioner with oral anti-histamines,
steroid and nebulised salbutamol. The child was referred to a local allergist.
The child underwent detailed allergic investigations including skin prick tests,
blood tests, incremental local anaesthetic challenge and ibuprofen challenge. The
patient was diagnosed with NSAID exacerbated respiratory disease (NERD), a
hypersensitivity reaction to ibuprofen. FOLLOW-UP: The patient was advised to
avoid NSAIDs and to wear a medic-alert (allergy) bracelet. A detailed written
report was sent to the patient's dentist and GP. CONCLUSIONS: In the context of a
suspected reaction post dental procedure, local anaesthetic should be considered
a possible allergen but other important considerations include analgesics,
antibiotics, and latex. All genuine allergic reactions need to be investigated.
This report highlights NERD as a possible adverse outcome following dental
treatment.
PMID- 27179969
TI - N (6)-Methyladenosine (m(6)A) Methylation in mRNA with A Dynamic and Reversible
Epigenetic Modification.
AB - N (6)-methyladenosine (m(6)A) is the most abundant and reversible internal
modification ubiquitously occurring in eukaryotic mRNA, albeit the significant
biological roles of m(6)A methylation have remained largely unclear. The well
known DNA and histone methylations play crucial roles in epigenetic modification
of biologic processes in eukaryotes. Analogously, the dynamic and reversible
m(6)A RNA modification, which is installed by methyltransferase (METTL3, METTL14,
and WTAP), reversed by demethylases (FTO, ALKBH5) and mediated by m(6)A-binding
proteins (YTHDF1-3, YTHDC1), may also have a profound impact on gene expression
regulation. Recent discoveries of the distributions, functions, and mechanisms of
m(6)A modification suggest that this methylation functionally modulates the
eukaryotic transcriptome to influence mRNA transcription, splicing, nuclear
export, localization, translation, and stability. This reversible mRNA
methylation shed light on a new dimension of post-transcriptional regulation of
gene expression at the RNA level. m(6)A methylation also plays significant and
broad roles in various physiological processes, such as development, fertility,
carcinogenesis, stemness, early mortality, meiosis and circadian cycle, and links
to obesity, cancer, and other human diseases. This review mainly describes the
current knowledge of m(6)A and perspectives on future investigations.
PMID- 27179971
TI - Increased levels of hyper-stable protein aggregates in plasma of older adults.
AB - Proteins that misfold into hyper-stable/degradation-resistant species during
aging may accumulate and disrupt protein homeostasis (i.e., proteostasis),
thereby posing a survival risk to any organism. Using the method diagonal two
dimensional (D2D) SDS-PAGE, which separates hyper-stable SDS-resistant proteins
at a proteomics level, we analyzed the plasma of healthy young (<30 years) and
older (60-80 years) adults. We discovered the presence of soluble SDS-resistant
protein aggregates in the plasma of older adults, but found significantly lower
levels in the plasma of young adults. We identified the inflammation-related
chaperone protein haptoglobin as the main component of the hyper-stable
aggregates. This observation is consistent with the growing link between
accumulations of protein aggregates and aging across many organisms. It is
plausible higher amounts of SDS-resistant protein aggregates in the plasma of
older adults may reflect a compromise in proteostasis that may potentially
indicate cellular aging and/or disease risk. The results of this study have
implications for further understanding the link between aging and the
accumulation of protein aggregates, as well as potential for the development of
aging-related biomarkers. More broadly, this novel application of D2D SDS-PAGE
may be used to identify, quantify, and characterize the degradation-resistant
protein aggregates in human plasma or any biological system.
PMID- 27179973
TI - Patient education as empowerment and self-rebiasing.
AB - The fiduciary nature of the patient-physician relationship requires clinicians to
act in the best interest of their patients. Patients are vulnerable due to their
health status and lack of medical knowledge, which makes them dependent on the
clinicians' expertise. Competent patients, however, may reject the
recommendations of their physician, either refusing beneficial medical
interventions or procedures based on their personal views that do not match the
perceived medical indication. In some instances, the patients' refusal may
jeopardize their health or life but also compromise the clinician's moral
responsibility to promote the patient's best interests. In other words, health
professionals have to deal with patients whose behavior and healthcare decisions
seem counterproductive for their health, or even deteriorate it, because of lack
of knowledge, bad habits or bias without being the patients' free voluntary
choice. The moral dilemma centers on issues surrounding the limits of the
patient's autonomy (rights) and the clinician's role to promote the well-being of
the patient (duties). In this paper we argue that (1) the use of manipulative
strategies, albeit considered beneficent, defeats the purpose of patient
education and therefore should be rejected; and (2) the appropriate strategy is
to empower patients through patient education which enhances their autonomy and
encourages them to become full healthcare partners as opposed to objects of
clinical intervention or entities whose values or attitudes need to be shaped and
changed through education. First, we provide a working definition of the concept
of patient education and a brief historical overview of its origin. Second, we
examine the nature of the patient-physician relationship in order to delineate
its boundaries, essential for understanding the role of education in the clinical
context. Third, we argue that patient education should promote self-rebiasing,
enhance autonomy, and empower patients to determine their therapeutic goals.
Finally, we develop a moral framework for patient education.
PMID- 27179972
TI - Impact of sex and APOE4 on cerebral amyloid angiopathy in Alzheimer's disease.
AB - Cerebral amyloid angiopathy (CAA) often coexists with Alzheimer's disease (AD).
APOE4 is a strong genetic risk factor for both AD and CAA. Sex-dependent
differences have been shown in AD as well as in cerebrovascular diseases.
Therefore, we examined the effects of APOE4, sex, and pathological components on
CAA in AD subjects. A total of 428 autopsied brain samples from pathologically
confirmed AD cases were analyzed. CAA severity was histologically scored in
inferior parietal, middle frontal, motor, superior temporal and visual cortexes.
In addition, subgroups with severe CAA (n = 60) or without CAA (n = 39) were
subjected to biochemical analysis of amyloid-beta (Abeta) and apolipoprotein E
(apoE) by ELISA in the temporal cortex. After adjusting for age, Braak
neurofibrillary tangle stage and Thal amyloid phase, we found that overall CAA
scores were higher in males than females. Furthermore, carrying one or more APOE4
alleles was associated with higher overall CAA scores. Biochemical analysis
revealed that the levels of detergent-soluble and detergent-insoluble Abeta40,
and insoluble apoE were significantly elevated in individuals with severe CAA or
APOE4. The ratio of Abeta40/Abeta42 in insoluble fractions was also increased in
the presence of CAA or APOE4, although it was negatively associated with male
sex. Levels of insoluble Abeta40 were positively associated with those of
insoluble apoE, which were strongly influenced by CAA status. Pertaining to
insoluble Abeta42, the levels of apoE correlated regardless of CAA status. Our
results indicate that sex and APOE genotypes differentially influence the
presence and severity of CAA in AD, likely by affecting interaction and
aggregation of Abeta40 and apoE.
PMID- 27179974
TI - Cryopreservation of lar gibbon semen collected by manual stimulation.
AB - We confirmed ejaculation as a result of manual stimulation in a lar gibbon, and
attempted to cryopreserve the semen using TES-Tris-egg yolk-based (TTE) extender.
After measuring the amount of semen (g), we first diluted the semen with TTE
extender, and calculated sperm concentration (sperm/ml), total sperm count
(sperm), and progressive sperm motility (%). Then, we cooled diluted semen slowly
to 4 degrees C over 2 h, and added an equal volume of secondary extender
containing glycerol over 30 min. Finally, we flash-froze the semen solution by
plunging into liquid nitrogen. In addition, we freeze-thawed the solution to
determine the recovery rate of the motile sperm. Collection of semen was
successful on four of the five occasions. The median (min-max) quantity of
ejaculate was 0.19 g (0.09-0.26 g), the median sperm concentration was 1.38 *
10(9) sperm/ml (1.20-1.53 * 10(9) sperm/ml), and the median total sperm count was
0.26 * 10(9) sperm (0.11-0.40 * 10(9) sperm). Moreover, the median sperm motility
immediately after ejaculation was 65 % (60-75 %), the median sperm motility after
freeze-thawing was 30 % (25-35 %), and the median recovery rate was 42.3 % (40.0
58.3 %). We were able to (1) collect semen from a lar gibbon by manual
stimulation, (2) reveal andrological findings regarding semen characteristics,
and (3) preserve the genetic resource using TTE cryopreservation.
PMID- 27179975
TI - Role of UCP2 in the protective effects of PPARbeta/delta activation on
lipopolysaccharide-induced endothelial dysfunction.
AB - Bacterial endotoxin lipopolysaccharide (LPS) activates inflammatory pathways,
induces cytokine expression in the endothelium, augments reactive oxygen species
(ROS) production in the vascular wall, and induces endothelial dysfunction. The
aim of the present study was to analyze the effects of peroxisome proliferator
activated receptor (PPAR)beta/delta activation on LPS-induced inflammation,
oxidative stress and endothelial dysfunction and to determine whether uncoupling
protein-2 (UCP2) plays a role in these effects. In vivo, the PPARbeta/delta
agonist GW0742 treatment prevented the LPS-induced reduction in aortic
relaxation, the increase in vascular ROS production, the upregulation of NOX1,
NOX2, p47(phox), and p22(phox) mRNA levels, and the endoplasmic reticulum (ER)
stress markers in mice. We show that in mouse aortic endothelial cells (MAECs),
GW0742 prevented the decreased A23187-stimulated nitric oxide (NO) production,
and the increased intracellular ROS levels caused by exposure to LPS in vitro.
The PPARbeta/delta antagonist GSK0660 abolished all these in vivo and in vitro
protective effects induced by GW0742. This agonist also restored the reduced
expression of UCP2 and mitofusin-2 induced by LPS. The effects of GW0742 on NO
and ROS production in MAEC exposed to LPS were abolished by the UCP2 inhibitor
genipin or by siRNA targeting UCP-2. Genipin also suppressed the expressional
changes on NADPH oxidase and ER stress markers induced by GW0742. In conclusion,
PPARbeta/delta activation restored the LPS-induced endothelial dysfunction by
upregulation of UCP2, with the subsequent alleviation of ER stress and NADPH
oxidase activity, thus reducing intracellular ROS production and increasing NO
bioavailability.
PMID- 27179977
TI - Endo ICG videoangiography: localizing the carotid artery in skull-base endonasal
approaches.
AB - BACKGROUND: In this work, the applicability of ICG-VA to skull base endoscopic
surgery and its capacity to locate the internal carotid artery are shown.
METHODS: An adapted optical module to perform ICG-VA was used to perform
endoscopic procedures. There were two intraoperative phases of interest that were
used to evaluate the ICA: upon exposure of the skull base and during the
intradural exploration. This new tool for obtaining ICA images in real time (as
opposed to with navigation), and it is demonstrated that this tool provides a
superior ability to detect the margins of the ICA compared with the Doppler
technique. On the other hand, the present technique also provides enhancement of
the artery through the bone of the skull base without the need for drilling.
CONCLUSIONS: ICG-VA is a safe and effective technique for locating the ICA in
skull-base expanded endonasal surgery. Furthermore, this technique can provide
real-time guidance for the surgeon and increase safety for the patient.
PMID- 27179976
TI - Adipose extracellular matrix remodelling in obesity and insulin resistance.
AB - The extracellular matrix (ECM) of adipose tissues undergoes constant remodelling
to allow adipocytes and their precursor cells to change cell shape and function
in adaptation to nutritional cues. Abnormal accumulation of ECM components and
their modifiers in adipose tissues has been recently demonstrated to cause
obesity-associated insulin resistance, a hallmark of type 2 diabetes. Integrins
and other ECM receptors (e.g. CD44) that are expressed in adipose tissues have
been shown to regulate insulin sensitivity. It is well understood that a hypoxic
response is observed in adipose tissue expansion during obesity progression and
that hypoxic response accelerates fibrosis and inflammation in white adipose
tissues. The expansion of adipose tissues should require angiogenesis; however,
the excess deposition of ECM limits the angiogenic response of white adipose
tissues in obesity. While recent studies have focused on the metabolic
consequences and the mechanisms of adipose tissue expansion and remodelling,
little attention has been paid to the role played by the interaction between peri
adipocyte ECM and their cognate cell surface receptors. This review will address
what is currently known about the roles played by adipose ECM, their modifiers,
and ECM receptors in obesity and insulin resistance. Understanding how excess ECM
deposition in the adipose tissue deteriorates insulin sensitivity would provide
us hints to develop a new therapeutic strategy for the treatment of insulin
resistance and type 2 diabetes.
PMID- 27179978
TI - Flatness of the infratentorial space associated with hemifacial spasm.
AB - BACKGROUND: Whether a difference in morphology of the infratentorial space is
associated with hemifacial spasm is not well understood. The aim of this study
was to analyze the three-dimensional conformation of the infratentorial space and
evaluate any possible contribution of morphological characteristics to the
development of neurovascular compression leading to hemifacial spasm. METHODS: We
enrolled 25 patients with hemifacial spasm and matched them by age and sex to
controls. The extent of the three-dimensional axes and the volume of the
infratentorial space were measured using image analysis software for three
dimensional MRI. We evaluated the correlation between a morphological difference
in the infratentorial space and changes in vascular configuration in the brain
stem. RESULTS: We found no statistical difference in volumetric analyses. The
mean aspect ratio on the coronal plane (the ratio of the Z to X extent) of the
infratentorial space in patients with hemifacial spasm was significantly lower (p
< 0.01) than that in controls, as was the mean aspect ratio on the sagittal plane
(the ratio of Z to Y extent, p < 0.01). A smaller sagittal aspect ratio was
correlated (p < 0.05) with greater lateral deviation of the basilar artery.
CONCLUSIONS: Our results suggest that flatness of the superior-inferior dimension
of the infratentorial space is an anatomical feature that characterizes patients
with hemifacial spasm. We hypothesize that this unique structural variation may
exaggerate the lateral deviation of the vertebrobasilar arteries due to
arteriosclerosis and exacerbate the space competition among vessels and cranial
nerves.
PMID- 27179979
TI - Preoperative nomogram for prediction of microscopic parametrial infiltration in
patients with FIGO stage IB cervical cancer treated with radical hysterectomy.
AB - OBJECTIVE: This study aimed to establish a nomogram to predict microscopic
parametrial infiltration (PMI) by combining preoperative clinicopathologic
factors in FIGO stage IB cervical cancer patients treated by radical hysterectomy
(RH). METHODS: We retrospectively analyzed clinicopathologic data of 298 patients
with FIGO stage IB cervical cancer treated by RH between February 2000 and March
2015. The nomogram was developed based on multivariate logistic regression
analysis of preoperative clinicopathologic data. The accuracy and discriminative
ability of the nomogram were evaluated by a concordance index and calibration
curve. The low-risk group was predefined as having a predicted probability of PMI
<10%. RESULTS: Multivariate analysis identified diameter-based tumor volume and
disruption of the cervical stromal ring on magnetic resonance imaging, serum
squamous cell carcinoma antigen level, and menopausal status as independent
prognostic factors associated with PMI. The concordance index of the nomogram was
0.940 (95% CI, 0.908-0.967), and calibration plots revealed good agreement
between the observed probabilities and nomogram-predicted probabilities (Hosmer
Lemeshow test, p=0.574). The nomogram classified 200 out of 298 patients (67.1%)
as low risk. In the low-risk group, the predicted probability of PMI was 3.5% and
the actual PMI rate was 2.5% (5 out of 200). CONCLUSIONS: We developed a
preoperative nomogram predicting microscopic PMI in surgically treated FIGO stage
IB cervical cancer patients. The probabilities derived from this nomogram may
have the potential to provide valuable guidance for physicians regarding the
primary management of FIGO stage IB cervical cancer patients.
PMID- 27179980
TI - Superior Limbic Keratoconjunctivitis-like Inflammation in Patients with Chronic
Graft-Versus-Host Disease.
AB - PURPOSE: Describe the presentation and management of superior limbic
keratoconjunctivitis (SLK)-like inflammation and secondary limbal stem cell
dysfunction in the setting of ocular chronic graft-versus-host disease (cGVHD).
METHODS: Retrospective observational case series in a multicenter clinical
practice. Participants were 13 patients (26 eyes) with ocular cGVHD and SLK-like
inflammation presenting to the University of Illinois at Chicago and
BostonSight(r) between January 1, 2009 and July 1, 2013. MAIN OUTCOME MEASURES:
1) Reversal or worsening of SLK, and 2) development of limbal stem cell
dysfunction. RESULTS: All eyes showed evidence of SLK-like inflammation and
superior limbal stem cell dysfunction manifested by conjunctival injection and
superior conjunctival and corneal staining. In addition to aggressive
lubrication, management strategies for SLK included topical steroids (20/26),
punctal occlusion (18/26), topical cyclosporine (24/26), autologous serum tears
(12/26), therapeutic soft contact lens (13/26 eyes) and scleral lenses (4/26
eyes). SLK and limbal stem cell dysfunction were reversed in 23/26 eyes. Three
eyes of two patients with long-standing disease demonstrated frank limbal stem
cell deficiency (LSCD) and corneal pannus, with one patient requiring multiple
reconstructive surgical procedures. CONCLUSIONS: SLK-like inflammation is an
under-recognized condition in patients with severe dry eyes secondary to ocular
cGVHD. Untreated SLK can potentially lead to permanent LSCD over time. Early
recognition and management of SLK in ocular cGVHD can improve vision, reverse
signs, and may prevent these long-term consequences.
PMID- 27179981
TI - Management of Carotid Bifurcation Tumors: 30-Year Experience.
AB - BACKGROUND: The carotid bifurcation can host a variety of tumors requiring
complex surgical management. Treatment requires resection and, in some cases,
vascular reconstruction that may compromise the cerebral circulation. The most
frequent lesion at this location is the carotid body tumor (CBT). CBT are
classified according to Shamblin in 3 types depending on the degree of carotid
vessels encasement. Our main objective was to report our clinical experience
managing carotid bifurcation tumors throughout the last 30 years. METHODS:
Between 1984 and 2014, we treated 30 patients with 32 carotid bifurcation tumors.
There were 21 women and 9 men (2.3:1), with a mean age of 45.5 years (18-75). The
most frequent presentation was an asymptomatic neck swelling or palpable mass
localized at the carotid triangle (86.7%). RESULTS: Thirty of 32 tumors were
resected. Since 1994, computed tomography scan has been the most frequently used
diagnostic imaging tool (80%), followed by magnetic resonance imaging.
Angiography was used mainly during the first 10 years of the study period. Mean
size of the tumor was 44.6 mm (20-73 mm). Nineteen (63%) were classified as
Shamblin II and 6 (20%) as Shamblin's III. All specimens were analyzed by a
pathologist; 28 tumors (93%) were confirmed as paragangliomas, 2 (7%) were
diagnosed as schwannomas. Two patients underwent preoperative embolization of the
CBT; 5 patients (17%) required simultaneous carotid revascularization, all of
them Shamblin III. Mean hospitalization time was 4.5 days (1-35 days). Transient
extracranial nerve deficit was observed in 7 patients (23.3%). Three patients
(Shamblin III) required red blood cells transfusion. One patient (Shamblin III)
underwent a planned en bloc excision of the vagus nerve. There was no
perioperative mortality or procedure-related stroke. No malignancy or tumor
recurrence were observed during follow-up. CONCLUSIONS: CBTs can be diagnosed on
clinical grounds requiring vascular imaging confirmation. These infrequent
lesions are generally benign. Early surgical removal by surgeons with vascular
expertise avoids permanent neurologic and or vascular complications.
PMID- 27179982
TI - Outcomes of the Endovascular Treatment of Stenotic Lesions versus Chronic Total
Occlusions in the Iliac Sector.
AB - BACKGROUND: This study compares outcomes of the endovascular treatment (EVT) of
iliac artery occlusive disease according to whether the treated lesion is a
stenosis or a chronic total occlusion (CTO). METHODS: Patients undergoing EVT
from 2003 to 2013 for iliac artery occlusive disease were identified and the
lesions treated stratified into stenotic (Group 1, n = 375) or CTO (Group 2, n =
87). Patients were followed clinically and hemodynamically (thigh-brachial index,
TBI). Comorbidities, procedural factors, and outcomes were compared between the 2
groups using Kaplan-Meier, Breslow, and Cox models. RESULTS: Four hundred sixty
two iliac endovascular procedures in 378 patients were included in a
retrospective study. The 2 groups only differed in preprocedural TBI [0.77 (Group
1) vs. 0.67 (Group 2), P < 0.001], lesion length [39.7 mm (Group 1) vs. 49.9 mm
(Group 2), P < 0.001], and the use of a covered stent [11.6% (Group 1) vs. 46.2%
(Group 2), P < 0.001]. The technical success rate was higher in Group 1 (99.2%
vs. 89.7%, P < 0.001). Five early occlusions were recorded in Group 1 and 3 in
Group 2 (1.3% vs. 3.8%, P = 0.146). Median follow-up was 34 months (1-113). At 12
and 36 months, stenotic lesions showed better primary (P1) and secondary (P2)
patency rates [P1 93.0% and 85.8% vs. 83.1% and 74.7%, hazard ratio (HR) 1.90
(1.15-3.14), P = 0.018; P2 97.8% and 96.8% vs. 93.0% and 87.4%, HR 2.86 (1.39
5.90), P = 0.007] and freedom from reintervention (FFR) rates [91.6% and 83.5%
vs. 84.1% and 78.9%, HR 1.51 (0.90-2.53), P = 0.132]. In a multivariate analysis,
CTO showed a worse P2 than stenotic lesions [HR 2.81 (1.17-6.76), P = 0.021], yet
no differences emerged in P1 [HR 1.41 (0.76-2.63), P = 0.277] or FFR [HR 1.43
(0.79-2.57), P = 0.237]. A lower preprocedural TBI was correlated with a greater
risk of EVT failure in terms of patency and FFR (P < 0.05). The use of a stent
shorter than 40 mm emerged as a protective factor with an HR for P1 of 3.68 (1.53
8.87) (P = 0.004). CONCLUSIONS: EVT for iliac artery disease offers good outcomes
in terms of long-term patency, although improved results were observed here for
the treatment of stenotic lesions over CTO. Procedures performed in patients with
a lower TBI and the use of a stent >40 mm were related to a worse stent patency.
PMID- 27179983
TI - The Impact of Race on Advanced Chronic Venous Insufficiency.
AB - BACKGROUND: The study aimed to determine the association between race and patient
variables, hospital covariates, and outcomes in patients presenting with advanced
chronic venous insufficiency. METHODS: The National Inpatient Sample was queried
to identify all Caucasian and African-American patients with a primary
International Classification of Diseases, Ninth Revision (ICD-9) diagnosis code
for venous stasis with ulceration (454.0), inflammation (454.1), or complications
(454.2) from 1998 to 2011. CEAP scores were correlated with ICD-9 diagnosis.
Demographics, CEAP classification, management, cost of care, length of stay
(LOS), and inpatient mortality were compared between races. Statistical analysis
was via descriptive statistics, Student's t-test, and the Fisher's exact test.
Trend analysis was completed using the Mann-Kendall test. RESULTS: A total of
20,648 patients were identified of which 85% were Caucasian and 15% were African
American. Debridement procedures had the highest costs at $6,096 followed by skin
grafting at $4,089. There was an overall decrease in the number of ulcer
debridements, vein stripping, and sclerotherapy procedures between 1998 and 2011
(P < 0.05) for both groups. However, African-American patients had significantly
more ulcer debridements than their Caucasian counterparts. CONCLUSIONS: African
American patients with a primary diagnosis of venous stasis present with more
advanced venous disease at a younger age compared with their Caucasian
counterparts. This is associated with increased ulcer debridement, deep vein
thrombosis rates and hospital charges in the African-American cohort. There are
no differences in sclerotherapy or skin grafting procedures, LOS or inpatient
mortality between races.
PMID- 27179984
TI - Postoperative Outcomes of Hybrid Repair in the Treatment of Aortic Arch
Aneurysms.
AB - BACKGROUND: The advent of thoracic endovascular aneurysm repair (TEVAR) has
bought about a tremendous revolution in the treatment strategy for aortic arch
aneurysms. We reviewed our experience using TEVAR with the hybrid approach in the
treatment of aortic arch aneurysms to evaluate its feasibility, safety, and
effectiveness. METHODS: Between October 2008 and July 2014, 61 consecutive
patients (51 men; mean age 75.8 +/- 7.7 years; range, 43-85 years) underwent
elective treatment for aortic arch aneurysms with the hybrid approach. The 61
patients were separated into 2 groups. Thirty-five patients underwent total
debranching TEVAR for zone 0 (debranching TEVAR group), 26 patients underwent
long elephant trunk (ET) followed by secondary retrograde TEVAR (ET group).
Preoperative, perioperative, and follow-up data were collected retrospectively in
the database. RESULTS: The technical success rate was 100%. The paraplegia rates
in total debranching TEVAR and long ET TEVAR and were 2.9% and 3.8%,
respectively. The stroke rates in total debranching TEVAR and long ET TEVAR were
11.4% and 7.7%, respectively. The overall 30-day mortality and in-hospital
mortality rates for all 61 patients were 0% and 3.4% (n = 2; both were in the
total debranching TEVAR group), respectively. There were no perioperative type 1
or 3 endoleaks that required secondary intervention. The mean hospital stay was
15.8 days. The median follow-up was 309 +/- 303 days. No aneurysm-related deaths
occurred during follow-up. CONCLUSIONS: The hybrid approach can be safely
performed with good technical success and good midterm results. In future, new
alternative devices for aortic arch pathologies, such as a branched stent graft
that eliminates extra-anatomic bypass, should be developed.
PMID- 27179985
TI - Text mining patents for biomedical knowledge.
AB - Biomedical text mining of scientific knowledge bases, such as Medline, has
received much attention in recent years. Given that text mining is able to
automatically extract biomedical facts that revolve around entities such as
genes, proteins, and drugs, from unstructured text sources, it is seen as a major
enabler to foster biomedical research and drug discovery. In contrast to the
biomedical literature, research into the mining of biomedical patents has not
reached the same level of maturity. Here, we review existing work and highlight
the associated technical challenges that emerge from automatically extracting
facts from patents. We conclude by outlining potential future directions in this
domain that could help drive biomedical research and drug discovery.
PMID- 27179986
TI - An improved model for fragment-based lead generation at AstraZeneca.
AB - Modest success rates in fragment-based lead generation (FBLG) projects at
AstraZeneca (AZ) prompted operational changes to improve performance. In this
review, we summarize these changes, emphasizing the construction and composition
of the AZ fragment library, screening practices and working model. We describe
the profiles of the screening method for specific fragment subsets and
statistically assess our ability to follow up on fragment hits through near
neighbor selection. Performance analysis of our second-generation fragment
library (FL2) in screening campaigns illustrates the complementary nature of flat
and 3D fragments in exploring protein-binding pockets and highlights our ability
to deliver fragment hits using multiple screening techniques for various target
classes. The new model has had profound impact on the successful delivery of lead
series to drug discovery projects.
PMID- 27179987
TI - Current therapies for premature ejaculation.
AB - Premature ejaculation (PE) subjectively affects 20-30% of men globally. Until
recently, understanding of PE was hampered by the absence of a widely accepted
definition, paucity of evidence-based clinical studies, and the absence of an
appropriate animal model. Here, we elaborate on the current definition of PE, its
pathogenesis, currently available therapies, and future treatment prospects. Most
treatments for PE are 'off-label' and include selective serotonin reuptake
inhibitors (SSRIs), topical anesthetics, tramadol, and phosphodiesterase type 5
(PDE5) inhibitors. Such knowledge of the benefit and limitations of each
treatment will help to direct future drug design and formulations.
PMID- 27179989
TI - Development and Validation of Risk Models to Select Ever-Smokers for CT Lung
Cancer Screening.
AB - IMPORTANCE: The US Preventive Services Task Force (USPSTF) recommends computed
tomography (CT) lung cancer screening for ever-smokers aged 55 to 80 years who
have smoked at least 30 pack-years with no more than 15 years since quitting.
However, selecting ever-smokers for screening using individualized lung cancer
risk calculations may be more effective and efficient than current USPSTF
recommendations. OBJECTIVE: Comparison of modeled outcomes from risk-based CT
lung-screening strategies vs USPSTF recommendations. DESIGN, SETTING, AND
PARTICIPANTS: Empirical risk models for lung cancer incidence and death in the
absence of CT screening using data on ever-smokers from the Prostate, Lung,
Colorectal, and Ovarian Cancer Screening Trial (PLCO; 1993-2009) control group.
Covariates included age; education; sex; race; smoking intensity, duration, and
quit-years; body mass index; family history of lung cancer; and self-reported
emphysema. Model validation in the chest radiography groups of the PLCO and the
National Lung Screening Trial (NLST; 2002-2009), with additional validation of
the death model in the National Health Interview Survey (NHIS; 1997-2001), a
representative sample of the United States. Models were applied to US ever
smokers aged 50 to 80 years (NHIS 2010-2012) to estimate outcomes of risk-based
selection for CT lung screening, assuming screening for all ever-smokers, yield
the percent changes in lung cancer detection and death observed in the NLST.
EXPOSURES: Annual CT lung screening for 3 years beginning at age 50 years. MAIN
OUTCOMES AND MEASURES: For model validity: calibration (number of model-predicted
cases divided by number of observed cases [estimated/observed]) and
discrimination (area under curve [AUC]). For modeled screening outcomes:
estimated number of screen-avertable lung cancer deaths and estimated screening
effectiveness (number needed to screen [NNS] to prevent 1 lung cancer death).
RESULTS: Lung cancer incidence and death risk models were well calibrated in PLCO
and NLST. The lung cancer death model calibrated and discriminated well for US
ever-smokers aged 50 to 80 years (NHIS 1997-2001: estimated/observed = 0.94
[95%CI, 0.84-1.05]; AUC, 0.78 [95%CI, 0.76-0.80]). Under USPSTF recommendations,
the models estimated 9.0 million US ever-smokers would qualify for lung cancer
screening and 46,488 (95% CI, 43,924-49,053) lung cancer deaths were estimated as
screen-avertable over 5 years (estimated NNS, 194 [95% CI, 187-201]). In
contrast, risk-based selection screening of the same number of ever-smokers (9.0
million) at highest 5-year lung cancer risk (>=1.9%) was estimated to avert 20%
more deaths (55,717 [95% CI, 53,033-58,400]) and was estimated to reduce the
estimated NNS by 17% (NNS, 162 [95% CI, 157-166]). CONCLUSIONS AND RELEVANCE:
Among a cohort of US ever-smokers aged 50 to 80 years, application of a risk
based model for CT screening for lung cancer compared with a model based on
USPSTF recommendations was estimated to be associated with a greater number of
lung cancer deaths prevented over 5 years, along with a lower NNS to prevent 1
lung cancer death.
PMID- 27179990
TI - Claulansine F promoted the neuronal differentiation of neural stem and progenitor
cells through Akt/GSK-3beta/beta-catenin pathway.
AB - The persistence of neurogenesis raises the idea that neurons produced by the
resident or transplanted neural stem cells could replace the neurons lost from
brain injury or neurodegenerative disease. Therefore, compounds or methods for
promoting neuronal differentiation become the focus of neurodegenerative disease
therapy research. Claulansine F (Clau F), a newly discovered carbazole alkaloid,
has been showed to induce neuritogenesis in PC12 cells. Herein, we studied the
effect of Clau F on neuronal differentiation of neural stem/progenitor cells
(NS/PCs). The current study demonstrated that Clau F initiated neuronal
differentiation with a significant increase of TuJ1-positive cells and TuJ1
protein levels. We also found that Clau F promoted the maturity and
sustainability of neurons by increasing MAP2-positive cells and MAP2 protein
levels. At the same time, Clau F significantly inhibited the proliferation of
NS/PCs. The underlying mechanism of Clau F was preliminary explored. Clau F
treatment resulted in a profound increase of phosphorylation of Akt and GSK
3beta, which led to GSK-3beta inhibition and subsequently the nuclear
accumulation of beta-catenin. Further, the interaction between beta-catenin and
p300 in the nucleus was enhanced and the transcription of p300/beta-catenin
responsive genes were increased significantly (c-jun, fra-1) by Clau F.
Importantly, the positive effect of Clau F on neuronal differentiation was
abolished by Akti-1/2, a specific inhibitor of Akt-1/2 kinase, which indicated
the involvement of Akt/GSK-3beta in Clau F-mediated neuronal differentiation. In
conclusion, these data suggested that Clau F promoted neuronal differentiation
through Akt/GSK-3beta/beta-catenin signaling pathway in NS/PCs.
PMID- 27179991
TI - Pyr3, a TRPC3 channel blocker, potentiates dexamethasone sensitivity and
apoptosis in acute lymphoblastic leukemia cells by disturbing Ca(2+) signaling,
mitochondrial membrane potential changes and reactive oxygen species production.
AB - Dexamethasone (Dex) is used as a chemotherapeutic drug in the treatment of acute
lymphoblastic leukemia (ALL) because of its capacity to induce apoptosis.
However, some ALL patients acquire resistance to glucocorticoids (GC). Thus, it
is important to explore new agents to overcome GC resistance. The aim of the
present work was to assess the ability of Pyr3, a selective inhibitor of
transient receptor potential canonical 3 (TRPC3), to sensitize human ALL cells to
Dex. We show here, for the first time, that Pyr3 enhances Dex sensitivity through
the distraction of Dex-mediated Ca(2+) signaling in ALL cells (in vitro) and
primary blasts (ex vivo) associated with mitochondrial-mediated reactive oxygen
species production in ALL cells. Pyr3 alone induced Ca(2+) signaling via only
endoplasmic reticulum-released Ca(2+) and exerted inhibitory effect on store
operated Ca(2+) entry in dose-dependent manner in ALL cell lines. Pre-incubation
of cells with Pyr3 significantly curtailed the thapsigargin- and Dex-evoked
Ca(2+) signaling in ALL cell lines. Pyr3 synergistically potentiated Dex
lethality, as shown by the induction of cell mortality, G2/M cell cycle arrest
and apoptosis in ALL cell lines. Moreover, Pyr3 disrupted Dex-mediated Ca(2+)
signaling and increased the sensitivity of Dex-induced cell death in primary
blasts from ALL patients. Additional analysis showed that co-treatment with Dex
and Pyr3 results in mitochondrial membrane potential depolarization and reactive
oxygen species production in ALL cells. Together, Pyr3 exhibited potential
therapeutic benefit in combination with Dex to inverse glucocorticoid resistance
in human ALL and probably in other lymphoid malignancies.
PMID- 27179992
TI - Comparison of kinetic and pharmacological profiles of recombinant alpha1gamma2L
and alpha1beta2gamma2L GABAA receptors - A clue to the role of intersubunit
interactions.
AB - The fastest inhibitory mechanism in the CNS is mediated by ionotropic GABAA
receptors and it is known that subunit composition critically determines their
properties. While a typical GABAA receptor consists of two alpha, two beta and
one gamma/delta subunit, there are some exceptions, e.g. alphabeta receptors.
Functional alpha1gamma2 GABAA receptors can be expressed in recombinant model
(Verdoorn et al., 1990) and although their role remains unknown, it seems
appealing to extend their characterization to further explore the structure
function relationship of GABAA receptors. Intriguingly, this receptor is lacking
canonical GABA binding sites but it can be activated by GABA and dose-response
relationships for alpha1beta2gamma2L and alpha1gamma2L receptors overlap.
Deactivation kinetics was similar for both receptors but the percentage of the
fast component was smaller in the case of alpha1gamma2L receptors and,
consequently, the mean deactivation time constant was slower. The rate and extent
of macroscopic desensitization were smaller in the case of alpha1gamma2L
receptors but they showed slower recovery. Both receptor types had a similar
proton sensitivity showing only subtle but significant differences in pH effects
on deactivation. Flurazepam exerted a similar effect on both receptors but the
rapid deactivation components were differently affected and an opposite effect
was observed on desensitization extent. Rebound currents evoked by pentobarbital
were undistinguishable for both receptor types. Taking altogether, although some
significant differences were found, alpha1beta2gamma2L and alpha1gamma2L
receptors showed unforeseen similarity. We propose that functioning of GABAA
receptors might rely on subunit-subunit cooperative interactions to a larger
extent than believed so far.
PMID- 27179988
TI - Effect of Aspirin on Development of ARDS in At-Risk Patients Presenting to the
Emergency Department: The LIPS-A Randomized Clinical Trial.
AB - IMPORTANCE: Management of acute respiratory distress syndrome (ARDS) remains
largely supportive. Whether early intervention can prevent development of ARDS
remains unclear. OBJECTIVE: To evaluate the efficacy and safety of early aspirin
administration for the prevention of ARDS. DESIGN, SETTING, AND PARTICIPANTS: A
multicenter, double-blind, placebo-controlled, randomized clinical trial
conducted at 16 US academic hospitals. Between January 2, 2012, and November 17,
2014, 7673 patients at risk for ARDS (Lung Injury Prediction Score >=4) in the
emergency department were screened and 400 were randomized. Ten patients were
excluded, leaving 390 in the final modified intention-to-treat analysis cohort.
INTERVENTIONS: Administration of aspirin, 325-mg loading dose followed by 81 mg/d
(n = 195) or placebo (n = 195) within 24 hours of emergency department
presentation and continued to hospital day 7, discharge, or death. MAIN OUTCOMES
AND MEASURES: The primary outcome was the development of ARDS by study day 7.
Secondary measures included ventilator-free days, hospital and intensive care
unit length of stay, 28-day and 1-year survival, and change in serum biomarkers
associated with ARDS. A final alpha level of .0737 (alpha = .10 overall) was
required for statistical significance of the primary outcome. RESULTS: Among 390
analyzed patients (median age, 57 years; 187 [48%] women), the median (IQR)
hospital length of stay was 6 3-10) days. Administration of aspirin, compared
with placebo, did not significantly reduce the incidence of ARDS at 7 days (10.3%
vs 8.7%, respectively; odds ratio, 1.24 [92.6% CI, 0.67 to 2.31], P = .53). No
significant differences were seen in secondary outcomes: ventilator-free to day
28, mean (SD), 24.9 (7.4) days vs 25.2 (7.0) days (mean [90% CI] difference,
0.26 [-1.46 to 0.94] days; P = .72); ICU length of stay, mean (SD), 5.2 (7.0)
days vs 5.4 (7.0) days (mean [90% CI] difference, -0.16 [-1.75 to 1.43] days; P =
.87); hospital length of stay, mean (SD), 8.8 (10.3) days vs 9.0 (9.9) days (mean
[90% CI] difference, -0.27 [-1.96 to 1.42] days; P = .79); or 28-day survival,
90% vs 90% (hazard ratio [90% CI], 1.03 [0.60 to 1.79]; P = .92) or 1-year
survival, 73% vs 75% (hazard ratio [90% CI], 1.06 [0.75 to 1.50]; P = .79).
Bleeding-related adverse events were infrequent in both groups (aspirin vs
placebo, 5.6% vs 2.6%; odds ratio [90% CI], 2.27 [0.92 to 5.61]; P = .13).
RESULTS: Among 390 analyzed patients (median age, 57 years; 187 [48%] women),
median (IQR) hospital length of stay was 6 (3-10) days. Administration of
aspirin, compared with placebo, did not significantly reduce the incidence of
ARDS at 7 days (OR, 1.24; 92.6%CI, 0.67-2.31). No significant differences were
seen in secondary outcomes or adverse events. [table: see text] CONCLUSIONS AND
RELEVANCE: Among at-risk patients presenting to the ED, the use of aspirin
compared with placebo did not reduce the risk of ARDS at 7 days. The findings of
this phase 2b trial do not support continuation to a larger phase 3 trial. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: NCT01504867.
PMID- 27179993
TI - Parallel development of chromatographic and mass-spectrometric methods for
quantitative analysis of glycation on an IgG1 monoclonal antibody.
AB - Monitoring post-translational modifications (PTMs) in biotherapeutics is of
paramount importance. In pharmaceutical industry, chromatography with optical
detection is the standard choice of quantitation of product related impurities;
and mass spectrometry is used only for characterization. Parallel development of
a boronate affinity chromatographic (BAC) and a mass spectrometric methods for
quantitative measurement of glycation on a monoclonal antibody (mAb) shed light
on the importance of certain characteristics of the individual methods. Non
specific interactions in BAC has to be suppressed with the so-called shielding
reagent. We have found that excessive amount of shielding reagents in the
chromatographic solvents may cause significant underestimation of glycation.
Although contamination of the retained peak with the non-glycated isoforms in BAC
is unavoidable, our work shows that it can be characterized and quantitated by
mass spectrometry. It has been demonstrated that glycation can be measured by
mass spectrometry at the intact protein level with an LOQ value of 3.0% and error
bar of +/-0.5%. The BAC and MS methods have been found to provide equivalent
results. These methods have not been compared from these points of view before.
PMID- 27179994
TI - Analysis of putative resistance gene loci in UK field populations of Haemonchus
contortus after 6years of macrocyclic lactone use.
AB - Sheep farmers in the UK rely on strategic anthelmintic use to treat and control
gastrointestinal roundworms in their flocks. However, resistance to these drugs
is now widespread and threatens the sustainability of sheep production. The
mechanisms underlying resistance to the most commonly used class, the macrocyclic
lactones, are not known and sensitive diagnostic tools based on molecular markers
are not currently available. This prohibits accurate surveillance of resistance
or assessment of strategies aimed at controlling its spread. In this study, we
examined four UK field populations of Haemonchus contortus, differing in
macrocyclic lactone treatment history, for evidence of selection at 'candidate
gene' loci identified as determining macrocyclic lactone resistance in previously
published research. Individual worms were genotyped at Hc-lgc-37, Hc-glc-5, Hc
avr-14 and Hc-dyf-7, and four microsatellite loci. High levels of polymorphism
were identified at the first three candidate gene loci with remarkably little
polymorphism at Hc-dyf-7. While some between-population comparisons of individual
farms with and without long-term macrocyclic lactone use identified statistically
significant differences in allele frequency and/or fixation index at the Hc-lgc
37, Hc-glc-5 or Hc-avr-14 loci, we found no consistent evidence of selection in
other equivalent comparisons. While it is possible that different mechanisms are
important in different populations or that resistance may be conferred by small
changes at multiple loci, our findings suggest that these are unlikely to be
major loci conferring macrocyclic lactone resistance on UK farms or suitable for
diagnostic marker development. More powerful approaches, using genome-wide or
whole genome sequencing, may be required to define macrocyclic lactone resistance
loci in such genetically variable populations.
PMID- 27179995
TI - A non-invasive method for studying viral DNA delivery to bacteria reveals key
requirements for phage SPP1 DNA entry in Bacillus subtilis cells.
AB - Bacteriophages use most frequently a tail apparatus to create a channel across
the entire bacterial cell envelope to transfer the viral genome to the host cell
cytoplasm, initiating infection. Characterization of this critical step remains a
major challenge due to the difficulty to monitor DNA entry in the bacterium and
its requirements. In this work we developed a new method to study phage DNA entry
that has the potential to be extended to many tailed phages. Its application to
study genome delivery of bacteriophage SPP1 into Bacillus subtilis disclosed a
key role of the host cell membrane potential in the DNA entry process. An
energized B. subtilis membrane and a millimolar concentration of calcium ions are
shown to be major requirements for SPP1 DNA entry following the irreversible
binding of phage particles to the receptor YueB.
PMID- 27179996
TI - Borehole density on the surface of living Porites corals as an indicator of
sedimentation in Hong Kong.
AB - Borehole density on the surface of Porites has been used as an indicator of water
quality in the Great Barrier Reef. We assessed the relationship between borehole
density on Porites and eight water quality parameters across 26 sites in Hong
Kong. We found that total borehole densities on the surface of Porites at 16 of
the studied sites were high (>1000individualsm(-2)), with polychaetes being the
dominant bioeroders. Sedimentation rate was correlated positively with total
borehole density and polychaete borehole density, with the latter relationship
having a substantially higher correlation of determination. None of the
environmental factors used were significantly correlated with bivalve borehole
density. These results provide a baseline for assessing future changes in coral
bioerosion in Hong Kong. This present study also indicates that polychaete
boreholes can be used as a bioindicator of sedimentation in the South China Sea
region where polychaetes are numerically dominant bioeroders.
PMID- 27179997
TI - Variation in the composition of corals, fishes, sponges, echinoderms, ascidians,
molluscs, foraminifera and macroalgae across a pronounced in-to-offshore
environmental gradient in the Jakarta Bay-Thousand Islands coral reef complex.
AB - Substrate cover, water quality parameters and assemblages of corals, fishes,
sponges, echinoderms, ascidians, molluscs, benthic foraminifera and macroalgae
were sampled across a pronounced environmental gradient in the Jakarta Bay
Thousand Islands reef complex. Inshore sites mainly consisted of sand, rubble and
turf algae with elevated temperature, dissolved oxygen, pH and chlorophyll
concentrations and depauperate assemblages of all taxa. Live coral cover was very
low inshore and mainly consisted of sparse massive coral heads and a few
encrusting species. Faunal assemblages were more speciose and compositionally
distinct mid- and offshore compared to inshore. There were, however, small-scale
differences among taxa. Certain midshore sites, for example, housed assemblages
resembling those typical of the inshore environment but this differed depending
on the taxon. Substrate, water quality and spatial variables together explained
from 31% (molluscs) to 72% (foraminifera) of the variation in composition. In
general, satellite-derived parameters outperformed locally measured parameters.
PMID- 27179998
TI - Molecular phylogeny and community fingerprinting of coral-associated Symbiodinium
north of the Arabian Gulf.
AB - Understanding coral-Symbiodinium relationships including changes in the genotypes
and the numbers of Symbiodinium can explain the ability of Kuwait coral to
survive high fluctuations in water temperature. In the current study, the
diversity of Symbiodinium associated with fourteen coral species from six reef
systems south of Kuwait was investigated. The results proved the predominance of
clade C members in all corals tested, which reflects the importance of this type
in helping corals thrive in the Gulf's harsh conditions. Platygyra daedalea was
the only coral found that harbored clades A, B and C in their tissue but it is
the most vulnerable coral for bleaching. The total number of Symbiodinium-like
cells in the seawater was 10(4) cell ml(-1) while in coral tissue and mucus 10(7)
cell g(-1) and 10(7) cell ml(-1) were found, respectively, and a strong positive
correlation with the seawater temperature, salinity and conductivity was found.
PMID- 27179999
TI - A double-blind, placebo-controlled, crossover trial of the selective dopamine D1
receptor antagonist ecopipam in patients with Lesch-Nyhan disease.
AB - Lesch-Nyhan disease (LND) is a genetic disorder that has characteristic
metabolic, neurologic, and behavioral features. There are multiple behavioral
problems including impulsivity, aggressiveness, and severe recurrent self
injurious behavior (SIB). This last behavior varies considerably across subjects
and may encompass self-biting, self-hitting, scratching, head banging, and other
injurious actions. Current treatments for SIB involve behavioral extinction,
sedatives, physical restraints, and removal of teeth. Because these interventions
do not reliably control SIB, better treatments are urgently needed. Animal
studies have suggested that D1-dopamine receptor antagonists such as ecopipam may
suppress SIB. These observations have led to proposals that such drugs might
provide effective treatment for in LND. The current study describes the results
of a double-blind, three-period, crossover trial of a single dose of ecopipam in
subjects with LND. The study was designed for 20 patients, but it was terminated
after recruitment of only 10 patients, because interim analysis revealed
unanticipated side effects. These side effects were most likely related to
starting with a single large dose without any titration phase. Despite the
limited data due to early termination, the drug appeared to reduce SIB in most
cases. Subjects who completed the trial were eligible to continue the drug in an
open-label extension phase lasting a year, and one patient who elected to
continue has maintained a striking reduction in SIB for more than a year with no
apparent side effects. These results suggest ecopipam could be a useful treatment
for SIB in, but further studies are needed to establish an appropriate dosing
regimen.
PMID- 27180001
TI - The role of the retino-colliculo-extrastriate pathway in visual awareness and
visual field recovery.
AB - Patients with visual field defects resulting from post-chiasmatic lesions
experience loss of visual function in up to one half of their visual field, with
consequent impairments in their daily life activities. Therefore, effective
strategies for compensating for the visual field loss are of great clinical
relevance. After lesions to the primary visual pathway -which conveys visual
information from the retina to the lateral geniculate nucleus, the optic
radiations and, then, to the striate cortex-an alternative visual pathway, which
projects from the superior colliculus to the extrastriate cortex, is usually
spared in patients with visual field defects. In the present review, evidence for
spared functioning of this alternative pathway in patients with visual field
defects will be presented, both in terms of residual visual abilities, without
awareness, for stimuli presented in the blind field, and the ability to integrate
unseen visual signals presented in the blind field with concurrent auditory
stimuli. Crucially, this review will discuss how the spared retino-colliculo
extrastriate pathway might be a useful tool for compensating for the loss of
visual perception. Accordingly, evidence for the compensatory effects of
systematic multisensory audio-visual stimulation in patients with visual field
defects will be reviewed.
PMID- 27180000
TI - Signal functions for measuring the ability of health facilities to provide
abortion services: an illustrative analysis using a health facility census in
Zambia.
AB - BACKGROUND: Annually, around 44 million abortions are induced worldwide. Safe
termination of pregnancy (TOP) services can reduce maternal mortality, but
induced abortion is illegal or severely restricted in many countries. All
abortions, particularly unsafe induced abortions, may require post-abortion care
(PAC) services to treat complications and prevent future unwanted pregnancy. We
used a signal-function approach to look at abortion care services and illustrated
its utility with secondary data from Zambia. METHODS: We refined signal functions
for basic and comprehensive TOP and PAC services, including family planning (FP),
and assessed functions currently being collected via multi-country facility
surveys. We then used the 2005 Zambian Health Facility Census to estimate the
proportion of 1369 health facilities that could provide TOP and PAC services
under three scenarios. We linked facility and population data, and calculated the
proportion of the Zambian population within reach of such services. RESULTS:
Relevant signal functions are already collected in five facility assessment
tools. In Zambia, 30 % of facilities could potentially offer basic TOP services,
3.7 % comprehensive TOP services, 2.6 % basic PAC services, and 0.3 %
comprehensive PAC services (four facilities). Capability was highest in
hospitals, except for FP functions. Nearly two-thirds of Zambians lived within 15
km of a facility theoretically capable of providing basic TOP, and one-third
within 15 km of comprehensive TOP services. However, requiring three doctors for
non-emergency TOP, as per Zambian law, reduced potential access to TOP services
to 30 % of the population. One-quarter lived within 15 km of basic PAC and 13 %
of comprehensive PAC services. In a scenario not requiring FP functions, one-half
and one-third of the population were within reach of basic and comprehensive PAC
respectively. There were huge urban-rural disparities in access to abortion care
services. Comprehensive PAC services were virtually unavailable to the rural
population. CONCLUSIONS: Secondary data from facility assessments can highlight
gaps in abortion service provision and coverage, but it is necessary to consider
TOP and PAC separately. This approach, especially when combined with population
data using geographic coordinates, can also be used to model the impact of
various policy scenarios on access, such as requiring three medical doctors for
non-emergency TOP. Data collection instruments could be improved with minor
modifications and used for multi-country comparisons.
PMID- 27180002
TI - Distinct preference for spatial frequency content in ventral stream regions
underlying the recognition of scenes, faces, bodies and other objects.
AB - The ventral visual pathway receives both inputs from parvocellular and
magnocellular pathways, and combines information from distinct high and low
spatial frequency channels (HSF and LSF). Using a random effects region of
interest general linear model approach (n=21), we aimed to compare the
selectivity to different spatial frequency channels in eight key areas involved
in visual object recognition: FFA, OFA, and STS, for face processing; FBA, and
EBA as body selective regions; (dorsal and ventral) LOC for object perception;
PPA for processing information of places and VWFA as a region which responds to
written verbal material. We found that face and body selective regions had
significantly higher response to LSF, suggesting an important contribution of
holistic processing favoring LSF channels, while other object responsive regions
had a higher response to HSF, suggesting a more important role for detailed
component processing. Both FBA and VWFA failed to reveal a preference to SF
content. These findings apply in general to the preferred category, with the
notable exception of PPA, which revealed a higher response to HSF for all
categories of stimuli. Our results suggest that areas along the ventral stream
have distinct spatial frequency preferences that seem to reflect both the nature
of visual objects being processed, their position in the visual hierarchy, task
demands and the relevance of holistic versus detailed processing.
PMID- 27180003
TI - Extrafacial Lentigo Maligna: A Report on 14 Cases and a Review of the Literature.
AB - Lentigo maligna is the most common form of in situ melanoma. It is most often
found on the head and neck, and its clinical and dermoscopic features in this
location have been extensively described in the literature. We present a series
of 14 patients diagnosed with extrafacial lentigo maligna and lentigo maligna
melanoma at Hospital General de Valencia and Hospital de Manacor in Spain, and
describe the clinical, dermoscopic, and histologic features observed. Most of the
melanomas were located on the upper limbs; the next most common locations were
the trunk and the lower limbs. The dermoscopic patterns were consistent with
facial lentigo maligna and superficial spreading melanoma. Extrafacial lentigo
maligna is uncommon. It has similar clinical and histologic features to facial
lentigo, but dermoscopy may show a mix of patterns typically seen in lentigo
maligna and superficial spreading melanoma. This difference in dermoscopic
features is essentially due to anatomical differences between skin on the face
and on other parts of the body.
PMID- 27180004
TI - The Spanish Standard Patch Test Series.
PMID- 27180005
TI - A rare case of SPG11 mutation with multiple sclerosis.
AB - We describe a patient with SPG11 hereditary spastic paraplegia (HSP), who
developed walking disorder in childhood. He presented three episodes of subacute
gait disorders worsening between the age of 20 and 22 years. Brain and spinal MRI
revealed multiple T2 hypersignal lesions, consistent with inflammatory lesions.
Surprisingly, CSF analysis showed neither oligoclonal bands nor increased IgG
index. He was dramatically improved by intravenous methylprednisolone. A
relapsing-remitting multiple sclerosis (MS) was suspected. This is the first
description of SPG11 HSP associated with MS.
PMID- 27180007
TI - Administration of probiotic kefir to mice with Clostridium difficile infection
exacerbates disease.
AB - Lifeway((r)) kefir, a fermented milk product containing 12 probiotic organisms,
is reported to show promise as an alternative to fecal microbiota transplantation
for recurrent Clostridium difficile infection (CDI). We employed a murine CDI
model to study the probiotic protective mechanisms and unexpectedly determined
that kefir drastically increased disease severity. Our results emphasize the need
for further independent clinical testing of kefir as alternative therapy in
recurrent CDI.
PMID- 27180006
TI - Structural and functional changes within the gut microbiota and susceptibility to
Clostridium difficile infection.
AB - Alteration of the gut microbial community structure and function through
antibiotic use increases susceptibility to colonization by Clostridium difficile
and other enteric pathogens. However, the mechanisms that mediate colonization
resistance remain elusive. As the leading definable cause of infectious diarrhea,
toxigenic C. difficile represents a burden for patients and health care systems,
underscoring the need for better diagnostics and treatment strategies. Next
generation sequence data has increased our understanding of how the gut
microbiota is influenced by many factors including diet, disease, aging and
drugs. However, a microbial-based biomarker differentiating C. difficile
infection from antibiotic-associated diarrhea has not been identified.
Metabolomics profiling, which is highly responsive to changes in physiological
conditions, have shown promise in differentiating subtle disease phenotypes that
exhibit a nearly identical microbiome community structure, suggesting metabolite
based biomarkers may be an ideal diagnostic for identifying patients with CDI.
This review focuses on the current understanding of structural and functional
changes to the gut microbiota during C. difficile infection obtained from studies
assessing the microbiome and metabolome of samples from patients and murine
models.
PMID- 27180009
TI - Verapamil and ethacrynic acid are associated with neuronal acidification in
hippocampal CA3-neurons (slice preparation, guinea pig): Contribution to their
anti-seizure potency?
PMID- 27180008
TI - The expanding GRK interactome: Implications in cardiovascular disease and
potential for therapeutic development.
AB - Heart failure (HF) is a global epidemic with the highest degree of mortality and
morbidity of any disease presently studied. G protein-coupled receptors (GPCRs)
are prominent regulators of cardiovascular function. Activated GPCRs are "turned
off" by GPCR kinases (GRKs) in a process known as "desensitization". GRKs 2 and 5
are highly expressed in the heart, and known to be upregulated in HF. Over the
last 20 years, both GRK2 and GRK5 have been demonstrated to be critical mediators
of the molecular alterations that occur in the failing heart. In the present
review, we will highlight recent findings that further characterize "non
canonical" GRK signaling observed in HF. Further, we will also present potential
therapeutic strategies (i.e. small molecule inhibition, microRNAs, gene therapy)
that may have potential in combating the deleterious effects of GRKs in HF.
PMID- 27180011
TI - Estimating genotype and allele frequencies of the CYP2D6 gene.
PMID- 27180010
TI - Segetoside I, a plant-derived bisdesmosidic saponin, induces apoptosis in human
hepatoma cells in vitro and inhibits tumor growth in vivo.
AB - Segetoside I is a plant-derived bisdesmosidic saponin from Vaccaria segetalis
(Neck) with reported anticancer activities. This development has raised an
interest in the therapeutic potential of segetoside I. Here, we report the in
vitro and in vivo antitumor activities of segetoside I against some selected
cancer cell lines (HepG2, human hepatoma; H22, mouse hepatoma; MCF-7, breast
cancer; U251, gliocoma; BGC, HGC & SGC, gastric cancinoma; Lovo-1,colon cancer).
MTT bioassay analysis showed that HepG2 cells were the most sensitive to
segetoside I compared with other cancer cell lines, with lower toxicity in
healthy mouse embryonic fibroblast cells. Segetoside I pretreatment of HepG2
resulted in apoptotic induction, dose-dependent DNA fragmentation, inhibition of
cell migration, up-regulation of Bax and down-regulation of Bcl-2, which
indicated that an apoptotic signaling event could have been initiated. The
segetoside I also suppressed hepato-tumour growth in mice with virtually no
cytotoxicity and prolonged animal survival, making it a strong oncology drug
agent. These findings showed that segetoside I exhibited its antitumor activity
via apoptotic induction and significantly support the possible application of the
antitumor agent as a potential chemotherapeutic candidate worthy of further
investigations.
PMID- 27180012
TI - Synthesis and evaluation of novel benzylphthalazine derivatives as hedgehog
signaling pathway inhibitors.
AB - We report herein the design and synthesis of a series of novel benzylphthalazine
derivatives as hedgehog signaling pathway inhibitors. Gli-luciferase assay
demonstrated that changing piperazine ring of Anta XV to different four, five or
six-membered heterocyclic building blocks afforded significant influences on Hh
pathway inhibition. In particular, compound 10e with piperidin-4-amine moiety was
found to possess 12-fold higher Hh inhibitory activities comparing to the lead
compound in vitro. In vivo efficacy of 10e in a ptch(+/-)p53(-/-) mouse
medulloblastoma allograft model also indicated encouraging results.
PMID- 27180014
TI - Corrigendum to "Reduced intrasubject variability with reinforcement in boys, but
not girls, with ADHD: Associations with prefrontal anatomy" [Biol. Psychol. 110
(2015) 12-23].
PMID- 27180013
TI - Discovery of fused tricyclic core containing HCV NS5A inhibitors with pan
genotype activity.
AB - HCV NS5A inhibitors have demonstrated impressive in vitro potency profiles in HCV
replicon assays and robust HCV RNA titer reduction in the clinic making them
attractive components for inclusion in an all oral fixed dose combination regimen
for the treatment of HCV infection. Herein, we describe research efforts that led
to the discovery of a series of fused tricyclic core containing HCV NS5A
inhibitors such as 24, 39, 40, 43, and 44 which have pan-genotype activity and
are orally bioavailable in the rat.
PMID- 27180015
TI - Corrigendum to "Upward spirals of the heart: Autonomic flexibility, as indexed by
vagal tone, reciprocally and prospectively predicts positive emotions and social
connectedness" [Biol. Psychol. 85 (3) (2010) 432-436].
PMID- 27180016
TI - Adapting to adolescence.
PMID- 27180017
TI - Smoking and mental health.
PMID- 27180018
TI - The nasal microbiota in infants with cystic fibrosis in the first year of life: a
prospective cohort study.
AB - BACKGROUND: Respiratory tract infections and subsequent airway inflammation occur
early in the life of infants with cystic fibrosis. However, detailed information
about the microbial composition of the respiratory tract in infants with this
disorder is scarce. We aimed to undertake longitudinal in-depth characterisation
of the upper respiratory tract microbiota in infants with cystic fibrosis during
the first year of life. METHODS: We did this prospective cohort study at seven
cystic fibrosis centres in Switzerland. Between Feb 1, 2011, and May 31, 2014, we
enrolled 30 infants with a diagnosis of cystic fibrosis. Microbiota
characterisation was done with 16S rRNA gene pyrosequencing and oligotyping of
nasal swabs collected every 2 weeks from the infants with cystic fibrosis. We
compared these data with data for an age-matched cohort of 47 healthy infants. We
additionally investigated the effect of antibiotic treatment on the microbiota of
infants with cystic fibrosis. Statistical methods included regression analyses
with a multivariable multilevel linear model with random effects to correct for
clustering on the individual level. FINDINGS: We analysed 461 nasal swabs taken
from the infants with cystic fibrosis; the cohort of healthy infants comprised
872 samples. The microbiota of infants with cystic fibrosis differed
compositionally from that of healthy infants (p=0.001). This difference was also
found in exclusively antibiotic-naive samples (p=0.001). The disordering was
mainly, but not solely, due to an overall increase in the mean relative abundance
of Staphylococcaceae in infants with cystic fibrosis compared with healthy
infants (multivariable linear regression model stratified by age and adjusted for
season; second month: coefficient 16.2 [95% CI 0.6-31.9]; p=0.04; third month:
17.9 [3.3-32.5]; p=0.02; fourth month: 21.1 [7.8-34.3]; p=0.002). Oligotyping
analysis enabled differentiation between Staphylococcus aureus and coagulase
negative Staphylococci. Whereas the analysis showed a decrease in S aureus at and
after antibiotic treatment, coagulase-negative Staphylococci increased.
INTERPRETATION: Our study describes compositional differences in the microbiota
of infants with cystic fibrosis compared with healthy controls, and disordering
of the microbiota on antibiotic administration. Besides S aureus, coagulase
negative Staphylococci also contributed to the disordering identified in these
infants. These findings are clinically important in view of the crucial role that
bacterial pathogens have in the disease progression of cystic fibrosis in early
life. Our findings could be used to inform future studies of the effect of
antibiotic treatment on the microbiota in infants with cystic fibrosis, and could
assist in the prevention of early disease progression in infants with this
disorder. FUNDING: Swiss National Science Foundation, Fondation Botnar, the Swiss
Society for Cystic Fibrosis, and the Swiss Lung Association Bern.
PMID- 27180019
TI - Experts recognise zoonotic TB.
PMID- 27180020
TI - Multidisciplinary discussions and interstitial lung disease diagnosis: how useful
is a meeting of the minds?
PMID- 27180023
TI - Comparison of the Effect of Electrical Stimulations on the Chin Skin on Autonomic
Nervous Activities During Propofol Sedation With or Without Midazolam.
AB - PURPOSE: The aim of this study was to compare the effect of electrical
stimulations on the chin skin on autonomic nervous activities evaluated by use of
power spectrum analysis of heart rate (HR) variability during intravenous
sedation using propofol with or without midazolam. MATERIALS AND METHODS: Thirty
eight healthy adult male volunteers underwent intravenous sedation with midazolam
and propofol (group MP) and with propofol alone (group P) in a randomized
crossover manner. In group MP, the participants received midazolam (0.04 mg/kg)
and a target-controlled infusion of propofol with a predicted blood concentration
of 1.0 MUg/mL. In group P, the predicted blood concentration of propofol was
maintained at 1.5 MUg/mL. The observed variables were bispectral index value,
systolic blood pressure, HR, high-frequency component (HF), low-frequency
component (LF), and LF/HF ratio. Measurements were conducted over 100-second
periods and carried out before, during, and after emergence from sedation by
administering 0.2 mg of flumazenil. The paired t test, Wilcoxon t test, repeated
measures analysis of variance, and Friedman chi(2) r test were used for
statistical analyses. RESULTS: The mean age and body weight of the participants
were 24.6 +/- 2.8 years and 67.0 +/- 7.9 kg, respectively. Bispectral index
values, systolic blood pressure, and HR showed similar changes in both groups.
The LF/HF ratio in group MP during sedation was lower than that before sedation;
it also was lower than that in group P during sedation. CONCLUSIONS: Intravenous
sedation with a combination of midazolam and propofol inhibited sympathetic
nervous activation induced by electrical stimulations to a greater extent than
that with propofol alone.
PMID- 27180022
TI - Current and future trends in the lipid lowering therapy.
AB - Atherosclerosis is an inflammatory disease that affects arterial wall. It leads
to wall thickening and its instability. As a result a reduction in lumen diameter
and blood flow is observed. This manifests predominantly as the affectation of
vascular bed of coronary (myocardial infarction), cerebral, carotid (ischemic
stroke) or peripheral arteries (limb amputation). One of the most important
factors that accelerate atherosclerosis is hyperlipidemia. According to current
guidelines the main attention should be focused on the treatment of
hyperlipidemia (beside the prevention, which includes proper diet, physical
activity and risk factors avoidance). Major attention is given to LDL (low
density lipoprotein) cholesterol (LDL-C) level as primary, and triglyceride level
as secondary targets of therapy. As a result of recent clinical findings and
continuous research in the field of hypolipidemic drugs it seems practical to
review recent data and show potential new pathways that may be useful in the
treatment of hyperlipidemia. The review is divided into several parts presenting
the widely used and well-known hypolipidemic drugs. In the first part a brief
review of contemporary drugs affecting LDL cholesterol is shown. The second part
contains information regarding currently available drugs reducing triglycerides
level. The third part describes several novel and promising groups of drugs that
are still on various steps of clinical development. In the last part drugs
affecting HDL (high-density lipoprotein) level were presented.
PMID- 27180024
TI - Prevalence and characterization of plasmid-mediated quinolone resistance genes in
Aeromonas spp. isolated from South African freshwater fish.
AB - An increasing incidence of multidrug-resistant Aeromonas spp., which are both
fish and emerging opportunistic human pathogens, has been observed worldwide.
Quinolone-resistant Aeromonas spp. isolates are increasingly being observed in
clinical and environmental settings, and this has been attributed primarily to
target gene alterations, efflux, and transferable quinolone resistance. Thirty
four Aeromonas spp., obtained from freshwater aquaculture systems, were screened
for the presence of GyrA and ParC substitutions, efflux activity and the
prevalence of plasmid-mediated quinolone resistance genes, qnr and aac-6'-Ib-cr.
Although 44% of isolates were resistant to nalidixic acid, the majority were
susceptible to ciprofloxacin and ofloxacin. The predominant GyrA substitution was
Ser-83->Val among Aeromonas veronii isolates whilst Aeromonas hydrophila isolates
displayed a Ser-83->Ile substitution, and Ser-80->Ile substitutions were observed
in ParC. Minimum inhibitory concentrations of fluoro(quinolones) were determined
in the presence and absence of the efflux pump inhibitor, phenylalanine-arginine
beta-naphthylamide (PAbetaN). Addition of PAbetaN had no effect on the levels of
fluoro(quinolone) resistance observed for these isolates. Although no aac-6'-Ib
cr variant genes were identified, qnrB and qnrS were detected for 41% and 24% of
isolates, respectively, by Southern hybridization and confirmed by PCR and
sequencing. Quinolone resistance in these fish-associated Aeromonas isolates was
related to mutations in the quinolone resistance determining regions of GyrA and
ParC and presence of qnrB and qnrS. The presence of qnr alleles in Aeromonas spp.
isolates may facilitate high-level fluoroquinolone resistance and potentially
serve as reservoirs for the dissemination of qnr genes to other aquatic microbes.
PMID- 27180021
TI - Multicentre evaluation of multidisciplinary team meeting agreement on diagnosis
in diffuse parenchymal lung disease: a case-cohort study.
AB - BACKGROUND: Diffuse parenchymal lung disease represents a diverse and challenging
group of pulmonary disorders. A consistent diagnostic approach to diffuse
parenchymal lung disease is crucial if clinical trial data are to be applied to
individual patients. We aimed to evaluate inter-multidisciplinary team agreement
for the diagnosis of diffuse parenchymal lung disease. METHODS: We did a
multicentre evaluation of clinical data of patients who presented to the
interstitial lung disease unit of the Royal Brompton and Harefield NHS Foundation
Trust (London, UK; host institution) and required multidisciplinary team meeting
(MDTM) characterisation between March 1, 2010, and Aug 31, 2010. Only patients
whose baseline clinical, radiological, and, if biopsy was taken, pathological
data were undertaken at the host institution were included. Seven MDTMs,
consisting of at least one clinician, radiologist, and pathologist, from seven
countries (Denmark, France, Italy, Japan, Netherlands, Portugal, and the UK)
evaluated cases of diffuse parenchymal lung disease in a two-stage process
between Jan 1, and Oct 15, 2015. First, the clinician, radiologist, and
pathologist (if lung biopsy was completed) independently evaluated each case,
selected up to five differential diagnoses from a choice of diffuse lung
diseases, and chose likelihoods (censored at 5% and summing to 100% in each case)
for each of their differential diagnoses, without inter-disciplinary
consultation. Second, these specialists convened at an MDTM and reviewed all
data, selected up to five differential diagnoses, and chose diagnosis
likelihoods. We compared inter-observer and inter-MDTM agreements on patient
first-choice diagnoses using Cohen's kappa coefficient (kappa). We then estimated
inter-observer and inter-MDTM agreement on the probability of diagnosis using
weighted kappa coefficient (kappaw). We compared inter-observer and inter-MDTM
confidence of patient first-choice diagnosis. Finally, we evaluated the
prognostic significance of a first-choice diagnosis of idiopathic pulmonary
fibrosis (IPF) versus not IPF for MDTMs, clinicians, and radiologists, using
univariate Cox regression analysis. FINDINGS: 70 patients were included in the
final study cohort. Clinicians, radiologists, pathologists, and the MDTMs
assigned their patient diagnoses between Jan 1, and Oct 15, 2015. IPF made up 88
(18%) of all 490 MDTM first-choice diagnoses. Inter-MDTM agreement for first
choice diagnoses overall was moderate (kappa=0.50). Inter-MDTM agreement on
diagnostic likelihoods was good for IPF (kappaw=0.71 [IQR 0.64-0.77]) and
connective tissue disease-related interstitial lung disease (kappaw=0.73 [0.68
0.78]); moderate for non-specific interstitial pneumonia (NSIP; kappaw=0.42 [0.37
0.49]); and fair for hypersensitivity pneumonitis (kappaw=0.29 [0.24-0.40]). High
confidence diagnoses (>65% likelihood) of IPF were given in 68 (77%) of 88 cases
by MDTMs, 62 (65%) of 96 cases by clinicians, and in 57 (66%) of 86 cases by
radiologists. Greater prognostic separation was shown for an MDTM diagnosis of
IPF than compared with individual clinician's diagnosis of this disease in five
of seven MDTMs, and radiologist's diagnosis of IPF in four of seven MDTMs.
INTERPRETATION: Agreement between MDTMs for diagnosis in diffuse lung disease is
acceptable and good for a diagnosis of IPF, as validated by the non-significant
greater prognostic separation of an IPF diagnosis made by MDTMs than the
separation of a diagnosis made by individual clinicians or radiologists.
Furthermore, MDTMs made the diagnosis of IPF with higher confidence and more
frequently than did clinicians or radiologists. This difference is of particular
importance, because accurate and consistent diagnoses of IPF are needed if
clinical outcomes are to be optimised. Inter-multidisciplinary team agreement for
a diagnosis of hypersensitivity pneumonitis is low, highlighting an urgent need
for standardised diagnostic guidelines for this disease. FUNDING: National
Institute of Health Research, Imperial College London.
PMID- 27180025
TI - Data-based fault-tolerant control for affine nonlinear systems with actuator
faults.
AB - This paper investigates the fault-tolerant control (FTC) problem for unknown
nonlinear systems with actuator faults including stuck, outage, bias and loss of
effectiveness. The upper bounds of stuck faults, bias faults and loss of
effectiveness faults are unknown. A new data-based FTC scheme is proposed. It
consists of the online estimations of the bounds and a state-dependent function.
The estimations are adjusted online to compensate automatically the actuator
faults. The state-dependent function solved by using real system data helps to
stabilize the system. Furthermore, all signals in the resulting closed-loop
system are uniformly bounded and the states converge asymptotically to zero.
Compared with the existing results, the proposed approach is data-based. Finally,
two simulation examples are provided to show the effectiveness of the proposed
approach.
PMID- 27180027
TI - Macronutrient Composition of Menu Offerings in Fast Food Restaurants in the U.S.
AB - INTRODUCTION: A high intake of fast food is associated with increased obesity
risk. This study assessed recent changes in caloric content and macronutrient
composition in large U.S. fast food restaurants. METHODS: Data from the MenuStat
project included 11,737 menu items in 37 fast food restaurants from 2012 to 2014.
Generalized linear models were used to examine changes in the caloric content and
corresponding changes in the macronutrient composition (non-sugar carbohydrates,
sugar, unsaturated fat, saturated fat, and protein) of menu items over time.
Additionally, macronutrient composition was compared in menu items newly
introduced in 2013 and 2014, relative to 2012. Analyses, conducted in January
2016, controlled for restaurant and were stratified by menu categories. RESULTS:
Overall, there was a 22-calorie reduction in food items from 2012 to 2014.
Beverages had a 46-calorie increase, explained by an increase in calories from
sugar (12 calories) and saturated fat (16 calories). Newly introduced main
courses in 2014 had 59 calories fewer than those on 2012 menus, explained by a 54
calorie reduction in unsaturated fat, while other macronutrient content remained
fairly constant. Newly introduced dessert items in 2014 had 90 calories more than
those on 2012 menus, explained primarily by an increase of 57 calories of sugar.
CONCLUSIONS: Overall, there were relatively minor changes in menu items' caloric
and macronutrient composition. Although declines in caloric content among newly
introduced fast food main courses may improve the public's caloric intake, it
appears that the macronutrient composition of newly introduced items did not
shift to a healthier profile.
PMID- 27180026
TI - Nondestructive evaluation of a new hydrolytically degradable and photo-clickable
PEG hydrogel for cartilage tissue engineering.
AB - Photopolymerizable and hydrolytically labile poly(ethylene glycol) (PEG)
hydrogels formed from photo-clickable reactions were investigated as cell
delivery platforms for cartilage tissue engineering (TE). PEG hydrogels were
formed from thiol-norbornene PEG macromers whereby the crosslinks contained
caprolactone segments with hydrolytically labile ester linkages. Juvenile bovine
chondrocytes encapsulated in the hydrogels were cultured for up to four weeks and
assessed biochemically and histologically, using standard destructive assays, and
for mechanical and ultrasound properties, as nondestructive assays. Bulk
degradation of acellular hydrogels was confirmed by a decrease in compressive
modulus and an increase in mass swelling ratio over time. Chondrocytes deposited
increasing amounts of sulfated glycosaminoglycans and collagens in the hydrogels
with time. Spatially, collagen type II and aggrecan were present in the neotissue
with formation of a territorial matrix beginning at day 21. Nondestructive
measurements revealed an 8-fold increase in compressive modulus from days 7 to
28, which correlated with total collagen content. Ultrasound measurements
revealed changes in the constructs over time, which differed from the mechanical
properties, and appeared to correlate with ECM structure and organization shown
by immunohistochemical analysis. Overall, non-destructive and destructive
measurements show that this new hydrolytically degradable PEG hydrogel is
promising for cartilage TE. STATEMENT OF SIGNIFICANCE: Designing synthetic
hydrogels whose degradation matches tissue growth is critical to maintaining
mechanical integrity as the hydrogel degrades and new tissue forms, but is
challenging due to the nature of the hydrogel crosslinks that inhibit diffusion
of tissue matrix molecules. This study details a promising, new, photo-clickable
and synthetic hydrogel whose degradation supports cartilaginous tissue matrix
growth leading to the formation of a territorial matrix, concomitant with an
increase in mechanical properties. Nondestructive assays based on mechanical and
ultrasonic properties were also investigated using a novel instrument and found
to correlate with matrix deposition and evolution. In sum, this study presents a
new hydrogel platform combined with nondestructive assessments, which together
have potential for in vitro cartilage tissue engineering.
PMID- 27180028
TI - Predictors of the Onset of Cigarette Smoking: A Systematic Review of Longitudinal
Population-Based Studies in Youth.
AB - CONTEXT: The onset of cigarette smoking typically occurs during childhood or
early adolescence. Nicotine dependence symptoms can manifest soon after onset,
contributing to sustained, long-term smoking. Previous reviews have not clarified
the determinants of onset. EVIDENCE ACQUISITION: In 2015, a systematic review of
the literature in PubMed and EMBASE was undertaken to identify peer-reviewed
prospective longitudinal studies published between January 1984 and August 2015
that investigated predictors of cigarette smoking onset among youth aged <18
years who had never smoked. EVIDENCE SYNTHESIS: Ninety-eight conceptually
different potential predictors were identified in 53 studies. An increased risk
of smoking onset was consistently (i.e., in four or more studies) associated with
increased age/grade, lower SES, poor academic performance, sensation seeking or
rebelliousness, intention to smoke in the future, receptivity to tobacco
promotion efforts, susceptibility to smoking, family members' smoking, having
friends who smoke, and exposure to films, whereas higher self-esteem and high
parental monitoring/supervision of the child appeared to protect against smoking
onset. Methodologic weaknesses were identified in numerous studies, including
failure to account for attrition or for clustering in samples, and
misidentification of potential confounders, which may have led to biased
estimates of associations. CONCLUSIONS: Predictors of smoking onset for which
there is robust evidence should be considered in the design of interventions to
prevent first puff in order to optimize their effectiveness. Future research
should seek to define onset clearly as the transition from never use to first use
(e.g., first few puffs).
PMID- 27180029
TI - Perceived Neighborhood Environment and Physical Activity: The International
Physical Activity and Environment Network Adult Study in Mexico.
AB - INTRODUCTION: There is compelling evidence linking physical activity with
environmental characteristics in high-income countries, but evidence among low-
and middle-income countries is scarce and results are inconsistent. This study
assessed associations between perceived measures of the built environment and
objectively measured physical activity among Mexican adults. METHODS: A
population-based study of adults aged 20-65 years was conducted in Cuernavaca,
Mexico, in 2011 (N=629). Participants wore an accelerometer for 7 days. Perceived
environment data were obtained by questionnaire. In 2014, multiple regression
models estimated the association between perceived environmental variables and
total moderate to vigorous physical activity (MVPA); MVPA within 10-minute bouts
was analyzed using a two-part model. RESULTS: Easy access to neighborhood parks
and close proximity to metropolitan parks were positively associated with total
MVPA. Proximity to metropolitan parks was also positively related to any MVPA
within bouts among women. High perceived aesthetics among those of low SES and
high perceived safety from crime among men were positively associated with total
MVPA and MVPA within bouts. Having few cul-de-sacs within the neighborhood and
proximity to transit stops were inversely related to total MVPA. CONCLUSIONS:
Access to parks, aesthetics, and safety from crime are important correlates of
physical activity among Mexican adults. Yet, this study finds no association for
other environmental features usually thought to be important for increasing
activity levels. These findings highlight the importance of conducting more
studies in low- and middle-income countries that examine the relationship between
physical activity and the built environment.
PMID- 27180030
TI - Effects of Child Care Intervention on Physical Activity and Body Composition.
AB - INTRODUCTION: This study evaluated whether intervening with child care providers
would increase physical activity (PA) and reduce adiposity in preschoolers.
METHODS: This was a two-arm, parallel group, cluster RCT whereby six child care
centers were randomly assigned in equal numbers to intervention (n=40 children)
or control (n=43 children). Participants were aged 3-5 years and attended
licensed child care centers. Child care providers received two 3-hour train-the
trainer workshops and a training manual at program initiation aimed at increasing
structured and unstructured PA through active play. Control child care centers
implemented their standard curriculum. PA and sedentary behavior were measured by
accelerometry, and body composition was measured using bioelectrical impedance at
baseline and 6 months. Data were collected in 2011-2012 and analyzed in April
2015. RESULTS: Linear mixed-effects modeling showed that at 6 months, children in
the intervention group demonstrated greater increases in minutes per preschool
day spent in overall PA (22.5 minutes, 95% CI=8.9, 36.1, p=0.002), and light
intensity PA (16.1 minutes, 95% CI=5.2, 26.7, p=0.004), but changes between
groups in moderate to vigorous PA did not differ. The intervention group showed
greater reductions in body fat percentage (-1.9%, 95% CI=-3.5, -0.3, p=0.023) and
fat mass (-0.3 kg, 95% CI=-0.7, -0.1, p=0.018), but groups did not differ on fat
free mass, BMI, or z-BMI. CONCLUSIONS: Provider-led intervention in child care
centers increased preschoolers' PA and reduced adiposity, therefore may represent
a viable approach to promoting PA and related health benefits in preschool-aged
children. TRIAL REGISTRATION: This study is registered at www.clinicaltrials.gov
NCT02293278.
PMID- 27180031
TI - Combined Impact of Health Behaviors on Mortality in Low-Income Americans.
AB - INTRODUCTION: African Americans and low-income whites have higher mortality than
the U.S. general population. This study prospectively investigated the combined
influence of major lifestyle factors and poverty on mortality in this vulnerable
population. METHODS: Data were collected in 2002-2009 from 79,101 Southern
Community Cohort Study participants, of which 67% were African American and 55%
had household incomes <$15,000. Mortality outcomes were identified from the
National Death Index though December 31, 2011 (data analyzed in 2014-2015).
Healthy behavior scores were created based on tobacco smoking, alcohol intake,
diet, physical activity, and sedentary time. The primary analysis was performed
based on the score created by counting each participant as having met/not met
public health guidelines for each behavior. RESULTS: Healthy behavior scores were
associated with reduced cancer, cardiovascular disease, and all-cause mortality.
Associations were stronger for whites than African Americans: hazard ratios for
all-cause mortality comparing participants meeting four or five guidelines versus
participants meeting zero were 0.41 (95% CI=0.30, 0.55) for African American men;
0.36 (95% CI=0.24, 0.55) for white men; 0.46 (95% CI=0.36, 0.59) for African
American women; and 0.27 (95% CI=0.18, 0.43) for white women. The association
between healthy lifestyle and all-cause mortality was weaker among those with
incomes <$15,000 than those with higher income, particularly in men (p<0.05 for
interaction). CONCLUSIONS: This study demonstrates the importance of health
behaviors on mortality among all groups, but highlights the need for additional
research to identify factors contributing to high risk of mortality among low
income and African American populations.
PMID- 27180032
TI - In pursuit of academic plastic surgery training: The O'Brien experience.
PMID- 27180033
TI - Neuroprotection in acute stroke: targeting excitotoxicity, oxidative and
nitrosative stress, and inflammation.
AB - Treatments for acute ischaemic stroke continue to evolve after the superior value
of endovascular thrombectomy was confirmed over systemic thrombolysis.
Unfortunately, numerous neuroprotective drugs have failed to show benefit in the
treatment of acute ischaemic stroke, making the search for new treatments
imperative. Increased awareness of the relevance of rigorous preclinical testing,
and appropriate selection of study participants, might overcome the barriers to
progress in stroke research. Relevant areas of interest include the search for
safe and effective treatment strategies that combine neuroprotection reperfusion,
better use of advanced brain imaging for patient selection, and wider
implementation of prehospital conducted clinical trials. Randomised controlled
trials of combination treatments completed within the past 5 years have included
growth factors, hypothermia, minocycline, natalizumab, fingolimod, and uric acid;
the latter two drugs with alteplase produced encouraging results. Blocking of
excitotoxicity is also being reassessed in clinical trials with new approaches,
such as the postsynaptic density-95 inhibitor NA-1, or peritoneal dialysis to
remove excess glutamate. The findings of these randomised trials are anticipated
to improve treatment options and clinical outcomes in of patients with acute
stroke.
PMID- 27180035
TI - Goal conceptualization and symmetry of arm movements affect bimanual coordination
in individuals after stroke.
AB - Coordination during goal-directed movements emerges from an interaction of task
and individual constraints. It is not known how individuals with unilateral
stroke and age-matched controls coordinate their arms when performing symmetric
and asymmetric movements to accomplish common task goals compared to independent
task goals. Eleven individuals with chronic stroke and ten age-matched controls
executed a bimanual task under virtual conditions that allowed systematic
manipulation of symmetry and goal conditions. Spatial and temporal bimanual
coordination was characterized using the cross-correlation coefficients and time
lag between the tangential velocities between the two hands. While task
conditions had little effect on coordination of control participants, individuals
with stroke were less coordinated in space and time during common-goal bimanual
actions employing asymmetric arm movements. Further, patients demonstrated lesser
contribution of their paretic arm compared to their non-paretic arm during common
goal conditions. These findings indicate that conceptualization of task goals
(common vs. independent) and symmetry of arm movements influence coordination and
contribution of the two hands during bimanual tasks in patients with stroke.
PMID- 27180034
TI - Cortical atrophy in patients with cerebral amyloid angiopathy: a case-control
study.
AB - BACKGROUND: Loss of cortical grey matter is a diagnostic marker of many
neurodegenerative diseases, and is a key mediator of cognitive impairment. We
postulated that cerebral amyloid angiopathy (CAA), characterised by cortical
vascular amyloid deposits, is associated with cortical tissue loss independent of
parenchymal Alzheimer's disease pathology. We tested this hypothesis in patients
with hereditary cerebral haemorrhage with amyloidosis-Dutch type (HCHWA-D), a
monogenetic disease with minimal or no concomitant Alzheimer's disease pathology,
as well as in patients with sporadic CAA and healthy and Alzheimer's disease
controls. METHODS: In this observational case-control study, we included six
groups of participants: patients diagnosed with HCHWA-D using genetic testing;
healthy controls age-matched to the HCHWA-D group; patients with probable
sporadic CAA without dementia; two independent cohorts of healthy controls age
matched to the CAA group; and patients with Alzheimer's disease age-matched to
the CAA group. De-identified (but unmasked) demographic, clinical, radiological,
and genetic data were collected at Massachusetts General Hospital (Boston, MA,
USA), at Leiden University (Leiden, Netherlands), and at sites contributing to
Alzheimer's Disease Neuroimaging Initiative (ADNI). The primary outcome measure
was cortical thickness. The correlations between cortical thickness and
structural lesions, and blood-oxygen-level-dependent time-to-peak (BOLD-TTP; a
physiological measure of vascular dysfunction) were analysed to understand the
potential mechanistic link between vascular amyloid and cortical thickness. The
radiological variables of interest were quantified using previously validated
computer-assisted tools, and all results were visually reviewed to ensure their
accuracy. RESULTS: Between March 15, 2006, and Dec 1, 2014, we recruited 369
individuals (26 patients with HCHWA-D and 28 age-matched, healthy controls; 63
patients with sporadic CAA without dementia; two healthy control cohorts with 63
and 126 individuals; and 63 patients with Alzheimer's disease). The 26 patients
with HCHWA-D had thinner cortices (2.31 mm [SD 0.18]) than the 28 healthy
controls (mean difference -0.112 mm, 95% CI -0.190 to -0.034, p=0.006). The 63
patients with sporadic CAA without dementia had thinner cortices (2.17 mm [SD
0.11]) than the two healthy control cohorts (n=63, mean difference -0.14 mm, 95%
CI -0.17 to -0.10, p<0.0001; and n=126, -0.10, -0.13 to -0.06, p<0.0001). All
differences remained independent in multivariable analyses. The 63 patients with
Alzheimer's disease displayed more severe atrophy than the patients with sporadic
CAA (2.1 mm [SD 0.14], difference 0.07 mm, 95% CI 0.11 to 0.02, p=0.005). We
found strong associations between cortical thickness and vascular dysfunction in
the patients with HCHWA-D (rho=-0.58, p=0.003) or sporadic CAA (r=-0.4, p=0.015),
but not in controls. Vascular dysfunction was identified as a mediator of the
effect of hereditary CAA on cortical atrophy, accounting for 63% of the total
effect. INTERPRETATION: The appearance of cortical thinning in patients with
HCHWA-D indicates that vascular amyloid is an independent contributor to cortical
atrophy. These results were reproduced in patients with the more common sporadic
CAA. Our findings also suggest that CAA-related cortical atrophy is at least
partly mediated by vascular dysfunction. Our results also support the view that
small vessel diseases such as CAA can cause cortical atrophy even in the absence
of Alzheimer's disease, a conclusion that can help radiologists, neurologists,
and other clinicians who diagnose these common geriatric conditions. FUNDING:
National Institutes of Health.
PMID- 27180036
TI - Conversion from MCI to AD in patients with the APOE epsilon4 genotype: Prediction
by plasma HCY and serum BDNF.
AB - Amnestic mild cognitive impairment (aMCI) is a transitional stage between normal
aging and Alzheimer's disease (AD). Possession of the apolipoprotein E (APOE)
epsilon4 genotype is a major predictor of progression to AD, particularly in
patients with aMCI. However, the use of APOE genotyping in the diagnosis of aMCI
that evolves into AD is limited due to its low sensitivity and specificity. In
this study, we found that there was a notable increase in plasma homocysteine
(HCY) and significant decrease in serum brain-derived neurotrophic factor (BDNF)
in aMCI that converts to AD in patients with the APOE epsilon4 allele. Both
plasma HCY and serum BDNF had higher positive predictive values and were more
sensitive biomarkers of aMCI. Additionally, a testing strategy employing plasma
HCY and serum BDNF revealed increases in sensitivity, specificity, and predictive
ability compared with the use of either biomarker alone. The present study
demonstrates that MCI that evolves into AD in patients with the APOE epsilon4
genotype may be predicted by plasma HCY and serum BDNF.
PMID- 27180037
TI - Photoreduction of the ferredoxin/ferredoxin-NADP(+)-reductase complex by a linked
ruthenium polypyridyl chromophore.
AB - Photosynthetic ferredoxin and its main partner ferredoxin-NADP(+)-reductase (FNR)
are key proteins during the photoproduction of reductive power involved in
photosynthetic growth. In this work, we used covalent attachment of ruthenium
derivatives to different cysteine mutants of ferredoxin to trigger by laser-flash
excitation both ferredoxin reduction and subsequent electron transfer from
reduced ferredoxin to FNR. Rates and yields of reduction of the ferredoxin [2Fe
2S] cluster by reductively quenched Ru* could be measured for the first time for
such a low redox potential protein whereas ferredoxin-FNR electron transfer was
characterized in detail for one particular Ru-ferredoxin covalent adduct. For
this adduct, the efficiency of FNR single reduction by reduced ferredoxin was
close to 100% under both first-order and diffusion-limited second-order
conditions. Interprotein intracomplex electron transfer was measured
unambiguously for the first time with a fast rate of c. 6500s(-1). Our
measurements point out that Ru photosensitizing is a powerful approach to study
the functional interactions of ferredoxin with its numerous partners besides FNR.
PMID- 27180038
TI - Comparison of electron microscopy, ELISA, real time RT-PCR and insulated
isothermal RT-PCR for the detection of Rotavirus group A (RVA) in feces of
different animal species.
AB - There is no gold standard for detection of Rotavirus Group A (RVA), one of the
main causes of diarrhea in neonatal animals. Sensitive and specific real-time RT
PCR (rtRT-PCR) assays are available for RVA but require submission of the
clinical samples to diagnostic laboratories. Patient-side immunoassays for RVA
protein detection have shown variable results, particularly with samples from
unintended species. A sensitive and specific test for detection of RVA on the
farm would facilitate rapid management decisions. The insulated isothermal RT-PCR
(RT-iiPCR) assay works in a portable machine to allow sensitive and specific on
site testing. The aim of this investigation was to evaluate a commercially
available RT-iiPCR assay for RVA detection in feces from different animal
species. This assay was compared to an in-house rtRT-PCR assay and a commercially
available rtRT-PCR kit, as well as an ELISA and EM for RVA detection. All three
PCR assays targeted the well-conserved NSP5 gene. Clinical fecal samples from 108
diarrheic animals (mainly cattle and horses) were tested. The percentage of
positive samples by ELISA, EM, in-house rtRT-PCR, commercial rtRT-PCR, and RT
iiPCR was 29.4%, 31%, 36.7%, 51.4%, 56.9%, respectively. The agreement between
different assays was high (81.3-100%) in samples containing high viral loads. The
sensitivity of the RT-iiPCR assay appeared to be higher than the commercially
available rtRT-PCR assay, with a limit of detection (95% confidence index) of 3-4
copies of in vitro transcribed dsRNA. In conclusion, the user-friendly, field
deployable RT-iiPCR system holds substantial promise for on-site detection of
RVA.
PMID- 27180039
TI - A new real-time RT-qPCR assay for the detection, subtyping and quantification of
human respiratory syncytial viruses positive- and negative-sense RNAs.
AB - Human respiratory syncytial virus (RSV) is a major health problem and the main
cause of hospitalization due to bronchiolitis. RSV is divided into two antigenic
subgroups, RSV-A and -B that co-circulate worldwide. Rapid and sensitive
detection is desirable for proper patient handling while assessment of viral load
may help to evaluate disease severity and progression. Finally RSV subtyping is
needed to determine the prevalence and pathogenicity of each RSV subgroup, as
well as their sensitivity to treatment. In this study, we took into account the
most recent circulating RSV variants and designed two quantitative TaqMan one
step RT-PCR assays to detect and quantify both RSV subgroups separately. Standard
dilutions of transcripts of positive and negative polarities were included in the
assay validation to assess potential differences in sensitivity on negative-sense
genomes and positive-sense RNAs. In addition, RSV detection in respiratory
specimens of different types and sampled in different populations was compared to
commercially available RSV diagnostic tools. Altogether, the RSV-A and -B assays
revealed sensitive and quantitative over a wide range of viral loads, with a
slight improved sensitivity of the RSV-B assay on positive sense transcripts, and
allowed accurate RSV subtyping. We thus provide a useful tool for both RSV
diagnostics and research.
PMID- 27180040
TI - High yield expression and purification of Chikungunya virus E2 recombinant
protein and its evaluation for serodiagnosis.
AB - Disease caused by Chikungunya virus (CHIKV) is clinically characterized by sudden
onset of fever and severe arthralgia, which may persist for weeks, months, or
years after acute phase of the infection. CHIKV is spreading globally; in India
it first appeared in the 1960s followed by a quiescent period and then a full
blown remergence in 2006 and sporadic persistence since then. Despite a large
number of commercially available diagnostic kits for CHIKV, clinical preparedness
and diagnostics suffer from sub-optimal assays. An international diagnostic
laboratory survey suggested that there is a critical need for improved CHIKV
diagnostics especially in the early acute phase of illness. With the recent
studies indicating that a vast majority of human humoral response in CHIKV
infection is directed against E2 protein, this supports strong interest to
develop CHIKV E2 based serological tests. However, methods to produce large
amounts of CHIKV protein are limited. Here we report cloning, expression and
purification methods for obtaining a truncated 37kDa Chikungunya E2 protein at a
high yield of 65-70mg/l. We found that this purified protein can be reliably used
in ELISA and western blot to detect CHIKV specific antibodies in sera from
patients who were PCR or IgM positive. Thus, using this protocol, laboratories
can make large quantities of purified protein that can be potentially used in
CHIKV serological analysis.
PMID- 27180042
TI - Corrigendum to "Tumor suppression effects of myoepithelial cells on mice breast
cancer" [Eur. J. Pharmacol. 765 (2015) 171-178].
PMID- 27180041
TI - Analysis of loco-regional and distant recurrences in breast cancer after
conservative surgery.
AB - BACKGROUND: A number of patients treated conservatively for breast cancer will
develop loco-regional and distant recurrences. Our aim was to determine how their
occurrence may be linked to the evolution of the disease. METHODS: We analyzed
238 women treated by conservative breast surgery and breast irradiation in a
single institution. We evaluated the prognostic factors associated with loco
regional and distant recurrences and the prognostic value of local and regional
recurrences on systemic progression. RESULTS: After a median follow-up of 5 year
(range 1-10), 16 (6.72%) patients in the breast conservative surgery (BCS) groups
had loco-regional recurrence. For distant recurrence, 10 (4.2%) patients had
experienced distant recurrence. Lympho-vascular invasion (HR 2.55; 95% CI, 076 to
8.49) and an extensive intraductal component (HR, 2.22; 95% CI, 0.69 to 7.15) and
nodal status are risk factors for loco-regional recurrence (LRR) after breast
conservative therapy (BCT). Tumor size, nodal status, high histologic grade, and
breast cancer diagnosed at a young age (<=35 years) are correlated with higher
distant recurrence rates after BCT. CONCLUSIONS: Risk factors for LRR after BCS
include lympho-vascular invasion, extensive inraductal component, and high nodal
status, where as risk factors for distant recurrence include tumor size, nodal
status, high histologic grade, and breast cancer diagnosed at a young age (<=35
years).
PMID- 27180043
TI - Corrigendum to "Restoration of sirt1 function by pterostilbene attenuates hypoxia
reoxygenation injury in cardiomyocytes" [Eur. J. Pharmacol. 776 (2016) 26-33].
PMID- 27180044
TI - Assessment of facial pain and headache before and after nasal polyposis surgery
with the DyNaChron questionnaire.
AB - OBJECTIVES: Facial pain/headache is reported in 16-67% of nasal polyposis (NP)
patients. This wide range may be due to differences in assessment methods. The
present prospective study assessed facial pain/headache and quality-of-life (QoL)
impact before and after NP surgery. METHODS: Pain was assessed on the DyNaChron
self-administered questionnaire in patients undergoing NP surgery, the day before
the procedure (V0) and 6 weeks (V1) and 7 months (V2) after. All patients
underwent the same nasalization procedure, sparing the middle turbinates when
possible. The questionnaire extract comprised 1 item assessing pain, 13 assessing
physical impact and 4 assessing psychosocial impact, with responses on visual
analog scales (VAS) graded 0 (no discomfort) to 10 (unbearable discomfort).
RESULTS: Sixty-three patients (mean age: 50.6+/-12.8 years; 32 male [50.8%], 31
female [49.2%]) were included. Thirty-seven patients (58.7%) had history of NP
surgery. Fifty-two percent reported moderate to severe pain before surgery, 17.5%
at 6 weeks, and 22.2% at 7 months. One-third reported no pain preoperatively,
versus a half at 6 weeks and 7 months. Scores for the physical and psychosocial
impact of pain were improved after surgery. CONCLUSION: Headache/facial pain is
frequent in patients for whom NP surgery is indicated. Endoscopic surgery
relieves the symptom and its physical and psychosocial impacts. However, one
fifth of patients reported residual postoperative pain.
PMID- 27180045
TI - Development of a new Emergency Medicine Spinal Immobilization Protocol for trauma
patients and a test of applicability by German emergency care providers.
AB - BACKGROUND: In order to match the challenges of quickly recognizing and treating
any life-threatening injuries, the ABCDE principles were established for the
assessment and treatment of trauma patients. The high priority of spine
protection is emphasized by the fact that immobilization of the cervical spine is
performed at the very first step in the ABCDE principles. Immobilization is
typically performed to prevent or minimize secondary damage to the spinal cord if
instability of the spinal column is suspected. Due to increasing reports about
disadvantages of spinal immobilization, the indications for performing spinal
immobilization must be refined. The aim of this study was (i) to develop a
protocol that supports decision-making for spinal immobilization in adult trauma
patients and (ii) to carry out the first applicability test by emergency medical
personnel. METHODS: A structured literature search considering the literature
from 1980 to 2014 was performed. Based on this literature and on the current
guidelines, a new protocol that supports on scene decision-making for spinal
immobilization has been developed. Parameters found in the literature concerning
mechanisms and factors increasing the likelihood of spinal injury have been
included in the new protocol. In order to test the applicability of the new
protocol two surveys were performed on German emergency care providers by means
of a questionnaire focused on correct decision-making if applying the protocol.
RESULTS: Based on the current literature and guidelines, the Emergency Medicine
Spinal Immobilization Protocol (E.M.S. IMMO Protocol) for adult trauma patients
was developed. Following a fist applicability test involving 21 participants, the
first version of the E.M.S. IMMO Protocol has to be graphically re-organized. A
second applicability test comprised 50 participants with the current version of
the protocol confirmed good applicability. Questions regarding immobilization of
trauma patients could be answered properly using the E.M.S. IMMO Protocol.
DISCUSSION: Current literature increasingly reports of disadvantages that may be
associated with immobilization. Based on the requirements of the current
guidelines, a new protocol that supports decision-making for indications for out
of-hospital spinal immobilization has been developed in this study. In contrast
to established protocols, the new protocol offers different options for
immobilization as well as a decicion-support. CONCLUSIONS: The E.M.S. IMMO
protocol provides a decision-support tool for indications for spinal
immobilization in adult trauma patients that permits variable decision-making
depending on the current condition of the trauma patient and the pattern of
injuries for immobilization in general and for immobilization method in
particular.
PMID- 27180046
TI - Buckling up in Singapore: residency and other risk factors for seatbelt non
compliance - a cross-sectional study based on trauma registry data.
AB - BACKGROUND: Seatbelt non-compliance is a problem in middle income countries, and
little is known about seatbelt compliance in populations with a high proportion
of non-residents. This study analyses the profile of seatbelt non-compliance in
Singapore based on trauma registry data from five of the six public hospitals.
METHODS: This is a cross-sectional study of seatbelt compliance of patients aged
over 18 years, attending the emergency departments of five public hospitals in
Singapore after road collisions from 2011-2014. Seatbelt data was obtained from
paramedic and patient history. RESULTS: There were 4,576 patients studied. Most
were Singapore citizens (83.4 %) or permanent residents (2.4 %), with the largest
non-resident groups from Malaysia, India, and China. Overall seatbelt compliance
was 82.1 %. On univariate analysis, seatbelt compliance was higher in older
patients (OR 1.02, 95 % CI 1.001-1.021, p < 0.0001); drivers, followed by front
passengers (OR 0.65, 95 % CI 0.51-0.83, p < 0.0001), were more compliant than
rear passengers (OR 0.08, 0.06-0.09, p < 0.0001); occupants of larger vehicle
types (buses, heavy transport vehicles, minibuses and vans) were more non
compliant compared to occupants of private cars and taxis. Morning peak travel
(0700 h-0900 h) and being a non-resident were other risk factors for non
compliance. On multivariable analysis, older age (OR 1.01, 95 % CI 1.001-1.014, p
= 0.03) was associated with compliance, while non-residents from China (OR 0.43,
95 % CI 0.18-0.99, p = 0.05), seat position (front passenger compared to driver,
OR 0.64, 95 % CI 0.48-0.85, p = 0.002; rear passenger compared to driver, OR
0.067, 95 % CI 0.05-0.09, p < 0.0001), vehicle type (bus compared to car, OR
0.04, 95 % CI 0.017-0.11, p < 0.0001, van compared to car, OR 0.55, 95 % CI 0.36
0.83, p = 0.004), and travel at morning peak periods were independent predictors
of seatbelt non-compliance. When the sub-group of drivers was analysed, only
vehicle type was a significant predictor of seatbelt compliance, with bus drivers
least likely to be compliant to seatbelts (multivariable analysis, OR 0.057
compared to cars, 95 % CI 0.019-0.18, p < 0.0001). CONCLUSIONS: While overall
seatbelt compliance in our study is high, efforts can be made to increase
compliance for morning rush hour passengers, rear seat passengers, and occupants
of buses, heavy transport vehicles, and vans or pickups.
PMID- 27180047
TI - A case study of SMART attributes: a qualitative assessment of generalizability,
retention rate, and trial quality.
AB - BACKGROUND: Personalizing medical care is becoming increasingly popular,
particularly mental health care. There is growing interest in formalizing medical
decision making based on evolving patient symptoms in an evidence-based manner.
To determine optimal sequencing of treatments, the sequences themselves must be
studied; this may be accomplished by using a sequential multiple assignment
randomized trial (SMART). It has been hypothesized that SMART studies may improve
participant retention and generalizability. METHODS: We examine the hypotheses
that SMART studies are more generalizable and have better retention than
traditional randomized clinical trials via a case study of a SMART study of
antipsychotic medications. We considered the Clinical Antipsychotic Trials of
Intervention Effectiveness (CATIE) schizophrenia study, comparing the trial
participant characteristics and overall retention to those of comparable trials
found via a review of all related trials conducted from 2000 onwards. RESULTS: A
MEDLINE search returned 6435 results for primary screening; ultimately, 48
distinct trials were retained for analysis. The study population in CATIE was
similar to, although perhaps less symptomatic than, the study populations of
traditional randomized clinical trials (RCTs), suggesting no large gains in
generalizability despite the pragmatic nature of the trial. However, CATIE did
see good month-by-month retention. CONCLUSIONS: SMARTs offer the possibility of
studying treatment sequences in a way that a series of traditional RCTs cannot.
SMARTs may offer improved retention; however, this case study did not find
evidence to suggest greater generalizability using this trial design. TRIAL
REGISTRATION: ClinicalTrials.gov NCT00014001 . Registered on 6 April 2001.
PMID- 27180048
TI - Numerical simulations of sonochemical production and oriented aggregation of
BaTiO3 nanocrystals.
AB - Numerical simulations of sonochemical production and oriented attachment of
BaTiO3 nanocrystals are performed in aqueous solution with pH 14. It is suggested
that most significant effect of ultrasound is the dissolution of Ti-based gel in
aqueous solution. It results in the dissolution-precipitation mechanism in the
production of BaTiO3 nanoparticles, while with mechanical stirring without
ultrasound it is the in situ mechanism that BaTiO3 is gradually formed on Ti
based gel. The oriented attachment of spherical BaTiO3 nanocrystals occurs by van
der Waals torque (Casimir torque). Large aggregates of nanocrystals do not attach
with each other as the repulsive double layer interaction is stronger for larger
aggregates. For smaller spherical nanocrystals, the alignment of the crystal axes
is less accurate due to more significant rotational Brownian motion of the
nanocrystals.
PMID- 27180049
TI - Do the distinct synaptic properties of VGLUTs shape pain?
AB - The somatosensory system transmits touch, temperature, itch and pain. Three
vesicular glutamate transporter isoforms mediate the release of glutamate
throughout the mammalian nervous system with largely non-overlapping
distributions and unique roles at the synapse. This review discusses the
contribution of each of these essential transporters to circuits underlying pain
and other somatosensory behaviors throughout postnatal development and in the
adult. A better understanding of the individual contributions of the VGLUT
isoforms could provide new avenues for therapeutic intervention.
PMID- 27180050
TI - Structural and dynamic perspectives on the promiscuous transport activity of P
glycoprotein.
AB - The multidrug transporter P-glycoprotein (P-gp) is expressed in the blood-brain
barrier endothelium where it effluxes a range of drug substrates, preventing
their accumulation within the brain. P-gp has been studied extensively for 40
years because of its crucial role in the absorption, distribution, metabolism and
elimination of a range of pharmaceutical compounds. Despite this, many aspects of
the structure-function mechanism of P-gp are unresolved. Here we review the
emerging role of molecular dynamics simulation techniques in our understanding of
the membrane-embedded conformation of P-gp. We discuss its conformational
plasticity in the presence and absence of ATP, and recent efforts to characterize
the drug binding sites and uptake pathways.
PMID- 27180051
TI - Altered expression of KCC2 in GABAergic interneuron contributes prenatal stress
induced epileptic spasms in infant rat.
AB - Long-term stress during pregnancy causes neurologic deficits to offspring with
altered gamma-aminobutyric acid (GABA) system in the brain. However, it is not
clear how prenatal stress affects the maturing GABAergic interneurons and the
resulting abnormalities in infantile seizures. Here, we showed that prenatal
stress alters the maturation of GABA inhibitory system using a seizure model
induced by prenatal stress. Prenatal stress with betamethasone or acute
immobilization stress (AIS) on gestational day 15 increased the seizure
susceptibility to N-methyl-d-aspartate-triggered spasms on postnatal day 15. The
expression of GABA was lower in the prenatally stressed group, which compromise
the decrease of glutamate decarboxylase 67-immunopositive cells. Prenatal stress
markedly decreased the expression of K(+)/Cl(-) co-transporter (KCC2) in the
cortex. GABA induced membrane depolarization demonstrated prenatal stress models
had significant higher membrane depolarization compared to control. GABA
increased KCC2 expression in cultured cortex-containing slices. Taken together,
our results showed that prenatal stress with betamethasone or AIS altered the
maturation of GABAergic progenitors and resulted in the lack of GABA input, which
in turn, decreased KCC2 expression and lowered seizure threshold. We conclude
that delayed GABA excitatory/inhibitory shift would render the cortical neuronal
circuit more susceptible to excitatory input in prenatal stress induced seizure.
PMID- 27180052
TI - Distinct effect of CacyBP/SIP on the ERK1/2-CREB-BDNF pathway in undifferentiated
and differentiated neuroblastoma NB2a cells.
AB - CacyBP/SIP, a protein expressed to high extent in the brain, has been shown to
act as ERK1/2 phosphatase in vitro and in cultured cells. It has been
demonstrated recently that CacyBP/SIP can modulate the activity of some
transcription factors in neurons and glioma cells. In the present work we have
examined the effect of CacyBP/SIP overexpression and silencing on the
phosphorylation/activity of ERK1/2 (pERK1/2) and CREB (pCREB) and on the level of
BDNF mRNA in differentiated and undifferentiated neuroblastoma NB2a cells. We
have shown that in undifferentiated cells the amount of pERK1/2 decreased upon
CacyBP/SIP overexpression. Further studies have shown that the activity of CREB
and the level of BDNF mRNA, downstream effectors of the ERK1/2 signaling pathway,
also depended on the CacyBP/SIP level and strictly matched the level of pERK1/2.
Interestingly, in differentiated NB2a cells, overexpression of CacyBP/SIP
appeared to have a distinct effect on the pERK1/2 level from that observed in
undifferentiated cells. Subsequent studies have revealed that distinct function
of CacyBP/SIP in undifferentiated and differentiated NB2a cells might be due to
changes in its posttranslational modifications and protein ligands. Altogether,
our studies suggest that CacyBP/SIP is involved in the ERK1/2-CREB-BDNF pathway
and that it might regulate this pathway depending on the stage of NB2a cell
differentiation.
PMID- 27180053
TI - Prognostic implications of epithelial to mesenchymal transition related proteins
(E-cadherin, Snail) and hypoxia inducible factor 1alpha in endometrioid
endometrial carcinoma.
AB - The epithelial-mesenchymal transition (EMT) is an important step in the invasion
and metastasis of cancer. E-cadherin downregulation, which is essentially
controlled by EMT-mediated proteins such as Snail, is a main molecular feature of
this process. Tumor hypoxia is one of the essential biological phenomena that are
associated with the development and progression of various solid tumors.
Recently, hypoxia and hypoxia-inducible factor 1alpha (HIF-1alpha) signaling
pathway were identified to have an essential role in the regulation of EMT
phenotype. The aim of the study was to evaluate the prognostic impact of EMT
related proteins (E-cadherin, Snail) and HIF-1alpha in endometrioid endometrial
carcinoma (EEC) among Egyptian women. Immunohistochemical evaluation of E
cadherin, Snail, and HIF-1alpha expression was performed using 50 cases of EEC.
The relationship between protein expression and clinicopathological features was
investigated. The frequency of immunopositivity for E-cadherin, Snail, and HIF
1alpha in our cases of EEC was 82%, 28%, and 66%, respectively. Reduced E
cadherin and increased nuclear expression of Snail as well as HIF-1alpha were
significantly associated with histopathologic grade, clinical stage myometrial
invasion, and lymph node involvement. Statistical analysis showed a positive
correlation between HIF-1alpha overexpression and Snail upregulation (tau=
+0.252, P= .025); however, E-cadherin expression level was inversely correlated
with enhanced Snail expression (tau= -0.450, P< .001) as well as with HIF-1alpha
overexpression (tau= -0.439, P< .001). The overall survival and progression-free
survival were inversely related to Snail immunoreactivity and positively related
to E-cadherin expression. E-cadherin and Snail have a predictive value in EEC. In
conclusion, the current study reveals that both Snail and HIF-1alpha expressions
are significantly associated with poor prognosis in EEC; however, E-cadherin
expression is considered a marker of good prognosis. E-cadherin and Snail
expression has a predictive value in EEC management.
PMID- 27180054
TI - Myoepithelial differentiation in cribriform, tubular and solid pattern of adenoid
cystic carcinoma: A potential involvement in histological grading and prognosis.
AB - Adenoid cystic carcinoma (AdCC) is known as a biphasic tumor composed of ductal
and myoepithelial cells. The present study aimed to evaluate the amount and
distribution of the myoepithelial cells in cribriform, tubular and solid subtypes
of AdCC and analyze their relationship with histological grading and prognosis. A
panel of myoepithelial markers including CK5/6, p63, p40, D2-40, calponin, alpha
SMA, S-100, and vimentin, together with a luminal cell marker CK7, and Ki-67 were
used for immunohistochemical study in 109 AdCCs that included 38 cribriform, 36
tubular and 35 solid subtypes. The myoepithelial cells were labeled and found
lined cystic-like paces, located at the periphery of the cribriform arrangements,
and presented at the nonluminal cells of the two-layered tubular structures,
while absent or dispersed in the solid pattern. Meantime, the solid subtype
presented a higher proliferation rate assessed by mitotic count and Ki-67
labeling index, followed by poorer overall survival and recurrent-free survival.
Furthermore, CK7 expression was found higher in solid pattern than in cribriform
tubular subtype, which showed negative correlation with the myoepithelial markers
including D2-40, Calponin, alpha-SMA, p63, p40 and vimentin. The solid pattern of
AdCC showed gland differentiation but loss of myoepithelial differentiation with
a higher proliferation and more aggressiveness as well as poorer prognosis
compared with the cribriform-tubular subtypes, which implies that loss of MEC
differentiation might contribute to the poor prognosis of the solid subtype of
AdCC. However, further studies are required to clarify its exact role in AdCC
progression.
PMID- 27180055
TI - A novel marker of ameloblastoma and systematic review of immunohistochemical
findings.
AB - This study aims at investigating the pathogenesis and oncogenesis of
ameloblastoma. Being the commonest odontogenic tumor with idiopathic nature,
ameloblastoma poses a fierce controversy about its oncogenesis.
Immunohistochemical markers, over years, have highlighted specific pathways which
are inherently undertaken in the tumorigenic process of ameloblastoma. Besides
the recently pronounced clue of BRAF V600E mutant gene, this study introduces a
new marker with its outstanding impact on our contemporary knowledge about
ameloblastoma. Extrapolating from the systematic review of medical literature and
recruiting a novel immunohistochemical marker, ameloblastoma enacts a new
scenario supporting the approved involvement of MAPK by overexpressing WT1 a
total of 37 archival cases, regardless of the histological variant in study.
There evinces a significant contribution of Wilm's tumor gene, as an oncogene
rather than a suppressor gene, to the pathogenesis of the ameloblastomatous
tumorigenesis. Moreover, no ameloblastomatous histological phenotype has
established, given the literature underpinned, a concrete impact on the clinical
behavior. Immunohistochemical research papers which investigated tumorigenesis -
although they do not quantitatively measure much- had the most significant impact
on the diagnostic and prognostic levels. WT1 may play, therefore, a remarkable
role in the oncogenesis of ameloblastoma.
PMID- 27180056
TI - Defining Ewing and Ewing-like small round cell tumors (SRCT): The need for
molecular techniques in their categorization and differential diagnosis. A study
of 200 cases.
AB - BACKGROUND: Differentiation of Ewing sarcoma family of tumors (ESFT) and Ewing
like tumors remains problematic. Certain ESFT with morphological and
immunohistochemical (IHC) profiles lack the EWSR1-ETS transcript. To improve
diagnostic accuracy we investigated the presence of several specific transcripts
in 200 small round cell tumors (SRCT) displaying ESFT morphology and
immunophenotype in which EWSR1 FISH analysis was non-informative or negative.
DESIGN: 200 tumors (formalin-fixed, paraffin-embedded) were analyzed by RT-PCR.
All tumors were tested for EWSR1-ETS, EWSR1/WT1, PAX3/7-FOX01 or SYT/SSX
transcripts, and the negative tumors were subsequently analyzed for CIC/DUX4,
BCOR/CCNB3 and CIC/FOX04 transcripts. RESULTS: 133 (66.5%) ESFT displayed one of
the above EWSR1-ETS translocations. Three cases (1.5%) revealed the SYT-SSX
transcript for Synovial sarcoma, and one (0.5%) a EWSR1-WT1 transcript for
Desmoplastic Small Round Cell tumor. The CIC-DUX4 translocation was found in six
Ewing-like tumors (3%) with CD99 positivity. The BCOR-CCNB3 gene fusion was
observed in 5 tumors (2.5%) displaying round or spindle cells with strong CCNB3
IHC expression in 3 tumors. Moreover, RT-PCR failed to detect any gene fusion
transcripts in 19 tumors (9.5%) and were considered "undifferentiated small round
cell sarcoma" (SRCS). Molecular biology results were non-informative in 33 SRCTs
(16.5%) due to RNA degradation through inadequate fixation and/or
decalcification. CONCLUSION: Our analysis of 200 SRCTs confirms the molecular
heterogeneity of neoplasms with ESFT morphology and highlight that molecular
studies with RT-PCR including new emerging gene fusion transcripts are mandatory
for the diagnosis when EWSR1 FISH is negative or non-informative. The incidence
of CIC-DUX4, BCOR-CCNB3 and CIC-FOX04 transcripts was relatively low. A small
group of Ewing-like sarcomas or undifferentiated SRCS remains unclassified.
Adopting appropriate tissue fixation and processing protocols is important to
avoid degradation of fixed/embedded tissue when no frozen tumor is available.
PMID- 27180057
TI - Malakoplakia associated with prostatic adenocarcinoma: Report of 4 cases and
literature review.
AB - Malakoplakia is an inflammatory process that has been rarely reported in the
prostate. Malakoplakia in association with prostatic carcinoma is exceedingly
rare with only 4 previously reported cases. We describe the clinical features and
the associated pathology in 4 patients who demonstrated malakoplakia of the
prostate in association with prostatic adenocarcinoma. Prostatic malakoplakia
presenting in association with prostatic adenocarcinoma was identified in 4
patients through a search from the records of 3 institutional databases with
large in-house and consult uropathology practices. In 2 of the patients the
diagnostic needle biopsy contained only prostatic carcinoma; malakoplakia in
association with prostatic carcinoma was documented on prostatectomy, performed
15 and 8weeks after the biopsy, respectively. Both patients experienced urinary
infections during the interval between the biopsy and the prostatectomy. The
third and fourth patient had a long-standing history of "prostatitis", and acute
urinary tract infection with urinary retention, respectively. The needle biopsy
in both patients showed concomitant malakoplakia and prostatic carcinoma. One of
them also had malakoplakia on the initial biopsy containing only atypical glands
and on the subsequent one demonstrating carcinoma. One patient was treated
conservatively and one with prostatectomy. Although coexistent prostatic
carcinoma and malakoplakia are exceedingly rare, malakoplakia can likely occur as
an exceptionally rare complication of a prostate needle biopsy, particularly in
individuals with long-term or acute urinary tract infections at the time of the
biopsy.
PMID- 27180058
TI - Bmi-1 expression predicts prognosis in salivary adenoid cystic carcinoma and
correlates with epithelial-mesenchymal transition-related factors.
AB - Salivary adenoid cystic carcinoma (AdCC) is known for its high propensity to
invade and metastasize. Bmi-1 acts as an oncogene by controlling cell cycle and
self-renewal of adult stem cells, and its overexpression correlates with
metastasis and poor prognosis in several cancers. Epithelial-mesenchymal
transition (EMT) plays a central role in cancer metastasis. A key step in EMT is
the down-regulation of E-cadherin that can be repressed by the transcriptional
factors, such as Snail and Slug. In the present study, we investigated Bmi-1,
Snail, Slug, and E-cadherin expression by immunohistochemistry in 102 patients
with AdCC and analyzed statistically whether their expression correlated with
clinicopathologic factors and prognosis. Reverse transcription-polymerase chain
reaction was also performed in 22 tumor tissues and the adjacent noncancerous
tissues to confirm Bmi-1 status in AdCCs. Our data demonstrated significant
associations between the tumor metastasis and the expression of Bmi-1, Snail,
Slug, and E-cadherin. Furthermore, a high level of Bmi-1 was not only correlated
with the overexpression of Snail and Slug but also indicated an unfavorable
metastasis-free survival and served as a high-risk marker for AdCC. In addition,
Bmi-1 messenger RNA level was found much higher in AdCC tissues than in the
adjacent noncancerous salivary gland tissues. Our results suggest that Bmi-1 may
play a crucial role in AdCC progression by interaction with EMT-related markers
and predict poor survival.
PMID- 27180059
TI - Ectopic primary olfactory neuroblastoma of the maxillary sinus.
AB - Olfactory neuroblastoma (ONB) is a rare malignant tumor. Although the vast
majority of cases arise in the nasal cavity, ONB is rarely reported in ectopic
locations. We report a case of ONB in the maxillary sinus. A 63-year-old woman
presented with left-sided nasal obstruction and epistaxis. Magnetic resonance
imaging showed a nonenhancing left maxillary sinus tumor. Histologic sections
showed ONB, Hyams grade IV, invading bone, skeletal muscle, and adjacent
fibroadipose tissue. It is essential to be accurate when diagnosing sinonasal
tumors because the differential diagnosis is broad, and one must consider the
possibility of ectopic ONB, although it is rare. The behavior of ONB and other
neuroendocrine tumors of the sinonasal region is quite different, and there are
varied approaches to treatment. Therefore, an accurate diagnosis as well as
correct grade and stage must be assigned.
PMID- 27180060
TI - Mammary analogue secretory carcinoma of salivary glands: a clinicopathologic
study of 11 cases.
AB - Mammary analogue secretory carcinoma (MASC) is a recently described tumor sharing
the histologic, immunohistochemical, and molecular profile of secretory carcinoma
of breast. We aimed to evaluate the morphologic and histochemical features
needed/required for the diagnosis of MASC without adjunct of molecular analysis.
Six retrospective cases suspicious for MASC and 5 prospective cases reported as
MASC were included in the study. Molecular analysis of ETV6 by fluorescence in
situ hybridization was performed at the University of Pittsburg, USA. The ages of
the patients ranged from 9 to 60 years (mean, 27.5 years). Histologically, all
tumors showed mixed growth patterns including microcystic, macrocystic,
papillary, tubular, and solid, papillary the being most common pattern. The tumor
cells showed round to oval vesicular nuclei with small nucleoli, and eosinophilic
to vacuolated cytoplasm. All cases demonstrated luminal and cytoplasmic mucin on
periodic acid-Schiff with and without diastase digestion and alcian blue stain.
ETV6 fusion gene rearrangement by fluorescence in situ hybridization was detected
in 10 of 11 tumors. Recurrences occurred in 3 patients, and 1 patient died of
disease 5 years after surgery. In conclusion, MASC is a relatively rare salivary
gland malignancy exhibiting distinct histologic and histochemical features which
can help to differentiate it from other mimics. Histologically, papillary-cystic
and microcystic patterns are the main clues to diagnosis. The follicular pattern
of acinic cell carcinoma might represent MASC, as 4 cases in our series had this
pattern. Two patients in our series were 9 and 91/2 years old respectively, which
are the youngest ages ever recorded for MASC.
PMID- 27180061
TI - Utility of peritoneal washing cytology in staging and prognosis of ovarian and
fallopian tube neoplasms: a 10-year retrospective analysis.
AB - The prognostic significance of peritoneal washing cytology in gynecologic
neoplasms is controversial. The presence of neoplastic cells in peritoneal
washings is currently part of the Federation of Gynecology and Obstetrics and
American Joint Committee on Cancer TNM staging systems in cases of ovarian and
fallopian tube neoplasms without metastasis beyond the pelvis. In this study, we
retrospectively reviewed all cases of ovarian and fallopian tube neoplasms in
which cytologic studies were performed. The utility of cytology in tumor staging
and the relationship between cytology results and patient outcome are studied.
All cases of ovarian and fallopian tube neoplasms in our institution between July
2002 and July 2012 were reviewed. Primary tumor characteristics including type
and pelvic extension were collected, categorized, and correlated with peritoneal
washing cytology. Final tumor staging was reviewed and the impact of positive
cytology was evaluated. A total of 120 cases of ovarian and fallopian tube
neoplasms without extrapelvic metastasis were identified within the study period.
Peritoneal washing cytology was positive in 24% (29/120) of neoplasms and
upstaged the tumor 83% (24/29) of the time when positive. Overall, 20% (24/120)
of reviewed cases were upstaged based on positive cytology results. Peritoneal
washing cytology remains a useful staging tool for ovarian and fallopian tube
neoplasms limited to the pelvic cavity. Positive cytology results in upstaging in
a significant proportion of the cases regardless of the tumor type. A larger
study is needed to analyze follow-up data to determine if upstaging based on
positive cytology adversely affects outcome.
PMID- 27180062
TI - The correlation of sodium iodide symporter and BRAF(V600E) mutation in classical
variant papillary thyroid carcinoma.
AB - BRAF(V600E) mutation was analyzed by real-time polymerase chain reaction in 96
consecutive cases with classical variant papillary thyroid cancer, and
immunohistochemical staining of Na+/I- symporter (NIS) protein was evaluated.
Localization (intracellular or membranous), density, and the intensity of
cytoplasmic staining were characterized semiquantitatively. Extrathyroidal
invasion, surgical margin positivity, and lymph node metastasis were compared
with BRAF(V600E) mutation and NIS expression. Eighty-eight patients who had at
least 24-month follow-up were also included in survival analysis. BRAF(V600E)
mutation was determined in 78.1% (75/96) and functional NIS activity in 74%
(71/96) of the cases. There were statistically significant differences in mean
ages between BRAF(V600E) mutation-positive (48.6) and BRAF(V600E) mutation
negative cases (37.3; Levene test, P=.419; Student t test, P=.001). The surgical
margin positivity (46.7%) and extrathyroidal extension percentage (54.7%) in the
BRAF(V600E) mutation-positive group were higher than the negative (28.6% and
33.3%, respectively) group, without statistical significance (P=.138 and P=.084,
respectively). Functional NIS activity was higher in BRAF(V600E) mutation
positive cases (78.1%) than mutation-negative ones (57.1%; P=.047). The
possibility of moderate and intense cytoplasmic staining in BRAF(V600E) mutation
positive cases (72%) was 6.3 times higher than the possibility of weak staining
(28%) in the mutation-positive cases (95% confidence interval, 2.2-18.8; P=.001).
Functional NIS expression is higher in patients with classical variant papillary
thyroid cancer with BRAF(V600E) mutation. However, the clinical features were not
found to be associated with NIS expression. There may be different mechanisms
determining the outcome of therapy.
PMID- 27180063
TI - Tubulosquamous polyps in the vagina. Immunohistochemical comparison with ectopic
prostatic tissue and Skene glands.
AB - Two tubulosquamous polyps arising in the vagina are reported. Both were diffusely
positive for GATA3 in the squamous component and focally positive for NKX3.1 in
the glandular component, prostate acid phosphatase was focally positive in only 1
case in the glandular component. Both cases were negative for PAX2, PAX8, SALL4,
and prostate-specific antigen. In addition, we included 3 cases of cervical
squamous-lined cysts most likely representing ectopic prostatic tissue in the
cervix and 1 case of paraurethral Skene-type glands to compare the
immunophenotype. We analyze this immunoprofile, not previously reported. We also
suggest unifying the nomenclature because vaginal Brenner tumors are most likely
synonymous with tubulosquamous polyp (TSP) of the vagina. Our findings add
support to the postulated origin of TSPs and cervical ectopic prostatic tissue
from eutopic or misplaced Skene glands, equivalent of the prostate in the female.
NKX3.1 seems a better marker to study and diagnose ectopic prostatic tissue in
the cervix as well as TSPs.
PMID- 27180064
TI - SRRM4 Drives Neuroendocrine Transdifferentiation of Prostate Adenocarcinoma Under
Androgen Receptor Pathway Inhibition.
AB - BACKGROUND: Neuroendocrine prostate cancer (NEPC) is an aggressive subtype of
castration-resistant prostate cancer that typically does not respond to androgen
receptor pathway inhibition (ARPI), and its diagnosis is increasing. OBJECTIVE:
To understand how NEPC develops and to identify driver genes to inform therapy
for NEPC prevention. DESIGN, SETTING, AND PARTICIPANTS: Whole-transcriptome
sequencing data were extracted from prostate tumors from two independent cohorts:
The Beltran cohort contained 27 adenocarcinoma and five NEPC patient samples, and
the Vancouver Prostate Centre cohort contained three patient samples and nine
patient-derived xenografts. INTERVENTION: A novel bioinformatics tool,
comparative alternative splicing detection (COMPAS), was invented to analyze
alternative RNA splicing on RNA-sequencing data. OUTCOME MEASUREMENTS AND
STATISTICAL ANALYSIS: COMPAS identified potential driver genes for NEPC
development. Biochemical and biological validations were performed in both
prostate cell and tumor models. RESULTS AND LIMITATION: More than 66% of the
splice events were predicted to be regulated by the RNA splicing factor
serine/arginine repetitive matrix 4 (SRRM4). In vitro and in vivo evidence
confirmed that one SRRM4 target gene was the RE1 silencing transcription factor
(REST), a master regulator of neurogenesis. Moreover, SRRM4 strongly stimulated
adenocarcinoma cells to express NEPC biomarkers, and this effect was exacerbated
by ARPI. ARPI combined with a gain of SRRM4-induced adenocarcinoma cells to
assume multicellular spheroid morphology and was essential in establishing
progressive NEPC xenografts. These SRRM4 actions were further enhanced by loss of
function of TP53. CONCLUSIONS: SRRM4 drives NEPC progression. This knowledge may
guide the development of novel therapeutics aimed at NEPC. PATIENT SUMMARY: Using
next-generation RNA sequencing and our newly developed bioinformatics tool, we
identified a neuroendocrine prostate cancer (NEPC)-specific RNA splicing
signature that is predominantly controlled by serine/arginine repetitive matrix 4
(SRRM4). We confirmed that SRRM4 drives NEPC progression, and we propose SRRM4 as
a potential therapeutic target for NEPC.
PMID- 27180065
TI - Cardiorespiratory fitness is positively associated with increased pancreatic beta
cell function independent of fatness in individuals with the metabolic syndrome:
Fitness versus fatness.
AB - OBJECTIVES: The vulnerability of individuals with the metabolic syndrome (MetS)
to cardiovascular events (CVEs) is attenuated by increased cardiorespiratory
fitness (CRF), despite the presence of obesity as a usual component of MetS. To
better understand the importance of CRF and body fat in treating this condition,
we investigated the relationship between fitness and fatness with pancreatic beta
cell function (BCF) indices that are known independent predictors of CVEs.
DESIGN: Cross sectional study. METHODS: This study included 84 individuals with
MetS. BCF indices were derived from a fasted steady state (basal disposition
index [DI], proinsulin, proinsulin:insulin, and proinsulin:C-peptide) and dynamic
conditions via an oral glucose tolerance test (1st and 2nd phase DI). CRF and
body fat percentage (BF%) were assessed via indirect calorimetry (during a
maximal exercise test) and dual energy X-ray absorptiometry, respectively.
RESULTS: CRF was positively associated with basal DI (r=0.40, p<0.001), 1st phase
DI (r=0.49, p<0.005), and 2nd phase DI (r=0.38, p=0.02). Hierarchical multiple
regression analysis showed CRF was associated with basal DI (beta=0.18, p=0.04),
1st phase DI (beta=0.36, p=0.04), and 2nd phase DI (beta=0.33, p=0.03),
independent of BF% and other confounding factors including age, sex, diabetic
status, anthropometric measures, lipid profile, and insulin sensitivity. No
significant associations were found between CRF and proinsulin measures. BF% was
not significantly correlated with BCF indices. CONCLUSIONS: Increased CRF was
independently associated with enhanced BCF. This study provides evidence that
equal, if not more attention should be dedicated to CRF improvement relative to
fat-loss for favorable pancreatic BCF and thus possible reduction in CV risk in
individuals with MetS.
PMID- 27180067
TI - Health risk from veterinary antimicrobial use in China's food animal production
and its reduction.
AB - The overuse and misuse of veterinary drugs, particularly antimicrobials, in food
animal production in China cause environmental pollution and wide food safety
concerns, and pose public health risk with the selection of antimicrobial
resistance (AMR) that can spread from animal populations to humans. Elevated
abundance and diversity of antimicrobial resistance genes (ARGs) and resistant
bacteria (including multi-drug resistant strains) in food-producing animals, food
products of animal origin, microbiota of human gut, and environmental media
impacted by intensive animal farming have been reported. To rein in drug use in
food animal production and protect public health, the government made a total of
227 veterinary drugs, including 150 antimicrobial products, available only by
prescription from licensed veterinarians for curing, controlling, and preventing
animal diseases in March 2014. So far the regulatory ban on non-therapeutic use
has failed to bring major changes to the long-standing practice of drug overuse
and misuse in animal husbandry and aquaculture, and significant improvement in
its implementation and enforcement is necessary. A range of measures, including
improving access to veterinary services, strengthening supervision on veterinary
drug production and distribution, increasing research and development efforts,
and enhancing animal health management, are recommended to facilitate transition
toward rational use of veterinary drugs, particularly antimicrobials, and to
reduce the public health risk arising from AMR development in animal agriculture.
PMID- 27180066
TI - Pharmacokinetics and Bioavailability of the GnRH Analogs in the Form of Solution
and Zn2+-Suspension After Single Subcutaneous Injection in Female Rats.
AB - BACKGROUND AND OBJECTIVES: Although many synthetic gonadoliberin analogs have
been developed, only a few of them, including buserelin, were introduced into
clinical practice. Dalarelin, which differs from buserelin by just one aminoacid
in the position 6 (D-Ala), is not widely used so far. Gonadotropin-releasing
hormone (GnRH) analogs are used to treat many different illnesses and are
available in different forms like solution for injection, nasal spray,
microspheres, etc. Unfortunately, none of the above drug formulations can release
the hormones for 24 h. We assumed that classical suspension could solve this
problem. METHODS: Two sets of experiments were performed. In the first one,
buserelin and dalarelin were injected into mature female rats in two forms:
suspension, in which the analogs are bounded by Zn2+ ions and solution. The
pharmacokinetic parameters and bioavailability of the analogs were calculated,
based on their concentration in the plasma measured by high-performance liquid
chromatography method (HPLC). In the second experiment, the hormones in two
different forms were injected into superovulated immature female rats and then
the concentration of Luteinizing hormone (LH), Follicle-stimulating hormone (FSH)
and 17beta-estradiol in the serum was measured by radioimmunological method.
RESULTS: The Extent of Biological Availability (EBA), calculated on the base of
AUC0-infinity, showed that in the form of solution buserelin and dalarelin
display, respectively, only 13 and 8 % of biological availability of their
suspension counterparts. Comparing both analogs, the EBA of dalarelin was half
(53 %) that of buserelin delivered in the form of solution and 83 % when they
were delivered in the form of suspension. The injection of buserelin or
dalarelin, in the form of solution or suspension, into superovulated female rats
increased LH, FSH and estradiol concentration in the serum. However, after
injection of the analogs in the form of suspension, the high concentration of LH
and FSH in the serum persisted longer. CONCLUSION: Performed studies indicate
that GnRH analogs in the form of suspension have higher bioavailability than
their solution counterparts. It influences the effects of their action,
especially in relation to LH and FSH.
PMID- 27180069
TI - Challenges and strategies of surface modification of electrogalvanized coatings
for electron microscopy analysis.
AB - Despite wide usage of electrogalvanized coatings in various applications,
characterization studies on their micro/crystal structure, and the understanding
of how they correspondingly affect the properties, such as corrosion, are rather
limited. This is mainly attributed to some difficulties in preparing and
examining the zinc coating layers, owing to their intrinsically low corrosion
resistance and refined nano-scaled crystallite size. This study aims to examine
such challenges systematically and propose some mitigation strategies.
Particularly, sample preparation processes, including surface finishing for
metallography and sample thinning processes are explored. Furthermore, a range of
electron microscopy techniques, including scanning electron microscopy (SEM),
electron back scattered diffractometry (EBSD), and transmission electron
microscopy (TEM) are investigated in relation to the achievable clarity of
microstructural details of electrogalvanized coatings.
PMID- 27180068
TI - Melatonin for Sleep Disorders in Patients with Neurodegenerative Diseases.
AB - In patients with neurodegenerative diseases, sleep disorders are common; they
impair the quality of life for patients and caregivers and are associated with
poorer clinical outcomes. Melatonin has circadian, hypnotic, and free radical
scavenging effects, and preclinical data suggest benefits of melatonin on
neurodegeneration. However, randomized, controlled trials of melatonin in
patients with neurodegenerative diseases have not shown strong effects. Trials in
Alzheimer's patients demonstrate a lack of benefit on sleep quantity. Subjective
measures of sleep quality are mixed, with possible symptomatic improvements seen
only on some measures or at some time points. Benefits on cognition have not been
observed across several studies. In Parkinson's patients, there may be minimal
benefit on objective sleep measures, but a suggestion of subjective benefit in
few, small studies. Effective treatments for the sleep disorders associated with
neurodegenerative diseases are urgently needed, but current data are insufficient
to establish melatonin as such a treatment.
PMID- 27180070
TI - Poor awareness of inflammatory back pain and axial spondyloarthritis among
secondary care specialists.
PMID- 27180071
TI - MicroRNA-338 Attenuates Cortical Neuronal Outgrowth by Modulating the Expression
of Axon Guidance Genes.
AB - MicroRNAs (miRs) are small non-coding RNAs that confer robustness to gene
networks through post-transcriptional gene regulation. Previously, we identified
miR-338 as a modulator of axonal outgrowth in sympathetic neurons. In the current
study, we examined the role of miR-338 in the development of cortical neurons and
uncovered its downstream mRNA targets. Long-term inhibition of miR-338 during
neuronal differentiation resulted in reduced dendritic complexity and altered
dendritic spine morphology. Furthermore, monitoring axon outgrowth in cortical
cells revealed that miR-338 overexpression decreased, whereas inhibition of miR
338 increased axonal length. To identify gene targets mediating the observed
phenotype, we inhibited miR-338 in cortical neurons and performed whole
transcriptome analysis. Pathway analysis revealed that miR-338 modulates a subset
of transcripts involved in the axonal guidance machinery by means of direct and
indirect gene targeting. Collectively, our results implicate miR-338 as a novel
regulator of cortical neuronal maturation by fine-tuning the expression of gene
networks governing cortical outgrowth.
PMID- 27180073
TI - Differential genotoxicity mechanisms of silver nanoparticles and silver ions.
AB - In spite of many reports on the toxicity of silver nanoparticles (AgNPs), the
mechanisms underlying the toxicity are far from clear. A key question is whether
the observed toxicity comes from the silver ions (Ag+) released from the AgNPs or
from the nanoparticles themselves. In this study, we explored the genotoxicity
and the genotoxicity mechanisms of Ag+ and AgNPs. Human TK6 cells were treated
with 5 nM AgNPs or silver nitrate (AgNO3) to evaluate their genotoxicity and
induction of oxidative stress. AgNPs and AgNO3 induced cytotoxicity and
genotoxicity in a similar range of concentrations (1.00-1.75 ug/ml) when
evaluated using the micronucleus assay, and both induced oxidative stress by
measuring the gene expression and reactive oxygen species in the treated cells.
Addition of N-acetylcysteine (NAC, an Ag+ chelator) to the treatments
significantly decreased genotoxicity of Ag+, but not AgNPs, while addition of
Trolox (a free radical scavenger) to the treatment efficiently decreased the
genotoxicity of both agents. In addition, the Ag+ released from the highest
concentration of AgNPs used for the treatment was measured. Only 0.5 % of the
AgNPs were ionized in the culture medium and the released silver ions were
neither cytotoxic nor genotoxic at this concentration. Further analysis using
electron spin resonance demonstrated that AgNPs produced hydroxyl radicals
directly, while AgNO3 did not. These results indicated that although both AgNPs
and Ag+ can cause genotoxicity via oxidative stress, the mechanisms are
different, and the nanoparticles, but not the released ions, mainly contribute to
the genotoxicity of AgNPs.
PMID- 27180074
TI - On a path to accelerate access to Ebola vaccines: The WHO's research and
development efforts during the 2014-2016 Ebola epidemic in West Africa.
AB - During 2014 and 2015 an outbreak of Ebola deemed a Public Health Emergency of
International Concern affected a number of West African countries. The outbreak
underscored the need for a vaccine against Ebola. An unprecedented and to great
extent collaborative effort built on the availability of a number of candidate
vaccines that could enter into clinical phase evaluation. A series of
international consultations and activities were led by WHO as a contribution to
the unprecedented global efforts to develop and assess an Ebola vaccine. WHO
consulted widely, and immediately fostered interactions with the international
scientific, ethics, regulatory, vaccine development, public health partners,
industry and funders' communities and participated in consortia to facilitate
Ebola vaccine assessments. WHO also fostered key activities to ensure the optimal
policy and deployment of Ebola vaccines, if licensed. WHO has convened a broad
global coalition of experts to develop a Blueprint and a platform for accelerated
R&D, in order to avert full-blown epidemics.
PMID- 27180072
TI - Glutamate Inhibits the Pro-Survival Effects of Insulin-Like Growth Factor-1 on
Retinal Ganglion Cells in Hypoxic Neonatal Rat Retina.
AB - Glutamate that accumulates in injured brain tissue has been shown to hinder the
neuroprotection rendered by insulin-like growth factor-1 (IGF-1). However, its
role in attenuating the neuroprotective effect of IGF-1 in the hypoxic retina is
unknown and the current study was aimed at elucidating this. One-day-old Wistar
rats were exposed to hypoxia for 2 h and the retinas were studied at 3 h to 14
days after exposure. Following hypoxia, the concentrations of glutamate and IGF-1
were significantly increased over control values in the immature retina and in
cultured retinal ganglion cells (RGCs). In addition to IGF-1, the relative
expression of insulin receptor substrate-1 (IRS1) phosphorylated at the tyrosine
residue (p-IRS1tyr), phosphorylated protein kinase B (p-AKT) and phosphorylated
protein kinase A (p-PKA), which are involved in IGF-1 signalling, was also
studied in hypoxic retinas and in cultured RGCs. Glutamate-mediated inhibition of
the IGF-1 pathway in hypoxic RGCs was evident with a reduced expression of p
IRS1tyr and p-AKT and an increased expression of p-PKA. However, the addition of
exogenous IGF-1 reversed this. Glutamate enables the phosphorylation of IRS1 at
the serine residue (p-IRS1ser) through a PKA-dependent pathway. The increased
expression of p-IRS1ser and its increased association with IGF-1 receptors in
hypoxic RGCs suggested a possible interference by glutamate with the IGF-1
pathway. Moreover, there was increased caspase-3/7 activity in hypoxic RGCs.
These results suggest that glutamate, by blocking IGF-1-mediated neuroprotection,
could cause the apoptosis of RGCs in the hypoxic neonatal retina.
PMID- 27180075
TI - Comment on: Acupressure in insomnia and other sleep disorders in elderly
institutionalized patients suffering from Alzheimer's disease.
PMID- 27180076
TI - Editorial Comment.
PMID- 27180077
TI - Editorial Comment.
PMID- 27180078
TI - Reply by Authors.
PMID- 27180079
TI - Editorial Comment.
PMID- 27180080
TI - Special Issue - Spotlight on Cardiovascular Imaging.
PMID- 27180081
TI - MRI findings in intraspinal mature teratoma.
AB - AIM: To characterise and evaluate magnetic resonance imaging (MRI) images for
their clinical value in diagnosing and assessing intraspinal mature teratoma.
MATERIALS AND METHODS: MRI images obtained from eight patients with a
histopathologically verified intraspinal mature teratoma were analysed
retrospectively regarding tumour location, size, and margins. Additionally, the
signal intensity and enhancement pattern on MRI and other associated
malformations were also assessed. RESULTS: Three cases that contained fatty
tissue showed markedly heterogeneous hyperintense signalling on T1-weighted
images, and mixed hyperintense and hypointense signalling on T2-weighted images
and fat-suppression sequences. All three of those cases showed an irregular
peripheral fatty tissue signal, and one case showed additional patches of an
interspersed calcification signal. The remaining five cases without fatty tissue
displayed heterogeneous hyperintense signalling on T1-weighted images and T2
weighted images, and also on fat-suppression sequences. Four of the five cases
showed additional patches of interspersed nodular calcification signals. Contrast
enhanced MRI images showed only slight enhancement (n=3). CONCLUSIONS: MRI is
regarded as the reference standard diagnostic technique to reveal the location of
teratomas and the degree of spinal cord involvement. In most cases, MRI provides
accurate anatomical and histological information, which is necessary for patients
with suspected intraspinal mature teratoma.
PMID- 27180082
TI - Radiation dose reduction in cardiovascular CT angiography with iterative
reconstruction (AIDR 3D) in a swine model: a model of paediatric cardiac imaging.
AB - AIM: To investigate the potential dose reduction in cardiovascular computed
tomography angiography (CTA) in a swine model using 320-detector volume CT with
adaptive iterative dose reduction in three dimensions (AIDR 3D) reconstruction to
maintain a comparable image quality (IQ) to that reconstructed by a conventional
filtered back projection (FBP) algorithm. METHODS AND MATERIALS: Twenty-four mini
pigs underwent cardiovascular CTA four times at 80 KVp and different tube
currents. An automatic exposure control (AEC) system was used and the noise index
(NI) was predetermined at a standard deviation (SD) of 20 (Method A, routine
dose), and 25, 30, 35 (Methods B-D) to reduce the dose gradually. Method A was
reconstructed with FBP. Methods B-D were reconstructed using AIDR 3D (strong).
Two radiologists graded IQ by reviewing both cardiac and vascular structures
using a five-point scale. Quantitative IQ parameters of image noise, signal-to
noise ratio (SNR), and contrast-to-noise ratio (CNR) were measured and compared.
A receiver-operating characteristic (ROC) analysis was performed to select a
radiation reduction threshold and maintain comparable IQ (score >=4). RESULTS:
Method B and C had significantly lower image noise (p<0.0001), higher CNR and SNR
than Method A (p<0.0001). Compared with Method A (noise: 52.7+/-8.3; SNR: 11.7+/
2.8; and CNR: 9.9+/-2.7), Method C had comparable subjective IQ and higher
objective IQ (noise: 38.9+/-6.1; SNR: 16.3+/-3.5; and CNR: 13.5+/-3.3). The
results of the ROC curve showed that Method C (SD30) was the optimal dose
threshold to maintain a comparable subjective IQ (AUC: 0.85, 95% confidence
interval [CI]: 0.80-0.90). The effective dose (ED) of Method C was reduced by
49%, compared to that of Method A (0.33+/-0.08 mSv versus 0.65+/-0.15 mSv).
CONCLUSION: AIDR 3D at a strong level combined with an AEC system can potentially
reduce the ED by 49% and maintain an IQ comparable to that achieved using a
routine-dose and FBP reconstruction in mini-pig cardiovascular CTA.
PMID- 27180083
TI - Morphometric MRI changes in intracranial hypertension due to cerebral venous
thrombosis: a retrospective imaging study.
AB - AIM: To evaluate whether some magnetic resonance imaging (MRI) signs suggesting
idiopathic intracranial hypertension (IIH) could also be found in intracranial
hypertension (IH) due to cerebral venous thrombosis (CVT) and to assess their
possible contribution to diagnosing this disorder. MATERIALS AND METHODS: Thirty
one patients with IH due to CVT were evaluated prospectively using MRI. A group
of 33 age- and sex-matched healthy volunteers served as controls. The optic nerve
and sheath, pituitary gland, and ventricles were assessed. The prevalence of each
imaging feature was compared between the two groups. RESULTS: Optic nerve sheath
(ONS) dilatation and decreased pituitary gland height were the most valid signs
suggesting IH in CVT patients: sensitivity 70.97% and 87.1%, respectively;
specificity 96.97% and 72.73%, respectively; area under the curve 0.840 and
0.809, respectively. The MRI finding that showed the strongest association with
IH in CVT patients was ONS dilatation (odds ratio 78.5). CONCLUSIONS: The
combination of T1-weighted volumetric MRI and magnetic resonance venography could
be helpful for diagnosing IH with CVT. Abnormalities of the ONS and the pituitary
gland were reliable diagnostic signs for IH due to CVT.
PMID- 27180085
TI - Characterization of alpha-humulene synthases responsible for the production of
sesquiterpenes induced by methyl jasmonate in Aquilaria cell culture.
AB - The resinous portions of Aquilaria and Gyrinops plants are known as 'agarwood'
and have a distinctive fragrance. To examine the biosynthesis of these fragrant
compounds, we previously established cell cultures of Aquilaria crassna in which
the production of three sesquiterpenes (alpha-guaiene, alpha-humulene, and delta
guaiene) could be induced by methyl jasmonate (MJ), and showed that cloned delta
guaiene synthase from MJ-treated cells is involved in the synthesis of these
three compounds, although only very small amounts of alpha-humulene are produced.
In the present study, cDNAs encoding alpha-humulene synthases were also isolated.
Three putative sesquiterpene synthase clones (AcHS1-3) isolated from the MJ
treated cells had very similar amino acid sequences and shared 52 % identity with
delta-guaiene synthases. The recombinant enzymes catalyzed the formation of alpha
humulene as a major product. Expression of transcripts of the alpha-humulene
synthase and delta-guaiene synthase genes in cultured cells increased after
treatment with MJ. These results revealed that these alpha-humulene and delta
guaiene synthases are involved in the synthesis of three sesquiterpenes induced
by MJ treatment.
PMID- 27180086
TI - Methylmercury alters glutathione homeostasis by inhibiting glutaredoxin 1 and
enhancing glutathione biosynthesis in cultured human astrocytoma cells.
AB - Methylmercury (MeHg) is a neurotoxin that binds strongly to thiol residues on
protein and low molecular weight molecules like reduced glutathione (GSH). The
mechanism of its effects on GSH homeostasis particularly at environmentally
relevant low doses is not fully known. We hypothesized that exposure to MeHg
would lead to a depletion of reduced glutathione (GSH) and an accumulation of
glutathione disulfide (GSSG) leading to alterations in S-glutathionylation of
proteins. Our results showed exposure to low concentrations of MeHg (1MUM) did
not significantly alter GSH levels but increased GSSG levels by ~12-fold. This
effect was associated with a significant increase in total cellular glutathione
content and a decrease in GSH/GSSG. Immunoblot analyses revealed that proteins
involved in glutathione synthesis were upregulated accounting for the increase in
cellular glutathione. This was associated an increase in cellular Nrf2 protein
levels which is required to induce the expression of antioxidant genes in
response to cellular stress. Intriguingly, we noted that a key enzyme involved in
reversing protein S-glutathionylation and maintaining glutathione homeostasis,
glutaredoxin-1 (Grx1), was inhibited by ~50%. MeHg treatment also increased the S
glutathionylation of a high molecular weight protein. This observation is
consistent with the inhibition of Grx1 and elevated H2O2 production however;
contrary to our original hypothesis we found few S-glutathionylated proteins in
the astrocytoma cells. Collectively, MeHg affects multiple arms of glutathione
homeostasis ranging from pool management to protein S-glutathionylation and Grx1
activity.
PMID- 27180084
TI - Chemical constituents from Inonotus obliquus and their antitumor activities.
AB - Four new lanostane-type triterpenes (inonotusanes D-G, 1-4), including a
24,25,26,27-tetranorlanostane, together with 11 known compounds (5-15), including
7 lanostane derivatives, 2 steroids and 2 aromatic compounds, were isolated from
the sclerotia of Inonotus obliquus. Their structures were elucidated by 1D and 2D
NMR spectroscopy and HRMS. To our knowledge, 1 is the first 24,25,26,27
tetranorlanostane-type triterpenoid from fungus, and this is the first time that
31-member lanostane-type triterpenes, 5 and 6, have been isolated from the
sclerotia of I. obliquus instead of from its submerged culture. 7 and 8 are also
new isolates of this genus. Compounds 1, 8, 12 and 13 exhibited strong
cytotoxicity against the 4T1 (mouse breast cancer) cell line, with IC50 9.40,
7.79, 9.06 and 9.31 MUM, respectively. 8, 12 and 13 also exhibited strong
cytotoxicity against the the MCF-7 (human breast cancer) cell line, with IC50
8.35-9.01 MUM.
PMID- 27180087
TI - Mainstream cigarette smoke accelerates the progression of nonalcoholic
steatohepatitis by modulating Kupffer cell-mediated hepatocellular apoptosis in
adolescent mice.
AB - Cigarette smoking in adolescents is considered to be a major cause of preventable
morbidity and mortality. The purpose of this study is to investigate the role of
mainstream cigarette smoke (MSCS) on the progression of nonalcoholic
steatohepatitis in adolescents. Three-week-old C57BL/6 mice were fed either a
methionine and choline-deficient plus high fat (MCDHF) diet for 6 weeks. Each
group was exposed to MSCS (300, 600 ug/L) or fresh air for 2h per day during the
first 3 weeks of MCDHF diet feeding. MSCS increased MCDHF diet-induced NASH by
increasing serum ALT/AST levels, steatosis, inflammation, and fibrosis.
Furthermore, MSCS was associated with the degree of oxidative stress and
hepatocellular apoptosis in NASH mice, but not prominent in controls. In vitro,
cigarette smoke extract (CSE) activated Kupffer cells (KCs) to release
inflammatory cytokines and oxidative stress, which induced hepatocellular
apoptosis. In conclusion, MSCS exposure accelerates the progression and severity
of NASH by modulating KC-mediated hepatocellular apoptosis. Our results support
the regulation of CS in adolescents with steatohepatitis.
PMID- 27180089
TI - Reprogramming of nonfermentative metabolism by stress-responsive transcription
factors in the yeast Saccharomyces cerevisiae.
AB - The fundamental questions of how cells control growth and respond to stresses
have captivated scientists for years. Despite the complexity of these cellular
processes, we could approach this puzzle by asking our favorite model yeast,
Saccharomyces cerevisiae, how it makes a critical decision to either proliferate,
to rest in a quiescent state or to program itself to die. This review highlights
the essentiality of transcriptional factors in the reprogramming of gene
expression as a prime mechanism of cellular stress responses. A whelm of evidence
shows that transcriptional factors allow cells to acquire appropriate and unified
responses to the transmitted signals. They function to modulate pathway-specific
gene expression and organize transcriptomic responses to the altered
environments. This review is aimed to summarize current knowledge on the roles of
novel and well-known yeast transcription factors in the control of growth and
stress responses during glucose deprivation as a prototypical case study. The
scope includes stress sensing, transcription factors' identity, gene regulation
and proposed crosstalks between pathways, associated with stress responses. A
complex commander system of multiple stress-responsive transcription factors,
observed here and elsewhere, indicates that regulation of glucose
starvation/diauxic shift is a highly sophisticated and well-controlled process,
involving elaborative networks of different kinase/target proteins. Using S.
cerevisiae as a model, basic genetic research studies on gene identification have
once again proved to be essential in the comprehension of molecular basis of
cellular stress responses. Insights into this fundamental and highly conserved
phenomenon will endow important prospective impacts on biotechnological
applications and healthcare improvement.
PMID- 27180090
TI - TOX expression in cutaneous B-cell lymphomas.
AB - Thymocyte selection-associated high-mobility group box (TOX) is aberrantly
expressed in cutaneous T-cell lymphomas. In a recent study, TOX expression was
noted unexpectedly in the follicle center (germinal center) B-cells of reactive
lymph nodes and tonsils, used as external controls. To evaluate whether TOX is
also expressed by cutaneous B-cell lymphomas, TOX immunohistochemistry was
performed on skin biopsies of 44 patients with primary and secondary cutaneous B
cell proliferations. TOX was expressed not only in the reactive follicle center
cells of lymph nodes, tonsils, cutaneous lymphoid hyperplasia, and primary
cutaneous marginal zone lymphomas, but also by the neoplastic follicle center
cells of 16/17 patients with primary cutaneous follicle center lymphoma (PCFCL)
and 7/7 patients with cutaneous manifestations of systemic follicular lymphoma
(FL). Notably, TOX showed a very similar expression pattern as BCL6, a marker of
germinal center B-cells. In 4/10 patients with a BCL6(+) primary cutaneous
diffuse large B-cell lymphoma, leg type (PCDLBCL,LT) and in 2/2 patients with a
secondary cutaneous BCL6(+) diffuse large B-cell lymphoma (DLBCL), TOX was
expressed by more than 50 % of the neoplastic B-cells. In contrast, in 3/3 BCL6(
) PCDLBCL,LT, TOX was completely negative or weakly expressed by a minor
proportion of the neoplastic B-cells. In conclusion, TOX is expressed not only by
neoplastic T-cells, but also by both reactive and neoplastic follicle center
(germinal center) B-cells and a proportion of BCL6(+) PCDLBCL,LT and secondary
cutaneous BCL6(+) DLBCL. The functional significance of TOX expression in
reactive and neoplastic B-cells remains to be elucidated.
PMID- 27180088
TI - The SOMATICS collaborative: Introduction to a National Institute on Drug Abuse
cooperative study of pharmacotherapy for opioid treatment in criminal justice
settings.
AB - BACKGROUND: Among the nearly 750,000 inmates in U.S. jails, 12% report using
opioids regularly, 8% report use in the month prior to their offense, and 4%
report use at the time of their offense. Although ample evidence exists that
medications effectively treat Opiate Use Disorder (OUD) in the community, strong
evidence is lacking in jail settings. The general lack of medications for OUD in
jail settings may place persons suffering from OUD at high risk for relapse to
drug use and overdose following release from jail. METHODS: The three study sites
in this collaborative are pooling data for secondary analyses from three open
label randomized effectiveness trials comparing: (1) the initiation of extended
release naltrexone [XR-NTX] in Sites 1 and 2 and interim methadone in Site 3 with
enhanced treatment-as usual (ETAU); (2) the additional benefit of patient
navigation plus medications at Sites 2 and 3 vs. medication alone vs. ETAU.
Participants are adults with OUD incarcerated in jail and transitioning to the
community. RESULTS: We describe the rationale, specific aims, and designs of
three separate studies harmonized to enhance their scientific yield to
investigate how to best prevent jail inmates from relapsing to opioid use and
associated problems as they transition back to the community. CONCLUSIONS:
Conducting drug abuse research during incarceration is challenging and study
designs with data harmonization across different sites can increase the potential
value of research to develop effective treatments for individuals in jail with
OUD.
PMID- 27180092
TI - Total Mucosal Irradiation with Intensity-modulated Radiotherapy in Patients with
Head and Neck Carcinoma of Unknown Primary: A Pooled Analysis of Two Prospective
Studies.
AB - AIMS: To determine the clinical outcomes of an intensity-modulated radiotherapy
technique for total mucosal irradiation (TM-IMRT) in patients with head and neck
carcinoma of unknown primary (HNCUP). MATERIALS AND METHODS: A single-centre
prospective phase II trial design was used in two sequential studies to evaluate
TM-IMRT for HNCUP. Patients were investigated for primary tumour site using
examination under anaesthetic and biopsies, computed tomography +/- magnetic
resonance imaging (MRI) or 18-fluorodeoxyglucose positron emission tomography
computed tomography (PET-CT). Patients received IMRT to the potential primary
tumour sites and elective cervical nodes. Concomitant chemotherapy was used in
patients who received primary radiotherapy or those with nodal extracapsular
extension. RESULTS: Thirty-six patients with HNCUP were recruited; 72% male.
Twenty-five patients (69.4%) had p16-positive disease. Two year mucosal and local
nodal control rates were 97.1% (95% confidence interval 91.4-100) and 89.8% (78.4
100), respectively. One mucosal primary was detected 7.3 months after TM-IMRT and
three patients died from recurrent/metastatic squamous cell carcinoma of the head
and neck. Twelve patients (33%) developed grade 3 (Late Effects in Normal Tissue
Subjective, Objective, Management and Analytical; LENT-SOMA) dysphagia with a 1
year enteric tube feeding rate of 2.7%. The high-grade subjective xerostomia rate
(LENT-SOMA) at 24 months after IMRT was 15%. CONCLUSIONS: At a median follow-up
of 36.1 months, the use of TM-IMRT was associated with good local control.
Toxicity was comparable with previously reported TM-IMRT regimens encompassing
similar mucosal volumes.
PMID- 27180091
TI - Pseudoprogression in children, adolescents and young adults with non-brainstem
high grade glioma and diffuse intrinsic pontine glioma.
AB - Pseudoprogression (PsP) is a treatment-related phenomenon which hinders response
interpretation. Its prevalence and clinical impact have not been evaluated in
children/adolescents. We assessed the characteristics, risk factors and prognosis
of PsP in children/adolescents and young-adults diagnosed with non-brainstem high
grade gliomas (HGG) and diffuse intrinsic pontine gliomas (DIPG). Patients aged 1
21 years diagnosed with HGG or DIPG between 1995 and 2012 who had completed
radiotherapy were eligible. PsP was assessed according to study-specific criteria
and correlated with first-line treatment, molecular biomarkers and survival.
Ninety-one patients (47 HGG, 44 DIPG) were evaluable. Median age: 10 years
(range, 2-20). Eleven episodes of PsP were observed in 10 patients (4 HGG, 6
DIPG). Rates of PsP: 8.5 % (HGG); 13.6 % (DIPG). Two episodes of PsP were based
on clinical findings alone; nine episodes had concurrent radiological changes:
increased size of lesions (n = 5), new focal enhancement (n = 4). Temozolomide,
MGMT methylation or H3F3A mutations were not found to be associated with
increased occurrence of PsP. For HGG, 1-year progression-free survival (PFS) was
41.9 % no-PsP versus 100 % PsP (p = 0.041); differences in 1-year overall
survival (OS) were not significant. For DIPG, differences in 1-year PFS and OS
were not statistically significant. Hazard ratio (95 %CI) of PsP for OS was 0.551
(0.168-1.803; p = 0.325) in HGG; and 0.308 (0.107-0.882; p = 0.028) in DIPG. PsP
occurred in both pediatric HGG and DIPG patients at a comparable rate to adult
HGG. PsP was associated with improved 1-yr PFS in HGG patients. PsP had a
protective effect upon OS in DIPG patients.
PMID- 27180093
TI - Computational modeling and simulation of genital tubercle development.
AB - Hypospadias is a developmental defect of urethral tube closure that has a complex
etiology involving genetic and environmental factors, including anti-androgenic
and estrogenic disrupting chemicals; however, little is known about the
morphoregulatory consequences of androgen/estrogen balance during genital
tubercle (GT) development. Computer models that predictively model sexual
dimorphism of the GT may provide a useful resource to translate chemical-target
bipartite networks and their developmental consequences across the human-relevant
chemical universe. Here, we describe a multicellular agent-based model of genital
tubercle (GT) development that simulates urethrogenesis from the sexually
indifferent urethral plate stage to urethral tube closure. The prototype model,
constructed in CompuCell3D, recapitulates key aspects of GT morphogenesis
controlled by SHH, FGF10, and androgen pathways through modulation of stochastic
cell behaviors, including differential adhesion, motility, proliferation, and
apoptosis. Proper urethral tube closure in the model was shown to depend
quantitatively on SHH- and FGF10-induced effects on mesenchymal proliferation and
epithelial apoptosis-both ultimately linked to androgen signaling. In the absence
of androgen, GT development was feminized and with partial androgen deficiency,
the model resolved with incomplete urethral tube closure, thereby providing an in
silico platform for probabilistic prediction of hypospadias risk across
combinations of minor perturbations to the GT system at various stages of
embryonic development.
PMID- 27180094
TI - Strongyloides infections of humans and great apes in Dzanga-Sangha Protected
Areas, Central African Republic and in degraded forest fragments in Bulindi,
Uganda.
AB - DNA sequence analysis was carried out on Strongyloides spp. larvae obtained from
fecal samples of local humans, a wild western lowland gorilla (Gorilla gorilla
gorilla) and a central chimpanzee (Pan troglodytes troglodytes) inhabiting Dzanga
Sangha Protected Areas (DSPA), Central African Republic, and eastern chimpanzees
(Pan troglodytes schweinfurthii) living in degraded forest fragments on farmland
in Bulindi, Uganda. From humans, both Strongyloides fuelleborni and Strongyloides
stercoralis were recorded, though the former was predominant. Only S. fuelleborni
was present in the great apes in both areas. Phylogenetic analysis of partial
mtDNA cytochrome c oxidase subunit 1 gene (Cox1) and comparison of 18S rDNA hyper
variable region IV (HVR-IV) sequences implied that in DSPA S. fuelleborni
populations in humans differ from those in the nonhuman great apes.
PMID- 27180095
TI - Characterisation of the bacterial community structures in the intestine of
Lampetra morii.
AB - The metagenomic analysis and 16S rDNA sequencing method were used to investigate
the bacterial community in the intestines of Lampetra morii. The bacterial
community structure in L. morii intestine was relatively simple. Eight different
operational taxonomic units were observed. Chitinophagaceae_unclassified (26.5 %)
and Aeromonas spp. (69.6 %) were detected as dominant members at the genus level.
The non-dominant genera were as follows: Acinetobacter spp. (1.4 %), Candidatus
Bacilloplasma (2.5 %), Enterobacteria spp. (1.5 %), Shewanella spp. (0.04 %),
Vibrio spp. (0.09 %), and Yersinia spp. (1.8 %). The Shannon-Wiener (H) and
Simpson (1-D) indexes were 0.782339 and 0.5546, respectively. The rarefaction
curve representing the bacterial community richness and Shannon-Wiener curve
representing the bacterial community diversity reached asymptote, which indicated
that the sequence depth were sufficient to represent the majority of species
richness and bacterial community diversity. The number of Aeromonas in lamprey
intestine was two times higher after stimulation by lipopolysaccharide than PBS.
This study provides data for understanding the bacterial community harboured in
lamprey intestines and exploring potential key intestinal symbiotic bacteria
essential for the L. morii immune response.
PMID- 27180096
TI - Lysobacter cavernae sp. nov., a novel bacterium isolated from a cave sample.
AB - A Gram-staining negative, aerobic, rod-shaped bacterium, designated YIM
C01544(T), was isolated from a soil sample collected from Sigangli Cave, Yunnan
province, South-West China. The strain was able to grow over a range of
temperatures (4-30 degrees C), pH (6.0-10.0) and NaCl concentration (0-2 %,
w/v). Comparative 16S rRNA gene sequence analysis revealed that strain YIM
C01544(T) should be a member of the genus Lysobacter. The strain is closely
related to Lysobacter niastensis GH41-7(T) (97.6 %), Lysobacter soli DCY21(T)
(97.5 %), Lysobacter enzymogenes DSM 2043(T) (97.3 %), Lysobacter antibioticus
DSM 2044(T) (97.1 %) and Lysobacter panacisoli CJ29(T) (97.1 %). The genomic DNA
relatedness values (<47 %) as indicated by DNA-DNA hybridization studies were
below the threshold limit for characterization of new bacterial species. The
chemotaxonomic features of the new isolate include diphosphatidylglycerol,
phosphatidylglycerol, phosphatidylethanolamine, two unidentified phospholipids
and two unidentified polar lipids as its characteristic polar lipids and Q-8 as
the only quinone. The major fatty acids detected were iso-C15:0 and iso-C17:1
omega9c. The DNA G + C content of the strain was determined to be 64.9 mol %.
Based on the data from phenotypic, chemotaxonomic and molecular studies, strain
YIM C01544(T) merits recognition as novel species in the genus Lysobacter for
which the name Lysobacter cavernae sp. nov. is proposed. The type strain of
Lysobacter cavernae is YIM C01544(T) (= KCTC 42875(T) = DSM 101561(T) = CPCC
100816(T)).
PMID- 27180097
TI - Thalassospira australica sp. nov. isolated from sea water.
AB - Two Gram-negative, non-pigmented, motile bacteria were isolated from a sea water
sample collected at St. Kilda Beach, Port Philip Bay, Victoria, Australia. The
two strains were found to grow between 4 and 40 degrees C, pH 5-10 and tolerate
up to 10 % NaCl. A phylogenetic study, based on a 16S rRNA gene sequence analysis
indicated that strains NP 3b2(T) and H 94 belong to the genus Thalassospira. The
sequence similarity of the 16S rRNA gene between the two new isolates is 99.8 %
and between these strains and all validly named Thalassospira species was found
to be in the range of 95-99.4 %. The DNA-DNA relatedness between the two strains
was found to be 80.2 %, while relatedness with other validly named species of the
genus Thalassospira was between 53 and 65 %. The average nucleotide identity
(ANI) and the in silico genome-to-genome distance (GGD) between the two bacteria
and T. profundimaris WP0211(T), T. xiamenensis M-5(T), 'T. permensis' NBRC
106175(T) and T. lucentensis QMT2(T) was 76-82 % and 21-25 %, respectively. The
results of phylogenetic and genomic analysis, together with physiological and
biochemical properties, indicated that the two strains represent a new species of
the genus Thalassospira. Based on these data, a new species, Thalassospira
australica, is proposed with strain NP 3b2(T) (=KMM 6365(T) = JCM 31222(T)) as
the type strain.
PMID- 27180098
TI - Beet western yellows virus infects the carnivorous plant Nepenthes mirabilis.
AB - Although poleroviruses are known to infect a broad range of higher plants,
carnivorous plants have not yet been reported as hosts. Here, we describe the
first polerovirus naturally infecting the pitcher plant Nepenthes mirabilis. The
virus was identified through bioinformatic analysis of NGS transcriptome data.
The complete viral genome sequence was assembled from overlapping PCR fragments
and shown to share 91.1 % nucleotide sequence identity with the US isolate of
beet western yellows virus (BWYV). Further analysis of other N. mirabilis plants
revealed the presence of additional BWYV isolates differing by several
insertion/deletion mutations in ORF5.
PMID- 27180099
TI - Hepatitis C virus and its protein NS4B activate the cancer-related STAT3 pathway
via the endoplasmic reticulum overload response.
AB - Oxidative stress induces the activation of signal transducer and activator of
transcription 3 (STAT3), which plays an important role in hepatocellular
carcinoma (HCC). We have previously reported that hepatitis C virus (HCV) and its
protein NS4B induce the production of reactive oxygen species (ROS) via the
endoplasmic reticulum overload response (EOR) in human hepatocytes. Here, we
found that NS4B and HCV induce STAT3 activation and stimulate the expression of
cancer-related STAT3 target genes, including VEGF, c-myc, MMP-9 and Mcl-1, by EOR
in human hepatocytes. Moreover, the cancer-related STAT3 pathway activated by
NS4B and HCV via EOR were found to promote human hepatocyte viability. Taken
together, these findings revealed that HCV NS4B might contribute to HCC by
activating the EOR-mediated cancer-related STAT3 pathway, and this could provide
novel insights into HCV-induced HCC.
PMID- 27180101
TI - Dual-Component Intramedullary Implant Versus Kirschner Wire for Proximal
Interphalangeal Joint Fusion: A Randomized Controlled Clinical Trial.
AB - We undertook a multicenter, parallel treatment arm, randomized controlled trial
to compare the outcomes after surgery for the treatment of lesser digital
hammertoe using either a Kirschner wire or a 2-piece intramedullary, stainless
steel implant for fixation of the proximal interphalangeal joint. Our primary aim
was to compare the incidence of arthrodesis and complications, and our secondary
aim was to compare the subjective foot-related outcomes measured using the
Bristol Foot Score and the Foot Function Index, stratified by fixation group. We
hypothesized that the use of the dual-component implant would result in greater
patient satisfaction, a greater incidence of radiographic arthrodesis, and fewer
complications after hammertoe repair. The overall mean age of the participants
was 58.72 +/- 13.48 (range 18 to 84) years, their mean body mass index was 30.14
+/- 6.55 (range 20.7 to 46.98) kg/m(2), and no statistically significant
differences in the demographic variables were present between the treatment
groups at baseline or during the follow-up period. Of the 91 participants, 46
(50.55%) were randomly allocated to the Kirschner wire group and 45 (49.45%) to
the intramedullary implant group. No statistically significant differences were
observed between the 2 fixation groups in the incidence of complications;
however, the 2-piece intramedullary implant group was associated with a greater
mean Bristol Foot Score and Foot Function Index score and a greater incidence of
fusion.
PMID- 27180102
TI - Previous induced abortion among young women seeking abortion-related care in
Kenya: a cross-sectional analysis.
AB - BACKGROUND: Unsafe abortion is a leading cause of death among young women aged 10
24 years in sub-Saharan Africa. Although having multiple induced abortions may
exacerbate the risk for poor health outcomes, there has been minimal research on
young women in this region who have multiple induced abortions. The objective of
this study was therefore to assess the prevalence and correlates of reporting a
previous induced abortion among young females aged 12-24 years seeking abortion
related care in Kenya. METHODS: We used data on 1,378 young women aged 12-24
years who presented for abortion-related care in 246 health facilities in a
nationwide survey conducted in 2012. Socio-demographic characteristics,
reproductive and clinical histories, and physical examination assessment data
were collected from women during a one-month data collection period using an
abortion case capture form. RESULTS: Nine percent (n = 98) of young women
reported a previous induced abortion prior to the index pregnancy for which they
were receiving care. Statistically significant differences by previous history of
induced abortion were observed for area of residence, religion and occupation at
bivariate level. Urban dwellers and unemployed/other young women were more likely
to report a previous induced abortion. A greater proportion of young women
reporting a previous induced abortion stated that they were using a contraceptive
method at the time of the index pregnancy (47 %) compared with those reporting no
previous induced abortion (23 %). Not surprisingly, a greater proportion of young
women reporting a previous induced abortion (82 %) reported their index pregnancy
as unintended (not wanted at all or mistimed) compared with women reporting no
previous induced abortion (64 %). CONCLUSIONS: Our study results show that about
one in every ten young women seeking abortion-related care in Kenya reports a
previous induced abortion. Comprehensive post-abortion care services targeting
young women are needed. In particular, post-abortion care service providers must
ensure that young clients receive contraceptive counseling and effective
pregnancy prevention methods before discharge from the health care facility to
prevent unintended pregnancies that may result in subsequent induced abortions.
PMID- 27180103
TI - beta-glucan attenuated scopolamine induced cognitive impairment via hippocampal
acetylcholinesterase inhibition in rats.
AB - beta-glucan (polysaccharide) rich diet has been reported to enhance cognition in
humans but the mechanism remained elusive. Keeping this in mind, the present
study was designed to investigate the interaction of beta-glucan with central
cholinergic system. Briefly, in-silico analysis revealed promising interactions
of beta-glucan with the catalytic residues of acetylcholinesterase (AChE) enzyme.
In line with this outcome, the in vitro assay (Ellman's method) also exhibited
inhibition of AChE by beta-glucan (IC50=0.68+/-0.08MUg/ul). Furthermore, the in
vivo study (Morris water maze) showed significant dose dependent reversal of the
amnesic effect of scopolamine (2mg/kg i.p.) by beta-glucan treatment (5, 25, 50
and 100mg/kg, i.p.). Finally, the hippocampi of aforementioned treated animals
also revealed dose dependent inhibition of AChE enzyme. Hence, it can be deduced
that beta-glucan possesses potential to enhance central cholinergic tone via
inhibiting AChE enzyme. In conclusion, the present study provides mechanistic
insight to the cognition enhancing potential of beta-glucan. Keeping in mind its
dietary use and abundance in nature, it can be considered as economic therapeutic
option against cognitive ailments associated with decline in cholinergic
neurotransmission.
PMID- 27180104
TI - A novel method to promote behavioral improvement and enhance mitochondrial
function following an embolic stroke.
AB - Tissue plasminogen activator (tPA) is the only FDA-approved treatment for stroke;
tPA increases cerebral reperfusion, blood flow and improved behavior. Novel
transcranial laser therapy (TLT) also enhances cerebral blood flow and activates
mitochondrial function. Using the rabbit small clot embolic stroke model (RSCEM),
we studied the effects of continuous wave TLT (7.5mW/cm(2)) alone or in
combination with standardized intravenous (IV) tPA (3.3mg/kg) applied 1h post
embolization on 3 endpoints: 1) behavioral function measured 2 days [effective
stroke dose (P50 in mg) producing neurological deficits in 50% of embolized
rabbits], 2) intracerebral hemorrhage (ICH) rate, and 3) cortical adenosine-5'
triphosphate (ATP) content was measured 6h following embolization. TLT and tPA
significantly (p<0.05) increased P50 values by 95% and 56% (p<0.05), respectively
over control. TLT-tPA increased P50 by 136% over control (p<0.05). Embolization
reduced cortical ATP content by 39%; decreases that were attenuated by either TLT
or tPA treatment (p<0.05). TLT-tPA further enhanced cortical ATP levels 22% above
that measured in naive control. TLT and tPA both effectively and safely, without
affecting ICH rate, improved behavioral outcome in embolized rabbits; and there
was a trend (p>0.05) for the TLT-tPA combination to further increase P50. TLT and
tPA both attenuated stroke-induced ATP deficits, and the combination of tPA and
TLT produced an additive effect on ATP levels. This study demonstrates that the
combination of TLT-tPA enhances ATP production, and suggests that tPA-induced
reperfusion in combination with TLT neuroprotection therapy may optimally protect
viable cells in the cortex measured using ATP levels as a marker.
PMID- 27180105
TI - Long noncoding RNAs: Novel molecules in cardiovascular biology, disease and
regeneration.
AB - Remarkable breakthroughs made in genomic technologies have facilitated the
discovery of thousands of novel transcripts that do not template protein
synthesis. Numerous RNAs termed as long noncoding RNAs (lncRNAs) generated from
this pervasive transcription function vividly in gene regulatory networks and a
variety of biological and cellular processes. Here, we make a brief description
of the known and putative functions of lncRNAs in cardiovascular biology and
disease. The association between lncRNAs and stem cells mediated cardiomyocytes
differentiation and neovascularization is discussed then. It will provide a new
clue for further studies on these novel molecules in cardiovascular disease and
bring bright prospects for their future applications in cardiac regenerative
medicine.
PMID- 27180106
TI - Anxiolytic-like effects of leptin on fixed interval responding.
AB - Leptin has been shown to affect energy homeostasis, learning and memory, and some
models of anxiolytic action. However, leptin has produced inconsistent results in
previous non-operant behavioural tests of anxiety. Here, we test the anxiolytic
potential of leptin in an operant paradigm that has produced positive results
across all classes of anxiolytic so far tested. Rats were tested in the Fixed
Interval 60 Seconds (FI60) task following administration of 0/0.5/1.0mg/kg (i.p.)
leptin or an active anxiolytic control of 5mg/kg (i.p.) chlordiazepoxide (CDP).
By the end of the 14days of testing in the FI60 task, 0.5mg/kg leptin released
suppressed responding in a manner similar to CDP, and 1.0mg/kg leptin produced a
relative depression in responding, a similar outcome pattern to previously tested
5HT-agonist anxiolytics. This suggests that leptin behaves similarly to
established serotonergic anxiolytics such as buspirone and fluoxetine; with the
delay in development of effect during testing, and the inverted-U dose-response
curve explaining the inconsistent behaviour of leptin in behavioural tests of
anxiety, as this type of pattern is common to serotonergic anxiolytics.
PMID- 27180107
TI - Racial differences in the relationship between rate of nicotine metabolism and
nicotine intake from cigarette smoking.
AB - Rate of nicotine metabolism has been identified as an important factor
influencing nicotine intake and can be estimated using the nicotine metabolite
ratio (NMR), a validated biomarker of CYP2A6 enzyme activity. Individuals who
metabolize nicotine faster (higher NMR) may alter their smoking behavior to
titrate their nicotine intake in order to maintain similar levels of nicotine in
the body compared to slower nicotine metabolizers. There are known racial
differences in the rate of nicotine metabolism with African Americans on average
having a slower rate of nicotine metabolism compared to Whites. The goal of this
study was to determine if there are racial differences in the relationship
between rate of nicotine metabolism and measures of nicotine intake assessed
using multiple biomarkers of nicotine and tobacco smoke exposure. Using secondary
analyses of the screening data collected in a recently completed clinical trial,
treatment-seeking African American and White daily smokers (10 or more cigarettes
per day) were grouped into NMR quartiles so that the races could be compared at
the same NMR, even though the distribution of NMR within race differed. The
results indicated that rate of nicotine metabolism was a more important factor
influencing nicotine intake in White smokers. Specifically, Whites were more
likely to titrate their nicotine intake based on the rate at which they
metabolize nicotine. However, this relationship was not found in African
Americans. Overall there was a greater step-down, linear type relationship
between NMR groups and cotinine or cotinine/cigarette in African Americans, which
is consistent with the idea that differences in blood cotinine levels between the
African American NMR groups were primarily due to differences in CYP2A6 enzyme
activity without titration of nicotine intake among faster nicotine metabolizers.
PMID- 27180108
TI - Use of arbuscular mycorrhizal fungi to improve the drought tolerance of Cupressus
atlantica G.
AB - In this study, we investigated whether indigenous arbuscular mycorrhizal (AM)
fungi could improve the tolerance of Cupressus atlantica against water deficit.
We tested a gradient of watering regime spanning from 90% to 25% of soil
retention capacity of water on mycorhized and non-mycorhized seedlings in pot
cultures with sterilized and non-sterilized soils. Our result showed a positive
impact of AM fungi on shoot height, stem diameter and biomass as well as on the
growth rate. We also observed that inoculation with AM fungi significantly
improved uptake of minerals by C. atlantica in both sterilized and non-sterilized
soils independently of water regimes. We found that mycorhized plants maintained
higher relative water content (RWC) and water potential compared with non
mycorhized plants that were subjected to drought-stress regimes (50% and 25% of
soil retention capacity). The contents of proline and of soluble sugars showed
that their concentrations decreased in non-mycorhized plants subjected to DS.
Superoxide dismutase (SOD) and catalase (CAT) activities also decreased in non
mycorhized plants submitted to DS compared to mycorhized plants. The same pattern
was observed by measuring peroxidase (POD) enzyme activity. The results
demonstrated that AM fungal inoculation promoted the growth and tolerance of C.
atlantica against DS in pot cultures. Therefore, mycorrhizal inoculation could be
a potential solution for the conservation and reestablishment of C. atlantica in
its natural ecosystem.
PMID- 27180109
TI - Non-functional genes repaired at the RNA level.
AB - Genomes and genes continuously evolve. Gene sequences undergo substitutions,
deletions or nucleotide insertions; mobile genetic elements invade genomes and
interleave in genes; chromosomes break, even within genes, and pieces reseal in
reshuffled order. To maintain functional gene products and assure an organism's
survival, two principal strategies are used - either repair of the gene itself or
of its product. I will introduce common types of gene aberrations and how gene
function is restored secondarily, and then focus on systematically fragmented
genes found in a poorly studied protist group, the diplonemids. Expression of
their broken genes involves restitching of pieces at the RNA-level, and
substantial RNA editing, to compensate for point mutations. I will conclude with
thoughts on how such a grotesquely unorthodox system may have evolved, and why
this group of organisms persists and thrives since tens of millions of years.
PMID- 27180110
TI - Meiotic recombination mechanisms.
AB - Meiosis is a specialized cell division at the origin of the haploid cells that
eventually develop into the gametes. It therefore lies at the heart of Mendelian
heredity. Recombination and redistribution of the homologous chromosomes arising
during meiosis constitute an important source of genetic diversity, conferring to
meiosis a particularly important place in the evolution and the diversification
of the species. Our understanding of the molecular mechanisms governing meiotic
recombination has considerably progressed these last decades, benefiting from
complementary approaches led on various model species. An overview of these
mechanisms will be provided as well as a discussion on the implications of these
recent discoveries.
PMID- 27180100
TI - Biology-inspired microphysiological system approaches to solve the prediction
dilemma of substance testing.
AB - The recent advent of microphysiological systems - microfluidic biomimetic devices
that aspire to emulate the biology of human tissues, organs and circulation in
vitro - is envisaged to enable a global paradigm shift in drug development. An
extraordinary US governmental initiative and various dedicated research programs
in Europe and Asia have led recently to the first cutting-edge achievements of
human single-organ and multi-organ engineering based on microphysiological
systems. The expectation is that test systems established on this basis would
model various disease stages, and predict toxicity, immunogenicity, ADME profiles
and treatment efficacy prior to clinical testing. Consequently, this technology
could significantly affect the way drug substances are developed in the future.
Furthermore, microphysiological system-based assays may revolutionize our current
global programs of prioritization of hazard characterization for any new
substances to be used, for example, in agriculture, food, ecosystems or
cosmetics, thus, replacing laboratory animal models used currently. Thirty-six
experts from academia, industry and regulatory bodies present here the results of
an intensive workshop (held in June 2015, Berlin, Germany). They review the
status quo of microphysiological systems available today against industry needs,
and assess the broad variety of approaches with fit-for-purpose potential in the
drug development cycle. Feasible technical solutions to reach the next levels of
human biology in vitro are proposed. Furthermore, key organ-on-a-chip case
studies, as well as various national and international programs are highlighted.
Finally, a roadmap into the future is outlined, to allow for more predictive and
regulatory-accepted substance testing on a global scale.
PMID- 27180111
TI - MCPIP1 RNase Is Aberrantly Distributed in Psoriatic Epidermis and Rapidly Induced
by IL-17A.
AB - ZC3H12A, which encodes the RNase monocyte chemotactic protein-induced protein 1
(MCPIP1), is up-regulated in psoriatic skin and reduced to normal levels after
clinical treatments with anti-IL-17A/IL-17R neutralizing antibodies. In IL-17A
stimulated keratinocytes, MCPIP1 is rapidly increased at the transcript and
protein levels. Also, IL-17A was found to be the main inducer of ZC3H12A
expression in keratinocytes treated with supernatants derived from a
Streptococcus pyogenes-activated psoriatic ex vivo model based on the co-culture
of psoriatic cutaneous lymphocyte-associated antigen (CLA(+)) T cells and
lesional epidermal cells. Moreover, MCPIP1 was aberrantly distributed in the
suprabasal layers of psoriatic epidermis. In psoriatic samples, IL-17A-stimulated
epidermal cell suspensions showed an increased MCPIP1 expression, especially in
the mid-differentiated cellular compartment. The knockdown of ZC3H12A showed that
this RNase participates in the regulation of the mRNAs present in suprabasal
differentiated keratinocytes. Furthermore, JAK/STAT3 inhibition prevented the IL
17A-dependent induction of MCPIP1. In the mouse model of imiquimod-induced
psoriasis, Zc3h12a expression was abrogated in Il17ra(-/-) mice. These results
support the notion that IL-17A-mediated induction of MCPIP1 is involved in the
regulation of local altered gene expression in suprabasal epidermal layers in
psoriasis.
PMID- 27180113
TI - The importance of the microbiome in epidemiologic research.
AB - PURPOSE: The human microbiome is the community of microorganisms that live on and
in the body. Currently, most applications of microbiome analysis derive from the
perspective of discovery and characterization. The completion of the NIH Human
Microbiome and the European MetaHIT projects will change the focus to studying
the role of the microbiome on human health and disease. METHODS: Recent
developments in technology and bioinformatics have afforded an opportunity to
explore more fully the importance of community structure, detection of pathogens,
and community interactions. The current state of microbiome research in terms of
effect size, power calculations, how stratification on community classes can
increase this power, and the importance of study design and power in
reproducibility is reviewed. RESULTS: Work is needed to characterize microbiome
development, ecological stability, and variation. Development and implementation
of variance stabilization techniques should replace rarefaction of data, which
reduces study power, in future research. CONCLUSIONS: Epidemiologists have most
of the tools necessary to explore the relationship between the microbiome and
human health. Further development of tools for large-scale multivariate data sets
will be helpful. Applying the methods of epidemiology will be critical in
translating research results to preventive interventions and population health.
PMID- 27180112
TI - Intricacies of assessing the human microbiome in epidemiologic studies.
AB - PURPOSE: In the past decade, remarkable relationships have been documented
between dysbiosis of the human microbiota and adverse health outcomes. This
review seeks to highlight some of the challenges and pitfalls that may be
encountered during all stages of microbiota research, from study design and
sample collection, to nucleic acid extraction and sequencing, and bioinformatic
and statistical analysis. METHODS: Literature focused on human microbiota
research was reviewed and summarized. RESULTS: Although most studies have focused
on surveying the composition of the microbiota, fewer have explored the causal
roles of these bacteria, archaea, viruses, and fungi in affecting disease states.
Microbiome research is in its relatively early years and many aspects remain
challenging, including the complexity and personalized aspects of microbial
communities, the influence of exogenous and often confounding factors, the need
to apply fundamental principles of ecology and epidemiology, the necessity for
new software tools, and the rapidly evolving genomic, technological, and
analytical landscapes. CONCLUSIONS: Incorporating human microbiome research in
large epidemiologic studies will soon help us unravel the intricate relationships
that we have with our microbial partners and provide interventional opportunities
to improve human health.
PMID- 27180115
TI - Epidemiology and the microbiome.
PMID- 27180114
TI - Self-reported herpes zoster, pain, and health care seeking in the Health and
Retirement Study: implications for interpretation of health care-based studies.
AB - PURPOSE: To describe self-reported herpes zoster (HZ) and explore factors that
could impact interpretation of results from health care-based HZ studies.
METHODS: We performed logistic regression using data from the 2008 Health and
Retirement Study (HRS) to evaluate risk factors for having a history of HZ and
experiencing severe HZ pain, and predictors for seeking health care for HZ.
RESULTS: Among 14,564 respondents aged >=55 years, women were more likely than
men to report a history of HZ (15.7% vs. 11.6%, P < .01). Blacks (6.4% vs. 14.7%
in whites, P < .01) and respondents with less than a high school diploma (12.2%
vs.14.2% in respondents with at least a high school diploma, P = .01) were less
likely to report a history of HZ. Women, blacks, Hispanics, and those with less
than a high school diploma were more likely to report severe HZ pain. Most
(91.1%) respondents sought health care for HZ; Hispanics (64.2% vs. 92.1% in
whites, P < .001) and those with recurrent HZ were less likely to seek health
care for HZ, whereas those with severe pain were more likely (95.4% vs. 87.9% in
those without severe pain, P < .01). CONCLUSIONS: HRS provides a new platform for
studies of HZ, one which allowed us to uncover issues that warrant particular
attention when interpreting results of health care-based studies.
PMID- 27180116
TI - Endocrinopathies, Bone Health, and Insulin Resistance in Patients with Fanconi
Anemia after Hematopoietic Cell Transplantation.
AB - A number of endocrinopathies have been described after hematopoietic cell
transplantation (HCT), but data are limited in patients with Fanconi anemia (FA).
We report several endocrine-based disorders in a cohort of 44 patients with FA
after HCT compared with both 74 patients who received HCT for hematologic
malignancies and with 275 healthy controls. Endocrinopathies assessed included
hypothyroidism, hypogonadism, short stature, dyslipidemia, insulin resistance,
abnormalities in body composition, and bone health. Most (86%) patients with FA
had at least 1 endocrinopathy, with 11% having 3 or more. Hypothyroidism was seen
in 57%, hypogonadism in 27%, short stature in 50%, and reduced total body and
lumbar spine bone mineral density (BMD) (height adjusted Z-score < -1) in 57% and
21%, respectively. Vitamin D deficiency was seen in 71%. Short stature was
associated with younger age at HCT and gonadal failure was associated with older
age at HCT. Insulin resistance was associated with increased percent fat mass and
increased android/gynoid ratio by dual energy X-ray absorptiometry.
Hypothyroidism, short stature, and reduced total body BMD were more prevalent in
patients with FA compared with patients with hematologic malignancies. We
recommend an assessment before transplantation and close follow-up afterwards to
ensure proper clinical management. Future studies should continue to explore the
impact of HCT on endocrinopathies in FA patients.
PMID- 27180117
TI - Lack of association of plasma gamma prime (gamma') fibrinogen with incident
cardiovascular disease.
AB - INTRODUCTION: The association of gamma prime (gamma') fibrinogen; a fibrinogen
gamma chain variant generated via alternative mRNA processing, with
cardiovascular disease (CVD) remains equivocal. We prospectively examine the
association of plasma gamma' fibrinogen with the incidence of multiple
cardiovascular disease (CVD) endpoints, independent of established CVD risk
factors and total fibrinogen. MATERIALS AND METHODS: We measured plasma gamma'
fibrinogen on plasma samples collected in 1992-1993 from adults >=65years
(n=3219) enrolled in the Cardiovascular Health Study, who were followed through
2013 for incident CVD events. RESULTS AND CONCLUSIONS: In multivariable Cox
models adjusted for traditional CVD risk factors and total fibrinogen, the hazard
ratio per 1 standard deviation (10.7mg/dl) increment of gamma' fibrinogen was
1.02 (95%CI: 0.95-1.10) for coronary heart disease; 0.88 (0.77-1.00) for ischemic
stroke; 1.07 (0.87-1.32) for peripheral artery disease; 1.00 (0.92-1.08) for
heart failure and 1.01 (0.92-1.10) for CVD mortality. Likewise, we failed to show
a statistically significant association of gamma'/total fibrinogen ratio with any
CVD endpoint. These results suggest that among the elderly, gamma' fibrinogen
does not add much to CVD prediction beyond traditional risk factors and total
fibrinogen level.
PMID- 27180119
TI - Need for State Licensure.
PMID- 27180118
TI - Evaluation of the technical performance of three different commercial digital
breast tomosynthesis systems in the clinical environment.
AB - The aim of this work was to research and evaluate the performance of three
different digital breast tomosynthesis (DBT) systems in the clinical environment
(Siemens Mammomat Inspiration, Hologic Selenia Dimensions, and Fujifilm Amulet
Innovality). The characterization included the study of the detector, the
automatic exposure control, and the resolution of DBT projections and
reconstructed planes. The modulation transfer function (MTF) of the DBT
projections was measured with a 1mm thick steel edge, showing a strong anisotropy
(30-40% lower MTF0.5 frequencies in the tube travel direction). The in-plane
MTF0.5, measured with a 25MUm tungsten wire, ranges from 1.3 to 1.8lp/mm in the
tube-travel direction and between 2.4 and 3.7lp/mm in the chest wall-nipple. In
the latter direction, the MTF peak shift is more emphasized for large angular
range systems (2.0 versus 1.0lp/mm). In-depth resolution of the planes, via the
full width at half maximum (FWHM) from the point spread function of a 25MUm
tungsten wire, is not only influenced by angular range and yields 1.3-4.6mm among
systems. The artifact spread function from 1mm diameter tungsten beads depends
mainly on angular range, yielding two tendencies whether large (FWHM is 4.5mm) or
small (FWHM is 10mm) angular range is used. DBT delivers per scan a mean
glandular dose between 1.4 and 2.7mGy for a 45mm thick polymethyl methacrylate
(PMMA) block. In conclusion, we have identified and analysed specific metrics
that can be used for quality assurance of DBT systems.
PMID- 27180120
TI - Effect of age on expression of spermatogonial markers in bovine testis and
isolated cells.
AB - Spermatogonial stem cells (SSC) are the most undifferentiated germ cell present
in adult male testes and, it is responsible to maintain the spermatogenesis. Age
has a negative effect over stem cell, but the aging effect on SSC is not
elucidated for bovine. The present study aim to evaluate the effect of age on the
expression of undifferentiated spermatogonial markers in testis and in enriched
testicular cells from prepubertal calves and adult bulls. In this matter,
testicular parenchyma from calves (3-5 months) (n=5) and bulls with 3 years of
age (n=5) were minced and, isolated cells were obtained after two enzymatic
digestions. Differential platting was performed for two hours onto BSA coated
dish. Cell viability was assessed by Trypan Blue solution exclusion method and
testicular cells enriched for SSC was evaluated by expression of specific
molecular markers by qRT-PCR (POU5F1, GDNF, CXCR4, UCHL1, ST3GAL, SELP, ICAM1 and
ITGA6) and flow cytometry (GFRA1, CXCR4 and ITGA6). CXCR4 and UCHL1 expression
was evaluated in fixated testes by immunohistochemistry. We observed that age
just affected the expression of selective genes [SELP (Fold Change=5.61;
p=0.0023) and UCHL1 (Fold Change=4.98; p=0.0127)]. By flow cytometry, age
affected only the proportion of ITGA6+ cells (P<0.001), which was higher in
prepubertal calves when compared to adult bulls. In situ, we observed an effect
of age on the number of UCHL1+ (p=0.0006) and CXCR4+ (p=0.0139) cells per
seminiferous tubule. At conclusion, age affects gene expression and the
population of cells expressing specific spermatogonial markers in the bovine
testis.
PMID- 27180121
TI - Autophagy proteins are modulated in the liver and hypothalamus of the offspring
of mice with diet-induced obesity.
AB - Nutritional excess during pregnancy and lactation has a negative impact on
offspring phenotype. In adulthood, obesity and lipid overload represent factors
that compromise autophagy, a process of lysosomal degradation. Despite knowledge
of the impact of obesity on autophagy, changes in offspring of obese dams have
yet to be investigated. In this study, we tested the hypothesis that maternal
obesity induced by a high fat diet (HFD) modulates autophagy proteins in the
hypothalamus and liver of the offspring of mice. At birth (d0), offspring of
obese dams (HFD-O) showed an increase in p62 protein and a decrease in LC3-II,
but only in the liver. After weaning (d18), the offspring of HFD-O animals showed
impairment of autophagy markers in both tissues compared to control offspring (SC
O). Between day 18 and day 42, both groups received a control diet and we
observed that the protein content of p62 remained increased in the livers of the
HFD-O offspring. However, after 82days, we did not find any modulation in
offspring autophagy proteins. On the other hand, when the offspring of obese dams
that received an HFD from day 42 until day 82 (OH-H) were compared with the
offspring from the controls that only received an HFD in adulthood (OC-H), we saw
impairment in autophagy proteins in both tissues. In conclusion, this study
describes that HFD-O offspring showed early impairment of autophagy proteins.
Although the molecular mechanisms have not been explored, it is possible that
changes in autophagy markers could be associated with metabolic disturbances of
offspring.
PMID- 27180122
TI - Predictive Validity of the American College of Cardiology/American Heart
Association Pooled Cohort Equations in Predicting All-Cause and Cardiovascular
Disease-Specific Mortality in a National Prospective Cohort Study of Adults in
the United States.
AB - The predictive validity of the Pooled Cohort risk (PCR) equations for
cardiovascular disease (CVD)-specific and all-cause mortality among a national
sample of US adults has yet to be evaluated, which was this study's purpose. Data
from the 1999-2010 National Health and Nutrition Examination Survey were used,
with participants followed up through December 31, 2011, to ascertain mortality
status via the National Death Index probabilistic algorithm. The analyzed sample
included 11,171 CVD-free adults (40-79 years of age). The 10-year risk of a first
atherosclerotic cardiovascular disease (ASCVD) event was determined from the PCR
equations. For the entire sample encompassing 849,202 person-months, we found an
incidence rate of 1.00 (95% CI, 0.93-1.07) all-cause deaths per 1000 person
months and an incidence rate of 0.15 (95% CI, 0.12-0.17) CVD-specific deaths per
1000 person-months. The unweighted median follow-up duration was 72 months. For
nearly all analyses (unadjusted and adjusted models with ASCVD expressed as a
continuous variable as well as dichotomized at 7.5% and 20%), the ASCVD risk
score was significantly associated with all-cause and CVD-specific mortality
(P<.05). In the adjusted model, the increased all-cause mortality risk ranged
from 47% to 77% based on an ASCVD risk of 20% or higher and 7.5% or higher,
respectively. Those with an ASCVD score of 7.5% or higher had a 3-fold increased
risk of CVD-specific mortality. The 10-year predicted risk of a first ASCVD event
via the PCR equations was associated with all-cause and CVD-specific mortality
among those free of CVD at baseline. In this American adult sample, the PCR
equations provide evidence of predictive validity.
PMID- 27180123
TI - The Pooled Cohort Equations for Predicting Risk of Myocardial Infarction and
Stroke: Validated in Representative Natural History Populations.
PMID- 27180124
TI - Comparative study of late rectal toxicity in prostate cancer patients treated
with low-dose-rate brachytherapy: With or without supplemental external beam
radiotherapy.
AB - PURPOSE: Supplemental external beam radiation therapy (sEBRT) is often prescribed
in men undergoing low-dose-rate (LDR) brachytherapy. A population of patients was
analyzed to assess the effect of sEBRT on late rectal toxicity. It was
hypothesized that sEBRT + LDR would be associated with a higher risk of late
rectal toxicity. METHODS AND MATERIALS: This retrospective cohort study examined
LDR brachytherapy patients, treated with or without sEBRT, with a minimum of 5
year followup. Longitudinal assessments were evaluated using the computerized
patient record system. The Kaplan-Meier method was used for analysis. RESULTS:
Median followup was 7.5 years for 245 patients from 2004 to 2007. sEBRT was
administered to 33.5%. Followup beyond 5 years was available for 89%. Overall
rates of Grade >=2 and >=3 rectal toxicities were 6.9% and 2.9%, respectively.
The risk of Grade >=2 rectal toxicity was 2.8-fold higher for patients receiving
sEBRT (95% confidence interval: 1.1-7.2; p = 0.02). The risk of Grade >=3 rectal
toxicity was 11.9-fold higher for patients who received sEBRT (1.5-97.4, 95%
confidence interval; p = 0.003). Six of seven patients with a Grade >=3 rectal
toxicity received sEBRT, including one who required an abdominoperineal
resection. Median post-LDR D90, V150, V200, and R100 values were 103.3%, 59.4%,
30.1%, and 0.5 cc. CONCLUSIONS: In a cohort of LDR brachytherapy patients with
high rates of followup, sEBRT + LDR was associated with significantly higher risk
of Grade >=2 and >=3 late rectal toxicity. This analysis supports previous
findings and maintains concern about the supplemental use of external beam
radiation therapy with LDR brachytherapy while its benefit for tumor control has
yet to be prospectively validated.
PMID- 27180125
TI - Radiation safety of receptive anal intercourse with prostate cancer patients
treated with low-dose-rate brachytherapy.
AB - PURPOSE: Prostate low-dose-rate (LDR) brachytherapy involves implantation of
radioactive seeds permanently into the prostate gland. During receptive anal
intercourse, the penis of the partner may come in close proximity to the
implanted prostate gland. We estimate the potential intrarectal dose rates and
suggest guidance on radiation precautions. METHODS AND MATERIALS: One hundred two
patients were included in the study. After implantation, with patients under
anesthesia in the dorsal lithotomy position, a new set of ultrasound (US) images
and a CT scan were obtained. The images were fused, radioactive seeds and US
probe locations were determined on the CT, and prostate, bladder, and rectal
contours were drawn on the US. Dose rates (cGy/h) were calculated for the portion
of the US probe spanning the prostate for several dose-volume histogram
parameters. RESULTS: Twenty patients were treated with (125)I and 82 patients
with (103)Pd. Average dose rates at Day 0 to the portion of the US probe spanning
the prostate were 2.1 +/- 1.3 cGy/h and 2.5 +/- 0.8 cGy/h for patients treated
with (125)I and (103)Pd, respectively. After 60 days, average calculated probe
dose drops to 1.0 +/- 0.6 cGy/h and 0.2 +/- 0.1 cGy/h for (125)I and (103)Pd,
respectively. CONCLUSIONS: During the immediate weeks after prostate seed
implant, the estimated intrarectal dose rates are higher in (103)Pd compared to
(125)I. As (103)Pd decays faster than (125)I, 2 months after the implant,
radiation exposure from (103)Pd becomes lower than (125)I. Receptive anal
intercourse time should be kept as low as possible during 2 and 6 months after
low-dose-rate brachytherapy of the prostate with (103)Pd and (125)I,
respectively.
PMID- 27180126
TI - Intraoperative ultrasound-based planning can effectively replace postoperative CT
based planning for high-dose-rate brachytherapy for prostate cancer.
AB - PURPOSE: Ultrasound (US)-based planning for high-dose-rate brachytherapy allows
prostate patients to be implanted, imaged, planned, and treated without changing
position. This is advantageous with respect to accuracy and efficiency of
treatment but is only valuable if plan quality relative to CT is maintained. This
study evaluates any dosimetric impact of changing from CT- to US-based planning.
METHODS AND MATERIALS: Thirty patients each were randomly selected from CT
planned and US-planned cohorts. All received single fraction high-dose-rate
brachytherapy (15 Gy) followed by 37.5 Gy in 15 fractions external beam radiation
therapy. Prostate V90, V100, V150, V200, D90, and the dose homogeneity index were
compared. For the rectum, Dmax, D0.5cc, D1cc, V10, V50, and V80 were examined.
For the urethra, only Dmax and D10 were considered. RESULTS: US plans had smaller
200% hot spots, although the dose homogeneity index for both was 0.7 +/- 0.1. On
average, plans using either modality satisfied planning goals. Although several
parameters were significantly different between the two modalities (p < 0.05),
the absolute differences were small. Of greatest, clinical relevance was the
difference in frequency with which upper dose goals were exceeded. The prostate
V200 goal was exceeded in 53% of CT-planned cases, but only 20% of those planned
with US. The urethral D10 goal was never exceeded using US but was exceeded in
13% of CT cases. CONCLUSIONS: US planning results in plans that, clinically, are
dosimetrically equivalent to CT-based planning. Upper dosimetric goals are,
however, exceeded less often with US than with CT.
PMID- 27180127
TI - Evaluating the utility of "3D Slicer" as a fast and independent tool to assess
intrafractional organ dose variations in gynecological brachytherapy.
AB - PURPOSE: To demonstrate the utility of "3D Slicer" for easy treatment
verification by comparing dose-volume histograms (DVHs) calculated on
pretreatment and posttreatment images. METHODS AND MATERIALS: Thirty cervical
cancer patients were CT scanned twice: first for treatment planning and a second
time after the dose delivery. The initial plan was manually duplicated on the
posttreatment image set in Flexiplan treatment planning system, and DVH
parameters were calculated. Pretreatment and posttreatment images, organ
structures, and plan data were exported from the treatment planning system to 3D
Slicer to validate DVH parameter calculation with 3D Slicer. The gamma analysis
was used to compare Flexiplan and 3D Slicer DVHs. Posttreatment images were
rigidly fused on the initial CT to automatically transfer the data of the
pretreatment plan onto the posttreatment images. DVH parameters were calculated
in 3D Slicer for both image sets, and their relative variations were compared.
RESULTS: In calculating DVH parameter variations, no significant differences were
observed between Flexiplan and 3D Slicer. Where the registration accuracy was
better than 0.03, they returned similar results for D2 cm(3) of bladder, rectum,
and sigmoid. Mean and standard deviation of DVH parameters were calculated on
pretreatment and posttreatment images for several organs; both the manually
duplicated plan and the automatically registered plan in SlicerRT returned
comparable relative variations of these parameters. For 88% of the organs, more
than 95% of the DVH dose bins passed the gamma analysis. CONCLUSIONS: We tested
an automated DVH assessment method with an imaging freeware, 3D Slicer, for use
in image-guided adaptive brachytherapy. SlicerRT is a viable verification tool to
report and detect DVH variations between different contoured images series.
PMID- 27180128
TI - Implications of staged reconstruction and adjuvant brachytherapy in the treatment
of recurrent soft tissue sarcoma.
AB - PURPOSE: Prior studies illustrated a reduction in wound complications with the
use of staged reconstruction (SR) and negative pressure wound therapy when
treating soft tissue sarcoma (STS) with surgical resection followed by high-dose
rate adjuvant brachytherapy. The purpose of this study is to compare the outcomes
of SR and immediate reconstruction (IR) brachytherapy in recurrent STS. METHODS
AND MATERIALS: A retrospective review of 40 patients with recurrent STS of the
local extremity and trunk treated with resection followed by adjuvant
brachytherapy alone. Margin status was defined as positive (SM(+)) if there was
microscopic involvement (R1) or <=1 mm margin and negative (SM(-)) if >1 mm
margin was obtained. SR and IR were compared regarding toxicity, local control,
and limb preservation. RESULTS: Median followup was 27 months. When comparing the
SR (n = 22) and IR (n = 18) cohorts, there was a significantly lower final SM(+)
rate in SR (32% vs. 83%, p < 0.01). A 2-year local control benefit seen with SR
(80% vs. 34%; p = 0.012) and a final SM(-) (81% vs. 39%; p = 0.023). SR was
associated with less toxicity on multivariate analysis, including a 90% decrease
in persistent edema, an 80% decrease in wound dehiscence, and a 94% decrease in
nonhealing wounds, when compared to IR. Ten of 31 (32%) extremity cases required
eventual amputation from either chronic wound complications (n = 4) or local
recurrence (n = 6). SR predicted for a benefit in 2-year limb preservation (88%
vs. 50%; p = 0.008). CONCLUSION: In our series, the treatment with SR
brachytherapy resulted in less morbidity and an improved final SM(-) rate. This
technique translated to an improvement in both local control and limb
preservation of recurrent STS.
PMID- 27180129
TI - Image-guided adaptive brachytherapy in cervical cancer: Patterns of relapse by
brachytherapy planning parameters.
AB - PURPOSE: Cervical cancer patients with a bulky high-risk clinical target volume
(HR-CTV) get the largest benefit of dose escalation in terms of local control.
However, the expected survival benefit could be lessened by a higher metastatic
risk. We examined the patterns of relapse according to major prognostic factors:
the HR-CTV volume and to the D90 HR-CTV. METHODS AND MATERIALS: The clinical
records of patients treated with pulsed-dose-rate image-guided adaptive
brachytherapy after concurrent pelvic chemoradiation were reviewed. All patients
had an optimal workup before treatment comprising a 18-fluorodeoxyglucose
positron emission tomography/computed tomography and a para-aortic lymph node
dissection. Patients with initial extrapelvic disease were excluded. RESULTS: A
total of 109 patients fulfilled inclusion criteria. Median followup was 39
months. An HR-CTV volume >=40 cm(3) was associated with a poorer local failure
free survival. There was a strong inverse correlation between the HR-CTV volume
and the D90 of the HR-CTV (correlation coefficient r = -0.696; p < 0.001) with
increasing HR-CTV volume being associated with a decreasing D90 HR-CTV. A D90 HR
CTV <85 Gy and an HR-CTV volume >=40 cm(3) were significant univariate factors
for experiencing nonlocal failure (p = 0.002 and 0.035, respectively), even after
exclusion of local relapses. CONCLUSION: A lower ability to reach the target D90
HR-CTV planning and an HR-CTV volume >= 40 cm(3) correlated with a high
propensity of relapsing at distance, these factors being interrelated. Next step
of treatment personalization should design strategies integrating this risk,
which is now the main cause of failure.
PMID- 27180130
TI - MRI-guided brachytherapy in locally advanced cervical cancer: Small bowel
[Formula: see text] and [Formula: see text] are not predictive of late morbidity.
AB - PURPOSE: To establish dose-volume effect correlations for late small bowel (SB)
toxicities in patients treated for locally advanced cervical cancer with
concomitant chemoradiation followed by pulsed-dose rate MRI-guided adaptive
brachytherapy. METHODS AND MATERIALS: Patients treated with curative intent and
followed prospectively were included. The SB loops closed to CTV were delineated,
but no specific dose constraint was applied. The dosimetric data, converted in 2
Gy equivalent, were confronted with the occurrence of late morbidity assessed
using the CTC-AE 3.0. Dose-effect relationships were assessed using mean-dose
comparisons, log-rank tests on event-free periods, and probit analyses. RESULTS:
A total of 115 patients with a median followup of 35.5 months were included.
Highest grade per patient was: Grades 0 for 17, 1 for 75, 2 for 20, and 3 for 3.
The mean [Formula: see text] and [Formula: see text] were, respectively, 68.7 +/-
13.6 Gy and 85.8 +/- 33.1 Gy and did not differ according to event severity (p =
0.47 and p = 0.52), even when comparing Grades 0-1 vs. 2-4 events (68.0 +/- 12.4
vs. 71.4 +/- 17.7 Gy; p = 0.38 and 83.7 +/- 26.4 vs. 94.5 +/- 51.9 Gy; p = 0.33).
Log-rank tests were performed after splitting the cohort according to four
[Formula: see text] levels: >80 Gy, 70-79 Gy, 60-70 Gy, and <60 Gy. No difference
was observed for Grades 1-4, Grades 2-4, or Grades 3-4 (p = 0.21-0.52). Probit
analyses showed no correlation between the dosimetric parameters and probability
of Grades 1-4, 2-4, or 3-4 events (p = 0.19-0.48). CONCLUSION: No significant
dose-volume effect relationships were demonstrated between the [Formula: see
text] and [Formula: see text] and the probability of late SB morbidity. These
parameters should not limit the pulsed-dose rate brachytherapy optimization
process.
PMID- 27180131
TI - Prospective study of direct radiation exposure measurements for family members
living with patients with prostate (125)I seed implantation: Evidence of
radiation safety.
AB - PURPOSE: To broaden the current understanding of radiation exposure and risk and
to provide concrete evidence of radiation safety related to (125)I seed
implantation. METHODS AND MATERIALS: Direct radiation exposure measurements were
obtained from dosimeters provided to 25 patients who underwent (125)I seed
implantation, along with their family members. The estimated lifetime exposure
dose and the precaution time for holding children near the patient's chest were
calculated in two study periods. RESULTS: During the first and second study
period, the mean estimated lifetime exposure doses were, respectively, 7.61
(range: 0.45, 20.21) mSv and 6.84 (range: 0.41, 19.20) mSv for patients, and 0.19
(range: 0.02, 0.54) mSv and 0.25 (range: 0.04, 1.00) mSv for family members. The
mean ratios of first and second period measurements were 1.05 (range: 0.44, 3.18)
for patients and 1.82 (range: 0.21, 7.04) for family members. The corresponding
absolute differences between first and second period measurements were -0.77
(range: -11.40, 7.63) mSv and 0.06 (range: -0.26, 0.79) mSv, respectively.
Assuming a dose limit of 1 mSv, the precaution times for holding a child every
day of the first and second periods were 250.9 (range: 71.3, 849.4) min and 275.2
(range: 75.0, 883.4) min, respectively. Assuming a dose limit of 0.5 mSv, the
corresponding precaution times were 179.0 (range: 35.6, 811.5) min and 178.9
(range: 37.5, 1131.8) min, respectively. CONCLUSIONS: Our study demonstrated low
radiation exposures to family members of patients undergoing (125)I prostate
implantation. It was clear that (125)I seed implantation did not pose a threat to
the safety of family members.
PMID- 27180132
TI - Emotional profile of female rats showing binge eating behavior.
PMID- 27180133
TI - Simulated acute central Mycoplasma infections in rats induce fever, anorexia,
body mass stunting and lethargy but spare memory.
AB - Despite the documented post-infectious neurological complications of a central
nervous system (CNS) Mycoplasma infection in humans, very few studies have
investigated the acute inflammatory responses and sickness behaviours induced by
CNS Mycoplasma infections. We therefore determined the effect of acute central
administration of fibroblast-stimulating lipopeptide-1 (FSL-1), derived from
Mycoplasma salivarium, and FAM-20 from a more pathogenic species, namely
Mycoplasma pneumoniae, on behavioural and inflammatory responses in rats. Male
Sprague-Dawley rats had radiotransmitters implanted, intra-abdominally, to
measure body temperature and cage activity continuously. After recovery from
surgery, rats were conditioned in a fear conditioning task and then immediately
received an intra-cisterna magna (i.c.m.) injection of either: (1) FSL-1 (10 or
100MUg/5MUl) or its vehicle (phosphate-buffered saline, 5MUl), or (2) FAM-20 (10
or 100MUg/5MUl) or its vehicle (dimethyl sulfoxide, 5MUl). Body mass and food
intake were measured daily. Memory was assessed seven days after injection using
fear conditioning tests. A single, i.c.m. injection of either FSL-1 or FAM-20
induced profound, dose-dependent fever, anorexia, lethargy and body mass stunting
in rats. Moreover, rats that received an i.c.m. injection of 100MUg/5MUl FAM-20
had a significant increase in the concentration of IL-1beta in both the
hypothalamus and the hippocampus for ~27h after injection. Seven days after FSL-1
or FAM-20 injection, when body mass of rats still was stunted, they maintained
their memory for fear of the context and for fear of the tone, despite the
increase in hippocampal IL-1beta concentration after FAM-20 administration. Thus,
acute simulated CNS Mycoplasma infections caused pronounced sickness responses
and brain inflammation in rats, but spared fear memory.
PMID- 27180134
TI - Uric acid excretion predicts increased aggression in urban adolescents.
AB - Elevated levels of uric acid have been linked with impulsive and disinhibited
behavior in clinical and community populations of adults, but no studies have
examined uric acid in relation to adolescent aggression. This study examined the
prospective role of uric acid in aggressive behavior among urban, low income
adolescents, and whether this relationship varies by gender. A total of 84
adolescents (M age 13.36years; 50% male; 95% African American) self-reported on
their physical aggression at baseline and 1.5years later. At baseline, the youth
also completed a 12-h (overnight) urine collection at home which was used to
measure uric acid excretion. After adjusting for baseline aggression and age,
greater uric acid excretion predicted more frequent aggressive behavior at follow
up, with no significant gender differences. The results suggest that lowering
uric acid levels may help reduce youth aggression.
PMID- 27180135
TI - On the incompatibilities of interaction scales and processes with focus on the
work of adhesion.
AB - The mutual compatibility of Hamaker constants, solubility parameters or cohesive
energy densities (CED) and surface/interface tensions are evaluated. It is shown
that the partial contributions (dispersive, Lifshitz-van der Waals, dipolar
induction, dipolar orientation, polar, acid, base and hydrogen bond) to Hamaker
constants, solubility parameters or cohesive energy densities and
surface/interface tensions are mutually inconsistent. The published reference
data for a single set of liquids is moreover shown to be exceedingly scattered;
making the parallel use of these scales challenging. Reference processes designed
for bringing two and three phases into mutual contact are conflicting. The two
phase processes within Hamaker and exchange energy density (EED) frameworks
agree, but the three-phase models differ. As a free-standing parameter the EED is
however comparable. The two-phase adhesion process is shown to be incompatible
with the other contact processes and the three-phase adhesion process is opposite
to them. One reason for this controversy is the different averaging of
interfacial properties. While interfacial Hamaker constants and solubility
parameters or cohesive energy densities are geometric averages of corresponding
intervening phase properties, this practice is replaced by the work of adhesion
being geometrically averaged as works of cohesion. As a result, there exist three
conflicting models for the adhesion process: the Dupre work of adhesion, the
Girifalco-Good geometric averaged works of cohesion and Fowkes reduced
interfacial or interphasial tension process. None of these agree with the
commonly accepted standard Hamaker contact processes and they should be replaced
with the compatible extended work of adhesion process originally suggested by
Dupre. The models offered for the conversion of Hamaker constants and solubility
parameters or cohesive energy densities to surface tensions involve conversion
factors and equilibrium distances between planes of molecules in liquids. The
equilibrium distance for different close packings derived from molar liquid
volumes are about 2-5 times larger than the cutoff distances obtained from
simulations. Using volumetric equilibrium distances, the conversion factors for
dispersive, polar and total Hamaker constant and solubility parameter or cohesive
energy densities to surface tensions become nearly equal but they are different
for each liquid.
PMID- 27180136
TI - Mobile apps and metabolic health.
PMID- 27180137
TI - Early recognition of diabetic peripheral neuropathy and the need for one-stop
microvascular assessment.
PMID- 27180138
TI - Nutrient labelling: legislating against too much information?
PMID- 27180139
TI - A novel nonsense GPSM2 mutation in a Yemeni family underlying Chudley-McCullough
syndrome.
AB - Mutations in the G Protein Signaling Modulator 2 (GPSM2) cause the autosomal
recessive disorder Chudley-McCullough syndrome (CMS), which is characterized by
profound congenital sensorineural hearing loss with various abnormalities in the
brain. This phenotypic combination is attributed to the role played by GPSM2 in
the establishment of planar polarity and spindle orientation during asymmetric
cell divisions. Here we present two brothers from a Yemeni family who were
diagnosed clinically with CMS then tested for GPSM2 mutations using Sanger
sequencing. Consequent to sequencing, in silico tools (such as CADD) were
utilized to assess functional consequences. Molecular analysis revealed a
previously unreported homozygous mutation in GPSM2 in both brothers (c.1055C > A)
leading to a truncating protein change; (p.Ser352*). This mutation is predicted
to abolish all four GoLoco domains in GPSM2 and this explains the bioinformatic
prediction for this mutation to be functionally damaging. Full clinical and
molecular accounts of the novel mutation are provided in this paper.
PMID- 27180140
TI - Chromosome Xq28 duplication encompassing MECP2: Clinical and molecular analysis
of 16 new patients from 10 families in China.
AB - INTRODUCTION: Chromosome Xq28 duplications encompassing methyl-CpG-binding
protein 2 gene (MECP2) are observed most in males with a severe
neurodevelopmental disorder associated with hypotonia, spasticity, severe
learning disability, delayed psychomotor development, and recurrent pulmonary
infections. Most female carriers are asymptomatic due to extremely or completely
skewed X-inactivation. METHODS: A retrospective clinical and molecular study was
conducted to examine 16 patients and two fetuses from 10 families who were
identified among patients with Xq28 duplications who presented at genetic
clinics. RESULTS: Of all 16 patients, 10 had a family history. Only one patient
was female. All of the patients had no relevant pre-natal history. All of the
patients exhibited severe psychomotor developmental delay, infantile hypotonia
and recurrent infections. Some of the patients exhibited cardiac abnormalities,
gastrointestinal mobility problems, hydrocele of tunica vaginalis,
cryptorchidism, and autistic phenotypes. Additionally, neonatal kidney calculus,
premature closure of the fontanel and pulmonary sequestration were found in the
patients. Duplication sizes in these patients range from 0.21 to 14.391 Mb (most
were smaller than 1 Mb), and all the duplications included host cell factor C1
(HCFC1), interleukin-1 receptor-associated kinase 1 (IRAK1), and MECP2.
Bioinformatics analysis revealed that approximately half of the distal
breakpoints were located within the low-copy repeats (LCRs), which may be
involved in the recombination. The two fetuses were found to be healthy in the
prenatal diagnosis. CONCLUSION: This is the first large cohort of patients with
MECP2 duplication syndrome, including a female, reported in China. Interestingly,
neonatal kidney calculus, premature closure of the fontanel and pulmonary
sequestration were first reported in this syndrome. However, it was difficult to
distinguish if these patients represented unique cases or if these phenotypes can
be considered as part of the syndrome. The correlation between the infrequent
phenotypes and duplications/genes in the duplication region needs further
systematic delineation. In conclusion, our study suggested that it is important
to emphasize molecular genetic analysis in patients with developmental
delay/intellectual disability and recurrent infections and that it is especially
important for familial female carriers to accept prenatal diagnosis.
PMID- 27180142
TI - Neurologic foundations of spinal cord fusion (GEMINI).
AB - Cephalosomatic anastomosis has been carried out in both monkeys and mice with
preservation of brain function. Nonetheless the spinal cord was not
reconstructed, leaving the animals unable to move voluntarily. Here we review the
details of the GEMINI spinal cord fusion protocol, which aims at restoring
electrophysiologic conduction across an acutely transected spinal cord. The
existence of the cortico-truncoreticulo-propriospinal pathway, a little-known
anatomic entity, is described, and its importance concerning spinal cord fusion
emphasized. The use of fusogens and electrical stimulation as adjuvants for nerve
fusion is addressed. The possibility of achieving cephalosomatic anastomosis in
humans has become reality in principle.
PMID- 27180141
TI - Circulating cell-free nucleic acids and platelets as a liquid biopsy in the
provision of personalized therapy for lung cancer patients.
AB - Lung cancer is the predominant cause of cancer-related mortality in the world.
The majority of patients present with locally advanced or metastatic non-small
cell lung cancer (NSCLC). Treatment for NSCLC is evolving from the use of
cytotoxic chemotherapy to personalized treatment based on molecular alterations.
Unfortunately, the quality of the available tumor biopsy and/or cytology material
is not always adequate to perform the necessary molecular testing, which has
prompted the search for alternatives. This review examines the use of circulating
cell-free nucleic acids (cfNA), consisting of both circulating cell-free
(tumoral) DNA (cfDNA-ctDNA) and RNA (cfRNA), as a liquid biopsy in lung cancer.
The development of sensitive and accurate techniques such as Next-Generation
Sequencing (NGS); Beads, Emulsion, Amplification, and Magnetics (BEAMing); and
Digital PCR (dPCR), have made it possible to detect the specific genetic
alterations (e.g. EGFR mutations, MET amplifications, and ALK and ROS1
translocations) for which targeted therapies are already available. Moreover, the
ability to detect and quantify these tumor mutations has enabled the follow-up of
tumor dynamics in real time. Liquid biopsy offers opportunities to detect
resistance mechanisms, such as the EGFR T790M mutation in the case of EGFR TKI
use, at an early stage. Several studies have already established the predictive
and prognostic value of measuring ctNA concentration in the blood. To conclude,
using ctNA analysis as a liquid biopsy has many advantages and allows for a
variety of clinical and investigational applications.
PMID- 27180144
TI - Air pollution and blood lipid markers levels: Estimating short and long-term
effects on elderly hypertension inpatients complicated with or without type 2
diabetes.
AB - With the development of society and the economy, many Chinese cities are shrouded
in pollution haze for much of the year. Scientific studies have identified
various adverse effects of air pollutants on human beings. However, the
relationships between air pollution and blood lipid levels are still unclear. The
objective of this study is to explore the short and long-term effects of air
pollution on eight blood lipid markers among elderly hypertension inpatients
complicated with or without type 2 diabetes (T2D). Blood lipid markers which met
the pre-established inclusion criteria were exported from the medical record
system. Air pollution data were acquired from the official environmental
protection website. Associations between the air quality index and the blood
lipid indexes were analyzed by one-way ANOVA and further Bonferroni correction.
In an exposure time of 7 days or longer, blood lipid markers were somewhat
affected by poor air quality. However, the results could not predict whether
atherosclerosis would be promoted or inhibited by poorer air condition. Changes
of blood lipid markers of hypertension inpatients with or without T2D were not
completely the same, but no blood lipid markers had an opposite trend between the
two populations. The air quality index was associated with changes to blood lipid
markers to some extent in a population of hypertension inpatients with or without
T2D. Further studies are needed to investigate the potential mechanism by which
air pollutants induce blood lipids changes.
PMID- 27180145
TI - SAPIEN XT Valve-in-valve Implantation in Stenotic Valve.
PMID- 27180143
TI - SOD2 and the Mitochondrial UPR: Partners Regulating Cellular Phenotypic
Transitions.
AB - ATP and reactive oxygen species (ROS) are signaling molecules that control
cellular function and phenotype. Mitochondria produce both ATP and ROS. Since the
electrons needed to generate either ATP or ROS originate from NADH/FADH2, the
mechanism through which electrons flow towards oxygen determines yields and
whether ATP or ROS prevails. Alterations in the electron flow impact cells
dramatically, such as by supporting specialization (which requires high ATP) or
imposing dedifferentiation. High ROS, facilitated by enzymes such as superoxide
dismutase 2 (SOD2) that enhance mitochondrial hydrogen peroxide (mtH2O2), are
normally linked to dedifferentiation of somatic cells. Here we propose that
combined high mtH2O2 and mitochondrial unfolded protein response (UPR(mt))
activation are essential for somatic dedifferentiation programs and the
acquisition of stem-like properties in reparative processes and disease.
PMID- 27180146
TI - Mild hypothermia attenuate kidney injury in canines with oleic acid-induced acute
respiratory distress syndrome.
AB - BACKGROUND: Hypothermia may attenuate ventilator induced-lung injury in acute
respiratory distress syndrome (ARDS). However, the impact of hypothermia on extra
pulmonary organ injury in ARDS remains unclear. The purpose of this study was to
investigate whether hypothermia affects extra-pulmonary organ injury in a canine
ARDS model induced by oleic acid. OBJECTIVES: Twelve anesthetized canines with
oleic acid-induced ARDS were randomly divided (n=6 per group) into a hypothermia
group (core temperature of 33+/-1 degrees C, HT group) and a normothermia group
(core temperature of 38+/-1 degrees C, NT group) and treated for four hours. The
liver, small intestine and kidney were assessed by evaluating biochemical
parameters, plasma and tissue cytokine levels, and tissue histopathological
injury scores. RESULTS: The HT group showed a lower plateau pressure, lung
elastance and pulmonary vascular resistance. Hypothermia was associated with
lower oxygen consumption (138.4+/-55.0mlmin(-1)vs. 72.0+/-11.2mlmin(-1), P<0.05)
and higher oxygen saturation of mixed venous blood (62.8%+/-8.0% vs. 77.5%+/
10.1%, P<0.05). Both groups had similar levels of tumour necrosis factor-alpha in
the plasma and extra-pulmonary organ, however, plasma interleukin-10 (97.1+/
25.0pgml(-1)vs. 131.4+/-27.0pgml(-1), P<0.05) was higher in the HT group.
Further, the animals in the HT group had a lower levels of plasma creatinine
(54.6+/-19.1UL(-1)vs. 29.1+/-8.0UL(-1), P<0.05), and lower renal
histopathological injury scores [4.0(3.5;7.0) vs. 1.5(0.8;3.0), P<0.05].
Hypothermia did not affect the histopathological injury of the liver and small
intestine. CONCLUSIONS: Short-term mild hypothermia can reduce lung elastance and
pulmonary vascular resistance, increase the systemic anti-inflammatory response
and attenuate kidney histopathological injury in a canine ARDS model induced by
oleic acid.
PMID- 27180147
TI - mHealth information for migrants: an e-health intervention for internal migrants
in Vietnam.
AB - BACKGROUND: Socio-economic development in Vietnam has resulted in increased
internal migration particularly among young women seeking employment
opportunities in cities. Vietnamese female migrants who enter new environments
often encounter the loss or neglect of their right to access sexual and
reproductive health services. To address this, a mobile health (mHealth)
intervention model was implemented over 12 months (2013-2014) in a factory in the
Long Bien industrial zone of Hanoi, Vietnam. METHODS: The intervention provided
sexual and reproductive health services for female migrants through text
messaging, information booklets accompanied maps, and free counseling via a
hotline. To evaluate the impact of the intervention, pre- and post-intervention
data were collected to measure changes in women's knowledge and practices related
to sexual and reproductive health. Qualitative data in the form of personal
interviews were also collected. The sample size for the baseline survey was 411
women, and for the post-intervention survey it was 482 women (the intervention
involved an open cohort). The majority of women were unmarried and under the age
of 25. RESULTS: Results indicate that there was high uptake of the intervention
services and that most women found the services important and useful. In
addition, there was evidence that the intervention (1) increased women's
knowledge of sexual and reproductive health (e.g., proper use of condoms,
identification of high-risk behaviors such as having unprotected sex), and (2)
fostered improved practices related to sexual and reproductive health (e.g.,
increased gynecological check-ups and use of condoms). CONCLUSIONS: The study
demonstrated the feasibility of implementing a multi-faceted intervention for
migrant women working in an industrial zone in Hanoi, Vietnam as well as its
successful uptake and some early positive effects. This can be used to inform
future design and implementation of mHealth/eHealth intervention models for
migrant and other vulnerable/hard to reach population.
PMID- 27180148
TI - Mechanical Effects of Heat Exposure From a Bipolar Radiofrequency Probe on Suture
Under Simulated Arthroscopic Conditions.
AB - PURPOSE: To determine conditions for the safe use of radiofrequency (RF) tissue
ablation probes that avoid damaging suture material. METHODS: Four sutures made
of 3 different materials commonly used in arthroscopic procedures were analyzed
in a saline bath related to effects of RF-produced heat by proximity, duration,
and intensity settings measuring burn-through time and ultimate load to failure.
The parameters tested were electrode-to-suture distance, power setting, and the
presence of tendon tissue or metallic anchor eyelets. Outcome variables were the
burn-through time and the ultimate failure load of differently treated suture
samples. RESULTS: Mean burn-through time for suture in direct contact with the RF
probe ranged from 57.2 to 14.7 seconds for ultra-high-molecular-weight
polyethylene (UHMWPE) sutures, 1.1 seconds for polydioxanone suture, and 0.8
seconds for polyethylene terephthalate suture. One of the UHMWPE sutures was
capable of withstanding 3 seconds of direct contact with the RF probe without any
compromise in tensile strength. No suture material tested had any mechanical
change as long as the RF probe was kept 1 mm from the suture. CONCLUSIONS: Heat
from RF tissue ablation probes can cause undetected damage. High-strength UHMWPE
sutures were less sensitive to an RF treatment than polyester sutures. The use of
different test substrates did not significantly influence the burn through time.
CLINICAL RELEVANCE: Heat from RF probes can damage some suture material if direct
contact is made even briefly. The use of RF devices may be safe for the suture
when a distance between probe and suture of >1 mm is maintained. Suture made from
UHMWPE may tolerate up to 3 seconds of RF probe contact and not sustain
significant damage. Surgeons must use great care when using RF devices in the
vicinity of suture placement.
PMID- 27180149
TI - Humeral Avulsion of the Glenohumeral Ligaments: A Systematic Review.
AB - PURPOSE: To analyze clinical outcomes, range of motion, rate of recurrence, and
complications after procedures to manage shoulder instability in patients with
humeral avulsion of the glenohumeral ligament (HAGL) lesions. METHODS: A
systematic review of the literature according to the PRISMA (Preferred Reporting
Items for Systematic Reviews and Meta-Analyses) guidelines with a PRISMA
checklist and algorithm was performed. A comprehensive search of PubMed, Medline,
CINAHL, Cochrane, Embase, and Google Scholar databases using various combinations
of the Keywords "shoulder," "HAGL," "treatment," "lesion," "dislocation,"
"instability," "clinical," "outcome," "Bankart" since inception of databases to
2015 was performed. All the investigators independently extracted the following
data: demographics, types of lesions and associated injuries, treatment, outcome
measurements, range of motion, recurrent instability, and complications. RESULTS:
Eleven articles were included in which 42 shoulders with HAGL lesions were
evaluated. Patients were assessed at a median follow-up period of 25.5 months,
ranging from 12 to 54 months. The overall rate of recurrence was 0% (0 of 25) in
case of surgery and 90% (9 of 10) in case of nonoperative treatment. The surgical
approach was associated with lower rate of recurrence when compared with
nonoperative treatment (odds ratio 0.05, 95% confidence interval 0.01 to 0.42,
and P = .006). Only 2 papers reported loss of external rotation after surgery. No
complications were reported after surgery. Complications after nonoperative
treatment were not discussed. CONCLUSIONS: Recognizing HAGL lesions is important
to manage patients with glenohumeral instability. Patients' complaints are often
nonspecific. A high index of suspicion is required in patients with prior failed
shoulder surgery. HAGL lesions are often associated with other shoulder lesions.
Arthroscopic or open repairs of HAGL lesions are associated with good clinical
outcomes and a lower rate of recurrence compared with nonoperative treatment.
LEVEL OF EVIDENCE: Level IV, systematic review of Level IV studies.
PMID- 27180150
TI - Congenital Glioblastoma multiforme and eruptive disseminated Spitz nevi.
AB - BACKGROUND: Glioblastoma multiforme (GBM) is the deadliest malignant primary
brain tumor in adults. GBM develops primarily in the cerebral hemispheres but can
develop in other parts of the central nervous system. Its congenital variant is a
very rare disease with few cases described in literature. CASE PRESENTATION: We
describe the case of a patient with congenital GBM who developed eruptive
disseminated Spitz nevi (EDSN) after chemotherapy. Few cases of EDSN have been
described in literature and this rare clinical variant, which occurs
predominantly in adults, is characterized by multiple Spitz nevi in the trunk,
buttocks, elbows and knees. There is no satisfactory treatment for EDSN and the
best therapeutic choice is considered the clinical observation of melanocytic
lesions. CONCLUSION: We recommend a close follow-up of these patients with
clinical observation, dermoscopy and reflectance confocal microscopy (RCM).
However, we suggest a surgical excision of the lesions suspected of being
malignant.
PMID- 27180151
TI - Levonorgestrel intrauterine system for endometrial protection in women with
breast cancer on adjuvant tamoxifen: A cochrane review summary.
PMID- 27180152
TI - Stopping systemic menopausal hormone therapy: Why, when and how.
PMID- 27180153
TI - Associations of androgens with health care utilization and costs in women
Perspectives of a population-based cohort study.
AB - OBJECTIVE: Despite associations between total testosterone (TT) concentrations
and increased cardiometabolic risk, the impact of serum androgens on health care
utilization and costs among women is unknown. METHODS: We used data from 1521
women in the population-based cohort Study of Health in Pomerania (SHIP) to
investigate the associations of serum TT (measured by liquid chromatography
tandem mass spectrometry), sex hormone-binding globulin (SHBG), and free
testosterone (free T) with health care utilization and costs at baseline and five
year follow-up (N=1210), implementing multivariable-adjusted econometric models.
RESULTS: Cross-sectional analyses showed no association of TT, SHBG, or free T
with hospitalization or total health costs (outpatient as well as inpatient
costs). Prospective analyses revealed an inverse association of baseline SHBG
with follow-up total health care costs (% change per standard deviation (SD):
26.2%, 95% confidence interval (CI): -42.2%; -8.9%) and inpatient costs (% change
per SD: -26.5%%, 95% CI: -45.5%; -2.5%). Baseline free T was positively
associated with total health care costs at the five-year follow-up (% change per
SD: +37.7%, 95% CI: +4.6%; +81.4%). CONCLUSIONS: In this first cost analysis
among women from the general population, we observed no association of androgen
serum concentration with health care utilization and costs. However, baseline
SHBG appeared to be inversely correlated and free T positively correlated with
long-term health care costs.
PMID- 27180154
TI - Factors associated to institutionalization and mortality over three years, in
elderly people with a hip fracture-An observational study.
AB - OBJECTIVE: To identify the factors associated to institutionalization and
mortality in elderly patients with hip fractures (HF). DESIGN: Thirty-six months
observational study. SETTING: A post-acute rehabilitation ward. PARTICIPANTS:
subjects living in the community or in nursing-home, above the age of 65, with
HF. MEASUREMENTS: The following were registered: comorbidity, intra-hospital
complications, Barthel index, walking ability and Mini Mental State Examination,
as well as blood samples upon admission and discharge. Destination upon discharge
was recorded as well as mortality during hospital stay and over the three-year
follow up. RESULTS: a total of 430 subjects were included in the study. Twenty
three patients (5.3%) died during their stay in hospital and 152 (35.3%) during
follow up after discharge. Forty-five patients (10.5%) were institutionalized
upon discharge. In adjusted analysis, the factors that predict intra-hospital
mortality are higher comorbidity (OR, 1.46; 95%CI, 1.06-2.01), and the number of
complications (OR, 1.71; 95%CI, 1.16-2.64). Factors that predict mortality in the
long term are age (HR 1.04; 95%CI, 1.01-1.06), comorbidity (HR 1.19, 95% CI, 1.09
1.30), the number of complications (HR 1.17, 95%CI, 1.05-1.31). The factors that
predicted new institutionalization were age (OR 1.04, 95%CI, 0.98-1.09), living
alone (OR 3.95, 95%CI, 1.38-11.3), and length of hospital stay (OR 1.02 95%CI,
1.00-1.03). CONCLUSIONS: Mortality 3 years after a hip fracture and
institutionalization are associated to age, the loss of autonomy in walking, a
worse cognitive status and living alone before the fracture. Identification of
and, when possible, intervention upon these factors can improve care of elderly
people with hip fractures.
PMID- 27180155
TI - Aging and bone health in Singaporean Chinese pre-menopausal and postmenopausal
women.
AB - OBJECTIVE: The study evaluated relationships between menopausal statuses, hormone
replacement therapy (HRT), body mass index (BMI), percent body fat (PBF), and
exercise with osteoporosis and bone mineral density (BMD) in Singaporean women.
STUDY DESIGN: This is a cross-sectional study. MAIN OUTCOME MEASURES: The spine
BMD, and femoral neck BMD as well as the prevalence of osteoporosis are the main
outcome measures studied. RESULTS: Age, BMI, PBF and exercise intensity were
independently associated with spine and femoral neck BMD. Women with higher BMI
and lower PBF had higher BMD and lower prevalence of osteoporosis. Postmenopausal
women without HRT had lower BMD and higher prevalence of osteoporosis while those
on HRT had similar BMD and prevalence of osteoporosis as premenopausal women.
CONCLUSION: This study shows that BMI and PBF are powerful predictors of BMD.
Osteoporosis is site-specific in the Singapore population, being higher in the
femoral neck than in the lumbar spine. The bone status after menopause may not be
worse than that dictated by age alone and both ERT and E/PRT could sustain the
BMD to levels corresponding to those of women a decade younger. A strategy to
improve bone health should include dieting and physical exercise program that
focuses on selectively reducing fat mass and increasing lean mass.
PMID- 27180156
TI - Obesity and osteoarthritis.
AB - This paper provides an up-to-date review of obesity and lower limb osteoarthritis
(OA). OA is a major global cause of disability, with the knee being the most
frequently affected joint. There is a proven association between obesity and knee
OA, and obesity is suggested to be the main modifiable risk factor. Obese
patients (Body Mass Index, BMI, over 30kg/m(2)) are more likely to require total
knee arthroplasty (TKA). The global prevalence of obesity has doubled since 1980;
by 2025, 47% of UK men and 36% of women are forecast to be obese. This rising
global burden is a key factor in the growing rise in the use of TKA. It is
therefore important to appreciate the outcomes of surgery in patients with end
stage OA and a high BMI. This review found that while OA is felt to contribute to
weight gain, it is unclear whether TKA facilitates weight reduction. Surgery in
obese patients is more technically challenging. This is reflected in the
evidence, which suggests higher rates of short- to medium-term complications
following TKA, including wound infection and medical complications, resulting in
longer hospital stay, and potentially higher rates of malalignment, dislocation,
and early revision. However, despite slower initial recovery and possibly lower
functional scores and implant survival in the longer term, obese patients can
still benefit from TKA in terms of improved function, quality of life and
satisfaction. In conclusion, despite higher risks and more uncertain outcomes of
surgery, higher BMI in itself should not be a contraindication to TKA; instead,
each patient's individual circumstances should be considered.
PMID- 27180157
TI - Sex differences in the association of physical function and cognitive function
with life satisfaction in older age: The Rancho Bernardo Study.
AB - OBJECTIVES: This study examines the cross-sectional associations of cognitive and
physical function with life satisfaction in middle-class, community-dwelling
adults aged 60 and older. STUDY DESIGN: Participants were 632 women and 410 men
who had cognitive function tests (CFT) and physical function tasks (PFT) assessed
at a clinic visit between 1988 and 1992, and who responded in 1992 to a mailed
survey that included life satisfaction measures. Cognitive impairment was defined
as <=24 on MMSE, >=132 on Trails B, <=12 on Category Fluency, <=13 on Buschke
long-term recall, and <=7 on Heaton immediate recall. Physical impairment was
defined as participants' self-reported difficulty (yes/no) in performing 10
physical functions. Multiple linear regression examined associations between life
satisfaction and impairment on >=1 CFT or difficulty with >=1 PFT. MAIN OUTCOME
MEASURES: The Satisfaction with Life Scale (SWLS; range:0-26) and Life
Satisfaction Index-Z (LSI-Z; range:5-35). RESULTS: Participants' average age was
73.4 years (range=60-94). Categorically defined cognitive impairment was present
in 40% of men and 47% of women. Additionally, 30% of men and 43% of women
reported difficulty performing any PFT. Adjusting for age and impairment on >=1
CFT, difficulty performing >=1 PFT was associated with lower LSI-Z and SWLS
scores in men (beta=-1.73, -1.26, respectively, p<0.05) and women (beta=-1.79,
1.93, respectively, p<0.01). However, impairment on >= 1 CFT was not associated
with LSI-Z or SWLS score after adjusting for age and difficulty with >=1 PFT.
CONCLUSIONS: Limited cognitive function was more common than limited physical
function; however, limited physical function was more predictive of lower life
satisfaction. Interventions to increase or maintain mobility among older adults
may improve overall life satisfaction.
PMID- 27180158
TI - Complementary health approaches for health and wellness in midlife and older US
adults.
AB - OBJECTIVES: To characterize the use of complementary health approaches (CHA) and
examine the perceived benefits of using CHA by reason for use among midlife and
older adults. STUDY DESIGN: Analysis of 2012 National Health Interview Survey
data, a nationally representative US sample using cross-tabulations with design
based F-tests and multiple logistic regression. The analytic sample included
adults aged over 50 years (N=14,849). MAIN OUTCOME MEASURES: The proposed
benefits of using CHA included: (1) better control over health, (2) reduced
stress/relaxation, (3) better sleep, (4) feeling better emotionally, (5) coping
with health problems, (6) improved health/feeling better, and (7) improved
relationships. RESULTS: Overall, 31% of this sample of midlife and older US
adults had used CHA in the past year. Among users, 15% had used CHA for treatment
only, 40% for wellness only, and 45% for combined wellness and treatment. Herbs
(60%), chiropractic (28%), massage (22%), and yoga (19%) were the most common
CHA. Wellness-only and combined users had significantly higher odds of reporting
that CHA conferred benefit compared with treatment-only users. CONCLUSIONS: CHA
are used by nearly a third of midlife and older adults and are perceived to
provide substantial benefit. Integrating CHA as part of a healthy lifestyle has
the potential to contribute to healthy aging among midlife and older adults.
PMID- 27180159
TI - Hot flushes and reproductive hormone levels during the menopausal transition.
AB - INTRODUCTION: Evidence suggests that hot flushes are associated with fluctuating
levels of oestradiol (E2) during menopause, as well as changes in the levels of
follicle-stimulating hormone (FSH) and inhibin B. The relationship between hot
flushes and anti-Mullerian hormone (AMH) is unknown. AIM: To examine specific
hormone levels and ethnic differences in relation to hot flushes. METHODS: Data
were drawn from 108 women aged 40-59 years. There were three groups of
participants: European women in London, UK; Bangladeshi women in Sylhet,
Bangladesh; and Bangladeshi women who had migrated to London as adults. Symptom
information was collected via questionnaires. Serum blood samples were collected
to detect inhibin B, AMH, FSH, and E2. RESULTS: AMH and FSH were significantly
associated with the experience of hot flushes in the past 2 weeks. Inhibin B and
E2 were not associated with hot flushes. Body mass index (BMI), ethnicity, and
education level were associated with both the occurrence and the frequency hot
flushes. Menopausal status was also associated with the frequency of hot flushes.
CONCLUSION: Relationships between AMH, FSH and symptoms are indicative of women's
progress through the menopausal transition. The influence of BMI and education
suggest that lifestyle changes may contribute to the management of symptoms. This
merits further research.
PMID- 27180160
TI - Observational and mechanistic links between C-reactive protein and blood pressure
in elderly women.
AB - It is hypothesized that chronic systemic inflammation contributes to the age
related decline in cardiovascular function. The aim of the present study was to
combine an assessment of the relationship between the serum level of C-reactive
protein (CRP) and systolic and diastolic blood pressure in 108 elderly women (65
and 70 years) with an in-vitro exploration of the effects of CRP on the
proliferative and angiogenic potential of endothelial cells exposed to serum in
elderly women. Based on the median CRP level in our population, LowCRP
(CRP<1.3mg/L) and HighCRP (>1.3mg/L) groups were identified. Body mass index,
waist circumference, systolic blood pressure (SBP) and diastolic blood pressure
(DBP) were significantly higher in the HighCRP group than in the LowCRP group
(p<0.05). The influence of CRP on SBP and DBP remained significant after
adjustments for BMI and use of antihypertensive medication (p<0.05). When
adjusting for waist circumference the observed influence of CRP on SPB was
attenuated (p=0.062). We next evaluated the ability to form capillary tubes
(angiogenesis assay) and the proliferation rate of endothelial cells exposed to
the sera of elderly women. Increased serum CRP levels were associated with an
increased doubling time of endothelial cells (R(2)=0.39; p<0.05) and decreased
capillary tube length (R(2)=0.30; p<0.05), indicating a reduction in the
proliferation rate of endothelial cells and angiogenic potential. In conclusion,
chronic inflammation influences blood pressure in elderly women and compromises
endothelial cell function, thus contributing to the age-related decline in
vascular health.
PMID- 27180161
TI - Cardiovascular surveillance in breast cancer treatment: A more individualized
approach is needed.
AB - Newly developed treatment strategies for breast cancer have reduced mortality
rates over the past decades. Patients with breast cancer represent a
heterogeneous population. Differences in the severity of the disease require
diverse treatment options. Women have distinct individual risk patterns for
cardiovascular disease that may affect their susceptibility to cardiotoxicity
during therapy. While breast cancer treatment is targeted more on tumor and
patient characteristics, a tailored individual approach with early and late
cardiosurveillance is not yet implemented in routine care. Newly available
cardiac imaging techniques are better suited to the early detection of
cardiotoxicity and should be used more often in those patients at highest risk,
as the early intervention afforded will improve their quality of life and
prognosis.
PMID- 27180162
TI - Maintaining postreproductive health: A care pathway from the European Menopause
and Andropause Society (EMAS).
AB - This position statement from the European Menopause and Andropause Society (EMAS)
provides a care pathway for the maintenance of women's health during and after
the menopause. It is designed for use by all those involved in women's health. It
covers assessment, screening for diseases in later life, treatment and follow-up.
Strategies need to be optimised to maintain postreproductive health, in part
because of increased longevity. They encompass optimising diet and lifestyle,
menopausal hormone therapy and non-estrogen-based treatment options for
climacteric symptoms and skeletal conservation, personalised to individual needs.
PMID- 27180163
TI - Characteristics associated with initiation of hormone replacement therapy among
Finnish women: A register-linkage study.
AB - OBJECTIVE: To investigate which patient characteristics are associated with the
initiation of hormone replacement therapy (HRT) in a cohort of Finnish women.
STUDY DESIGN: Responses to postal questionnaires distributed to a nationwide,
randomly selected cohort of women in 1998, 2000, 2003, 2005 and 2010 were
analyzed. The cohort members were aged 40-44 years at the beginning of the study.
Information on hormone replacement therapy was received from the national
prescription register. Women who started taking HRT between January 1, 1999 and
December 31, 2011 were included and previous users were excluded from the
analysis. MAIN OUTCOME MEASURES: Initiation of HRT was the main outcome measure.
The following explanatory factors for predicting the use of HRT were examined:
sociodemographic factors, personality, health behavior, physiological and mental
symptoms, chronic diseases and use of psychopharmaceuticals. The associations
between starting HRT and the explanatory factors were analyzed with single
predictor and multi-predictor logistic regression models. RESULTS: Factors
predicting that a woman would start taking HRT were: living with a partner, weak
sense of coherence, BMI less than 30kg/m(2), heavy or moderate alcohol use,
symptoms of hyperactivity of the sympathetic nervous system, climacteric symptoms
and use of psychopharmaceuticals. CONCLUSIONS: Women with a good sense of
coherence can cope with climacteric symptoms without resorting to HRT. Clinicians
need to bear in mind the burden of menopausal symptoms on a woman's personal and
working life when HRT is being considered.
PMID- 27180165
TI - Waist circumference percentiles for Singaporean children and adolescents aged 6
17 years.
AB - AIM: Population-specific waist circumference (WC) percentiles are crucial for
screening children at higher obesity-related metabolic risk. This study aimed to
develop age- and gender-specific WC percentile curves for Singaporean children
and adolescents. METHODS: 3029 participants (boys, 1506; girls, 1523) from
different population strata of Singapore were recruited. Stature, weight and WC
were measured and BMI calculated. Smoothed WC percentile curves and cut-offs for
the 3rd, 5th, 10th, 25th, 50th, 75th, 85th, 90th, 95th, 97th were constructed
using the Cole's LMS method. RESULTS: WC and BMI increased with age in both sexes
and boys had higher WC than girls at every age. Comparison of 50th and 90th
percentiles with other populations showed distinct difference in WC curve
patterns and values of Singaporean children. CONCLUSIONS: We present the first
working WC percentile curves and age- and gender-specific cut-offs of Singaporean
children and adolescents. These cut-offs and curves can serve as valuable
reference for screening and identify children at a higher metabolic risk, for
international comparisons and to better understand secular trends in paediatric
obesity.
PMID- 27180164
TI - Identification of definitive serum biomarkers associated with disease activity in
primary Sjogren's syndrome.
AB - BACKGROUND: In this study, we sought to identify definitive biomarkers associated
with disease activity in primary Sjogren's syndrome (pSS). METHODS: Serum protein
concentrations in pSS patients and healthy controls (HCs) were comprehensively
screened using high-throughput proteomic analysis, and differentially expressed
proteins were extracted. Correlation between differentially expressed proteins
and European League Against Rheumatism Sjogren's Syndrome Disease Activity Index
(ESSDAI) scores was analyzed and disease activity-associated biomarkers were
identified. These biomarkers were validated by enzyme-linked immunosorbent assay
(ELISA) in a separate pSS cohort. RESULTS: The serum concentrations of 1100
proteins were compared between 30 pSS patients and 30 HCs, with 82 differentially
expressed proteins identified as pSS-associated proteins. Of these 82 proteins, 9
were identified as disease activity-associated biomarkers. These nine biomarkers
underwent validation by ELISA in a separate pSS validation cohort (n = 58), with
five proteins (CXCL13, TNF-R2, CD48, B-cell activating factor (BAFF), and PD-L2)
subsequently being confirmed as candidate biomarkers. Of these five candidate
biomarkers, CXCL13 exhibited the most significant correlation with the
lymphadenopathy, glandular, and pulmonary domains of the ESSDAI. CXCL13, TNF-R2
and CD48 exhibited a positive correlation with the biological domain of the
ESSDAI. TNF-R2 exhibited the most negative correlation with uptake in the
submandibular gland on technetium 99m-pertechnetate salivary gland scintigraphy.
CONCLUSIONS: Our approach successfully identified serum biomarkers associated
with disease activity in pSS patients. These markers might be potential
therapeutic targets in pSS patients.
PMID- 27180166
TI - Sex-specific effects of early life stress on social interaction and prefrontal
cortex dendritic morphology in young rats.
AB - Early life stress has been linked to depression, anxiety, and behavior disorders
in adolescence and adulthood. The medial prefrontal cortex (mPFC) is implicated
in stress-related psychopathology, is a target for stress hormones, and mediates
social behavior. The present study investigated sex differences in early-life
stress effects on juvenile social interaction and adolescent mPFC dendritic
morphology in rats using a maternal separation (MS) paradigm. Half of the rat
pups of each sex were separated from their mother for 4h a day between postnatal
days 2 and 21, while the other half remained with their mother in the animal
facilities and were exposed to minimal handling. At postnatal day 25 (P25;
juvenility), rats underwent a social interaction test with an age and sex matched
conspecific. Distance from conspecific, approach and avoidance behaviors, nose-to
nose contacts, and general locomotion were measured. Rats were euthanized at
postnatal day 40 (P40; adolescence), and randomly selected infralimbic pyramidal
neurons were filled with Lucifer yellow using iontophoretic microinjections,
imaged in 3D, and then analyzed for dendritic arborization, spine density, and
spine morphology. Early-life stress increased the latency to make nose-to-nose
contact at P25 in females but not males. At P40, early-life stress increased
infralimbic apical dendritic branch number and length and decreased thin spine
density in stressed female rats. These results indicate that MS during the
postnatal period influenced juvenile social behavior and mPFC dendritic
arborization in a sex-specific manner.
PMID- 27180167
TI - MK-801 and memantine act differently on short-term memory tested with different
time-intervals in the Morris water maze test.
AB - N-methyl-d-aspartate receptors (NMDARs) play a crucial role in spatial memory
formation. In neuropharmacological studies their functioning strongly depends on
testing conditions and the dosage of NMDAR antagonists. The aim of this study was
to assess the immediate effects of NMDAR block by (+)MK-801 or memantine on short
term allothetic memory. Memory was tested in a working memory version of the
Morris water maze test. In our version of the test, rats underwent one day of
training with 8 trials, and then three experimental days when rats were injected
intraperitoneally with low- 5 (MeL), high - 20 (MeH) mg/kg memantine, 0.1mg/kg MK
801 or 1ml/kg saline (SAL) 30min before testing, for three consecutive days. On
each experimental day there was just one acquisition and one test trial, with an
inter-trial interval of 5 or 15min. During training the hidden platform was
relocated after each trial and during the experiment after each day. The follow
up effect was assessed on day 9. Intact rats improved their spatial memory across
the one training day. With a 5min interval MeH rats had longer latency then all
rats during retrieval. With a 15min interval the MeH rats presented worse working
memory measured as retrieval minus acquisition trial for path than SAL and MeL
and for latency than MeL rats. MK-801 rats had longer latency than SAL during
retrieval. Thus, the high dose of memantine, contrary to low dose of MK-801
disrupts short-term memory independent on the time interval between acquisition
and retrieval. This shows that short-term memory tested in a working memory
version of water maze is sensitive to several parameters: i.e., NMDA receptor
antagonist type, dosage and the time interval between learning and testing.
PMID- 27180168
TI - Enhanced attention and impulsive action following NMDA receptor GluN2B-selective
antagonist pretreatment.
AB - NMDA GluN2B (NR2B) subtype selective antagonists are currently in clinical
development for a variety of indications, including major depression. We
previously reported the selective NMDA GluN2B antagonists Ro 63-1908 and
traxoprodil, increase premature responding in a 5-choice serial reaction time
task (5-CSRTT) suggesting an effect on impulsive action. The present studies
extend these investigations to a Go-NoGo and delay discounting task, and the 5
CSRTT under test conditions of both regular (5s) and short (2-5s) multiple ITI
(Intertrial interval). Dizocilpine was included for comparison. Both Ro 63-1908
(0.1-1mg/kg SC) and traxoprodil (0.3-3mg/kg SC) increased premature and
perseverative responses in both 5-CSRT tasks and improved attention when tested
under a short ITI test condition. Ro 63-1908 but not traxoprodil increased motor
impulsivity (false alarms) in a Go-NoGo task. Dizocilpine (0.01-0.06mg/kg SC)
affected both measures of motor impulsivity and marginally improved attention. In
a delay discounting test of impulsive choice, both dizocilpine and Ro 63-1908
decreased impulsive choice (increased choice for the larger, delayed reward),
while traxoprodil showed a similar trend. Motor stimulant effects were evident
following Ro 63-1908, but not traxoprodil treatment - although no signs of motor
stereotypy characteristic of dizocilpine (>0.1mg/kg) were noted. The findings of
both NMDA GluN2B antagonists affecting measures of impulsive action and
compulsive behavior may underpin emerging evidence to suggest glutamate signaling
through the NMDA GluN2B receptor plays an important role in behavioural
flexibility. The profiles between Ro 63-1908 and traxoprodil were not identical,
perhaps suggesting differences between members of this drug class.
PMID- 27180169
TI - Central role for NMDA receptors in redox mediated impairment of synaptic function
during aging and Alzheimer's disease.
AB - Increased human longevity has magnified the negative impact that aging can have
on cognitive integrity of older individuals experiencing some decline in
cognitive function. Approximately 30% of the elderly will have cognitive problems
that influence their independence. Impaired executive function and memory
performance are observed in normal aging and yet can be an early sign of a
progressive cognitive impairment of Alzheimer's disease (AD), the most common
form of dementia. Brain regions that are vulnerable to aging exhibit the earliest
pathology of AD. Senescent synaptic function is observed as a shift in Ca2+
dependent synaptic plasticity and similar mechanisms are thought to contribute to
the early cognitive deficits associated with AD. In the case of aging,
intracellular redox state mediates a shift in Ca2+ regulation including N-methyl
d-aspartate (NMDA) receptor hypofunction and increased Ca2+ release from
intracellular stores to alter synaptic plasticity. AD can interact with these
aging processes such that molecules linked to AD, beta-amyloid (Abeta) and
mutated presenilin 1 (PS1), can also degrade NMDA receptor function, promote Ca2+
release from intracellular stores, and may increase oxidative stress. Thus, age
is one of the most important predictors of AD and brain aging likely contributes
to the onset of AD. The focus of this review article is to provide an update on
mechanisms that contribute to the senescent synapse and possible interactions
with AD-related molecules, with special emphasis on regulation of NMDA receptors.
PMID- 27180170
TI - Relationships between County Health Rankings and child overweight and obesity
prevalence: a serial cross-sectional analysis.
AB - BACKGROUND: The County Health Rankings (CHR) system provides health rankings for
U.S. counties. These factors may have utility for evaluating and predicting
health outcomes. This study examined the association between CHR factors and the
prevalence of child overweight/obesity (OWOB) in the state of Pennsylvania over 3
years. METHODS: The prevalence of childhood OWOB was obtained for all
Pennsylvania school districts for the 2009-10 through 2011-12 school years.
Correlational and inferential statistical analyses were used to examine the
associations between the prevalence of OWOB in grades K-6 (OWOB1) and 7-12
(OWOB2) and z-score for the overall CHR Health Factors rank, as well as for
individual predictive factors (Health Behaviors, Clinical Care, Social and
Economic Factors and Physical Environment). RESULTS: Low to moderate correlations
(0.29-0.43) were found between OWOB1 and CHR factors. Weaker and less consistent
correlations were found for adolescents. There was a significantly higher
prevalence of OWOB in counties with poorer CHR scores. CONCLUSIONS: County-level
adult indicators of health are significantly associated with levels of child
obesity. Future studies should examine the relationship between CHR and other
health outcomes.
PMID- 27180171
TI - The Experience of Counseling Among a Singaporean Elderly Population: A
Qualitative Account of What Clients Report as Beneficial.
AB - Adjustments that accompany ageing pose a challenge to the mental health of the
elderly. Psychologically based counseling has been documented in Western
societies as an appropriate intervention for elderly persons with depressive
episodes. There is however very little research documenting how Asian elderly
populations experience and benefit from counseling. This study addresses this
research gap through a qualitative study based on post-counseling interviews with
a sample of 41 elderly persons who received counseling at a dedicated
organisation catering to the elderly in Singapore. The qualitative data revealed
that clients benefitted from counseling through better emotional management -
they received emotional support, found emotional healing and learnt to deal with
the emotions associated with grief and loss. Clients also reported that
counseling assisted them in decision making processes - older persons were able
to conceive of alternatives to their predicament, obtained insights to embark on
change, were enabled to take the perspective of others and found validation for
their decisions. While many elderly clients greatly appreciated and benefitted
from this service, citing demonstrable changes, among the very old such changes
were uncommon. Cultural explanations for these differential reports and possible
directions for much needed future research are provided.
PMID- 27180172
TI - Phytotherapy for Benign Prostatic Hyperplasia.
AB - INTRODUCTION: The use of complementary and alternative medications for
symptomatic benign prostatic hyperplasia is a lucrative business in the USA with
revenues reaching close to US$6.4 billion in sales for the 2014 fiscal year. Yet,
despite its popularity, the evidence supporting the continued use of phytotherapy
for symptomatic benign prostatic hyperplasia (BPH) is questionable and a topic
worth investigation given its wide spread use. METHODS: A comprehensive
literature search utilizing Medline and PubMed was conducted to identify
literature pertaining to phytotherapy for the management of BPH. Agents with at
least modest clinical data were selected for in-depth review including Seronoa
repens, Pygeum africanum, Secale cereale, and Hypoxis rooperi. RESULTS: Early
clinical trials for each of the agents demonstrated mixed efficacy results with
many studies pointing to a possible benefit for phytotherapy. On further
examination of these studies, significant confounders such as poor product
standardization, study design, and follow-up duration were identified. More
recent, larger and more soundly constructed studies found no significant benefit
for the use of phytotherapy in the treatment of BPH. CONCLUSIONS: Twenty years
ago, the urologic community was encouraged by trial results that suggested
phytotherapy could effectively treat symptomatic benign prostatic hyperplasia.
Since that time, several well-constructed studies have consistently demonstrated
that these agents are no more efficacious than placebo, despite being largely
safe for ingestion.
PMID- 27180173
TI - Epidermal growth factor receptor inhibitor cancer drug gefitinib modulates cell
growth and differentiation of acute myeloid leukemia cells via histamine
receptors.
AB - BACKGROUND: Epidermal growth factor receptor (EGFR) inhibitor gefitinib (Iressa)
is used for treating non-small cell lung cancer. Gefitinib also induces
differentiation in acute myeloid leukemia (AML) cell lines and patient samples
lacking EGFR by an unknown mechanism. Here we dissected the mechanism of
gefitinib action responsible for its EGFR-independent effects. METHODS: Signaling
events were analyzed by homogenous time-resolved fluorescence and immunoblotting.
Cellular proliferation and differentiation were assessed by ATP measurement,
trypan blue exclusion, 5-bromo-2'-deoxyuridine incorporation and flow-cytometry.
Gefitinib and G protein-coupled receptor (GPCR) interactions were assessed by
beta-arrestin recruitment, luciferase and radioligand competition assays. Role of
histamine receptors (HR) in gefitinib actions were assessed by HR knockdown or
pharmacological modulation. EGFR and HR interaction was assessed by co
immunoprecipitation. RESULTS: Gefitinib reduced cyclic AMP content in both AML
and EGFR-expressing cells and induced ERK phosphorylation in AML cells. Dibutyryl
cAMP or PD98059 suppressed gefitinib-induced AML cell cytostasis and
differentiation. Gefitinib bound to and modulated HRs with subtype selectivity.
Pharmacological or genetic modulations of H2 and H4 HRs (H2R and H4R) not only
suppressed gefitinib-induced cytostasis and differentiation of AML cells but also
blocked EGFR and ERK1/2 inhibition in MDA-MB-231 cells. Moreover, in MDA-MB-231
cells gefitinib enhanced EGFR interaction with H4R that was blocked by H4R
agonist 4-methyl histamine (4MH). CONCLUSION: HRs play critical roles in anti
cancer effects of gefitinib in both EGFR-deficient and EGFR-rich environments.
GENERAL SIGNIFICANCE: We furnish fresh insights into gefitinib functions which
may provide new molecular clues to its efficacy and safety issues.
PMID- 27180174
TI - Reproducible preparation of spheroids of pancreatic hormone positive cells from
human iPS cells: An in vitro study.
AB - BACKGROUND: Transplantation of islets of Langerhans is regarded as a promising
therapy for type 1 diabetes. A large number of beta-cells are required for the
treatment of human type 1 diabetes. Pluripotent stem cells, such as embryonic
stem cells and induced pluripotent stem cells, have been considered as new
sources for cell replacement therapy. METHODS: Cell aggregates were prepared from
human iPS cells using agarose microwell plates and differentiated into pancreatic
endocrine cells by changing the culture media with different additives. RESULTS:
After 20days of culture, approximately 30% of cells in aggregates were positive
for C-peptide. After another 14days in culture, the cells gained an ability to
alter C-peptide release in response to changes in the glucose concentration.
CONCLUSIONS: Uniform aggregates of human iPSCs were easily prepared on agarose
microwell plates and efficiently differentiated into the pancreatic endocrine
lineage. Thus, aggregate culture is a suitable method for preparing islet-like
aggregates from human iPSCs. GENERAL SIGNIFICANCE: Our results indicate that the
microwell plate is suitable for scaling up the preparation of pancreatic
endocrine cells from human iPS cells in a robotic system.
PMID- 27180175
TI - Prolyl hydroxylation in elastin is not random.
AB - BACKGROUND: This study aimed to investigate the prolyl and lysine hydroxylation
in elastin from different species and tissues. METHODS: Enzymatic digests of
elastin samples from human, cattle, pig and chicken were analyzed using mass
spectrometry and bioinformatics tools. RESULTS: It was confirmed at the protein
level that elastin does not contain hydroxylated lysine residues regardless of
the species. In contrast, prolyl hydroxylation sites were identified in all
elastin samples. Moreover, the analysis of the residues adjacent to prolines
allowed the determination of the substrate site preferences of prolyl 4
hydroxylase. It was found that elastins from all analyzed species contain
hydroxyproline and that at least 20%-24% of all proline residues were partially
hydroxylated. Determination of the hydroxylation degrees of specific proline
residues revealed that prolyl hydroxylation depends on both the species and the
tissue, however, is independent of age. The fact that the highest hydroxylation
degrees of proline residues were found for elastin from the intervertebral disc
and knowledge of elastin arrangement in this tissue suggest that hydroxylation
plays a biomechanical role. Interestingly, a proline-rich domain of tropoelastin
(domain 24), which contains several repeats of bioactive motifs, does not show
any hydroxyproline residues in the mammals studied. CONCLUSIONS: The results show
that prolyl hydroxylation is not a coincidental feature and may contribute to the
adaptation of the properties of elastin to meet the functional requirements of
different tissues. GENERAL SIGNIFICANCE: The study for the first time shows that
prolyl hydroxylation is highly regulated in elastin.
PMID- 27180176
TI - Food Aversions and Cravings during Pregnancy on Yasawa Island, Fiji.
AB - Women often experience novel food aversions and cravings during pregnancy. These
appetite changes have been hypothesized to work alongside cultural strategies as
adaptive responses to the challenges posed by pregnancy (e.g., maternal immune
suppression). Here, we report a study that assessed whether data from an
indigenous population in Fiji are consistent with the predictions of this
hypothesis. We found that aversions focus predominantly on foods expected to
exacerbate the challenges of pregnancy. Cravings focus on foods that provide
calories and micronutrients while posing few threats to mothers and fetuses. We
also found that women who experience aversions to specific foods are more likely
to crave foods that meet nutritional needs similar to those provided by the
aversive foods. These findings are in line with the predictions of the
hypothesis. This adds further weight to the argument that appetite changes may
function in parallel with cultural mechanisms to solve pregnancy challenges.
PMID- 27180177
TI - A novel approach to evaluating the benefit of post-urinary tract infection renal
ultrasonography, using decision curve analysis.
AB - BACKGROUND: The benefit of post-urinary tract infection (UTI) sonography to
detect clinically significant renal abnormalities remains a subject open to
debate. Decision curve analysis (DCA) is a novel method for evaluating the
clinical usefulness of diagnostic tests. Our objective was to determine, using
DCA, the benefit of post-UTI sonography and of post-UTI sonography with
biological markers of inflammation to predict the risk of recurrence of febrile
UTI in children aged 2 to 24 months without known uropathy. METHODS: We
retrospectively analyzed all children aged 2 to 24 months, without known
uropathy, who presented with a first episode of febrile UTI between 2009 and 2012
and followed them for 30 months. We then used DCA to estimate the benefit of post
UTI sonography or post-UTI sonography + biological markers of inflammation for
detecting the risk of recurrence. RESULTS: A total of 318 children [144 boys
(45.3 %) and 174 girls (54.7 %)], with a mean age of 6.9 +/- 5.6 months, were
identified. Of these, 210 children presented with a significant inflammation
[66.2 %; 95 % confidence interval (CI) 61.0-71.4], and 30 (9.4 %; 95 % CI 6.2
12.6) presented with abnormal post-UTI sonographic findings. Eighteen (5.7 %; 95
% CI 3.1-8.2) children presented with recurrent UTI at 30 months. CONCLUSIONS:
There were significantly more recurrences in those children who presented with
abnormal sonographic findings than in those who did not (relative risk 7.68; 95 %
CI 3.03-19.46). However, taking into account the effect of false-positives and
false negatives, the DCA revealed that for threshold probabilities of >30 %, at
which patients/doctors are concerned about unnecessary interventions (whether
tests or treatments), neither post-UTI sonography nor post-UTI sonography +
biological markers of inflammation have sufficient value to improve care.
PMID- 27180179
TI - Genius and psychopathology of the Italian poet Giacomo Leopardi (1798-1837):
Paolo Mantegazza's (1831-1910) criticism of the Lombrosian thought.
PMID- 27180178
TI - Efficacy and outcomes of continuous peritoneal dialysis versus daily intermittent
hemodialysis in pediatric acute kidney injury.
AB - BACKGROUND: Acute kidney injury (AKI) requiring renal replacement therapy (RRT)
is associated with high patient morbidity and mortality. There is no consensus on
the best RRT modality for pediatric AKI. METHODS: The efficacy and safety of
continuous peritoneal dialysis (cPD) and daily intermittent hemodialysis (dHD)
were compared in 136 children aged 1 month to 16 years requiring RRT for AKI.
Mortality, risk factors and causes of death, 1-month and 3-month renal recovery
rates, and technique-related complications were assessed. RESULTS: Uremia control
and the rate of catheter-related complications were comparable in the groups.
Thirty-day survival was 60.7 % (51 out of 84) with cPD and 36.5 % (19 out of 52)
with dHD (p = 0.019). Although age <1 year, extended time lag from disease onset
to RRT initiation, mechanical ventilation, and extended vasopressor dependence
independently predicted death, adjusted mortality was higher with dHD relative to
cPD (hazard ratio [HR] 1.75, 95%CI 1.18-2.84, p = 0.022). Almost all fatalities
in the dHD group (94 %) occurred during or within an hour of a HD session. Renal
function normalized in 27 % of survivors after 4 weeks and in 51 % after 3
months. The risk of permanent end-stage renal disease was increased in patients
with an intrinsic renal cause of AKI (HR 2.72; 95 % CI 1.37-3.83; p = 0.029) and
in those with delayed RRT initiation (HR 2.17; 95 % CI 123-2.93; p = 0.015), but
did not differ between patients treated with dHD and cPD. CONCLUSIONS: Favorable
patient survival with cPD compared with dHD in children treated for AKI was
evident in this study.
PMID- 27180180
TI - Epigenetics: spotlight on type 2 diabetes and obesity.
AB - Type 2 diabetes (T2D) and obesity are the major public health problems.
Substantial efforts have been made to define loci and variants contributing to
the individual risk of these disorders. However, the overall risk explained by
genetic variation is very modest. Epigenetics is one of the fastest growing
research areas in biomedicine as changes in the epigenome are involved in many
biological processes, impact on the risk for several complex diseases including
diabetes and may explain susceptibility. In this review, we focus on the role of
DNA methylation in contributing to the risk of T2D and obesity.
PMID- 27180181
TI - Delay and probability discounting by drug-dependent cocaine and marijuana users.
AB - RATIONALE: Steep discounting of delayed monetary rewards by substance-dependent
individuals is well-established. Less is known, however, about discounting other
kinds of outcomes, and very little is known about discounting by marijuana
dependent individuals. OBJECTIVES: To determine how cocaine-dependent individuals
and marijuana-dependent individuals discount various delayed and probabilistic
outcomes, both positive and negative. METHODS: Marijuana-dependent individuals,
cocaine-dependent individuals, and controls performed delay and probability
discounting tasks with various hypothetical outcomes. RESULTS: The cocaine
dependent (but not the marijuana-dependent) group discounted delayed liquid
rewards and monetary gains, but not delayed losses, more steeply than the control
group. In contrast, the marijuana-dependent group (but not the cocaine-dependent
group) discounted delayed monetary losses more steeply than controls. There were
no group differences in discounting for any of the probabilistic outcomes. Factor
analysis revealed a delayed gain factor, a probabilistic gain factor, and a
delayed/probabilistic loss factor. The delayed gain factor scores for the cocaine
dependent group, but not the marijuana-dependent group, differed significantly
from those of the control group. The groups did not differ in their probabilistic
gain factor scores, and the marijuana-dependent group did not differ from the
controls with respect to their loss factor scores. CONCLUSIONS: These results are
inconsistent with the idea that steep discounting of both gains and losses and
both delayed and probabilistic outcomes reflects a general impulsivity trait, as
well as with the idea that all drug-dependent individuals are steep discounters.
Rather, differences in discounting appear to be related to both the type of
outcome and the specific drug on which individuals are dependent.
PMID- 27180182
TI - Voxel-based comparative analysis of lung lesions in CT for therapeutic purposes.
AB - Lung cancer remains as one of the most incident types of cancer throughout the
world. Temporal evaluation has become a very useful tool when one wishes to
analyze some malignancy-indicating behavior. The objective of the present work is
to detect changes in the local densities of lung lesions over time (follow-up
analysis). From the detected changes, local information as well as extent region
of changes can complement the studies regarding the malignant or benign nature of
the lesion. Based on this idea, we attempt to use techniques that allow the
observation of changes in the lesion over time, based on remote sensing
techniques which highlight changes occurring in the environment. The techniques
used were the image differencing, image rationing, median filtering, image
regression and the fuzzy XOR operator. Based on the global measurement of change
percentage in the density, we found density variations which were considered
significant in a range from 2.22 to 36.57 % of the volume of the lesion. The
results achieved are promising since, besides the visual aspects of the changes
in density of the lung lesion over time, we managed to quantify these changes and
compare them by volumetric analysis, a more commonly used technique for analysis
of changes in lung lesions.
PMID- 27180183
TI - Screening outcome in women repeatedly recalled for the same mammographic
abnormality before, during and after the transition from screen-film to full
field digital screening mammography.
AB - OBJECTIVE: The aim of this study was to retrospectively determine screening
outcome in women recalled twice for the same mammographic lesion before, during,
and after transition from screen-film (SFM) to full-field digital screening
mammography (FFDM). METHODS: We included women with a repeated recall for the
same mammographic abnormality (37 at subsequent SFM-screening, obtained between
January 2000-April 2010; respectively 54 and 65 women with a prior SFM-screen or
FFDM-screen followed by subsequent FFDM-screening, obtained between May 2009-July
2013). RESULTS: At SFM-screening, repeated recalls for the same lesion comprised
1.2 % of recalls (37/3217), including 13 malignancies (positive predictive value
(PPV), 35.1 %). During the SFM to FFDM transition (SFM-screen followed by FFDM
screen), FFDM recalls comprised more repeated recalls for the same lesion (2.2 %,
P = 0.002), with a lower PPV (14.8 %, P = 0.02). This proportion increased to 2.8
% after transition to FFDM (i.e., two successive FFDM-screens), with 16
malignancies (PPV, 24.6 %). Invasive cancers at repeated recall were smaller than
interval cancers (T1a-c, 79.4 versus 46.8 %, P = 0.001), with less lymph node
involvement (20.6 versus 46.5 %, P = 0.007). CONCLUSIONS: More women are
repeatedly recalled for the same mammographic abnormality during and after the
transition from SFM to FFDM-screening, with comparable cancer risks before and
after the transition. These cancers show better prognostic characteristics than
interval cancers. KEY POINTS: * FFDM-screening increases the number of repeated
recalls for the same mammographic abnormality. * The PPV of these recalls is
comparable before and after transition to FFDM-screening. * Cancers diagnosed
after a repeated recall are smaller than interval cancers. * These cancers also
show less lymph node involvement than interval cancers.
PMID- 27180184
TI - Predictors of treatment response following aspiration sclerotherapy of hepatic
cysts: an international pooled analysis of individual patient data.
AB - OBJECTIVES: To identify predictive variables of treatment response following
aspiration sclerotherapy of large symptomatic hepatic cysts. METHODS: We
collected individual patient data from two tertiary referral centres and included
all patients treated with aspiration sclerotherapy of a large (>5 cm),
symptomatic hepatic cyst. At six months, clinical response was defined as
complete or incomplete. Secondary, suboptimal technical response was defined as
lower quartile of cyst reduction. Predictive variables of clinical and technical
response were analyzed by logistic regression analysis. RESULTS: We included 86
patients (58 +/- 10 years; female 90 %). Complete clinical response rate was 55
%. Median cyst diameter and volume reduction were 71 % (IQR 50-87 %) and 98 %
(IRQ 88-100 %), respectively. Patients with complete clinical response had a
significantly higher cyst reduction compared to incomplete responders (OR 1.02,
95 % CI 1.00-1.04). Aspiration of haemorrhagic cyst fluid (OR 4.39, 95 % CI 1.34
14.39) or a lower cyst reduction at one month (OR 1.06, 95 % CI 1.02-1.10) was
associated with a suboptimal technical response at six months. CONCLUSION:
Complete clinical response is associated with effective cyst reduction.
Aspiration of haemorrhagic cyst fluid or a restricted diameter reduction at one
month predicts a suboptimal technical treatment response, however, these
variables did not predict symptom disappearance. KEY POINTS: * Aspiration
sclerotherapy of hepatic cysts shows excellent clinical and technical efficacy. *
Optimal clinical responders have a markedly higher cyst reduction. * Haemorrhagic
aspirate and a strong fluid reaccumulation predict suboptimal cyst reduction.
PMID- 27180185
TI - Use of intravoxel incoherent motion diffusion-weighted MR imaging for assessment
of treatment response to invasive fungal infection in the lung.
AB - OBJECTIVES: The purpose of this study was to determine whether intravoxel
incoherent motion (IVIM) -derived parameters and apparent diffusion coefficient
(ADC) could act as imaging biomarkers for predicting antifungal treatment
response. METHODS: Forty-six consecutive patients (mean age, 33.9 +/- 13.0 y)
with newly diagnosed invasive fungal infection (IFI) in the lung according to
EORTC/MSG criteria were prospectively enrolled. All patients underwent diffusion
weighted magnetic resonance (MR) imaging at 3.0 T using 11 b values (0-1000
sec/mm2). ADC, pseudodiffusion coffiecient D*, perfusion fraction f, and the
diffusion coefficient D were compared between patients with favourable (n=32) and
unfavourable response (n=14). RESULTS: f values were significantly lower in the
unfavourable response group (12.6%+/-4.4%) than in the favourable response group
(30.2%+/-8.6%) (Z=4.989, P<0.001). However, the ADC, D, and D* were not
significantly different between the two groups (P>0.05). Receiver operating
characteristic curve analyses showed f to be a significant predictor for
differentiation, with a sensitivity of 93.8% and a specificity of 92.9%.
CONCLUSIONS: IVIM-MRI is potentially useful in the prediction of antifungal
treatment response to patients with IFI in the lung. Our results indicate that a
low perfusion fraction f may be a noninvasive imaging biomarker for unfavourable
response. KEY POINTS: * Recognition of IFI indicating clinical outcome is
important for treatment decision-making. * IVIM can reflect diffusion and
perfusion information of IFI lesions separately. * Perfusion characteristics of
IFI lesions could help differentiate treatment response. * An initial low f may
predict unfavourable response in IFI.
PMID- 27180187
TI - Introducing a new scoring system for pap smear in the detection of high-grade
squamous intraepithelial lesions in pregnancy (The Luebeck Score).
AB - PURPOSE: To construct a scoring system for pap smears to objectify cytological
appraisal and to enhance the accuracy and comparability of pap smear
interpretation in pregnancy. MATERIALS AND METHODS: For development of a scoring
system for cell appraisal of pap smears the style of the Modified Masood's
Scoring Index for appraisal of cells from fine needle aspirations of breast
lesions was used. Cohort analysis of n = 54 dysplastic pap smears for
polymorphology of cells, anisonucleosis, structure of the nucleus, signs of
tissue destruction, nucleus/plasma relation and signs of tumordiathesis. Each
criteria was classified into three stages: The first with little evidence for
dysplasia (one point), second stage with sporadic evidence (two points) or third
stage with frequent evidence (three points). To further evaluate if pregnancy
associated cells changes interfere with this scoring system we compared the
results of pregnant and non-pregnant women. Histological result was used as an
indicator of correctness of the score. RESULTS: Statistical analysis showed a
good correlation of the scoring system with histological results. Especially in
pregnancy statistical analysis shows promising results (sensitivity 86.67 %,
Specificity 100 %, receiver operating characteristic analysis p <= 0.05).
CONCLUSION: The Luebeck Score seems to be a useful approach for appraisal of pap
smears in pregnancy. Further studies containing high numbers of cases are needed
for further evaluation of potential benefits of the scoring system compared to
conventional evaluation of pap smears.
PMID- 27180186
TI - Thoracic staging with 18F-FDG PET/MR in non-small cell lung cancer - does it
change therapeutic decisions in comparison to 18F-FDG PET/CT?
AB - OBJECTIVE: To investigate whether differences in thoracic tumour staging between
18F-FDG PET/CT and PET/MR imaging lead to different therapeutic decisions in Non
Small Cell Lung Cancer (NSCLC). MATERIAL AND METHODS: Seventy-seven NSCLC
patients that underwent whole-body 18F-FDG PET/CT from the base of skull to the
upper thighs and thoracic PET/MR were enrolled in this retrospective study.
Thoracic PET/CT and PET/MR images were staged according to the 7th edition of the
AJCC staging manual. Staging results of both modalities were discussed separately
in a simulated interdisciplinary tumour board and therapeutic decisions based on
both imaging modalities were recorded. Descriptive statistics were used to
compare the results and reasons for changes in the therapeutic decision were
investigated. RESULTS: Staging results differed in 35 % of patients (27 patients)
between thoracic PET/CT and PET/MR. Differences were detected when assessing the
T-stage in 18 % (n = 14), the N-stage in 23 % (n = 18), and the M-stage in 1 % (n
= 1). However, patient therapy management was changed in only six patients (8 %).
CONCLUSION: Despite the variability of thoracic 18F-FDG PET/CT and PET/MR in TNM
staging, both modalities lead to comparable therapeutic decisions in patients
suffering from NSCLC. Hence, 18F-FDG PET/MR can be considered an possible
alternative to 18F-FDG PET/CT for clinical NSCLC staging. KEY POINTS: * PET/CT
and PET/MR provide comparable results in early stages in NSCLC * Clinical impact
of different staging results has not been investigated * PET/CT and PET/MR lead
to comparable therapeutic decisions * PET/MR can be considered an alternative to
PET/CT for NSCLC staging.
PMID- 27180188
TI - Erratum to: Proof-of Concept that an Acute Trophic Factors Intervention After
Spinal Cord Injury Provides an Adequate Niche for Neuroprotection, Recruitment of
Nestin-Expressing Progenitors and Regeneration.
PMID- 27180189
TI - Resveratrol Attenuates Abeta25-35 Caused Neurotoxicity by Inducing Autophagy
Through the TyrRS-PARP1-SIRT1 Signaling Pathway.
AB - Alzheimer's disease (AD) is a neurodegenerative disorder characterized by the
accumulation of beta-amyloid peptide (Abeta) and loss of neurons. Resveratrol
(RSV) is a natural polyphenol that has been found to be beneficial for AD through
attenuation of Abeta-induced toxicity in neurons both in vivo and in vitro.
However, the specific underlying mechanisms remain unknown. Recently, autophagy
was found to protect neurons from toxicity injuries via degradation of impaired
proteins and organelles. Therefore, the aim of this study was to determine the
role of autophagy in the anti-neurotoxicity effect of RSV in PC12 cells. We found
that RSV pretreatment suppressed beta-amyloid protein fragment 25-35 (Abeta25-35)
induced decrease in cell viability. Expression of light chain 3-II, degradation
of sequestosome 1, and formation of autophagosomes were also upregulated by RSV.
Suppression of autophagy by 3-methyladenine abolished the favorable effects of
RSV on Abeta25-35-induced neurotoxicity. Furthermore, RSV promoted the expression
of sirtuin 1 (SIRT1), auto-poly-ADP-ribosylation of poly (ADP-ribose) polymerase
1 (PARP1), as well as tyrosyl transfer-RNA (tRNA) synthetase (TyrRS).
Nevertheless, RSV-mediated autophagy was markedly abolished with the addition of
inhibitors of SIRT1 (EX527), nicotinamide phosphoribosyltransferase (STF-118804),
PARP1 (AG-14361), as well as SIRT1 and TyrRS small interfering RNA transfection,
indicating that the action of RSV on autophagy induction was dependent on TyrRS,
PARP1 and SIRT1. In conclusion, RSV attenuated neurotoxicity caused by Abeta25-35
through inducing autophagy in PC12 cells, and the autophagy was partially
mediated via activation of the TyrRS-PARP1-SIRT1 signaling pathway.
PMID- 27180191
TI - Relationship Between Chronic Tinnitus and Glial Cell Line-Derived Neurotrophic
Factor Gene rs3812047, rs1110149, and rs884344 Polymorphisms in a Turkish
Population.
AB - Glial cell line-derived neurotrophic factor (GDNF) plays a key role in early
development of central auditory pathway and the inner ear. However, the auditory
pathway studies of GDNF gene polymorphisms are scarce in the literature, and the
studies especially associated with tinnitus are limited. Our study aimed to
identify whether GDNF gene polymorphisms play any roles in the pathophysiology of
tinnitus by investigating the relationship between tinnitus and GDNF
polymorphisms. A total of 52 patients with chronic tinnitus and ages ranging from
18 to 55 were admitted to the Ear-Nose-Throat outpatient clinic of Celal Bayar
University Medical Faculty Hospital of Manisa, Turkey and constituted the study
group. Another 42 patients of the same age range, without tinnitus symptoms and
lacking any systemic disease, were also admitted to the clinic and formed the
control group. The tympanometric, audiological, and psychoacoustic assessments of
the subjects were performed. Deoxyribonucleic acid samples obtained using venous
blood taken for routine inspections were used to investigate GDNF gene
polymorphisms (rs884344, rs3812047, and rs1110149) by polymerase chain reaction
based restriction fragment length polymorphism method. No correlation could be
detected between GDNF rs884344 and rs3812047 polymorphisms and subjects with
tinnitus (p > 0.05). Heterozygosity was significantly lower for GDNF rs1110149
polymorphism in tinnitus subjects compared to the controls (p < 0.05). However,
the allele frequencies for all 3 polymorphisms were not significantly different
between tinnitus and control groups (p > 0.05). Failure to detect correlations
between tinnitus and GDNF gene polymorphisms suggests this may be due to the fact
that the GDNF gene has a variable expression pattern in different tissues and
pathologies. Therefore, the study should be improved and its scope should be
expanded by including a larger group of patients and different tissues to
investigate the expression pattern of GDNF.
PMID- 27180190
TI - Protective Effect of Aliskiren in Experimental Ischemic Stroke: Up-Regulated p
PI3K, p-AKT, Bcl-2 Expression, Attenuated Bax Expression.
AB - Aliskiren (ALK), a pharmacological renin inhibitor, is an effective
antihypertensive drug and has potent anti-apoptotic activity, but it is currently
unknown whether ALK is able to attenuate brain damage caused by acute cerebral
ischemia independent of its blood pressure-lowering effects. This study aimed to
investigate the role of ALK and its potential mechanism in cerebral ischemia.
C57/BL6 mice were subjected to transient middle cerebral artery occlusion (tMCAO)
and treated for 5 days with Vehicle or ALK (10 or 25 mg/kg per day via
intragastric administration), whereas Sham-operated animals served as controls.
Treatment with ALK significantly improved neurological deficits, infarct volume,
brain water content and Nissl bodies after stroke (P < 0.05), which did not
affect systemic blood pressure. Furthermore, the protection of ALK was also
related to decreased levels of apoptosis in mice by enhanced activation of
phosphatidylinositol 3-kinase (PI3K)/AKT pathway, increased level of Bcl-2 and
reduced Bax expression (P < 0.05). In addition, ALK's effects were reversed by
PI3K inhibitors LY294002 (P < 0.05). Our data indicated that ALK protected the
brain from reperfusion injuries without affecting blood pressure, and this effect
may be through PI3K/AKT signaling pathway.
PMID- 27180192
TI - Antenatal consultation for parents whose child may require admission to neonatal
intensive care: a focus group study for media design.
AB - BACKGROUND: For parents whose child may require admission to a neonatal intensive
care unit (NICU), the antenatal consultation is often their first point of
contact with the child's medical team. Consultation challenges health
professionals, as parents may be anxious, overwhelmed, or even exhausted by what
is and what might occur. Despite consultation being a common practice, there is a
paucity of research on how to support practitioners and parents. The purpose of
this study was to gain insights into important relational aspects of antenatal
consultation that may be used to spur the development of media to support
consultation. METHODS: Focus group, as a data collection method, was employed to
gather insights about antenatal consultation from a total of 50 hospital staff
and 17 NICU parents from a large urban NICU program in western Canada.
Qualitative content analysis was applied to the obtained materials to explicate
themes that may serve as necessary understandings for media design. RESULTS:
Participating hospital staff and parents expressed their desire for a good
antenatal consultation with comments grouped under the following themes:
supporting the building of a caring relation; sharing information in
conversation; and, preparing for what is to come. CONCLUSIONS: To support the
emerging relations of baby, parent, and hospital staff, a good antenatal
consultation needs to convey care, understanding, and empathy; create
possibilities for open and genuine conversations; and, foster the buildings of
respect, confidence, and trust.
PMID- 27180193
TI - Quantifying the plant actin cytoskeleton response to applied pressure using
nanoindentation.
AB - Detection of potentially pathogenic microbes through recognition by plants and
animals of both physical and chemical signals associated with the pathogens is
vital for host well-being. Signal perception leads to the induction of a variety
of responses that augment pre-existing, constitutive defences. The plant cell
wall is a highly effective preformed barrier which becomes locally reinforced at
the infection site through delivery of new wall material by the actin
cytoskeleton. Although mechanical stimulation can produce a reaction, there is
little understanding of the nature of physical factors capable of triggering
plant defence. Neither the magnitude of forces nor the contact time required has
been quantified. In the study reported here, mechanical stimulation with a
tungsten microneedle has been used to quantify the response of Arabidopsis plants
expressing an actin-binding protein tagged with green fluorescent protein (GFP)
to reveal the organisation of the actin cytoskeleton. Using confocal microscopy,
the response time for actin reorganisation in epidermal cells of Arabidopsis
hypocotyls was shown to be 116 +/- 49 s. Using nanoindentation and a diamond
spherical tip indenter, the magnitude of the forces capable of triggering an
actin response has been quantified. We show that Arabidopsis hypocotyl cells can
detect a force as small as 4 MUN applied for as short a time as 21.6 s to trigger
reorganisation of the actin cytoskeleton. This force is an order of magnitude
less than the potential invasive force determined for a range of fungal and
oomycete plant pathogens. To our knowledge, this is the first quantification of
the magnitude and duration of mechanical forces capable of stimulating a
structural defence response in a plant cell.
PMID- 27180194
TI - Different functions of the histone acetyltransferase HAC1 gene traced in the
model species Medicago truncatula, Lotus japonicus and Arabidopsis thaliana.
AB - In eukaryotes, histone acetyltransferases regulate the acetylation of histones
and transcription factors, affecting chromatin structural organization,
transcriptional regulation, and gene activation. To assess the role of HAC1, a
gene encoding for a histone acetyltransferase in Medicago truncatula, stable
transgenic lines with modified HAC1 expression in the model plants M. truncatula,
Lotus japonicus, and Arabidopsis thaliana were generated by Agrobacterium
mediated transformation and used for functional analyses. Histochemical,
transcriptional, flow cytometric, and morphological analyses demonstrated the
involvement of HAC1 in plant growth and development, responses to internal
stimuli, and cell cycle progression. Expression patterns of a reporter gene
encoding beta-glucuronidase (GUS) fused to the HAC1 promoter sequence were
associated with young tissues comprised of actively dividing cells in different
plant organs. The green fluorescent protein (GFP) signal, driven by the HAC1
promoter, was detected in the nuclei and cytoplasm of root cells. Transgenic
lines with HAC1 overexpression and knockdown showed a wide range of phenotypic
deviations and developmental abnormalities, which provided lines of evidence for
the role of HAC1 in plant development. Synchronization of A. thaliana root tips
in a line with HAC1 knockdown showed the involvement of this gene in the
acetylation of two core histones during S phase of the plant cell cycle.
PMID- 27180195
TI - Meiosis, Balbiani body and early asymmetry of Thermobia oocyte.
AB - The meiotic division guarantees maintenance of a genetic diversity; it consists
of several stages, with prophase I being the longest and the most complex. We
decided to follow the course of initial stages of meiotic division in ovaries of
Thermobia domestica using modified techniques of squash preparations, semithin
sections, and electron microscopy. We show that germaria contain numerous
germline cells that can be classified into three categories: cystoblasts, meiotic
oocytes, and growing previtellogenic oocytes. The cystoblasts are located most
apically. The meiotic oocytes occupy the middle part of the germarium, and the
previtellogenic oocytes can be found in the most basal part, near the
vitellarium. Analyses of the semithin sections and squash preparations show that
post leptotene meiotic chromosomes gather in one region of the nucleoplasm where
they form the so-called bouquet. The telomeres of the bouquet chromosomes are
attached to a relatively small area (segment) of the nuclear envelope. Next to
this envelope segment, the nucleolar organizers are also located. We show that in
concert to sequential changes inside the oocyte nuclei, rearrangement of
organelles within the ooplasm (oocyte cytoplasm) takes place. This leads to the
formation of the Balbiani body and consequent asymmetry of the ooplasm. These
early nuclear and cytoplasmic asymmetries, however, are transient. During
diplotene, the chromosome bouquet disappears, while the Balbiani body gradually
disperses throughout the ooplasm. Finally, our observations indicate the presence
of lampbrush chromosomes in the nuclei of previtellogenic oocytes. In the close
vicinity to lampbrush chromosomes, characteristic spherical nuclear bodies are
present.
PMID- 27180196
TI - A first vascularized skin equivalent as an alternative to animal experimentation.
AB - Tissue-engineered skin equivalents mimic key aspects of the human skin, and can
thus be employed as wound coverage for large skin defects or as in vitro test
systems as an alternative to animal models. However, current skin equivalents
lack a functional vasculature limiting clinical and research applications. This
study demonstrates the generation of a vascularized skin equivalent with a
perfused vascular network by combining a biological vascularized scaffold
(BioVaSc) based on a decellularized segment of a porcine jejunum and a tailored
bioreactor system. Briefly, the BioVaSc was seeded with human fibroblasts,
keratinocytes, and human microvascular endothelial cells. After 14 days at the
air-liquid interface, hematoxylin & eosin and immunohistological staining
revealed a specific histological architecture representative of the human dermis
and epidermis including a papillary-like architecture at the dermal-epidermal
junction. The formation of the skin barrier was measured non-destructively using
impedance spectroscopy. Additionally, endothelial cells lined the walls of the
formed vessels that could be perfused with a physiological volume flow. Due to
the presence of a complex in-vivo-like vasculature, the here shown skin
equivalent has the potential for skin grafting and represents a sophisticated in
vitro model for dermatological research.
PMID- 27180197
TI - Association between IFNL4 rs368234815 polymorphism and sustained virological
response in chronic hepatitis C patients undergoing PEGylated
interferon/ribavirin therapy: A meta-analysis.
AB - BACKGROUND AND AIMS: Many studies have been published on the association between
IFNL4 rs368234815 single-nucleotide polymorphism (SNP) and sustained virological
response (SVR) in chronic hepatitis C (CHC) patients undergoing treatment with
PEGylated interferon (PEG-IFN) plus ribavirin (RBV). Because of the variable and
sometimes inconsistent results, we performed a meta-analysis to estimate the
association between these factors. METHODS: We conducted a search of the
literature published prior to July 1, 2014. The pooled results were analyzed as
the odds ratios (ORs) and corresponding 95% confidence intervals (CIs) using
random-effect model. RESULTS: The pooled results revealed that the rs368234815
TT/TT genotype was significantly correlated with SVR in HCV-1/4-infected
Caucasian patients (OR=4.65, 95% CI=3.36-6.42, P<0.00001) but not in HCV-2/3
infected Caucasian patients (OR=1.44, 95% CI: 0.89-2.33, P=0.13). Conversely, the
rs368234815 DeltaG/DeltaG genotype was significantly linked to treatment failure
in Caucasian patients (OR=0.49, 95% CI: 0.38-0.64, P<0.00001), regardless of the
HCV genotype. CONCLUSION: The results of the meta-analysis suggest that IFNL4
rs368234815 polymorphism may be a predictor of SVR in Caucasian HCV-1/4-infected
patients.
PMID- 27180198
TI - Mannose-binding lectin gene (MBL2) polymorphisms related to the mannose-binding
lectin low levels are associated to dengue disease severity.
AB - Dengue is the main arbovirosis in the tropical and subtropical areas of the
world. The majority of infected individuals present an asymptomatic outcome while
others progress to dengue fever (DF) or dengue haemorrhagic fever (DHF). Dengue
infection evolution to severe outcomes is in part, related to innate immunity
response. The MBL2 gene encodes for a pathogen recognition pattern molecule, the
mannose-binding lectin (MBL). Variant alleles at promoter and structural regions
of the MBL2 are related to serum MBL levels and function. Due to the important
inflammatory modulation role of MBL, MBL2 polymorphisms could influence dengue
progression. Therefore, this study investigated associations of MBL2
polymorphisms and serum MBL levels in patients with dengue. Genotyping of
promoter and structural regions of MBL2 was performed by real-time PCR using
Taqman(r) probes in 161 patients presenting DF or DHF outcome. For the serum MBL
determination a commercial ELISA kit was used. The variant OO genotype and O
allele were associated with DHF (p=0.008 and p=0.009 respectively). Haplotypes
correlated to MBL low levels were associated with DHF (p=0.04). Our results
support the hypothesis that patients carrying genotypes or haplotypes of low
production of MBL would be more susceptible to DHF.
PMID- 27180199
TI - Reply to "Improved postoperative mortality rates after thoracic surgery for lung
cancer" [CLM-D-16-00187].
PMID- 27180200
TI - Amendment of the cytokine profile in macrophages subsequent to their interaction
with smooth muscle cells: Differential modulation by fractalkine and resistin.
AB - In atherosclerotic plaques, macrophages (MAC) and smooth muscle cells (SMC)
frequently reside in close proximity and resistin (Rs) and fractalkine (Fk) are
present at increased levels, resistin being associated with CD68 macrophages and
fractalkine predominantly associated with intimal SMC; however, their role in
this location is not clear, yet. The objective of this study was to determine
whether the cross-talk between MAC-SMC induces changes in MAC cytokine phenotype
and if Fk and Rs have a role in the process. To this purpose, macrophages (THP-1
monocytes differentiated with phorbol myristate acetate) were interacted with SMC
cultured on the membrane inserts in the presence or absence of Rs or Fk. After
24h, MAC were removed from the co-culture and the gene and protein expression of
57 cytokines was assessed by QPCR and Proteome ProfilerTM Array. Fk secreted in
the culture medium following MAC-SMC interaction was determined (ELISA assay) and
the role of Fk in MAC cytokine gene expression was assessed by silencing the Fk
receptor in both cell types. The results showed that subsequent to the
interaction with SMC, MAC exhibit: (1) a general increased expression of
chemokines (the highest fold increase: VCC-1 and GRO-alpha) and of some
interleukins, such as interleukins IL-5 (~8-fold) and IL-6; (2) an increased Fk
expression that in turn induces expression of: CXCL17, CCL19, CCL2, CXCL10,
CXCL12, CXCL4, CXCL7, CCL4, CCL18, CXCL16, CXCL1 and IL-27; (3) in the presence
of Rs, a predominant increased expression of interleukins (the highest fold
increase: IL-6, IL-27, IL-23 and IL-5) and an augmented expression of some
chemokines such as MIP-1beta, GRO-alpha and CCL1. In addition, the secretome
collected from the SMC-MAC co-culture increased human monocytes chemotaxis. DAVID
analysis of the data revealed that the switch of MAC to a pro-inflammatory
phenotype, prime the cells to intervene in the immune response, chemotaxis and
inflammatory response. In conclusion, MAC cytokines expression is considerable
augmented upon their interaction with SMC and Fk and Rs have distinct
immunomodulatory roles: Fk predominantly increases the pro-angiogenic and
inflammatory chemokines expression and Rs mostly the pro-inflammatory
interleukins with consequences on monocyte chemotaxis. The novel data could help
to develop targeted nanotherapies to reduce leukocyte chemotaxis and the ensuing
inflammatory process associated with atherosclerosis.
PMID- 27180201
TI - Calcitriol decreases pro-inflammatory cytokines and protects against severe
hemorrhagic shock induced-organ damage in rats.
AB - INTRODUCTION: Resuscitation after hemorrhagic shock (HS) could result in
increased pro-inflammatory cytokines and then multiple organ dysfunctions.
Calcitriol exerts pleiotropic effects in a wide variety of target tissues and has
a role against anti-inflammation. The present study was aimed to investigate the
modulatory effects of calcitriol on the pathophysiological and inflammatory
markers following HS in rats. MATERIALS AND METHODS: By withdrawing 60% of the
total blood volume over 30min via a femoral artery catheter in rats, HS was
induced. Afterwards, 10ng/kg calcitriol was injected intravenously in rats. After
performing these procedures, hemodynamic status of mean arterial pressure (MAP)
and heart rate (HR) were continuously monitored for 12h. Hemoglobin, lactic
dehydrogenase (LDH), creatine phosphokinase (CPK), liver and renal function were
measured at 30min before the induction of HS and 0, 1, 3, 6, 9, and 12h after HS,
while an equal volume of normal saline as replacement fluid. At 1 and 12h after
inducing HS, serum levels of tumor necrosis factor-alpha (TNF-alpha), and
interleukin-6 (IL-6) levels were measured, and the livers, kidneys and lungs were
taken out and then examined histo-pathologically at 48h after inducing HS.
RESULTS: Hemoglobin and MAP were significantly decreased, liver and renal
function were significantly impaired, but HR and the levels of LDH, CPK, TNF
alpha and IL-6 were significantly increased after HS in rats. After being treated
with calcitriol following HS resulted in better survival rate, lower serum levels
of TNF-alpha and IL-6, and lesser hepatic, renal, and pulmonary histo-pathologic
scores of injury in rats. CONCLUSION: Being treated with calcitriol after HS
could ameliorate the pro-inflammatory reactions by modulating the effects of
cytokines, which lead to prevention of subsequent major organ damages.
PMID- 27180202
TI - High serum CXCL10 in Rickettsia conorii infection is endothelial cell mediated
subsequent to whole blood activation.
AB - BACKGROUND: The pathophysiological hallmark of Rickettsia conorii (R. conorii)
infection comprises infection of endothelial cells with perivascular infiltration
of T-cells and macrophages. Although interferon (IFN)-gamma-induced protein 10
(IP-10)/CXCL10 is induced during vascular inflammation, data on CXCL10 in R.
conorii infection is scarce. METHODS: Serum CXCL10 was analyzed in two cohorts of
southern European patients with R. conorii infection using multiplex cytokine
assays. The mechanism of R. conorii-induced CXCL10 release was examined ex vivo
using human whole blood interacting with endothelial cells. RESULTS: (i) At
admission, R. conorii infected patients had excessively increased CXCL10 levels,
similar in the Italian (n=32, ~56-fold increase vs controls) and the Spanish
cohort (n=38, ~68-fold increase vs controls), followed by a marked decrease after
recovery. The massive CXCL10 increase was selective since it was not accompanied
with similar changes in other cytokines. (ii) Heat-inactivated R. conorii induced
a marked CXCL10 increase when whole blood and endothelial cells were co-cultured.
Even plasma obtained from R. conorii-exposed whole blood induced a marked CXCL10
release from endothelial cells, comparable to the levels found in serum of R.
conorii-infected patients. Bacteria alone did not induce CXCL10 production in
endothelial cells, macrophages or smooth muscle cells. CONCLUSIONS: We show a
massive and selective serum CXCL10 response in R. conorii-infected patients,
likely reflecting release from infected endothelial cells characterized by
infiltrating T cells and monocytes. The CXCL10 response could contribute to T
cell infiltration within the infected organ, but the pathologic consequences of
CXCL10 in clinical R. conorii infection remain to be defined.
PMID- 27180203
TI - Bacoside A and bromelain relieve dichlorvos induced changes in oxidative
responses in mice serum.
AB - Reactive oxygen species (ROS) may be involved in the pathogenesis of serum
induced by dichlorvos. Therefore, the rationale of present research was to
evaluate the ameliorative efficacy of bacoside A and bromelain on oxidative
stress biomarkers in serum of dichlorvos intoxicated mice. Also the level of
serum antioxidants viz. catalase (CAT), superoxide dismutase (SOD), glutathione
peroxidase (GPx) and reduced glutathione (GSH) were measured. For experiments,
mice were allocated into six groups. First group received saline as a vehicle;
second group was administered with dichlorvos (40 mg/kg b.w.); third group was
administered with bromelain (70 mg/kg b.w.), fourth group received dose of
bacoside A (5 mg/kg b.w.), fifth group was given concomitant exposure of bacoside
A and bromelain both and mice of sixth group were exposed to bacoside A,
bromelain and dichlorvos for 21 days consecutively. Oxidative stress biomarkers
thiobarbituric acid reactive substances (TBARS) and protein carbonyl content
(PCC) and antioxidants (CAT, SOD, GPx and GSH) level of serum was determined to
elucidate the protective potential of bacoside A and bromelain against dichlorvos
intoxication. Significantly increased TBARS and PCC level in second group
suggests that dichlorvos enhances the production of free radicals in serum of
mice (p < 0.05). Antioxidants treatment significantly decreased the levels of
TBARS and PCC (p < 0.05). Dichlorvos administration causes a significant
reduction in the level of CAT, SOD, GPx and GSH (p < 0.05) which was restored
significantly by co-administration of bromelain and bacoside A in dichlorvos
exposed mice (p < 0.05). The bacoside A and bromelain are attributed with
antioxidant properties. Finding of research conclude that concomitant exposure of
bacoside A and bromelain was much effective in combating oxidative stress induced
by dichlorvos.
PMID- 27180204
TI - Paris Saponin II induced apoptosis via activation of autophagy in human lung
cancer cells.
AB - Paris Saponin II (PSII) has been shown anticancer activity against several cancer
lines through the pro-apoptotic pathway. The aim of the study was to investigate
the relationship between apoptosis and autophagy taking part in the anti-cancer
mechanisms of PSII. In this study, PSII induced autophagy and apoptosis in dose-
and time-dependent manners. Meanwhile, it induced autophagy as early as 2 h after
exposure to 1 MUM of PSII accompanying with apoptosis. Blockade of autophagy with
chloroquine (CQ) attenuated apoptosis, while regulation of reactive oxygen
species (ROS) by N-acetyl cysteine (NAC), gallic acid (GA) and H2O2 could not
influence autophagy. In addition, PSII induced apoptosis via activation of
autophagy, which might be associated with the activation of JNK and inhibition of
PI3K/AKT/mTOR pathway. All in all, our research increased the understanding of
the role of PSII regulating autophagy and apoptosis, which would hopefully
provide prospective strategies for cancer therapy.
PMID- 27180205
TI - Bovine serum albumin interacts with silver nanoparticles with a "side-on" or "end
on" conformation.
AB - As the nanoparticles (NPs) enter into the biological interface, they have to
encounter immediate and first exposure to many proteins of different
concentrations. The physicochemical interaction of NPs and proteins is greatly
influenced not only by the number and type of proteins; but also the surface
chemistry of NPs. To analyze the effects of NPs on proteins, the interaction
between bovine serum albumin (BSA) and silver nanoparticles (AgNPs) at different
concentrations were investigated. The interaction, BSA conformations, kinetics
and adsorption were analyzed by UV-Visible spectrophotometer, dynamic light
scattering (DLS), FT-IR spectroscopy and fluorescence quenching. DLS, FTIR and UV
visible spectrophotometric analysis confirms the interaction with minor
alterations in size of the protein. Fluorescence quenching analysis confirms the
side-on or end-on interaction of 1.5 molecules of BSA to AgNP. Further, pseudo
second order kinetics was determined with equilibrium contact-time of 30 min. The
data of the present study determines the detailed evaluation of BSA adsorption on
AgNP along with mechanism, kinetics and isotherm of the adsorption.
PMID- 27180206
TI - Ciprofloxacin toxicity and its co-metabolic removal by a freshwater microalga
Chlamydomonas mexicana.
AB - This study evaluated the toxicity and cellular stresses of ciprofloxacin (CIP)
and its co-metabolic removal in a freshwater microalga Chlamydomonas mexicana.
The toxicological effects of CIP on C. mexicana were assessed by studying the
growth and biochemical characteristics of the microalga including total
chlorophyll, carotenoid content, malondialdehyde (MDA) and superoxide dismutase
(SOD) activity. The calculated effective concentration (EC50) of CIP on C.
mexicana was 65+/-4mgL-1 at 96h. The growth of C. mexicana was significantly
inhibited at increased concentrations of CIP, showing 36+/-1, 75+/-3. and 88+/-3%
inhibition at 40, 60 and 100mgL-1 CIP, respectively, compared to the control
after 11days of cultivation. The total chlorophyll, carotenoid, MDA and SOD
activity were significantly increased as a result of relatively high
concentrations of CIP stress. C. mexicana showed 13+/-1% removal of CIP (2mgL-1)
after 11days of cultivation; however, the addition of an electron donor (sodium
acetate, 4gL-1) highly enhanced the removal of CIP (2mgL-1) by>3-fold after
11days. Kinetic studies showed that removal of CIP followed a first-order model
(R2 0.94-0.97) with the apparent rate constants (k) ranging from 0.0121 to 0.079
d-1.
PMID- 27180207
TI - Adsorption of diclofenac onto organoclays: Effects of surfactant and
environmental (pH and temperature) conditions.
AB - Among pharmaceutical products (PPs) recalcitrant to water treatments, diclofenac
shows a high toxicity and remains at high concentration in natural aquatic
environments. The aim of this study concerns the understanding of the adsorption
mechanism of this anionic PP onto two organoclays prepared with two long-alkyl
chains cationic surfactants showing different chemical nature for various
experimental pH and temperature conditions. The experimental data obtained by a
set of complementary techniques (X-ray diffraction, elemental analyses, gas
chromatography coupled with mass spectrometry, and Fourier transform infrared
spectroscopy) and the use of Langmuir, Freundlich and Dubinin-Radushkevish
equation models, reveal that organoclays show a good affinity to diclofenac which
is enhanced as the temperature is under 35 degrees C and for pH above 4.5 (i.e.
>pKa of diclofenac) while the chemical nature of surfactant appears to play a
minor role. The thermodynamic parameters derived from the fitting procedure point
out the strong electrostatic interaction with organic cations adsorbed within the
interlayer space in the organoclays for the adsorption of diclofenac. This study
stress out the application of organoclays for the adsorption of a recalcitrant
PPs in numerous aquatic compartments that can be used as a complement with
activated carbon for waste water treatment.
PMID- 27180208
TI - Degradation of venlafaxine using TiO2/UV process: Kinetic studies, RSM
optimization, identification of transformation products and toxicity evaluation.
AB - The photochemical degradation of the antidepressant drug venlafaxine (VNF) by
UV/TiO2 process was investigated in the present study. Prescreening experiments
were conducted to study the effects of main parameters affecting the
photocatalytic process. In addition, the effects and interactions of most
influenced parameters were evaluated and optimized by using a central composite
design model and a response surface methodology. Results indicated that VNF was
quickly removed in all the irradiation experiments and its degradation was mainly
affected by the studied variables (catalyst dose, initial VNF concentration and
pH), as well as their interaction effects. Parallel to kinetic studies, the
transformation products (TPs) generated during the treatment was investigated
using LC coupled to low and high resolution mass spectrometry. Based on
identification of the main TPs, tentative transformation pathways were proposed,
including hydroxylation, demethylation and dehydration as major transformation
routes. Tauhe potential risk of VNF and its TPs to aqueous organisms was also
investigated using Microtox bioassay before and during the processes. The
obtained results showed an increment in the acute toxicity in the first stages
and a continuously decreasing after then to very low values reached within 240min
of the photocatalytic treatment, demonstrating that UV/TiO2 can lead to the
elimination of parent compound and the detoxification of the solution.
PMID- 27180209
TI - Electrochemical degradation of the antihypertensive losartan in aqueous medium by
electro-oxidation with boron-doped diamond electrode.
AB - In this work the electrochemical oxidation of losartan, an emerging
pharmaceutical pollutant, was studied. Electrochemical oxidation was carried out
in batch mode, in an open and undivided cell of 100cm(3) using a boron-doped
diamond (BDD)/stainless steel system. With Cl(-) medium 56% of mineralization was
registered, while with the trials containing SO4(2-) as supporting electrolyte a
higher mineralization yield of 67% was reached, even obtaining a total removal of
losartan potassium at 80mAcm(-2) and 180min of reaction time at pH 7.0. Higher
losartan potassium concentrations enhanced the mineralization degree and the
efficiency of the electrochemical oxidation process. During the mineralization up
to 4 aromatic intermediates were identified by ultra high performance liquid
chromatography tandem mass spectrometry (UHPLC-MS/MS). Moreover, short-linear
carboxylic acids, like oxalic, succinic and oxamic were detected and quantified
by ion-exclusion HPLC. Finally, the ability of the electrochemical oxidation
process to mineralize dissolved commercial tablets containing losartan was
achieved, obtaining TOC removal up to 71% under optimized conditions (10mAcm(-2),
0.05M Na2SO4, pH 7.0 and 25 degrees C and 360min of electrolysis).
PMID- 27180210
TI - Brain mechanisms of semantic interference in spoken word production: An anodal
transcranial Direct Current Stimulation (atDCS) study.
AB - When naming pictures, categorically-related compared to unrelated contexts
typically slow production. We investigated proposed roles for the left inferior
frontal gyrus (LIFG) and posterior middle and superior temporal gyri (pMTG/STG)
in mediating this semantic interference effect. In a three-way, cross-over, sham
controlled study, we applied online anodal transcranial Direct Current
Stimulation (atDCS) to LIFG or pMTG/STG while 24 participants performed parallel
versions of the blocked cyclic naming paradigm. Significant effects of semantic
context and cycle, and interactions of context and cycle, were observed on naming
latencies in all three stimulation sessions. Additionally, atDCS over left
pMTG/STG facilitated naming in related blocks from the second cycle onward,
significantly reducing but not eliminating the interference effect. Applying
atDCS over left LIFG likewise reduced the magnitude of interference compared to
sham stimulation, although the facilitation was limited to the first few cycles
of naming. We interpret these results as indicating semantic interference in
picture naming reflects contributions of two complementary mechanisms: a
relatively short-lived, top-down mechanism to bias selection and a more
persistent lexical-level activation mechanism.
PMID- 27180211
TI - Optimal calibration of instrumented treadmills using an instrumented pole.
AB - Calibration of instrumented treadmills is imperative for accurate measurement of
ground reaction forces and center of pressure (COP). A protocol using an
instrumented pole has been shown to considerably increase force and COP accuracy.
This study examined how this protocol can be further optimized to maximize
accuracy, by varying the measurement time and number of spots, using nonlinear
approaches to calculate the calibration matrix and by correcting for potential
inhomogeneity in the distribution of COP errors across the treadmill's surface.
The accuracy increased with addition of spots and correction for the
inhomogeneous distribution across the belt surface, decreased with reduction of
measurement time, and did not improve by including nonlinear terms. Most of these
methods improved the overall accuracy only to a limited extent, suggesting that
the maximal accuracy is approached given the treadmill's inherent mechanical
limitations. However, both correction for position dependence of the accuracy as
well as its optimization within the walking area are found to be valuable
additions to the standard calibration process.
PMID- 27180212
TI - Characteristics of paraphilics in Turkey: A retrospective study-20years.
AB - OBJECTIVE: This study is carried out to research the frequency of occurrence and
the characteristics of paraphilic cases in Turkey and forensic aspects of them
and to explain forensic psychiatric studies of people show paraphilic actions and
assess them from legal aspects. METHOD: This research is done by studying a total
of 101,208 cases who were sent to Istanbul Forensic Medicine Institute (FMI) by
the judicial organs of 4th Specialization Board between 1984 and 2004 to decide
whether they show paraphilic actions or not. When choosing the cases of
pedophilic actions, incest incidents were excluded and 307 incidents were taken
into assessment. RESULTS: The male subjects are 97.4%, 39.7% of them are 19
29years old, 10% of them are over age 60, 59% of the subjects are single, 36.5%
of them are unemployed, 71.7% of the incidents have no physical disorder. The
subjects who were imprisoned before were 20.2% and 22.1% of them had undergone
psychiatric treatment before the incident. Twenty separate diagnosis are
determined by the FMI for the subjects. There were mental retardation,
schizophrenia and various personality disorders on the top the diagnosis list.
The paraphilia type of the incidents are pedophilia (60.3%), exhibitionism
(8.1%), pedophilia and exhibitionism (7.5%) and fetishism (5.9%). It was
determined that there were more than one paraphilia type in 40 incidents (13%).
FMI decided that 54.7% of them have criminal responsibility, 25.1% of them have
no criminal responsibility, and 20.2% of them have reduced criminal
responsibility. CONCLUSION: There were 20 separate type of diagnosis for the
incidents. It is understood that paraphilic incidents do not seek for help
although they have the symptoms of disorder and they are exposed to psychiatric
assessment only when they face a criminal inquiry. This suggests that there are
more paraphilic incidents in the society than what we encounter.
PMID- 27180213
TI - Violence and mental disorders. A retrospective study of people in charge of a
community mental health center.
AB - BACKGROUND: Numerous studies conducted in inpatient settings have highlighted how
mental disorders are associated with an increased risk of violence, particularly
during acute phases. However, to date a more limited number of studies have been
performed to assess the risk of violence in outpatients, particularly in Italy.
The present study aims to evaluate the prevalence of violent events in a sample
of patients in charge of a community mental health center in Italy. METHODS:
Based on data obtained from standardized clinical records, a retrospective study
was undertaken to investigate acts of violence (physical aggression only) in a
total of 678 patients (Males=308, 45.4%) in charge of a university mental health
center; patients were mainly affected by anxiety disorders (30.7%), depressive
disorder (17.2%), bipolar disorder (18.3%) and schizophrenia or other psychotic
disorders (25.0%). RESULTS: 27.6% of the sample had committed at least one act of
violence during their lifetime, 10.5% over the previous year. 56.7% of those who
committed violence acts had acted violently twice or more during their lifetime.
A significant association of lifetime violence was found with gender (male),
younger age, low education, unemployment, living with parents. With regard to
diagnosis, a significant association was found with schizophrenia and other
psychotic disorders, personality disorders, mental retardation, and comorbidity
between two or more psychiatric disorders. Violence was moreover associated with
early age at onset and at first psychiatric treatment, longer duration of the
disorder, previous hospital admissions, previous violent events. CONCLUSION:
Violent behavior is relatively common among outpatients.
PMID- 27180214
TI - Drug-eluting Balloon Versus Second Generation Drug Eluting Stents in the
Treatment of In-stent Restenosis: A Systematic Review and Meta-analysis.
AB - BACKGROUND: In-stent restenosis (ISR) remains a significant mode of stent failure
following PCI. The optimal treatment strategy, however, remains undefined and the
role of drug-eluting balloons (DEB) in the management of ISR is also unclear.
METHODS: A meta-analysis was performed to compare the efficacy of DEB in the
treatment of ISR against second generation drug eluting stents (DES). RESULTS:
Seven studies comprised of 1,065 patients were included for analysis. The follow
up period ranged from 12-25 months. The use of DEB was associated with an
inferior acute gain in minimal luminal diameter (MLD) (0.36, 95% CI: 0.16
0.57mm), higher late loss in MLD (0.11, 0.02-0.19mm) and a higher binary
restenosis rate at follow-up (risk ratio: 2.24, 1.49-3.37). No significant
differences were noted in the overall incidence of the analysed clinical
parameters between the two groups. When only the randomised controlled trials
(RCT) were considered however, there was a strong trend towards higher target
lesion revascularisation (TLR; 9.9% vs. 3.6%; RR: 2.5, p=0.07) and a
significantly higher major adverse cardiovascular event (MACE) rate (15.7% vs.
8.8%; RR 1.78; p=0.02) with DEB. CONCLUSION: While equipoise has been
demonstrated in selected clinical outcomes between DEB and second generation DES
in the treatment of ISR, the suboptimal angiographic outcome at follow-up and the
higher TLR and MACE rates associated with DEB observed in the RCT are concerning.
The results of the present analysis should be regarded as preliminary, although
the generalised adoption of DEB in the treatment of ISR currently cannot be
recommended.
PMID- 27180215
TI - Non-Invasive Cardiac Imaging: Past, Present and Future.
PMID- 27180216
TI - DNA barcoding of Sri Lankan phlebotomine sand flies using cytochrome c oxidase
subunit I reveals the presence of cryptic species.
AB - Sri Lanka is known for high diversity of phlebotomine sand flies and prevalence
of cutaneous and visceral leishmaniasis; a disease vectored by sand flies. The
taxonomy of phlebotomine sand flies is complicated and often the diversity is
over/underrated. The current study aims to use the cytochrome c oxidase gene
subunit 1 (COI) sequence and formulate a barcode for the sand fly species in Sri
Lanka. A total of 70 samples comprising seven species morphologically identified
and collected from dry zone districts of Hambantota, Anuradhapura, Vavuniya,
Trincomalee and Jaffna were processed. Neighbour-joining (NJ) tree created using
the sequences revealed the species identity is compatible with the current
morphology based identification. Further the analysis delineated morphologically
identified Se. bailyi, Se babu babu and Se babu insularis into genetically
distinct groups.
PMID- 27180218
TI - Plant lipid biology.
PMID- 27180217
TI - Mu suppression - A good measure of the human mirror neuron system?
AB - Mu suppression has been proposed as a signature of the activity of the human
mirror neuron system (MNS). However the mu frequency band (8-13 Hz) overlaps with
the alpha frequency band, which is sensitive to attentional fluctuation, and thus
mu suppression could potentially be confounded by changes in attentional
engagement. The specific baseline against which mu suppression is assessed may be
crucial, yet there is little consistency in how this is defined. We examined mu
suppression in 61 typical adults, the largest mu suppression study so far
conducted. We compared different methods of baselining, and examined activity at
central and occipital electrodes, to both biological (hands) and non-biological
(kaleidoscope) moving stimuli, to investigate the involvement of attention and
alpha activity in mu suppression. We also examined changes in beta power, another
candidate index of MNS engagement. We observed strong mu suppression restricted
to central electrodes when participants performed hand movements, demonstrating
that mu is indeed responsive to the activity of the motor cortex. However, when
we looked for a similar signature of mu suppression to passively observed
stimuli, the baselining method proved to be crucial. Selective suppression for
biological versus non-biological stimuli was seen at central electrodes only when
we used a within-trial baseline based on a static stimulus: this method greatly
reduced trial-by-trial variation in the suppression measure compared with
baselines based on blank trials presented in separate blocks. Even in this
optimal condition, 16-21% of participants showed no mu suppression. Changes in
beta power also did not match our predicted pattern for MNS engagement, and did
not seem to offer a better measure than mu. Our conclusions are in contrast to
those of a recent meta-analysis, which concluded that mu suppression is a valid
means to examine mirror neuron activity. We argue that mu suppression can be used
to index the human MNS, but the effect is weak and unreliable and easily
confounded with alpha suppression.
PMID- 27180219
TI - Experimental investigation on feasible bioreactor using mechanism of hydrogen
oxidation of natural soil for detritiation system.
AB - A passive reactor for tritium oxidation at room temperature has been widely
studied in nuclear engineering especially for a detritiation system (DS) of a
tritium process facility taking possible extraordinary situation severely into
consideration. We have focused on bacterial oxidation of tritium by hydrogen
oxidizing bacteria in natural soil to realize the passive oxidation reactor. The
purpose of this study was to examine the feasibility of a bioreactor with
hydrogen-oxidizing bacteria in soil from a point of view of engineering. The
efficiency of the bioreactor was evaluated by kinetics. The bioreactor packed
with natural soil shows a relative high conversion rate of tritium under the
saturated moisture condition at room temperature, which is obviously superior to
that of a Pt/Al2O3 catalyst generally used for tritium oxidation in the existing
tritium handling facilities. The order of reaction for tritium oxidation with
soil was the pseudo-first order as assessed with Michaelis-Menten kinetics model.
Our engineering suggestion to increase the reaction rate is the intentional
addition of hydrogen at a small concentration in the feed gas on condition that
the oxidation of tritium with soil is expressed by the Michaelis-Menten kinetics
model.
PMID- 27180220
TI - Gamma radiation transmission along the multibend mazes.
AB - Installing a maze on the corridor reduces much shielding materials in shielding
door at the end of the pathway. In this study, gamma transmission was measured
along single-, double-, and triple-bend mazes, which were applied to
nondestructive test workplace by Monte Carlo method. In the facility using
(192)Ir 1.85TBq, the lengths of corridors to reduce the effective dose under the
limitation without shielding door were 10 and 6m in double- and triple-bend
mazes, respectively.
PMID- 27180221
TI - Performance tests of a large volume cerium tribromide (CeBr3) scintillation
detector.
AB - The response of a large cylindrical 76mm*76mm (height*diameter) cerium tribromide
(CeBr3) detector was measured for prompt gamma rays. The total intrinsic activity
of the CeBr3 detector, which was measured over 0.33-3.33MeV range, was found to
be 0.022+/-0.001 counts/s/cm(3). The partial intrinsic activity ( due to (227)Ac
contamination), was measured over a energy range of 1.22-2.20MeV energy, was
found to be 0.007+/-0.001 counts/s/cm(3). Compared to intrinsic activities of
LaBr3:Ce and LaCl3:Ce detectors of equivalent volume, the CeBr3 detector has 7-8
times less total intrinsic activity. The detector response for low energy prompt
gamma rays was measured over 0.3-0.6MeVgamma energy range using a portable
neutron generator-based Prompt Gamma Neutron Activation Analysis (PGNAA) setup.
The experimental yield of boron, cadmium and mercury prompt gamma-rays was
measured from water samples contaminated with 0.75-2.5wt% mercury, 0.31-2.50wt%
boron, and 0.0625-0.500wt% cadmium, respectively. An excellent agreement has been
observed between the calculated and experimental yields of the gamma rays. Also
minimum detection limit (MDC) of the CeBr3 detector was measured for boron,
cadmium and mercury samples. The CeBr3 detector has 23% smaller value of MDCB and
18% larger value of MDCCd than those of a LaBr3:Ce detector of equivalent size.
This study has shown that CeBr3 detector has an excellent response for the low
energy prompt gamma-rays with almost an order of magnitude low intrinsic activity
as compared to LaCl3:Ce and LaBr3:Ce detectors of equivalent volume.
PMID- 27180222
TI - The role of matrix metalloproteinases in muscle and adipose tissue development
and meat quality: A review.
AB - Matrix metalloproteinases (MMPs) are a group of enzymes that degrade
extracellular matrix components but are also important signaling molecules that
regulate many biological processes including muscle, adipose and connective
tissue development. Most recently it has been discovered that MMPs act as
intracellular signaling molecules inducing gene expression and altering related
proteins in the nucleus. Several single nucleotide polymorphisms of MMPs and
their inhibitors are known to exist and most of the research on MMPs to date has
focused on their activity in relation to human health and disease. Nevertheless
there is a growing body of evidence identifying important roles of MMPs as
regulators of myogenesis, fibrogenesis and adipogenesis. The aim of this review
is to highlight the currently known functions of the MMPs that have a direct
bearing on the deposition of meat components and their relationship with meat
quality. Some central pathways by which these enzymes can affect the tenderness,
the amount and type of fatty acids are highlighted.
PMID- 27180223
TI - Effect of MAP, vacuum skin-pack and combined packaging methods on physicochemical
properties of beef steaks stored up to 12days.
AB - The physicochemical properties of M. longissimus lumborum steaks over 12days of
storage at 2 degrees C, and under three packaging conditions, were investigated:
vacuum skin packaging (VSP); modified atmosphere packaging (MAP), and their
combination with semi-permeable inner VSP film (VSP-MAP). Standard gas
composition (80% O2/20% CO2) was used for MAP and VSP-MAP packaging. CIE L*a*b*
color parameters of VSP-MAP samples were similar to those kept in MAP and
significantly higher to those stored in VSP. Myoglobin oxidation was more evident
in VSP-MAP and MAP samples than in VSP indicating increased oxidation processes.
However, storage in MAP resulted in greater lipid oxidation compared both to VSP
and VSP-MAP. No differences between treatments were observed in terms of Warner
Bratzler shear force values and drip loss. In general, these results suggest that
the combination of VSP and MAP methods may be an efficient way to reduce negative
quality changes typical for both systems used separately.
PMID- 27180224
TI - Cosmetic Appearance of Port-site Scars 1 Year After Laparoscopic Versus Robotic
Sacrocolpopexy: A Supplementary Study of the ACCESS Clinical Trial.
AB - STUDY OBJECTIVE: To prospectively measure trocar site appearances 1 year after
surgery in women participants in the Abdominal Colpopexy: Comparison of
Endoscopic Surgical Strategies Trial, a 2-center randomized surgical trial
(NCT01124916). DESIGN: Supplementary analysis of a surgical trial that randomized
women to robotic or laparoscopic sacrocolpopexy (Canadian Task Force
classification I). SETTING: Operative trial. PATIENTS: Women undergoing
clinically indicated sacrocolpopexy for symptomatic stage >=II pelvic organ
prolapse were randomized to laparoscopic abdominal sacrocolpopexy (LASC) or
robotic abdominal sacrocolpopexy (RASC). Trocar skin incision closure was
standardized by using Dermabond (Ethicon, Somerville, NJ). MEASUREMENTS AND MAIN
RESULTS: Photographs of all incision sites were taken at baseline (immediately),
6 weeks, 6 months, and 1 year after surgery. Study coordinators scored each
incision with the validated Stony Brook Evaluation Scale (SBES), a 5-point wound
evaluation scale. We calculated the average of all scars scores per case to
determine the percent of optimal wound healing (0%-100%) for each case as well as
the proportion of cases meeting 100% wound repair scoring. Wound repair scores
across groups were tested with the Wilcoxon rank sum test. The overall proportion
of cases in each group meeting "optimal" wound recovery (scores of 100%) was
tested with the Fisher exact test. Seventy-eight women with a mean age of 59
years (range, 26-79 years) were randomized to LASC (n = 38) or RASC (n = 40). We
did not detect significant differences in baseline characteristics or rates of
dropout between the 2 study groups (5 in LASC and 7 in RASC, p = .60). Pain in
the initial postoperative period was higher in the robotic arm although groups
were similar at 2 weeks. Nearly all cases (75/78) contributed wound repair data
(36 laparoscopic and 39 robotic). Laparoscopic surgeries require significantly
fewer incisions (median = 4; range, 4-6) than robotic surgeries (median = 5;
range, 4-6; p < .001). SBES scores at 6 weeks were not different for LASC and
RASC (p = .426). By 6 months, the scores were better in the LASC group (84.8% +/-
8.8% vs 78.5% +/- 7.2%, p = .031), and this finding remained at 1 year (93.4% +/-
7.2% vs 85.9% +/- 8.8%, p = .001). The proportion of cases with optimal wound
repair (score of 100%) was higher in the laparoscopic arm at 1 year after surgery
(12/27 vs 4/33, p = .008). INTERVENTIONS: Women were randomized to robotic
assisted laparoscopy or laparoscopy. CONCLUSION: Wound appearance using the SBES
was better in the LASC group, suggesting that there may be alterations in the
mechanism for wound initiation and/or healing based on the minimally invasive
route used for sacrocolpopexy.
PMID- 27180226
TI - Neuroprotective effects of p-tyrosol after the global cerebral ischemia in rats.
AB - BACKGROUND: Salidroside is a biologically active compound derived from Rhodiola
rosea L. Studies showed that salidroside after i.v. injection is extensively
metabolized to p-tyrosol and only trace amounts of salidroside are found in the
brain tissue. OBJECTIVE: The aim of the study was to investigate the
neuroprotective effects of p-tyrosol in the global cerebral ischemia-reperfusion
(GCI) model. STUDY DESIGN: A total of 103 Wistar rats were assigned to groups of
sham-operated (n=10), control (n=42), p-tyrosol-treated (n=36), and
pentoxifylline-treated (n=15) animals. The rats of control, p-tyrosol-treated,
and pentoxifylline-treated groups received intravenously 0.9% NaCl solution, 2%
solution of p-tyrosol in doses of 5mg/kg, 10mg/kg, and 20mg/kg, and
pentoxifylline in a dose of 100mg/kg, respectively, daily for 5 days. Rats were
examined at days 1, 3, and 5 after GCI. After evaluation of neurological deficit,
animals were euthanized for morphological and biochemical characterization.
METHODS: Rats of control, p-tyrosol-treated, and pentoxifylline-treated groups
were exposed to three-vessel model of GCI. Neurological deficit, numeric density
of neurons in hippocampal CA1 region, and percentage of neurons with focal and
total chromatolysis were studied. Biochemical study assessed contents of
conjugated dienes and fluorescent products in brain homogenate. RESULTS: In
control group, only 50.0% of rats survived by day 5 after the GCI; 38.1% of
survived animals had severe neurologic deficit. In brain tissue of PTX-treated
rats, the levels of diene conjugates and fluorescent products were 79% and 73%,
respectivley, at day 5 compared with control. Differences in diene conjugates
were statistically significant compared with control. The survival rate of
animals treated with 20mg/kg p-tyrosol was 82.3% at day 5 after GCI. In p-tyrosol
treated GCI rats, the numeric density of neurons in the hippocampal CA1 region
was higher by 31% compared with control. The percentage of neurons with focal and
total chromatolysis decreased by 27% and 43%, respectively. At day 5 after GCI,
the levels of conjugated dienes and fluorescent products were significantly lower
(by 37% and 45%, respectively) in group of animals treated with 20mg/kg p-tyrosol
compared with control. Moderate neuroprotective effects of 5mg/kg p-tyrosol
administration were documented only at day 5 after GCI. In case of 10mg/kg p
tyrosol administration, neuroprotection was documented sooner: at day 1 or 3
after GCI. However, administration of 5 and 10mg/kg p-tyrosol did not affect
animal survival. CONCLUSION: Course administration of intravenous p-tyrosol in a
dose of 20mg/kg increased survival, reduced neurological deficit after GCI,
attenuated neuronal damage in the hippocampus, and attenuated lipid peroxidation
in brain tissue in animals subject to GCI with reperfusion.
PMID- 27180225
TI - Zika Virus Infection during Pregnancy in Mice Causes Placental Damage and Fetal
Demise.
AB - Zika virus (ZIKV) infection in pregnant women causes intrauterine growth
restriction, spontaneous abortion, and microcephaly. Here, we describe two mouse
models of placental and fetal disease associated with in utero transmission of
ZIKV. Female mice lacking type I interferon signaling (Ifnar1(-/-)) crossed to
wild-type (WT) males produced heterozygous fetuses resembling the immune status
of human fetuses. Maternal inoculation at embryonic day 6.5 (E6.5) or E7.5
resulted in fetal demise that was associated with ZIKV infection of the placenta
and fetal brain. We identified ZIKV within trophoblasts of the maternal and fetal
placenta, consistent with a trans-placental infection route. Antibody blockade of
Ifnar1 signaling in WT pregnant mice enhanced ZIKV trans-placental infection
although it did not result in fetal death. These models will facilitate the study
of ZIKV pathogenesis, in utero transmission, and testing of therapies and
vaccines to prevent congenital malformations.
PMID- 27180228
TI - Empyema necessitans caused by actinomycosis: A case report.
AB - INTRODUCTION: Pulmonary actinomycosis is an uncommon clinical entity that the
practicing thoracic surgeon rarely encounters. Empyema necessitans represents an
even less common presentation of this pathology, and the often indolent disease
course leads to early misdiagnosis in many cases. Familiarity with the varied
presentations and possible operative strategies is essential to obtaining
successful outcomes. PRESENTATION OF CASE: A 56-year-old male presented with
swelling and pain over the lateral chest wall. Initial imaging studies
demonstrated a mass concerning for infection vs. neoplasia. Further studies were
obtained to confirm the diagnosis, with rapid progression of the mass. Surgical
exploration with aggressive debridement of the chest wall without thoracotomy was
performed. Actinomyces was identified on final pathology, confirming the
diagnosis of Actinomycosis empyema necessitans. DISCUSSION: Traditional
management strategies often involve pulmonary resection in addition to extended
duration antimicrobial therapy. This report describes the uncommon clinical
presentation and successful management of actinomycosis empyema necessitans with
early limited operative intervention. CONCLUSION: In the event of minimal
pulmonary involvement and absence of lung abscess, as was seen in this case, a
thoracotomy with pulmonary resection can be avoided, and antibiotic duration
limited.
PMID- 27180227
TI - Monitoring of newborns at high risk for brain injury.
AB - Due to the increasing number of surviving preterm newborns and to the recognition
of therapeutic hypothermia as the current gold standard in newborns with hypoxic
ischaemic encephalopathy, there has been a growing interest in the implementation
of brain monitoring tools in newborns at high risk for neurological
disorders.Among the most frequent neurological conditions and presentations in
the neonatal period, neonatal seizures and neonatal status epilepticus,
paroxysmal non-epileptic motor phenomena, hypoxic-ischaemic encephalopathy, white
matter injury of prematurity and stroke require specific approaches to diagnosis.
In this review we will describe the characteristics, aims, indications and
limitations of routinely available diagnostic techniques such as conventional and
amplitude-integrated EEG, evoked potentials, cranial ultrasound and brain MRI. We
will conclude by briefly outlining potential future perspectives from research
studies.
PMID- 27180229
TI - Conservative treatment of hepatic portal venous gas consecutive to a complicated
diverticulitis: A case report and literature review.
AB - INTRODUCTION AND PRESENTATION OF CASE: Eight days after being diagnosed with
multiple small strokes a 71year old male patient is readmitted with suspicion of
a petit mal seizure also complained of diarrhoea and abdominal pain. The patient
was stable, not febrile and neurologically intact with a slight tenderness in the
left lower quadrant. An ultrasound revealed presence of air in the hepatic portal
venous system and a suspicion for sigmoid diverticulitis. A CT-scan confirmed
both diagnoses. We proceeded with a conservative regimen under close observation.
The clinical course and laboratory results were unremarkable. DISCUSSION: The
review of the literature (PubMed database) triggered 685 items with only one
clinical trial establishing a scoring system to detect adult individuals, which
need operation. CONCLUSION: A pneumoportogram (hepatic portal venous gas, HPVG)
is a very rare and usually associated with bowel ischemia and from poor
prognosis. The last decades saw the emergence of numerous other aetiologies (also
benign) with a shift of paradigm from systematic emergency laparotomies to
individual patient selection.
PMID- 27180230
TI - Impact of serotype and sequence type on the preferential aerosolization of
Streptococcus suis.
AB - BACKGROUND: Streptococcus suis is a swine pathogen that causes pneumonia,
septicemia and meningitis. It is also an important zoonotic agent responsible of
several outbreaks in China. S. suis strains are classified into 35 serotypes
based on the composition of their polysaccharide capsule. S. suis serotype 2
causes the majority of severe infections in pigs and in human, and can be further
subdivided into sequence types (STs) based on multilocus sequence typing. The ST1
is associated with highly virulent strains. In North America, the strains most
commonly isolated belong to ST25 and ST28, which are respectively moderately and
weakly virulent in a mouse model. The presence of S. suis bioaerosols in the air
of swine confinement buildings has been previously demonstrated. The aim of this
study was to better understand the aerosolization behaviour of S. suis by
investigating the preferential aerosolization of various strains of S. suis,
belonging to different serotypes or STs, using in-house developed environmental
chamber and bubble-burst nebulizer. qPCR technology was used to analyze the ratio
of S. suis strains. RESULTS: The results suggest that the highly virulent
serotype 2 ST1 strains are preferentially aerosolized and that the S. suis
preferential aerosolization is a strain-dependent process. CONCLUSION: These
observations will need to be confirmed using a larger number of strains. This
study is a proof of concept and increases our knowledge on the potential aerosol
transmission of S. suis.
PMID- 27180231
TI - Identifying and ranking implicit leadership strategies to promote evidence-based
practice implementation in addiction health services.
AB - BACKGROUND: Despite a solid research base supporting evidence-based practices
(EBPs) for addiction treatment such as contingency management and medication
assisted treatment, these services are rarely implemented and delivered in
community-based addiction treatment programs in the USA. As a result, many
clients do not benefit from the most current and efficacious treatments,
resulting in reduced quality of care and compromised treatment outcomes. Previous
research indicates that addiction program leaders play a key role in supporting
EBP adoption and use. The present study expanded on this previous work to
identify strategies that addiction treatment program leaders report using to
implement new practices. METHODS: We relied on a staged and iterative mixed
methods approach to achieve the following four goals: (a) collect data using
focus groups and semistructured interviews and conduct analyses to identify
implicit managerial strategies for implementation, (b) use surveys to
quantitatively rank strategy effectiveness, (c) determine how strategies fit with
existing theories of organizational management and change, and (d) use a
consensus group to corroborate and expand on the results of the previous three
stages. Each goal corresponded to a methodological phase, which included data
collection and analytic approaches to identify and evaluate leadership
interventions that facilitate EBP implementation in community-based addiction
treatment programs. RESULTS: Findings show that the top-ranked strategies
involved the recruitment and selection of staff members receptive to change,
offering support and requesting feedback during the implementation process, and
offering in vivo and hands-on training. Most strategies corresponded to emergent
implementation leadership approaches that also utilize principles of
transformational and transactional leadership styles. Leadership behaviors
represented orientations such as being proactive to respond to implementation
needs, supportive to assist staff members during the uptake of new practices,
knowledgeable to properly guide the implementation process, and perseverant to
address ongoing barriers that are likely to stall implementation efforts.
CONCLUSIONS: These findings emphasize how leadership approaches are leveraged to
facilitate the implementation and delivery of EBPs in publicly funded addiction
treatment programs. Findings have implications for the content and structure of
leadership interventions needed in community-based addiction treatment programs
and the development of leadership interventions in these and other service
settings.
PMID- 27180232
TI - Choice, Transparency, Coordination, and Quality Among Direct-to-Consumer
Telemedicine Websites and Apps Treating Skin Disease.
AB - IMPORTANCE: Evidence supports use of teleconsultation for improving patient
access to dermatology. However, little is known about the quality of rapidly
expanding direct-to-consumer (DTC) telemedicine websites and smartphone apps
diagnosing and treating skin disease. OBJECTIVE: To assess the performance of DTC
teledermatology services. DESIGN AND PARTICIPANTS: Simulated patients submitted a
series of structured dermatologic cases with photographs, including neoplastic,
inflammatory, and infectious conditions, using regional and national DTC
telemedicine websites and smartphone apps offering services to California
residents. MAIN OUTCOMES AND MEASURES: Choice of clinician, transparency of
credentials, clinician location, demographic and medical data requested,
diagnoses given, treatments recommended or prescribed, adverse effects discussed,
care coordination. RESULTS: We received responses for 62 clinical encounters from
16 DTC telemedicine websites from February 4 to March 11, 2016. None asked for
identification or raised concerns about pseudonym use or falsified photographs.
During most encounters (42 [68%]), patients were assigned a clinician without any
choice. Only 16 (26%) disclosed information about clinician licensure, and some
used internationally based physicians without California licenses. Few collected
the name of an existing primary care physician (14 [23%]) or offered to send
records (6 [10%]). A diagnosis or likely diagnosis was proffered in 48 encounters
(77%). Prescription medications were ordered in 31 of 48 diagnosed cases (65%),
and relevant adverse effects or pregnancy risks were disclosed in a minority (10
of 31 [32%] and 6 of 14 [43%], respectively). Websites made several correct
diagnoses in clinical scenarios where photographs alone were adequate, but when
basic additional history elements (eg, fever, hypertrichosis, oligomenorrhea)
were important, they regularly failed to ask simple relevant questions and
diagnostic performance was poor. Major diagnoses were repeatedly missed,
including secondary syphilis, eczema herpeticum, gram-negative folliculitis, and
polycystic ovarian syndrome. Regardless of the diagnoses given, treatments
prescribed were sometimes at odds with existing guidelines. CONCLUSIONS AND
RELEVANCE: Telemedicine has potential to expand access to high-value health care.
Our findings, however, raise concerns about the quality of skin disease diagnosis
and treatment provided by many DTC telemedicine websites. Ongoing expansion of
health plan coverage of these services may be premature. Until improvements are
made, patients risk using health care services that lack transparency, choice,
thoroughness, diagnostic and therapeutic quality, and care coordination. We offer
several suggestions to improve the quality of DTC telemedicine websites and apps
and avoid further growth of fragmented, low-quality care.
PMID- 27180233
TI - Nail disorders in older people, and aspects of their pharmaceutical treatment.
AB - The aim of this paper was to explore how aging influences the nail unit, its
disorders and its response to treatment, and to identify some of the age-related
gaps in the ungual drug delivery literature. Aging causes obvious changes to the
nail, some of which are inherently due to old age, while others are due to
diseases/conditions which become more prevalent as we age. Alterations in the
nail plate's colour, contour, thickness, fragility, surface features, cell size,
chemical composition and growth rate are some of the changes, with toenails and
fingernails showing different effects. With respect to disease, the incidence of
onychomycosis - the most common nail disorder - is considerably higher in older
people. Similarly, brittle nails become more common as we age. In contrast, the
literature about aging and the incidence of nail psoriasis is inconclusive,
although, it is clear that as one gets older, the negative impact of nail
psoriasis on one's quality of life decreases. Pharmaceutical treatment of the
diseases comprises local and systemic therapies, sometimes in combination.
Systemic therapies have the inherent disadvantages of adverse systemic effects,
drug interactions and the need for monitoring, disadvantages which are especially
problematic for older people who are more likely to suffer from co-morbidities
and be on other medications. Topical therapy avoids such disadvantages. However,
the success rates of commercially available preparations are low, and older
people may need help with their application. It is also proposed that regular
inspection and grooming of nails should become part of routine care of older
people, as these would provide opportunities to identify and treat any problems
at an earlier stage.
PMID- 27180234
TI - Do surface-based match solution-based techniques? The case of drug-liposome
interaction.
AB - The aim of the study is to check if the information about drug/liposome
interactions provided by Surface Plasmon Resonance (SPR) is comparable with that
provided by potentiometry in which liposomes are not immobilized on a solid
support. To reach our aim we apply QSPR and BR analysis to data extracted from
the literature and carefully inspected for their reliability. Results show that
log KD (SPR) is governed by a different balance of intermolecular interactions
than log Dlip (potentiometry).
PMID- 27180236
TI - A cost and performance comparison of Public Private Partnership and public
hospitals in Spain.
AB - Public-private partnership (PPP) initiatives are extending around the world,
especially in Europe, as an innovation to traditional public health systems, with
the intention of making them more efficient.There is a varied range of PPP models
with different degrees of responsibility from simple public sector contracts with
the private, up to the complete privatisation of the service. As such, we may say
the involvement of the private sector embraces the development, financing and
provision of public infrastructures and delivery services.In this paper, one of
the oldest PPP initiatives developed in Spain and transferred to other European
and Latin American countries is evaluated for first time: the integrated
healthcare delivery Alzira model.Through a comparison of public and PPP hospital
performance, cost and quality indicators, the efficiency of the PPP experience in
five hospitals is evaluated to identify the influence of private management in
the results.Regarding the performance and efficiency analysis, it is seen that
the PPP group obtains good results, above the average, but not always better than
those directly managed. It is necessary to conduct studies with a greater number
of PPP hospitals to obtain conclusive results.
PMID- 27180235
TI - Fabrication and development of artificial osteochondral constructs based on
cancellous bone/hydrogel hybrid scaffold.
AB - Using tissue engineering techniques, an artificial osteochondral construct was
successfully fabricated to treat large osteochondral defects. In this study,
porcine cancellous bones and chitosan/gelatin hydrogel scaffolds were used as
substitutes to mimic bone and cartilage, respectively. The porosity and
distribution of pore size in porcine bone was measured and the degradation ratio
and swelling ratio for chitosan/gelatin hydrogel scaffolds was also determined in
vitro. Surface morphology was analyzed with the scanning electron microscope
(SEM). The physicochemical properties and the composition were tested by using an
infrared instrument. A double layer composite scaffold was constructed via
seeding adipose-derived stem cells (ADSCs) induced to chondrocytes and
osteoblasts, followed by inoculation in cancellous bones and hydrogel scaffolds.
Cell proliferation was assessed through Dead/Live staining and cellular activity
was analyzed with IpWin5 software. Cell growth, adhesion and formation of
extracellular matrix in composite scaffolds blank cancellous bones or hydrogel
scaffolds were also analyzed. SEM analysis revealed a super porous internal
structure of cancellous bone scaffolds and pore size was measured at an average
of 410 +/- 59 MUm while porosity was recorded at 70.6 +/- 1.7 %. In the hydrogel
scaffold, the average pore size was measured at 117 +/- 21 MUm and the porosity
and swelling rate were recorded at 83.4 +/- 0.8 % and 362.0 +/- 2.4 %,
respectively. Furthermore, the remaining hydrogel weighed 80.76 +/- 1.6 % of the
original dry weight after hydration in PBS for 6 weeks. In summary, the
cancellous bone and hydrogel composite scaffold is a promising biomaterial which
shows an essential physical performance and strength with excellent osteochondral
tissue interaction in situ. ADSCs are a suitable cell source for osteochondral
composite reconstruction. Moreover, the bi-layered scaffold significantly
enhanced cell proliferation compared to the cells seeded on either single
scaffold. Therefore, a bi-layered composite scaffold is an appropriate candidate
for fabrication of osteochondral tissue.
PMID- 27180237
TI - Career as Affective Journey: How Constant Flux Challenges the Search for Career
Pathways and Counseling.
AB - Individuals have to actively manage their careers and with it their identities in
this life domain. With the help of empirical findings and field reports, we will
show how these changing demands need to be negotiated as part of identity
development and, thus, career counseling processes. While Dialogical Self Theory
(DST) is used to describe the constant negotiation of the self (identity)
including dialogues within the person as well as dialogues with others, the
Trajectory Equifinality Model (TEM) will help depict the development of career
pathways that result from both - with a special focus on affective linking. Based
on DST and TEM, it is argued that finding the right career is an ongoing and
affective process and with that a developmental phenomenon that can be supported
by different means: typologies that relate the individual to a larger population
as well as idiographic approaches.
PMID- 27180238
TI - Sedation at the end of life - a nation-wide study in palliative care units in
Austria.
AB - BACKGROUND: Sedation is used to an increasing extent in end-of-life care.
Definitions and indications in this field are based on expert opinions and case
series. Little is known about this practice at palliative care units in Austria.
METHODS: Patients who died in Austrian palliative care units between June 2012
and June 2013 were identified. A predefined set of baseline characteristics and
information on sedation during the last two weeks before death were obtained by
reviewing the patients' charts. RESULTS: The data of 2414 patients from 23
palliative care units were available for analysis. Five hundred two (21 %)
patients received sedation in the last two weeks preceding their death, 356 (71
%) received continuous sedation until death, and 119 (24 %) received intermittent
sedation. The median duration of sedation was 48 h (IQR 10-72 h); 168 patients
(34 %) were sedated for less than 24 h. Indications for sedation were delirium
(51 %), existential distress (32 %), dyspnea (30 %), and pain (20 %). Midazolam
was the most frequently used drug (79 %), followed by lorazepam (13 %), and
haloperidol (10 %). Sedated patients were significantly younger (median age 67
years vs. 74 years, p <= 0.001, r = 0.22), suffered more often from an
oncological disease (92 % vs. 82 %, p <= 0.001, phi = 0.107), and were
hospitalized more frequently (94 % vs. 76 %, p <= 0.001, phi = 0.175). The median
number of days between admission to a palliative care ward/mobile palliative care
team and death did not differ significantly in sedated versus non-sedated
patients (10 vs. 9 days; p = 0.491). CONCLUSION: This study provides insights
into the practice of end-of-life sedation in Austria. Critical appraisal of these
data will serve as a starting point for the development of nation-wide guidelines
for palliative sedation in Austria.
PMID- 27180239
TI - Obaculactone protects against bleomycin-induced pulmonary fibrosis in mice.
AB - Idiopathic pulmonary fibrosis is a progressive, degenerative and almost
irreversible disease. There is hardly an effective cure for lung damage due to
pulmonary fibrosis. The purpose of this study was to evaluate the role of
obaculactone in an already-assessed model of idiopathic pulmonary fibrosis
induced by bleomycin administration. Mice were subjected to intratracheal
instillation of bleomycin, and obaculactone was given orally after bleomycin
instillation daily for 23days. Treatment with obaculactone ameliorated body
weight loss, lung histopathology abnormalities and pulmonary collagen deposition,
with a decrease of the inflammatory cell number and the cytokine level in
bronchoalveolar lavage fluid. Moreover, obaculactone inhibited the expression of
icam1, vcam1, inos and cox2, and attenuated oxidative stress in bleomycin-treated
lungs. Importantly, the production of collagen I and alpha-SMA in lung tissues as
well as the levels of TGF-beta1, ALK5, p-Smad2 and p-Smad3 in lung homogenates
was also reduced after obaculactone treatment. Finally, the TGF-beta1-induced
epithelial-mesenchymal transition via Smad-dependent and Smad-independent
pathways was reversed by obaculactone. Collectively, these data suggest that
obaculactone may be a promising drug candidate for the treatment of idiopathic
pulmonary fibrosis.
PMID- 27180240
TI - Activation of the Constitutive Androstane Receptor induces hepatic lipogenesis
and regulates Pnpla3 gene expression in a LXR-independent way.
AB - The Constitutive Androstane Receptor (CAR, NR1I3) has been newly described as a
regulator of energy metabolism. A relevant number of studies using animal models
of obesity suggest that CAR activation could be beneficial on the metabolic
balance. However, this remains controversial and the underlying mechanisms are
still unknown. This work aimed to investigate the effect of CAR activation on
hepatic energy metabolism during physiological conditions, i.e. in mouse models
not subjected to metabolic/nutritional stress. Gene expression profiling in the
liver of CAR knockout and control mice on chow diet and treated with a CAR
agonist highlighted CAR-mediated up-regulations of lipogenic genes, concomitant
with neutral lipid accumulation. A strong CAR-mediated up-regulation of the
patatin-like phospholipase domain-containing protein 3 (Pnpla3) was demonstrated.
Pnpla3 is a gene whose polymorphism is associated with the pathogenesis of
nonalcoholic fatty liver disease (NAFLD) development. This observation was
confirmed in human hepatocytes treated with the antiepileptic drug and CAR
activator, phenobarbital and in immortalized human hepatocytes treated with
CITCO. Studying the molecular mechanisms controlling Pnpla3 gene expression, we
demonstrated that CAR does not act by a direct regulation of Pnpla3 transcription
or via the Liver X Receptor but may rather involve the transcription factor
Carbohydrate Responsive Element-binding protein. These data provide new insights
into the regulation by CAR of glycolytic and lipogenic genes and on pathogenesis
of steatosis. This also raises the question concerning the impact of drugs and
environmental contaminants in lipid-associated metabolic diseases.
PMID- 27180242
TI - Effectiveness of sequential intravenous-to-oral antibiotic switch therapy in
hospitalized patients with gram-positive infection: the SEQUENCE cohort study.
AB - Switching from intravenous to oral antibiotic therapy may improve inpatient
management and reduce hospital stays and the complications of intravenous
treatment. We aimed to assess the effectiveness of intravenous-to-oral antibiotic
switch therapy and an early discharge algorithm in hospitalized patients with
gram-positive infection. We performed a prospective cohort study with a
retrospective comparison cohort, recruited from eight tertiary, acute-care
Spanish referral hospitals. All patients included had culture-confirmed
methicillin-resistant gram-positive infection, or methicillin-susceptible gram
positive infection and beta-lactam allergy and had received intravenous treatment
with glycopeptides, lipopeptides, or linezolid. The study comprised two cohorts:
the prospective cohort to assess the effectiveness of a sequential intravenous-to
oral antibiotic switch algorithm and early discharge, and a retrospective cohort
in which the algorithm had not been applied, used as the comparator. A total of
247 evaluable patients were included; 115 in the prospective and 132 in the
retrospective cohort. Forty-five retrospective patients (34 %) were not changed
to oral antibiotics, and 87 (66 %) were changed to oral antibiotics without
following the proposed algorithm. The duration of hospitalization was
significantly shorter in the prospective cohort compared to the retrospective
group that did not switch to oral drugs (16.7 +/- 18.7 vs 23 +/- 13.4 days, P <
0.001). No differences were observed regarding the incidence of catheter-related
bacteraemia (4.4 % vs 2.6 %, P = 0.621). Our results suggest that an intravenous
to-oral antibiotic switch strategy is effective for reducing the length of
hospital stay in selected hospitalized patients with gram-positive infection.
PMID- 27180241
TI - The trypanocidal benznidazole promotes adaptive response to oxidative injury:
Involvement of the nuclear factor-erythroid 2-related factor-2 (Nrf2) and
multidrug resistance associated protein 2 (MRP2).
AB - Oxidative stress is a frequent cause underlying drug-induced hepatotoxicity.
Benznidazole (BZL) is the only trypanocidal agent available for treatment of
Chagas disease in endemic areas. Its use is associated with side effects,
including increases in biomarkers of hepatotoxicity. However, BZL potential to
cause oxidative stress has been poorly investigated. Here, we evaluated the
effect of a pharmacologically relevant BZL concentration (200MUM) at different
time points on redox status and the counteracting mechanisms in the human hepatic
cell line HepG2. BZL increased reactive oxygen species (ROS) after 1 and 3h of
exposure, returning to normality at 24h. Additionally, BZL increased glutathione
peroxidase activity at 12h and the oxidized glutathione/total glutathione
(GSSG/GSSG+GSH) ratio that reached a peak at 24h. Thus, an enhanced
detoxification of peroxide and GSSG formation could account for ROS
normalization. GSSG/GSSG+GSH returned to control values at 48h. Expression of the
multidrug resistance-associated protein 2 (MRP2) and GSSG efflux via MRP2 were
induced by BZL at 24 and 48h, explaining normalization of GSSG/GSSG+GSH. BZL
activated the nuclear erythroid 2-related factor 2 (Nrf2), already shown to
modulate MRP2 expression in response to oxidative stress. Nrf2 participation was
confirmed using Nrf2-knockout mice in which MRP2 mRNA expression was not affected
by BZL. In summary, we demonstrated a ROS increase by BZL in HepG2 cells and a
glutathione peroxidase- and MRP2 driven counteracting mechanism, being Nrf2 a key
modulator of this response. Our results could explain hepatic alterations
associated with BZL therapy.
PMID- 27180243
TI - Impact of Helicobacter pylori eradication on refractory thrombocytopenia in
patients with chronic HCV awaiting antiviral therapy.
AB - The possibility of delaying treatment of HCV due to severe thrombocytopenia is
challenging. This study aimed to detect the prevalence of active helicobacter
infection as a claimed cause of thrombocytopenia in a cohort of Egyptian patients
with chronic active HCV awaiting combined anti-viral therapy. The study included
400 chronic HCV patients with thrombocytopenia. Laboratory investigations
included liver function tests, real time quantitative PCR, reticulocytic count,
ESR, ANA, bone marrow aspiration, measurement of anti-helicobacter antibodies,
and helicobacter stool antigen. Positive cases for active H. pylori were given
the standard triple therapy for 2 weeks. Helicobacter stool antigen was detected
4 weeks after termination of therapy and the change in platelet count was
detected 1 month after eradication. A total of 248 out of 281 seropositive
patients for H. pylori (88.3 %) showed positive stool antigen (p = 0.01).
Eradication was achieved in 169 (68.1 %) patients with platelet mean count 114.9
+/- 18.8 * 10(3)/MUl with highly significant statistical difference from
pretreatment value (49.7 +/- 9.2 * 10(3)/MUl, p = 0.000). Seventy-nine patients
were resistant to conventional triple therapy and given a 7-day course of
moxifloxacin-based therapy; 61 patients responded (77.1 %) with mean platelet
improvement from 76.4 +/- 17.4 * 10(3)/MUl to 104.2 +/- 15.2 * 10(3)/MUl (p =
0.000). The non-responders showed no improvement in their platelet count (74.6 +/
20.5 vs. 73.6 +/- 15.3 * 10(3)/ul, P = 0.5). Eradication of active H. pylori in
HCV augments platelet count and enhances the early start of antiviral therapy.
PMID- 27180244
TI - Deep sequencing approach for investigating infectious agents causing fever.
AB - Acute undifferentiated fever (AUF) poses a diagnostic challenge due to the
variety of possible aetiologies. While the majority of AUFs resolve
spontaneously, some cases become prolonged and cause significant morbidity and
mortality, necessitating improved diagnostic methods. This study evaluated the
utility of deep sequencing in fever investigation. DNA and RNA were isolated from
plasma/sera of AUF cases being investigated at Cairns Hospital in northern
Australia, including eight control samples from patients with a confirmed
diagnosis. Following isolation, DNA and RNA were bulk amplified and RNA was
reverse transcribed to cDNA. The resulting DNA and cDNA amplicons were subjected
to deep sequencing on an Illumina HiSeq 2000 platform. Bioinformatics analysis
was performed using the program Kraken and the CLC assembly-alignment pipeline.
The results were compared with the outcomes of clinical tests. We generated
between 4 and 20 million reads per sample. The results of Kraken and CLC analyses
concurred with diagnoses obtained by other means in 87.5 % (7/8) and 25 % (2/8)
of control samples, respectively. Some plausible causes of fever were identified
in ten patients who remained undiagnosed following routine hospital
investigations, including Escherichia coli bacteraemia and scrub typhus that
eluded conventional tests. Achromobacter xylosoxidans, Alteromonas macleodii and
Enterobacteria phage were prevalent in all samples. A deep sequencing approach of
patient plasma/serum samples led to the identification of aetiological agents
putatively implicated in AUFs and enabled the study of microbial diversity in
human blood. The application of this approach in hospital practice is currently
limited by sequencing input requirements and complicated data analysis.
PMID- 27180245
TI - Increased vaginal pH in Ugandan women: what does it indicate?
AB - Abnormal vaginal flora (AVF), indicative of bacterial vaginosis (BV) and/or
aerobic vaginitis (AV), amongst other abnormalities, is a risk factor for
multiple complications in pregnant as well as non-pregnant women. Screening for
such conditions could help prevent these complications. Can self-testing for
increased vaginal pH reliably detect BV and other high-risk microflora types, and
is this more accurate than performing Gram stain-based Nugent score when
screening for high-risk microflora? A total of 344 women presenting at different
outpatient clinics in Mulago Hospital and Mbuikwe Outpatient clinics in Kampala,
Uganda, were asked to test themselves by introducing a gloved finger into the
vagina and smearing it on a microscopy slide, on which a pH strip was attached.
Self-assessed categories of normal (pH 3.6-4.4), intermediate (4.5-4.7) or high
pH (>4.7) were compared with demographic and with centralised microscopic data,
both in air-dried rehydrated wet mounts (Femicare), as well as in Gram-stained
specimens (Nugent). AVF was present in 38 %, BV in 25 % and AV in 11 % of
patients. High pH and AVF is correlated with human immunodeficiency virus (HIV),
infertility, frequent sex, but not vaginal douching. Screening for raised pH
detects 90 % of AVF cases, but would require testing over half of the population.
As AV and non-infectious conditions are frequent in women with AVF and high pH,
Nugent score alone is an insufficient technique to screen women for a high-risk
vaginal microflora, especially in infertile and HIV-infected women.
PMID- 27180246
TI - Streptococcus salivarius by nasal spray for recurrent otitis: how good is the
evidence?
PMID- 27180247
TI - The relationship between dorsolateral prefrontal activation and speech
performance-based social anxiety using functional near infrared spectroscopy.
AB - Functional near-infrared (fNIR) spectroscopy is a promising new technology that
has demonstrated utility in the study of normal human cognition. We utilized fNIR
spectroscopy to examine the effect of social anxiety and performance on
hemodynamic activity in the dorsolateral prefrontal cortex (DLPFC). Socially
phobic participants and non-clinical participants with varying levels of social
anxiety completed a public speaking task in front of a small virtual audience
while the DLPFC was being monitored by the fNIR device. The relationship between
anxiety and both blood volume (BV) and deoxygenated hemoglobin (Hb) varied
significantly as a function of speech performance, such that individuals with low
social anxiety who performed well showed an increase in DLPFC activation relative
to those who did not perform well. This result suggests that effortful thinking
and/or efficient top-down inhibitory control may have been required to complete
an impromptu speech task with good performance. In contrast, good performers who
were highly socially anxious showed lower DLPFC activation relative to good
performers who were low in social anxiety, suggesting autopilot thinking or less
effortful thinking. In poor performers, slight increases in DLPFC activation were
observed from low to highly anxious individuals, which may reflect a shift from
effortless thinking to heightened self-focused attention. Heightened self-focused
attention, poor inhibitory control resulting in excessive fear or anxiety, or low
motivation may lower performance. These results suggest that there can be
different underlying mechanisms in the brain that affect the level of speech
performance in individuals with varying degrees of social anxiety. This study
highlights the utility of the fNIR device in the assessment of changes in DLPFC
in response to exposure to realistic phobic stimuli, and further supports the
potential utility of this technology in the study of the neurophysiology of
anxiety disorders.
PMID- 27180249
TI - Sexual determination based on multidetector computed tomographic measurements of
the second cervical vertebra in a contemporary Japanese population.
AB - Accurate sex estimation is important in forensic investigation to determine the
identity of unknown individuals. The aim of this study was to investigate the
accuracy of sex assessment based on measurements of the second cervical vertebra
(C2) using computed tomographic (CT) images in a Japanese population and to
develop discriminant function formulae. The data were collected from 224 Japanese
cadavers (112 male subjects, 112 female subjects) on which postmortem CT scanning
and subsequent forensic autopsy were performed. Nine CT measurements of the C2
were performed for CT images of each subject. The measurements were assessed
using descriptive statistics and discriminant function analyses (DFA). All of the
measurements demonstrated significant sexual dimorphism. Multiple DFA with
stepwise variable selection resulted in multivariable models; a five-variable
model reached an accuracy rate of 92.9%. Our results suggest that metric analysis
based on CT images of the C2 can accurately determine the sex from the human
skeletal remains in a contemporary Japanese population and may be useful for sex
estimation in forensic anthropology.
PMID- 27180250
TI - A cadaveric study on mylohyoid herniation of the sublingual gland.
AB - The purpose of this study was to document the presence of a sublingual gland
(SLG) herniating inferiorly through the mylohyoid muscle into the submandibular
area. A total of 100 half-heads of 50 adult Korean cadavers were enrolled in this
study. The floor of the mouth was dissected from the neck, and mylohyoid muscle
patency and position of the sublingual gland were evaluated. Demographic factors
of the donor and characteristics of the herniation were evaluated. Herniation was
found in 29 (58.0 %) of the 50 cadavers or 42 of the 100 half-heads. Herniation
was more frequently observed in females than in males (p = 0.009). However, no
laterality was observed. Classifying the location of SLG herniation from the
midpoint of the mandible to the hyoid bone into 3 regions, 32 (63 %) of
herniations were found in the anterior one-third. No ranula formation was
observed. The size and weight of normal glands tended to be larger than those of
herniated glands, but no statistical significance was observed. An SLG hernia is
a very common condition and is more frequently observed in females. As such, SLG
herniation should be considered when a submental neck mass is evaluated.
PMID- 27180248
TI - Frames of reference and categorical/coordinate spatial relations in a "what was
where" task.
AB - The aim of this study was to explore how people use egocentric (i.e., with
respect to their body) and allocentric (i.e., with respect to another element in
the environment) references in combination with coordinate (metric) or
categorical (abstract) spatial information to identify a target element.
Participants were asked to memorize triads of 3D objects or 2D figures, and
immediately or after a delay of 5 s, they had to verbally indicate what was the
object/figure: (1) closest/farthest to them (egocentric coordinate task); (2) on
their right/left (egocentric categorical task); (3) closest/farthest to another
object/figure (allocentric coordinate task); (4) on the right/left of another
object/figure (allocentric categorical task). Results showed that the use of 2D
figures favored categorical judgments over the coordinate ones with either an
egocentric or an allocentric reference frame, whereas the use of 3D objects
specifically favored egocentric coordinate judgments rather than the allocentric
ones. Furthermore, egocentric judgments were more accurate than allocentric
judgments when the response was Immediate rather than delayed and 3D objects
rather than 2D figures were used. This pattern of results is discussed in the
light of the functional roles attributed to the frames of reference and spatial
relations by relevant theories of visuospatial processing.
PMID- 27180251
TI - Infective endocarditis of an aorto-right atrial fistula caused by asymptomatic
rupture of a sinus of Valsalva aneurysm: a case report.
AB - Asymptomatic rupture of a sinus of Valsalva aneurysm is rare. A fistula following
rupture of a sinus of Valsalva aneurysm may cause infective endocarditis. Here,
we report a case of infective endocarditis of an aorto-right atrial fistula
caused by asymptomatic rupture of a sinus of Valsalva aneurysm. A 45-year-old
male, who was first diagnosed with a heart murmur at the age of 37 years,
presented with fever. Blood culture was positive for Streptococcus gordonii.
Ultrasound echocardiography revealed an aorto-right atrial fistula caused by
rupture of a sinus of Valsalva aneurysm. After the infective endocarditis was
healed by antibiotics, we successfully performed surgical repair of the aorto
right atrial fistula. Although asymptomatic rupture of a sinus of Valsalva
aneurysm is uncommon, it should be recognized as a possible cause of infective
endocarditis.
PMID- 27180252
TI - Mining and characterization of two amidase signature family amidases from
Brevibacterium epidermidis ZJB-07021 by an efficient genome mining approach.
AB - Amidases have received increasing attention for their significant potential in
the production of valuable carboxylic acids. In this study, two amidases
belonging to amidase signature family (BeAmi2 and BeAmi4) were identified and
mined from genomic DNA of Brevibacterium epidermidis ZJB-07021 by an efficient
strategy combining comparative analysis of genomes and identification of unknown
region by high-efficiency thermal asymmetric interlaced PCR (HiTAIL-PCR). The
deduced amino acid sequences of BeAmi2 and BeAmi4 showed low identity (< 40%)
with other reported amidases. The two amidases displayed optimum activity toward
a wide spectrum of substrates at a mild alkaline pH and 45 degrees C. Both of
them were remarkably inactivated by serine-directed inhibitor and sulfhydryl
reducing agent. Kinetic analysis revealed that nicotinamide was the preferable
substrate for both amidases and the chlorine substitutions on the pyridine ring
had a negative effect on activity. The bioprocesses for hydrolysis of 100 mM
nicotinamide, isonicotinamide, 2-chloronicotinamide and 5-chloronicotinamide with
purified BeAmi2 (6 U mL(-1)) were complete in 60 min with full conversion except
2-chloronicotinamide. These results indicated BeAmi2 was an effective catalyst
for hydrolysis of several nicotinamide derivatives.
PMID- 27180253
TI - Adolescent knee pain and patellar dislocations are associated with patellofemoral
osteoarthritis in adulthood: A case control study.
AB - BACKGROUND: There is a lack of information about the association between
patellofemoral osteoarthritis (PFOA) and both adolescent anterior knee pain (AKP)
and previous patellar dislocations. METHODS: This case-control study involved 222
participants from our knee arthroplasty database answering a questionnaire. One
hundred and eleven patients suffering from PFOA were 1:1 matched by gender with a
unicompartmental tibiofemoral arthritis control group. Multivariate correlation
and binary logistic regression analysis were performed, with odds ratios (ORs)
and 95% confidence intervals (CIs) calculated. RESULTS: An individual is 7.5
times more likely to develop PFOA if they have suffered from adolescent AKP (OR
7.5, 95% CIs 1.51 to 36.94). Additionally, experiencing a patellar dislocation
increases the likelihood of development of PFOA, with an adjusted odds ratio of
3.2 (95% CIs 1.25 to 8.18). A 44-year difference in median age of first
dislocation was also observed between the groups. CONCLUSION: This should bring
into question the traditional belief that adolescent anterior knee pain is a
benign pathology. Patellar dislocation is also a significant risk factor. These
patients merit investigation, we encourage clinical acknowledgement of the
potential consequences when encountering patients suffering from anterior knee
pain or patellar dislocation.
PMID- 27180254
TI - Relationship between meniscal integrity and risk factors for cartilage
degeneration.
AB - BACKGROUND: The purpose of this study was to use MRI to determine if a loss of
meniscal intra-substance integrity, as determined by T2* relaxation time, is
associated with an increase of Kellgren-Lawrence (KL) grade, and if this was
correlated with risk factors for cartilage degeneration, namely meniscal
extrusion, contact area and anterior-posterior (AP) displacement. METHODS: Eleven
symptomatic knees with a KL 2 to 4 and 11 control knees with a KL 0 to 1 were
studied. A 3 Tesla MRI scanner was used to scan all knees at 15 degrees of
flexion. With a 222N compression applied, a 3D SPACE sequence was obtained,
followed by a spin echo 3D T2* mapping sequence. Next, an internal tibial torque
of 5Nm was added and a second 3D SPACE sequence obtained. The MRI scans were post
processed to evaluate meniscal extrusion, contact area, AP displacement and T2*
relaxation time. RESULTS: KL grade was correlated with T2* relaxation time for
both the anterior medial meniscus (r=0.79, p<0.001) and the posterior lateral
meniscus (r=0.55, p=0.009). In addition, T2* relaxation time was found to be
correlated with risk factors for cartilage degeneration. The largest increases in
meniscal extrusion and decreases in contact area were noted for those with
meniscal tears (KL 3 to 4). All patients with KL 3 to 4 indicated evidence of
meniscal tears. CONCLUSIONS: This suggests that a loss of meniscal integrity, in
the form of intra-substance degeneration, is correlated with risk factors for
cartilage degeneration.
PMID- 27180255
TI - Evaluation of meniscal extrusion with posterior root disruption and repair using
ultrasound.
AB - BACKGROUND: Ultrasound techniques have been utilized for detection of discrete
meniscus tears and extrusion. Meniscal extrusion is associated with increased
contact pressure and decreased contact area contributing to the advancement of
knee osteoarthritis. The purpose of this biomechanical study was to detect
meniscal extrusion using a clinically available, portable ultrasound device. And
further, to show that extent of injury and a weight-bearing state correlate with
amount of extrusion. METHODS: A portable, hand-held ultrasound was utilized to
image the lateral meniscus in association with (1) an intact posterior root
attachment, (2) a 50% cut, (3) a 100% cut, and (4) repaired posterior root
attachment. Images were obtained in an unloaded condition, and again under a
static, physiologic (70kg) axial load for above injury levels, and again
following repair. RESULTS: Significant differences in extrusion were noted
between the intact and both the 50% cut (p=0.028) and 100% cut groups (p<0.001)
all in the loaded position. No significant difference was found in extrusion
between intact state and repaired posterior root in the axially loaded position
(p=0.174). Both load (p=0.003) and injury level (p=0.005) had significant effects
on the mean extrusion of the lateral meniscus. CONCLUSION: Sectioning of the
lateral meniscus posterior root will produce significantly increased lateral
extrusion of the meniscus under physiologic loads. Unlike MRI evaluation, weight
bearing ultrasound images allow a functional assessment of meniscus extrusion.
Trans-tibial posterior root repair can restore the lateral meniscus position and
integrity. CLINICAL RELEVANCE: This weight-bearing ultrasound technique can be an
important assessment tool for complete evaluation of meniscus injuries.
PMID- 27180256
TI - Parental acceptance and uptake of the HPV vaccine among African-Americans and
Latinos in the United States: A literature review.
AB - BACKGROUND: African-Americans and Latinos suffer the highest cervical cancer
burden compared to other populations and have sub-optimal HPV vaccination rates.
OBJECTIVE: To condense research findings of studies conducted with African
Americans and Latinos on factors associated with HPV vaccine acceptability and
uptake. METHODS: Standards for conducting an integrative review were used.
PubMed, Cumulative Index to Nursing and Allied Health Literature, and PsycINFO
databases were searched. RESULTS: Awareness about HPV and the HPV vaccine varied
by demographics of parents. For Latino parents, acculturation and awareness were
associated. However, findings were mixed regarding the association between
acculturation and knowledge. Among African-Americans, higher socioeconomic status
(SES) and awareness were associated. Sexuality-related concerns, concerns about
safety and low perceived risk of daughter's acquiring HPV emerged as barriers to
vaccination among Latinos and African-Americans. Among Latinos, vaccine
acceptability was associated with the vaccine's cancer prevention benefits and a
provider's recommendation. Among African-Americans, acceptability was associated
with awareness, perceived risk of acquiring HPV, religion, and a provider's
recommendation. Few interventions have been developed to increase HPV vaccine
acceptance. Importantly, few studies assessed the influence of culture on vaccine
acceptance and uptake. CONCLUSIONS: Future research should be informed by culture
centered theories as this is the first step to inform the development of
culturally-grounded interventions.
PMID- 27180257
TI - A sign of the times: To have or to be? Social capital or social cohesion?
AB - Among various social factors associated with health behavior and disease, social
cohesion has not captured the imagination of public health researchers as much as
social capital as evidenced by the subsuming of social cohesion into social
capital and the numerous studies analyzing social capital and the comparatively
fewer articles analyzing social cohesion and health. In this paper we provide a
brief overview of the evolution of the conceptualization of social capital and
social cohesion and we use philosopher Erich Fromm's distinction between "having"
and "being" to understand the current research focus on capital over cohesion. We
argue that social capital is related to having while social cohesion is related
to being and that an emphasis on social capital leads to individualizing
tendencies that are antithetical to cohesion. We provide examples drawn from the
literature where this conflation of social capital and cohesion results in non
concordant definitions and subsequent operationalization of these constructs.
Beyond semantics, the practical implication of focusing on "having" vs. "being"
include an emphasis on understanding how to normalize groups and populations
rather than providing those groups space for empowerment and agency leading to
health.
PMID- 27180258
TI - Continuous intravenous infusion of enfuvirtide in a patient with a multidrug
resistant HIV strain.
AB - Case description To evaluate whether continuous intravenous (i.v.) administration
of enfuvirtide (T20) could be a suitable alternative to subcutaneous (s.c.)
administration of T20 in a patient with extensively drug-resistant HIV
experiencing difficulties administering T20 subcutaneously. T20 was administered
to a patient through 100 mL cassettes once daily via a CADD. Plasma samples were
drawn and the pharmacokinetic profile compared to that of s.c. twice daily
administration of T20. Also, viral replication and CD4+ count were monitored over
a period of 9 months for this study. Continuous i.v. administration of T20
resulted in significantly higher T20 plasma levels compared to s.c.
administration, continued viral suppression, a rise in CD4+ count and strong
patient preference over s.c. administration. Conclusion This method of T20
administration may be a suitable alternative for selected patients who are not
able to tolerate it when given subcutaneously. It may even be considered a priori
in selected patients with extensive viral resistance who are unable or unwilling
to inject T20 subcutaneously.
PMID- 27180259
TI - Updated 2013 College of American Pathologists/American Society of Clinical
Oncology (CAP/ASCO) guideline recommendations for human epidermal growth factor
receptor 2 (HER2) fluorescent in situ hybridization (FISH) testing increase HER2
positive and HER2 equivocal breast cancer cases; retrospective study of HER2 FISH
results of 836 invasive breast cancers.
AB - For dual probe HER2 FISH assay, the 2013 CAP/ASCO guideline recommendations
lowered the HER2/CEP17 ratio cut off for HER2 amplification to >=2.0 and
introduced an average HER2 copy number criterion for HER2 amplification
(>=6.0/cell) and HER2 equivocal categories (>=4 and <6/cell). The HER2/CEP17
equivocal category is eliminated. The aim of this study is to assess the impact
of 2013 HER2 FISH testing guideline recommendations update on the assignment of
HER2 status with dual probe HER2 FISH assay. Dual probe HER2 FISH assay results
on breast cancers from 09/2009 to 07/2015 that underwent reflex HER2 FISH testing
after equivocal HER2 (2+) immunohistochemistry (IHC) were reviewed. HER2 copy
number, CEP17 signals, and HER2/CEP ratios were noted. HER2 status was assigned
as HER2 negative (HER2-), HER2 equivocal (HER2e), and HER2 amplified (HER2+) by
applying both 2007 and 2013 CAP/ASCO HER2 FISH guideline recommendations and
results were compared. New guidelines reclassified HER2 FISH status in a
significant proportion of cases (8.3 %, 69/836; p = .021). There were 22 (2.6 %)
more HER2+, 17 (2.1 %) more HER2e, and 39 (4.1 %) fewer HER2- tumors. Change of
HER2 status correlated significantly with >=3 CEP17 signals (38 vs. 2 %; p <
.001). The 2013 CAP/ASCO guideline recommendations for HER2 FISH testing by dual
probe assay increased the HER2 amplified and HER2 equivocal tumors. Increase in
HER2 equivocal tumors would potentially increase the frequency of repeat HER2
testing. Tumors with >=3 CEP17 signals, so-called chromosome 17 polysomy, are
more likely to be impacted and classified as HER2 equivocal.
PMID- 27180260
TI - Intracellular sources of ornithine for polyamine synthesis in endothelial cells.
AB - Polyamines are essential for proliferation of endothelial cells (EC) and
angiogenesis. This study was conducted to identify the metabolic source(s) of
ornithine for polyamine synthesis in EC, using N(omega)-hydroxy-nor-L-arginine
(Nor-NOHA, an inhibitor of arginase) and gabaculine (an inhibitor of ornithine
aminotransferase; OAT). Nor-NOHA inhibited arginase with an IC50 value of 10 uM
for intact EC. Nor-NOHA (0.5 mM) alone inhibited arginase activity in EC by 98 %,
increased total cellular concentrations of arginine by 14 %, and decreased total
cellular concentrations of ornithine, putrescine and spermidine by 17, 65 and 74
%, respectively. Arginine and glutamine contributed to 73 and 26 % of the
ornithine produced by EC, respectively. Gabaculine (1 mM) alone decreased the
total cellular concentrations of arginine, ornithine, putrescine, and spermidine
by 14, 96, 32, and 42 %, respectively. A combination of both Nor-NOHA and
gabaculine completely blocked ornithine production in EC, resulting in no
detectable cellular ornithine and almost complete depletion of cellular
putrescine and spermidine. Addition of 0.5 mM ornithine restored the
intracellular concentrations of polyamines in EC treated with Nor-NOHA plus
gabaculine, indicating that Nor-NOHA and gabaculine did not inhibit ornithine
decarboxylase activity. Our results suggest that the arginase and OAT pathways
are the exclusive sources of ornithine in EC when there is little extracellular
ornithine and that there is intracellular compartmentalization of arginine and
ornithine for endothelial synthesis of polyamines. These novel findings may have
important implications for improving placental vascular growth, wound healing,
and cancer therapy.
PMID- 27180261
TI - The role of miR-126 in embryonic angiogenesis, adult vascular homeostasis, and
vascular repair and its alterations in atherosclerotic disease.
AB - Expression of microRNA (miR)-126 is enriched in endothelial cells (ECs) and
endothelial progenitor cells (EPCs). MiR-126 is considered a master regulator of
physiological angiogenesis. In embryonic vasculogenesis, this miRNA is involved
in induction of angiogenic signaling, supports differentiation of embryonic stem
cells to EPCs and ECs, and promotes EC maturation. However, in mature ECs and
adult EPCs, miR-126 contributes to vascular homeostasis by inhibiting
angiogenesis and maintaining the quiescent endothelial phenotype associated with
increased vascular integrity and inhibited proliferation and motility. In a case
of vessel injury and/or hypoxia, miR-126 up-regulation activates EPCs and ECs and
contributes to vascular healing and neovessel formation. Indeed, miR-126 exhibits
vasculoprotective and atheroprotective properties. The promising regenerative and
proangiogenic potential of this miRNA will be helpful for development of
cardioprotective strategies and cardiovascular repair therapies of myocardial
infarction, heart failure, and other cardiovascular pathology.
PMID- 27180262
TI - Misexpression of Tbx18 in cardiac chambers of fetal mice interferes with chamber
specific developmental programs but does not induce a pacemaker-like gene
signature.
AB - Initiation of cardiac excitation depends on a specialized group of cardiomyocytes
at the venous pole of the heart, the sinoatrial node (SAN). The T-box
transcription factor gene Tbx18 is expressed in the SAN myocardium and is
required for formation of a large portion of the pacemaker. Previous studies
suggested that Tbx18 is also sufficient to reprogram ventricular cardiomyocytes
into SAN cells in rat, guinea-pig and pig hearts. To evaluate the consequences of
misexpression of Tbx18 for imposing a nodal phenotype onto chamber myocardial
cells in fetal mice, we used two independent conditional approaches with chamber
specific cre driver lines and an Hprt(Tbx18) misexpression allele. Myh6
Cre/+;Hprt(Tbx18/y) mice developed dilated atria with thickened walls, reduced
right ventricles and septal defects that resulted in reduced embryonic and post
natal survival. Tagln-Cre/+;Hprt(Tbx18/y) mice exhibited slightly smaller hearts
with rounded trabeculae that supported normal embryonic survival. Molecular
analyses showed that the SAN gap junction and ion channel profile was not
ectopically induced in chamber myocardium but the working myocardial gene program
was partially inhibited in atria and ventricles of both misexpression models.
Left atrial expression of Pitx2 was strongly repressed in Myh6
Cre/+;Hprt(Tbx18/y) embryos. We conclude that exclusion of Tbx18 expression from
the developing atria and (right) ventricle is important to achieve normal cardiac
left-right patterning and myocardial differentiation, and that Tbx18 is not
sufficient to induce full SAN differentiation of chamber cardiomyocytes in fetal
mice.
PMID- 27180263
TI - Evaluation of leaf wetness duration models for operational use in strawberry
disease-warning systems in four US states.
AB - Leaf wetness duration (LWD) plays a key role in disease development and is often
used as an input in disease-warning systems. LWD is often estimated using
mathematical models, since measurement by sensors is rarely available and/or
reliable. A strawberry disease-warning system called "Strawberry Advisory System"
(SAS) is used by growers in Florida, USA, in deciding when to spray their
strawberry fields to control anthracnose and Botrytis fruit rot. Currently, SAS
is implemented at six locations, where reliable LWD sensors are deployed. A
robust LWD model would facilitate SAS expansion from Florida to other regions
where reliable LW sensors are not available. The objective of this study was to
evaluate the use of mathematical models to estimate LWD and time of spray
recommendations in comparison to on site LWD measurements. Specific objectives
were to (i) compare model estimated and observed LWD and resulting differences in
timing and number of fungicide spray recommendations, (ii) evaluate the effects
of weather station sensors precision on LWD models performance, and (iii) compare
LWD models performance across four states in the USA. The LWD models evaluated
were the classification and regression tree (CART), dew point depression (DPD),
number of hours with relative humidity equal or greater than 90 % (NHRH >=90 %),
and Penman-Monteith (P-M). P-M model was expected to have the lowest errors,
since it is a physically based and thus portable model. Indeed, the P-M model
estimated LWD most accurately (MAE <2 h) at a weather station with high precision
sensors but was the least accurate when lower precision sensors of relative
humidity and estimated net radiation (based on solar radiation and temperature)
were used (MAE = 3.7 h). The CART model was the most robust for estimating LWD
and for advising growers on fungicide-spray timing for anthracnose and Botrytis
fruit rot control and is therefore the model we recommend for expanding the
strawberry disease warning beyond Florida, to other locations where weather
stations may be deployed with lower precision sensors, and net radiation
observations are not available.
PMID- 27180264
TI - Twelve-month and sixty-month outcomes of noncultured cellular grafting for
vitiligo.
AB - BACKGROUND: Noncultured cellular grafting is a known surgical technique for
vitiligo. OBJECTIVE: This study evaluated our center's 12-month repigmentation
outcome and its maintenance up to 60 months, factors influencing repigmentation
and safety data. METHODS: Clinicoepidemiologic and repigmentation data were
reviewed for patients with vitiligo who had undergone noncultured cellular
grafting from March 2006 to December 2012 at the National Skin Center, Singapore.
RESULTS: All 177 patients who received noncultured cellular grafting during the
study period were included. For those with available data, good to excellent
repigmentation was present in 83% at 60 months. At 12 months, 88% of patients (n
= 52) with segmental vitiligo achieved good to excellent repigmentation compared
with 71% (n = 55) with nonsegmental vitiligo (P < .05). More patients on collagen
dressings (82%) achieved good to excellent repigmentation compared with those who
received hyaluronic acid (63%) (P < .05). Sites of lesions and postgrafting
phototherapy did not significantly affect repigmentation outcome. Adverse
reactions were uncommon and mild. LIMITATIONS: The study is limited by its
retrospective nature, the progressive loss to follow-up of patients, the absence
of blinding, and the lack of use of standardized assessment tools. CONCLUSION:
Noncultured cellular grafting was successful in allowing more than 80% of
patients to achieve good to excellent repigmentation for at least 60 months.
PMID- 27180265
TI - TALEN-Mediated Homologous Recombination Produces Site-Directed DNA Base Change
and Herbicide-Resistant Rice.
AB - Over the last decades, much endeavor has been made to advance genome editing
technology due to its promising role in both basic and synthetic biology. The
breakthrough has been made in recent years with the advent of sequence-specific
endonucleases, especially zinc finger nucleases (ZFNs), transcription activator
like effector nucleases (TALENs) and clustered regularly interspaced short
palindromic repeats (CRISPRs) guided nucleases (e.g., Cas9). In higher eukaryotic
organisms, site-directed mutagenesis usually can be achieved through non
homologous end-joining (NHEJ) repair to the DNA double-strand breaks (DSBs)
caused by the exogenously applied nucleases. However, site-specific gene
replacement or genuine genome editing through homologous recombination (HR)
repair to DSBs remains a challenge. As a proof of concept gene replacement
through TALEN-based HR in rice (Oryza sativa), we successfully produced double
point mutations in rice acetolactate synthase gene (OsALS) and generated
herbicide resistant rice lines by using TALENs and donor DNA carrying the desired
mutations. After ballistic delivery into rice calli of TALEN construct and donor
DNA, nine HR events with different genotypes of OsALS were obtained in T0
generation at the efficiency of 1.4%-6.3% from three experiments. The HR-mediated
gene edits were heritable to the progeny of T1 generation. The edited T1 plants
were as morphologically normal as the control plants while displayed strong
herbicide resistance. The results demonstrate the feasibility of TALEN-mediated
genome editing in rice and provide useful information for further genome editing
by other nuclease-based genome editing platforms.
PMID- 27180267
TI - Seasonal proliferation rates and the capacity to express genes involved in cell
cycling and maintenance in response to seasonal and experimental food shortage in
Laternula elliptica from King George Island.
AB - Melting of coastal glaciers at the West Antarctic Peninsula (WAP) causes shorter
winter sea ice duration, intensified ice scouring, sediment erosion and surface
freshening in summer, which alters coastal productivity and feeding conditions
for the benthos. The soft shell clam Laternula elliptica is a fast growing and
abundant filter feeder in coastal Antarctica and a key element for bentho-pelagic
carbon recycling. Our aim was to assess the cellular growth and maintenance
capacity of small and large clams during natural winter food shortage (seasonal
sampling) and in response to experimental starvation exposure. We measured tissue
specific proliferation rates, the expression of cell cycling genes, and the iron
binding protein Le-ferritin in freshly collected specimens in spring (Nov 2008)
and at the end of summer (March 2009). For the experimental approach, we focused
on 14 cell cycling and metabolic genes using the same animal size groups. Mantle
tissue of young bivalves was the only tissue showing accelerated proliferation in
summer (1.7% of cells dividing per day in March) compared to 0.4% dividing cells
in animals collected in November. In mantle, siphon and adductor muscle
proliferation rates were higher in younger compared to older individuals. At
transcript level, Le-cyclin D was upregulated in digestive gland of older animals
collected in spring (Nov) compared to March indicating initiation of cell
proliferation. Likewise, during experimental starvation Le-cyclin D expression
increased in large clam digestive gland, whereas Le-cyclin D and the autophagic
factor beclin1 decreased in digestive gland of smaller starved clams. The paper
corroborates earlier findings of size and age dependent differences in the
metabolic response and gene expression patterns in L. elliptica under energetic
deprivation. Age structure of shallow water populations can potentially change
due to differences in cellular response between young and old animals as
environmental stress levels increase.
PMID- 27180266
TI - Prognostic significance of serum neuron-specific enolase in small cell carcinoma
of the urinary bladder.
AB - PURPOSE: Small cell carcinoma of the urinary bladder (SCCB) is known for its
aggressive clinical features and poor prognosis. No prognostic factor has been
established so far. The aim of this study was to assess the significance of
possible prognostic factors, including serum neuron-specific enolase (NSE), an
established biomarker for small cell lung carcinoma. METHODS: We retrospectively
reviewed 31 patients with primary SCCB treated at our eight affiliate
institutions between 2001 and 2014. The association of various
clinicopathological factors at diagnosis, including the serum NSE value, with
cancer-specific survival (CSS) was assessed. The log-rank test and Cox
proportional hazards model were used for univariate and multivariate analyses,
respectively. RESULTS: Nineteen (61.3 %) died of SCCB during the follow-up, with
a median survival time of 12.7 months. Prognostic factors were analyzed for the
25 patients after excluding six with missing data. Univariate analysis
demonstrated that stage (extensive disease) and serum NSE >=25 ng/ml were
significantly associated with worse CSS. Multivariate analysis identified
increased serum NSE value as a sole independent predictor of CSS (hazard ratio
18.52, p = 0.0022). CONCLUSIONS: Serum NSE value at diagnosis was an independent
prognostic factor for primary SCCB and may serve as a useful biomarker in the
management of SCCB.
PMID- 27180268
TI - Synergistic effect of pacritinib with erlotinib on JAK2-mediated resistance in
epidermal gowth factor receptor mutation-positive non-small cell lung Cancer.
AB - The combination effect of pacritinib, a novel JAK2/FLT3 inhibitor, with
erlotinib, the epidermal growth factor receptor-tyrosine kinase inhibitor (EGFR
TKI), on non-small cell lung cancer cells with EGFR activating mutations was
investigated. The combination showed synergistic effects on JAK2-mediated EGFR
TKI-resistant PC-9/ER3 cells in some cases. The combination markedly suppressed
pAKT and pERK although pSTAT3 expression was similar regardless of treatment with
the pacritinib, pacritinib + erlotinib, or control in PC-9/ER3 cells. Receptor
tyrosine kinase array profiling demonstrated that pacritinib suppressed MET in
the PC-9/ER3 cells. The combined treatment of pacritinib and erlotinib in PC
9/ER3 xenografts showed more tumor shrinkage compared with each drug as
monotherapy. Western blotting revealed that pMET in tumor samples was inhibited.
These results suggest MET suppression by pacritinib may play a role in overcoming
the EGFR-TKI resistance mediated by JAK2 in the PC-9/ER3 cells. In conclusion,
pacritinib combined with EGFR-TKI might be a potent strategy against JAK2
mediated EGFR-TKI resistance.
PMID- 27180269
TI - Rapid detection and grouping of porcine bocaviruses by an EvaGreen((r)) based
multiplex real-time PCR assay using melting curve analysis.
AB - Several novel porcine bocaviruses (PBoVs) have been identified in pigs in recent
years and association of these viruses with respiratory signs or diarrhea has
been suggested. In this study, an EvaGreen((r))-based multiplex real-time PCR (EG
mPCR) with melting curve analysis was developed for simultaneous detection and
grouping of novel PBoVs into the same genogroups G1, G2 and G3. Each target
produced a specific amplicon with a melting peak of 81.3 +/- 0.34 degrees C for
PBoV G1, 78.2 +/- 0.37 degrees C for PBoV G2, and 85.0 +/- 0.29 degrees C for
PBoV G3. Non-specific reactions were not observed when other pig viruses were
used to assess the EG-mPCR assay. The sensitivity of the EG-mPCR assay using
purified plasmid constructs containing the specific viral target fragments was
100 copies for PBoV G1, 50 for PBoV G2 and 100 for PBoV G3. The assay is able to
detect and distinguish three PBoV groups with intra-assay and inter-assay
variations ranging from 0.13 to 1.59%. The newly established EG-mPCR assay was
validated with 227 field samples from pigs. PBoV G1, G2 and G3 was detected in
15.0%, 25.1% and 41.9% of the investigated samples and coinfections of two or
three PBoV groups were also detected in 25.1% of the cases, indicating that all
PBoV groups are prevalent in Chinese pigs. The agreement of the EG-mPCR assay
with an EvaGreen-based singleplex real-time PCR (EG-sPCR) assay was 99.1%. This
EG-mPCR will serve as a rapid, sensitive, reliable and cost effective alternative
for routine surveillance testing of multiple PBoVs in pigs and will enhance our
understanding of the epidemiological features and possible also pathogenetic
changes associated with these viruses in pigs.
PMID- 27180270
TI - In women with gestational diabetes mellitus factors influencing growth have a
larger effect on placental weight than on birth weight.
AB - BACKGROUND AND AIMS: Excessive fetal and placental growth are very common in
diabetic pregnancy. We aimed to analyze in women with gestational diabetes
mellitus (GDM) the association with birth weight (BW), placental weight (PW) and
placental-to-birth weight (PWBW) ratio of acknowledged BW predictors. MATERIAL
AND METHODS: We performed a retrospective analysis of a prospective cohort
database from a tertiary hospital. Inclusion criteria were singleton pregnancy,
diagnosis of GDM, delivery between 1982 and 2011 and gestational age at birth
>=23 weeks. Multiple regression analysis was performed using as dependent
variables BW, PW and PWBW ratio and as independent ones maternal characteristics
at baseline, metabolic characteristics (GDM diagnosis, treatment, control),
pregnancy-induced hypertension, gestational age at delivery and fetal sex. Two
sensitivity analyses were performed. RESULTS: We evaluated 2547 women, PW being
available in 85.3%. BW was 3260g (2976, 3575), PW 620g (540, 720) and PWBW ratio
19.27 (17.20, 21.47). Among the 24 analyzed variables, there was an important
overlap among those associated with BW, PW and PWBW ratio. For most
characteristics associated with both BW and PW, the magnitude of the association
was greater for the latter, both when promoting growth (i.e. prepregnancy body
mass index, 3h plasma glucose at diagnosis) and when restricting it (insulin
treatment). CONCLUSION: We conclude that in women with GDM and singleton
pregnancies, variables associated with BW, PW and PWBW ratio overlap. The latter
is the result of disproportionate associations with BW and PW, usually larger
with PW.
PMID- 27180271
TI - First-trimester ultrasound determination of chorionicity in twin gestations using
the lambda sign: a systematic review and meta-analysis.
AB - OBJECTIVE: To evaluate the accuracy of first-trimester sonographic determination
of chorionicity in twin gestations using the lambda sign. STUDY DESIGN:
Electronic databases (MEDLINE, PROSPERO, Scopus, ClinicalTrials.gov, EMBASE,
Sciencedirect) were searched from their inception until April 2016. We included
only study assessing the accuracy lambda sign in prediction of monochorionicity
in the first trimester. Forest plots for pooled sensitivity and specificity with
95% confidence intervals (CI) were generated. In addition, symmetric summary
receiver-operating characteristic curves were plotted. The area under the curve
(AUC) was also computed to evaluate the overall accuracy of the diagnostic test.
RESULTS: Nine studies, including 2292 twins, were analysed. In all of these
studies, identification of the lambda sign was used to diagnose chorionicity on
real-time B-mode imaging. Twins were classified as monochorionic if there was a
single placental mass in the absence of the lambda sign, and dichorionic if there
was a single placental mass but the lambda sign was present or the placentas were
not adjacent to each other. In all nine studies, placental histology or
discordant fetal sex were used to confirm chorionicity. Pooled results from the
meta-analysis showed that sensitivity of the presence of the lambda sign in the
prediction of dichorionicity was 99% (95% CI 98-100%), and specificity was 95%
(95% CI 92-97%). Pooled sensitivity of the absence of the lambda sign in the
prediction of monochorionicity was 96% (95% CI 92-98%) and pooled specificity was
99% (95% CI 98-99%). The AUC for diagnostic accuracy was 0.99, and suggested very
high diagnostic accuracy. CONCLUSION: The lambda sign predicts chorionicity with
a high degree of accuracy before 14 weeks of gestation. Presence of the lambda
sign indicates dichorionicity, and absence of the lambda sign indicates
monochorionicity. All hospitals should encourage departments providing ultrasound
services to determine chorionicity when examining women with twin pregnancies in
the first trimester. As determination of chorionicity is most accurate before 14
weeks when the amnion and chorion have not yet fused, the first-trimester scan in
twin pregnancy is paramount.
PMID- 27180272
TI - Using the Female Sexual Function Index (FSFI) to evaluate sexual function in
women with genital mutilation undergoing surgical reconstruction: a pilot
prospective study.
AB - OBJECTIVES: Few prospective studies have evaluated sexual function in women with
female genital mutilation by cutting (FGM/C) before and after clitoral
reconstructive surgery, and none used a validated questionnaire. A validated
questionnaire, the Female Sexual Function Index (FSFI) was used for the first
time, to assess the impact of reconstructive surgery on sexual function in women
with female genital mutilation/cutting (FGM/C) before and after clitoral
reconstructive surgery. STUDY DESIGN: Women with FGM/C consulting at the Nantes
University Hospital for clitoral reconstruction between 2013 and 2014 were
prospectively included. All patients completed a questionnaire at inclusion,
describing their social, demographic, and FGM/C characteristics. They were also
asked to complete the FSFI as well as a questionnaire about clitoral sensations,
symptoms of depression or anxiety, and self-esteem before and 3 and 6 months
after the surgery. Paired Wilcoxon and McNemar tests were used to compare data.
RESULTS: Of the 12 women included, 9 (75%) had type II mutilations. Results
showed a global sexual dysfunction (median FSFI summary score=17) before surgery.
Clitoral sensations were absent in 8 women (67%). Six months after surgery, all
FSFI dimensions except lubrication had improved significantly (median FSFI
summary score=29, P=0.009). Ten women had clitoral sensations, and 11 (92%) were
satisfied with their surgery. CONCLUSION: This study shows that 6 months after
clitoral reconstructive surgery, women reported a multidimensional positive
improvement in their sexual function. The FSFI is a promising tool for routine
standardized assessment of the sexual function of women with FGM/C for
determining appropriate management and assessing it. Larger studies with
validated questionnaires assessing self-esteem, depression, and body image are
also needed to develop an integrative approach and to provide evidence-based
recommendations about management of these women.
PMID- 27180273
TI - Cephalad-caudad versus transverse blunt expansion of the low transverse uterine
incision during cesarean delivery.
AB - It is imperative to have evidence-based guidelines for cesarean delivery. The aim
of this meta-analysis was to evaluate the effectiveness of a cephalad-caudad
compared to transverse blunt expansion of the uterine incision to reduce blood
loss in women who underwent low-segment transverse cesarean delivery. We
therefore performed a systematic search in electronic databases from their
inception until March 2016. We included all randomized trials comparing cephalad
caudad versus transverse (control group) blunt expansion of the uterine incision
in women who underwent a low transverse cesarean delivery. The primary outcome
was postpartum blood loss, defined as the mean amount of blood loss (mL). Two
trials (921 women) were analyzed. After the transverse uterine incision in the
lower uterine segment with the scalpel, the uterine incision was then bluntly
expanded by the designated method. Blunt expansion of the primary incision was
derived by placing the index fingers of the operating surgeon into the incision
and pulling the fingers apart laterally (transverse group) or cephalad (cephalad
caudad group). Women who were randomized in the cephalad-caudad group had lower:
mean of postpartum blood loss, hemoglobin drop and hematocrit drop 24h after
cesarean, unintended extension, uterine vessels injury, blood loss >1500mL and
need for additional stitches. There was no statistically significant difference
in the incidence of blood loss >1000mL, in the operating time and in post
operative pain. In conclusion, expansion of the uterine incision with fingers in
a cephalad-caudad direction is associated with better maternal outcomes and,
therefore, should be preferred to transverse expansion during a cesarean
delivery.
PMID- 27180274
TI - [Therapeutic education programme for patients with chronic endocrine conditions:
Transition from paediatric to adult services].
AB - The purpose of this study is to contribute to better identifying the transition
of patients with chronic endocrine conditions from paediatric to adult
department. The aim was to specify the means and the competences that must be
used by patients and health care teams and which could be help to the best
quality care. METHOD: We propose group sessions and individual interviews. A
thematic analysis of the sessions and the responses to questionnaires have
enabled the development of individual educational diagnosis and assess the
autonomy of young adolescents. RESULTS: Fifty of 214 patients from paediatric
services participated to therapeutic education program (TEP) in the last four
years. This program is based on the psychic movements of adolescence. One year
after the therapeutic education program session, 48 patients came to all the
medical consultations and we observed a greater autonomy in adolescent patients.
CONCLUSION: However, we must improve the modalities for including patients in
this "transition program".
PMID- 27180277
TI - Multiple arterial grafting for coronary revascularization: "A guide for the
perplexed".
AB - The surgical literature abounds with articles extolling the benefits of arterial
grafting for patients with advanced coronary artery disease in need of surgical
revascularization. However, examination of clinical performance demonstrates that
extensive use of arterial grafting is highly selective and generally uncommon.
Rather than to merely repeat multiple excellent recent literature reviews, the
goal herein is to provide the reader with a guide to the evaluation of the
current literature as well as to suggest fruitful areas for further research.
More circumspect understanding of the strengths and weaknesses of our current
knowledge base will not only help to explain the current apparent disparity
between theory and practice but will hopefully inform future decision-making and
patient care.
PMID- 27180278
TI - SERAH: Supporting Expanded Roles for safe Abortion care by Health workers-A
working group to enable the implementation of the WHO guidelines for expanded
roles of health workers in safe abortion and postabortion care.
PMID- 27180280
TI - Increasing maternal deaths due to obstetric hemorrhage in a setting of high HIV
seroprevalence.
PMID- 27180279
TI - Profile of pregnant women using delivery hut services of the Ballabgarh Health
and Demographic Surveillance System in rural north India.
AB - OBJECTIVE: To describe women who attended two delivery huts in rural Haryana,
India. METHODS: The present observational study assessed routinely collected
service provision data from two delivery huts located at primary health centers
in the district of Faridabad. Data on sociodemographic characteristics, prenatal
care, use of free transport services, and maternal and neonatal indicators at
delivery were assessed for all pregnant women who used the delivery hut services
from January 2012 to June 2014. RESULTS: During the study period, 1796 deliveries
occurred at the delivery huts. The mean age of the mothers was 23.3 +/- 3.3 years
(95% confidence interval 23.1-23.5). Of 1648 mothers for whom data were
available, 1039 (63.0%) had travelled less than 5 km to the delivery hut. The
proportion of mothers who belonged to a lower caste increased from 31.0%
(193/622) in 2012 to 41.1% (162/394) in 2014. The proportion of mothers who were
illiterate also increased, from 8.1% (53/651) in 2012 to 26.4% (104/394) in 2014.
CONCLUSION: Belonging to a disadvantaged social group (in terms of caste or
education) was not an obstacle to use of delivery hut services. The delivery huts
might have satisfied some unmet needs of community members in rural India.
PMID- 27180276
TI - Roles of extracellular nucleotides and P2 receptors in ectodomain shedding.
AB - Ectodomain shedding of integral membrane receptors results in the release of
soluble molecules and modification of the transmembrane portions to mediate or
modulate extracellular and intracellular signalling. Ectodomain shedding is
stimulated by a variety of mechanisms, including the activation of P2 receptors
by extracellular nucleotides. This review describes in detail the roles of
extracellular nucleotides and P2 receptors in the shedding of various cell
surface molecules, including amyloid precursor protein, CD23, CD62L, and members
of the epidermal growth factor, immunoglobulin and tumour necrosis factor
families. This review discusses the mechanisms involved in P2 receptor-mediated
shedding, demonstrating central roles for the P2 receptors, P2X7 and P2Y2, and
the sheddases, ADAM10 and ADAM17, in this process in a number of cell types.
PMID- 27180281
TI - Xanthomonas citri subsp. citri surface proteome by 2D-DIGE: Ferric enterobactin
receptor and other outer membrane proteins potentially involved in citric host
interaction.
AB - : Xanthomonas citri subsp. citri (XAC) is the causative agent of citrus canker, a
disease of great economic impact around the world. Understanding the role of
proteins on XAC cellular surface can provide new insights on pathogen-plant
interaction. Surface proteome was performed in XAC grown in vivo (infectious) and
in vitro (non-infectious) conditions, by labeling intact cells followed by
cellular lysis and direct 2D-DIGE analysis. Seventy-nine differential spots were
analyzed by mass spectrometry. Highest relative abundance for in vivo condition
was observed for spots containing DnaK protein, 60kDa chaperonin, conserved
hypothetical proteins, malate dehydrogenase, phosphomannose isomerase, and ferric
enterobactin receptors. Elongation factor Tu, OmpA-related proteins, Oar proteins
and some Ton-B dependent receptors were found in spots decreased in vivo. Some
proteins identified on XAC's surface in infectious condition and predicted to be
cytoplasmic, such as DnaK and 60KDa chaperonin, have also been previously found
at cellular surface in other microorganisms. This is the first study on XAC
surface proteome and results point to mediation of molecular chaperones in XAC
citrus interaction. The approach utilized here can be applied to other pathogen
host interaction systems and help to achieve new insights in bacterial
pathogenicity toward promising targets of biotechnological interest. BIOLOGICAL
SIGNIFICANCE: This research provides new insights for current knowledge of the
Xanthomonas sp. pathogenicity. For the first time the 2D-DIGE approach was
applied on intact cells to find surface proteins involved in the pathogen-plant
interaction. Results point to the involvement of new surface/outer membrane
proteins in the interaction between XAC and its citrus host and can provide
potential targets of biotechnological interest for citrus canker control.
PMID- 27180275
TI - Transition from inflammation to proliferation: a critical step during wound
healing.
AB - The ability to rapidly restore the integrity of a broken skin barrier is critical
and is the ultimate goal of therapies for hard-to-heal-ulcers. Unfortunately
effective treatments to enhance healing and reduce scarring are still lacking. A
deeper understanding of the physiology of normal repair and of the pathology of
delayed healing is a prerequisite for the development of more effective
therapeutic interventions. Transition from the inflammatory to the proliferative
phase is a key step during healing and accumulating evidence associates a
compromised transition with wound healing disorders. Thus, targeting factors that
impact this phase transition may offer a rationale for therapeutic development.
This review summarizes mechanisms regulating the inflammation-proliferation
transition at cellular and molecular levels. We propose that identification of
such mechanisms will reveal promising targets for development of more effective
therapies.
PMID- 27180282
TI - Comprehensive quantification of N-glycoproteome in Fusarium graminearum reveals
intensive glycosylation changes against fungicide.
AB - Glycoproteomics is greatly developed in recent years and big data of N
glycoproteome in mammalian tissues and cells were already established. However,
the glycoproteomic studies on plant, fungus and bacteria are far left behind. In
this study, we comprehensively mapped and quantified the N-glycosylation of
Fusarium graminearum by combining stable isotope dimethyl labeling, hydrophilic
interaction chromatography (HILIC) and high-resolution mass spectrometry. The N
glycosylation changes in Fusarium graminearum after fungicide treatment were
extensively studied. Altogether we identified 927 N-glycopeptides, corresponding
to 406 proteins and 774 sites and the glycosylation level was found to be largely
down-regulated upon fungicide treatment. With the help of advanced
bioinformatics, it was found that the N-glycoproteome changes were highly
enriched in cell wall, membrane and extracellular regions. Moreover, the fungal
metabolism, protein and glycosylation synthesis, and protease and glycosyl
transferase activity were all closely related with the down-regulated proteins,
indicates that fungicide may affect fungal development in these aspects. These
results will be useful for future studies on fungal biology. The established
system for N-glycoproteome quantification has comparative or better performance
compared with previous strategies and will be helpful in N-glycoproteomics of
fungus and other species. SIGNIFICANCE: We developed a robust HILIC-based system
for N-glycoproteome quantification in fungus and established the largest
quantitative N-glycosylation dataset in fungus, showing the high performance of
the new system. The identified N-glycoproteins were proved to be high confident
due to the high percentage of proteins in extracellular region and plasma. The
quantification results were also accuracy and reproducible in two replicates. By
the help of advanced bioinformatic tools, the obtained data was systematically
analyzed. It was found that the N-glycosylation level was largely changed in cell
wall, membrane and extracellular regions. Moreover, the cell metabolism, protein
synthesis, and protease activity were also greatly deceased after fungicide
treatment.
PMID- 27180283
TI - Quantitative interactome reveals that porcine reproductive and respiratory
syndrome virus nonstructural protein 2 forms a complex with viral nucleocapsid
protein and cellular vimentin.
AB - Porcine reproductive and respiratory syndrome virus (PRRSV) is an Arterivirus
that has heavily impacted the global swine industry. The PRRSV nonstructural
protein 2 (nsp2) plays crucial roles in viral replication and host immune
regulation, most likely by interacting with viral or cellular proteins that have
not yet been identified. In this study, a quantitative interactome approach based
on immunoprecipitation and stable isotope labeling with amino acids in cell
culture (SILAC) was performed to identify nsp2-interacting proteins in PRRSV
infected cells with an nsp2-specific monoclonal antibody. Nine viral proteins and
62 cellular proteins were identified as potential nsp2-interacting partners. Our
data demonstrate that the PRRSV nsp1alpha, nsp1beta, and nucleocapsid proteins
all interact directly with nsp2. Nsp2-interacting cellular proteins were
classified into different functional groups and an interactome network of nsp2
was generated. Interestingly, cellular vimentin, a known receptor for PRRSV,
forms a complex with nsp2 by using viral nucleocapsid protein as an intermediate.
Taken together, the nsp2 interactome under the condition of virus infection
clarifies a role of nsp2 in PRRSV replication and immune evasion. BIOLOGICAL
SIGNIFICANCE: Viral proteins must interact with other virus-encoded proteins
and/or host cellular proteins to function, and interactome analysis is an ideal
approach for identifying such interacting proteins. In this study, we used the
quantitative interactome methodology to identify the viral and cellular proteins
that potentially interact with the nonstructural protein 2 (nsp2) of porcine
reproductive and respiratory syndrome virus (PRRSV) under virus infection
conditions, thus providing a rich source of potential viral and cellular
interaction partners for PRRSV nsp2. Based on the interactome data, we further
demonstrated that PRRSV nsp2 and nucleocapsid protein together with cellular
vimentin, form a complex that may be essential for viral attachment and
replication, which partly explains the role of nsp2 in PRRSV replication and
immune evasion.
PMID- 27180284
TI - Insights into the mechanisms and the emergence of sex-differences in pain.
AB - Recent studies describe sex and gender as critical factors conditioning the
experience of pain and the strategies to respond to it. It is now clear that men
and women have different physiological and behavioral responses to pain. Some
pathological pain states are also highly sex-specific. This clinical observation
has been often verified with animal studies which helped to decipher the
mechanisms underlying the observed female hyper-reactivity and hyper-sensitivity
to pain states. The role of gonadal hormones in the modulation of pain responses
has been a straightforward hypothesis but, if pertinent in many cases, cannot
fully account for this complex sensation, which includes an important cognitive
component. Clinical and fundamental data are reviewed here with a special
emphasis on possible developmental processes giving rise to sex-differences in
pain processing.
PMID- 27180286
TI - Intentions and willingness to drive while drowsy among university students: An
application of an extended theory of planned behavior model.
AB - A web-based questionnaire was used to assess the utility of constructs from the
Theory of Planned Behavior (TPB) and the Prototype Willingness Model (PWM) to
predict intentions and willingness to engage in drowsy driving in a sample of 450
university students. Those students who reported more favorable attitudes and
subjective norm and greater perceived control and willingness in relation to
drowsy driving behavior were more likely to report stronger intentions to engage
in drowsy driving behavior. Augmenting the TPB constructs with the PWM construct
of willingness significantly explained up to an additional 8 percent of the
variance in drowsy driving intention. Perceived behavioral control and
willingness were consistently the strongest predictors of drowsy driving
intention in the augmented model, which together with the control (personal)
variables explained up to 70 percent of the variance in intention. Thus, the
Theory of Planned Behavior and the Prototype Willingness Model may be useful for
understanding motivational influences on drowsy driving behavior in young people
and present promising theoretical frameworks for designing more effective
interventions against drowsy driving in this population.
PMID- 27180287
TI - Multi-mode reliability-based design of horizontal curves.
AB - Recently, reliability analysis has been advocated as an effective approach to
account for uncertainty in the geometric design process and to evaluate the risk
associated with a particular design. In this approach, a risk measure (e.g.
probability of noncompliance) is calculated to represent the probability that a
specific design would not meet standard requirements. The majority of previous
applications of reliability analysis in geometric design focused on evaluating
the probability of noncompliance for only one mode of noncompliance such as
insufficient sight distance. However, in many design situations, more than one
mode of noncompliance may be present (e.g. insufficient sight distance and
vehicle skidding at horizontal curves). In these situations, utilizing a multi
mode reliability approach that considers more than one failure (noncompliance)
mode is required. The main objective of this paper is to demonstrate the
application of multi-mode (system) reliability analysis to the design of
horizontal curves. The process is demonstrated by a case study of Sea-to-Sky
Highway located between Vancouver and Whistler, in southern British Columbia,
Canada. Two noncompliance modes were considered: insufficient sight distance and
vehicle skidding. The results show the importance of accounting for several
noncompliance modes in the reliability model. The system reliability concept
could be used in future studies to calibrate the design of various design
elements in order to achieve consistent safety levels based on all possible modes
of noncompliance.
PMID- 27180285
TI - Simvastatin inhibits protein isoprenylation in the brain.
AB - Evidence suggests that 3-hydroxy-3-methylglutaryl coenzyme A reductase
inhibitors, or statins, may reduce the risk of Alzheimer's disease (AD). Statin
action in patients with AD, as in those with heart disease, is likely to be at
least partly independent of the effects of statins on cholesterol. Statins can
alter cellular signaling and protein trafficking through inhibition of
isoprenylation of Rho, Cdc42, and Rab family GTPases. The effects of statins on
protein isoprenylation in vivo, particularly in the central nervous system, are
poorly studied. We utilized two-dimensional gel electrophoresis approaches to
directly monitor the levels of isoprenylated and non-isoprenylated forms of Rho
and Rab family GTPases. We report that simvastatin significantly inhibits RhoA
and Rab4, and Rab6 isoprenylation at doses as low as 50nM in vitro. We also
provide the first in vivo evidence that statins inhibit the isoprenylation of
RhoA in the brains of rats and RhoA, Cdc42, and H-Ras in the brains of mice
treated with clinically relevant doses of simvastatin.
PMID- 27180288
TI - The timing of surgery for congenital cataracts: Minimizing the risk of glaucoma
following cataract surgery while optimizing the visual outcome.
PMID- 27180289
TI - The timing of surgery for congenital cataracts: Delayed surgery for best surgical
outcomes.
PMID- 27180290
TI - An epidemiological study of animals dermatomycoses in Iran.
AB - OBJECTIVE: To determine the fungal species isolated from skin lesions of
different animals suspected of having dermatomycoses and their prevalence in
different regions of Iran. MATERIALS AND METHODS: A total of 1011 animals (292
dogs, 229 cats, 168 horses, 100 camels, 98 cows, 60 squirrels, 37 birds, 15
sheep, 6 goats, 5 rabbits and 1 fox) suspected of having dermatomycoses were
examined. The samples were obtained by plucking the hairs and feathers with
forceps around the affected area and scraping the epidermal scales with a sterile
scalpel blade. All collected samples were analyzed by direct microscopy and
culture. Laboratory identification of the fungal isolates was based on their
colonial, microscopic and biochemical characteristics. RESULTS: Fungal agents
were recovered from 553 (54.7%) animals suspected of having dermatomycoses. Of
553 confirmed cases, 255 (49.7%) were positive for dermatophytosis, 251 (45.4%)
for Malassezia dermatitis, 14 (2.5%) for candidiasis, 12 (2.2%) for aspergillosis
and 1 (0.2%) for zygomycosis. Cats (36.3%) were the most prevalent infected
animals, followed by camels (13.4%), dogs (12.8%), horses (12.5%), cows (12.3%),
squirrels (5.4%), birds (3.6%), sheep (2%), goats (1.1%), rabbits (0.4%) and fox
(0.2%). Microsporum canis (M. canis) was the most frequent fungus isolated from
dogs and fox, Malassezia pachydermatis (M. pachydermatis) from cats, horses and
squirrels, Trichophyton verrucosum (T. verrucosum) from cows and camels, T.
mentagrophytes var. mentagrophytes from sheep, goats and rabbits, and Aspergillus
fumigatus (A. fumigatus) from birds. CONCLUSION: The results suggested that
periodic screening of animals suspected of having dermatomycoses and necessary
treatments could help in the management of their public health problem.
PMID- 27180292
TI - Optimization of microwave-assisted extraction of polysaccharide from Psidium
guajava L. fruits.
AB - This study deals with the optimization of microwave assisted extraction of
polysaccharide from Psidium guajava L. fruit using Response surface methodology.
To evaluate the effect of three independent variables, Water to plant material
ratio, microwave power used for extraction and Irradiation time, central
composite design has been employed. The yield is considered as dependent
variable. The design model estimated the optimum yield of 6.81677% at 200W
microwave power level, 3:1 water to plant material ratio and 20min of irradiation
time. Three factors three levels Central composite design coupled with RSM was
used to model the extraction process. ANOVA was performed to find the
significance of the model. The polysaccharide extracted using microwave assisted
extraction process was analyzed using FTIR Spectroscopy.
PMID- 27180291
TI - Curcumin loaded chitosan nanoparticles impregnated into collagen-alginate
scaffolds for diabetic wound healing.
AB - Diabetic wounds are a common complication in patients with diabetes that often
lead to amputation. Although the pathophysiology of diabetic wound is
multifactorial, chronic inflammation and lack of tissue regeneration leads to
impair wound healing in diabetes. Application of curcumin (CUR) which is a well
known anti-inflammatory and antioxidant agent could be better strategy in
diabetic wound healing. However, low bioavailability and poor stability of CUR
hinders its application. Hence, in present study a novel nanohybrid scaffold has
been prepared by incorporating CUR in chitosan nanoparticles (CSNPs) to improve
stability and solubility followed by impregnation of prepared CUR-CSNPs into
collagen scaffold (nanohybrid scaffold) for better tissue regeneration
application. The prepared CUR-CSNPs were evaluated for particle size, zeta
potential, SEM, differential scanning calorimetry and X-ray powder diffraction
studies and the novel nanohybrid is evaluated for morphology, biodegradability,
biocompatibility, in vitro drug release and in vivo wound healing studies. The
results of NPs evaluation suggest the better stability and solubility of CUR. The
nanohybrid scaffold showed good in vitro characteristics in terms of better water
uptake, biocompatibility and sustained drug availability. The results of in vivo
wound closure analysis revealed that nanohybrid scaffold treated wounds
contracted significantly (p<0.001) faster than the wounds from the control and
placebo scaffold groups. Further, the obtained results suggest that complete
epithelialization with thick granulation tissue formation occur in nanohybrid
scaffold group, whereas lack of compact collagen deposition in placebo scaffold
group and presence of inflammatory cells in control group was observed. Hence,
the present study suggests that the synergistic combination of CUR (anti
inflammatory and anti-oxidant), chitosan (sustain drug carrier, wound healing)
and collagen (established wound healer as scaffold) is a promising strategy to
address various pathological manifestations of diabetic wounds and have better
wound healing capability.
PMID- 27180293
TI - Pure keratin membrane and fibers from chicken feather.
AB - In this research, keratin was extracted from the disposable chicken feather using
l-cysteine as reducing agent. Then, it was re-dissolved in the sodium carbonate
sodium bicarbonate buffer, and the pure keratin membrane and fiber were
fabricated by doctor-blade casting process and wet spinning method, respectively.
Scanning electron microscopy (SEM), fourier transform infrared (FT-IR)
spectroscopy, X-ray diffraction (XRD) and thermogravimetric analysis (TGA) were
used to characterize the chemical and physical properties of resulting powder,
membrane and fiber. Compared with the raw chicken feather, the regenerated
keratin materials retain its chemical structure and thermal stability, their
relative crystallinity is a little different depend on the shaping method, which
leads to the difference in moisture regain. The mechanical results show that
tensile strength of the keratin membrane researches 3.5MPa, have potential
application in biomedical fields. However, the keratin fiber presents low
tenacity, i.e. 0.5cN/dtex, this problem should be solved in order to apply the
new fiber in textile and material science.
PMID- 27180294
TI - AkP from mushroom Termitomyces clypeatus is a proteoglycan specific protease with
apoptotic effect on HepG2.
AB - Termitomyces clypeatus is an edible mushroom, prized for its therapeutic values
and as producer of industrially important enzymes. However, the biomedical
efficacies of anticancer proteases have not been reported yet. The present study
aimed to purify and characterize a serine protease (AkP) from T. clypeatus for
investigating cytotoxic potency on HepG2, Hep3B, and compared the effect on
normal hepatic L-02 cells. Purification and biochemical characterization of AkP
were evaluated by three stage chromatography, 1D/2D-SDS-PAGE, 1D zymography, far
UV CD spectral analysis, N-terminal sequencing, MALDI-TOF/MS-MS analysis and
enzyme kinetics studies. AkP could cleave the growth promoting cell surface
proteoglycans of HepG2, corroborated by RP-HPLC analysis. AkP (IC50: 75+/-1.18nM)
mediated anti-proliferative activity solely on HepG2 cells through the induction
of apoptosis. Augmentation of apoptosis was attributed to up-regulation of p53
and Bax protein expression succeeded by caspase-3 activation. Serine protease
inhibitor phenyl methane sulfonyl fluoride (PMSF) inhibited both its proteolytic
activity and cytotoxicity on HepG2. These findings demonstrate that AkP could be
an effective biomolecule for killing of cancer cells by p53 restoration and
surface proteoglycans cleavage.
PMID- 27180295
TI - U(VI) biosorption by bi-functionalized Pseudomonas putida @ chitosan bead:
Modeling and optimization using RSM.
AB - In this work, Pseudomonas putida cells immobilized into chitosan beads (PICB)
were synthesized to investigate the impact of microorganism entrapment on
biosorption capacity of prepared biosorbent for U(VI) biosorption from aqueous
solutions. Response Surface Methodology (RSM) based on Central Composite Design
(CCD) was utilized to evaluate the performance of the PICB in comparison with
chitosan beads (CB) under batch mode. Performing experiments under optimal
condition sets viz. pH 5, initial U(VI) concentration 500mg/L, biosorbent dosage
0.4g/L and 20wt.% bacterial cells showed that the observed biosorption capacity
enhanced by 1.27 times from 398mg/g (CB) to 504mg/g (PICB) that confirmed the
effectiveness of cells immobilization process. FTIR and potentiometric titration
were then utilized to characterize the prepared biosorbents. While the dominant
functional group in the binding process was NH3(+) (4.78meq/g) in the CB, the
functional groups of NH3(+), NH2, OH, COOH (6.00meq/g) were responsible for the
PICB. The equilibrium and kinetic studies revealed that the Langmuir isotherm
model and the pseudo-second-order kinetic model were in better fitness with the
CB and PICB experimental data. In conclusion, the present study indicated that
the PICB could be a suitable biosorbent for uranium (VI) biosorption from aqueous
solutions.
PMID- 27180296
TI - Unravelling the potential of a new uracil phosphoribosyltransferase (UPRT) from
Arabidopsis thaliana in sensitizing HeLa cells towards 5-fluorouracil.
AB - In silico studies with uracil phosphoribosyltransferase from Arabidopsis thaliana
(AtUPRT) revealed its lower binding energies for uracil and 5-fluorouracil (5-FU)
as compared to those of bacterial UPRT indicating the prospective of AtUPRT in
gene therapy implications. Hence, AtUPRT was cloned and stably expressed in
cervical cancer cells (HeLa) to investigate the effect of prodrug 5-FU on these
transfected cancer cells. The treatment of AtUPRT-expressing HeLa (HeLa-UPP)
cells with 5-FU for 72h resulted in significant decrease in cell viability.
Moreover, 5-FU was observed to induce apoptosis and perturb mitochondrial
membrane potential in HeLa-UPP cells. While cell cycle analysis revealed
significant S-phase arrest as a result of 5-FU treatment in HeLa-UPP cells,
quantitative gene expression analysis demonstrated simultaneous upregulation of
important cell cycle related genes, cyclin D1 and p21. The survival fractions of
non-transfected, vector-transfected and AtUPRT-transfected HeLa cells, following
5-FU treatment, were calculated to be 0.425, 0.366 and 0.227, respectively.
PMID- 27180297
TI - Characterization of banana starches obtained from cultivars grown in Brazil.
AB - The starch market is constantly evolving and studies that provide information
about the physical and rheological properties of native starches to meet the
diverse demands of the sector are increasingly necessary. In this study starches
obtained from five cultivars of banana were analyzed for size and shape of
granules, crystallinity, chemical composition, resistant starch, swelling power,
solubility, thermal and paste properties. The granules of starch were large
(36.58-47.24MUm), oval, showed crystallinity pattern type B and the index of
crystallinity ranged from 31.94 to 34.06%. The phosphorus content ranged from
0.003 to 0.011%, the amylose ranged from 25.13 to 29.01% and the resistant starch
ranged from 65.70 to 80.28%. The starches showed high peak viscosity and
breakdown, especially those obtained from 'Nanicao' and 'Grand Naine'. Peak
temperature of gelatinization was around 71 degrees C, the enthalpy change
(DeltaH) ranged from 9.45 to 14.73Jg(-1). The starch from 'Grand Naine' showed
higher swelling power (15.19gg(-1)) and the starch from 'Prata-Ana' higher
solubility (11.61%). The starches studied are highlighted by their physical and
chemical characteristics and may be used in several applications.
PMID- 27180298
TI - Characterisation of molten globule-like state of sheep serum albumin at
physiological pH.
AB - Sheep serum albumin (SSA) is a 583 amino acid residues long multidomain monomeric
protein which is rich in cysteine and low in tryptophan content. The serum
albumins (from human, bovine and sheep) play a vital role among all proteins
investigated until now, as they are the most copious circulatory proteins. We
have purified SSA from sheep kidneys by a simple and efficient two-step
purification procedure. Further, we have studied urea-induced denaturation of SSA
by monitoring changes in the difference absorption coefficient at 287nm
(Deltaepsilon287), intrinsic fluorescence emission intensity at 347nm (F347) and
mean residue ellipticity at 222nm ([theta]222) at pH 7.4 and 25 degrees C. The
coincidence of denaturation curves of these optical properties suggests that urea
induced denaturation is a bi-phasic process (native (N) state<->intermediate (X)
state<->denatured (D) state) with a stable intermediate populated around 4.2-4.7M
urea. The intermediate (X) state was further characterized by the far-UV and near
UV CD, dynamic light scattering (DLS) and fluorescence using 1-anilinonaphthalene
8-sulfonic acid (ANS) binding method. All denaturation curves were analyzed for
Gibbs free energy changes associated with the equilibria, N state<->X state and X
state<->D state in the absence of urea.
PMID- 27180300
TI - Cloning, expression and characterization of a mucin-binding GAPDH from
Lactobacillus acidophilus.
AB - Glyceraldehyde-3-phosphate dehydrogenase (GAPDH) is a ubiquitous enzyme involved
in glycolysis. It is also referred to as a moonlighting protein as it has many
diverse functions like regulation of apoptosis, iron homeostasis, cell-matrix
interactions, adherence to human colon etc. apart from its principal role in
glycolysis. Lactobacilli are lactic acid bacteria which colonize the human gut
and confer various health benefits to humans. In the present study, we have
cloned, expressed and purified the GAPDH from Lactobacillus acidophilus to get a
recombinant product (r-LaGAPDH) and characterized it. Size exclusion
chromatography shows that r-LaGAPDH exists as a tetramer in solution and have a
mucin binding and hemagglutination activity indicating carbohydrate like binding
adhesion mechanism. Fluorescence spectroscopy studies showed an interaction of r
LaGAPDH with mannose, galactose, N-acetylgalactosamine and N-acetylglucosamine
with a Kd of 3.6+/-0.7*10(-3)M, 4.34+/-0.09*10(-3)M, 4+/-0.87*10(-3)M and 3.7+/
0.28*10(-3)M respectively. We hope that this preliminary data will generate more
interest in further elucidation of the roles of GAPDH in the adhesion processes
of the bacteria.
PMID- 27180299
TI - Keratin made micro-tubes: The paradoxical thermal behavior of cortex and cuticle.
AB - Keratin micro-tubes were obtained by heating medullated keratin fibres to
temperatures above 230 degrees C under nitrogen atmosphere, when, as documented
by microscopy, the cortex (the core of the fibre) melts from the medulla
outwards, followed by pyrolysis of the material through the remaining solid
cuticle (shell) layer. The resulted hollow tubes from fibres void of cortical
material keep the external cuticle structure, as shown by AFM investigation, and
the moisture sorption properties of the initial keratin fibre. Despite similar
amino-acid compositions of cuticle and cortex the two morphological components
differ significantly in their thermal behaviour, which appears to be a "cortex
cuticle thermal stability paradox".
PMID- 27180301
TI - Preclinical assessment of the endothelial shear stress in porcine-based models
following implantation of two different bioresorbable scaffolds: effect of
scaffold design on the local haemodynamic micro-environment.
PMID- 27180302
TI - Novel PARADIGM in carotid revascularisation: Prospective evaluation of All-comer
peRcutaneous cArotiD revascularisation in symptomatic and Increased-risk
asymptomatic carotid artery stenosis using CGuardTM MicroNet-covered embolic
prevention stent system.
AB - AIMS: Our aim was to determine (1) periprocedural and 30-day clinical safety and
efficacy of the CGuard MicroNet-covered embolic prevention carotid stent system
(MN-EPS) in routine use for unselected carotid stenosis (CS) patients undergoing
CAS, as well as (2) feasibility of MN-EPS post-dilatation optimisation to
minimise residual stenosis after CAS. METHODS AND RESULTS: This was a non
industry-funded, prospective academic study in all-referrals-tracked symptomatic
and asymptomatic CS. In asymptomatic lesions, intervention was mandated only in
case of increased stroke risk CS features. There was independent neurologist
evaluation before CAS, at 48 hours and 30 days. There was external source data
verification, angiographic core lab, and statistical analysis. Over 11 months,
108 referrals were recommended by the NeuroVascular Team for revascularisation:
101 (51-86 years, 55 symptomatic, evolving stroke in nine) underwent 106 (100% MN
EPS use) neuroprotection device-assisted (46% proximal, 54% distal) CAS; CEA was
performed in seven. MN-EPS device success was 99.1%. Angiographic diameter
stenosis was reduced from 83+/-9% to 6.7+/-5% (p<0.001). No MN-EPS
foreshortening/elongation occurred (30 mm long was 29.82+/-0.68 mm; 40 mm long
was 39.89+/-0.59 mm). The periprocedural death/major stroke/MI rate was 0%. One
event, with no change in NIHSS or modified Rankin Scale and no clinical sequel,
was adjudicated by the clinical events committee as minor stroke (0.9%). By 30
days there were no new events (0%). CONCLUSIONS: These increased risk consecutive
patient data (1) indicate safety and efficacy of routine MN-EPS use in achieving
endovascular reconstruction across all-comer CS lesion subsets, and (2) are
consistent with MN-EPS protection against cerebral events extending throughout
the stent healing period.
PMID- 27180303
TI - Impact of stent size on angiographic and clinical outcomes after implantation of
everolimus-eluting bioresorbable scaffolds in daily practice: insights from the
ISAR-ABSORB registry.
AB - AIMS: We sought to evaluate the impact of stent size on angiographic and clinical
outcomes after implantation of everolimus-eluting bioresorbable stents (BRS) in
routine clinical practice. METHODS AND RESULTS: All consecutive patients
undergoing BRS implantation at two centres in Munich, Germany, were included
prospectively. The patient population was divided according to the diameter of
the implanted BRS. Angiographic surveillance was scheduled at six to eight months
after stent implantation and films were analysed in a core laboratory. A BRS with
2.5 mm diameter was implanted in 101 patients and BRS >2.5 mm diameter in 318.
Baseline patient characteristics were similar in both groups. Reference vessel
diameter was 2.36+/-0.22 mm in patients with an implanted 2.5 mm BRS and 3.03+/
0.40 mm in the other group (p<0.001). At angiographic follow-up, in-stent late
luminal loss (0.28+/-0.47 mm vs. 0.25+/-0.52 mm, p=0.74) was similar in both
groups, though binary angiographic restenosis was numerically higher in patients
treated with a 2.5 mm BRS (12.5% vs. 6.1%, p=0.05). After 12 months, the rate of
the composite of death, myocardial infarction or target lesion revascularisation
was 15.7% vs. 12.3% (p=0.49). Definite stent thrombosis was detected in 1.0% vs.
3.1% (p=0.31). CONCLUSIONS: In patients treated with BRS in routine clinical
practice, angiographic and clinical outcomes were comparable in patients treated
with a 2.5 mm stent as compared with those treated with a larger stent size.
PMID- 27180304
TI - The CLEAR-ROAD study: evaluation of a new dual layer micromesh stent system for
the carotid artery.
AB - AIMS: The aim of this study was to evaluate the 30-day clinical outcome of
treatment using the Roadsaver carotid stent in non-consecutive subjects at high
risk for carotid endarterectomy requiring revascularisation. METHODS AND RESULTS:
The CLEAR-ROAD study is a prospective, multinational, single-arm, physician
initiated study planned to include 100 patients in nine centres in Belgium, Italy
and Germany. The primary endpoint was the 30-day rate of major adverse events
(MAE), defined as the cumulative incidence of any death, stroke or myocardial
infarction (MI). The use of embolic protection devices (EPDs) was not mandatory;
31.0% of the patients were symptomatic and in 58.0% of the patients EPDs were
used. Technical success was achieved in all cases. The 30-day MAE rate was 2.1%
(one patient experienced MI followed by death; another patient experienced a
stroke within the first 30 days after procedure). While no statistical analysis
could be performed, subgroup data suggested that there were no notable
differences in the 30-day MAE rate between symptomatic and asymptomatic patients,
or between EPD use. CONCLUSIONS: The 30-day clinical outcome of 100 patients
treated with a dual layer micromesh carotid stent (Roadsaver) shows promising
results. The Roadsaver stent is a safe and effective device for endovascular
treatment of subjects at high risk for carotid endarterectomy.
PMID- 27180305
TI - Activation of endogenous TRPV1 fails to induce overstimulation-based cytotoxicity
in breast and prostate cancer cells but not in pain-sensing neurons.
AB - Vanilloids including capsaicin and resiniferatoxin are potent transient receptor
potential vanilloid type 1 (TRPV1) agonists. TRPV1 overstimulation selectively
ablates capsaicin-sensitive sensory neurons in animal models in vivo. The
cytotoxic mechanisms are based on strong Na(+) and Ca(2+) influx via TRPV1
channels, which leads to mitochondrial Ca(2+) accumulation and necrotic cell
swelling. Increased TRPV1 expression levels are also observed in breast and
prostate cancer and derived cell lines. Here, we examined whether potent agonist
induced overstimulation mediated by TRPV1 might represent a means for the
eradication of prostate carcinoma (PC-3, Du 145, LNCaP) and breast cancer (MCF7,
MDA-MB-231, BT-474) cells in vitro. While rat sensory neurons were highly
vanilloid-sensitive, normal rat prostate epithelial cells were resistant in vivo.
We found TRPV1 to be expressed in all cancer cell lines at mRNA and protein
levels, yet protein expression levels were significantly lower compared to
sensory neurons. Treatment of all human carcinoma cell lines with capsaicin
didn't lead to overstimulation cytotoxicity in vitro. We assume that the low
vanilloid-sensitivity of prostate and breast cancer cells is associated with low
expression levels of TRPV1, since ectopic TRPV1 expression rendered them
susceptible to the cytotoxic effect of vanilloids evidenced by plateau-type
Ca(2+) signals, mitochondrial Ca(2+) accumulation and Na(+)- and Ca(2+)-dependent
membrane disorganization. Moreover, long-term monitoring revealed that merely the
ectopic expression of TRPV1 stopped cell proliferation and often induced
apoptotic processes via strong activation of caspase-3 activity. Our results
indicate that specific targeting of TRPV1 function remains a putative strategy
for cancer treatment.
PMID- 27180307
TI - Repositioning of drugs for intervention in tumor progression and metastasis: Old
drugs for new targets.
AB - The increasing unraveling of the molecular basis of cancer offers manifold novel
options for intervention strategies. However, the discovery and development of
new drugs for potential clinical applications is a tremendously time-consuming
and costly process. Translating a novel lead candidate compound into an approved
clinical drug takes often more than a decade, and the success rate is very low
due to versatile efforts including defining its pharmacokinetics,
pharmacodynamics, side effects as well as lack of sufficient efficacy. Thus,
strategies are needed to minimize time and costs, while maximizing success rates.
A very attractive strategy for novel cancer therapeutic options is the
repositioning of already approved drugs. These medicines, approved for the
treatment of non-malignant disorders, have already passed some early costs and
time, have been tested in humans and are ready for clinical trials as anti-cancer
drugs. Here we discuss the repositioning of nonsteroidal anti-inflammatory drugs
(NSAID), statins, anti-psychotic drugs, anti-helminthic drugs and vitamin D as
anti-tumor agents. We focus on their novel actions and potential for inhibition
of cancer growth and metastasis by interfering with target molecules and
pathways, which drive these malignant processes. Furthermore, important pre
clinical and clinical data are reviewed herein, which elucidate their therapeutic
mechanisms which enable their repositioning for cancer therapy and disruption of
metastasis.
PMID- 27180306
TI - ABC transporters as mediators of drug resistance and contributors to cancer cell
biology.
AB - The extrusion of anticancer drugs by members of the ATP-binding cassette (ABC)
transporter family is one of the most widely recognized mechanisms of multidrug
resistance, and can be considered a hijacking of their normal roles in the
transport of xenobiotics, metabolites and signaling molecules across cell
membranes. While roles in cancer multidrug resistance have been clearly
demonstrated for P-glycoprotein (P-gp), Breast Cancer Resistance Protein (BCRP)
and Multidrug Resistance Protein 1 (MRP1), direct evidence for a role in
multidrug resistance in vivo is lacking for other family members. A less well
understood but emerging theme is the drug efflux-independent contributions of ABC
transporters to cancer biology, supported by a growing body of evidence that
their loss or inhibition impacts on the malignant potential of cancer cells in
vitro and in vivo. As with multidrug resistance, these contributions likely
represent a hijacking of normal ABC transporter functions in the efflux of
endogenous metabolites and signaling molecules, however they may expand the
clinical relevance of ABC transporters beyond P-gp, BCRP and MRP1. This review
summarizes established and emerging roles for ABC transporters in cancer, with a
focus on neuroblastoma and ovarian cancer, and considers approaches to validate
and better understand these roles.
PMID- 27180308
TI - Long non-coding RNAs: An emerging powerhouse in the battle between life and death
of tumor cells.
AB - Long non-coding RNAs (lncRNAs) represent a class of non-protein coding
transcripts longer than 200 nucleotides that have aptitude for regulating gene
expression at the transcriptional, post-transcriptional or epigenetic levels. In
recent years, lncRNAs, which are believed to be the largest transcript class in
the transcriptomes, have emerged as important players in a variety of biological
processes. Notably, the identification and characterization of numerous lncRNAs
in the past decade has revealed a role for these molecules in the regulation of
cancer cell survival and death. It is likely that this class of non-coding RNA
constitutes a critical contributor to the assorted known or/and unknown
mechanisms of intrinsic or acquired drug resistance. Moreover, the expression of
lncRNAs is altered in various patho-physiological conditions, including cancer.
Therefore, lncRNAs represent potentially important targets in predicting or
altering the sensitivity or resistance of cancer cells to various therapies.
Here, we provide an overview on the molecular functions of lncRNAs, and discuss
their impact and importance in cancer development, progression, and therapeutic
outcome. We also provide a perspective on how lncRNAs may alter the efficacy of
cancer therapy and the promise of lncRNAs as novel therapeutic targets for
overcoming chemoresistance. A better understanding of the functional roles of
lncRNA in cancer can ultimately translate to the development of novel, lncRNA
based intervention strategies for the treatment or prevention of drug-resistant
cancer.
PMID- 27180309
TI - Mechanisms and consequences of bacterial resistance to antimicrobial peptides.
AB - Cationic antimicrobial peptides (AMPs) are an intrinsic part of the human innate
immune system. Over 100 different human AMPs are known to exhibit broad-spectrum
antibacterial activity. Because of the increased frequency of resistance to
conventional antibiotics there is an interest in developing AMPs as an
alternative antibacterial therapy. Several cationic peptides that are derivatives
of AMPs from the human innate immune system are currently in clinical
development. There are also ongoing clinical studies aimed at modulating the
expression of AMPs to boost the human innate immune response. In this review we
discuss the potential problems associated with these therapeutic approaches.
There is considerable experimental data describing mechanisms by which bacteria
can develop resistance to AMPs. As for any type of drug resistance, the rate by
which AMP resistance would emerge and spread in a population of bacteria in a
natural setting will be determined by a complex interplay of several different
factors, including the mutation supply rate, the fitness of the resistant mutant
at different AMP concentrations, and the strength of the selective pressure.
Several studies have already shown that AMP-resistant bacterial mutants display
broad cross-resistance to a variety of AMPs with different structures and modes
of action. Therefore, routine clinical administration of AMPs to treat bacterial
infections may select for resistant bacterial pathogens capable of better evading
the innate immune system. The ramifications of therapeutic levels of exposure on
the development of AMP resistance and bacterial pathogenesis are not yet
understood. This is something that needs to be carefully studied and monitored if
AMPs are used in clinical settings.
PMID- 27180310
TI - Systems immunology: Beyond antibody titers.
AB - Despite the evident success of currently available vaccines to prevent infectious
diseases, we still lack a full understanding of the mechanisms by which vaccines
induce protective immune responses. Systems immunology applies multifaceted
analytical tools to better understand the immune responses to vaccines by deep
characterization of the cellular components, regulatory pathways, antibody
responses and immune gene profiles with the ultimate goal of identifying the
complex cellular, genetic and regulatory factors and mechanisms that contribute
to effective and protective immune responses.
PMID- 27180311
TI - Impetigo and scabies - Disease burden and modern treatment strategies.
AB - Impetigo and scabies both present different challenges in resource-limited
compared with industrialised settings. Severe complications of these skin
infections are common in resource-limited settings, where the burden of disease
is highest. The microbiology, risk factors for disease, diagnostic approaches and
availability and suitability of therapies also vary according to setting. Taking
this into account we aim to summarise recent data on the epidemiology of impetigo
and scabies and describe the current evidence around approaches to individual and
community based treatment.
PMID- 27180312
TI - Beta-lactamases in Enterobacteriaceae infections in children.
AB - Multi-drug resistance in Gram negative bacteria, particularly in
Enterobacteriaceae, is a major clinical and public health challenge. The main
mechanism of resistance in Enterobacteriaceae is linked to the production of beta
lactamase hydrolysing enzymes such as extended spectrum beta-lactamases (ESBL),
AmpC beta-lactamases and carbapenemases (Carbapenemase Producing
Enterobacteriaceae (CPE)). ESBL and CPE resistance genes are located on plasmids,
which can be transmitted between Enterobacteriaceae, facilitating their spread in
hospitals and communities. These plasmids usually harbour multiple additional co
resistance genes, including to trimethoprim-sulfamethoxazole, aminoglycosides,
and fluoroquinolones, making these infections challenging to treat. Asymptomatic
carriage in healthy children as well as community acquired infections are
increasingly reported, particularly with ESBL. Therapeutic options are limited
and previously little used antimicrobials such as fosfomycin and colistin have
been re-introduced in clinical practice. Paediatric experience with these agents
is limited hence there is a need to further examine their clinical efficacy,
dosage and toxicity in children. Antimicrobial stewardship along with strict
infection prevention and control practices need to be adopted widely in order to
preserve currently available antimicrobials. The future development of novel
agents effective against beta-lactamases producers and their applicability in
children is urgently needed to address the challenge of multi-resistant Gram
negative infections.
PMID- 27180313
TI - Examining the July Effect: A National Survey of Academic Leaders in Medicine.
AB - BACKGROUND: Whether the "July Effect" affects perspectives or has prompted
changes in US Internal Medicine residency programs is unknown. METHODS: We
designed a survey-based study to assess views and efforts aimed at preventing
harm in July. A convenience sampling strategy (email listserv and direct messages
to program leaders via the Electronic Residency Application Service) was used to
disseminate the survey. RESULTS: The response rate was 16% (65/418 programs);
however, a total of 262 respondents from all 50 states where residency programs
are located were included. Most respondents (n = 201; 77%) indicated that errors
occur more frequently in July compared with other months. The most common
identified errors included incorrect or delayed orders (n = 183, 70% and n = 167,
64%, respectively), errors in discharge medications (n = 144, 55%), and
inadequate information exchange at handoffs (n = 143, 55%). Limited trainee
experience (n = 208, 79%), lack of understanding hospital workflow, and
difficulty using electronic medical record systems (n = 194; 74% and n = 188;
72%, respectively) were reported as the most common factors contributing to these
errors. Programs reported instituting several efforts to prevent harm in July:
for interns, additional electronic medical record training (n = 178; 68%) and
education on handoffs and discharge processes (n = 176; 67% and n = 108; 41%,
respectively) were introduced. Similarly, for senior residents, teaching sessions
on how to lead a team (n = 158; 60%) and preferential placement of certain
residents on harder rotations (n = 103; 39%) were also reported. Most respondents
(n = 140; 53%) also solicited specific "July attendings" using a volunteer system
or highest teaching ratings. CONCLUSION: Residency programs in Internal Medicine
appear to have instituted various changes to mitigate harm in July. Further
evaluation to understand the impact of these interventions on trainee education
and patient safety is necessary.
PMID- 27180315
TI - Traditional Chinese medicine formulas for the treatment of osteoporosis:
Implication for antiosteoporotic drug discovery.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Osteoporosis is a chronic epidemic which can
leads to enhanced bone fragility and consequent an increase in fracture risk.
Traditional Chinese medicine (TCM) formulas have a long history of use in the
prevention and treatment of osteoporosis. Antiosteoporotic TCM formulas have
conspicuous advantage over single drugs. Systematic data mining of the existing
antiosteoporotic TCM formulas database can certainly help the drug discovery
processes and help the identification of safe candidates with synergistic
formulations. In this review, the authors summarize the clinical use and animal
experiments of TCM formulas and their mechanism of action, and discuss the
potential antiosteoporotic activity and the active constituents of commonly used
herbs in TCM formulas for the therapy of osteoporosis. MATERIALS AND METHODS: The
literature was searched from Medline, Pubmed, ScienceDirect, Spring Link, Web of
Science, CNKI and VIP database from 1989 to 2015, and also collected from Chinese
traditional books and Chinese Pharmacopoeia with key words such as osteoporosis,
osteoblast, osteoclast, traditional Chinese medicine formulas to identify studies
on the antiosteoporotic effects of TCM formulas, herbs and chemical constituents,
and also their possible mechanisms. RESULTS: Thirty-three TCM formulas were
commonly used to treat osteoporosis, and showed significant antiosteoporotic
effects in human and animal. The herb medicines and their chemical constituents
in TCM formulas were summarized, the pharmacological effects and chemical
constituents of commonly used herbs in TCM formulas were described in detail. The
action mechanisms of TCM formulas and their chemical constituents were described.
Finally, the implication for the discovery of antiosteoporotic leads and
combinatory ingredients from TCM formulas were prospectively discussed.
CONCLUSIONS: Clinical practice and animal experiments indicate that TCM formulas
provide a definite therapeutic effect on osteoporosis. The active constituents in
TCM formulas are diverse in chemical structure, and include flavonoids, lignans,
saponins and iridoid glycosides. Antiosteoporotic mechanism of TCM formulas and
herbs involves multi regulatory pathways, such as Wnt/beta-catenin, BMP/Smad,
MAPK pathway and RANKL/OPG system. Phytochemicals from TCM formulas and their
compositional herb medicines offer great potential for the development of novel
antiosteoporotic drugs. The active ingredients in TCM formulas can be developed
in combination as potent drugs, which may exhibit better antiosteoporotic effects
compared to the individual compound.
PMID- 27180314
TI - Effects of low dose ibogaine on subjective mood state and psychological
performance.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Root bark from Tabernanthe iboga has been used
traditionally in West Africa as a psychoactive substance in religious rituals. In
smaller doses it is reported anecdotally to have stimulant properties. AIM OF THE
STUDY: To evaluate the influence of a single 20mg ibogaine dose on psychological
variables reflecting subjective mood state and a range of cognitive functions.
MATERIALS AND METHODS: 21 healthy male volunteers received single 20mg doses of
ibogaine after 6 days pretreatment with double-blind paroxetine or placebo. We
compared responses to a battery of psychometric tests and subjective mood ratings
performed before and 2h after ibogaine dosing, and assessed relationships between
changes in test scores and concentrations of active moiety (the sum of molar
noribogaine and ibogaine concentrations). Psychological tests were chosen based
on responsiveness to opioid and serotonergic ligands. RESULTS: Ibogaine had
minimal influence on psychological tests and mood ratings. The ability to
selectively ignore distracting spatial information showed some evidence of
modulation; however because this effect was limited to the less challenging
condition calls into question the reliability of this result. CONCLUSION: We were
unable to identify stimulant effects after single 20mg doses of ibogaine. Future
research is needed to confirm whether active moiety concentrations impact
selective attention abilities while leaving other cognitive functions and mood
state unaffected.
PMID- 27180316
TI - Knowledge and demand for medicinal plants used in the treatment and management of
diabetes in Nyeri County, Kenya.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Non communicable diseases are currently a major
health challenge facing humanity. Nyeri County has one of the highest diabetes
prevalence in Kenya (12.6%), compared to the country's prevalence of 5.6%. The
purpose of the study was to document; diabetes knowledge, medicinal plants and
demand for the services of traditional medicine practitioners, in the management
and treatment of diabetes. METHODS: A cross-sectional study was carried out in
the six constituencies in Nyeri, using pre-tested semi-structured questionnaires.
Thirty practicing traditional medicine practitioners were purposively selected
for the study. Field observation and identification was carried out on all plants
that were cited during the interview. Plant samples were collected and voucher
specimen deposited in the University of Nairobi Herbarium in the - School of
Biological Sciences. RESULTS: The study revealed 30 plant species in 28 genera
and 23 families that are used by the traditional medicine practitioners to treat
and manage diabetes. Demand for traditional medicine practitioners' services in
the treatment of diabetes is low and often occurs when conventional drugs fail.
CONCLUSION: Interaction with the TMPs unveiled significant diversity of potential
anti diabetic medicinal plants and in-depth ethnobotanical knowledge that they
possessed. Preference for traditional herbal medicine was low despite wide
ethnobotanical knowledge in the face of high prevalence of diabetes in the
locality. The findings form the basis of pharmacological studies for
standardization of the documented ethnomedicine used in the treatment and
management of diabetes in the study area.
PMID- 27180317
TI - Multicomponent, multitarget integrated adjustment - Metabolomics study of
Qizhiweitong particles curing gastrointestinal motility disorders in mice induced
by atropine.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Qizhiweitong particles (QZWT) which is derived
from the Sinisan decoction in Shang Han Za Bing Lun, composed of Bupleurum
chinenis, Paeonia obovata, Citrus aurantium L., Glycyrrhiza uralensis Fisch.,
Cyperus rotundus and Rhizoma Corydalis is a traditional Chinese medicine (TCM)
treating gastrointestinal diseases. It have been used in clinical for years. It
have been used in clinical for years. According to previous research, Bupleurum
chinenis, Citrus aurantium, Cyperus rotundus in QZWT play the role of promoting
gastric peristalsis, which consist of complex chemical constituents. The aim of
this study is to probe the multiple effective components with gastrointestinal
prokinetic efficacy in QZWT and investigate the multitarget integrated adjustment
mechanism of QZWT curing atropine-induced gastrointestinal motility dysfunction
mice. MATERIALS AND METHODS: One hundred and thirty two male mice were randomly
divided into 11 groups, including control group, model group, Domperidone group,
Mosapride group, QZWT group and six components groups. With gastric retention
rate, rate of small intestine propulsion, serum content of GAS and MTL as indexes
to evaluate the curing effect on gastrointestinal movement disorders caused by
atropine in mice. A serum metabonomics method based on the ultra-performance
liquid chromatography coupled with quadrupole time-of-flight mass spectrometry
(UPLC-QTOF-MS) had been established to investigate the mechanism of QZWT and
these components, and PCA and PLS-DA have been used to distinguish different
groups and found potential biomarkers. RESULTS: Four components from six present
good prokinetic effects, including Bupleurum Polysaccharide, Citrus aurantium
flavonoid, Citrus aurantium essential oil and Cyperus rotundus flavonoids. These
components and QZWT regulate 5 potential biomarkers in the body, and primarily
involved in 5 metabolic pathways. These potential biomarkers possess direct or
indirect connections, each biomarker regulated by multiple components, each
component adjusting multiple targets, and QZWT is nearly the sum of its
components. CONCLUSIONS: This experiment deepened our understanding of
insufficient gastrointestinal dynamics, confirmed that QZWT treating
gastrointestinal disorders was through multicomponent, multitarget ways. These
results fully reflect the multiple targets synergy characteristics of TCM.
PMID- 27180320
TI - Spontaneous fracture of cranioplastic titanium implants without head trauma in an
adult: A case report.
AB - INTRODUCTION: The cranioplasty is a classical surgical procedure to repair large
skull defects. The prosthesis fracture was one rare complication following
cranioplasty, which was only known to happen in traumatic head injury or child
growing skull. PRESENTATION OF CASE: In the current report, we documented the
first reported case of cranioplasty prosthesis fracture in an adult neurological
trauma patient at the proximal pterion point region without head trauma. During
the first cranioplasty, due to the cerebromalacia at temporal lobe, patient's
temporalis muscle was not stripped from the dura mater and the prosthesis was
anchored outside the temporalis muscle. Thus, no screw was used for anchoring the
prosthesis at the basitemporal skull. The prosthesis fracture was observed on
12(th)-month post-surgically at the proximal pterion point region. During the
second cranioplasty, the temporalis muscle was semi-partitioned from the back due
to cerebromalacia recovery and five screws were used to anchor the prosthesis
onto the basitemporal skull. The follow-up result was unremarkable on 21(st)
month post-second-cranioplasty. DISCUSSION: A dynamic load was generated on the
prosthesis due to head-pillow contact during sleeping. Via the parietal tuber
temporozygomatic suture line, this inward load generates an outward force at the
proximal pterion point region, where became a shearing force locating just right
below the lowest screw anchoring in this region. This shearing force eventually
led to prosthesis fracture at the proximal pterion point due to the fatigue
effect. CONCLUSION: This case presented the importance of prosthesis anchoring
location on the skull, especially when temporalis muscle was required to be
preserved due to clinical necessity.
PMID- 27180319
TI - 'Up-regulation of histone acetylation induced by social defeat mediates the
conditioned rewarding effects of cocaine.
AB - Social defeat (SD) induces a long-lasting increase in the rewarding effects of
psychostimulants measured using the self-administration and conditioned place
procedures (CPP). However, little is known about the epigenetic changes induced
by social stress and about their role in the increased response to the rewarding
effects of psychostimulants. Considering that histone acetylation regulates
transcriptional activity and contributes to drug-induced behavioral changes, we
addressed the hypothesis that SD induces transcriptional changes by histone
modifications associated with the acquisition of place conditioning. After a
fourth defeat, H3(K9) acetylation was decreased in the hippocampus, while there
was an increase of HAT and a decrease of HDAC levels in the cortex. Three weeks
after the last defeat, mice displayed an increase in histone H4(K12) acetylation
and an upregulation of histone acetyl transferase (HAT) activity in the
hippocampus. In addition, H3(K4)me3, which is closely associated with
transcriptional initiation, was also augmented in the hippocampus three weeks
after the last defeat. Inhibition of HAT by curcumin (100mg/kg) before each SD
blocked the increase in the conditioned reinforcing effects of 1mg/kg of cocaine,
while inhibition of HDAC by valproic acid (500mg/kg) before social stress
potentiated cocaine-induced CPP. Preference was reinstated when animals received
a priming dose of 0.5mg/kg of cocaine, an effect that was absent in untreated
defeated mice. These results suggest that the experience of SD induces chromatin
remodeling, alters histone acetylation and methylation, and modifies the effects
of cocaine on place conditioning. They also point to epigenetic mechanisms as
potential avenues leading to new treatments for the long-term effects of social
stress on drug addiction.
PMID- 27180318
TI - North American Multicenter Volumetric CT Study for Clinical Staging of Malignant
Pleural Mesothelioma: Feasibility and Logistics of Setting Up a Quantitative
Imaging Study.
AB - BACKGROUND: Clinical tumor (T), node, and metastasis staging is based on a
qualitative assessment of features defining T descriptors and has been found to
be suboptimal for predicting the prognosis of patients with malignant pleural
mesothelioma (MPM). Previous work suggests that volumetric computed tomography
(VolCT) is prognostic and, if found practical and reproducible, could improve
clinical MPM classification. METHODS: Six North American institutions
electronically submitted clinical, pathologic, and imaging data on patients with
stages I to IV MPM to an established multicenter database and biostatistical
center. Two reference radiologists blinded to clinical data independently
reviewed the scans; calculated clinical T, node, and metastasis stage by standard
criteria; performed semiautomated tumor volume calculations using commercially
available software; and submitted the findings to the biostatistical center.
Study end points included the feasibility of a multi-institutional VolCT network,
concordance of independent VolCT assessments, and association of VolCT with
pathological T classification. RESULTS: Of 164 submitted cases, 129 were
evaluated by both reference radiologists. Discordant clinical staging of most
cases confirmed the inadequacy of current criteria. The overall correlation
between VolCT estimates was good (Spearman correlation 0.822), but some were
significantly discordant. Root cause analysis of the most discordant estimates
identified four common sources of variability. Despite these limitations, median
tumor volume estimates were similar within subgroups of cases representing each
pathological T descriptor and increased monotonically for each reference
radiologist with increasing pathological T status. CONCLUSIONS: The good
correlation between VolCT estimates obtained for most cases reviewed by two
independent radiologists and qualitative association of VolCT with pathological T
status combine to encourage further study. The identified sources of user error
will inform design of a follow-up prospective trial to more formally assess
interobserver variability of VolCT and its potential contribution to clinical MPM
staging.
PMID- 27180321
TI - Lateral lung hernia following a low-energy trauma without bony injuries: A case
report.
AB - INTRODUCTION: Lateral lung hernias are rare, and a herniation of the lung is only
reported in the context of high-energy trauma. The predilection site for lung
hernias is the anterior thorax. PRESENTATION OF CASE: We present the case of a 82
year-old male, who was admitted with dry cough, shortness of breath and breath
dependent left-sided chest pain after a fall. Chest X-ray suggested a pneumonia
and subsequently the patient was treated with antibiotics. Due to persistent
symptoms after 12days a CT-scan was performed which showed an intercostal lung
herniation without fractures. Surgeons were consulted and recommended an
operative intervention. Intraoperatively the herniation could be confirmed and
necrotic lung tissue was resected. The patient recovered well and was discharged
after 10days in good general condition. DISCUSSION: Herniation of lung tissue
occurs as a result of injured intercostal muscles in a sudden increase of
intrathoracic pressure. Especially lateral herniation is rare because of the
additional lateral reinforcement of the thoracic wall by the Serratus muscle.
While a conservative approach is possible in asymptomatic hernias and small
defect size, surgical intervention is indicated for larger hernias, possible
incarceration of lung tissue with resulting infarction and symptoms like chronic
pain or respiratory failure. CONCLUSION: For an adequate differential diagnosis
of thoracic pain with a history and external signs of a trauma, a CT imaging
should be evaluated at an early stage even after a low-energy trauma. An
operation is indicated for large defect size and persistent symptoms.
PMID- 27180322
TI - Traumatic abdominal wall hernia: A case report and literature review.
AB - INTRODUCTION: Traumatic abdominal wall hernia is a rare but serious diagnosis
resulting from blunt abdominal trauma. The clinical diagnosis is not usually
straightforward and the hernia is often discovered at the time of the surgical
exploration for intra-abdominal injuries or by imaging studies. PRESENTATION OF
CASE: A 25-year-old obese, restraint, male patient was the victim of a high-speed
road traffic accident. Among other injuries, he showed extensive skin maceration
and bruising over the lower abdomen and flanks upon presentation, however he did
not need any surgical intervention. Contrast-enhanced computed tomography scan of
the abdomen and pelvis demonstrated extensive abdominal wall muscular disruption
over both flanks with herniation of the right colon. Counselled to follow up in 4
6 weeks to have the hernia surgically repaired, he showed up after 8 months with
a large muscular defect resulting in a large hernia containing small and large
bowel loops. DISCUSSION: The timing and type of the surgical repair of traumatic
abdominal wall hernia depends upon the size of the hernia defect and the presence
of associated intra-abdominal injuries. Delayed repair; however, may result in a
large defect making primary, non-prosthetic repair impossible and increases the
risk of abdominal compartment syndrome after surgical correction. CONCLUSION:
Traumatic abdominal wall hernia presents a diagnostic as well as a therapeutic
challenge. The therapeutic approach is governed by a multitude of factors
emphasizing the need of a patient-tailored, case by case management plan.
PMID- 27180323
TI - A case report of symptomatic gallbladder disease in the setting of peritoneal
carcinomatosis originating from invasive lobular carcinoma of the breast.
AB - INTRODUCTION: Invasive lobular carcinoma is the second most common type of breast
cancer, responsible for 5-15 percent of all cases. Peritoneal carcinomatosis
secondary to breast cancer is a rare event, frequently resulting in morbidity and
mortality. Symptomatic gallbladder disease in the setting of peritoneal
carcinomatosis originating from invasive lobular carcinoma of the breast is a
very rare event and is not well covered in literature. PRESENTATION OF CASE: A
44year old female patient previously diagnosed with stage IV invasive lobular
carcinoma of the left breast with widespread systemic metastases and peritoneal
carcinomatosis presented with a three week history of right upper quadrant pain
trigged by food intake only, greatly diminishing her quality of life. She had
spent almost a year in a progression free disease status but was now suffering
from debilitating symptomatic gallbladder disease. Despite the extent of her
peritoneal carcinomatosis, she elected to undergo a laparoscopic cholecystectomy.
DISCUSSION: We are presenting a rare case of symptomatic gallbladder disease in
the setting of peritoneal carcinomatosis secondary to invasive lobular carcinoma.
A major concern is tumor load within nearby portal structures. Even though
laparoscopic cholecystectomy could be a viable option to treat the condition, it
needs to be applied selectively and very cautiously in the respective patient
population. CONCLUSION: Symptomatic gallbladder disease in the setting of
peritoneal carcinomatosis secondary to invasive lobular carcinoma is an uncommon
presentation to surgeons. A diagnostic laparoscopy is the preferred initial
evaluation. If deemed feasible, and if the surgeon has the required experience, a
laparoscopic cholecystectomy can be undertaken selectively.
PMID- 27180324
TI - H2S and cancer: Give credit where credit is due.
PMID- 27180326
TI - Alternative programs for synchronizing and resynchronizing ovulation in beef
cattle.
AB - Fixed-time artificial insemination (FTAI) has been regarded as the most useful
method to increase the number of cows inseminated in a given herd. The main
treatments for FTAI in beef cattle are based on the use of progesterone-releasing
devices and GnRH or estradiol to synchronize follicle wave emergence, with a mean
pregnancy per AI (P/AI) around 50%. However, more recent protocols based on GnRH
(named 5-day Co-Synch) or estradiol (named J-Synch) that reduce the period of
progesterone device insertion and extend the period from device removal to FTAI
have been reported to improve P/AI in beef cattle. Furthermore, treatments to
resynchronize ovulation for a second FTAI in nonpregnant cows have provided the
opportunity to do sequential inseminations and achieve high P/AI in a breeding
season, reducing or even eliminating the need for clean-up bulls. In summary,
FTAI protocols have facilitated the widespread application of AI in beef cattle,
primarily by eliminating the necessity of estrus detection in beef herds.
PMID- 27180325
TI - De Ritis (aspartate transaminase/alanine transaminase) ratio as a significant
predictor of recurrence-free survival in patients with upper urinary tract
urothelial carcinoma following nephroureterectomy.
AB - BACKGROUND: To evaluate the prognostic significance of preoperatively assessed
aspartate aminotransaminase (AST), alanine aminotransaminase (ALT), and the
AST/ALT (De Ritis) ratio in patients with upper urinary tract urothelial
carcinoma (UUTUC). METHODS: This study included a total of 109 consecutive
patients with clinically localized UUTUC who underwent nephroureterectomy.
Effects of preoperative levels of AST, ALT, and the De Ritis ratio in addition to
conventional clinicopathological parameters on the extravesical recurrence-free
survival (eRFS) in these 109 patients were retrospectively analyzed. RESULTS:
Despite the lack of a significant correlation of AST or ALT with any of the
factors examined in this study, the elevation of the De Ritis ratio was
significantly correlated with several unfavorable parameters, including elderly
age, high pathological stage, high tumor grade, and lymphovascular invasion.
During the observation period of this series (median = 40.8mo), extravesical
disease recurrence developed in 39 (35.8%) of the 109 patients, with a 5-year
eRFS rate of 56.8%. Of several factors examined, the tumor location, De Ritis
ratio, pathological stage, lymph node metastasis, tumor grade, lymphovascular
invasion, surgical margin status, and adjuvant chemotherapy were shown to be
significantly correlated with eRFS by univariate analysis. Of these, the De Ritis
ratio, pathological stage, lymph node metastasis, and tumor grade were identified
as independent predictors of eRFS on multivariate analysis. CONCLUSIONS: These
findings suggest that preoperative assessment of the De Ritis ratio may provide
useful information with respect to the clinical course of patients with
clinically localized UUTUC who are scheduled to be treated with
nephroureterectomy.
PMID- 27180327
TI - Managing the reproductive performance of beef cows.
AB - A reproductively efficient beef cow herd will be fundamental to meeting the
protein and specifically, red meat demand of an ever increasing global
population. However, attaining a high level of reproductive efficiency is
underpinned by producers being cognizant of and achieving many key targets
throughout the production cycle and requires considerable technical competency.
The lifetime productivity of the beef-bred female commences from the onset of
puberty and will be dictated by subsequent critical events including age at first
calving, duration of the postpartum interval after successive calvings,
conception and pregnancy rate, and ultimately manifested as length of
intercalving intervals. In calved heifers and mature cows, the onset of ovarian
activity, postpartum is a key event dictating the calving interval. Again, this
will be the product mainly of prepartum nutrition, manifested through body
condition score and the strength of the maternal bond between cow and calf,
though there is increasing evidence of a modest genetic influence on this trait.
After the initiation of postpartum ovarian cyclicity, conception and subsequent
pregnancy rate is generally a function of bull fertility in natural service herds
and heat detection and timing of insemination in herds bred through AI. Cows and
heifers should be maintained on a steady plane of nutrition during the breeding
season, but the contribution of significant excesses or deficiencies of nutrients
including protein and trace elements is likely to be minor where adequate pasture
is available. Although increased efforts are being made internationally to
genetically identify and select for more reproductively efficient beef cows, this
is a more long-term strategy and will not replace the need for a high level of
technical efficiency and management practice at farm level.
PMID- 27180328
TI - Oocyte pre-IVM with caffeine improves bovine embryo survival after vitrification.
AB - Cryopreservation of in vitro produced bovine embryos is associated with
significantly reduced survival rates, mainly due to insufficient quality of the
embryos. Caffeine supplementation during IVM has been used to delay meiotic
resumption and concomitantly also increased embryo quality. Here, we investigated
the influence of pre-IVM with caffeine on oocyte maturation, intraoocyte cAMP
concentration, developmental competence after IVF, and blastocyst cryotolerance.
Oocytes were obtained by slicing of ovaries and were submitted to either 2 hours
culture before IVM with or without caffeine (0, 1, 5, 10, 20, 30 mM), or standard
IVM (no pre-IVM). Oocytes were in vitro matured and fertilized and zygotes were
cultured under standard in vitro conditions until Day 8. Expanded blastocysts
derived from either standard control or the 10-mM caffeine treatments were
submitted to vitrification. Caffeine delayed meiotic resumption after 9-hour IVM
in a concentration-dependent manner. The cAMP levels were similar before and
after IVM. Matured oocytes, cleavage, and blastocyst rates were reduced in the 30
mM caffeine concentration and were similar among the other treatment groups.
Number and proportion of inner cell mass and trophectoderm cells in blastocysts
did not differ among treatments. Forty-eight hours after thawing, hatching rates
were higher in the 10-mM caffeine group (73.8%) compared with the standard
control (59.7%). Reexpansion rates and total number of cells after 48 hours were
similar in both treatments. The ratio of live/total cells was higher in the
caffeine treatment. These results suggest that caffeine supplementation before
IVM delayed meiotic resumption and improved blastocyst quality shown in higher
cryotolerance.
PMID- 27180329
TI - Comparative aspects of rodent and nonrodent animal models for mechanistic and
translational diabetes research.
AB - The prevalence of diabetes mellitus, which currently affects 387 million people
worldwide, is permanently rising in both adults and adolescents. Despite numerous
treatment options, diabetes mellitus is a progressive disease with severe
comorbidities, such as nephropathy, neuropathy, and retinopathy, as well as
cardiovascular disease. Therefore, animal models predictive of the efficacy and
safety of novel compounds in humans are of great value to address the unmet need
for improved therapeutics. Although rodent models provide important mechanistic
insights, their predictive value for therapeutic outcomes in humans is limited.
In recent years, the pig has gained importance for biomedical research because of
its close similarity to human anatomy, physiology, size, and, in contrast to non
human primates, better ethical acceptance. In this review, anatomic, biochemical,
physiological, and morphologic aspects relevant to diabetes research will be
compared between different animal species, that is, mouse, rat, rabbit, pig, and
non-human primates. The value of the pig as a model organism for diabetes
research will be highlighted, and (dis)advantages of the currently available
approaches for the generation of pig models exhibiting characteristics of
metabolic syndrome or type 2 diabetes mellitus will be discussed.
PMID- 27180330
TI - Lipidomics of equine sperm and seminal plasma: Identification of amphiphilic (O
acyl)-omega-hydroxy-fatty acids.
AB - Using a nontargeted lipidomics analysis of equine sperm and seminal plasma, we
were able to characterize a diverse array of individual lipids including
ethanolamine and choline ether lipids and seminolipids essential to membrane raft
function. We also detected, for the first time in sperm, the presence of (O-acyl)
omega-hydroxy-fatty acids (OAHFA) with up to 52 carbon chain lengths, which were
localized to the head and not the tail of sperm. The only previous identification
of OAHFAs has been in meibomian glands and their sebaceous secretions. The
identities of these lipid amphiphiles were validated both by high-resolution mass
spectrometry and by tandem mass spectrometry (<1 ppm mass error), which
identified the fatty acid (FA) and hydroxy-FA components of individual OAHFAs.
The amphiphilic and surfactant properties of these unique lipids could provide an
interface between the complex lipid layers of the acrosome and the aqueous
environment of the suspending seminal plasma. The potential roles of OAHFAs in
orientation of critical proteins in the acrosomal membrane also remain to be
explored with these new findings. Another unique finding of our lipidomics study
was that phosphatidylethanolamines with mono- or di-unsaturated FA substitutions
are present in seminal plasma but not in sperm suggesting a potential role of
these glycerophospholipids in sperm capacitation and protecting sperm cells in
the female reproductive tract. In summary, we have identified for the first time,
the presence of OAHFAs in sperm and several phosphatidylethanolamines in seminal
plasma, suggesting that these complex lipids may play critical roles in sperm
function.
PMID- 27180331
TI - Behind the scenes of JAC: the British Society for Antimicrobial Chemotherapy.
AB - This brief article describes the relationship between the British Society for
Antimicrobial Chemotherapy (BSAC) and JAC, and how JAC has directly and
indirectly facilitated delivery of the BSAC's charitable objectives over the past
40 years.
PMID- 27180332
TI - Sister chromatid exchange test in river buffalo lymphocytes treated in vitro with
furocoumarin extracts.
AB - Furocoumarin extracts from Psoralea morisiana, the endemic Sardinian legume
species, were tested for their mutagenic potential on river buffalo blood cells.
The results obtained performing the sister chromatid exchange (SCE) test in blood
cultures of five river buffalo calves (exposure to furocoumarins for 72h) and
five cows (exposure to furocoumarins for 3h, in the absence and presence of S9
metabolic activator) are reported. Significant differences in mean values of SCEs
were observed in cells of calves compared to control cells (unexposed), but no
differences in SCE mean values were found between treated and untreated cells of
cows in the presence or absence of S9. SCE mean values were much higher in cells
of cows (exposed and control) than in cells of calves. Indeed, in calf cells, SCE
mean values/cell (+/-SD) were 6.66+/-2.45 in the control and 7.63+/-3.01, 9.03+/
3.90, 9.53+/-3.60 and 9.99+/-3.41 in treated cells at 50, 100, 200 and 400 ug/ml
of furocoumarin extracts, respectively. In cow cells, grown in presence of S9,
SCE mean values/cell were 11.49+/-4.78 and 11.65+/-5.19 in treated cells at 100
and 200 ug/ml of furocoumarins and 11.66+/-5.45 in the control. In cow cells
grown in absence of S9, SCE mean values were 11.81+/-6.14 in the control and
12.35+/-7.09 and 12.01+/-5.43, respectively, in the presence of 100 and 200 ug/ml
of furocoumarins. Despite their higher SCE values in the absence of S9, no
statistically significant differences were found when these values were compared
with those shown in presence of S9, suggesting no mutagenic action of
furocoumarins in cows, at the doses used in this study.
PMID- 27180333
TI - Signal Sequence Receptor 2 is required for survival of human melanoma cells as
part of an unfolded protein response to endoplasmic reticulum stress.
AB - Current therapy approaches in melanoma targeting have met with the development of
resistance and tumour recurrence with a more aggressive phenotype. In a quest for
alternative therapy targets, we had previously identified Signal Sequence
Receptor 2 (SSR2) as a gene with high expression in a subgroup of human primary
melanomas. Now we show that SSR2 exerts a prosurvival functionality in human
melanoma cells and that high expression levels of SSR2 are associated with an
unfavourable disease outcome in primary melanoma patients. Consistent with SSR's
role in translocation of proteins from the ribosome across the endoplasmic
reticulum (ER) membrane, our data supports induction of SSR2 as a part of the ER
stress response. This response included SSR2 upregulation upon development of
therapy resistance to BRAF inhibitors, as well as the dependency of cell survival
of BRAF inhibitor-resistant melanoma cells on SSR2. Complementary gain and loss
of function data showed the Unfolded Protein Response (UPR) to ER stress as an
inducer of SSR2 via transcriptional regulation through X-Box Binding Protein 1s
(XBP1s) and support an ER stress-UPR-Transcription Factor XBP1s-SSR2 response
axis in human melanocytic cells. Together with its dispensability for survival in
normal human cells, these data propose SSR2 as a potential therapeutic target in
(therapy-resistant) human melanoma.
PMID- 27180334
TI - A cautionary approach in transitioning to 'green' energy technologies and
practices is required.
AB - Renewable energy technologies (wind turbines, solar cells, biofuels, etc.) are
often referred to as 'clean' or 'green' energy sources, while jobs linked to the
field of environmental protection and energy efficiency are referred to as
'green' jobs. The energy efficiency of clean technologies, which is likely to
reduce and/or eliminate reliance on fossil fuels, is acknowledged. However, the
potential contribution of green technologies and associated practices to ill
health and environmental pollution resulting from consumption of energy and raw
materials, generation of waste, and the negative impacts related to some life
cycle phases of these technologies are discussed. Similarly, a point is made that
the green jobs theme is mistakenly oversold because the employment opportunities
generated by transitioning to green technologies are not necessarily safe and
healthy jobs. Emphasis is put on identifying the hazards associated with these
green designs, assessing the risks to the environment and worker health and
safety, and either eliminating the hazards or minimizing the risks as essential
elements to the design, construction, operation, and maintenance of green
technologies. The perception that it is not always economically possible to
consider all risk factors associated with renewable energy technologies at the
beginning without hampering their implementation, especially in the poor
developing countries, is dismissed. Instead, poor countries are encouraged to
start implementing environmentally sound practices while transitioning to green
technologies in line with their technological development and overall economic
growth.
PMID- 27180335
TI - Asbestos exposure and mesothelioma incidence and mortality in Bulgaria.
AB - Bulgaria totally banned the import, production and use of asbestos in 2005, but
produced and used asbestos products during the last 3-4 decades of the 20th
century. The aim of this study was to follow the incidence and mortality of
mesothelioma in Bulgaria in relation to past occupational exposures. A literature
search between 1960 and 2014 was conducted to obtain information on asbestos
consumption, occupational exposure and asbestos-related diseases (ARDs). Data on
registered mesotheliomas were provided by the National Cancer Register and data
for recognized occupational ARDs were provided by the National Social Security
Institute. An increase in the incidence of mesothelioma from 5 to 58 from 1993 to
2013, with 666 cases in the 21-year period, was registered. Incidence, mortality
rates, deaths and male-to-female ratios and were lower in comparison to
industrialized countries. The increase in mesothelioma incidence is considered as
a consequence of more recent production and use of asbestos and asbestos products
and the high occupational exposure between 1977 and 1989, while the lower rate of
mesothelioma deaths and male-to-female ratio need to be investigated further.
PMID- 27180336
TI - Unusual phenotype of congenital adrenal hyperplasia (CAH) with a novel mutation
of the CYP21A2 gene.
AB - Gonadotropin independent sexual precocity (SP) may be due to congenital adrenal
hyperplasia (CAH), and its timing usually depends on the type of mutation in the
CYP21A2 gene. Compound heterozygotes are common and express phenotypes of varying
severity. The objective of this case report was to investigate the hormonal
pattern and unusual genetic profile in a 7-year-old boy who presented with pubic
hair, acne, an enlarged phallus, slightly increased testicular volume and
advanced bone age. Clinical, hormonal and genetic studies were undertaken in the
patient as well as his parents. We found elevated serum 17-hydroxyprogesterone
(17-OHP) and androstenedione that were suppressed with dexamethasone, and
elevated testosterone that actually rose after giving dexamethasone, indicating
activity of the hypothalamic-pituitary-gonadal (HPG) axis. An initial search for
common mutations was negative, but a more detailed genetic analysis of the
CYP21A2 gene revealed two mutations including R341W, a non-classical mutation
inherited from his mother, and g.823G>A, a novel not previously reported
consensus donor splice site mutation inherited from his father, which is
predicted to be salt wasting. However, the child had a normal plasma renin
activity. He was effectively treated with low-dose dexamethasone and a GnRH
agonist. His father was an unaffected carrier, but his mother had evidence of
mild non-classical CAH. In a male child presenting with gonadotropin independent
SP it is important to investigate adrenal function with respect to the androgen
profile, and to carry out appropriate genetic studies.
PMID- 27180338
TI - Role of hippocampal activity-induced transcription in memory consolidation.
AB - Experience-dependent changes in the strength of connections between neurons in
the hippocampus (HPC) are critical for normal learning and memory consolidation,
and disruption of this process drives a variety of neurological and psychiatric
diseases. Proper HPC function relies upon discrete changes in gene expression
driven by transcription factors (TFs) induced by neuronal activity. Here, we
describe the induction and function of many of the most well-studied HPC TFs,
including cyclic-AMP response element binding protein, serum-response factor, AP
1, and others, and describe their role in the learning process. We also discuss
the known target genes of many of these TFs and the purported mechanisms by which
they regulate long-term changes in HPC synaptic strength. Moreover, we propose
that future research in this field will depend upon unbiased identification of
additional gene targets for these activity-dependent TFs and subsequent meta
analyses that identify common genes or pathways regulated by multiple TFs in the
HPC during learning or disease.
PMID- 27180337
TI - A novel splice site mutation in the GNPTAB gene in an Iranian patient with
mucolipidosis II alpha/beta.
AB - Mucolipidosis type II alpha/beta (ML II alpha/beta) and mucolipidosis type III
alpha/beta (ML III alpha/beta) have been shown to be caused by an absence or
reduced level of uridine diphosphate (UDP)-N-acetylglucosamine-1
phosphotransferase enzyme (EC 2.7.8.17) activity, respectively. Both disorders
are caused by mutations in the GNPTAB gene and are inherited in an autosomal
recessive manner. Here we report a 2-year-old female patient being diagnosed as a
case of ML II alpha/beta due to coarse face, severe developmental delay, multiple
dysostosis, noticeable increase of multiple lysosomal enzymes activity in plasma
and normal acid mucopolysaccharides in urine. Mutational analysis of the GNPTAB
gene has revealed a novel homozygous mutation in the patient (c.3250-2A>G) with
both parents being heterozygote. Transcript analyses showed that this novel
splice site mutation leads to exon 17 skipping and a frameshift afterwards
(p.P1084_R1112del F1113Vfs*1). Consequently, we confirmed the association of this
mutation with ML II alpha/beta. Our finding expands the number of reported cases
of this rare metabolic disorder and adds to the GNPTAB mutation database.
PMID- 27180339
TI - Cosmic ray (neutron) activity and air pollution nanoparticles - cardiovascular
disease risk factors - separate or together?
AB - BACKGROUND: In the last decade, a number of studies were published showing links
between cardiovascular events such as stroke (CVA), acute myocardial infarction
(AMI), sudden cardiac death (SCD) and cosmic ray activity (CRA) marker neutron
activity on the Earth's surface (imp/min). A number of concomitant studies
described air pollution fine particles as a similar risk factor. It is not clear
which way each of the mentioned factors acts on the way of affecting the human
body. The aim of this study is to present separate data of these two factors as
risk factors and to discuss the possibility of seeing the nanoparticles polluting
our air as carriers of neutrons on their way to the human cardiovascular system.
METHODS: Many studies of our groups and groups studying air pollution effects
were revised, and the possibility of combined action of both factors was
considered. RESULTS: It is known that neutrons on the Earth surface are the
markers of CRA. CRA is inversely related to space weather parameters such as
solar (SA) and geomagnetic activity. The presumed way of biological action of
neutrons is connection with H+ and, as protons, attack on our cells and tissues.
The way of action of nanoparticles is explained by specific physical and chemical
action of the materials they represent. It is a strong possibility that one way
to connect H radicals in the human body is that particles are neutron carriers
and can be absorbed in different parts of the body and then affect the systems of
human body. CONCLUSIONS: The combined action of CRA (neutron) activity is a
possible way of affecting the environment. The precise mechanism of this
cooperative action demands additional studies.
PMID- 27180340
TI - Effects of nicotine in the presence and absence of vitamin E on morphology,
viability and osteogenic gene expression in MG-63 osteoblast-like cells.
AB - BACKGROUND: Evidence shows that oxidative stress induced by nicotine plays an
important role in bone loss. Vitamin E with its antioxidative properties may be
able to reverse the effects of nicotine on bone. This study aimed to assess the
effects of nicotine in the presence and absence of vitamin E on morphology,
viability and osteogenic gene expression in MG-63 (osteosarcoma) human osteoblast
like cells. METHODS: We treated the cells with 5 mM nicotine. The viability and
morphology of cells were evaluated respectively using the 3-(4,5-dimethylthiazol
2-yl)-2,5-diphenyl tetrazolium (MTT) and crystal violet assays. The effect of
nicotine on osteogenic gene expression in MG-63 cells was assessed by real-time
reverse-transcription polymerase chain reaction of osteoblast markers, namely,
alkaline phosphatase, osteocalcin and bone sialoprotein. RESULTS: The results
revealed that survival and proliferation of MG-63 cells were suppressed following
exposure to nicotine, and cytoplasm vacuolization occurred in the cells. Nicotine
significantly down-regulated the expression of osteogenic marker genes. Such
adverse effects on morphology, viability and osteogenic gene expression of MG-63
cells were reversed by vitamin E therapy. CONCLUSIONS: In conclusion, vitamin E
supplementation may play a role in proliferation and differentiation of
osteoblasts, and vitamin E can be considered as an anabolic agent to treat
nicotine-induced bone loss.
PMID- 27180341
TI - Oral administration of green plant-derived chemicals and antioxidants alleviates
stress-induced cellular oxidative challenge.
AB - BACKGROUND: This study examined the efficacy of the combination antioxidant,
Formula 42 (F42), on cellular stress indicators in animal and human models of
stress-induced oxidative stress. METHODS: A sub-chronic psychological stress
model in rodents was used to induce stress and oxidative stress indicators over a
10-day period during which animals received oral doses of F42 or water. Following
treatment, body weight, plasma stress hormone corticosterone, and oxidative
capacity were evaluated. In healthy human subjects, a randomized double-blind
crossover study was used to examine the antioxidant effect of F42 or placebo in
an exercise-induced oxidative stress model. Erythrocyte and plasma oxidative
status was evaluated using the fluorescent activation of 2',7'-dichlorofluorescin
(DCF) as an indicator. RESULTS: Oral administration of F42 reduced the
corticosterone response to acute stress compared to vehicle but did not differ at
the conclusion of the 10-day study. However, F42 administration did reduce stress
induced growth restriction and alleviate DCF activation in circulating
erythrocytes by approximately 10% following 10 days of stress exposure. Oral
administration of F42 also significantly reduced DCF activation by approximately
10% in healthy human subjects undergoing exercise-induced oxidative stress.
CONCLUSIONS: Oral administration of F42 in rodents produces transient reductions
in stress hormones and reduces stress indicators following sub-chronic
psychological stress exposure. In humans, F42 acts as an early and potent
antioxidant capable of scavenging free radicals within 30 min of ingestion.
PMID- 27180342
TI - Circulating microRNAs as a Novel Class of Potential Diagnostic Biomarkers in
Neuropsychiatric Disorders.
AB - Neuropsychiatric diseases, such as schizophrenia, bipolar disorder (BD), major
depressive disorder (MDD) and autism spectrum disorder (ASD), are a huge burden
on society, impairing the health of those affected, as well as their ability to
learn and work. Biomarkers that reflect the dysregulations linked to
neuropsychiatric diseases may potentially assist the diagnosis of these
disorders. Most of these biomarkers are found in the brain tissue, which is not
easily accessible. This is the challenge for the search of novel biomarkers that
are present in various body fluids, including serum or plasma. As a group of
important endogenous small noncoding RNAs that regulate gene expression at post
transcriptional level, microRNAs (miRNAs) play a crucial role in many
physiological and pathological processes. Previously, researchers discovered that
miRNAs contribute to the neurodevelopment and maturation, including neurite
outgrowth, dendritogenesis and dendritic spine formation. These developments
underline the significance of miRNAs as potential biomarkers for diagnosing and
prognosing central nervous system diseases. Accumulated evidence indicates that
there are considerable differences between the cell-free miRNA expression
profiles of healthy subjects and those of patients. Therefore, circulating miRNAs
are likely to become a new class of noninvasive, sensitive biomarkers. Despite
the fact that little is known about the origin and functions of circulating
miRNAs, their essential roles in the clinical diagnosis and prognosis of
neuropsychiatric diseases make them attractive biomarkers. In this review we
cover the increasing amounts of dataset that have accumulated in the last years
on the use of circulating miRNAs and their values as potential biomarkers in most
areas of neuropsychiatric diseases.
PMID- 27180343
TI - Heart Rate Variability as a Method for Assessment of the Autonomic Nervous System
and the Adaptations to Different Physiological and Pathological Conditions.
AB - The autonomic nervous system controls the smooth muscles of the internal organs,
the cardiovascular system and the secretory function of the glands and plays a
major role in the processes of adaptation. Heart rate variability is a non
invasive and easily applicable method for the assessment of its activity. The
following review describes the origin, parameters and characteristics of this
method and its potential for evaluation of the changes of the autonomic nervous
system activity in different physiological and pathological conditions such as
exogenous hypoxia, physical exercise and sleep. The application of heart rate
variability in daily clinical practice would be beneficial for the diagnostics,
the outcome prognosis and the assessment of the effect of treatment in various
diseases.
PMID- 27180344
TI - Osteoporosis: Therapeutic Options.
AB - The definition of osteoporosis was originally formulated at a conference of the
World Health Organization (WHO) in 1993 as 'a systemic skeletal disease
characterized by decreased bone mass and altered micro-architecture of bone
tissue, leading to enhanced bone fragility and risk of fractures'. Osteoporosis
is characterized by low bone mineral density (BMD) and loss of the structural and
bio-mechanical properties that are required to maintain bone homeostasis. This
review aims to address the currently available options in prevention and
treatment of osteoporosis. Management of osteoporosis includes non
pharmacological treatment - diet rich of calcium and vitamin D, healthy
lifestyle, proper exercise plan, and pharmacological therapy. Combination of non
pharmacological and pharmacological treatment options have to be considered for
prevention of osteoporosis and minimization of the risk of fractures. Given the
heterogeneity of osteoporosis syndrome and lack of significant number of
comparative studies, the choice of a pharmacological agents should be
individualized.
PMID- 27180345
TI - Epidermal Growth Factor Receptor Activating Mutations in Squamous Histology of
Lung Cancer Patients of Southern Bulgaria.
AB - There is only limited data on the prevalence of epidermal growth factor receptor
(EGFR) activating mutations in squamous cell carcinomas and adenosquamous
carcinomas of the lung in patients of the Southern Bulgarian region and the
efficacy of EGFR tyrosine kinase inhibitors. AIM: Previous reports for Bulgarian
population showed high incidence of EGFR mutations in the squamous cell
carcinomas, so we set the goal to investigate their frequency in Southern
Bulgaria, after precise immunohistochemical verification of lung cancers.
MATERIALS AND METHODS: Two hundred and thirty-six lung carcinomas were included
in this prospective study. All biopsies were initially analysed with p63, TTF1,
Napsin A, CK7, CK34betaE12, synaptophysin, CK20 and CDX2. Two hundred and twenty
five non-small cell lung carcinomas were studied with real-time PCR technology to
assess the status of the EGFR gene. RESULTS: We detected 132 adenocarcinomas
(58.7%), 89 squamous cell carcinomas (39.2%), 4 adenosquamous carcinomas (1.8%),
9 large cell neuroendocrine carcinomas (3.8%) and 2 metastatic colorectal
adenocarcinomas (0.8%). Activating mutations in the EGF receptor had 3 out of 89
squamous cell carcinomas (3.37%). We have established mutations in L858R,
deletion in exon 19 and rare mutation in S7681. One out of four adenosquamous
carcinomas had a point mutation in the L858R (25%). CONCLUSIONS: The frequency of
EGFR mutations we found in lung squamous cell carcinomas in a Southern Bulgarian
region is lower than that in European countries. Ethnic diversity in the region
does not play role of an independent predictive factor in terms of mutation
frequency.
PMID- 27180346
TI - 25 Hydroxyvitamin D and Cytokines in Multiple Sclerosis.
AB - INTRODUCTION: Clinical trials of patients with multiple sclerosis (MS) have
produced inconsistent results for the profile of cytokine secretion in serum and
cerebrospinal fluid in patients with multiple sclerosis during periods of relapse
and remission. Epidemiological and clinical observations data reveal an
association of the changes in vitamin D serum concentration with the risk of
developing MS. AIM: To evaluate changes in serum concentrations of 25(OH)D, IL17,
IFN-gamma, TGFbeta1, IL4, IL10 in relapse and remission and their correlation
with the severity of disability. PATIENTS AND METHODS: Fifty-three persons (30
clinically healthy controls and 23 patients with relapsing-remitting multiple
sclerosis) living between 41 degrees and 42 degrees northern latitude were
registered during the astronomical winter period (October 2012- May 2013).
Patients were diagnosed according to Mc Donald 2010 criteria. The degree of
neurological deficit was assessed by EDSS. Serum concentrations of 25(OH)D
(nmol/l) and cytokines (pg/ml) were tested by ELISA - once for controls and twice
for patients (during relapse and remission). RESULTS: In the studied population
average levels of 25(OH)D were close to insufficiency, most pronounced in
patients in relapse, as differences were not statistically significant. A reverse
correlation was found between the levels of 25(OH)D and the deficit in relapse
and remission. Concentrations of TGFbeta1 significantly increased in remission
compared with exacerbation and controls. Serum level of IL4 was significantly
lower in relapse compared with controls. In remission there was a marked tendency
of increase compared with exacerbation. During clinical improvement IL17 and IFN
gamma tended to decrease compared to the average levels in relapse. In both
periods, the average concentrations of IFN-gamma in patients were significantly
lower compared with controls. No statistically significant differences were found
comparing cytokine changes with those of 25(OH)D and deficit. CONCLUSION:
Persistent cytokine imbalance in patients compared with controls is a marker for
Th1-mediated CNS demyelination. Anti-inflammatory TGFbeta1, IL4 are indicators of
immune response intensity. The deficit severity does not depend on changes of the
tested cytokines, but correlates with 25(OH)D levels during periods of relapse
and remission.
PMID- 27180348
TI - Hearing Loss in Patients with Shunt-Treated Hydrocephalus.
AB - Hearing loss is a common manifestation of the long-term complications in patients
with shunt treated hydrocephalus along with motor development disturbance,
cognitive and visual impairment, epilepsy and endocrine disorders. The aim of the
present study was to investigate the alterations of hearing in patients with
shunt treated hydrocephalus of non-tumor etiology and at least one year after
implantation of ventriculo-peritoneal shunt, as well as their impact on the
quality of life of patients. The study included 70 patients (age range 1.25 years
- 21.25 years) with shunted non-tumor hydrocephalus and at least one year after
placement of the shunt system. Hearing alterations were proved by measuring the
brainstem auditory evoked potentials (BAEP) for children up to 5 years of age and
children with mental retardation; audiograms was used for children older than 5
years with normal neuro-psychological development (NPD). Of the 70 studied
patients 17 (24%) had hearing loss (10 bilateral and 7-unilateral) and all of
them had sensorineural hearing loss, which is associated with low weight at
birth, posthemorrhagic hydrocephalus and brainstem symptoms at the time of
diagnosis of hydrocephalus. Hearing pathology was found more often in shunt
treated patients with NPD retardation, poor functional status and low quality of
life. Children with shunt-treated hydrocephalus have hearing loss of
sensorineural type. Children with brain stem symptomatology at diagnosing
hydrocephalus and children with post-hemorrhagic hydrocephalus show higher risk
of hearing loss. Children with shunted hydrocephalus and hearing loss show lower
NPD, lower quality of life and lower functional status.
PMID- 27180347
TI - Discrepancies between Spectral Domain Optical Coherence Tomography and
Fluorescein Angiography in Detecting Uveitic Macular Edema.
AB - AIM: To compare spectral-domain optical coherence tomography (SD-OCT) with
fluorescein angiography (FA) in detecting macular edema in patients with uveitis
and analyse discrepancies in the findings obtained by the two methods. METHODS:
The study included 133 eyes from 117 patients with uveitis that had SD-OCT (RTVue
100/ Optovue) and FA (Topcon TRC 50DX) scans performed to detect or rule out
macular edema. RESULTS: Macular edema was found in 57 (42.9%) of the 133 surveyed
eyes. In 37 eyes (27.8%) macular edema was confirmed by both imaging methods. In
17 eyes (12.8%) macular edema was detected on SD-OCT but not on FA;in 15 eyes of
these the edema was diffuse, and in 2 eyes - serous retinal detachment was
verified in the macular area. Focal macular edema was detected on FA in three
eyes (2.3%) in which SD-OCT showed normal finding. In 76 eyes (57.1%) no
pathological changes in the macula were observed on both SD-OCT and FA. Kappa
coefficient was 0.675 at p < 0.001. The agreement rate between the two methods
calculated using Kendall's tau-b was 0.693 at p < 0.001. CONCLUSION: Fluorescein
angiography and spectral domain optical coherence tomography are highly sensitive
methods used in detecting macular edema in patients with uveitis, but they might
fail to be efficient in this if used independently. Optical coherence tomography
is a more informative method, especially in diagnosing diffuse macular edema.
PMID- 27180349
TI - Physical Improvement and Biological Maturity of Young Athletes (11-12 Years) with
Systematic Training.
AB - AIM: The aim of this study was to investigate the influence of systematic
training in physical growth and biological maturity in prepubertal males and
estimate how this affects the physical growth and skeletal maturity. MATERIALS
AND METHODS: 177 primary school students of the fifth and sixth grade, from
schools in Alexandroupolis, participated voluntarily in our study. Questionnaires
were used in order to measure physical activity levels. The subjects were
subdivided into two groups; control group (prepubertal, whose physical activity
was the physical education of their school and which had never participated in
systematic training, n = 95) and experimental group (prepubertal, whose weekly
physical activity included physical education in their schools and additionally 3
4 training units organized training in various sports clubs in the city, n = 82).
The following parameters were recorded: biological age measured by determination
of skeletal age; bone density measured by ultrasound methods; anthropometric and
morphological features such as height, body composition, selected diameters,
circumferences and skinfolds; motor ability features. RESULTS: The experimental
group exhibited older biological age (p = 0.033), higher bone density (p <
0.001), lower BMI and body fat (p < 0.001), better anthropometric features and
higher performance throughout all motor ability tests (p < 0.05), compared to the
control group. CONCLUSION: The present study demonstrates that systematic
physical activity has a positive effect on both the physical and biological
maturity of pre-pubertal children. This effect is mainly expressed in bone
strengthening as a result of the increased bone density and in improvement of the
kinetic skills of pupils who participated in organized extracurricular sport
activities.
PMID- 27180350
TI - Evaluation of Acute Exogenous Hypoxia Impact on the Fraction of Exhaled Nitric
Oxide in Healthy Males.
AB - INTRODUCTION: Exogenous hypoxia increases ventilation and contracts the pulmonary
vessels. Whether those factors change the values of nitric oxide in exhaled air
has not yet been evaluated. OBJECTIVE: To examine the effect of exogenous
normobaric hypoxia on the values of the fraction of nitric oxide in exhaled
breath (FeNO). Subjects and Methods: Twenty healthy non-smoker males at mean age
of 25.4 (SD = 3.7) were tested. The basal FeNO values were compared with those at
7 min. and 15 min. after introducing into the hypoxic environment (hypoxic tent),
imitating atmospheric air with oxygen concentration corresponding to 3200 m above
sea level. Exhaled breath temperature was measured at baseline and at 10-12 min.
of the hypoxic exposition. Heart rate and oxygen saturation were registered by
pulse-oximetry. RESULTS: All the subjects had FeNO values in the reference range.
The mean baseline value was 14.0 +/- 3.2 ppb, and in hypoxic conditions - 15.5 +/
3.8 ppb (7 min.) and 15.3 +/- 3.6 ppb (15 min.), respectively, as the elevation
is statistically significant (p = 0.011 and p = 0.008). The values of exhaled
breath temperature were 33.79 +/- 1.55 degrees S and 33.87 +/- 1.83 degrees S (p
= 0.70) at baseline and in hypoxic conditions, respectively. Baseline oxygen
saturation in all subjects was higher than that, measured in hypoxia (96.93 +/-
1.29% vs. 94.27 +/- 2.53%; p < 0.001). CONCLUSIONS: Exogenous hypoxia leads to an
increase of FeNO values, but does not affect the exhaled breath temperature.
PMID- 27180351
TI - The Acute Effect of the Antioxidant Drug U-74389G on Red Blood Cell Distribution
Width Levels During Hypoxia Reoxygenation Injury in Rats.
AB - The AIM of this experimental study was to evaluate the effect of the antioxidant
drug "U-74389G" in a rat model of hypoxia reoxygenation (HR) using the previously
established protocol. Effects of treatment were evaluated by mean red blood cell
distribution width (RDW) levels. MATERIALS AND METHODS: 40 rats of a mean weight
of 231.875 g were employed in the study. RDW levels were determined at 60 min
(groups A and C) and at 120 min (groups B and D) after starting the
reoxygenation. Groups A and B received no drugs, whereas rats from groups C and D
were administered with U-74389G. RESULTS: demonstrated that U-74389G
administration significantly decreased the RDW levels by 4.96% + 2.27% (p =
0.0175). Reoxygenation time non-significantly decreased the RDW levels by 0.27% +
2.41% (p = 0.8889). Together, U-74389G administration and reoxygenation time non
significantly decreased the RDW levels by 2.54% + 1.39% (p = 0.0679).
CONCLUSIONS: U-74389G administration particulary in concert without reperfusion
declines the RDW levels even within the short - time context of 1.5 hours
reperfusion.
PMID- 27180352
TI - Clinical Impact of Dental Adhesives on Postoperative Sensitivity in Class I and
Class II Resin-Composite Restorations.
AB - BACKGROUND: Self-etch adhesives are believed to prevent postoperative sensitivity
when used under posterior resin-based composite restorations. STUDY OBJECTIVE: A
hypothesis that a one-step self-etch adhesive (1-SEA) would result in less
postoperative sensitivity than a three-step etch-and-rinse adhesive (3-E&RA) was
tested. PATIENTS AND METHODS: One hundred restorations were placed with a 1-SEA
and 100 restorations with a 3-E&RA. Teeth were restored with Filtek Supreme
nanofilled resin-composite and were evaluated for sensitivity to cold and
masticatory forces at baseline, 7 days, 14 days, 30 days, and 6 months
postoperatively. Vitality test scores of the teeth were recorded at the same
periods. RESULTS: The evaluation of cold sensitivity intensity (VAS score) for
all observation periods in both restoration groups did not reveal any statistical
significance. The differences in the response time to cold stimulation (0 - 15
sec) for the restorations made with a 1-SEA and those made with a separate etch
step are statistically insignificant. There are no significant differences in the
vitality of the restored teeth at intra- or inter-group comparison. The
statistical analysis revealed significant differences in postoperative
sensitivity to masticatory forces at postoperative day 14 and day 30 in the 3
E&RA group. CONCLUSIONS: Postoperative sensitivity depends on the type of dentin
adhesive used. More intensive complaints of postoperative sensitivity were
recorded under masticatory forces at postoperative day 14 and day 30 in 3-E&RA in
comparison with 1-SEA.
PMID- 27180353
TI - Clinical Effect of Dental Adhesive on Marginal Integrity in Class I And Class II
Resin-Composite Restorations.
AB - BACKGROUND: Dental adhesives are believed to influence marginal adaptation and
marginal discoloration when used under posterior resin-based composite
restorations. Studies on the latest adhesive systems reveal that the group of the
three-step etch-and-rinse adhesive (3-E&RA) and the one-step self-etch adhesive
(1-SEA) have entirely different bonding mechanisms, as well as different bond
strength and resistance to chemical, thermal and mechanical factors. STUDY
OBJECTIVES: A hypothesis that a 1-SEA would result in greater enamel marginal
discoloration and poorer marginal adaptation than a 3-E&RA was tested. MATERIAL
AND METHODS: One hundred restorations were placed with a 1-SEA and 100
restorations with a 3-E&RA. Teeth were restored with Filtek Supreme nanofilled
resin-composite and were evaluated for marginal adaptation and marginal
discoloration at baseline, and 6 months, 12 months, and 36 months
postoperatively. RESULTS: The statistical analysis revealed significant
differences in marginal integrity between test groups. The 1-SEA resulted in
greater enamel marginal discoloration and poorer marginal adaptation than the 3
E&RA at any recall time. CONCLUSIONS: Marginal adaptation and marginal
discoloration depend on the type of dentin adhesive used. The restorations with
Filtek Supreme and Scotchbond MP are better than the restorations with Adper
Prompt L-Pop with regard to the marginal adaptation and marginal discoloration at
6-, 12- and 36-month evaluations.
PMID- 27180354
TI - Application of Ultrasonic Devices in Management of Periodontal Lesions - Bone
Response in a Case of a Tooth with Poor Treatment Prognosis.
AB - BACKGROUND: Surgical treatment of odontogenic jaw cysts may include one of the
following four basic methods: enucleation, marsupialization, staged combination
of marsupialization and enucleation, or enucleation with curettage.
Enucleation/cystectomy, alone or combined with other procedures, is the preferred
choice of treatment. OBJECTIVE: The aim of the case report was to present the
outcome of an ultrasound-assistant periapical cystectomy in a frontal upper tooth
with indications for extraction. RESULTS: Postoperative recovery was uneventful.
The functional result was satisfactory. On the follow-up X-rays a reduction of
the intraosseous defect by a new bone formation could be observed. CONCLUSION: We
found ultrasonic surgery to be a promising approach for safe and effective
odontogenic jaw cyst removal reducing the risk of its recurrence.
PMID- 27180355
TI - Polypharmacy May Be the Cause of Acute Lithium Intoxication at the Second Day of
Treatment.
AB - Lithium is frequently used as a mood stabilizer in patients with mood disorders.
Lithium has a narrow therapeutic index and high toxicity. Predisposing factors
for intoxication are advanced age, diet disturbances, comorbid medical conditions
affecting heart, kidneys or central nervous system and polypharmacy. CASE REPORT:
Here we present a case of a 74-year-old woman with a history of Parkinson's
disease, hypertension and bipolar disorder. She was using quetiapine, valsartan
with hydrochlorothiazide and levodopa with carbidopa. She presented with altered
mental status and muscle rigidity. The patient was admitted with acute lithium
intoxication after her second dose of treatment. Blood lithium level increased to
3.58 mEq/L. The woman was hospitalized in the Internal Medicine Intensive Care
Unit. With hydration, her symptoms resolved and her lithium level returned to
normal after 118 hours. CONCLUSIONS: Prescribing physicians and emergency room
physicians should be aware of conditions which may cause a decreased threshold
for intoxication.
PMID- 27180356
TI - Burden of Sleep Disturbance Due to Traffic Noise in Bulgaria.
AB - BACKGROUND: Sleep disturbance is a risk factor for somatic, psycho-social and
spiritual dysfunction. It is also arguably the most deleterious effect of traffic
noise pollution. Quantification of its burden is an anchor element of
environmental policy making but no data are currently available for Bulgaria
where the preponderance of dangerous nighttime noise exposure is highest in
Europe. AIM: The aim of this study was to quantify the socio-economic burden of
severe sleep disturbance due to traffic noise (road, aircraft and railway) in
Bulgaria. MATERIAL AND METHODS: The official World Health Organization
methodology used in Europe was applied in order to estimate this annual loss
expressed as disability-adjusted life-years (DALYs) and their corresponding
monetary value (assuming ? 12 000 per DALY). RESULTS: Results showed that severe
sleep disturbance due to all traffic sources was associated conservatively with
15 468 DALYs (8 839 - 22 097) and ? 185 615 861 (? 106 066 206 - ? 265 165 516)
lost in 2012, with road traffic being the single most important noise source.
CONCLUSION: In conclusion, severe sleep disturbance due to traffic noise bears a
heavy environmental and socio-economic burden in Bulgaria which prompts vigorous
political action and greater involvement in environmental research. In order to
increase the accuracy of future burden of disease analyses other studies would
need to establish exposure-response functions based on population-based socio
acoustic surveys in the country.
PMID- 27180357
TI - Tetraspanin 8 is an interactor of the metalloprotease meprin beta within
tetraspanin-enriched microdomains.
AB - Meprin beta is a dimeric type I transmembrane protein and acts as an ectodomain
sheddase at the cell surface. It was shown that meprin beta cleaves the amyloid
precursor protein (APP), thereby releasing neurotoxic amyloid beta peptides and
implicating a role of meprin beta in Alzheimer's disease. In order to identify
non-proteolytic regulators of meprin beta, we performed a split ubiquitin yeast
two-hybrid screen using a small intestinal cDNA library. In this screen we
identified tetraspanin 8 (TSPAN8) as interaction partner for meprin beta. Since
several members of the tetraspanin family were described to interact with
metalloproteases thereby affecting their localization and/or activity, we
hypothesized similar functions of TSPAN8 in the regulation of meprin beta. We
employed cell biological methods to confirm direct binding of TSPAN8 to meprin
beta. Surprisingly, we did not observe an effect of TSPAN8 on the catalytic
activity of meprin beta nor on the specific cleavage of its substrate APP.
However, both proteins were identified being present in tetraspanin-enriched
microdomains. Therefore we hypothesize that TSPAN8 might be important for the
orchestration of meprin beta at the cell surface with impact on certain
proteolytic processes that have to be further identified.
PMID- 27180358
TI - Tetraspanin 8 is an interactor of the metalloprotease meprin beta within
tetraspanin-enriched microdomains.
AB - Meprin beta is a dimeric type I transmembrane protein and acts as an ectodomain
sheddase at the cell surface. It has been shown that meprin beta cleaves the
amyloid precursor protein (APP), thereby releasing neurotoxic amyloid beta
peptides and implicating a role of meprin beta in Alzheimer's disease. In order
to identify non-proteolytic regulators of meprin beta, we performed a split
ubiquitin yeast two-hybrid screen using a small intestinal cDNA library. In this
screen we identified tetraspanin 8 (TSPAN8) as interaction partner for meprin
beta. As several members of the tetraspanin family were described to interact
with metalloproteases thereby affecting their localization and/or activity, we
hypothesized similar functions of TSPAN8 in the regulation of meprin beta. We
employed cell biological methods to confirm direct binding of TSPAN8 to meprin
beta. Surprisingly, we did not observe an effect of TSPAN8 on the catalytic
activity of meprin beta nor on the specific cleavage of its substrate APP.
However, both proteins were identified as present in tetraspanin-enriched
microdomains. Therefore we hypothesize that TSPAN8 might be important for the
orchestration of meprin beta at the cell surface with impact on certain
proteolytic processes that have to be further identified.
PMID- 27180359
TI - The clear and dark sides of water: influence on the coiled coil folding domain.
AB - The essential role of water in extra- and intracellular coiled coil structures of
proteins is critically evaluated, and the different protein types incorporating
coiled coil units are overviewed. The following subjects are discussed: i)
influence of water on the formation and degradation of the coiled coil domain
together with the stability of this conformer type; ii) the water's paradox iii)
design of coiled coil motifs and iv) expert opinion and outlook is presented. The
clear and dark sides refer to the positive and negative aspects of the water
molecule, as it may enhance or inhibit a given folding event. This duplicity can
be symbolized by the Roman 'Janus-face' which means that water may facilitate and
stimulate coiled coil structure formation, however, it may contribute to the
fatal processes of oligomerization and amyloidosis of the very same polypeptide
chain.
PMID- 27180360
TI - Recent advances in the characterization of Crl, the unconventional activator of
the stress sigma factor sigmaS/RpoS.
AB - The bacterial RNA polymerase (RNAP) holoenzyme is a multisubunit core enzyme
associated with a sigma factor that is required for promoter-specific
transcription initiation. Besides a primary sigma responsible for most of the
gene expression during active growth, bacteria contain alternative sigma factors
that control adaptive responses. A recurring strategy in the control of sigma
factor activity is their sequestration by anti-sigma factors that occlude the
RNAP binding determinants, reducing their activity. In contrast, the
unconventional transcription factor Crl binds specifically to the alternative
sigma factor sigmaS/RpoS, and favors its association with the core RNAP, thereby
increasing its activity. sigmaS is the master regulator of the general stress
response that protects many Gram-negative bacteria from several harmful
environmental conditions. It is also required for biofilm formation and virulence
of Salmonella enterica serovar Typhimurium. In this report, we discuss current
knowledge on the regulation and function of Crl in Salmonella and Escherichia
coli, two bacterial species in which Crl has been studied. We review recent
advances in the structural characterization of the Crl-sigmaS interaction that
have led to a better understanding of this unusual mechanism of sigma regulation.
PMID- 27180361
TI - Dermatoses Following Nepal Earthquake 2015.
PMID- 27180362
TI - Outcome of Titanium Elastic Intramedullary Nail in the Treatment of Shaft of
Femur Fracture in Children.
AB - Background Femoral-shaft fractures are among the most common fractures of the
lower extremity in children. There are several different options for treating
femoral-shaft fractures in children. Elastic stable intramedullary nailing (ESIN)
has become the standard treatment for fractures of shaft of femur in children for
reasons including mini-invasive surgery, no need for casting, early mobilization
and discharge as well as growing concerns toward cost-effectiveness. Objective To
demonstrate the effectiveness of intramedullary fixation of fracture shaft of
femur in skeletally immature children using the titanium elastic intramedullary
nails. Method Forty children who underwent fixation with titanium intramedullary
nails because of fracture of shaft of femur (Winquist and Hansen type 1 and 2)
were reviewed. There were 60% male and 40% female patients and mean follow-up was
six months. Time of union, deformity at fracture site, limb length discrepancy,
knee range of motion and complications were assessed. Result Average age of the
patients was 5.17 years (range 3 to 10). All patients achieved complete healing
at a mean 12.8 weeks (range 10 to 20 weeks). Average limb length discrepancy was
0.16 cm (range -1.0 to 1.1 cm) average knee range of motion was 137.55 degrees
(range 118 to 152 degrees). Complications were recorded in 13 (31.7%) patients
and included: Five malunion which did not show any deformity or functional
impairment and eight superficial wound infections which were healed after removal
of nail. All patients were active as their pre injury levels at six months follow
up. Conclusion Elastic stable intramedullary nailing is the method of choice for
the simple pediatrics fracture shaft of femur, as it is minimally invasive and
shows good functional and cosmetic results. It allows short hospital stay and
quick recovery from pain and is cast-free.
PMID- 27180363
TI - Association between Dental Prosthesis and Periodontal Disease among Patients
Visiting a Tertiary Dental Care Centre in Eastern Nepal.
AB - Background Dental caries and Periodontal diseases are the most prevalent oral
health problems present globally. The distribution and severity of such oral
health problems varies in different parts of the world and even in different
regions of the same country. Nepal is one of the country with higher prevalence
rate of these problems. These problems arise in association with multiple
factors. Objective This study was carried out to describe the periodontal status
and to analyse the association of periodontal disease with the wearing of fixed
or removable partial dentures in a Nepalese population reporting to the College
of Dental Surgery, B P Koirala Institute of Health Sciences, Dharan, Nepal.
Method This study comprised of a sample of 200 adult individuals. All data were
collected by performing clinical examinations in accordance with the World Health
Organization Oral Health Surveys Basic Methods Criteria. It included the
Community Periodontal Index and dental prosthesis examination. Result A
descriptive analysis was performed and odds ratio (1.048) and 95% confidence
interval (1.001; 1.096) was found out. The mean age of the population
participated in the study was 41.82 +/- 14.80 years. A total of 93 (46.5%) males
and 107 (53.5%) females participated in the study. Among these subjects, 100%
presented some periodontal problems. The statistical analysis indicated that the
probability of periodontal disease with regards to wearing partial dentures was
not significant as suggested by the odds ratio (1.048). Conclusion There is no
association of the wearing of dental prosthesis (RPD and/or FPD) with the
periodontal disease and suggests a need for populations based oral health
education programs, plaque control programs to reduce the incidence of
periodontal disease.
PMID- 27180364
TI - "Question of the Day": Impact on learning and retention.
AB - Background Studying regularly has been a known method for better memory and long
term retention of a subject. Getting students to study on a regular basis has
been tried in many ways and its impact is increased if they understand the
importance and value the efficiency of it. Objectives With large number of
students, it would be a time consuming process to follow one on one interactions
or study sessions. Hence, we introduced a new strategy, the "Question of the
Day", which is interesting, challenging and most of all; it has produced positive
influence on the examination performance of the students who performed this task.
Main objective of this study was to make students answer questions related to the
topics taught every day. Method Regular studying and updating the subject
knowledge was provided through Question of the Day (Annexure-1). The students
were given the questions on a regular basis during dissection classes and were
asked to document the answers for those questions. Result The students who worked
on the "Question of the Day" scored significantly higher in the exams than those
who did not. The results of the opinion survey clearly indicated that this
exercise was liked by most of the students. Conclusion "Question of the day" did
have a positive influence on the student's performance. Most of the students felt
that this exercise had a positive impact on their study methods, interaction with
peers and teachers, retention and recollection of the knowledge.
PMID- 27180365
TI - Efficacy of Different Antihypertensive Drugs among newly Diagnosed Hypertensive
Patient in Dhulikhel Hospital.
AB - Background Cardiovascular disease represents the largest burden on global health,
important modifiable risk factor being hypertension. Difference in response to
antihypertensive medication depending on ethnic group is well recognized. There
is very few data regarding this difference in the South Asian population
especially from Nepal. Objective The aim of this study is to find out which
antihypertensive medication has better efficacy in our population. Method One
seventy two newly diagnosed hypertensive patients who presented to cardiology OPD
were included. Blood Pressure (BP) was recorded in both arms at least three
times. Patients were counseled for lifestyle and dietary modification and were
prescribed one of the three drugs Hydrochlorthiazide 25 mg or Amlodipine 5 mg or
Enalapril 5 mg. Patients were called back in 4-6 weeks time and Blood Pressure
was recorded in similar manner. Result Out of 172 patients, 97 were male and 75
female. The mean age was 55.49+/-1.03 years. Mean Systolic BP before treatment
was 156.2+/-10.6 mm of Hg and Mean Diastolic BP before treatment was 100.5 +/-6.8
with no statistically significant difference among different groups. However
Systolic BP reduction was 14.6 +/-5.1, 21.9+/-5.9 and 21.8+/-7.4 by
Hydrochlorthiazide , Amlodipine and Enalapril respectively. Diastolic BP
reduction was 8.8+/-2.5, 14.2+/-2.8 and 14.3+/-2.9 by Hydrochlorthiazide,
Amlodipine and Enalapril respectively. Conclusion Amlodipine and Enalapril are
equally effective in controlling BP in our population. Hydrochlorthiazide is less
effective than both Amlodipine and Enalapril.
PMID- 27180366
TI - Correlation between Endoscopic and Histopathological Findings in Gastric Lesions.
AB - Background Stomach is a common site for wide variety of lesions. The
visualisation of the site with biopsy leads to the early detection of the
pathologic process and appropriate therapy. Objectives The objective of this
study is to correlate the histopathological pattern of endoscopic biopsies with
distribution of gastric lesions according to age and sex. Method The
retrospective study was carried out among 50 cases with endoscopic biopsies and
histopathological assessment, received at Department of Pathology, Dhulikhel
Hospital- Kathmandu University Hospital. Result Out of 50 cases majority of cases
were of male gender with male: female ratio was 1.3:1. Our study showed a poor
correlation between endoscopic and histopathological evidence of inflammation in
the stomach. Two cases were diagnosed as intestinal metaplasia which were
diagnosed as ulcer and erosion endoscopically. Out of 32% of cases diagnosed
endoscopically as ulcer, only one case was confirmed histopathologically. Our
study showed good correlation in the cases of carcinoma. Out of 17 cases
diagnosed endoscopically as gastric carcinoma correlated histopathologically as
gastric adenocarcinoma. Majority of carcinoma cases showed ulcerating fungating
growth followed by ulcero-proliferative growth. Conclusion Endoscopy is
incomplete without biopsy and histopathology is the gold standard for the
diagnosis of endoscopically detected lesions. Endoscopic examination and
histopathological examination of suspected gastric lesions should go parallel and
neither should be a substitute of each other.
PMID- 27180367
TI - Relation between Serum Intact Parathyroid Hormone Level and Hematocrit in Chronic
Kidney Disease Patients.
AB - Background Anemia is a common complication of chronic kidney disease. There are
various causes of anemia in chronic kidney disease patients on hemodialysis.
Secondary hyperparathyroidism is one of the less recognized causes of anemia in
chronic kidney disease patients. Objectives The main objective of the study is to
find the correlation between intact parathyroid hormone and hematocrit level in
chronic kidney disease (CKD) patients undergoing hemodialysis. Method Verbal
consent was taken from all the participants. Eighty participants between the age
of 29 and 70 years with chronic kidney disease having indication of hemodialysis
were included in this study. Hematocrit was measured by bioelectrical impedance
method and serum intact parathyroid hormone was by using Chemi Luminescence
Immuno Assay (CLIA) method. Result A weak reverse correlation was found between
serum intact parathyroid level and hematocrit (r= -0.33). Conclusion In chronic
kidney disease patient, there is reverse correlation between level of serum
intact parathyroid and hematocrit level. This association may have clinical
relevance in assessing the cause of unexplained low hemoglobin level in CKD
patients.
PMID- 27180368
TI - Anthropometrically Determined Undernutrition among the Adolescent Girls in
Kathmandu Valley.
AB - Background No information exists regarding the health of the adolescent girls
residing in Kathmandu valley in urban setup. Objective To evaluate the prevalence
of undernutrition among the adolescent girls living in Kathmandu valley. It also
aims to know the distribution of weight, height and body mass index of adolescent
girls in relation to the different adolescent age groups. Method A cross
sectional study was conducted in one government and two private schools in
Kathmandu valley from 16th April to 15th September 2010. Anthropometric
measurements were recorded using standardized methodology as recommended by World
Health Organization (WHO). Standard operational definitions like percentiles,
mean, standard deviation and proportions were used for analysis. Result Four
hundred adolescent girls were enrolled randomly, out of which 111 girls (27.8%)
were from government school and 289 girls (72.2 %) were from private schools.
Maximum were of 16 years of age and the least were of 19 years of age, mean age
being 15.4 years of age. Of them, early, mid and late adolescents were 41%, 35%
and 24% respectively. Around one third of the study population were stunted
(32%), one fourth were underweight (24%) and one tenth of them were thin (9.5%)
based on National Center for Health Statistics (NCHS )standard. Both underweight
and stunted girls were significantly more during the late adolescence period
(P<0.001). However, thinness was not significant in any of the three adolescent
age groups. Conclusion A high prevalence of undernutrition in terms of stunting,
underweight and thinness exists among the adolescent girls in Kathmandu valley.
PMID- 27180369
TI - Knowledge and Practice of Health Care Workers regarding Needle Stick Injuries in
a Tertiary Care Center of Nepal.
AB - Background Needle stick injuries are common health hazards among health care
workers. Considering the increasing prevalence of body fluid borne infectious
diseases; knowledge regarding the common errors and universal precautions are
vital for the prevention of such accidents. Objective This study aimed to assess
for knowledge and practice approaches among health care workers regarding needle
stick injuries. Method This is a cross sectional survey was conducted using an
anonymous, self-reporting 25-item structured questionnaire at a tertiary care
center of central Nepal. A total of 165 health care personnel of working
experience of more than 6 months were included in the study. Questionnaire
included aspects of prevalence and knowledge on needle stick injury, hepatitis B
immunization status and post exposure prophylaxis for HIV. Result Prevalence of
needle stick injury was found to be 703 per 1000 health care worker (70.3%)
during their working tenure and majority of the injury happened among nurses
(p<0.05) besides other professions. Seventy nine (47.9%) participants experienced
the injury more than one time in their career and the mean number of injury was
2.06+/-1.16. The practice of needle recapping is still prevalent and 80%
participants often use single handed technique. Vaccination against hepatitis B
virus was not completed by 31% health workers. Inadequate knowledge about post
exposure prophylaxis for HIV was reported by 46% participants. Conclusion High
prevalence of needle stick injury with a high rate of ignorance was noted. These
issues need to be addressed, through appropriate education and interventional
strategies.
PMID- 27180371
TI - Factors Associated with Musculoskeletal Disorders among Registered Nurses:
Evidence from the Thai Nurse Cohort Study.
AB - Background Health, safety, and well being have been known to be influenced by
occupational characteristics. Nurses constantly encounter musculoskeletal
disorders (MSDs) from work demands worldwide. Nevertheless, there is insufficient
of knowledge regarding causes of musculoskeletal disorders among nurses in
Thailand. Objective To investigate factors associated with musculoskeletal
disorder among registered nurses in Thailand. Method This study is part of the
2009 Thai Nurse Cohort Study which consisted of 18,756 nationally representative
sample of registered nurses. Data collection was performed via postal self
administered questionnaires. Manifesting musculoskeletal disorders was self
reported by registered nurses, 1,070 nurses were excluded since they were
unemployed during previous 12 months. Multiple logistic regression was used for
data analysis. Result Of 17,686 registered nurses, the overall 12 months
prevalence of musculoskeletal disorders was 47.8%. It was found that workplace
violence was the strongest factor which statistically significant associated with
musculoskeletal disorders (adjusted odds ratio, OR, 2.01; 95% confidence
interval, 95% CI, 1.42 to 2.83; P < 0.001), anxiety/depression (OR = 1.96: 95%
CI: 1.78 to 2.15; P < 0.001), perceiving job required a lot of physical effort
(OR = 1.69; 95% CI: 1.52 to 1.87; P < 0.001), every 10 years increased of age (OR
= 1.40; 95% CI: 1.22 to 1.62; P < 0.001), overweight (OR = 1.39; 95% CI: 1.01 to
1.52; P = 0.015). Conclusion Registered nurses were most vulnerable of
musculoskeletal disorders especially those who experienced workplace violence,
anxiety/depression, strenuous work, older age, and overweight. Consequently,
recommending safety practices to nurses should be considered for musculoskeletal
disorders (MSDs) prevention by ergonomics and workplace design.
PMID- 27180370
TI - Short Term Fate of Great Saphenous Vein after Radiofrequency Ablation for
Varicose Veins.
AB - Background Radiofrequency ablation of varicose vein have gained popularity
compared to conventional surgery due to comparable long term results in addition
to definite immediate superiorities. This modality has been started in Nepal
since August 2003 and the study on short term fate of ablated vein segment
confirms the anatomical benefit in addition to the clinical benefit. Objective To
analyze short term fate of segment of great saphenous vein that has been treated
by Radiofrequency ablation in terms of occlusion of saphenofemoral junction and
absence of recanalisation on Doppler ultrasonography finding done at 3-6 months
postoperative period. Method Total 81 cases subjected for radiofrequency ablation
of great saphenous vein in thigh segment during August 2013 - September 2014 were
followed up in between 3 to 6 months by Doppler ultrasonography. The findings
were classified into type 1 to 4 results based on the anatomic closure of
saphenofemoral junction and absence of recanalisation on treated segments. Result
There were total 81 cases with 54.3% female and 45.7% male patients. Mean short
term follow up duration was 4.9 months (S.D. 1.1 months). Great Saphenous Vein
was cannulated most frequently in between 5 cm above knee to 5 cm below knee.
Mean number of Radio Frequency Ablation (RFA) segments were 6.6 (SD=3.1). There
was complete occlusion (Type 1 results) in 51 cases (63.0%). In 24 cases (29.6%)
there was competent saphenofemoral junction with partial recanalisation in distal
part of Great Saphenous Vein (GSV) (Type 2 results). In six cases (7.4%) there
was incompetent saphenofemoral junction with partial recanalisation in distal
part of Great Saphenous Vein (Type 3 results). There were no cases with
incompetent saphenofemoral junction with complete recanalisation in distal part
of Great Saphenous Vein (Type 4 results). Conclusion Radio Frequency Ablation for
varicose vein, besides making clinical improvements, is also associated with good
anatomical results.
PMID- 27180372
TI - Nepalese Esthetic Dental (NED) Proportion in Nepalese Population.
AB - Background Lots of studies on maxillary anterior teeth proportions have been
studied in different populations in various countries, but no studies have been
conducted in Nepal on the esthetic maxillary anterior teeth proportions.
Objective The study was done to investigate the maxillary anterior teeth
proportions in a Nepalese population. Teeth proportions in the total population
were compared with golden proportion (GP) and golden standard (GS). Method A
total of 150 Nepalese subjects were divided in three facial types; broad, average
and narrow group. Maxillary anterior teeth were measured from dental casts using
digital calipers. The perceived width ratios of lateral to central incisor
(LI/CI) and canine to lateral incisor (CN/LI), ratios of mean central incisor
length to 2 central incisor widths (CIL/2CIW), and actual width to length ratios
(WLRs) (%) were calculated in each facial type and compared. Mean LI/CI, CN/LI
and CIL/2CIW in total population were calculated and compared with GP (0.618),
and mean WLRs in the total population were compared with GS (80%). All teeth
proportions were compared among three facial types. One-sample t-test and one-way
ANOVA were performed to analyze the data (alpha = 0.05). Result The LI/CI, CN/LI,
CIL/2CIW and WLRs in three facial types showed no significant difference. The
LI/CI, CN/LI and CIL/2CIW in the total population were 66%, 70% and 55%
respectively, and were significantly different from GP. The WLRs for CI, LI and
CN in the total population were 90%, 86% and 89% respectively and significantly
differed from GS. These values were considered to constitute the Nepalese
Esthetic Dental (NED) proportion. Conclusion No significant difference of
maxillary anterior teeth proportions were observed among three facial types.
Teeth proportions in the total population significantly differed from GP and GS.
We propose the NED proportion as a guideline for dental treatment in the
maxillary anterior region in Nepalese populations.
PMID- 27180373
TI - Study of Pre-disposing Factors of Acute Exacerbation of Chronic Obstructive
Pulmonary Disease and Antibiotic Prescribing Pattern with Reference to Antibiotic
Sensitivity Test.
AB - Background Chronic Obstructive Pulmonary Disease (COPD) affects about 329 million
people worldwide, which is nearly 5% of the entire global population. In the
context of Nepal, COPD accounts for 43% of the non-communicable disease burden
and 2.56% of hospitalizations. Various pre-disposing factors like bacterial,
viral, fungal, smoking, occupational exposures and genetic factors have been
proposed to precipitate COPD and its exacerbation though, the definitive pre
disposing factors and factors related to acute exacerbation have not been
determined in the context of Nepal. Objective To find out the pre-disposing
factors and the related causative agents for COPD. Method A cross sectional study
was conducted in a tertiary care hospital. Patients of all age group who were
diagnosed as COPD and admitted in the hospital were included in this study.
Patients were interviewed using structured questionnaire. The sociodemographic
data including personal and medical history were recorded from those
participants. In addition, sputum from those patients was sent for culture to
investigate the possible responsible pathogens as well as its antibiotic
sensitivity pattern. Result A total of 150 patients having Acute Exacerbation of
Chronic Obstructive Pulmonary Disease (AECOPD) who have admitted from either
emergency or out-patient department of the hospital were included in this study.
Among the total number of patients, more than half of them were female (n=82). In
addition, analysis of occupations shows that most of them were either farmer
(36.0%) or housewife (30.7%). In total studied patients (n=150), most of them
were using traditional firewood (83%) for cooking purpose and majority of
patients (91%) were smokers. Most of the sputum samples show growth of gram
positive cocci (26.7%) and gram negative bacilli (27.5%). Considering the overall
sensitivity pattern, the higher sensitivity was recorded for Co-trimoxazole and
Ciprofloxacin while higher rate of resistance was noted for Penicillin group of
drugs. The most widely used antibiotics were found to be Cephalosporin group of
drugs (68%). Conclusion The present study revealed that the case of COPD is more
in female and the commonest pre-disposing factor is found to be smoke/firewood.
Cephalosporin group of drugs is the most commonly prescribed drug.
PMID- 27180374
TI - Fluoroscopy Guided Percutaneous Transpedicular Biopsy for Thoracic and Lumbar
Vertebral Body Lesion: Technique and Safety in 23 Consecutive Cases.
AB - Background Though some vertebral lesions have typical imaging findings,
histological/ microbiological evidence are required for definitive diagnosis and
management, specially for tumor and infective lesions so that wrong diagnosis and
wrong treatment can be avoided. Conventionally, open biopsy methods are used.
With availability of CT scan, MRI, percutaneous transpedicular vertebral biopsy
has now become popular as a minimally invasive technique for biopsy of vertebral
lesion. Objective To describes technique and to analyzes safety and feasibility
of percutaneous transpedicular vertebral biopsy with fluoroscopy guidance for
thoracic and lumbar vertebral body lesions. Method Twenty three patients who
underwent percutaneous transpedicular vertebral biopsy under fluoroscopy guidance
were retrospectively evaluated for demographic data, indication for biopsy,
anatomical locations, histological/microbiological diagnosis, complications and
final outcome of treatment. True positive, true negative, false positive and
false negative cases were defined. Result There were 17 males and 6 female
patients of mean age 47 (range 22-73 years). Biopsies were performed in 17 dorsal
and six lumbar vertebral bodies. Adequate sample were obtained in all cases.
Seventeen patients (12: tubercular pathology, 1: primary tumor, 3: metastasis, 1:
osteoporotic fracture) had definitive histological/ microbiological diagnosis.
Four patients had no granuloma and tumor. Two had histological features of
chronic non specific inflammation. True positive cases were 17, true negative
were four and false negative case were two. Overall accuracy was 92%. One patient
developed small hematoma at biopsy site. Conclusion Fluoroscopy guided
percutaneous transpedicular biopsy of is a safe procedure with high adequacy and
accuracy and low complication rate for thoracic and lumbar vertebral body lesion.
PMID- 27180375
TI - Study on Corticosteroids use Pattern in Dermatological Practice and Investigating
Adverse Effect of Corticosteroids Including its Associated Factors.
AB - Background Corticosteroids are highly effective drugs with anti-inflammatory and
immunosuppressive properties. Due to this, they have become a mainstay of
pharmacotherapy in dermatology. However, improper and long term uses are
associated with a number of serious adverse effects. Objective To investigate the
corticosteroids use pattern, adverse effects and various factors associated with
adverse effects in dermatological practice. Method A cross-sectional study was
conducted in a dermatology department of tertiary care hospital. All patients
using at least one corticosteroids, either topically or systemically or the
combination were included in this study. Informed consent was taken from the
patients and interviewed using structured questionnaire. Statistical analysis was
performed by using SPSS 20. p-value < 0.05 was considered as statistically
significant. Result Among the 60 participants under this study, 81.67% of them
were females. The mean (+/-SD) age of the patients was 31.03 years (+/-15.0). A
majority (58.30%) of the patients was prescribed with topical corticosteroids
with low potency (25%). Most of them had used corticosteroids for urticaria.
Adverse effects were reported by 33.30% of the patients. The most common adverse
effect reported was the shedding of skin. Adverse effects was significantly
associated with gender; use of systemic corticosteroids; regular use of
corticosteroids; discontinuation of dose abruptly; and missed dose. However,
there was no significant association between adverse effects and the duration of
use of corticosteroids. Conclusion In conclusion, the present study suggested
that the proper counselling and clear instruction regarding the use of
corticosteroid should be provided to the patients for avoiding the abrupt
discontinuation of the prescribed medication leading to treatment failure.
PMID- 27180376
TI - Uterine Tachysystole with Prolonged Deceleration Following Nipple Stimulation for
Labor Augmentation.
AB - Breast stimulation for inducing uterine contractions has been reported in the
medical literature since the 18th century. The American college of Obstetricians
and Gynecologists (ACOG) has described nipple stimulation as a natural and
inexpensive nonmedical method for inducing labor. We report on a 37 year old P2
with a singleton pregnancy at 40 weeks gestation who developed tachysystole with
a prolonged deceleration after nipple stimulation for augmentation of labor.
Initial resuscitative measures, including oxygen by mask, a bolus of intravenous
fluids and left lateral positioning, did not restore the fetal heart rate to
normal. After the administration of Terbutaline 250 mcg subcutaneously, the
tachysystole resolved and the fetal heart rate recovered after five minutes of
bradycardia. Most trials of nipple stimulation for induction or augmentation of
labor have had small study populations, and no conclusions could be drawn about
the safety of nipple stimulation, though its use is widespread. While there have
been a few reports of similar complications during nipple stimulation for
contraction stress testing, there are no previous reports of tachysystole with
sustained bradycardia following nipple stimulation for labor augmentation. In
this report, we draw attention to the dangers of nipple stimulation so that
providers will be aware of this potential complication.
PMID- 27180377
TI - Sydenham's Chorea as Presentation of Rheumatic Heart Disease.
AB - Sydenham's chorea is the most common type of acquired chorea in childhood which
is a major neurological manifestation of rheumatic fever. We describe a 13 years
old girl who presented with weakness and purposeless involuntary movements of
upper and lower limbs. The symptoms slightly affected the child's daily
activities and had an unstable gait on walking which was aggravated during
stress. Grade II ejection systolic murmur was noticed on cardiovascular
examination. Echocardiography evaluation showed thickened aortic and mitral valve
leaflets with mild to moderate degree of mitral regurgitation. Anti-streptolysin
O titer was positive (>=200 IU/ml). CT scan of brain was normal. Subsequently
child was diagnosed as Rheumatic heart disease with Sydenham's chorea and kept on
regular Benzathine penicillin prophylaxis. Symptoms subsided spontaneously after
3 months without any further complications. Although decreasing, early diagnosis
and management of Sydenham's chorea and Rheumatic heart disease are very crucial
and should be considered with such presentation.
PMID- 27180378
TI - Significance of Crime Scene Visit by Forensic Pathologist in Cases of Atypical
Firearm Injuries.
AB - Deaths due to firearms are some of the interesting and contentious cases that a
forensic pathologist/autopsy surgeon encounters in his practice. Whenever there
is 'ambiguity' regarding the nature or sequence of events any unnatural deaths
including those caused by firearms the practice of visiting crime scene should be
encouraged especially in a country like India where autopsy surgeons often
neglect it. Here we present a case report in which there were inconsistencies in
the autopsy findings with the alleged history. The witnesses heard about four to
six gunshot sounds, whereas only two spent cartridge cases were retrieved from
the crime scene. Authors identified the atypical nature of firearm injuries
sustained by the victims that were possible by just two bullets. Crime scene
visit was undertaken where we discovered the possibility of the echo effect
behind the production of four to six sounds. Further by using computer software
program, positions of the gunman, victims and the bullet trajectory of the two
bullets was created.
PMID- 27180379
TI - History of Nutrition: The Long Road Leading to the Dietary Reference Intakes for
the United States and Canada.
AB - The Dietary Reference Intakes (DRIs) are reference values to guide the planning
and assessing of nutrient intakes in the United States and Canada. The DRI
framework was conceptualized in 1994, and the first reports were issued from 1997
2004, based on work by expert panels and subcommittees under the guidance of the
Food and Nutrition Board of the Institute of Medicine. Numerous conventions,
challenges, and controversies were encountered during the process of defining and
setting the DRIs, including the definition of the framework, the use of chronic
disease endpoints, lack of data on requirements for children and youth, and
methods for addressing nonessential bioactive substances with potential health
benefits. DRIs may be used to plan and assess the nutrient intakes of both
individuals and population groups, but the new paradigm particularly improved
methods used for groups. It is now possible to estimate both the prevalence of
inadequate intake and the prevalence of potentially excessive intake within a
group. The DRIs have served as a potent influence on national nutrition policies,
including those related to dietary guidance, food labeling, nutrition monitoring,
food assistance programs, and military nutrition standards. Because of this
important impact on nutrition policy, the DRIs must be based on the best possible
and most up-to-date science. Unfortunately, no updates to specific DRIs are
currently planned. Despite the long and challenging road that led to the current
DRIs, it must not finish in a dead end. Monetary resources and political will are
crucial to maintaining and continuously updating the DRIs.
PMID- 27180383
TI - Remembering John D. States, M.D.
PMID- 27180382
TI - The eNutrition Academy: Supporting a New Generation of Nutritional Scientists
around the World.
AB - Nutrition training and building capacity to provide a competent workforce to
support national and regional efforts to combat malnutrition remain a major
challenge in Africa and other developing regions of the world. The capacity to
provide the necessary intellectual drive for nutrition research, policy, and
practice in countries lacking in readiness for nutrition actions is imperative to
improve the health of their people. To help address this need, the eNutrition
Academy (eNA) was formed as a global partnership organization by the African
Nutrition Society, the Federation of African Nutrition Societies, the Nutrition
Society of the United Kingdom and Ireland, the ASN, and the International Union
of Nutritional Sciences, supported by Cambridge University Press. The primary
objective of this partnership is to provide an online learning platform that is
free to access, enabling users to benefit from a wide range of learning materials
from basic tools to more-advanced learning materials for teachers and researchers
in developing countries. The goal of this article was to summarize the findings
of a symposium held at the ASN Scientific Sessions and Annual Meeting at
Experimental Biology 2015, which explored the themes of international capacity
development, with a particular focus on the African continent, online learning,
and the eNA e-learning platform. Given the vast human capacity present in Africa
that is poised to create new solutions to address the public health needs of the
continent, now is an opportune time to establish South-North and South-South
partnerships to develop the next generation of African nutritional scientists.
PMID- 27180384
TI - Emerging Data on the State of Obesity.
PMID- 27180380
TI - Role of the Small Intestine in Developmental Programming: Impact of Maternal
Nutrition on the Dam and Offspring.
AB - Small-intestinal growth and function are critical for optimal animal growth and
health and play a major role in nutrient digestion and absorption, energy and
nutrient expenditure, and immunological competence. During fetal and perinatal
development, the small intestine is affected by the maternal environment and
nutrient intake. In ruminants, altered small-intestinal mass, villi morphology,
hypertrophy, hyperplasia, vascularity, and gene expression have been observed as
a result of poor gestational nutrition or intrauterine growth restriction.
Although many of these data come from fetal stages, data have also demonstrated
that nutrition during mid- and late gestation affects lamb small-intestinal
growth, vascularity, digestive enzyme activity, and gene expression at 20 and 180
d of age as well. The small intestine is known to be a highly plastic tissue,
changing with nutrient intake and physiological state even in adulthood, and the
maternal small intestine adapts to pregnancy and advancing gestation. In
ruminants, the growth, vascularity, and gene expression of the maternal small
intestine also adapt to the nutritional plane and specific nutrient intake such
as high selenium during pregnancy. These changes likely alter both pre- and
postnatal nutrient delivery to offspring. More research is necessary to better
understand the role of the offspring and maternal small intestines in whole
animal responses to developmental programming, but programming of this plastic
tissue seems to play a dynamic role in gestational nutrition impacts on the whole
animal.
PMID- 27180381
TI - Holistic Analysis Enhances the Description of Metabolic Complexity in Dietary
Natural Products.
AB - In the field of food and nutrition, complex natural products (NPs) are typically
obtained from cells/tissues of diverse organisms such as plants, mushrooms, and
animals. Among them, edible fruits, grains, and vegetables represent most of the
human diet. Because of an important dietary dependence, the comprehensive
metabolomic analysis of dietary NPs, performed holistically via the assessment of
as many metabolites as possible, constitutes a fundamental building block for
understanding the human diet. Both mass spectrometry (MS) and nuclear magnetic
resonance (NMR) are important complementary analytic techniques, covering a wide
range of metabolites at different concentrations. Particularly, 1-dimensional 1H
NMR offers an unbiased overview of all metabolites present in a sample without
prior knowledge of its composition, thereby leading to an untargeted analysis. In
the past decade, NMR-based metabolomics in plant and food analyses has evolved
considerably. The scope of the present review, covering literature of the past 5
y, is to address the relevance of 1H-NMR-based metabolomics in food plant
studies, including a comparison with MS-based techniques. Major applications of
NMR-based metabolomics for the quality control of dietary NPs and assessment of
their nutritional values are presented.
PMID- 27180385
TI - [Back into the clinic - was that necessary?].
PMID- 27180386
TI - [General practitioners should not accept reduced fees for psychological codes].
PMID- 27180387
TI - [Not omitting the fee in prevention].
PMID- 27180388
TI - [Liquid formula nutrition by prescription?].
PMID- 27180389
TI - [Not Available].
PMID- 27180390
TI - [Not Available].
PMID- 27180391
TI - Highlighting Hospital and Patient Concerns this Election Year.
AB - Campaign 2016 is in full swing, and the American Hospital Association is seizing
the opportunity to make sure the concerns of patients and hospitals are heard. On
the front burner: escalating drug prices.
PMID- 27180392
TI - Duration of Nurse Residency Key.
PMID- 27180393
TI - NEED A RIDE? Health system partners to provide reliable transportion for
patients.
PMID- 27180394
TI - HOOTENANNY. Singing away the blues, COPD.
PMID- 27180395
TI - MORE THAN MEDICINE. Franchise business model helps build a continuum of care.
PMID- 27180396
TI - INDEPENDENT & LOCAL. Three questions with: Michael Hansen.
PMID- 27180398
TI - Cleaning out the closet.
PMID- 27180397
TI - TEACHING COMPASSION. MDs want to know more about end-of-life counseling.
PMID- 27180399
TI - The Opioid Epidemic.
PMID- 27180400
TI - Q&A with Peter Holden.
PMID- 27180401
TI - Designing a Medical School from Scratch.
PMID- 27180402
TI - PHARMACISTS to the fore.
PMID- 27180403
TI - Do You Really Know Your CUSTOMER?
AB - Customer segmentation has long been used in the retail industry. Now hospitals
are adapting it to better understand and care for patients.
PMID- 27180404
TI - Certificate of Need: '70s Remnant Shows Its Age.
PMID- 27180405
TI - Medicare Advantage's Influence Felt in Accountable Care Model.
PMID- 27180406
TI - The New Health Insurance Ecosystem.
PMID- 27180407
TI - AHIP Ship Sails Rough Seas.
PMID- 27180408
TI - Selfie Health Insurance Is All the Rage.
PMID- 27180409
TI - When Payers and Providers Become One.
PMID- 27180410
TI - Some Health Insurance Plans Groan About Not Making It on Exchanges.
PMID- 27180411
TI - Critical Illness Policies: An Antidote or a Band-Aid for Health Care's Financial
Toxicity?
PMID- 27180412
TI - Symptom Monitoring Improves Cancer Care.
PMID- 27180413
TI - Fixing Utilization Management To Fit With a Value-Based World.
PMID- 27180414
TI - SYSTEM CHANGE CREATES OPPORTUNITY FOR NEW PRIMARY CARE MODELS.
PMID- 27180415
TI - Testing and Treating HCV in Arkansas.
PMID- 27180416
TI - The State of Public Health in Arkansas in 2015.
PMID- 27180417
TI - Preventing Substance Abuse With Data-Driven Coalitions.
PMID- 27180418
TI - Report of the Trustee District Task Force.
PMID- 27180419
TI - ELECTRON DONOR ACCEPTOR DESCRIPTORS OF THE SINGLE AND DOUBLE BONDED SUBSTITUENT
AND HETEROATOM INCORPORATION EFFECTS. A REVIEW.
AB - The properties of the series of Electron Donor-Acceptor (EDA) descriptors of
classical substituent effect (sEDA(I), pEDA(I)), double bonded substituent effect
(sEDA(=), pEDA(=)), heteroatom incorporation effect in monocyclic systems
(sEDA(II), pEDA(II)), and in ring-junction position (sEDA(III), pEDA(III)), are
reviewed. The descriptors show the amount of electrons donated to or withdrawn
from the sigma-(sEDA) or pi(pEDA) valence orbitals by the substituent or
incorporant. The new descriptors are expected to enrich the potency of QSAR
analyses in drug design and materials chemistry.
PMID- 27180421
TI - EFFECT OF MICROWAVE POWER ON SHAPE OF EPR SPECTRA--APPLICATION TO EXAMINATION OF
COMPLEX FREE RADICAL SYSTEM IN THERMALLY STERILIZED ACIDUM BORICUM.
AB - Complex free radical system in thermally sterilized acidum boricum (AB) was
studied. Acidum boricum was sterilized at temperatures and times given by
pharmaceutical norms: 160 degrees C and 120 min, 170 degrees C and 60 min and 180
degrees C and 30 min. The advanced spectroscopic tests were performed. The EPR
spectra of free radicals were measured as the first derivatives with microwaves
of 9.3 GHz frequency and magnetic modulation of 100 kHz. The Polish X-band
electron paramagnetic resonance spectrometer of Radiopan (Poznan) was used. EPR
lines were not observed for the nonheated AB. The broad EPR asymmetric lines were
obtained for all the heated AB samples. The influence of microwave power in the
range of 2.2-70 mW on the shape of EPR spectra of the heated drug samples was
tested. The following asymmetry parameters: A1/A2, A1-A2, B1/B2, and B1-B2, were
analyzed. The changes of these parameters with microwave power were observed. The
strong dependence of shape and its parameters on microwave power proved the
complex character of free radical system in thermally sterilized AB. Changes of
microwave power during the detection of EPR spectra indicated complex character
of free radicals in AB sterilized in hot air under all the tested conditions.
Thermolysis, interactions between free radicals and interactions of free radicals
with oxygen may be responsible for the complex free radicals system in thermally
treated AB. Usefulness of continuous microwave saturation of EPR lines and shape
analysis to examine free radicals in thermally sterilized drugs was confirmed.
PMID- 27180420
TI - LEVOMILNACIPRAN--A SUCCESSOR OF MILNACIPRAN WITH A HIGHER NORADRENERGIC
SELECTIVITY.
AB - A new antidepressant, levomilnacipran, is the levorotatory enantiomer of
milnacipran. The drug belongs to selective serotonin-norepinephrine reuptake
inhibitors (SNRI) and has the highest noradrenergic selectivity of all members of
this group of antidepressants. Clinical trials have confirmed the effectiveness
of levomilnacipran in the treatment of depression. The drug was placed on the US
market in the form of prolonged-release capsules, which greatly simplifies the
treatment of psychiatric patients. The safety of the drug is also higher than the
safety of a racemate, resulting in a beneficial impact on the therapeutic effect.
In this paper we present current information on the pharmacological and clinical
properties of the newest antidepressant--levomilnacipran.
PMID- 27180422
TI - UNUSUALLY STABLE ADDUCT BETWEEN METHANOLYZED AMOXICILLIN OR AMPICILLIN AND THEIR
DIKETOPIPERAZINE DERIVATIVES.
AB - Amoxicillin and ampicillin were subjected to methanolysis. As expected, the
methanolysis products were observed by HPLC-ESI-MS. Besides these products,
diketopiperazine derivatives were also detected. Additionally, unusually stable
adduct formed between the products of methanolysis and diketopiperazine
derivatives was also identified. Analogical adducts were detected when
ethanolysis was performed instead of methanolysis. HPLC-ESI-MS analysis of the
separated adducts confirmed that the adducts were composed of methanolysis
products and diketopiperazine derivatives.
PMID- 27180423
TI - CREATININE DETERMINATION IN URINE BY LIQUID CHROMATOGRAPHY-ELECTROSPRAY
IONIZATION-TANDEM MASS SPECTROMETRY METHOD.
AB - Creatinine determination in urine is used to estimate the completeness of the 24
h urine collection, compensation for variable diuresis and as a preliminary step
in protein profiling in urine. Despite the fact that a wide range of methods of
measuring creatinine level in biofluids has been developed, many of them are
adversely affected by interfering substances. A new liquid chromatography-tandem
mass spectrometry method for creatinine determination in urine has been
developed. Chromatographic separation was performed by applying C18 column and a
gradient elution. Analyses were carried out on a triple quadrupole mass
spectrometer equipped with an electrospray ion source. The developed method was
fully validated according to the international guidelines. The quantification
range of the method was 5-1500 ng/mL, which corresponds to 1-300 mg/dL in urine.
Limit of detection and quantitation were 2 and 5 ng/mL, respectively.
Additionally, the comparison of creatinine determination by newly developed
method to the colorimetric method was performed. The method enables the
determination of creatinine in urine samples with a minimal sample preparation,
excellent sensitivity and prominent selectivity. Since mass spectrometry allows
to measure a number of compounds simultaneously, a future perspective would be to
incorporate the determination of other clinically important compounds excreted in
urine.
PMID- 27180424
TI - CONJUGATED LINOLEIC ACIDS (CLA) DECREASE THE BREAST CANCER RISK IN DMBA-TREATED
RATS.
AB - The aim of this study was to investigate how supplementation of diet of female
Sprague-Dawley rats with different doses of conjugated linoleic acids and for a
varied period of time influences breast cancer risk, fatty acids profile and
lipids peroxidation in chemically induced mammary tumors. Animals were divided
into nine groups with different modifications of diet (vegetable oil, 1.0 or 2.0%
of CLA) and period of supplementation, which lasted after (A), before (B) and
before and after (BA) carcinogenic agent--7,12-dimethylbenz[a]anthracene
administration at 50th day of life. Mammary adenocarcinomas occurred in all
groups, but CLA supplementation decreased the cancer morbidity. Two percent CLA
seems to be excessive because of the coexisting cachexia. Two CLA isomers (9-cis,
11-trans and 10-trans, 12-cis) were detected in tumors but content of rumenic
acid was higher. Dietary supplementation significantly influenced some
unsaturated fatty acids content (C18:2 n-6 trans, C20:1, C20:5 n-3, C22:2), but
the anti- or prooxidant properties of CLA were not confirmed. CLA can inhibit
chemically induced mammary tumors development in female rats, but their cytotoxic
action seems not to be connected with lipids peroxidation. CLA isomers differ
with their incorporation into cancerous tissues and they influence the content of
some other fatty acids.
PMID- 27180425
TI - NEW RENIN-INHIBITORS--STABILITY AND ACTIVITY DETERMINATION. PART III.
AB - A series of new four potential renin inhibitors containing pseudodipeptides were
synthesized. Stability for all compounds (1-4) in homogenates of liver, kidney,
lung and in serum, gastric, intestinal juice and in the presence of alpha
chymotrypsin was determined. Compound 1 was unstable, compounds 2, 3 were stable,
compound 4 was partly unstable, (liver and kidney homogenates, (alpha
chymotrypsin solution). Inhibitory activity of the compounds was measured in
vitro by HPLC determination of lowering concentration of substrate
(angiotensinogen) in the presence of renin and the potential renin inhibitor
(compounds 1-4). Compound 1, 2, 3 and 4 showed inhibitory activity (1.7 x 10(-6),
9.6 x 10(-7), 1.05 x 10(-9) and 1.31 x 10(-7)M, respectively).
PMID- 27180426
TI - SERUM ANTIOXIDANT PARAMETERS IN PATIENTS POISONED BY DIFFERENT XENOBIOTICS.
AB - There is a great diversity of the acute drugs overdose cases in clinical
toxicology. Clinical situation is complicated by the coexistence of factors
predisposing to the development of adverse drug reactions (chronic use of drugs,
polypharmacy, alcohol or drugs dependence, nutritional disorders) and by the
presence of chronic organ damage, especially the liver and the kidney. The aim of
this study was to evaluate whether there are sensitive plasma markers belonging
to the antioxidant system in patients exposed to various xenobiotics. We measured
the activity of antioxidant parameters: catalase (CAT), glutathione peroxidase
(GPX3), glutathione (GSH), sulfhydryl groups (-SH), carbonyl groups (=CO) and
free radicals (2,2-diphenyl-1-picrylhydrazyl, DPPH, assay) in serum of 49
patients with acute intoxication caused by carbamazepine (CBZ, n = 9), mixed drug
intoxication (MDI) (n = 9), alcohol withdrawal syndrome (AWS, n = 9),
acetaminophen (APAP, n = 7), tricyclic antidepressants (TCAs) (n = 5), valproic
acids (VA, n = 4), narcotics (N, n = 3), and three others (benzodiazepines, BZD,
n = 2; barbiturates, n = 1). The results were compared with the parameters of not
intoxicated patients (n = 39). All patients had lower catalase activity in
comparison to the control group (41.9 +/- 16.5 vs. 196.0 +/- 82.2 U/mg protein, p
= 0.000), while the increase of GSH level was particularly apparent only in
patients with AWS (391.3 +/- 257.9 MUmol/mg protein) compared to the control
group (171.4 +/- 88.4 MUmol/mg protein, p = 0.034) and to patients intoxicated
with carbamazepine (152.8 +/- 102.5 MUmol/mg protein, p = 0.027). Some
differences, but without statistical significance, were also observed in GPX3
activity between different groups of poisoned patients.
PMID- 27180428
TI - DESIGN, SYNTHESIS AND BIOLOGICAL EVALUATION OF NEW PYRROLOAZEPINES WITH POTENTIAL
AND SELECTIVE ANTITUMOR ACTIVITY.
AB - 2-Chloroacetylamino-pyrrolo[1,2-a]azepine-3-ethyl ester 3 was synthesized,
condensed with ammonium thiocyanate to obtain a hybrid molecule of pyrrolo [1,2
a]azepine and thiazolidinone moiety 4. Coupling of the obtained hybrid molecule
with the appropriate aldehydes or diazonium salt afforded novel substituted
hybrids 5a,b and 6. Chemical structures were confirmed by spectral and elemental
analysis. The synthesized compounds were tested on liver Hep3B, lung A549, breast
MCF7 cancer cell lines and normal fibroblast cells as well, using sulforhodamine
B assay method. Compound 5a showed to be potent and selective to lung A549 cancer
cell line (IC50 = 13 nM/mL, S.I. = 2.9). The most potent one against MCF7 was
compound 4 with IC50 value equals 12 nM/mL and S.I. = 1.4. Compounds 5b, 6
exhibited high potency and selectivity towards Hep3B cancer cells with IC50 and
S.I. equal 15 nM/mL, 10.8 and 9 nM/mL, 285, respectively. The ability of the
synthesized compounds 3-6 to act as modulators for cyclin dependent kinases was
explored through molecular docking studies.
PMID- 27180427
TI - PRELIMINARY EVALUATION OF CENTRAL NERVOUS SYSTEM ACTIVITY OF (E)-N-2-METHYL-3
PHENYLPROP-2-ENYL ((E)-N- alpha-METHYLCINNAMYL) DERIVATIVES OF SELECTED
AMINOALKANOLS.
AB - A series of (E)-alpha-methylcinnamyl derivatives of selected aminoalkanols was
synthetized and evaluated for activity in central nervous system. All compounds
were tested as anticonvulsants and one additionally in antidepressant- and
anxiolytic-like assays. The compounds possessed pharmacophoric elements regarded
as beneficial for anticonvulsant activity: hydrophobic unit and two hydrogen
bonds donor/acceptor features. The compounds were verified in mice after
intraperitoneal (i.p.) administration in maximal electroshock (MES) and
subcutaneous pentetrazole (scPTZ) induced seizures as well as neurotoxicity
assessments. Eight of the tested substances showed protection in MES test at the
dose of 100 mg/kg. The derivative of 2-aminopropan-1-ol was also tested in 6-Hz
test in mice i.p. and showed anticonvulsant activity but at the same time the
neurotoxicity was noted. The derivative of 2-amino-1-phenylethanol which
possessed additional hydrophobic unit in aminoalkanol moiety was tested in other
in vivo assays to evaluate antidepressant- and anxiolytic-like activity. The
compound proved beneficial properties especially as anxiolytic agent remaining
active in four-plate test in mice at the dose of 2.5 mg/kg (i.p.). In vitro
biotransformation studies of 2-amino-1-phenylethanol derivative carried out in
mouse liver microsomal assay indicated two main metabolites as a result of
aliphatic and aromatic hydroxylation or aliphatic carbonylation. To identify
possible mechanism of action, we evaluated serotonin receptors (5-HT1A, 5-HT6 and
5-HT7) binding affinities of the compounds but none of them proved to bind to any
of tested receptors.
PMID- 27180429
TI - RECEPTOR AFFINITY AND PHOSPHODIESTERASES 4B AND 10A ACTIVITY OF OCTAHYDRO- AND
6,7-DIMETHOXY-3,4-DIHYDRO- ISOQUINOLIN-2(1H)-YL-ALKYL DERIVATIVES OF IMIDAZO- AND
PYRIMIDINO[2,1-f]PURINES.
AB - A series of octahydro- and 6,7-dimethoxy-3,4-dihydro- isoquinolin-2(1H)-yl-alkyl
derivatives of imidazo- and pyrimidino[2,1-f]purines were synthesized and
biologically evaluated in in vitro competition binding experiments for serotonin
5-HT(1A), 5-HT(6), 5-HT(7), and dopamine D2 receptors and inhibitory potencies
for phosphodiesterases - PDE4B1 and PDE10A. The structure-activity relationships
allowed to determine the structural features responsible for receptor and enzyme
activity. Compound 5 (8-(4-(6,7-dimethoxy-3,4-dihydroiso- quinolin-2(1H)butyl)1,3
dimethyl-H-imidazo[2,1-f]purine-2,4(3H,8H)-dione) could be regarded as promising
structure for further modification and detailed mechanistic study for obtained
hybrid ligands.
PMID- 27180430
TI - ANTIRADICAL AND ANTIMICROBIAL ACTIVITY OF PHENOLIC FRACTIONS OBTAINED FROM
HONEYS.
AB - Honey is a natural product consisting of multiple components which determine its
dietary and medicinal properties. In this work there were studied methanol
fractions obtained from seven honeys from Lower Silesia (Poland) collected in
different seasons of three successive years. Melissopalynologic studies revealed
that two of them were polyfloral, and five were classified as monofloral (two
buckwheat and three rapes). The amount of phenolic compounds in honeys varied
from 0.09 to 0.38 mg per g of honey. Honeys harvested in 2010 were the richest in
phenolic compounds and especially rich was buckwheat honey, comparing to 2011-
2012. Determination of antioxidant potential with the DPPH radical revealed that
the strongest antiradical activity was exhibited by extracts obtained from
polyfloral (1.22 TAU(515/mg)) and buckwheat (1.06 TAU(515lmg)) honeys, while the
highest number of antiradical units was observed for rape honey (3.64
TAU(515/g)). Polyphenolic fractions exhibited various bactericidal activities
against Klebsiella pneumoniae and Staphylococcus aureus and weak or no activity
was observed against Pseudomonas aeruginosa.
PMID- 27180431
TI - EVALUATION OF THE TEA TREE OIL ACTIVITY TO ANAEROBIC BACTERIA--IN VITRO STUDY.
AB - The study of the sensitivity to tea tree oil (Australian Company TTD
International Pty. Ltd. Sydney) was carried out on 193 strains of anaerobic
bacteria isolated from patients with various infections within the oral cavity
and respiratory tracts. The susceptibility (MIC) of anaerobes was determined by
means of plate dilution technique in Brucella agar supplemented with 5%
defibrinated sheep blood, menadione and hemin. Inoculum contained 10(5) CFU per
spot was cultured with Steers replicator upon the surface of agar with various
tea tree oil concentrations or without oil (anaerobes growth control). Incubation
the plates was performed in anaerobic jars under anaerobic conditions at 37
degrees C for 48 h. MIC was defined as the lowest concentrations of the essential
oil completely inhibiting growth of anaerobic bacteria. Test results indicate,
that among Gram-negative bacteria the most sensitive to essential oil were
strains of Veillonella and Porphyromonas species. Essential oil in low
concentrations (MIC in the range of = 0.12 - 0.5 mg/mL) inhibited growth of
accordingly 80% and 68% strains. The least sensitive were strains of the genus
Tannerella, Parabacteroides and Dialister (MIC 1.0 - 2.0 mg/mL). In the case of
Gram-positive anaerobic bacteria the tea tree oil was the most active to strains
of cocci of the genus Anaerococcus and Ruminococcus (MIC in range = 0.12 - 0.5
mg/mL) or strains of rods of the genus Eubacterium and Eggerthella (MIC = 0.25
mg/mL). Among Gram-positive rods the least sensitive were the strains of the
genus Bifidobacterium ( MIC = 2.0 mg/mL). The tea tree oil was more active to
Gram-positive than to Gram-negative anaerobic bacteria.
PMID- 27180432
TI - TESTING PHARMACEUTICAL RELEASE OF ACTIVE SUBSTANCES FROM MEDICINAL PRODUCTS
CONTAINING ST. JOHN'S WORT.
AB - The aim of this study was to determine the content of hypericins and flavonoids
in tablets and capsules containing the extract or powdered herb of St. John's
wort, in herbs for infusion and herbal infusions and to release of these
compounds from tablets and capsules. HPLC method was used to determine the assay
of hypericins and flavonoids in all tested products. The hypericins content was
between 0.35 mg and 1.44 mg per tablet or capsule. The release of hypericins from
these products in the phosphate buffer of pH 6.8 is between 30 and 60% of the
determined content. The degree of hypericins release from herbs into infusions
was 15% on average, which corresponds to 0.64 mg of hypericins per infusion of 4
g of herbs. The flavonoids content was between 8.79 and 36.3 mg per tablet or
capsule. The release of flavonoids in the phosphate buffer of pH 6.8 is between
63 and 85% of the determined content. The degree of flavonoids release was 76% on
average, which corresponds to 77.0 mg per infusion of 4 g of herbs. The test
results confirmed that infusions from the St. John's wort constitute are a rich
source of flavonoids. At the same time, the universally accepted opinion that
aqueous infusions contain only trace amounts of hypericins was not confirmed.
Infusions from Herba hyperici may also be a source of hypericins in amounts
comparable with the minimum dose recommended for the treatment of mild to
moderate depressive episodes.
PMID- 27180433
TI - PHYTOCHEMISTRY, ANTIOXIDATIVE ACTIVITY AND INHIBITION OF KEY ENZYMES LINKED TO
TYPE 2 DIABETES BY VARIOUS PARTS OF AFRAMOMUM MELEGUETA IN VITRO.
AB - This study investigated and compared the antioxidative, antidiabetic effects and
possible active compounds present in various solvent extracts of fruit, leaf and
stem of Aframomum melegueta (Rosc.) K. Schum. Samples were sequentially extracted
using solvents of increasing polarity. They were investigated for 1,1-diphenyl-2
picrylhydrazyl (DPPH) radical scavenging activity, ferric reducing power,
inhibition of hemoglobin glycosylation, alpha-amylase and alpha-glucosidase
activities as markers of in vitro antidiabetic effects at various doses (30-240
MUg/mL). Possible compounds were analyzed using gas chromatography-mass
spectrometry (GC-MS) analysis. From the results, fruit ethanolic (EtOH) extract
showed higher total polyphenol (12.52 +/- 0.13 mg/g GAE) and flavonoid (4.92 +/-
0.12 mg/g QE) contents compared to other extracts. Similarly, for all the in
vitro models used in this study, fruit EtOH extract exhibited lower IC50 values
compared to other extracts, comparable to standards used in this study (DPPH 0.04
+/- 0.01 mg/mL; ascorbic acid: 0.03 +/- 0.02 mg/mL; gallic acid: 0.05 +/- 0.01
mg/mL; hemoglobin glycosylation: 0.7 2 +/- 0.03 mg/mL; gallic acid: 0.20 +/- 0.01
mg/mL; alpha-amylase: 0.62 +/- 0.01 mg/mL; acarbose: 4.91 +/- 0.80 mg/mL; alpha
glucosidase: 0.06 +/- 0.01 mg/mL; acarbose: 0.34 +/- 0.02 mg/mL). Additionally,
EtOH extract of the fruit demonstrated significantly (p < 0.05) higher reducing
potentials of Fe3+ to Fe2+ compared to other solvent extracts. The GC-MS analysis
of fruit and leaf EtOH extracts revealed the presence of some phenolics and other
fatty acids derivatives as possible compounds present. Conclusively, fruit EtOH
extract exhibited higher antioxidative and antidiabetic effects compared to other
solvent extracts in vitro and thus require further work to fully validate these
effects in vivo.
PMID- 27180434
TI - IN VITRO ACETYLCHOLINESTERASE AND BUTYRYLCHOLINESTERASE INHIBITORY POTENTIALS OF
JATROPHA GOSSYPIFOLIA PLANT EXTRACTS.
AB - Inhibition of acetylcholinesterase (AChE) and butyrylcholinesterase (BuChE),
which breakdown acetylcholine and butyrylcholine, are considered as a promising
strategy in the management of Alzheimer's disease (AD). Traditional accounts of
indigenous plant Jatopha gossypyfolia suggest presence of important medicinal
activities including improvement of memory functioning. To establish correlation
of its use as anti-Alzheimer, AChE and BuChE inhibitory activity of extracts
obtained from different parts of plant Jatropha gossypyfolia belonging to the
family Euphorbiaceae were tested. Extracts from leaves, stem bark and roots were
prepared by maceration. Enzyme inhibitory activity was carried out by using
standard in vitro AChE and BuChE inhibition assays (Ellman's assay method) and
the percentage inhibition was calculated. The results showed that roots
dichloromethane fraction (65.43 +/- 0.11), roots methanol fraction (62.79 +/-
0.34) and leaves dichloromethane fraction (57.71 +/- 0.15) showed significant
acetylcholinesterase inhibitory activity compared to other fractions when
compared with standard serine (91.29 +/- 1.17). Similarly, butyrylcholinesterase
enzyme inhibitory results showed that roots dichloromethane fraction (80.46 +/-
0.44), JGLE (77.34 +/- 0.34) showed significant BuChE enzyme inhibitory activity
as compared to other fractions when compared with standard eserine (82.82 +/-
1.09). Dichloromethane extracts showed higher enzyme inhibition comparatively.
Highest AChE and BuChE inhibition was observed with leaf extracts of ethyl
acetate fraction. In conclusion, the plant extracts exhibited presence of
bioactive compounds with significant AChE and BuChE inhibition supporting
traditional use of this herb in the management of AD. However, further
investigation of the plant is required.
PMID- 27180435
TI - EVALUATIN OF ANTIHYPERTENSIVE ACTIVITY OF SONCHUS ASPER L. IN RATS.
AB - The present investigation was carried out to evaluate the effect of aerial parts
of Sonchus asper L. in normotensive, glucose and egg feed diet induced
hypertensive rats. Aqueous-methanolic extract of Sonchus asper in 250, 500 and
1000 mg/kg doses was studied in normotensive and glucose induced hypertensive
rats using the non-invasive technique. The results obtained showed that the
extract has significantly (p < 0.5 - p < 0.001) decreased the blood pressure and
heart rate in dose dependent manner. The dose 1000 mg/kg of the extract produced
the maximum antihypertensive effect and was selected for further experiments. The
extract was found to prevent the rise in blood pressure of egg and glucose fed
rats as compared to control group in 21 days study. The LD50 of the plant extract
was 3500 mg/kg b.w. in mice and sub-chronic toxicity study showed that there was
no significant alteration in the blood chemistry of the extract treated rats. It
is conceivable, therefore, that the aqueous-methanolic extract of Sonchus asper
has exerted considerable antihypertensive activity in rats and has duly supported
traditional medicinal use of plant in hypertension.
PMID- 27180436
TI - ANTIOXIDANT PROPERTIES OF METHANOLIC EXTRACTS FROM THE SHOOTS AND ROOTS OF pRi
TRANSFORMED PLANTS OF REHMANNIA GLUTINOSA LIBOSCH.
AB - The antioxidant activity of methanolic extracts derived from shoots (HR-shoots)
and roots (HR-roots) of pRi-transformed Rehmannia glutinosa plants were
determined. The activity was indicated by the ability of the plant extracts to
inhibit superoxide anion (O2(-.)) generation and thiobarbituric acid reactive
substances (TBARS) production in resting blood platelets and platelets activated
by thrombin. The strongest activity was exhibited by the HR-shoot extract (50
MUg/mL). The present study also examines the antioxidant properties of the plant
extracts against human plasma lipid peroxidation induced by strong biological
oxidants: hydrogen peroxide (H2O2) and H2O2/Fe. The study shows that extracts
from transformed R. glutinosa plants may be a promising source of natural
antioxidants, which would be valuable in various cardiovascular diseases. The
extracts may also protect lipids against oxidative modifications.
PMID- 27180437
TI - STUDYING THE IMPACT OF FORMULATION AND PROCESSING PARAMETERS ON THE RELEASE
CHARACTERISTICS FROM HYDROXYPROPYL METHYLCELLULOSE MATRIX TABLETS OF DICLOFENAC.
AB - Hydrophilic matrices, especially HPMC based, are widely used to provide sustained
delivery where drug release occurs mainly by diffusion. A 3(2) full factorial
design was used to develop and evaluate HPMC matrix tablet for sustained delivery
of diclofenac. The influences of polymer concentration/viscosity, diluent
type/ratio, drug load/solubility, compression force and pH change on drug release
were investigated. Ten tablet formulations were prepared using wet granulation.
HPMC K15M (10-30% w/w) was used as the polymer forming matrix. The release
kinetics, compatibility studies, lot reproducibility and effect on storage were
discussed. Increasing polymer concentration and compression force showed
antagonistic effect on release rate. Mannitol tends to increase release rate more
than lactose. Reversing diluent ratio between lactose and MCC did not affect drug
release. Changing pH resulted in burst release whereas drug solubility is pH
independent. F1 showed similar release to Voltaren SR and followed Higuchi model.
Drug and polymer were compatible to each other. The formulation is stable at long
and intermediate conditions with a significant increase in release rate at
accelerated conditions due to water uptake and polymer swelling. The developed
formulation was successful for a sustained delivery of diclofenac.
PMID- 27180438
TI - PREPARATION AND CHARACTERIZATION OF ORALLY DISINTEGRATING LORATADINE TABLETS
MANUFACTURED WITH CO-PROCESSED MIXTURES.
AB - The aim of this study was to develop orally disintegrated tablets (ODT) with
loratadine using Parteck ODT and Ludiflash--new commercially available tableting
excipients based on co-processed mannitol. ODT containing loratadine were
prepared with 3% addition of various superdisintegrants (AcDiSol, Kollidon CL-F
and Kollidon CL-SF) by direct compression method. Obtained tablets were
characterized for friability, pore structure, and wetting and disintegration time
measured by four independents methods. In order to identify possible interactions
between loratadine and the excipients, differential scanning calorimetry was
used. The results showed that all formulated ODT were characterized by
appropriate mechanical properties (friability < 1%), the uniform content of the
drug substance and pleasant mouth feeling. Disintegration time below 30 s was
observed in formulations with crospovidones as disintegrant.
PMID- 27180439
TI - STUDY OF DUAL MATRIX TABLETS CONTAINING HYPROMELLOSE OF DIFFERENT VISCOSITY
DEGREE AND GLYCERYL DIBEHENATE.
AB - Studies are described on the compressibility of directly compressible tableting
materials containing two viscosity types of hypromellose in two concentrations
and tableting materials containing additional glyceryl dibehenate, also in two
concentrations. Compressibility is evaluated by means of the energy profile of
the compression process and determination of tensile strength of tablets.
Dissolution test examines the rate of release of the active ingredient from
matrix tablets, which is subsequently evaluated mathematically. Increased
concentrations of both hypromelloses and an addition of glyceryl dibehenate into
tablets with both types of hypromellose improved compressibility. The rate of
drug release was decreased with increasing viscosity degree of hypromellose and
its increasing concentration. An addition of glyceryl dibehenate exerted the same
influence on release as increased concentrations of the pertinent hypromellose.
PMID- 27180440
TI - IDENTIFICATION OF PHARMACEUTICAL EXCIPIENT BEHAVIOR OF CHICKPEA (CICER ARIETINUM)
STARCH IN GLICLAZIDE IMMEDIATE RELEASE TABLETS.
AB - In the past few years, there are number of researchers carrying out their
research on the excipients derived from polysaccharides and some of these
researches show that natural excipients are comparable and can serve as an
alternative to the synthetic excipients. Hence, the objectives of this research
are to characterize the naturally sourced chickpea starch powder and to study the
pharmaceutical excipient behavior of chickpea starch in gliclazide immediate
release (IR) tablets. In this research, the binding properties of chickpea starch
were compared to that of povidone, whereas the disintegrant properties of
chickpea starch were compared to those of crospovidone, croscarmellose sodium and
sodium starch glycolate. Flow property of chickpea starch was assessed with the
measurement of bulk density, tapped density, compressibility index and angle of
repose. Calibration curve for gliclazide in phosphate buffer pH 7.4 was
developed. Gliclazide IR tablets were then produced with direct compression
method. Physicochemical characteristics of the tablets, including thickness,
tablet weight uniformity, hardness, disintegration time and friability were
evaluated. Then, in vitro dissolution studies were performed by following United
States Pharmacopeia (USP) dissolution method. The dissolution results were
analyzed and compared with t30, t50, dissolution efficiency (DE). Lastly, drug
excipient compatibility studies, including Fourier transform infrared (FTIR)
spectroscopic analysis and differential scanning calorimetric (DSC) analysis were
carried out. Fair flow property was observed in the chickpea starch powder.
Furthermore, the tablets produced passed all the tests in physicochemical
characteristics evaluation except hardness and disintegration test. Additionally,
in vitro dissolution studies show that chickpea starch acted as a disintegrant
instead of a binder in gliclazide IR tablets and its disintegrant properties were
comparable to those of crospovidone, croscarmellose sodium and sodium starch
glycolate. Besides that, gliclazide was also compatible with the excipients used.
Chickpea starch acted as a disintegrant in gliclazide IR tablets, instead of a
binder. Therefore, chickpea starch can be a promising disintegrant in gliclazide
IR tablets.
PMID- 27180441
TI - A NEW APPROACH TO THE STUDY OF MUCOADHESIVENESS OF POLYMERIC MEMBRANES USING
SILICONE DISCS.
AB - The introduction of new test methods and the modification of existing ones are
crucial for obtaining reliable results, which contributes to the development of
innovative materials that may have clinical applications. Today, silicone is
commonly used in medicine and the diversity of its applications are continually
growing. The aim of this study is to evaluate the mucoadhesiveness of polymeric
membranes by a method that modifies the existing test methods through the
introduction of silicone discs. The matrices were designed for clinical
application in the management of diseases within the oral cavity. The use of
silicone discs allows reliable and reproducible results to be obtained, which
allows us to make various tensometric measurements. In this study, different
types of polymeric matrices were examined, as well as their crosslinking and the
presence for the active pharmaceutical ingredient were compared to the pure
dosage form. The lidocaine hydrochloride (Lid(HCl)) was used as a model active
substance, due to its use in dentistry and clinical safety. The results were
characterized by a high repeatability (RSD < 10.6%). The advantage of silicone
material due to its mechanical strength, chemical and physical resistance,
allowed a new test method using a texture analyzer to be proposed.
PMID- 27180442
TI - FORMULATION DEVELOPMENT OF TOPICAL CREAM LOADED WITH ANANAS COMOSUS EXTRACT: IN
VIVO EVALUATION FOR CHANGES IN SKIN BARRIER FUNCTION USING BIOPHYSICAL
TECHNIQUES.
AB - The prime objective of current investigation was to develop a topical skin care
cream (w/o) loaded with Ananas comosus extract versus placebo control, and
evaluated non-invasively for changes in skin barrier function i.e., epidermal
hydration levels and transepidermal water loss (TEWL), on healthy human
volunteers. Active cream carrying 2% extract of Ananas comosus in the internal
phase of w/o emulsion was prepared while placebo contained no extract. Stability
assessment of both creams was performed at various storage conditions 8, 25, 40
degrees C, 40 degrees C + 75% RH (relative humidity) and 50 degrees C. Effects on
epidermal hydration and TEWL were observed by applying active cream at one side
and placebo on the other side of face by 11 healthy human volunteers during 12
weeks period using Corneometer MPA5 and Tewameter MPA5. Results indicated that
both creams (active and placebo) remained stable at all storage conditions. All
samples manifested non-Newtonian, shear thinning behavior with increasing shear
rate, whereas statistical interpretation indicated that effects of active cream
were superior than placebo, as it significantly (p = 0.05) improves the epidermal
hydration levels up to 56.74% and reduces TEWL up to -73.19% at the end of study
period compared to baseline value. The surface evaluation of living skin (SELS)
parameters SEr, SEsc, SEsm, SEw were also assessed and indicated a significant (p
= 0.05) reduction. Conclusively, creams loaded with Ananas comosus extract
exhibit better physicochemical stability and represent a propitious improvement
in skin barrier function, used as a functional moisturizing and anti-aging
ingredient in topical skincare products.
PMID- 27180443
TI - FABRICATION AND IN VITRO EVALUATION OF 5-FLOROURACIL LOADED CHONDROITIN SULFATE
SODIUM ALGINATE MICROSPHERES FOR COLON SPECIFIC DELIVERY.
AB - Chondroitin sulfate and sodium alginate were incorporated in different ratios to
prepare glutaraldehyde (GA) crosslinked microspheres by water-in-oil emulsion
crosslinking method for delivery of 5-flurouracil (5-FU) to colon. Chemical
interaction, surface morphology, thermal degradability, crystallinity evaluation,
elemental analysis and drug release results were computed by using FTIR, SEM, DSC
and TGA, PXRD, EXD and dissolution studies at pH 1.2, pH 6.8 and pH 7.4,
respectively. Results revealed an acetal ring formation, non-porous surfaces,
stability up to 450 degrees C with mass loss of 84.31%, variation in carbon and
oxygen contents and targeted release at pH 7.4. Different kinetic models were
applied on release studies i.e., zero order, first order, Higuchi and Korsmeyer
Peppas. Higuchi model was declared as best fit model based on r2 value (0.99) and
mechanism of release was non-Fickian diffusion. A potential approach for colonic
delivery of 5-FU was successfully developed.
PMID- 27180444
TI - COMPARATIVE BIOAVAILABILITY OF A FIXED-DOSE COMBINATION TABLET OF OLMESARTAN
MEDOXOMIL/HYDROCHLOROTHIAZIDE IN HEALTHY KOREAN VOLUNTEERS.
AB - Combination therapy with diuretics and angiotensin II type 1 (AT1) receptor
antagonist is frequently recommended for the control of blood pressure in
hypertensive patients. This study was targeted to compare pharmacokinetic
profiles of a new generic fixed-dose combination (FDC) tablet of olmesartan
medoxomil/hydrochlorothiazide 20/12.5 mg and a reference formulation of Olmetec
Plus 20/12.5 mg tablets in healthy volunteers. The study design was a randomized
sequence and two-way crossover study in healthy subjects. They were to be
randomly assigned to either one of the two sequence groups; each subject
sequentially received a single oral dose of reference and test tablet with 7-day
washout period. Blood sample was collected at pre-dose and at 0.33, 0.67, 1,
1.33, 1.67, 2, 2.5, 3, 4, 6, 8, 12, 24, 36 and 48 h post-dose. The blood
concentrations were analyzed by LC-MS/MS. Both of the 90% CI for the treatment
ratios (test/reference) of C(max) and AUC(last) were to be in the range of 0.800
1.250 with regards to olmesartan medoxomil and hydrochlorothiazide; the geometric
mean ratios (test/reference) for olmesartan C(max) and AUC(last) were 0.979 (90%
CI, 0.934-1.027) and 0.992 (0.946-1.041), respectively, and those for
hydrochlorothiazide C(max) and AUC(last) were 0.966 (0.975-1.110) and 0.999
(0.963-1.038), respectively. No serious adverse events were reported during the
study. The generic formulation of olmesartan medoxomil/hydrochlorothiazide
20/12.5 mg tablet was bioequivalent with the reference formulation of Olmetec
Plus 20/12.5 mg tablet in regards to the pharmacokinetic parameters of olmesartan
medoxomil and hydrochlorothiazide. Clinical Research Information Service (CRIS)
Registration Number: KCT0001025. (https://cris.nih.go.kr/ Mar 18, 2014)
PMID- 27180445
TI - EFFECT OF GLABRIDIN AND GLYCYRRHIZIC ACID ON HISTOMORPHOMETRIC PARAMETERS OF
BONES IN OVARIECTOMIZED RATS.
AB - Licorice is a medicinal plant showing many therapeutic activities. Its roots
contain numerous pharmacologically active compounds such as a triterpenoid
saponin--glycyrrhizic acid and an isoflavan--glabridin. There are reports
indicating that glabridin exhibits estrogen-like activity, therefore it can be
classified into phytoestrogens, which may soothe menopause symptoms including
postmenopausal osteoporosis. Due to this fact, the aim of the presented study was
to evaluate the effect of glabridin and glycyrrhizic acid on histomorphometric
parameters of bones in rats with ovariectomy-induced osteoporosis. The animals
were divided into 6 group: (C)--control rats, (OVX)--ovariectomized rats, (OVX +
E)--ovariectomized rats receiving estradiol at a dose of 0.1 mg/kg p.o., (OVX +
G)--ovariectomized rats receiving genistein at a dose of 5 mg/kg p.o., (OVX + GL
-ovariectomized rats treated with glabridin at a dose of 5 mg/kg p.o. and (OVX +
GA)--ovariectomized rat administered with glycyrrhizic acid at a dose of 15 mg/kg
p.o. Estradiol and genistein served as the positive controls in the study.
Several macrometric and histomorphometric parameters were analyzed in the bones
of tested rats. Obtained results indicate that glabridin shows slightly positive
effect on osteoporotically changed bone tissue, and glycyrrhizic acid reveals
meager influence on skeletal system with no preventive significance.
PMID- 27180446
TI - NEUROMODULATORY EFFECTS OF THYMOQUINONE IN EXTENUATING OXIDATIVE STRESS IN
CHLORPROMAZINE TREATED RATS.
AB - The present study was undertaken to evaluate the possible protective effect of
thymoquinone on chlorpromazine induced catalepsy, locomotor activity and cerebral
oxidative stress in rats. The rats were divided into four groups, each group
containing eight animals. The animals were evaluated after repeated
administration of chlorpromazine (CPZ) 30 min before the administration of
thymoquinone (TQ) for 21 days. Catalepsy was assessed using block method whereas
the locomotor activity was assessed using acceleratory rotarod and
actophotometer. Markers of oxidative stress parameters (LPO, GSH, GPx, GR, GST
and CAT) were evaluated in the brain of rats. The cataleptic scores were
significantly increased in CPZ treated rats when compared with normal control
rats. Oral administration of TQ (5 and 10 mg/kg) significantly decreased
cataleptic scores when compared with chlorpromazine (CPZ) treated rats. The
muscle coordination and spontaneous locomotor activity was significantly
decreased in CPZ treated rats when compared with normal control rats. Treatment
with TQ significantly improved the muscle coordination and spontaneous locomotor
activity when compared with CPZ treated rats. TQ treated rats significantly
reduced the elevated levels of lipid peroxidation (LPO), increased levels of
antioxidant enzymes i.e., reduced glutathione (GSH), glutathione peroxidase
(GPx), glutathione reductase (GR), glutathione-S-transferase (GST) and catalase
(CAT) when compared with CPZ treated rats. The results clearly suggest that
supplementation with TQ can be used to preclude CPZ induced extrapyramidal side
effects and may find a role in reducing the oxidative stress.
PMID- 27180449
TI - "Reflecting on care is a great way to improve it".
PMID- 27180447
TI - LIPID PROFILE OF POLISH PRIMARY CARE PATIENTS AGED 35-55 YEARS LIVING IN THE
DISTRICT OF PLESZEW.
AB - In Poland, more than half of the adult population suffers from lipid disorders.
Among the 18 million Polish people with hypercholesterolemia, over 10.8 million
are unaware of this problem. To estimate the lipid profile of selected primary
care patients in the district of Pleszew (Western Poland), general practitioners
(GPs) recruited 681 patients aged 35-55 years in 2011. Fasting serum lipids were
evaluated. We found statistically significant differences in all lipid parameters
between all younger (35-45 years) and older (46-55 years) patients, between all
female and male subjects, between women and men ages 35-45 years; in total
cholesterol (TC), LDL-cholesterol (LDL-C) and triglycerides (TG) between younger
and older female population; in HDL-cholesterol (HDL-C) and TG between women and
men ages 46-55 years. Dyslipidemia, both, newly detected and previously treated,
was detected in 591 patients (86.8%): 322 females (84.3%) and 269 males (90.0%).
The highest percentage of lipid disorders was found in males aged 46-55 years
(91.9%) and the lowest among women aged 35-45 years (78.0%). Age and gender
differences in the prevalence of dyslipidemia proved of statistical importance.
Among 155 patients on lipid-lowering therapy, only 28 subjects (18.1%) reached
the target value of serum lipids. The prevalence of lipid disorders among primary
care patients aged 35-55 years in the district of Pleszew was significantly high,
and it was proved that dyslipidemia is statistically prominent in males and older
patients. Polish healthcare professionals should make more efforts to improve the
detection and treatment of lipid disorders.
PMID- 27180448
TI - EFFECT OF THERAPY WITH ANTI-TNF alpha DRUGS AND DMARD ON DISEASE ACTIVITY AND
HEALTH RELATED QUALITY OF LIFE AMONG WOMEN WITH RHEUMATOID ARTHRITIS.
AB - The aim of this pilot study was to evaluate the response to 16 and 52 weeks of
treatment with adalimumab and etanercept and its effect on disease activity and
quality of life in patients with rheumatoid arthritis (RA). Patients were
selected from 2155 medical cards of patients of Connective Tissue Health Centre
(Poznan, Poland) who were refractory to conventional treatment with disease
modifying anti-rheumatic drugs. To assess the disease activity, Disease Activity
Score (DAS28) was used and the measurement of quality of life was evaluated with
the Polish version of the WHOQoL-Bref questionnaire. To assess the disability, we
have used Health Assessment Questionnaire Disability Index (HAQ-DI) and to assess
the patients' pain caused by RA, Visual Analogue Scale (VAS) was used. The
results of the study show a significant decrease in inflammatory activity of the
disease and, consequently, an improvement in quality of life after anti-TNF alpha
treatment. Results obtained with TNF-blockers after 52 weeks of treatment in RA
objectively show the efficacy of these drugs and also the patients' perception of
the effect on their quality of life. Study results also indicate changes in
disability caused by RA and patients' pain due to disease between 16 and 52 weeks
of treatment.
PMID- 27180450
TI - Survey pinpoints concerns about revalidation system.
PMID- 27180451
TI - New nursing strategy focuses on 10 core commitments.
PMID- 27180452
TI - Latest nurse pay increases revealed in NHS report.
PMID- 27180453
TI - Early indications of 'appetite' for creation of associate role.
PMID- 27180454
TI - Specialist nurse pledge for Scots cancer patients.
PMID- 27180455
TI - Concern growth of district nurse workforce may be 'tailing off'.
PMID- 27180456
TI - Armband aids early detection of malnutrition.
PMID- 27180457
TI - "We must ask patients what care and support they feel they need".
PMID- 27180458
TI - "Pharmacy staff can contribute to medicines administration".
PMID- 27180459
TI - A practical model for reflection on practice.
AB - Although nurses are encouraged to reflect on their practice from pre-registration
education onwards, many are anxious about the process and unclear how it is done
or what it can achieve. This article discusses approaches to reflection and
presents a new, triangular model of reflection that encourages nurses to share
their reflections and helps to ensure they gain useful insights from the
exercise.
PMID- 27180460
TI - Better endings: a review of end-of-life services.
AB - The NHS has undertaken research on the organisation and quality of end-of-life
services, which provides valuable insights for those planning and delivering care
for people approaching the end of life, and their families. The review of the
findings, structured around the right care in the right place at the right time,
highlights what is already known, and how research that is currently underway
will address key gaps and uncertainties for frontline staff and clinical leaders.
This article summarises the findings outlined in that review.
PMID- 27180461
TI - Power inequality between patients and nurses.
AB - Many factors can result in an imbalance of power between patients and nurses.
This can have a range of negative effects on patients' experience of care. This
third article in a seven-part series on the use of patient narratives to reflect
on care focuses on power inequalities and their effects, and suggests points that
nurses can use to reflect on their own practice.
PMID- 27180462
TI - Twelve-hour shifts: burnout or job satisfaction?
AB - Job satisfaction and burnout in the nursing workforce are global concerns. Not
only do job satisfaction and burnout affect the quality and safety of care, but
job satisfaction is also a factor in nurses' decisions to stay or leave their
jobs. Shift patterns may be an important aspect influencing wellbeing and
satisfaction among nurses. Many hospitals worldwide are moving to 12-hour shifts
in an effort to improve efficiency and cope with nursing shortages. But what is
the effect of these work patterns on the wellbeing of nurses working on hospital
wards? This article reports on the results of a study performed in 12 European
countries exploring whether 12-hour shifts are associated with burnout, job
satisfaction and intention to leave the job.
PMID- 27180463
TI - Pharmacotherapy: anxiety and comorbid alcohol use.
PMID- 27180465
TI - Put support into practice.
PMID- 27180464
TI - 60 second with Laura Croan.
PMID- 27180466
TI - Bridging the Gap between Innovation and Financing. Chicago 2015 Health Venture
Fair Awards.
PMID- 27180467
TI - YACHIYO HOSPITAL; Center of SUPER CARE MIX--Comprehensive Care from Emergency to
Home for the community.
AB - Anjo City has two general hospitals. Kosei Hospital, a central medical center for
advanced care, and our Yachiyo Hospital for regional care. Recently, Kosei
Hospital faced over-capacity problem because of overflow in emergency visits and
congested wards due to shortage of post-acute beds. We planned a project to ease
the congestion of the central hospital and manage post-acute patients.
PMID- 27180468
TI - Construction and Application of a Refined Hospital Management Chain.
AB - Large scale development was quite common in the later period of hospital
industrialization in China. Today, Chinese hospital management faces such
problems as service inefficiency, high human resources cost, and low rate of
capital use. This study analyzes the refined management chain of Wuxi No.2
People's Hospital. This consists of six gears namely, "organizational structure,
clinical practice, outpatient service, medical technology, and nursing care and
logistics." The gears are based on "flat management system targets, chief of
medical staff, centralized outpatient service, intensified medical examinations,
vertical nursing management and socialized logistics." The core concepts of
refined hospital management are optimizing flow process, reducing waste,
improving efficiency, saving costs, and taking good care of patients as most
important. Keywords: Hospital, Refined, Management chain
PMID- 27180469
TI - Quality, Safety and Patient Centered Care--A Dream Come True in the Mountains of
Northern Pakistan. An Award winning project of "2015 Quality, Safety & Patient
Centered Care Award" at, Chicago USA.
AB - Northern Pakistan remains very challenging terrain due to harsh weather all year
round presenting an infrastructura, human resource and supply chain challenge of
its own. Many times the facility had to move to different locations on emergency
and ad hoc basis due to landslides, earthquakes affecting continuity of care.
Providing quality healthcare to often resource constraint hard-to-reach areas has
always been AKHS,P's unique forte. Breaking barriers for catchment population to
access quality healthcare, AKHS,P embarked on an initiative of implementing,
achieving and sustaining ISO 9001:2008 Quality Management System international
standards certification. This article shares the unique experience of AKHS,P in
achieving and sustaining ISO 9001:2008 International Quality Management System
Certification. After untiring efforts and the hard work of ground staff; AKHS,P
achieved ISO 9001:2008 International Quality Management System Certification as
well as 1st Surveillance Audit which itself proved that AKHS,P sustained quality
systems and ensured continuous quality improvement in the Mountains of Northern
Pakistan.
PMID- 27180470
TI - St. Luke's Medical Center Global City--Global Trigger Tool (GTT) Project.
AB - The Global Trigger Tool (GTT) was developed by the Institute of Healthcare
Improvement (IHI), to identify and measure the rate of adverse events over time
in a healthcare facility. It is a sampling methodology that utilizes "triggers"
in the detection of random adverse events and harms and it also measures the
adverse events overtime. The Quality and Patient Safety Group of St. Luke's
Medical Center--Global City initiated the implementation of the Global Trigger
Tool as a proactive solution using retrospective information gathered to address
the growing challenge that adverse events and harms impose in the institution
with the ultimate goat of improving patient safety. St. Luke's Medical Center
Global City is the first and only hospital in the Philippines to implementand
utilize the Global Trigger Tool.
PMID- 27180471
TI - Paradigm of Professional Integration for Disabled People in Fundacio Integralia
Valles: Key Success Factors.
AB - Fundacio Integralia Valles is a pioneer contact center in Europe that has
involved the creation of a healthcare reference center managed exclusively by
people with disabilities and degenerative diseases to enable their professional
development and ultimately integration into the labour market. The environment
created under this project enables effective training and building of skills,
capacity and work experience as well as promoting social responsibility among a
population group that is at risk of exclusion. The major differentiating factor
in Fundacio Integralia Valles is the quality of service provided by its staff,
who are particularly sensitive to the issues of health, and who provide
professional and human dimension in every attention.
PMID- 27180472
TI - Cognitive Training for Dementia Patients in the Community & Art Therapy Programs
of 'Goyang Centenarian's Good Memory School'.
AB - Myong Ji Hospital has launched the 'public health Service project team' for the
first time in Korea as a private institution to carry forward and administer
public health projects and services in a more structured way. Notably, Goyang
Centenarian's Good Memory School has deliberately provided various art therapy
programs to those who have a high risk of dementia in pursuit of promoting
dementia prevention, and maintaining a positive mind and healthy body for any
required daily activities for senior living. Participating patients have
expressed remarkable satisfaction, and the art therapy programs have not only
shown the effectiveness of strengthening the mental status of the cognitively
impaired patients but have also proposed a feasible non-pharmacological therapy
option, which promotes the quality of their daily living and lowers the burden
for their caregivers.
PMID- 27180473
TI - Why Hospitals and Payers are Recommending Home Care Upon Discharge Instead of SNF
or Traditional Home Health Services--Alternative Payment Model Hospital
Incentives Aligning with Patient Choice.
AB - Seniors and other hospital patients in the United States have traditionally had
the option of being discharged to a skilled nursing facility (convalescent home)
for post-acute services, or home with nursing and therapy services provided in
the home setting. Traditionally, these home based services have been referred to
as "home health." As more Americans have retired, home health services have
expanded and are readily accessible. This growth put tremendous stress on the
Medicare fund which pays for senior care services. However, "Home Care," which
traditionally has been viewed as non-medical home based services, has also become
a booming industry for the cost conscious in recent years as more Americans reach
retirement age. With the passing of the Affordable Care Act in 2010, providers
and payers are now finding themselves responsible for post-acute care and
continuous patient health, so cost efficient solutions for post-acute care are
thriving. For the first time in history, American hospitals and Insurers are
recognizing Home Care as an effective model that achieves the Triple Aim of
Health Care reform. Home Care, which is no longer completely non-medical
services, has proven to be an integral part of the care continuum for seniors in
recent years and is now becoming a viable solution for keeping patients well,
while still honoring their desire to age and heal at home. This paper analyzes
the benefits and risks of home care and provides a clear understanding as to why
American hospitals are emphasizing SNF Avoidance and skipping home health, opting
instead to refer patients directly to home care as the preferred discharge
solution in a value based model.
PMID- 27180474
TI - The Power to drive change: Working together for excellence. Creating a
continuously improving consumer engagement framework for excellence in patient
centered care.
AB - The World Health Organization has acknowledged Patient Safety while receiving
hospital care as a serious global public health issue, with patient empowerment
and community engagement key to continuously improving safety and quality of care
for the best possible clinical and patient outcomes. In Australia, the
introduction of ten mandatory National Safety and Quality Health Service
Standards in 2011 provided the catalyst for all Australian health facilities to
review their systems. Standard 2: Partnering with Consumers required health
facilities across Australia to assess commitment to, and capacity for consumer
and community engagement and participation. At this time, the Royal Brisbane and
Women's Hospital did not have a strategic perspective and understanding, or an
organizational structure for engaging with consumers (patients, families, care
givers and community members). The concept required a new model to replace the
clinician-led model of healthcare historically featured in Australia, with a
change in culture and core business. processes to partner with consumers at all
levels of the system, from individual patient care through to participating in
policy development, health service planning and delivery, and evaluation and
measurement processes. The challenge for the hospital was to build a sustainable
framework of engagement for a genuine patient-centered model of care informed by
best practice, and provide leadership and commitment to developing as an area of
excellence in patient engagement and experience. A successful and sustainable
framework for consumer and community engagement has been embedded in the
hospital, with resultant culture change, achieving accreditation across all core
and developmental criteria for the partnering with consumer standards including
several Met with Merit ratings.
PMID- 27180475
TI - Decreasing Interferences and Time Spent on Transferring Information on Changing
Nursing Shifts.
AB - The exchange of clinical information on patients is a common component in nursing
shift changes where professionals have limited time to transfer this information.
There is no standardized or structured methodology for transferring information,
which requires increased time to complete. Also, during the exchange, some
interruptions can disrupt the communication among professionals, which can affect
the patient's safety. A descriptive study was developed for five months, the
information transfer arrangement among nurses was changed in order to determine
which interruption increased the time spent on shift change and, therefore,
decreased the safety of pediatric patients. The results obtained on the type of
interruption caused us to rethink the organization that includes pediatric
patient care.
PMID- 27180476
TI - Improvement Initiatives of Resuscitation Service in a Regional Rehabilitation
Hospital in Hong Kong.
AB - Limited accessibility to resuscitation equipment and non-standardized instrument
layout in trolleys would cause difficulty for the team members to access
appropriate emergency equipment for delivering prompt resuscitation service in
Tung Wah Eastern Hospital (TWEH). Improvement initiatives were implemented in
September 2012 after endorsement by the resuscitation subcommittee: (i)
standardization and installation of resuscitation equipment including
resuscitation trolleys, emergency drug kits, automatic emergency defibrillators,
designated response team (DRT) kit; (ii) guidelines revision involves the
workflow, staff deployment, and designated areas for resuscitation during
different service hours and (iii) staff training by workshop and video. Periodic
resuscitation drill was held to monitor staff performance after training and the
debriefing provided a chance for discussion and feedback from frontline staff.
The compliance audit result for this exercise and the staff performance in the
drills were improved, showing that the initiatives were successful. KEY WORDS:
Resuscitation, Accessibility, Standardization, Drill.
PMID- 27180477
TI - Improving the Success of Strategic Management Using Big Data.
AB - Strategic management involves determining organizational goals, implementing a
strategic plan, and properly allocating resources. Poor access to pertinent and
timely data misidentifies clinical goals, prevents effective resource allocation,
and generates waste from inaccurate forecasting. Loss of operational efficiency
diminishes the value stream, adversely impacts the quality of patient care, and
hampers effective strategic management. We have pioneered an approach using big
data to create competitive advantage by identifying trends in clinical practice,
accurately anticipating future needs, and strategically allocating resources for
maximum impact.
PMID- 27180478
TI - [No longer an individual fate].
PMID- 27180479
TI - [The legal minimum wage and its effects. Consequences for the recruitment of
skilled personnel from third world countries].
PMID- 27180480
TI - [Not Available].
PMID- 27180481
TI - [Competition for low wages. Nursing minimum wage prevents the worst in nursing].
PMID- 27180482
TI - [Minimum wage.... exacerbates shortage of skilled nurse shortage, moonlighting
and unemployment].
PMID- 27180483
TI - [Prevention and treatment of dementia. It depends on nutrition].
PMID- 27180484
TI - [Cognitively impaired patients in the hospital. A challenge for personnel].
PMID- 27180485
TI - [The environment determines the behavior. Persons with dementia in the hospital].
PMID- 27180486
TI - [Pads and wraps for persons with dementia. "Not everything is cut and dried"].
PMID- 27180487
TI - []Those from the shelter and we. Living together in the residence district].
PMID- 27180488
TI - [Long-term geriatric nursing. Mobility concept in the Bremen Home Foundation].
PMID- 27180489
TI - [Research at the German Center for Neurodegenerative Diseases. Questions on the
management strategies for persons with dementia].
PMID- 27180490
TI - [Responsibilities of modern facility management in NRW. Complexity justifies
academic education].
PMID- 27180491
TI - [Not Available].
PMID- 27180492
TI - [Interview with the graduate engineer Andreas Kitzig. "Useful and sensible
features"].
PMID- 27180493
TI - [Assuring nursing competence in education. Contribution for improving persons
with dementia in clinics].
PMID- 27180494
TI - [Practicum during education. New occupational impulses].
PMID- 27180495
TI - [Demand and nursing provision of key supporting points in Baden-Wurttemberg].
PMID- 27180496
TI - Active and passive smoking linked to infertility and early menopause.
PMID- 27180497
TI - Controlling joint pain in older people.
AB - Jont pain in oldder people The prevalence of chronic pain in older people in the
community ranges from 25 to 76% and for those in residential care, it is even
higher at 83 to 93%. The most common sites affected are the back, hip, or knee,
and other joints. There is increased reporting of pain in women (79%) compared
with men (53%). Common conditions include osteoarthritis and, to a lesser extent,
the inflammatory arthropathies such as rheumatoid arthritis. The differential
diagnosis includes non-articular pain such as vascular limb pain and nocturnal
cramp, some neuropathic pain conditions (such as compressive neuropathies and
postherpetic neuralgia), soft tissue disorders such as fibromyalgia and
myofascial pain syndromes. In addition to an assessment of pain intensity, a
biopsychosocial model should be adopted to ascertain the effect of the pain on
the patient's degree of background pain at rest. The disease is often localised
to the large load-bearing joints, predominantly the hips and knees. In contrast
to osteoarthritis, the inflammatory arthritides typically present with
symmetrical swollen, stiff, and painful small joints of the hands and feet,
usually worse in the morning.
PMID- 27180498
TI - Anxiety in older adults often goes undiagnosed.
AB - Anxiety disorder in the elderly is twice as common as dementia and four to six
times more common than major depression. Anxiety is associated with poorer
quality of life, significant distress and contributes to the onset of disability.
Mortality risks are also increased, through physical causes, especially
cardiovascular disease, and suicide. Diagnosing anxiety disorders in older adults
remains a challenge because of the significant overlap in symptoms between
physical disorders (shortness of breath; abdominal and chest pain; palpitations)
and depression (disturbed sleep; poor attention, concentration and memory;
restlessness). Good history taking is crucial in elucidating whether the
complaint is of new onset or a recurrence of a previous disorder. The presence of
comorbid depression should be clarified. If present, its temporal relationship
with the anxiety symptoms will indicate whether there is an independent anxiety
disorder. A medication review is warranted, as a number of drugs may be causative
(calcium channel blockers, alpha- and beta-blockers, digoxin, L-thyroxine,
bronchodilators, steroids, theophylline, antihistamines) or may cause anxiety in
withdrawal (e.g. benzodiazepines). Substance and alcohol abuse should be
excluded, as withdrawal from either may cause anxiety. A new or exacerbated
physical illness may be related to anxiety. Medical investigations will help
clarify the extent to which a particular somatic symptom is the result of
anxiety.
PMID- 27180499
TI - Improving the detection and management of type 1 diabetes.
AB - Type 1 diabetes affects around 370,000 adults in the UK, about 10% of all those
diagnosed with diabetes. In type 1 diabetes there is a lack of beta cell insulin
secretion as a result of autoimmune destruction of the beta cells. However,
patients are not affected by insulin resistance, and so do not routinely
experience the features of metabolic syndrome that occur in type 2 diabetes. NICE
recommends considering further investigation with autoantibody testing or
measurement of C-peptide when: type 1 diabetes is suspected but the presentation
includes atypical features (e.g. age >=50, BMI >= 25 kg/m2, slow evolution of
hyperglycaemia or long prodrome); type 1 diabetes has been diagnosed and
treatment started but there is a clinical suspicion that the patient may have a
monogenic form of diabetes, and C-peptide and/or autoantibody testing may guide
the use of genetic testing; classification is uncertain, and confirming type 1
diabetes would have implications for therapy. Structured education is the
cornerstone of care providing tools to allow effective self-management. Following
a new diagnosis of type 1 diabetes structured education should be offered within
12 months. Newly diagnosed patients should be offered a regimen including a basal
(long-acting) insulin with bolus (rapid-acting) insulin given at mealtimes. The
optimal regimen, which should be offered from diagnosis, is a combination of
twice daily insulin detemir and a rapid-acting analogue given at mealtimes.
However, where glycaemic control is already optimised on an alternative insulin
regimen this should not be discontinued.
PMID- 27180500
TI - Skin conditions in children.
PMID- 27180501
TI - AETIOLOGY OF WAR NEUROSES.
PMID- 27180502
TI - Mobile phones have transformed GP care.
PMID- 27180503
TI - EEG and Coma.
AB - Coma is defined as a state of extreme unresponsiveness, in which a person
exhibits no voluntary movement or behavior even to painful stimuli. The
utilization of EEG for patients in coma has increased dramatically over the last
few years. In fact, many institutions have set protocols for continuous EEG
(cEEG) monitoring for patients in coma due to potential causes such as
subarachnoid hemorrhage or cardiac arrest. Consequently, EEG plays an important
role in diagnosis, managenent, and in some cases even prognosis of coma patients.
PMID- 27180504
TI - What Is the Frequency of Intraoperative Alerts During Pediatric Spinal Deformity
Surgery Using Current Neuromonitoring Methodology? A Retrospective Study of 218
Surgical Procedures.
AB - INTRODUCTION: There is variability in intraoperative neuromonitoring (IONM),
anesthetic and surgical techniques for the treatment of pediatric spinal
deformity. This study evaluates a series of patients treated at multiple centers
utilizing transcranial motor and somatosensory evoked potentials (TcMEP and SSEP)
and electromyography (EMG). The frequency of alerts and the intraoperative follow
up is reported. METHODS: Standard patient demographics and IONM data were
collected from a two-month cohort of pediatric spine deformity cases. RESULTS:
Data from 218 scoliosis patients were included from 46 facilities and 72
surgeons. Baseline upper and lower extremity TcMEP data were present in 96.7% and
93.9% patients respectively. Baseline upper and lower SSEPs were present in 99.5%
and 95.4% respectively Surgical TCMEP alerts occurred in 19 (8.7%) patients
during deformity correction (n = 11), placement of instrumentation (n = 5),
decompression (n = 2), and closing (n = 1) with concurrent SSEP alerts occurring
in five patients. Nine had TCMEP recovery, eight showed partial recovery and two
did not recover. Additional alerts occurred due to: positioning (n = 16),
inhalational agent change (n = 4), global physiological change (n = 4) and
technical reasons (n = 2). A total of 2164 pedicle screws were tested. Of 197
(9.1%) screws that tested from 6-9 mA, 171 (65.4%) were left unchanged, 10 (51%)
removed, eight (4.1%) repositioned with improved threshold, and eight (4.1%)
without improvement. Of 26 screws that tested <= 5 milliamperes (mA), 17 (65.4%)
were left unchanged, five (19.2%) removed, two (7.7%) repositioned with improved
threshold, and two (7.7%) without improvement. CONCLUSIONS: IONM provides data
that causes re-evaluation in about 10% of pediatric spinal deformity cases.
PMID- 27180505
TI - Rhythmic Midtemporal Discharge in a Youth During Light Sleep.
AB - Rhythmic midtemporal discharge (RMTD) is a rare, benign EEG pattern that may have
epileptic morphology. Recognizing variations of RMTD is important in order to
avoid over- or misinterpretation of EEG findings, which may lead to inappropriate
treatment and negative consequences for the patient in question. We present a
case report of RTMDs during light sleep where initial erroneous description
necessitated repeat EEGs and additional diagnostic exams and led to the
postponement of obtaining a drivers licence for this young patient.
PMID- 27180506
TI - The Significance of REM Sleep on Routine EEG.
AB - The objective of this study was to report on sleep-onset REM period (SOREMP)
during routine EEG and conditions associated with it at a comprehensive epilepsy
program. We retrospectively reviewed all outpatient and inpatient EEGs performed
at Tampa General Hospital, a comprehensive epilepsy center over a four-month
period. All EEGs were reviewed by experienced board-certified epileptologists.
When SOREMP was identified, the chart was reviewed to identify the most likely
etiology and the associated conditions that might be contributing. A total of 449
EEGs were reviewed between August 10, 2009, and December 9, 2009. Of those, 106
were outpatient EEGs and 343 were inpatient EEGs. There were 7 EEGs with SOREMP
identified, 6 from inpatient EEGs, and 1 from an outpatient EEG. Thus, SOREMP was
more common in the inpatinent setting than outpatient. There is an association of
SOREMP with sleep deprivation and drug withdrawal.
PMID- 27180507
TI - "You Won't Get Fooled Again": BSSS, SSS, or BETS: Another Benign Sharp Variant
That Could Be Mistaken as Epileptogenic.
PMID- 27180508
TI - [The effects of narcissism and self-esteem on immersion in social network games
and massively multiplayer online role-playing games].
AB - Recent research has shown growing interest in the process by which narcissism
triggers immersion in social network games (SNG). Highly narcissistic individuals
are motivated not only by the achievement of goals and monopoly of materials
(i:e., self-enhancement), but also by comparison and competition with others
(i.e., social comparison) We predicted that the common rules and environments of
SNG and massively multiplayer online role-playing games (MMORPG), such as systems
of exchanging items and ranking players, facilitate immersion of highly
narcissistic individuals during the game. Structural equation modeling of data
from 378 SNG players and 150 MMORPG players recruited online showed that self
esteem inhibited game immersion, whereas narcissism increased game immersion via
motivation for goal attainment. SNG players were more likely to be immersed in
the game via motivation for goal attainment than MMORPG players. These findings
suggest that, compared with MMORPG, the environments of SNG provide strong
incentives not for those high in self-esteem who seek acceptance of others, but
for those high in narcissism who are motivated by self-enhancement via
competition with others.
PMID- 27180509
TI - [The price-based certainty of purchase influences consumer behavior for
discount].
AB - Tversky & Kahneman (1981) reported that most participants decided to drive when
they could save money on a low-price good as compared to when they could save on
a high-price good, even though the discount prices were same. Although this
irrational decision making has been interpreted as a rate-dependent estimation of
value (prospect theory), this study newly proposes that it can be explained by
the certainty of purchase based on the price of goods. Experiment 1 replicated
the previously reported difference in decision making, and additionally
demonstrated that participants' certainty of purchase was lower for a high- than
a low-price good. When it was emphasized that participants' intention to purchase
high- and low-price goods were equally sure, decision making did not
significantly differ (Experiment 2). Furthermore, decision making differed based
only on the certainty of purchase even,when prices of goods were-same (Experiment
3). Consumers' decision making may be rather rational, depending
straightforwardly on the certainty of purchase that is susceptible to price.
PMID- 27180510
TI - [The effect of humor in the workplace on mental/physical health and self
evaluation of job performance].
AB - In this study we aimed to examine the contents of humor in the Japanese workplace
and to understand the effects of humor on mental/physical health and self
evaluation of job performance. Japanese workers (N = 436) responded to
questionnaires addressing workplace humor, feelings about workplace, workplace
communication, mental/physical health, and perceived job performance. An
exploratory factor analysis indicated that there are five types of workplace
humor: norm-violating humor, experience-sharing humor workplace-enjoying humor,
people-recalling humor, and outside-mocking humor. A covariance structural
analysis showed that norm-violating humor and workplace-enjoying humor decreased
mental and physical health by promoting both negative feelings in the workplace
and self-disclosure about the negative side of work. Results also revealed that
experience-sharing humor, people-recalling humor, and outside-mocking humor had a
positive effect on the self-evaluation of job performance as well as mental and
physical health, by promoting both positive feelings and mutual communication in
the workplace. Results suggest that humor in the workplace has various influences
on workers depending on the type of workplace humor.
PMID- 27180511
TI - [The effect of suggestibility on eyewitness identifications: A comparison between
showups and lineups].
AB - There are two types of eyewitness-identification procedures: showups and lineups.
Although the false-identification rate of showups was considered to be higher
than that of lineups, experimental research has not always supported the
superiority of lineups. Further, suggestibility of showups is believed to produce
higher false-identification rates, but no experimental study has manipulated
suggestibility. In this study, we manipulated suggestibility; 258 participants
performed photo identification in a showup or lineup. The results revealed that
the correct-identification rate was higher in the showups than the lineups, and
the rate of dangerous false identification for the innocent suspect did not
differ between showups and lineups. In lineups alone, the false-identification
rate of the high-suggestibility.condition was marginally higher than that of the
low-suggestibility condition. The results indicate that suggestibility, which
results from the preconception that the perpetrator must exist in the photos,
increases false identifications in relative judgments, such as in lineups.
PMID- 27180512
TI - [Mother's attributions regarding children's behavior and maltreatment].
AB - This study aimed to compare maltreating mothers and non-maltreating mothers on
attributions and affects related to child behaviors. It also assessed how
attributions predict affective and behavioral reactions to child behaviors. The
study population comprised of a community-based sample of mothers with children
aged 2 to 4 years (n = 238). Mothers' attributions and affect were assessed using
vignettes of child behavior. They also answered questions about their maltreating
parenting behaviors and demographic factors such as childcare environments.
Results highlighted that, as compared with non-maltreating mothers, maltreating
mothers made more intentional and stable attributions to negative child behavior,
and to report more anger and aversion. They also had a tendency to report less
happiness toward positive child behavior. Additionally, path analyses documented
a pattern of thinking-feeling-action linkages. It was revealed that attributions
regarding children's behavior influenced negative affect and that negative affect
in turn predicted maltreatment. Finally, the usefulness of a cognitive approach
to maltreating mothers, and the implications of the findings as a model for
intervention are discussed.
PMID- 27180513
TI - [Development of the Feelings toward Nature Scale and relationship between
feelings toward nature and proximity to nature].
AB - In the field of environmental psychology, there is rapidly growing interest in
the concept of connectivity with nature, describing an individual's sense of
being connected with nature. The author developed a new scale for assessing
feelings toward nature, including connectedness. Confirmatory factor analysis
indicated a five-factor model consisting of restorativeness, oneness, mystery,
care, and aversion. Then, the relationships among availability of nature in
respondents' neighborhood, age, and each subscale score of the Feelings toward
Nature Scale, were analyzed using structural equation modeling. The availability
of nature in neighborhoods was assessed using a geographic information system and
respondents' subjective evaluations. Results indicate that overall connectedness
to nature is weaker as availability of nature decreases, as assessed by
subjective evaluation. Results also suggest that aversion toward nature in
younger people is relatively stronger than in older generations.
PMID- 27180514
TI - [Effects of situational and individual variables on critical thinking
expression].
AB - The present study examined when people decide to choose an expression that is
based on critical thinking, and how situational and individual variables affect
such a decision process. Given a conversation scenario including
overgeneralization with two friends, participants decided whether to follow the
conversation by a critical-thinking expression or not. The authors controlled
purpose and topic as situational variables, and measured critical-thinking
ability, critical-thinking disposition, and self-monitoring as individual
variables. We conducted an experiment in which the situational variables were
counterbalanced in a within-subject design with 60 university students. The
results of logistic regression analysis showed differences within individuals in
the decision process whether to choose a critical-thinking expression, and that
some situational factors and some subscales of the individual measurements were
related to the differences.
PMID- 27180515
TI - [Development the Japanese of the Self-Compassionate Reactions Inventory].
AB - Self-compassion is defined as being compassionate towards the self in times of
suffering, and is composed of the following three components: self-kindness,
common humanity, and mindfulness. This article reports the development of the
Japanese version of the Self-Compassionate Reactions Inventory (SCRI-J). The SCRI
J measures self-compassion based on the degree to which people choose self
compassionate reactions to 8 hypothetical hardships. Study 1: (N = 179) showed
that the SCRI-J had sufficient internal consistency. In terms of its validity,
results showed a positive correlation between the SCRI-J and the Japanese version
of the Self-Compassion Scale, supporting its concurrent validity. In addition,
the SCRI-J was positively correlated with self-esteem and negatively correlated
with psychological stress responses. Moreover, the association between the SCRI-J
and stress responses remained significant when the effect of self-esteem was
removed. In Study 2 (N = 90), the SCRI-J demonstrated high test-retest
reliability over 3 weeks. Overall, the present study indicates that the SCRI-J
has sufficient reliability and validity as a new scale for self-compassion.
PMID- 27180516
TI - [Development of Japanese versions of the Daydream Frequency Scale and the Mind
Wandering Questionnaire].
AB - This study developed and examined the validity of Japanese versions of the
Daydream Frequency Scale (DDFS) and the Mind Wandering Questionnaire (MWQ), which
measures propensity for spontaneous thoughts and mind wandering, respectively. In
Study 1, we translated the items of the DDFS and the MWQ into Japanese and
verified their validity. In Study 2, we confirmed the correlation of both scales
with mind wandering, as measured by thought sampling during an attention
demanding task. These two studies revealed a dissociation between the properties
of the scales; while DDFS reflects propensity for spontaneous thoughts, MWQ
specifically reflects propensity for mind,wandering. We discuss the usefulness of
the DDFS and the MWQ for studying the psychological functions of spontaneous
thoughts and mind wandering.
PMID- 27180517
TI - [Do regional and generational differences in attitudes toward "Luck Resource
Belief" exist?].
AB - This article examines whether belief in superstitions and folklore differs by age
and degree of modernization specifically. This study investigated regional and
generational differences in attitudes toward "Luck Resource Belief," a notion
regarding luck. The 500 Japanese participants in our sample were stratified by
place of residence, age, and income. The results reflected gender differences,
but not regional or generational differences with regard to the "Luck Resource
Belief" scale scores. Based on these results, the hypothesis that the mass media
plays a major role in the dissemination of information about superstitions and
folklore is discussed in this context.
PMID- 27180518
TI - [The hierarchical semantic structure of respect-related emotions in modern
Japanese people].
AB - This study examined the reproducibility of the hierarchical semantic structure of
respect-related emotions and the prototypical meaning of sonkei (respect) in
modern Japanese people. Participants, ages 20-79, rated the semantic similarity
of 153 pairs of 18 respect-related words used in previously published work.
Hierarchical cluster analysis (n = 515) showed almost the same semantic
organization as the previous study. The highest level of abstraction consisted of
"person-focus respect, emotional attitude" and "action-focus respect, emotional
state." The basic-level consisted of (a) respect mingled with mild love; (b)
ought-respect (respect as moral duty); (c) idolatry (worship and adoration); (d)
awe mingled with fear; (e) admiration; and (f) wonder. The word sonkei was
included in category (a). Additional analyses were conducted according to age.
The results revealed that the basic categories seen in adults ages 60-79 differed
from those in the whole sample and that sonkei was included in the category which
could be considered as, ought-respect. These findings suggest that the semantic
organization of respect-related emotions is gradually changing under the
influence of modern culture.
PMID- 27180519
TI - Social Work 2.0: Upgrading Terminology in the Profession.
PMID- 27180520
TI - Curriculum ad Psychoeducational Groups: Opportunities and Challenges.
AB - Curriculum and psychoeducational groups have become increasingly popular because
of their strong evidence base and presumed ease of implementation. The curriculum
provides a valuable guide to social workers leading groups as they decide on
topics for discussion. Typically, the literature emphasizes the content--the
material being presented--over the process: the ways in which members interact
with one another and with the leader. The authors of this article contend that
when the social worker adheres too rigidly to the content, members' ability to
make meaning of and internalize the information being presented is compromised.
They advocate for an approach to group facilitation that emphasizes flexible
presentation of curriculum topics. The benefits of group participation are
maximized when collaborative learning, which involves members' interactions with
one another and the leader, is encouraged. The article includes a summary of the
theoretical foundation and evidence base of psychoeducational and curriculum
groups and presents strategies to promote collaborative learning using case
examples.
PMID- 27180521
TI - Professional Resistance in Social Work: Counterpractice Assemblages.
AB - The goal of this article is to deepen understanding of the concept of
professional resistance. Studies show that social workers in various parts of the
world are increasingly confronted with regulations, programs, and policies that
challenge their ability to carry out their professional mission in an ethical
manner. Social workers may also find themselves under the pressure of periodic
retrenchment resulting from budgetary constraints and subjected to worsening
working conditions and threats of wage or social benefit reduction. Therefore, it
is not surprising that social workers are sometimes required to engage in actions
to oppose these negative realities or, in other words, to practice professional
resistance. However, despite its growing relevance, the term "professional
resistance" remains both theoretically obscure and marginal to social work
practice. This article traces the presence of the concept in social work history,
examines divergent uses of the concept in social work literature, introduces
theoretical perspectives that may help practitioners enlarge their professional
repertoire, provides concrete cases of resistance in different contexts, and
finally proposes some paths to professional resistance.
PMID- 27180522
TI - Latino Critical Perspective in Social Work.
AB - Although a Latino critical perspective (LatCrit) is consistent with social work's
professional mission and values, it is largely absent from its literature. With a
focus on the Latino population in the United States, LatCrit elucidates an
oppressive structure of social inequality and discrimination and promotes
systemic change through self-advocacy. Thus, LatCrit supports the call for the
revival of mezzo- and macro-level practice in social work. This article discusses
the utility of LatCrit for social work practice through a discussion of its
origins, main tenets, and primary aims. A critique of the theoretical perspective
is also offered; its insights for social work practice, philosophical
assumptions, and challenges for use in the field are highlighted. Social workers
are offered an analysis of LatCrit enabling them to apply the theoretical
perspective discretionarily rather than universally to meet diverse challenges
and client needs. Specific ways in which social workers can facilitate the
LatCrit praxis are discussed, such as community organizing and grassroots
advocacy campaigns.
PMID- 27180523
TI - "The Pain of Exile": What Social Workers Need to Know about Burmese Refugees.
AB - Refugees from Burma have comprised the largest group of refugees resettling in
the United States over the past decade, with nearly 90,000 people, and 19 percent
of the total refugee population. However, very little literature exists that
describes the cultural context and displacement experiences of this population.
This article addresses that gap in the literature by examining historical,
social, political, and cultural dimensions relevant to social work practice with
Burmese refugees. Practice with Burmese refugees should be informed by knowledge
of refugee policy, refugee resettlement, and social services delivery systems;
the Burmese historical and political context; the community's specific strengths,
needs, and cultural diversity; and human rights and social justice issues. Strong
community partnerships between social workers and indigenous community leaders,
between resettlement agencies and ethnic community-based organizations, and
between different Burmese refugee groups are important to meeting short- and long
term social services needs and fostering successful adaptation and community
integration.
PMID- 27180524
TI - Impact of Head Start's Entry Age and Enrollment Duration on Children's Health.
AB - This secondary data analysis examined the association of Head Start children's
entry age and enrollment duration on children's dental, vision, and hearing
health based on data from 60 local Head Start classrooms. Children were divided
into three groups: One group of children entered Head Start at age three and
maintained enrollment for one year (Group 1). The second group of children
entered Head Start at age four and stayed one year (Group 2). The third group of
children entered at age three and stayed in Head Start for two years (Group 3).
Compared with Group 3, Group 1 had fewer dental problems and Group 2 had less
identified hearing problems. Children's gender, ethnicity, and program
characteristics and family risk factors were associated with health problems.
PMID- 27180525
TI - Homeless Youths' Caretakers: The Mediating Role of Childhood Abuse on Street
Victimization and Housing Instability.
AB - Homeless youths who live on the streets are particularly vulnerable to
victimization and continued homelessness. Identifying factors associated with
housing stability and victimization while homeless can offer useful guidance for
those who serve these youths. The current study examined the relationship between
multiple caretakers and the unique effect of childhood abuse (physical, sexual,
neglect) on past-year housing and victimization experiences. Seventy-nine
substance-using, street-living youths ages 14 to 24 years completed the survey.
Findings confirmed a relationship between multiple caretaker transitions and
childhood sexual abuse and neglect, but not physical abuse. Sexual abuse was
further associated with higher street victimization and reduced housing
stability. In addition, sexual abuse mediated the relationship between multiple
caretakers and past-year victimization and housing instability. These findings
suggest that sexually abused homeless youths are at particular risk for future
victimization and housing instability compared with other youths, and specialized
intervention for these youths is indicated.
PMID- 27180526
TI - Statutory Compensation for the Wrongly Imprisoned.
AB - This article provides an overview of the unique challenges faced by men and women
who have been wrongly convicted, imprisoned, and subsequently exonerated, and
discusses the relevance of social work to exoneration. The ways in which
exonerees can seek compensation are described, and state compensation statutes
are examined, delineating monetary and reentry support provisions. Currently, 30
states and the District of Columbia have compensation statutes. Monetary and
reentry support provisions vary greatly by state, with few providing both. The
wrongly imprisoned experience the same effects of incarceration as other
prisoners; their psychological trauma, however, is exacerbated by the fact that
they are innocent. Furthermore, upon release, exonerees have fewer reentry
supports available to them compared with prisoners released on parole. This
article supports the position that the state has a responsibility to provide
adequate compensation, monetarily and servicewise, to the wrongly imprisoned, and
that compensation by statutory means should be standard in every state. This
article also highlights how social workers are uniquely qualified to provide
immediate and long-term social and mental health services to exonerees, as well
as to advocate for comprehensive exoneree compensation through state statutes.
PMID- 27180527
TI - Engaging Local Government through Neighborhoods and Communities.
PMID- 27180529
TI - Confronting the Challenges in Using Social Network Sites for Cyber Youth Work.
PMID- 27180528
TI - Writing Clearly for Clients: What Social Workers Should Know.
PMID- 27180530
TI - In the Line of Duty: Racism in Health Care.
PMID- 27180531
TI - Social Work and Pregnancy Discrimination.
PMID- 27180532
TI - Social Work's Role in Ending the Criminalization of Homelessness: Opportunities
for Action.
PMID- 27180533
TI - Catching a Speeding Train: Social Work's Disconnect with a Medical Revolution.
PMID- 27180534
TI - The 2016 Retirement Confidence Survey: Worker Confidence Stable, Retiree
Confidence Continues to Increase.
PMID- 27180558
TI - Editorial.
PMID- 27180559
TI - Long-term follow-up of pediatric moyamoya disease treated by combined direct
indirect revascularization surgery: single institute experience with surgical and
perioperative management.
AB - Moyamoya disease (MMD) is a rare occlusive cerebrovascular disease that mainly
presents in children as cerebral ischemia. Prompt treatment with either a direct
or indirect revascularization procedure is necessary for children with MMD in
order to prevent repeated ischemic events. We herein present our experience with
combined direct and indirect bypass surgery for the treatment of pediatric MMD as
well as our uniquely designed perioperative protocol. Twenty-three patients with
MMD, aged between 2 and 16 years old (mean 9.36), underwent 38 combined bypass
procedures between 2008 and 2015. All patients underwent single superficial
temporal artery-middle cerebral artery (STA-MCA) anastomosis combined with
encephalo-duro-myo-synangiosis (EDMS). The perioperative management protocol was
stratified into two unique eras: the first era with normotensive care and the
second era with strict blood pressure control (systolic 100-130 mmHg) and routine
aspirin administration. Patients were followed after surgery for a period ranging
between 3 and 131 months (mean 77 months) in yearly clinical and radiological
follow-ups. Three postoperative complications were observed: two cases of
cerebral hyperperfusion (2/38, 5.3 %) and one case of perioperative minor stroke
(1/38, 2.6 %), two of which were in the first era. No strokes, either ischemic or
hemorrhagic, were observed in the follow-up period, and the activity of daily
living as shown by the modified Rankin Scale improved in 20 patients, with no
deterioration being observed in any of our patients. STA-MCA bypass with EDMS is
safe and effective for the management of pediatric MMD and provides long-term
favorable outcomes. Perioperative care with blood pressure control combined with
the administration of aspirin may reduce the potential risk of surgical
complications.
PMID- 27180561
TI - [Clinical and etiological profile malignant hypertension in children in pediatric
intensive care].
AB - INTRODUCTION: Malignant hypertension (HTA), pediatrics, is unique by its clinical
presentation, defined as severe hypertension accompanied by ischemic failure of
one or more organs. METHODS-PATIENTS: Retroprospective study of cases of children
admitted to pediatric intensive care. We chose a decline of 10 years from
September 1994 to December 2004 for the first time, and from January 2005 to
December 2015 for the second period; and we identified the cases presenting
malignant hypertension (mHTA). RESULTS: Sixty-six patients were included, a
prevalence of 0.6%. The age of patients ranged from 12months to 16years. The
symptoms are related to the consequences of hypertension or condition in
question. The most found signs are headache in more than 7%. Cerebrovascular
event in 6%. A hypertensive convulsive encephalopathy 33.3% of patients. Renal
disease is common, of varying severity. A fundus retinopathy was found in 47%
stage 3, stage 4 in 51%. mHTA defined for the mean SBP values of 175mmHg and DBP
average 112,5mmHg is often secondary to renal causes. The treatment is
symptomatic with antihypertensive associated with the etiological treatment.
Evolution is good out of 7 deaths. CONCLUSION: mHTA is a rare condition in the
pediatric population. The clinical signs of functional rich under their impact on
vital organs. The support must be early in intensive care.
PMID- 27180560
TI - The role of brachytherapy in the treatment of glioblastoma multiforme.
AB - Brachytherapy (BT) for glioblastoma multiforme (GBM) involves the use of
radioactive isotopes to deliver ionizing radiation directly into the tumor bed.
Its application as a means to prolong survival in GBM patients over the past few
decades has come with variable success. The objective of this review is to
describe the utility of BT in GBM, and to report the outcomes and adverse events
associated with its use in different multimodal treatment approaches. A search of
the literature was conducted using the PubMed database. The most recent search
was performed in September 2015. Thirty-two series involving 1571 patients were
included in our review. The longest median overall survival (MOS) following BT
for newly diagnosed GBM reached 28.5 months. Overall, 1-, 2-, and 3-year survival
rates were 46-89 %, 20-57 %, and 14-27 %. For recurrent GBM, the longest reported
MOS after BT was 15.9 months. One-, 2- and 3-year survival rates for recurrent
GBM were 10-66 %, 3-23 %, and 9-15 %. Adverse events were reported in 27 % of
patients. Reoperation for radiation necrosis occurred in 4 and 27 % of patients
following low- and high-dose rate BT, respectively. BT is a feasible option for
extending survival in carefully selected GBM patients. As patient outcomes and
overall survival improve with more aggressive radiotherapy, so does the risk of
radiation-related complications. The most effective use of BT is likely as a part
of multimodal treatment with other novel therapies.
PMID- 27180562
TI - [Effects of an obesogenic diet enriched in sardine by-products on pro-atherogenic
markers in Wistar rats].
AB - AIM OF THE STUDY: The effects of an obesogenic diet enriched in sardine by
products (Sardina pilchardus) on blood pressure, glycemic control and some
cardiovascular risk factors, in particular pro-atherogenic were studied on an
experimental model. MATERIALS AND METHODS: Male rats (n=12) weighing 200+/-10g
were subjected to an adjustment phase of 10 days in an obesogenic diet containing
30% sheep fat. After this period, the rats were divided into two homogeneous
groups. The first group (HF-SBp) consumes the obesogenic diet supplemented with
30% of by-products flour (F-SBp), and the second (HF) continuous to consume the
obesogenic diet and serve as control. RESULTS: At day 30, in HF-SBp versus HF
group: a reduction was noted in diastolic (-11%) and systolic (-10%) pressure,
glycemia (-19%), glycated hemoglobin percent (-43%), serum total lipids
concentration (-22%), triacylglycerols (-37%), total cholesterol (-29%) and
unesterified cholesterol (-30%), cholesteryl esters (-30%), cholesterol of very
low density lipoprotein (-37%) and low density (-37%) was noted. Inversely, high
density lipoprotein cholesterol and LCAT activity were enhanced by 19% and 77%,
respectively. However, the atherogenicity index and membrane fluidity were
reduced (P<0.05). CONCLUSION: Sardine by-products probably by their bioactive
compounds properties seem to have antihypertensive, antidiabetic, hypolipidemic
and antiatherogenic properties that could prevent and/or attenuate some metabolic
disorders associated with obesity.
PMID- 27180563
TI - [Cohort of renal infarction during 2years at Grenoble teaching hospital].
AB - BACKGROUND: Renal infarctions are rare events, clinical symptoms are various and
diagnosis may be difficult, leading to diagnosis delay with kidney dysfunction
risk. METHODS: During 24 months (March 2013-February 2015), all patients admitted
in nephrology, cardiology, or internal medicine for renal infarction were
recorded. Cardiovascular risk, clinic-biologic and radiologic data were recorded.
A prospective follow-up at 6 months was offered for each patient. RESULTS: Eleven
patients were admitted from emergency unit and 1 from general practitioner.
Clinic symptoms are various: abdominal pain, headache, hypertension, and stroke.
Diagnosis was not initially evocated, and was given by CT scan with 3 days median
delay. Etiologies were composed of 5 dissections, 4 embolisms (atrial
fibrillation), 1 cannabinoid arteritis, 1 thrombosis on atheroma, 1 thrombosis on
postradiotherapy stenosis. Initial treatment was anticoagulation alone for 7
patients, with antiplatelet agent for 1 patient, anticoagulation followed by
antiplatelet agent for 2 patients, and antiplatelet agent alone for 2 patients.
We observed LDH elevation (4 cases on 5 available data) at admission;
inflammatory syndrome, hypokalemia, and hypertension at 48-72h of symptoms. At
6months follow-up, one patient had altered glomerular filtration rate, and one
patient had recidivism. CONCLUSION: Delay of diagnosis is a real problem for
renal infarction, and need to be evocated every flank pain. LDH elevation may
help clinician to suggest renal infarction and lead to CT scan. Association of
delayed inflammatory syndrome, hypertension and hypokalemia after flank pain
strongly suggest renal infarction.
PMID- 27180564
TI - [P3S: Secured fitness trail set up in Guadeloupe, a tool to fight against
physical inactivity: A device that has proved itself].
AB - OBJECTIVE: The objective is to introduce an original device already set up in
Guadeloupe, the P3S: it is a secured fitness trail; it aims to raise awareness of
the harmful effects of physical inactivity and to fight against physical
inactivity. MATERIAL AND METHODS: The P3S device was financed by the Regional
Council of Guadeloupe and includes: (1) a first space to perform a warm-up and
gymnastics; (2) the route to the market; (3) 8 physical activity workshops.
Coaching by educators sportsmen and teachers of activity physics adapted is
proposed, provided by the ARS of Guadeloupe. Coordination is organized by the
network of care, the HTA-GWAD network - Gip.Raspeg. RESULTS: A first evaluation
of the device was conducted following the establishment on 10 routes drivers. The
very encouraging results of these P3S led to extend the device to all communes of
Guadeloupe who have at least a P3S. DISCUSSION: The proximity of these P3S, their
free, and coaching provided by exercise teachers trained in sport health explains
the success of these devices. CONCLUSION: The P3S are currently well known by the
Guadeloupean population that well integrates this device. The supervision of
educators is a source of motivation and makes P3S, a place where physical
activities in group are practiced free of charge and in a friendly state of mind.
This device might be known from other regions that could also set them up.
PMID- 27180566
TI - [The use of echocardiography left ventricular filling pressures in hypertensive
patients with heart failure and preserved systolic function in a North Africa
unit day].
AB - OBJECTIVE: To assess the left ventricular (LV) filling pressures by Doppler
Tissulaire Imaging (DTI) in the management of hypertensive patients with heart
failure and preserved systolic function (HF-PEF) in our outpatient clinic. To
involve the patient on the basis of their clinical, biological and
echocardiography data, in identifying the risk factors that need change. PATIENTS
AND METHODS: From 2004 to 2014, heart failure with preserved systolic function
(HF-PEF) has been diagnosed in 200 consecutive hypertensive patients (pts) at our
Algerian outpatient unit. Data were collected on blood pressure at the time of
the examination, body mass index (BMI), waist, comorbid conditions including
dyslipidemia, diabetes and atrial fibrillation (AF). LV ejection fraction>50 % is
taken as the including criteria with acquisition as described in the Chamber
Quantification update. Left ventricular global systolic function by biplane disk
summation Simpson method and diastolic function is assessed by the mitral inflow
measurements regarding recommendations for the evaluation of left ventricular
diastolic function by echocardiography from the European Association of
Cardiovascular Imaging (EACVI) and the American Society of Echocardiography
(ASE). RESULTS: Mean age was 65+/-11 yrs; 66 % were female; waist circumference
in men>102cm and in women>88cm was found in 82 % of the pts and 50 % were
diabetics. Body weight (BMI)>30kg/m(2) is depicted in 88 (44 %). Dyslipidemia is
depicted in 78 pts (39 %). Ischemic heart disease was diagnosed in 64 pts (32 %);
history of thromboembolic event in 78 pts (39 %); valvular disease in 40 pts (20
%). ECG showed AF in 60 pts (30 %), complete left bundle branch block (LBBB) in
20 pts (10 %). The echographic findings were as follow: left ventricular
hypertrophy (LVH) in 126 pts (63 %) and left atrial (LA) enlargement in 111 pts
(55 %). Mean left ventricular ejection fraction (LVEF) is about 55+/-10 %.
Delayed relaxation (Em/Am<1) and deceleration time>150ms (DT) in 80 pts (40 %),
of them, 56 had increased filling pressures (Em/Ea>8 and Ap>Am); pseudo-normal
patterns (1=2) and short DT<100ms in 40 pts (20 %). CONCLUSIONS: The hypertensive pts
referred for an echo examination are often diabetics and frequently demonstrate
preserved left ventricular function with increased filling pressures. This is
useful for managing their treatments in the context of a cardiac rehabilitation
programme.
PMID- 27180565
TI - [Medication reconciliation at hospital discharge focusing on patient with
hypertension: Analysis of the output prescription].
AB - PURPOSE: To describe pharmacists' interventions made at patients hospital
discharge from Department of Hypertension. METHODS: This is a single-center and
prospective study over an 8-week period. At hospital discharge, the pharmacist
compared pre-admission and inpatient medications with discharge orders and
written instructions. Pharmacists' interventions were then classified in 4
categories. All variances and discrepancies were discussed with the prescribing
physician when possible. RESULTS: Over the 8-week period, 154 cases were
analyzed. Pharmacists' interventions at discharge underwent 48 times on 21% of
the patients (n=33) but none was clinically relevant. Among these 48 cases, 40%
(n=19) were rated as "inappropriate administration", 27% (n=13) were classified
as "incomplete prescription", 19% (n=9) were "variances" and 4% (n=2) were due to
"omission prescription". In 10% of the cases (n=5), discrepancies appeared
without any possible further analysis as no discussion with the prescriber
occurred. CONCLUSION: One fifth of all patients analyzed was the subject of a
pharmacists' intervention. The complementary action of the pharmacist improves
the consistency of the prescriptions and strengthens patient safety.
PMID- 27180568
TI - [Effect of N-3 polyunsaturated fatty acids on the modulation of T lymphocytes in
vitro and redox status in obese women with hypertension].
AB - OBJECTIVES: Deepen our knowledge of the immune system alterations associated with
obesity-related hypertension and demonstrate that polyunsaturated fatty acids can
enhance the proliferation and their profile oxidant/antioxidant and subsequently
involved in the strategy prevention and treatment in obese hypertensives.
METHODS: T cells are isolated from the blood of the control and obese women with
hypertension the University Hospital of Tlemcen (Algeria), these cells are
incubated in the presence of a synthetic mixture of PUFA to 30MUM (DHA/EPA/LA)
and stimulated by mitogens for 48hours. The cells are counted and used to assess
intracellular oxidative status. The biochemical parameters are determined by the
use of plasma. RESULTS: In obese women with hypertension, a significant increase
in plasma levels of (glucose, uric acid, creatinine, urea, total cholesterol and
triglycerides) compared to controls. In addition, decreased cell proliferation,
basal or stimulated by Con A was observed in obese women with hypertension
compared with controls. The mixture of PUFA to 30MUM reduced lymphoproliferation
as well in obese women with hypertension than in controls. The rates in
malondialdehyde (MDA) and protein carbonyl lymphocytes are elevated in
hypertensive obese women. PUFA supplementation to 30MUM seems correct this redox
status in hypertensive obese since rates in protein carbonyl, are similar to
those of controls. CONCLUSION: The mixture of PUFA (n-3 and n-6) can modulate the
activity of T lymphocyte proliferation and correct the intracellular redox status
in hypertensive obese women.
PMID- 27180567
TI - [Prevalence of true resistant hypertension among uncontrolled hypertensive
patients referred to a tertiary health care center].
AB - OBJECTIVES: Estimate the prevalence of resistant hypertension (rHTN) in
uncontrolled hypertensive treated patients referred to a tertiary care center
specialized for hypertension management. METHODS: The study was prospective
observational. Between January 2013 and April 2015, we recruited hypertensive
treated patients, 18years age or older, under antihypertensive drugs since at
least 12months, and referred to the hypertension unit of Tizi-ouzou university
hospital for uncontrolled hypertension. rHTn was defined as an office blood
pressure>=140mmHg despite a triple therapy including renin angiotensin system
blockers, calcium antagonists and diuretics at optimal doses, since at least
4weeks. RESULTS: We screened 2367 patients with a mean age of 61.1+/-11.2years
and 64.2% of men. Eight hundred forty-three (35.6%) patients had suboptimal
treatment, 364 (15.4%) a poor adherence to treatment and 202 (8.5%) a white-coat
effect. An excessive salt intake and a drug-related hypertension were identified
in 281 (11.9%) and 36 (1.5%) patients, respectively. A secondary cause of
hypertension was diagnosed in 468 (19.8%) subjects. Finally, only 173 patients
showed a true rHTN requiring four drugs or more and its prevalence was 7.3% (CI
95%: 6.3-8.3). CONCLUSION: Less than one patient from ten referred in our center
for uncontrolled hypertension had a true rHTN, and more than fifty percent of
patients had pseudo-resistance. Most of patients with seemingly rHTN can reach
blood pressure target provided they undergo thorough work up and care by a
specialized team.
PMID- 27180569
TI - Inflammatory Responses in a Benign Prostatic Hyperplasia Epithelial Cell Line
(BPH-1) Infected with Trichomonas vaginalis.
AB - Trichomonas vaginalis causes the most prevalent sexually transmitted infection
worldwide. Trichomonads have been detected in prostatic tissues from prostatitis,
benign prostatic hyperplasia (BPH), and prostate cancer. Chronic prostatic
inflammation is known as a risk factor for prostate enlargement, benign prostatic
hyperplasia symptoms, and acute urinary retention. Our aim was to investigate
whether T. vaginalis could induce inflammatory responses in cells of a benign
prostatic hyperplasia epithelial cell line (BPH-1). When BPH-1 cells were
infected with T. vaginalis, the protein and mRNA of inflammatory cytokines, such
as CXCL8, CCL2, IL-1beta, and IL-6, were increased. The activities of TLR4, ROS,
MAPK, JAK2/STAT3, and NF-kappaB were also increased, whereas inhibitors of ROS,
MAPK, PI3K, NF-kappaB, and anti-TLR4 antibody decreased the production of the 4
cytokines although the extent of inhibition differed. However, a JAK2 inhibitor
inhibited only IL-6 production. Culture supernatants of the BPH-1 cells that had
been incubated with live T. vaginalis (trichomonad-conditioned medium, TCM)
contained the 4 cytokines and induced the migration of human monocytes (THP-1
cells) and mast cells (HMC-1 cells). TCM conditioned by BPH-1 cells pretreated
with NF-kappaB inhibitor showed decreased levels of cytokines and induced less
migration. Therefore, it is suggested that these cytokines are involved in
migration of inflammatory cells. These results suggest that T. vaginalis
infection of BPH patients may cause inflammation, which may induce lower urinary
tract symptoms (LUTS).
PMID- 27180570
TI - Identification of Protein Arginine Methyltransferase 5 as a Regulator for
Encystation of Acanthamoeba.
AB - Encystation is an essential process for Acanthamoeba survival under nutrient
limiting conditions and exposure to drugs. The expression of several genes has
been observed to increase or decrease during encystation. Epigenetic processes
involved in regulation of gene expression have been shown to play a role in
several pathogenic parasites. In the present study, we identified the protein
arginine methyltransferase 5 (PRMT5), a known epigenetic regulator, in
Acanthamoeba castellanii. PRMT5 of A. castellanii (AcPRMT5) contained domains
found in S-adenosylmethionine-dependent methyltransferases and in PRMT5 arginine
N-methyltransferase. Expression levels of AcPRMT5 were increased during
encystation of A. castellanii. The EGFP-PRMT5 fusion protein was mainly localized
in the nucleus of trophozoites. A. castellanii transfected with siRNA designed
against AcPRMT5 failed to form mature cysts. The findings of this study lead to a
better understanding of epigenetic mechanisms behind the regulation of
encystation in cyst-forming pathogenic protozoa.
PMID- 27180571
TI - Sterculic Acid and Its Analogues Are Potent Inhibitors of Toxoplasma gondii.
AB - Toxoplasmosis is a serious disease caused by Toxoplasma gondii, one of the most
widespread parasites in the world. Lipid metabolism is important in the
intracellular stage of T. gondii. Stearoyl-CoA desaturase (SCD), a key enzyme for
the synthesis of unsaturated fatty acid is predicted to exist in T. gondii.
Sterculic acid has been shown to specifically inhibit SCD activity. Here, we
examined whether sterculic acid and its methyl ester analogues exhibit anti-T.
gondii effects in vitro. T. gondii-infected Vero cells were disintegrated at 36
hr because of the propagation and egress of intracellular tachyzoites. All test
compounds inhibited tachyzoite propagation and egress, reducing the number of
ruptured Vero cells by the parasites. Sterculic acid and the methyl esters also
inhibited replication of intracellular tachyzoites in HFF cells. Among the test
compounds, sterculic acid showed the most potent activity against T. gondii, with
an EC50 value of 36.2 MUM, compared with EC50 values of 248-428 MUM for the
methyl esters. Our study demonstrated that sterculic acid and its analogues are
effective in inhibition of T. gondii growth in vitro, suggesting that these
compounds or analogues targeting SCD could be effective agents for the treatment
of toxoplasmosis.
PMID- 27180572
TI - Exosomes Secreted by Toxoplasma gondii-Infected L6 Cells: Their Effects on Host
Cell Proliferation and Cell Cycle Changes.
AB - Toxoplasma gondii infection induces alteration of the host cell cycle and cell
proliferation. These changes are not only seen in directly invaded host cells but
also in neighboring cells. We tried to identify whether this alteration can be
mediated by exosomes secreted by T. gondii-infected host cells. L6 cells, a rat
myoblast cell line, and RH strain of T. gondii were selected for this study. L6
cells were infected with or without T. gondii to isolate exosomes. The cellular
growth patterns were identified by cell counting with trypan blue under confocal
microscopy, and cell cycle changes were investigated by flow cytometry. L6 cells
infected with T. gondii showed decreased proliferation compared to uninfected L6
cells and revealed a tendency to stay at S or G2/M cell phase. The treatment of
exosomes isolated from T. gondii-infected cells showed attenuation of cell
proliferation and slight enhancement of S phase in L6 cells. The cell cycle
alteration was not as obvious as reduction of the cell proliferation by the
exosome treatment. These changes were transient and disappeared at 48 hr after
the exosome treatment. Microarray analysis and web-based tools indicated that
various exosomal miRNAs were crucial for the regulation of target genes related
to cell proliferation. Collectively, our study demonstrated that the exosomes
originating from T. gondii could change the host cell proliferation and alter the
host cell cycle.
PMID- 27180573
TI - In Vitro and in Vivo Effects of Nitrofurantoin on Experimental Toxoplasmosis.
AB - Toxoplasma gondii is an important opportunistic pathogen that causes
toxoplasmosis, which has very few therapeutic treatment options. The most
effective therapy is a combination of pyrimethamine and sulfadiazine; however,
their utility is limited because of drug toxicity and serious side effects. For
these reasons, new drugs with lower toxicity are urgently needed. In this study,
the compound, (Z)-1-[(5-nitrofuran-2-yl)methyleneamino]-imidazolidine-2,4-dione
(nitrofurantoin), showed anti-T. gondii effects in vitro and in vivo. In HeLa
cells, the selectivity of nitrofurantoin was 2.3, which was greater than that of
pyrimethamine (0.9). In T. gondii-infected female ICR mice, the inhibition rate
of T. gondii growth in the peritoneal cavity was 44.7% compared to the negative
control group after 4-day treatment with 100 mg/kg of nitrofurantoin. In
addition, hematology indicators showed that T. gondii infection-induced serum
alanine aminotransferase (ALT) and aspartate aminotransferase (AST) levels,
biochemical parameters involved in liver injury, were reduced by nitrofurantoin
significantly. Moreover, nitrofurantoin exerted significant effects on the index
of antioxidant status, i.e., malondialdehyde (MDA) and glutathione (GSH). The
nitrofurantoin-treated group inhibited the T. gondii-induced MDA levels while
alleviating the decrease in GSH levels. Thus, nitrofurantoin is a potential anti
T. gondii candidate for clinical application.
PMID- 27180574
TI - Activation and Recruitment of Regulatory T Cells via Chemokine Receptor
Activation in Trichinella spiralis-Infected Mice.
AB - As most infections by the helminth parasite elicit the recruitment of
CD4(+)CD25(+)Foxp3(+) T (Treg) cells, many scientists have suggested that these
cells could be used for the treatment of immune-mediated inflammation and
associated diseases. In order to investigate the distribution and alteration of
activated Treg cells, we compared the expression levels of Treg cell activation
markers in the ileum and gastrocnemius tissues 1, 2, and 4 weeks after infection.
The number of Treg cells was monitored using GFP-coded Foxp3 transgenic mice. In
mice at 1 week after Trichinella spiralis infection, the number of activated Treg
cells was higher than in the control group. In mice at 2 weeks after infection,
there was a significant increase in the number of cells expressing Foxp3 and CTLA
4 when compared to the control group and mice at 1 week after infection. At 4
weeks after infection, T. spiralis was easily identifiable in nurse cells in
mouse muscles. In the intestine, the expression of Gzmb and Klrg1 decreased over
time and that of Capg remained unchanged for the first and second week, then
decreased in the 4th week. However, in the muscles, the expression of most
chemokine genes was increased due to T. spiralis infection, in particular the
expression levels of Gzmb, OX40, and CTLA-4 increased until week 4. In addition,
increased gene expression of all chemokine receptors in muscle, CXCR3, CCR4,
CCR5, CCR9, and CCR10, was observed up until the 4th week. In conclusion, various
chemokine receptors showed increased expressions combined with recruitment of
Treg cells in the muscle tissue.
PMID- 27180575
TI - Complete Mitochondrial Genome of Echinostoma hortense (Digenea:
Echinostomatidae).
AB - Echinostoma hortense (Digenea: Echinostomatidae) is one of the intestinal flukes
with medical importance in humans. However, the mitochondrial (mt) genome of this
fluke has not been known yet. The present study has determined the complete mt
genome sequences of E. hortense and assessed the phylogenetic relationships with
other digenean species for which the complete mt genome sequences are available
in GenBank using concatenated amino acid sequences inferred from 12 protein
coding genes. The mt genome of E. hortense contained 12 protein-coding genes, 22
transfer RNA genes, 2 ribosomal RNA genes, and 1 non-coding region. The length of
the mt genome of E. hortense was 14,994 bp, which was somewhat smaller than those
of other trematode species. Phylogenetic analyses based on concatenated
nucleotide sequence datasets for all 12 protein-coding genes using maximum
parsimony (MP) method showed that E. hortense and Hypoderaeum conoideum gathered
together, and they were closer to each other than to Fasciolidae and other
echinostomatid trematodes. The availability of the complete mt genome sequences
of E. hortense provides important genetic markers for diagnostics, population
genetics, and evolutionary studies of digeneans.
PMID- 27180576
TI - Genetic Identification of Spirometra decipiens Plerocercoids in Terrestrial
Snakes from Korea and China.
AB - Human sparganosis is a zoonotic disease caused by infection with larval forms
(procercoid/plerocercoid) of Spirometra spp. The purpose of this study was to
identify Spirometra spp. of infected snakes using a multiplex PCR assay and
phylogenetic analysis of mitochondrial DNA sequence data from the spargana of
terrestrial snakes obtained from Korea and China. A total of 283 snakes were
obtained that included 4 species of Colubridae comprising Rhabdophis tigrinus
tigrinus (n=150), Dinodon rufozonatum rufozonatum (n=64), Elaphe davidi (n=2),
and Elaphe schrenkii (n=7), and 1 species of Viperidae, Agkistrodon saxatilis
(n=60). The snakes were collected from the provinces of Chungbuk, Chungnam, and
Gyeongbuk in Korea (n=161), and from China (n=122). The overall infection rate
with spargana was 83% (235/283). The highest was recorded for D. rufozonatum
rufozonatum (100%), followed by A. saxatilis (85%) and R. tigrinus tigrinus
(80%), with a negative result for E. davidi (0%) and E. schrenkii (0%). The
sequence identities between the spargana from snakes (n=50) and Spirometra
erinaceieuropaei (KJ599680) or S. decipiens (KJ599679) control specimens were
90.8% and 99.2%, respectively. Pairwise genetic distances between spargana (n=50)
and S. decipiens ranged from 0.0080 to 0.0107, while those between spargana and
S. erinaceieuropaei ranged from 0.1070 to 0.1096. In this study, all of the 904
spargana analyzed were identified as S. decipiens either by a multiplex PCR assay
(n=854) or mitochondrial cox1 sequence analysis (n=50).
PMID- 27180577
TI - Prevalence of Trichomoniasis by PCR in Women Attending Health Screening in Korea.
AB - Trichomoniasis is the most common curable sexually-transmitted infection (STI)
worldwide. There are few reports on the prevalence of Trichomonas vaginalis in
Korea. The purpose of this study was to examine the prevalence of trichomoniasis
by PCR in Guri city, Korea. All adult women who visited Hanyang University Guri
Hospital for health screening within the National Health Care Service were
invited to participate in the study, and 424 women were enrolled between March
and June 2011. PCR was used to detect Trichomonas vaginalis using primers based
on a repetitive sequence cloned from T. vaginalis (TV-E650). Fourteen women
(3.3%) were found to have T. vaginalis. All were over 50, and they were
significantly older on average than the 410 Trichomonas-negative women (mean ages
63.4 vs 55.3 years). It seems that T. vaginalis infection is not rare in women
receiving health screening, especially among those over 50.
PMID- 27180578
TI - Optimization of Trichomonas vaginalis Diagnosis during Pregnancy at a University
Hospital, Argentina.
AB - The aim of this study was to evaluate different methods for Trichomonas vaginalis
diagnosis during pregnancy in order to prevent maternal and perinatal
complications. A total of 386 vaginal exudates from pregnant women were analyzed.
T. vaginalis was investigated by 3 types of microscopic examinations direct wet
mount with physiologic saline solution, prolonged May-Grunwald Giemsa (MGG)
staining, and wet mount with sodium-acetate-formalin (SAF)/methylene blue method.
PCR for 18S rRNA gene as well as culture in liquid medium were performed. The
sensitivity and specificity of the microscopic examinations were evaluated
considering the culture media positivity or the PCR techniques as gold standard.
The frequency of T. vaginalis infection was 6.2% by culture and/or PCR, 5.2% by
PCR, 4.7% by culture, 3.1% by SAF/methylene blue method and 2.8% by direct wet
smear and prolonged MGG staining. The sensitivities were 83.3%, 75.0%, 50.0%, and
45.8% for PCR, culture, SAF/methylene blue method, and direct wet smear-prolonged
MGG staining, respectively. The specificity was 100% for all the assessed
methods. Microscopic examinations showed low sensitivity, mainly in asymptomatic
pregnant patients. It is necessary to improve the detection of T. vaginalis using
combined methods providing higher sensitivity, such as culture and PCR, mainly in
asymptomatic pregnant patients, in order to prevent maternal and perinatal
complications.
PMID- 27180579
TI - Identification of Cryptosporidium from Dairy Cattle in Pahang, Malaysia.
AB - Cryptosporidium, a protozoan parasite, can cause cryptosporidiosis which is a
gastrointestinal disease that can infect humans and livestock. Cattle are the
most common livestock that can be infected with this protozoan. This study was
carried out to determine the prevalence of Cryptosporidium infection in cattle in
Kuantan, Pahang, Malaysia and to find out the association between the occurrence
of infection and 3 different ages of cattle (calves less than 1 year, yearling,
and adult cattle). The samples were processed by using formol-ether concentration
technique and stained by modified Ziehl Neelsen. The results showed that 15.9%
(24/151) of cattle were positive for Cryptosporidium oocysts. The occurrence of
Cryptosporidium in calves less than 1 year was the highest with the percentage of
20.0% (11/55) followed by yearling and adult cattle, with the percentage
occurrence of 15.6 % (7/45) and 11.8% (6/51), respectively. There was no
significant association between the occurrence and age of cattle and presence of
diarrhea. Good management practices and proper hygiene management must be taken
in order to reduce the infection. It is highly important to control the infection
since infected cattle may serve as potential reservoirs of the infection to other
animals and humans, especially animal handlers.
PMID- 27180581
TI - Three Nematode Species Recovered from Terrestrial Snakes in Republic of Korea.
AB - The majority of parasitological studies of terrestrial snakes in Korea have
focused on zoonotic parasites. However, in the present study, we describe 3
unrecorded nematode species recovered from 5 species of snakes (n=6) in Korea.
The examined snakes, all confiscated from illegal hunters, were donated by the
Chungnam Wild Animal Rescue Center and Korean Broadcasting System in July 2014
and February 2015. Light and scanning electron microscopies on the shapes of
spicules that are either bent or straight (kalicephalids) and the presence of the
intestinal cecum (ophidascarids) figured out 3 nematodes; Kalicephalus
brachycephalus Maplestone, 1931, Kalicephalus sinensis Hsu, 1934, and
Ophidascaris excavata Hsu and Hoeppli, 1934. These 3 species of nematode faunas
are recorded for the first time in Korea.
PMID- 27180580
TI - High Toxoplasma gondii Seropositivity among Brain Tumor Patients in Korea.
AB - Toxoplasma gondii is an intracellular protozoan that can modulate the environment
of the infected host. An unfavorable environment modulated by T. gondii in the
brain includes tumor microenvironment. Literature has suggested that T. gondii
infection is associated with development of brain tumors. However, in Korea,
epidemiological data regarding this correlation have been scarce. In this study,
in order to investigate the relationship between T. gondii infection and brain
tumor development, we investigated the seroprevalence of T. gondii among 93
confirmed brain tumor patients (various histological types, including meningioma
and astrocytoma) in Korea using ELISA. The results revealed that T. gondii
seropositivity among brain tumor patients (18.3%) was significantly (P<0.05)
higher compared with that of healthy controls (8.6%). The seropositivity of brain
tumor patients showed a significant age-tendency, i.e., higher in younger age
group, compared with age-matched healthy controls (P<0.05). In conclusion, this
study supports the close relationship between T. gondii infection and incidence
of brain tumors.
PMID- 27180582
TI - Prevalence of Clonorchis sinensis Infection among Residents along 5 Major Rivers
in the Republic of Korea.
AB - Clonorchis sinensis is currently the most important parasite affecting public
health problems in the Republic of Korea. We investigated the prevalence of C.
sinensis infection among residents living along 5 major rivers in Korea. A total
of 42,562 individual stool samples were collected from 37 localities and examined
using the formalin-ether sedimentation technique. Helminth eggs were detected in
4,052 (9.5%) residents and 3,586 (8.4%) were infected with C. sinensis. The egg
positive rate of C. sinensis in Nakdong, Seomjin, Geum, Yeongsan, and Han River
was 11.7%, 9.9%, 6.5%, 3.1%, and 1.0%, respectively. The overall prevalence of
clonorchiasis by sex was 11.2% in males and 6.2% in females. The age-prevalence
was the highest in the 50-59 years band. It has been reconfirmed that the
endemicity of clonorchiasis is higher in southern areas of Korea, especially
along Nakdong and Seomjin Rivers. A combination of continuous control programs
with health education initiatives is urgently required in these highly endemic
areas of clonorchiasis in Korea.
PMID- 27180583
TI - Plerocercoids of Nybelinia surmenicola (Cestoda: Tentacularidae) in Squids,
Todarodes pacificus, from East Sea, the Republic of Korea.
AB - A visceral helminth of the squid, Todarodes pacificus, is reported from the East
Sea, the Republic of Korea. Total 39 squid samples were purchased from a fish
market in Jumunjin-eup, Gangneung-si (City) from August 2014 to July 2015 and
were examined for helminth parasites with naked eyes and under a stereomicroscope
after opening the abdominal cavity with a pair of scissors. Whitish larval worms
were mainly found in the stomach and abdominal cavity of the squid. They were
detected in 25 (64.1%) out of 39 squids examined, and the infection density was 7
larvae per infected squid. Spatula-shaped larvae were 8.2*2.0 mm in average size,
round to slightly flattened anteriorly, with round hatching posteriorly, and had
characteristic 4 tentacles with numerous hooklets in the scolex. The larvae were
identified as the plerocercoid stage of Nybelinia surmenicola by their
morphological features. This finding represents a new host record and the first
report of N. surmenicola infection in T. pacificus squids from the east coast of
Korea.
PMID- 27180585
TI - Ornithodoros sawaii (Ixodida: Argasidae) Larvae Collected from Hydrobates
monorhis on Sogugul and Gaerin Islands, Jeollanam-do (Province), Republic of
Korea.
AB - The 65th Medical Brigade and Public Health Command District-Korea, in
collaboration with the Migratory Bird Research Center, National Park Research
Institute, conducted migratory bird tick surveillance at Sogugul and Gaerin
Islands (small rocky bird nesting sites), Jeollanam-do (Province), Republic of
Korea (ROK), on 30 July and 1 August 2009. Breeding seabirds captured by hands in
their nesting burrows were banded, identified to species, and carefully examined
for ticks during the nesting season. A total of 9 Ornithodoros sawaii larvae were
removed from 4 adult Hydrobates monorhis (Swinhoe's storm petrel). The
identification of the larvae of O. sawaii collected from migratory seabirds were
molecularly confirmed using mitochondrial 16S rDNA primer sets.
PMID- 27180584
TI - A Rapid and Convenient Method for in Vivo Fluorescent Imaging of Protoscolices of
Echinococcus multilocularis.
AB - Human and animal alveolar echinococcosis (AE) are important helminth infections
endemic in wide areas of the Northern hemisphere. Monitoring Echinococcus
multilocularis viability and spread using real-time fluorescent imaging in vivo
provides a fast method to evaluate the load of parasite. Here, we generated a
kind of fluorescent protoscolices in vivo imaging model and utilized this model
to assess the activity against E. multilocularis protoscolices of metformin
(Met). Results indicated that JC-1 tagged E. multilocularis can be reliably and
confidently used to monitor protoscolices in vitro and in vivo. The availability
of this transient in vivo fluorescent imaging of E. multilocularis protoscolices
constitutes an important step toward the long term bio-imaging research of the AE
infected mouse models. In addition, this will be of great interest for further
research on infection strategies and development of drugs and vaccines against E.
multilocularis and other cestodes.
PMID- 27180586
TI - Western Blot Detection of Human Anti-Chikungunya Virus Antibody with Recombinant
Envelope 2 Protein.
AB - Chikungunya virus (CHIKV), a tropical pathogen, has re-emerged and has massive
outbreaks abruptly all over the world. Containing many dominant epitopes, the
envelope E2 protein of CHIKV has been explored for the vaccination or diagnosis.
In the present study, the antigenicity of a recombinant expressed intrinsically
disorder domain (IUD) of E2 was tested for the detection of the antibody against
CHIKV through western blot method. The gene of the IUD of E2 was inserted into 2
different vectors and expressed as recombinant GST-E2 and recombinant MBP-E2
fusion protein, respectively. Two kinds of fusion proteins were tested with 30
CHIKV patient sera and 30 normal sera, respectively. Both proteins were detected
by 25 patients sera (83.3%) and 1 normal serum (3.3%). This test showed a
relatively high sensitivity and very high specificity of the recombinant E2
proteins to be used as diagnostic antigens against CHIKV infection.
PMID- 27180587
TI - Standardized grossing protocol is useful for the pathology reporting of malignant
neoplasms other than adenocarcinomas treated with pancreaticoduodenectomy.
AB - BACKGROUND: There is no universally accepted protocol for gross examination of
pancreaticoduodenectomy specimens. Standardized protocol (SP), known as Leeds
Pathology Protocol, was previously validated in pancreatic adenocarcinoma. In
this study we aimed to assess usefulness of SP in a series of specimens with
pancreatic, ampullary, and duodenal malignant neoplasms other than
adenocarcinomas. MATERIALS AND METHODS: SP was based on multi-colour inking and
serial slicing of the specimens in a plane perpendicular to the duodenal axis. SP
was used in a prospective cohort of 35 neoplasms of neuroendocrine, acinar, and
solid-pseudopapillary lineage (SP cohort). Surgical margin status, primary tumour
stage, and lymph node yield in SP group were compared with corresponding data of
a historical cohort of 19 cases examined using non-standardized protocol (NSP).
Samples examined in NSP and SP cohorts were comparable in terms of basic clinical
characteristics, median tumour diameter, and distribution of histopathological
diagnostic categories. RESULTS: In SP cohort we noticed: (1) higher rate of
detection of tumour tissue at surgical margins, (2) more frequent peripancreatic
fat tissue invasion, (3) higher percentage of perineural invasion, (4) larger
number of lymph nodes retrieved from the specimen, in comparison to NSP group.
Application of SP was associated with significantly higher number of tissue
blocks taken for histology. CONCLUSIONS: SP can be successfully applied for
macroscopical examination of pancreaticoduodenectomy specimens with malignant
pancreatic, ampullary, and duodenal neoplasms other than adenocarcinomas. SP with
proper microscopical diagnosis enables an appropriate schedule of patients with
these neoplasms to adjuvant therapy and surveillance programmes.
PMID- 27180588
TI - [Chinese cough guidelines go further on the path of evidence-based medicine].
PMID- 27180589
TI - [Validation of the Chinese version of Hull airway reflux questionnaire and its
application in the evaluation of chronic cough].
AB - OBJECTIVE: To validate the effectiveness, repeatability and treatment
responsiveness of the Chinese version of Hull airway reflux questionnaire (HARQ),
and to determine its clinical value. METHODS: A standard Chinese version of HARQ
was developed by an established translation procedure and its repeatability was
assessed in a preliminary study involving 55 untreated patients with stable
chronic cough. Thereafter, a total of 132 patients with chronic cough referred to
our respiratory clinic were recruited into the study between May 2014 and April
2015. After their cough was evaluated with the HARQ, cough symptom score or cough
reflex sensitivity to inhaled capsaicin and the correlations among them were
analyzed, and the causes of chronic cough in all the patients were presumptively
determined according to an established diagnostic protocol and finally confirmed
with the subsequent therapy specific to the etiologies. After two weeks of
effective treatment, HARQ, cough symptom score and cough reflex sensitivity to
inhaled capsaicin were measured once more, and treatment responsiveness was
calculated. The score of the HARQ in 132 patients with chronic cough were
compared with that in 104 healthy volunteers. RESULTS: The repeatability of the
Chinese version of the HARQ was validated at a week interval with the intraclass
correlation coefficients of 0.96 (95%CI: 0.93-0.98, P=0.00) for total score
(n=55). No correlation was found between the HARQ and cough symptom score, and
the HARQ showed a weak negative correlation with cough threshold C2 (rIgC2=-0.23,
P=0.01) but not with C5. The value of HARQ was significantly higher in patients
with chronic cough than in healthy volunteers[20.00(14.00, 28.00) vs 4.00 (2.00,
6.00), Z=-12.89, P=0.00], but no difference of HARQ in gender or age existed in
chronic cough. Although all the patients with various etiologies of chronic cough
had an increased value of HARQ, cough due to gastroesophageal reflux showed the
highest HARQ score among them[28.50 (25.00, 32.25) vs 18.50 (14.00, 26.25),
Z=4.43, P=0.00]. After two weeks of effective treatment, the HARQ score decreased
from 20.00 (14.00, 28.00) pre-treatment to 10.00 (4.25, 17.75) post-treatment (Z=
6.06, P=0.00), with 52.04% of score change ratio, 1.38 of effect side and 1.97 of
standard response mean respectively. CONCLUSION: HARQ is a reliable and valid
tool for the management of chronic cough with good treatment responsiveness, and
may be used as an easy way to predict cough due to gastroesophageal reflux.
PMID- 27180590
TI - [The distribution characteristics of etiology of chronic cough in Lanzhou].
AB - OBJECTIVE: To explore the distribution characteristics of etiology and clinical
feature of chronic cough in Lanzhou. METHODS: Based on the guidelines of the
diagnostic process of chronic cough in China, data of medical history and
physical examinations were collected, and chest X-ray, pulmonary function plus
airway hyperresponsiveness, induced sputum eosinophils, sinus X-ray or CT, 24 h
esophageal pH monitoring, chest high-resolution CT and bronchoscopy were
performed accordingly for outpatients with chronic cough. The cause of chronic
cough was identified by the test results and treatment response. The results were
compared with those reported previously in other areas of China. RESULTS: A total
of 173 patients with completed data were collected, including 90 males and 83
females.The causes were as follows: 45 cases (26.01%) of cough variant asthma, 35
(20.23%) upper airway cough syndrome, 20 (11.56%) allergic cough, 17 (9.83%)
chronic pharyngitis, 14 (8.09%) gastroesophageal reflux, 14
(8.09%)postinfectious, 13 (7.51%) eosinophilic bronchitis, 8 (4.62%) chronic
bronchitis, 4 (2.31%) cough associated with ACEI, 3 (1.73%) bronchial
tuberculosis, 2 (1.16%) pulmonary fibrosis and bronchiectasis repectively. The
causes of the remaining 14 patients (8.09%)were unknown. The causes of chronic
cough were identified in 159 patients (91.91%), of which 141 (88.68%) with a
single cause and 18(11.32%)with more than 2 etiological factors.The percentage of
cough variant asthma in our series was significantly higher than that reported in
Guangzhou (13.6%, chi(2)=5.60, P=0.018, P<0.05), but lower than that reported in
Shenyang (39.4%, chi(2)=7.91, P=0, 004, P<0.01). The percentage of allergic cough
was higher than that reported in Beijing (3.3%, chi(2)=6.66, P=0.010, P<0.05),
and that of eosinophilic bronchitis was lower than those reported in
Guangzhou(22.4%, chi(2)=22.38, P=0.000, P<0.01) and Shenyang (12.5%, chi(2)=8.09,
P=0.005, P<0.01). The percentage of esophageal reflux cough was lower than that
reported in Beijing (20.3%, chi(2)=9.40, P=0.002, P<0.01) but higher than that
reported in Shenyang (1.9%, chi(2)=3.98, P=0.036, P<0.05). CONCLUSION: In
Lanzhou, cough variant asthma, upper airway cough syndrome, allergic cough,
chronic pharyngitis and gastroesophageal reflux were the main causes of chronic
cough, and the etiological distribution was different from Guangzhou, Beijing,
Shenyang and other areas.
PMID- 27180591
TI - [Incidence, bacterial spectrum and predictive risk factors for stent associated
respiratory tract infection].
AB - OBJECTIVE: To evaluate the incidence, bacterial spectrum and predictive risk
factors for stent associated respiratory tract infection (SARTI). METHODS: A
prospective nested case-control study was conducted to evaluate the incidence of
SARTI and the predictive risk factors for SARTI. Respiratory symptoms, sputum
bacterial culture, electronic bronchoscopy investigation, bacterial culture
obtained from protective bronchoscopic brushing, and chest radiographs were
evaluated before and after stent implantation. RESULTS: (1) Between January, 2011
and March, 2015, a total of 103 patients were included. The incidence of SARTI
was 27.2% (28/103). (2) The incidence of SARTI was similar in subgroups with
different stent types (metal stent or silicon stent) and different stent shapes
(straight, L or Y shape). (3)Staphylococcus aureus (50.0%, 14/28) and Pseudomonas
aeruginosa (35.7%, 10/28) were the dominant pathogens. The infection of
Staphylococcus aureus, Pseudomonas aeruginosa and Candida albicans occurred 7 (4
60) days, 53 (15-67) days and 63 (28-90) days after stent implantation,
respectively. (4) Binary logistical regression model analysis showed that female
gender(OR=0.178, P=0.011) and age below 50 years (OR=3.91, P=0.036) were
favorable predictive risk factors for SARTI. CONCLUSIONS: SARTI occurs in a
quarter of the patients. Staphylococcus aureus and Pseudomonas aeruginosa are the
dominant pathogens. Female gender and younger age are favorable predictive
factors for SARTI.
PMID- 27180592
TI - [Analysis on the polymorphisms of human B cell epitopes of 5 specificity antigens
in Mycobacterium tuberculosis in China].
AB - OBJECTIVE: To study the diversities of human B cell epitopes of five proteins
(Mpt83, Mpt70, LpqH, PstS3, GroES) in Mycobacterium tuberculosis isolates from
China. METHODS: We selected 179 strains isolated from patients with tuberculosis
in different regions of China, and cultured these strains by L-J medium. The gene
sequences of these 5 proteins were amplified and sequenced by PCR. The variations
(single nucleotide polymorphisms, SNP) of the DNA sequences were compared and
analyzed according to the immune epitope database (IEDB). The synonymous mutation
rate (dS), non-synonymous mutation rate (dN) and dN/dS values were calculated
with MEGA6 software. RESULTS: Among the 179 clinical MTBC isolates, 7 SNP
mutations were found in the mpt83gene. The dN/dS value of the whole mpt83 gene
was 0.88. One SNP mutation was found in the mpt70 gene. The dN/dS values of the
whole pstS3 gene and non-B epitopes areas were 1.74 and 1.40 respectively. But no
mutation was found in the groES gene. CONCLUSION: The mpt83, lpqH and pstS3 genes
of 179 MTBC strains contain gene SNPs and human B cell epitopes diversities,
while the coding gene and human B cell epitopes of protein mpt70 and groES were
conservative.
PMID- 27180593
TI - [The effect of phospholipid transfer protein on cigarette smoke extract induced
epithelial-mesenchymal transition of rat alveolar type II cells].
AB - OBJECTIVE: To investigate the effect of phospholipid transfer protein(PLTP) on
cigarette smoke extract(CSE) induced epithelial-mesenchymal transition(EMT) in
rat alveolar Type II cells (RLE-6TN). METHODS: CSE of different concentrations
(0%, 0.25%, 0.5% and 1%) was co-cultured for 2 or 3 days with RLE-6TN, either pre
treated or not pre-treated with siRNA-PLTP for 6 h. Expression levels of E
cadherin mRNA and Vimentin mRNA were examined by RT-PCR, while expression levels
of PLTP, E-cadherin, N-cadherin and Vimentin were examined by Western blot.
RESULTS: Our results showed that the expression of E-cadherin mRNA decreased in
CSE-treated groups: 1.01+/-0.05, 0.74+/-0.05, 0.65+/-0.03, 0.30+/-0.08
respectively at different concentrations of CSE (0 %, 0.25%, 0.5 %, and 1.0%);
while the level of Vimentin mRNA increased significantly in 1% CSE treated
cells(1.88+/-0.49), compared with control cells (1.01+/-0.20). Treatment with CSE
at different concentrations (0%, 0.25%, 0.5% and 1%) showed that the protein
levels of PLTP were 0.42+/-0.02, 0.89+/-0.25, 1.08+/-0.18, 1.61+/-0.06
respectively; those of E-cadherin were 1.61+/-0.04, 1.08+/-0.10, 0.62+/-0.08,
0.68+/-0.17, respectively; those of N-cadherin were 0.60+/-0.14, 0.57+/-0.26,
0.88+/-0.30, 1.94+/-0.54, respectively; and those of Vimentin were 0.61+/-0.05,
0.98+/-0.16, 1.07+/-0.14, 1.34+/-0.19, respectively; all P<0.05 when the 1% CSE
group was compared with the control group. EMT induced by CSE was significantly
inhibited by siRNA-PLTP. CONCLUSION: PLTP may be involved in CSE induced EMT of
rat alveolar cells.
PMID- 27180594
TI - [Management of refractory couth].
PMID- 27180595
TI - [Research progress of relationships between tissue factor and pulmonary
thrombeomblism].
PMID- 27180596
TI - [2015 annual review of interventional pulmonology].
PMID- 27180597
TI - [Application research and progress of the nanotechnology on tuberculosis].
PMID- 27180598
TI - [Clofazimine in treatment of multi-drug resistant tuberculosis].
PMID- 27180599
TI - The effects of home blood pressure monitoring on blood pressure control and
treatment planning.
AB - OBJECTIVES: Blood pressure monitoring is essential in hypertension, which is an
important public health issue. Our objective was to compare the rates of blood
pressure control and to investigate factors that affect blood pressure control in
patients with hypertension. METHODS: The records of 1006 patients with
hypertension were examined retrospectively. The blood pressure control rates of
the 394 patients who measured their blood pressure at home (group 1) and those
who did not (group 2) were compared. RESULTS: In group 1, the mean systolic and
diastolic blood pressure was 123.91+/-12.63/78.64+/-8.92 mmHg measured at home,
whereas it was 140.31+/-20.56/85.76+/-11.55 mmHg in the office setting
(p<0.0001). In the total group (N=1006), the blood pressure control achievement
rate was 56.1%. The number of cardiovascular events, hypertension duration, and
the rate of being employed was higher in group 1 (p<0.0001, p<0.0001 and
p=0.0001, respectively), while heart rate and grade 3-4 retinopathy was lower in
group 1 (p<0.0001 for both) . Occupational status, geographical origin, BMI and
the use of angiotensin converting enzyme (ACE) inhibitors were found to be the
determinants of office BP control (p<0.05, p<0.05, p=0.001 and p<0.05,
respectively), and BMI and grade 3-4 retinopathy findings were found to be the
determinants of home BP control (p <0.05 for both). CONCLUSION: Home blood
pressure monitoring is useful in preventing complications and achieving therapy
compliance and is essential in diagnosis and treatment planning of hypertension.
PMID- 27180601
TI - Self-inflicted burns: Are they preventable injuries?
PMID- 27180602
TI - A single-center, six-year evaluation of the role of pulsed-field gel
electrophoresis in suspected burn center outbreaks.
AB - INTRODUCTION: Pulsed-field gel electrophoresis (PFGE) has been used as an adjunct
to traditional hospital epidemiology in numerous outbreak investigations,
including in burn centers. However, its most effective real-world application
remains unclear, with few longitudinal descriptions of use. SETTING AND METHODS:
A 425 bed military tertiary hospital with a 40 bed burn center, from July 2007 to
July 2013; retrospective evaluation of hospital infection prevention records was
performed and results of PFGE where used in outbreak investigation. RESULTS:
Twenty-two inquiries for suspected outbreaks were performed. 418 isolates were
collected from 168 subjects during this time. 325 (78%) of the isolates
originated from the burn intensive care unit. 17 inquiries were for gram-negative
bacteria, comprised of 5 for Acinetobacter baumannii-calcoaceticus complex, 4
Klebsiella pneumoniae, 3 Stenotrophomonas maltophilia, 2 Pseudomonas aeruginosa,
and 1 of each of the following: Enterobacter cloacae, Raoultella planticola, and
Aeromonas hydrophila. The other 5 inquiries were specifically for Staphylococcus
aureus. The majority of investigations revealed a combination of clonal and non
clonal isolates, and in no instance did PFGE contribute to targeting of
interventions. CONCLUSION: PFGE contributed little to infection prevention
interventions, and outbreaks resolved with increased focus on basic practices.
Longitudinal studies including greater numbers of outbreaks in different settings
are needed to clarify the utility of molecular typing in routine investigations.
PMID- 27180603
TI - Assessment of antibodies against surface and outer membrane proteins of Anaplasma
phagocytophilum in Lyme borreliosis and tick-borne encephalitis paediatric
patients.
AB - To examine evidence of positive antibodies against immunogenic proteins of
Anaplasma phagocytophilum in patients with other tick-borne infections and to
diagnose possible co-infections, 412 serum specimens were tested by
immunoblotting using three specific Anaplasma antigens: surface proteins p44 and
Asp62 and outer membrane protein A (OmpA). In total, 284 serum samples from
children with Lyme borreliosis and 12 serum samples from children with tick-borne
encephalitis were tested. Sera from patients with viral aseptic meningitis (n =
47) and from blood donors (n = 69) were used as controls. Among all serum
specimens from patients with tick-borne infections submitted for this study, six
samples (2.0%) showed positive IgM reactions and seven samples (2.4%) were IgG
positive for A. phagocytophilum by immunoblot. Borderline reactivity was found in
30 samples (10.14%) for IgM and 36 samples (12.2%) for IgG. The difference
between patients and blood donors was statistically significant for IgM (P =
0.006) and for IgG (P = 0.0007) antibodies. A statistically significant result
was obtained for IgG (P = 0.02) but not for IgM between patients and children
with aseptic meningitis. Immunoblot using three specific antigens provides novel
information about the positivity of antibodies to A. phagocytophilum in children
with other tick-borne infections. Taking into account clinical and laboratory
findings of children despite antibody positivity, no case of human granulocytic
anaplasmosis was demonstrated.
PMID- 27180600
TI - An early granulocyte colony-stimulating factor treatment attenuates neuropathic
pain through activation of mu opioid receptors on the injured nerve.
AB - Several studies have shown that the mu opioid receptor (MOR) located in the
peripheral nerves can be activated after nerve injury and that it attenuates
peripheral nociceptive signals to the spinal dorsal horn. Various cytokines and
phosphorylated-p38 (p-p38) activation in the dorsal horn also play an important
role in neuropathic pain development. Granulocyte-colony stimulating factor
(GCSF) is a growth factor that can stimulate granulocyte formation and has been
shown to exert an analgesic effect on neuropathic pain through recruiting opioid
containing leukocytes to the injured nerve. However, the underlying mechanisms
are not well understood. Herein, the results of behavior tests in addition to MOR
levels in the injured sciatic nerve and the levels of p-p38 and various cytokines
in the spinal dorsal horn were studied in vehicle-treated or GCSF-treated chronic
constriction injured (CCI) rats at different time points (i.e., 1, 3, and 7 days,
respectively) after nerve injury. The results showed that a single early systemic
GCSF treatment after nerve injury can up-regulate MORs in the injured nerve,
which can decrease peripheral nociceptive signals. Thereafter, those changes
suppress the pro-inflammatory cytokine IL-6 but enhance the anti-inflammatory
cytokine IL-4, followed by decreases in p-p38 in the dorsal horn, and thus
further attenuate neuropathic pain.
PMID- 27180604
TI - Antiviral effect of vitamin A on norovirus infection via modulation of the gut
microbiome.
AB - The effect and underlying mechanism of vitamin A on norovirus infection are
largely unknown. This study aimed to investigate how vitamin A administration
affects the gut microbiome after norovirus infection. Here, we demonstrate that
treatment with either retinol or retinoic acid (RA) inhibits murine norovirus
(MNV) replication using both in vitro and in vivo models. Compositional changes
in the gut microbiome associated with RA administration and/or norovirus
infection were also investigated. Oral administration of RA and/or MNV
significantly altered intestinal microbiome profiles. Particularly, bacterial
species belonging to the Lactobacillaceae families were remarkably increased by
MNV inoculation and RA administration, suggesting that the antiviral effects of
RA occur via the modulation of specific microbiota. The antiviral causal effect
of Lactobacillus was identified and demonstrated using in vitro models in
RAW264.7 cells. The antiviral immune response to MNV was mediated by IFN-beta
upregulation. This study represents the first comprehensive profiling of gut
microbiota in response to RA treatment against norovirus infection. Moreover, we
conclude that the abundance of Lactobacillus through gut microbiota modulation by
RA is at least partially responsible for norovirus inhibition.
PMID- 27180605
TI - Validated UPLC-MS/MS Methods To Quantitate Free and Conjugated Alternaria Toxins
in Commercially Available Tomato Products and Fruit and Vegetable Juices in
Belgium.
AB - Ultraperformance liquid chromatography tandem mass spectrometry and Quick, Easy,
Cheap, Effective, Rugged, and Safe based analytical methodologies to quantitate
both free (alternariol (1), alternariol monomethyl ether (2), tenuazonic acid
(3), tentoxin (4), altenuene (5), altertoxin-I (6)) and conjugated (sulfates and
glucosides of 1 and 2) Alternaria toxins in fruit and vegetable juices and tomato
products were developed and validated. Acceptable limits of quantitation (0.7-5.7
MUg/kg), repeatability (RSDr < 15.7%), reproducibility (RSDR < 17.9%), and
apparent recovery (87.0-110.6%) were obtained for all analytes in all matrices
investigated. 129 commercial foodstuffs were analyzed, and 3 was detected in 100%
of tomato product samples (30%) and Eurotium (>20%) were predominant at 10-20 d
and 30 d, respectively. The relative abundances of Rhizopus, Eurotium and
Wallemia were higher than Aspergillus, because they were xerophilic and grew well
on substrates with low water activity (aw). During growth, they released
metabolic water, thereby favoring the growth of Aspergillus. Therefore, from 30
to 90 d, the relative abundance of Aspergillus increased while that of Rhizopus,
Eurotium and Wallemia decreased. Principal Coordinate Analysis (PCoA) revealed
that peanuts stored for 60-90 days and for 10-30 days clustered differently from
each other. Due to low aw values (0.34-0.72) and low levels of A. flavus, nine of
51 samples were contaminated with aflatoxins.
PMID- 27180616
TI - Development of a Japanese scale for assessment of paediatric normal weight.
PMID- 27180615
TI - Mechanistic relationship between the vagal afferent pathway, central nervous
system and peripheral organs in appetite regulation.
AB - The hypothalamus is a center of food intake and energy metabolism regulation.
Information signals from peripheral organs are mediated through the circulation
or the vagal afferent pathway and input into the hypothalamus, where signals are
integrated to determine various behaviors, such as eating. Numerous appetite
regulating peptides are expressed in the central nervous system and the
peripheral organs, and interact in a complex manner. Of such peptides, gut
peptides are known to bind to receptors at the vagal afferent pathway terminal
that extend into the mucosal layer of the digestive tract, modulate the
electrical activity of the vagus nerve, and subsequently send signals to the
solitary nucleus and furthermore to the hypothalamus. All peripheral peptides
other than ghrelin suppress appetite, and they synergistically suppress appetite
through the vagus nerve. In contrast, the appetite-enhancing peptide, ghrelin,
antagonizes the actions of appetite-suppressing peptides through the vagus nerve,
and appetite-suppressing peptides have attenuated effects in obesity as a result
of inflammation in the vagus nerve. With greater understanding of the mechanism
for food intake and energy metabolism regulation, medications that apply the
effects of appetite-regulating peptides or implantable devices that electrically
stimulate the vagus nerve are being investigated as novel treatments for obesity
in basic and clinical studies.
PMID- 27180617
TI - Facile Synthesis of N-Doped Carbon Dots as a New Matrix for Detection of Hydroxy
Polycyclic Aromatic Hydrocarbons by Negative-Ion Matrix-Assisted Laser
Desorption/Ionization Time-of-Flight Mass Spectrometry.
AB - N-doping carbon dots (N-CDs) were prepared by microwave-assisted pyrolysis of dl
malic acid and ethanolamine as precursors. The material served as an excellent
matrix for the detection of the environmental pollutants hydroxy-polycyclic
aromatic hydrocarbons (OH-PAHs) by matrix-assisted laser desorption/ionization
time-of-flight mass spectrometry (MALDI-TOF MS) in negative ion mode. The
obtained N-CDs exhibited good UV absorption capacity and favorable solubility.
The use of the N-CDs matrix exhibited low matrix background interference and was
beneficial to improve the signal response due to the specific pi-conjugated
polyaromatic structure and the doping of nitrogen atoms. The developed method was
found to have good reproducibility and sensitivity. The N-CDs as a new matrix
also were employed for the detection of OH-PAHs in real PM2.5 samples. The mass
concentrations of Sigma-hydroxy-pyrene, Sigma-dihydroxy-anthraquinone, and Sigma
dihydroxy-benzo(a)pyrene on the collected PM2.5 samples ranged from 0.125 to
0.136 ng/m(3), 0.039 to 0.052 ng/m(3), and 0.053 to 0.072 ng/m(3), respectively.
This work extends the application field of N-CDs and provides a good candidate of
matrix for MALDI-TOF MS detection of environmental pollutants.
PMID- 27180618
TI - Improving the understanding of schistosomiasis among adolescents in endemic areas
in Brazil: A comparison of educational methods.
AB - OBJECTIVE: To evaluate the effectiveness of two teaching strategies, both guided
by the concept of dialogicity, on adolescents' knowledge about schistosomiasis
and adherence to diagnostic fecal testing. METHODS: Two teaching strategies
related to schistosomiasis were developed, an educational video and group
conversation, which were tested in two groups of students aged 10-15 years old.
Before and after the intervention, a questionnaire was applied to assess
participants' knowledge about schistosomiasis and, after the intervention, two
fecal samples were requested from each participant. Comparisons were performed by
paired t- and McNemar tests. RESULTS: Both strategies resulted in statistically
significant improvements in knowledge between the pre- and post-tests. Students
who watched the video had a higher return rate of fecal samples and percentage of
correct questionnaire answers, mainly on questions about schistosomiasis
infection. CONCLUSION: Teaching strategies based on dialogue favored the
construction of concepts about schistosomiasis that can influence the adoption of
positives attitudes related to health. PRACTICAL IMPLICATIONS: Using teaching
strategies based on the concept of dialogicity can favor the increase of
knowledge of school age children about schistosomiasis and can influence
behavioral change related to health.
PMID- 27180619
TI - Spectral Camera based on Ghost Imaging via Sparsity Constraints.
AB - The image information acquisition ability of a conventional camera is usually
much lower than the Shannon Limit since it does not make use of the correlation
between pixels of image data. Applying a random phase modulator to code the
spectral images and combining with compressive sensing (CS) theory, a spectral
camera based on true thermal light ghost imaging via sparsity constraints (GISC
spectral camera) is proposed and demonstrated experimentally. GISC spectral
camera can acquire the information at a rate significantly below the Nyquist
rate, and the resolution of the cells in the three-dimensional (3D) spectral
images data-cube can be achieved with a two-dimensional (2D) detector in a single
exposure. For the first time, GISC spectral camera opens the way of approaching
the Shannon Limit determined by Information Theory in optical imaging
instruments.
PMID- 27180620
TI - Effect of left atrial appendage excision on procedure outcome in patients with
persistent atrial fibrillation undergoing surgical ablation.
AB - BACKGROUND: Catheter ablation is less successful for treatment of persistent
atrial fibrillation (PersAF) than for paroxysmal atrial fibrillation. Some
studies suggest that left atrial appendage (LAA) isolation in addition to
pulmonary vein isolation (PVI) is required to maximize the benefits for PersAF
after ablation. OBJECTIVE: The purpose of this study was to compare the efficacy
and safety of 2 surgical ablation approaches for PersAF via video-assisted
thoracoscopy: PVI + box lesion and PVI + box lesion + LAA excision. METHODS: We
randomly assigned 176 patients with PersAF to video-assisted thoracoscopic
surgical ablation with PVI + box lesion (88 patients) or PVI + box lesion + LAA
excision (88 patients). The primary endpoint was freedom from any documented
atrial arrhythmia lasting >30 seconds after a single ablation procedure without
antiarrhythmic drug (AAD). RESULTS: After 18 months of follow-up, 61 of 86
patients (70.9%) assigned to PVI + box lesion were free from recurrent atrial
fibrillation compared to 64 of 87 patients (73.6%) assigned to PVI + box lesion +
LAA excision after a single ablation procedure without AAD (P = .73). Freedom
from any atrial arrhythmia after a single procedure with or without AAD was also
nonsignificant (70.9% vs 74.7%, respectively). There were no significant
differences between groups with regard to adverse events, including death,
transient ischemic attack, stroke, pneumothorax, and hydrothorax. CONCLUSION:
Among patients with PersAF, no reduction in the rate of recurrent atrial
fibrillation was found when LAA excision was performed in addition to PVI and box
lesion during surgical ablation.
PMID- 27180621
TI - Substrate modification or ventricular tachycardia induction, mapping, and
ablation as the first step? A randomized study.
AB - BACKGROUND: The role and optimal sequence of ventricular tachycardia (VT)
induction, mapping, and ablation when combined with substrate modification is
unclear. OBJECTIVE: The purpose of this study was to test the benefits of
starting the scar-related VT ablation procedure with substrate modification vs
the standard protocol of VT induction, mapping, and ablation as the first step.
METHODS: Forty-eight consecutive patients with structural heart disease and
clinical VTs were randomized to simplified substrate ablation procedure with scar
dechanneling as the first step (group 1, n = 24) or standard procedure with VT
induction, mapping, and ablation followed by scar dechanneling (group 2, n = 24).
Procedure and fluoroscopy times, the need for external cardioversion, acute
results, and VT recurrence during follow-up were compared between groups.
RESULTS: Thirty-seven patients had ischemic cardiomyopathy, 10 nonischemic
cardiomyopathy, and 1 arrhythmogenic cardiomyopathy. Before substrate ablation,
32 VTs were induced and targeted for ablation in 23 patients of group 2.
Procedure time (209 +/- 70 minutes vs 262 +/- 63 minutes; P = .009), fluoroscopy
time (14 +/- 6 minutes vs 21+/- 9 minutes; P = .005), and electrical
cardioversion (25% vs 54%; P = .039) were lower in group 1. After substrate
ablation, 16 patients (66%) of group 1 and 12 patients (50%) of group 2 were
noninducible (P = .242). End-procedure success (after residual inducible VT
ablation) was achieved in 87.5% and 70.8% of patients, respectively (P = .155).
There were no differences in VT recurrence rate between groups during a mean
follow-up of 22 +/- 14 months (log rank, P = .557). CONCLUSION: VT induction and
mapping before substrate ablation prolongs the procedure, radiation exposure, and
the need for electrical cardioversion without improving acute results and long
term ablation outcomes.
PMID- 27180623
TI - Recent advances in pharmacological treatments of hyperkalemia: focus on
patiromer.
AB - INTRODUCTION: Hyperkalemia is a common electrolyte disorder, especially among
patients with chronic kidney disease (CKD), diabetes mellitus, or heart failure,
and is associated with a significantly increased risk for all-cause mortality.
Hyperkalemia remains a vexing and challenging problem for clinicians,
particularly in the management of patients with chronic kidney disease and
congestive heart failure. Several observational and retrospective studies have
reported a large gap between recommendations in guidelines and real-world
practice in the implementation of RAASi therapies. RAASi treatment regimens are
frequently down-titrated or discontinued following hyperkalemia events, with
consequent worse outcomes than patients who remain on maximum doses. AREAS
COVERED: This review covers the preclinical and clinical studies that led to the
approval of patiromer for the treatment of hyperkalemia. A literature search on
patiromer was carried out using the PubMed database up to December 2015. EXPERT
OPINION: Recently, patiromer was approved by the FDA as the first new potassium
binder for the treatment of hyperkalemia in over 50 years. Based on the results
of phase II and phase III studies, we conclude that patiromer is a well-tolerated
and predictable medication to consistently and safely reduce serum potassium
levels and to sustain normokalemia for periods up to 52 weeks in patients with
diverse underlying diseases including congestive heart failure, and chronic
kidney disease. Future research questions that should be evaluated are: the role
of patiromer in treating hyperkalemia and the potential to thereby allow the
optimal management of resistant hypertension and the use of high dose MRAs in
patients with acute decompensated heart failure. Additional research is also
warranted in the potential safety benefits of reducing potassium fluctuations in
patients on hemodialysis as a result of treatment of hyperkalemia with patiromer.
PMID- 27180622
TI - Potentiation of Glucose-stimulated Insulin Secretion by the GPR40-PLC-TRPC
Pathway in Pancreatic beta-Cells.
AB - G protein-coupled receptors (GPCRs) are expressed in pancreatic beta-cells. G
protein-coupled receptor 40 (GPR40) contributes to medium- or long-chain fatty
acid-induced amplification of glucose-stimulated insulin secretion (GSIS), and
GPR40 agonists are promising therapeutic targets in type 2 diabetes. Recently, we
demonstrated that glucagon-like peptide 1, a ligand of pancreatic GPCR, activates
a class of nonselective cation channels (NSCCs) and enhances GSIS. The aim of the
current study was to determine whether the GPR40 signal interacts with NSCCs. A
GPR40 agonist (fasiglifam) potentiated GSIS at 8.3 and 16.7 mM glucose but not
2.8 mM glucose. The NSCC current was activated by fasiglifam at 5.6 mM glucose
with 100 MUM tolbutamide (-70 mV), and this activation was prevented by the
presence of pyrazole-3 (transient receptor potential canonical; a TRPC3 channel
blocker). Inhibitors of phospholipase C or protein kinase C (PKC) inhibited the
increases in GSIS and the NSCC current induced by GPR40 stimulation. The present
study demonstrates a novel mechanism for the regulation of insulin secretion by
GPR40 agonist in pancreatic beta-cells. The stimulation of the GPR40-PLC/PKC
TRPC3 channel pathway potentiates GSIS by the depolarization of the plasma
membrane in pancreatic beta-cell.
PMID- 27180626
TI - Recognition-mediated cucurbit[7]uril-heptamolybdate hybrid material: a facile
supramolecular strategy for (99m)Tc separation.
AB - We report the construction of a novel non-covalently held cucurbit[7]uril
heptamolybdate hybrid material for the first time, and demonstrate its
application as a generator bed for the facile and efficient separation of the
(99m)Tc radiotracer, which is in demand for several theranostic applications.
PMID- 27180624
TI - A novel podocyte gene, semaphorin 3G, protects glomerular podocyte from
lipopolysaccharide-induced inflammation.
AB - Kidney diseases including diabetic nephropathy have become huge medical problems,
although its precise mechanisms are still far from understood. In order to
increase our knowledge about the patho-physiology of kidney, we have previously
identified >300 kidney glomerulus-enriched transcripts through large-scale
sequencing and microarray profiling of the mouse glomerular transcriptome. One of
the glomerulus-specific transcripts identified was semaphorin 3G (Sema3G) which
belongs to the semaphorin family. The aim of this study was to analyze both the
in vivo and in vitro functions of Sema3G in the kidney. Sema3G was expressed in
glomerular podocytes. Although Sema3G knockout mice did not show obvious
glomerular defects, ultrastructural analyses revealed partially aberrant podocyte
foot processes structures. When these mice were injected with lipopolysaccharide
to induce acute inflammation or streptozotocin to induce diabetes, the lack of
Sema3G resulted in increased albuminuria. The lack of Sema3G in podocytes also
enhanced the expression of inflammatory cytokines including chemokine ligand 2
and interleukin 6. On the other hand, the presence of Sema3G attenuated their
expression through the inhibition of lipopolysaccharide-induced Toll like
receptor 4 signaling. Taken together, our results surmise that the Sema3G protein
is secreted by podocytes and protects podocytes from inflammatory kidney diseases
and diabetic nephropathy.
PMID- 27180625
TI - Dietary and physical activity of adult patients with type 2 diabetes in Zhejiang
province of eastern China: Data from a cross-sectional study.
AB - AIMS/INTRODUCTION: Lifestyle management helps improve the clinical outcome of
patients with type 2 diabetes. The present study aimed to obtain lifestyle data
on the dietary and physical activity of adult type 2 diabetes patients in
Zhejiang province of eastern China for better patient education and improvement
of clinical management. MATERIALS AND METHODS: A cross-sectional survey of 607
adult type 2 diabetes patients was carried out in 12 hospitals within eight
cities of Zhejiang province. Data were collected for sex, age, basic physical
measurement (blood pressure, height, weight, waist circumference and hip
circumference), dietary favor and habit (vegetarian diets vs meat diets,
preference of salty or light flavors), detailed dietary intake (24-h recall
method), as well as the weekly physical activity. RESULTS: The mean age of the
patients in the analysis was 56.39 +/- 12.29 years, and 38.71% of the patients
had higher levels of body mass index than recommended. The average daily total
energy intake was 1887.71 +/- 575.10 kcal. The percentage of calories from
carbohydrate, protein and fat were 61.36 +/- 11.59%, 13.29 +/- 3.37% and 25.35 +/
11.16%, respectively. For physical activity, the patients generally spent most
of their daily time sitting and sleeping, and the time for moderate vigorous
activity was limited. CONCLUSIONS: Adult patients with type 2 diabetes in
Zhejiang province have a relatively high carbohydrate and low protein diet, with
very limited physical activity. Patient education to achieve a better lifestyle
intervention needs to improve in this region.
PMID- 27180627
TI - Planned vaginal delivery versus planned cesarean delivery in cases of low-lying
placenta.
AB - OBJECTIVE: To assess the applicability of trial of labor in cases of low-lying
placenta. METHODS: In this observational cohort study, we collected data from the
women with low-lying placenta delivered at our hospital between April 2012 and
December 2015. Low-lying placenta was diagnosed when the length from the
placental lowest edge to the internal cervical os (placenta-os distance) was 0-20
mm at 36 gestational weeks. Planned mode of delivery for each case was determined
by patient's preference. Maternal and neonatal outcomes were compared between the
planned vaginal delivery group (N = 11) and the planned cesarean delivery group
(N = 7). RESULTS: All the women in the planned cesarean delivery group underwent
scheduled cesarean section at 37-38 gestational weeks. Three cases in the planned
vaginal delivery group required emergency cesarean section for uncontrollable
antepartum bleeding. The intrapartum blood loss was significantly smaller in the
planned vaginal delivery group than in the planned cesarean delivery group (946
+/- 204 g vs. 1649 +/- 256 g, p = 0.047). Umbilical arterial blood pH was similar
between the two groups. All the women requiring emergency cesarean section were
accompanied by marginal sinus. CONCLUSIONS: Trial of labor can be offered to all
the women with low-lying placenta except for those accompanied by marginal sinus.
PMID- 27180630
TI - Diagnosis of Intracranial Artery Dissection.
AB - Cerebral arterial dissection is defined as a hematoma in the wall of a cervical
or an intracranial artery. Cerebral arterial dissection causes arterial stenosis,
occlusion, and aneurysm, resulting in acute infarction and hemorrhage. Image
analysis by such methods as conventional angiography, computed tomography,
magnetic resonance imaging, and so on plays an important role in diagnosing
cerebral arterial dissection. In this study, we explore the methods and findings
involved in the diagnosis of cerebral arterial dissection.
PMID- 27180631
TI - Approach to the Treatment of Methanol Intoxication.
AB - Methanol intoxication is an uncommon but serious poisoning. Its adverse effects
are due primarily to the impact of its major metabolite formic acid and lactic
acid resulting from cellular hypoxia. Symptoms including abdominal pain and loss
of vision can appear a few hours to a few days after exposure, reflecting the
time necessary for accumulation of the toxic byproducts. In addition to a history
of exposure, increases in serum osmolal and anion gaps can be clues to its
presence. However, increments in both parameters can be absent depending on the
nature of the toxic alcohol, time of exposure, and coingestion of ethanol.
Definitive diagnosis requires measurement with gas or liquid chromatography,
which are laborious and expensive procedures. Tests under study to detect
methanol or its metabolite formate might facilitate the diagnosis of this
poisoning. Treatment can include administration of ethanol or fomepizole, both
inhibitors of the enzyme alcohol dehydrogenase to prevent formation of its
metabolites, and hemodialysis to remove methanol and formate. In this Acid-Base
and Electrolyte Teaching Case, a patient with methanol intoxication due to
ingestion of model airplane fuel is described, and the value and limitations of
current and new diagnostic and treatment measures are discussed.
PMID- 27180633
TI - Spectral watermarking in femtosecond stimulated Raman spectroscopy: resolving the
nature of the carotenoid S* state.
AB - A new method for recording femtosecond stimulated Raman spectra was developed
that dramatically improves and automatizes baseline problems. Instead of using a
narrowband Raman source, the experiment is performed using shaping of a broadband
source. This allows locking the signal into carefully crafted watermarks that can
be recovered from measured data with high fidelity. The approach uses unique
properties of Raman scattering, thus allowing a direct recording of stimulated
Raman signals with robust rejection of baselines and fixed-pattern-noise. Low
cost technology for generating required pulse-shapes was developed and
demonstrated. The methodology is applicable to any Raman experiment but primarily
targets Femtosecond Stimulated Raman spectroscopy (FSRS) where a lack of robust
methods for parasitic signal rejection has been a major obstacle in the practical
development of the field in the last decade. The delivered improvement in FSRS
experiments was demonstrated by recording evidence that the so-called S* state of
carotenoids in solution corresponds to the optically forbidden S1 state of a
sparsely populated carotenoid conformation.
PMID- 27180632
TI - Deleterious effects of incense smoke exposure on kidney function and architecture
in male albino rats.
AB - CONTEXT: Previous studies, including ours, have shown adverse effects of incense
smoke on human health. However, the effect of incense smoke on kidney function
and structure remains unknown. OBJECTIVE: To evaluate possible adverse effects of
incense smoke on kidney function and architecture in albino rats after chronic
exposure to Arabian incense. MATERIALS AND METHODS: Emission characteristics
including particle size distribution, volatile organic compounds (VOCs) and
polycyclic aromatic hydrocarbons (PAHs) were determined by gravimetric and GCMS
analyses. Kidney functional markers, oxidative stress and inflammatory markers
were measured by standard or ELISA based procedures. Ultrastructural changes in
kidney were examined by transmission electron microscope (TEM) and the gene
expression of xenobiotic metabolizing enzymes including cytochrome P-450-1A1
(CYP1A1) and CYP1A2 were studied by real time PCR. RESULTS: Rats exposed to
incense smoke demonstrated a significant increase in serum creatinine, uric acid,
blood urea nitrogen (BUN), tissue malondialdehyde (MDA), tumor necrosis factor
alpha (TNF-alpha) and interleukin-4 (IL-4) levels and a significant decline in
tissue reduced glutathione (GSH) and catalase activity. Incense smoke exposed
rats also displayed marked ultrastructural changes in kidney tissue. Further, a
significant increase in tissue gene expression of both CYP1A1 and CYP1A2 was
noted in exposed rats. DISCUSSION: Changes to kidney functional markers and
architecture appear to be mediated through augmented oxidative stress and
inflammation. CONCLUSION: Long-term exposure to incense smoke may have
deleterious effects on kidney function and architecture. Though, inhalation is
the rout of exposure, findings of this study underscore that incense smoke may
also have an effect on non-pulmonary tissues.
PMID- 27180634
TI - Estimating the probability of bacterial infection using a novel biomarker among
pediatric patients in the emergency department.
AB - CONTEXT: IL-27 is a novel biomarker to identify bacterial infection in children.
OBJECTIVE: IL-27 was evaluated among pediatric emergency department (ED) patients
and compared with procalcitonin (PCT). METHODS AND RESULTS: Children undergoing
blood, urine, or cerebrospinal fluid cultures had IL-27 and PCT assays performed.
Bacterial infection was defined as a positive culture or a clinical diagnosis
based on chart review. IL-27 and PCT were increased among patients with bacterial
infection and demonstrated comparable AUC's (0.62 versus 0.61). A decision tree
incorporating IL-27, PCT, and white blood cell count improved the AUC (0.80).
CONCLUSION: IL-27 is a viable candidate biomarker to identify bacterial infection
among ED patients and is comparable with PCT.
PMID- 27180635
TI - Lung inflammation does not affect the clearance kinetics of lipid nanocapsules
following pulmonary administration.
AB - Lipid nanocapsules (LNCs) are semi-rigid spherical capsules with a triglyceride
core that present a promising formulation option for the pulmonary delivery of
drugs with poor aqueous solubility. Whilst the biodistribution of LNCs of
different size has been studied following intravenous administration, the fate of
LNCs following pulmonary delivery has not been reported. We investigated
quantitatively whether lung inflammation affects the clearance of 50nm lipid
nanocapsules, or is exacerbated by their pulmonary administration. Studies were
conducted in mice with lipopolysaccharide-induced lung inflammation compared to
healthy controls. Particle deposition and nanocapsule clearance kinetics were
measured by single photon emission computed tomography/computed tomography
(SPECT/CT) imaging over 48 h. A significantly lower lung dose of (111)In-LNC50
was achieved in the lipopolysaccharide (LPS)-treated animals compared with
healthy controls (p<0.001). When normalised to the delivered lung dose, the
clearance kinetics of (111)In-LNC50 from the lungs fit a first order model with
an elimination half-life of 10.5+/-0.9h (R(2)=0.995) and 10.6+/-0.3h (R(2)=1.000)
for healthy and inflamed lungs respectively (n=3). In contrast, (111)In
diethylene triamine pentaacetic acid (DTPA), a small hydrophilic molecule, was
cleared rapidly from the lungs with the majority of the dose absorbed within
20min of administration. Biodistribution to lungs, stomach-intestine, liver,
trachea-throat and blood at the end of the imaging period was unaltered by lung
inflammation. This study demonstrated that lung clearance and whole body
distribution of lipid nanocapsules were unaffected by the presence of acute lung
inflammation.
PMID- 27180636
TI - Inhibition of fibrous dysplasia via blocking Gsalpha with suramin sodium loaded
with an alendronate-conjugated polymeric drug delivery system.
AB - Suramin sodium (SS), which can directly inhibit the committed step of Gsalpha
activation, seems to be a promising drug for treating fibrous dysplasia (FD).
Therefore, how to efficiently deliver SS to the lesion site becomes an urgent
problem to be solved. Here a bone-targeted and pH-sensitive drug delivery system
was constructed to deliver SS for treating FD with high efficiency. The novel
type of bone-targeted cationic hyperbranched poly(amine-ester) (HBPAE) was
synthesized by the proton-transfer polymerization of triethanolamine and glycidyl
methacrylate, followed by surface carboxyl-modification and then conjugation of
an alendronate (ALE) bone-targeting moiety. The resultant Suc-HBPAE-ALE formed
nanoparticles in aqueous solution, and SS could be encapsulated into the Suc
HBPAE-ALE nanoparticles via electrostatic attraction. The dynamic light
scattering (DLS) and transmission electron microscopy (TEM) assays showed that
the SS-loaded nanoparticles had a spherical morphology with a mean diameter of 65
nm. The strong affinity of Suc-HBPAE-ALE nanoparticles to bone was verified by
the hydroxyapatite (HA) adsorbing experiment. The therapeutic potential of the SS
loaded Suc-HBPAE-ALE nanoparticles was evaluated via the
methylthiazoletetrazolium (MTT) assay and flow cytometry (FCM) analysis against
FD cells. The experimental results indicated that the SS-loaded Suc-HBPAE-ALE
nanoparticles were a highly promising drug delivery system with high efficiency
for inhibiting the proliferation of diseased FD cells.
PMID- 27180637
TI - Two field-induced slow magnetic relaxation processes in a mononuclear Co(ii)
complex with a distorted octahedral geometry.
AB - A distorted octahedral Co(II) complex is reported with homoscorpionate ligands.
This complex comprised a field-induced single-molecule magnet, showing two slow
relaxation processes under a low dc field (<800 Oe) and only one process under a
high dc field (>=800 Oe), which was an unusually discovery for 3d metal ions. On
the basis of the ac magnetic data, we show for the first time that one of the
slow relaxation processes in the low dc field originates from intermolecular
dipolar interactions. Interestingly, the Raman process is predominant in the spin
reversal relaxation process. The origin of the behaviours of the complex was
elucidated by ab initio calculations.
PMID- 27180638
TI - Nanobubbles at Hydrophilic Particle-Water Interfaces.
AB - The puzzling persistence of nanobubbles breaks Laplace's law for bubbles, which
is of great interest for promising applications in surface processing, H2 and CO2
storage, water treatment, and drug delivery. So far, nanobubbles have mostly been
reported on hydrophobic planar substrates with atomic flatness. It remains a
challenge to quantify nanobubbles on rough and irregular surfaces because of the
lack of a characterization technique that can detect both the nanobubble
morphology and chemical composition inside individual nanobubble-like objects.
Here, by using synchrotron-based scanning transmission soft X-ray microscopy
(STXM) with nanometer resolution, we discern nanoscopic gas bubbles of >25 nm
with direct in situ proof of O2 inside the nanobubbles at a hydrophilic particle
water interface under ambient conditions. We find a stable cloud of O2
nanobubbles at the diatomite particle-water interface hours after oxygen aeration
and temperature variation. The in situ technique may be useful for many surface
nanobubble-related studies such as material preparation and property
manipulation, phase equilibrium, nucleation kinetics, and relationships with
chemical composition within the confined nanoscale space. The oxygen nanobubble
clouds may be important in modifying particle-water interfaces and offering
breakthrough technologies for oxygen delivery in sediment and/or deep water
environments.
PMID- 27180639
TI - Bronchopulmonary C-fibers' IL1RI contributes to the prolonged apneic response to
intra-atrial injection of capsaicin by prenatal nicotinic exposure in rat pups.
AB - Prenatal nicotinic exposure (PNE) as a SIDS model reportedly sensitizes
bronchopulmonary C-fibers (PCFs), contributing to the prolonged PCF-mediated
apnea in rat pups, but the relevant mechanisms are not fully understood.
Pulmonary IL-1beta upregulated by cigarette smoke is known to stimulate or
sensitize PCFs acting via IL-1 type I receptor (IL1RI) and inhibit inspiration
frequency. Because of its upregulation observed in SIDS victims, we hypothesized
that PNE increased pulmonary IL-1beta release and IL1RI expression in pulmonary C
neurons via action on alpha7 nicotinic acetylcholine receptors (alpha7nAChR) to
induce the prolonged PCF-mediated apnea. IL-1beta in BALF and IL1RI in the
nodose/jugular (N/J) ganglion and vagal pulmonary C-neurons retrogradely-traced
were compared between Ctrl (saline) and PNE pups and among the vehicle-treated
Ctrl and PNE and methyllycaconitine (a selective alpha7nAChR antagonist)-treated
PNE pups. The effect of IL-1RI blockade (IL-1Ra) on the PCF-mediated apnea was
also compared between Ctrl and PNE pups. PNE significantly elevated IL-1beta in
BALF and upregulated IL1RI gene and protein expression in N/J ganglia and gene in
vagal pulmonary C-neurons. All of these responses were eliminated by pretreatment
with blockade of alpha7nAChR. In addition, the prolonged PCF-mediated apnea in
PNE pups was significantly shortened by right atrial bolus injection of IL-1Ra.
We conclude that PNE enhances pulmonary IL-1beta release and PCF IL1RI expression
acting via alpha7nAChR in contributing to sensitization of PCFs and prolongation
of the PCF-mediated apneic response.
PMID- 27180641
TI - Mg(2+)-Dependent Control of the Spatial Arrangement of Rhodococcus erythropolis
PR4 Cells in Aqueous-Alkane Two Phase Culture Containing n-Dodecane.
AB - We recently reported that a close relationship exists between alkane carbon-chain
length, cell growth, and translocation frequency in Rhodococcus. In the present
study, we examined the regulation of the spatial arrangement of cells in aqueous
alkane two phase cultures. An analysis of the effects of minerals on cell
localization revealed that changes in the concentration of MgSO4 in two phase
cultures containing n-dodecane (C12) altered cell localization from translocation
to adhesion and vice versa. Our results indicate that the spatial arrangement of
cells in two phase culture systems is controlled through the regulation of MgSO4
concentrations.
PMID- 27180642
TI - Multidimensional targeting for tailoring: a comment on Ogden (2016).
PMID- 27180640
TI - Diversity, Community Composition and Abundance of Anammox Bacteria in Sediments
of the North Marginal Seas of China.
AB - Over the past few decades, anammox bacteria have been recognized as key players
that contribute significantly to the release of large amounts of nitrogen in the
global marine nitrogen cycle. In the present study, the diversity, community
composition, and abundance of anammox bacteria from the sediments of four diverse
regions in the north marginal seas in China were determined via clone library
construction and a quantitative PCR analysis. The clone libraries retrieved by
the 16S rRNA gene and Hzo gene markers indicated that "Candidatus Scalindua" was
the predominant group throughout the sites examined. The 16S rRNA gene clone
libraries revealed exceptional diversity by identifying two potential novel
anammox clades, as evidenced by the high sequence similarities between these two
clades and known anammox genera, and their unique phylogenetic positions with
high bootstrap values. However, their potential roles in the anammox reaction
need to be validated. Six novel members of Planctomycetes, divergent from the
known genera of anammox bacteria, were also detected. A phylogenetic analysis by
Hzo protein sequences revealed the existence of two known genera, i.e.,
"Candidatus Jettenia" and "Candidatus Anammoxoglobus", which are rarely captured
from marine sediments. Among all ecological parameters investigated, the
distribution patterns and composition of anammox bacteria were found to be
influenced by salinity, total organic matter, and temperature. The abundance of
the anammox bacterial 16S rRNA gene from the sites examined ranged between
3.95*10(5) and 9.21*10(5) copies g(-1) wet sediment and positively correlated
with the median size of the sediment sample.
PMID- 27180643
TI - Corrigendum to "APOA5 variants predispose hyperlipidemic patients to atherogenic
dyslipidemia and subclinical atherosclerosis" [Atherosclerosis 240/1 (2015) 98
104].
PMID- 27180644
TI - Coronary artery calcium density for the prediction of mortality in CKD patients:
One size does not fit all.
PMID- 27180645
TI - Corrigendum to "Molecular diagnosis of hypobetalipoproteinemia: An ENID review"
[Atherosclerosis 195 (2) (2007) 19-27].
PMID- 27180647
TI - Isolation of atomically precise mixed ligand shell PdAu24 clusters.
AB - Exposure of PdAu24(2-PET)18 (2-PET: 2-phenylethylthiolate) to BINAS (1,1
binaphthyl-2,2-dithiol) leads to species of composition PdAu24(2-PET)18
2x(BINAS)x due to ligand exchange reactions. The BINAS adsorbs in a specific mode
that bridges the apex and one core site of two adjacent S(R)-Au-S(R)-Au-S(R)
units. Species with different compositions of the ligand shell can be separated
by HPLC. Furthermore, site isomers can be separated. For the cluster with exactly
one BINAS in its ligand shell only one isomer is expected due to the symmetry of
the cluster, which is confirmed by High-Performance Liquid Chromatography (HPLC).
Addition of a second BINAS to the ligand shell leads to several isomers. In total
six distinguishable isomers are possible for PdAu24(2-PET)14(BINAS)2 including
two pairs of enantiomers concerning the adsorption pattern. At least four
distinctive isomers are separated by HPLC. Calculations indicate that one of the
six possibilities is energetically disfavoured. Interestingly, diastereomers,
which have an enantiomeric relationship concerning the adsorption pattern of
chiral BINAS, have significantly different stabilities. The relative intensity of
the observed peaks in the HPLC does not reflect the statistical weight of the
different isomers. This shows, as supported by the calculations, that the first
adsorbed BINAS molecule influences the adsorption of the second incoming BINAS
ligand. In addition, experiments with the corresponding Pt doped gold cluster
reveal qualitatively the same behaviour, however with slightly different relative
abundances of the corresponding isomers. This finding points towards the
influence of electronic effects on the isomer distribution. Even for clusters
containing more than two BINAS ligands a limited number of isomers were found,
which is in contrast to the corresponding situation for monothiols, where the
number of possible isomers is much larger.
PMID- 27180646
TI - Nocturia and prevalence of erectile dysfunction in Japanese patients with type 2
diabetes mellitus: The Dogo Study.
AB - AIMS/INTRODUCTION: Several epidemiological studies have reported a positive
association between nocturia and erectile dysfunction (ED). Yet only limited
evidence exists regarding the association between nocturia and ED among patients
with type 2 diabetes mellitus, although nocturia and ED are common among type 2
diabetes mellitus patients. MATERIAL AND METHODS: Study participants were 332
male Japanese patients with type 2 diabetes mellitus, aged 19-70 years, who had
undergone blood tests at our institutions. A self-administered questionnaire was
used to collect information on the variables under study. Adjustment was made for
age, body mass index, hypertension, stroke, ischemic heart disease, glycated
hemoglobin and diabetic neuropathy. ED, moderate to severe ED and severe ED were
defined as present when a participant had a Sexual Health Inventory for Men score
<22, <12 and <8, respectively. Study participants were considered to have
nocturia if they answered 'once or more' to the question: 'Within 1 week, how
many times do you typically wake up to urinate from sleeping at night until
waking in the morning?' RESULTS: The prevalence of nocturia was 79.8%. Nocturia
was independently positively associated with ED and moderate to severe ED: the
adjusted odds ratios were 7.86 (95% confidence interval 2.11-33.56) and 2.17 (95%
confidence interval 1.16-4.12), respectively. The positive association between
nocturia and severe ED fell just short of significance. CONCLUSIONS: In Japanese
men with type 2 diabetes mellitus, nocturia might be associated with ED and
moderate to severe ED.
PMID- 27180648
TI - [Compliance with medical treatment in primary open-angle glaucoma in Lome].
AB - OBJECTIVE: To assess the compliance rate among glaucoma patients with medical
treatment, to investigate the factors influencing their attitude and survey their
expectations vis-a-vis the doctor. PATIENTS AND METHODS: This is a prospective
descriptive study conducted in four eye clinics in Lome from January 2013 to July
2013. It included all glaucoma patients aged 40 years and above who have been
under medical treatment for at least 6 months, with no history of surgical
treatment of glaucoma. The evaluation was performed on the basis of a
questionnaire. Compliance was rated good, fair or poor according to the
regularity of treatment, compliance with the prescribed dosage and schedule of
instillations, good instillation technique and compliance with follow-up visits.
Patients consented to participate in the survey. RESULTS: A total of 107 patients
responded to our questionnaire. There were 43 men and 64 women with a sex ratio
(M/F) of 0.67. The mean age was 52.40+/-11.03 years. In total, 7.5% of patients
were illiterate. Compliance rate was estimated good in 10.3% of cases, fair in
65.4% of cases, and poor in 24.3% of cases. Only the regularity of treatment,
schedule adherence and good instillation technique, as well as compliance with
monitoring visits were found as significant factors (P<0.05). Concerning
patients' expectations vis-a-vis the doctor, 49.5% said they expected nothing
from the doctor, 12.1% were hoping for advice and moral support, and 11.2% were
hoping for the prescription of effective but less expensive eye drops.
CONCLUSION: A good compliance rate was very low. The improvement of this
compliance rate requires better information, education and communication for
behavior change, and it also requires a higher quality of care and accessibility
of anti-glaucoma drugs.
PMID- 27180649
TI - Photoprotection and photoreception of intraocular lenses under xenon and white
LED illumination.
AB - OBJECTIVE: To analyze the photoprotection and phototransmission that various
intraocular lenses (IOLs) provide under the illumination of a xenon (Xe) lamp and
white LEDs (light emitting diode). METHODS: The spectral transmission curves of
six representative IOLs were measured using a Perkin-Elmer Lambda 35 UV/VIS
spectrometer. Various filtering simulations were performed using a Xe lamp and
white LEDs. The spectral emissions of these lamps were measured with an ILT-950
spectroradiometer. RESULTS: The IOLs analyzed primarily show transmission of
nearly 100% in the visible spectrum. In the ultraviolet (UV) region, the filters
incorporated in the various IOLs did not filter equally, and some of them let an
appreciable amount of UV through. The Xe lamp presented a strong emission of
ultraviolet A (UVA), and its emission under 300nm was not negligible. The white
LED did not present an appreciable emission under 380nm. CONCLUSIONS: The cut-off
wavelength of most filters is between 380 and 400nm (Physiol Hydriol60C((r)),
IOLTECH E4T((r)), Alcon SA60AT((r)), Alcon IQ SN60WF((r))), so that their UV
protection is very effective. Nonetheless, the IOL OPHTEC Oculaid((r)) contains a
filter that, when a Xe lamp is used, lets through up to 20% for 350nm and up to
15% for 300nm, which at this point is ultraviolet B (UVB). The OPHTEC((r))
Artisan IOL has a transmission peak below 300nm, which must be taken into account
under Xe illumination. White LEDs do not emit energy below 380nm, so no special
protection is required in the UV region.
PMID- 27180650
TI - Bad News or Really Bad News?
PMID- 27180654
TI - Utility of hypertriglyceridemic waist phenotype for predicting incident type 2
diabetes: The Isfahan Diabetes Prevention Study.
AB - AIM/INTRODUCTION: We evaluated the association between hypertriglyceridemic waist
(HTW) phenotype and the risks of type 2 diabetes in an Iranian high-risk
population. MATERIALS AND METHODS: We analyzed 7-year follow-up data (n = 1,865)
in non-diabetic first-degree relatives of consecutive patients with type 2
diabetes aged 30-70 years. The primary outcome was the diagnosis of type 2
diabetes based on repeated oral glucose tolerance tests. We used multiple
logistic regressions to estimate the odds ratio (OR) for incident type 2 diabetes
across four groups according to baseline fasting serum triglycerides (TG) level
and waist circumference (WC): normal WC and normal TG, normal WC and high TG,
enlarged WC and normal TG, and HTW (enlarged WC high TG). RESULTS: The HTW
phenotype at baseline was associated with the incidence of type 2 diabetes. Those
with HTW were 2.4-fold (OR 2.36, 95% confidence interval 1.61-3.44), those with
normal WC high TG were 1.9-fold (OR 1.87, 95% confidence interval 1.29-2.70) and
those with enlarged WC but normal TG were 2.8-fold (OR 2.84, 95% confidence
interval 1.96-4.13) more likely to develop type 2 diabetes than those with normal
WC and normal TG. CONCLUSIONS: These data provide further evidence that the HTW
phenotype is a robust predictor of type 2 diabetes in high-risk individuals in
Iran, and the predictive power is not higher than that of simple enlarged WC and
normal TG, emphasizing the importance of enlarged WC to the development of type 2
diabetes.
PMID- 27180651
TI - The elongation factor Spt5 facilitates transcription initiation for rapid
induction of inflammatory-response genes.
AB - A subset of inflammatory-response NF-kappaB target genes is activated immediately
following pro-inflammatory signal. Here we followed the kinetics of primary
transcript accumulation after NF-kappaB activation when the elongation factor
Spt5 is knocked down. While elongation rate is unchanged, the transcript
synthesis at the 5'-end and at the earliest time points is delayed and reduced,
suggesting an unexpected role in early transcription. Investigating the
underlying mechanism reveals that the induced TFIID-promoter association is
practically abolished by Spt5 depletion. This effect is associated with a
decrease in promoter-proximal H3K4me3 and H4K5Ac histone modifications that are
differentially required for rapid transcriptional induction. In contrast, the
displacement of TFIIE and Mediator, which occurs during promoter escape, is
attenuated in the absence of Spt5. Our findings are consistent with a central
role of Spt5 in maintenance of TFIID-promoter association and promoter escape to
support rapid transcriptional induction and re-initiation of inflammatory
response genes.
PMID- 27180655
TI - Aquaphilus dolomiae extract counteracts the effects of cutaneous S. aureus
secretome isolated from atopic children on CD4+ T cell activation.
AB - CONTEXT: Skin microbiota takes part in the control of cutaneous inflammation. In
skin diseases such as atopic dermatitis (AD) cutaneous dysbiosis and the
emergence of Staphylococcus aureus contribute to the pathophysiology of the
disease. New therapeutic approaches consist in topical application of natural
products able to counteract S. aureus effects through activation of resident
immune cells producing anti-inflammatory cytokines such as IL-10. OBJECTIVE: This
study investigates the potential immunosuppressive properties of Aquaphilus
dolomiae (Neisseriaceae), a flagellated bacterium contained in Avene Thermal
Spring Water used in hydrotherapy treatments of AD patients. MATERIALS AND
METHODS: An aqueous protein extract of Aquaphilus dolomiae (ADE, 60 MUg/mL) was
added to human monocyte-derived dendritic cells (moDC) for 24 h. Expression of
HLA-DR, CD86 and CD83 was evaluated by flow cytometry and released cytokines (IL
10, IL-12) by cytometry bead array assay. The proliferation of allogeneic CFSE
labelled CD4+ T cells stimulated with ADE-conditioned moDC and S. aureus
secretome was analysed by flow cytometry. RESULTS: MoDC exposed to ADE expressed
lower levels of HLA-DR and CD86 than untreated cells, no CD83 and secreted barely
detectable IL-12 but high amounts of IL-10 (N = 12, p < 0.0002). The
proliferative effect of S. aureus secretome on CD4+ T cells was reduced (p <
0.001) in the presence of ADE-moDC. CONCLUSION: ADE counteracted the mitogenic
effect of a S. aureus secretome on CD4+T cells. Owing to the role of S. aureus
colonization in driving inflammation in AD the immunosuppressive property of the
ADE might be useful to reduce disease severity.
PMID- 27180656
TI - Size matters: Perceived depth magnitude varies with stimulus height.
AB - Both the upper and lower disparity limits for stereopsis vary with the size of
the targets. Recently, Tsirlin, Wilcox, and Allison (2012) suggested that
perceived depth magnitude from stereopsis might also depend on the vertical
extent of a stimulus. To test this hypothesis we compared apparent depth in small
discs to depth in long bars with equivalent width and disparity. We used three
estimation techniques: a virtual ruler, a touch-sensor (for haptic estimates) and
a disparity probe. We found that depth estimates were significantly larger for
the bar stimuli than for the disc stimuli for all methods of estimation and
different configurations. In a second experiment, we measured perceived depth as
a function of the height of the bar and the radius of the disc. Perceived depth
increased with increasing bar height and disc radius suggesting that disparity is
integrated along the vertical edges. We discuss size-disparity correlation and
inter-neural excitatory connections as potential mechanisms that could account
for these results.
PMID- 27180658
TI - Fully Aromatic High Performance Thermoset via Sydnone-Alkyne Cycloaddition.
AB - We have developed an efficient synthetic platform for the preparation of a new
class of high performance thermosets based on the 1,3-dipolar cycloaddition of a
bifunctional sydnone with a trifunctional alkyne. These processable materials
possess outstanding thermal stability, with Td5% of 520 degrees C and a weight
loss of <0.1% per day at 225 degrees C (both in air). Key to this performance is
the stability of the starting functional groups that allows for reactive B
staging via simple thermal activation to give fully aromatic and highly cross
linked polypyrazole-based thermosets.
PMID- 27180659
TI - Dynamics of nanocubes embedding into polymer films investigated via spatially
resolved plasmon modes.
AB - Integration of nanoparticles into thin films is essential for the development of
functional materials, studies of fundamental interfacial processes, and
exploitation of inherent properties from the particles themselves. In this work,
we systematically investigate the process of incorporation of silver nanocubes
into thin polystyrene films at temperatures just above the polymer glass
transition. The process of nanocrystal incorporation can be precisely monitored
via far-field spectroscopy to observe the response of spatially resolved hybrid
plasmon modes. Each plasmon resonance has a distinct dynamic range and maximum
sensitivity forming a complementary set of nanorulers that operates over a
distance comparable to the edge length of the cubes. The approach explored in
this work is a general robust method for the development of long-range
polychromatic nanorulers.
PMID- 27180657
TI - Probiotics as adjunctive therapy for preventing Clostridium difficile infection -
What are we waiting for?
AB - : With the end of the golden era of antibiotic discovery, the emergence of a new
post-antibiotic age threatens to thrust global health and modern medicine back to
the pre-antibiotic era. Antibiotic overuse has resulted in the natural evolution
and selection of multi-drug resistant bacteria. One major public health threat,
Clostridium difficile, is now the single leading cause of hospital-acquired
bacterial infections and is by far the most deadly enteric pathogen for the U.S.
POPULATION: Due to the high morbidity and mortality and increasing incidence that
coincides with antibiotic use, non-traditional therapeutics are ideal
alternatives to current treatment methods and also provide an avenue towards
prevention. Despite the need for alternative therapies to antibiotics and the
safety of most probiotics on the market, researchers are inundated with
regulatory issues that hinder the translational science required to push these
therapies forward. This review discusses the regulatory challenges of probiotic
research, expert opinion regarding the application of probiotics to C. difficile
infection and the efficacy of probiotics in preventing this disease.
PMID- 27180660
TI - CPR-associated right ventricular rupture in the setting of pulmonary embolism.
AB - Cardiopulmonary resuscitation (CPR) is an inherently traumatic procedure.
Successful resuscitations are often complicated by iatrogenic injuries to
structures of the neck, thorax, or abdomen. Rib and sternal fractures are the
most frequently induced injuries. However, rare and life-threatening trauma to
vital organs such as the heart may also occur during CPR. We describe a novel
case of CPR-associated right ventricular rupture in a woman with acute-on-chronic
pulmonary embolism and no known pre-existing cardiac disease. We propose that
chest compressions in the setting of elevated right ventricular pressure resulted
in cardiac rupture, in this case.
PMID- 27180661
TI - Fetal exposure to parental smoking and the risk of type 2 diabetes: Are lifestyle
related factors more important?
AB - Current evidence suggests that although fetal exposure to smoking might increase
the risk of type 2 diabetes in later life, lifestyle factors either during
pregnancy or in adulthood may play a more important role. A 'family-based
association study' design might be helpful to detangle the relation among
intrauterine exposure, lifestyle factors, and risk of diabetes in later life.
PMID- 27180662
TI - Technique: CRISP(e)R genetic mapping.
PMID- 27180664
TI - [Obesity paradoxes].
AB - Obesity (esp. abdominal type) was still considered as a risk factor for
cardiovascular disease. During the last decade has a new phenomenon appeared:
"obesity paradox", i.e. patients with high BMI die less frequently than patients
with low BMI. Obesity paradox was described first in patients with chronic renal
failure, heart failure or in oncological patients, later on in patients with
cardiovascular disease and now also in patients with type 2 diabetes. In
comparison with population studies, BMI associated with low cardiovascular
mortality in patients with Type 2 diabetes is shifted to the higher level than in
subjects from general population. Mechanisms of obesity paradox is not yet
clarified.
PMID- 27180663
TI - Foxf2 is required for secondary palate development and Tgfbeta signaling in
palatal shelf mesenchyme.
AB - The secondary palate separates the oral from the nasal cavity and its closure
during embryonic development is sensitive to genetic perturbations. Mice with
deleted Foxf2, encoding a forkhead transcription factor, are born with cleft
palate, and an abnormal tongue morphology has been proposed as the underlying
cause. Here, we show that Foxf2(-/-) maxillary explants cultured in vitro, in the
absence of tongue and mandible, failed to close the secondary palate.
Proliferation and collagen content were decreased in Foxf2(-/-) palatal shelf
mesenchyme. Phosphorylation of Smad2/3 was reduced in mutant palatal shelf,
diagnostic of attenuated canonical Tgfbeta signaling, whereas phosphorylation of
p38 was increased. The amount of Tgfbeta2 protein was diminished, whereas the
Tgfb2 mRNA level was unaltered. Expression of several genes encoding
extracellular proteins important for Tgfbeta signaling were reduced in Foxf2(
)(/)(-) palatal shelves: a fibronectin splice-isoform essential for formation of
extracellular Tgfbeta latency complexes; Tgfbr3 - or betaglycan - which acts as a
co-receptor and an extracellular reservoir of Tgfbeta; and integrins alphaV and
beta1, which are both Tgfbeta targets and required for activation of latent
Tgfbeta. Decreased proliferation and reduced extracellular matrix content are
consistent with diminished Tgfbeta signaling. We therefore propose that gene
expression changes in palatal shelf mesenchyme that lead to reduced Tgfbeta
signaling contribute to cleft palate in Foxf2(-)(/)(-) mice.
PMID- 27180665
TI - [Clinical aspects of pharmacological treatment of diabetic neuropathy -
cooperation with neurologists and diabetologists].
AB - The development and progression of symptomatic diabetic neuropathy (SDN) is
linked to hyperglycemia. The effort to improve compensation of diabetes mellitus
during therapy is therefore very important. This is where the cooperation between
the diabetologist and neurologist within therapy plays an important role. The
pharmaco-logical therapy of symptomatic sensitive peripheral diabetic neuropathy
is difficult and with a less than satisfactory effect. A variety of active
substances is used in symptomatic therapy, primarily designed for intervention in
other pathological conditions. The recommended guidelines include
antidepressants, anticonvulsants, opiates and their derivatives. However this
therapy brings with it a relatively high incidence of adverse effects which
detract from patients adherence to treatment. Very good results are reached by
the therapy with thioctacid.
PMID- 27180666
TI - [Pharmacogenetics of oral antidiabetic treatment].
AB - Pharmacogenetics is the study of how genes (individual genotypes) affect a
persons response to drugs. At present, recommendations made about the treatment
of some monogenic forms of diabetes are based on genetic diagnostics. The first
studies in the field of pharmacogenetics of oral antidiabetics have now been
published which have identified associations of individual genetic variants with
response to treatment. The response to sulfonylurea derivatives was significantly
associated with the variants KCNJ11/ABCC8, TCF7L2 and CYP2C9. The response to
metformin treatment was associated with the genetic variants ATM and SLC47A1. The
response to treatment with glitazones was associated with the genetic variant
PPARG. The therapeutic response to the treatment with gliptins was associated
with the genetic variants TCF7L2 and CTRB1/2. It may be expected that in the near
future pharmacogenetic knowledge will also be used within personalized treatment
of type 2 diabetes.
PMID- 27180667
TI - [Cholesterol metabolism in patients with type 2 diabetes].
AB - Diabetic dyslipidemia is a complex disorder associated with changes in lipid
metabolism, which must be considered during care of the diabetic patient. Type 2
diabetes mellitus is a disease associated with a number of macrovascular and
microvascular complications and it is necessary to treat the patient with regard
to the possibility of developing these disorders. Prevention of cardiovascular
complications is one of the most important factors of diabetes care, because
cardiovascular diseases are among the leading causes of morbidity and mortality
in patients with diabetes mellitus. The risk of developing atherosclerotic
changes is significantly higher in diabetics in comparison with people without
diabetes, which is mostly due to the differences in the plasma lipid metabolism
and development of typical diabetic dyslipidemia with high atherogenic potential.
Treatment of diabetic dyslipidemia as well as prevention of cardiovascular events
is based on adherence to lifestyle changes, that have a positive effect on
diabetes itself, and a suitable pharmacotherapy selected to achieve target plasma
lipoprotein levels while affecting their quality.
PMID- 27180668
TI - [Victoza changes diabetes and lives of patients 5 years already].
AB - Victoza (liraglutide) is a modern antidiabetic drug of GLP1 (glucagone like
peptide) analogue group; since 2009 registered in Czech republic. VICTOZA is used
in therapy of type 2 diabetic patients with preserved endougenous insulin
secretion, usually in combination with other peroral antidiabetic drugs or with
basal insulin. VICTOZA is applied once a day subcutaneously. The characteristical
antidiabetic effect with beta cell protection is enhanced with supportive weigth
reduction effect. Positive cardiovascular influence is expected, however data
from recent studies are not available at this time. In clinical practice, the
mild upper dyspepsia after initiation of therapy is the most common side effect,
usually subsiding in several days. Alltogether, VICTOZA is a well tolerated
antidiabetic medication decreasing glycated hemoglobin up to 15 mmol/l with
minimal risk of hypoglycaemia.
PMID- 27180669
TI - [XIGDUO - fixed combination of the active ingredients dapagliflozin and
metformin].
AB - Fixed dose combination of two different drugs in the same or related indications
are successfully used in various medical fields including diabetology. This
article deals with the combination therapy comprising metformin and dapagliflozin
in a single preparation, molecules affecting different pathophysiological
mechanisms of type 2 diabetes, particularly insulin resistance and increased
glucose reabsorption in the kidney. Most patients with type 2 diabetes does not
achieve target glycemic control when treated with single antidiabetics and need
for proper control of diabetes combination of several different drugs. Using the
fixed combination leads to improved patients adherence and utilization of the
full therapeutic potential of selected drugs.
PMID- 27180670
TI - [Diabetes mellitus and pancreas cancer].
AB - Diabetes mellitus and pancreatic cancer establish both-side relationship, one
disease may have influence a development of the other. Pathogenic mechanisms
sharing their relationship are overviewed. Early diagnosis may contribute to
better prognosis of the patients with malign tumor. The treatment by antidiabetic
drugs offer to diabetic patients different risks of pancreatic cancer but lots of
data are still lacking.
PMID- 27180671
TI - [Early detection of ISHD in diabetic patients and determining the type of
treatment].
AB - Diabetes mellitus is a major risk factor for the development of ischemic heart
disease. Its prevalence in diabetic patients is reported to range broadly between
10-55 %. It is necessary to actively search for the presence of myocardial
ischemia in patients with diabetes, since waiting for the development of symptoms
is associated with the finding of already advanced coronary atherosclerosis in
these patients, with less satisfactory outcomes of coronary interventions and
surgery. Results of the BARDOT study seem to indicate that the appropriate tool
for stratification of the risk of cardiac events in diabetics could be stress
myocardial scintigraphy. This test is successful in detecting the presence of
myocardial ischemia, assessing its size and location and identifying whether
reversible ischemia is involved. Such data is very important with regard to
choosing the right treatment strategy. We often find advanced coronary
atherosclerosis in diabetic patients for which surgical treatment is more
appropriate. Nonetheless in the era of modern stents also the patients with
diabetes can be treated with intervention. All the influenceable risk factors for
ischemic heart disease should be consistently treated by intervention in each
diabetic patient, who should be given optimum pharmacotherapy. This involves
medication with a proven impact on the patient prognosis, influencing the
progression of coronary atherosclerosis and mitigation of myocardial ischemia.
PMID- 27180672
TI - [What is the significance of the phenomenon of hypertension in disguise in
patients with type 2 diabetes mellitus treated for long-lasting hypertension?].
AB - "Hypertension in disguise" is quite frequent in the patients with diabetes
mellitus. It leads to organ damage similarly as persistent hypertension and it is
associated with an increased cardiovascular risk which can be reduced through
effective treatment. Persistent hypertension is present in 70% of the patients
with type 2 diabetes mellitus. During its treatment, the picture of "masked
hypertension" may be imitated (normalized blood pressure taken at a day clinic,
as opposed to the increased values outside of it). This finding occurs in 35-60 %
of the patients with type 2 diabetes mellitus and it is associated with the
significance of changes to internal organs. The importance of this finding has
been under discussion.
PMID- 27180673
TI - [Management of type 2 diabetes mellitus therapy in a mature age].
AB - Type 2 diabetes mellitus represents a serious medical, social and economic
problem, since it has become a pandemic in recent years, affecting up to 1/3 of
the Czech population in old age. It is the clinically most significant metabolic
disease in older age. A pharmacotherapeutic approach needs to be adjusted to the
presence of multiple comorbidities in the elderly and to the patients ability to
cooperate with treatment, and owing to the feared hypoglycemia which may
contribute to increased morbidity, it detracts from the quality of life and
limits the possibilities of treatment in many cases. When taking decisions about
the treatment, the recommendations categorizing diabetic patients from the
geriatric perspective as fit or fragile should be taken into account. The target
values of diabetes compensation are derived from them. The purpose of the paper
is to describe the possibilities of safe therapy for type 2 diabetes mellitus in
older patients and draw attention to the risks that some types of treatment may
present for older people.
PMID- 27180674
TI - [Motor vehicle driving and diabetes mellitus - medical aspects].
AB - Diabetes mellitus is a disease which may affect the eligibility to hold a driving
license and increase the risk of a road accident. Hypoglycemia while driving is
considered to be the most risky situation, with diabetes increasing the mentioned
risk for instance due to impaired vision in the case of possible retinopathy. The
group of drivers with diabetes being at the greatest risk as to accidents are
those with a case history of severe hypoglycemia or hypoglycemia occurred while
driving, or possibly of a road accident. Measuring glycaemia before driving and
their knowledge how to prevent and treat hypoglycemia - those are the two crucial
preventive elements indispensable for insulin treated diabetes patients in order
to secure safe road traffic.
PMID- 27180675
TI - [Motor vehicle driving and not only diabetes mellitus - certain aspects of the
legislations in the Czech Republic].
AB - A review of medical fitness to hold a drivers license in diabetes mellitus
patients occurs when applying for the license and then at least every three
years. Severe hypoglycemic events are an important criterion with the view of
eligibility loss to drive a vehicle. All physicians regardless of their
speciality who suspect the loss of eligibility in a patient they come into
professional contact with are under the obligation to report this fact to the
local authority in the patients place of residence.
PMID- 27180676
TI - ["Healthy behaviour" and mental resilience in youth within the Diateens project].
AB - Approximately every fifth Slovak is obese and every other is overweight. These
findings gave birth to a project called Diateens-the youth-oriented project aimed
at the prevention of diabetes mellitus. The patronage over the project as one of
the educational programme within the National Diabetes Programme, was assumed by
the Slovak Diabetes Society and the Slovak WHO Office. The purpose of the project
was to improve the awareness of young people about diabetes mellitus, ascertain
the general state of their health and support a healthy lifestyle (healthy
behavior) as an important component in the prevention of the disease.
PMID- 27180677
TI - DsRNA-mediated targeting of ribosomal transcripts RPS6 and RPL26 induces long
lasting and significant reductions in fecundity of the vector Aedes aegypti.
AB - Ribosomal transcripts produce critical proteins that are involved in most
cellular production processes. Targeting ribosomal transcripts has produced
mortality in mites and ticks but the effect of ribosomal transcript knockdown has
not been thoroughly examined in mosquitoes. We examine the effects of triggers
targeting four ribosomal proteins (RP) transcripts. Although no significant
mortality was observed after dsRNA microinjection and subsequent blood feeding,
significant contrasts were observed on fecundity. Triggers targeting RPS6 and
RPL26 effectively reduced gene expression but more importantly, reduced
reproductive output by more than 96% and 91% at the first oviposition while
triggers targeting RPL1 and RPS2 did not cause a reduction although gene
expression was reduced. Significantly reduced fecundity continued through a
second oviposition cycle in dsRPS6 and dsRPL26 cohorts, although the effect was
not as strong. Relative gene expression levels confirmed specific transcript
knockdown up to 20days post-injection in mosquitoes that did not oviposit or
produced reduced clutch sizes. Dissections at 36h post-blood meal indicated
defects in oocyte provisioning. The strong phenotype produced by dsRPS6 allowed
us to examine the effects in various tissues as well as the dose response,
trigger format, delivery method and trigger specificity in Aedes aegypti. Strong
knockdown was observed in the abdomen and the ovaries. Greater than 50ng of
dsRPS6 significantly reduced fecundity but not when delivered in a sugar meal or
as an siRNA. Similar bioassays with mutated dsRPS6 triggers indicates that up to
three mismatches per possible siRNA are still effective in reducing fecundity.
These studies indicate that while active and effective triggers can be developed
for vector species, the lack of an efficient delivery method is the biggest
barrier to use as a potential control method.
PMID- 27180679
TI - Regioselective carboannulation of electron-deficient allenes with dialkyl (2
formylphenyl)malonates leading to multisubstituted naphthalenes.
AB - An efficient base-catalysed regioselective carboannulation of allenoates (or
allenylphosphonates) with dialkyl 2-(2-formylphenyl)malonates that leads to multi
substituted naphthalenes in high yields has been developed. This cascade reaction
proceeds through Michael addition, cyclisation, dealkoxycarboxylation and
tautomerisation. By using an allenylphosphine oxide, a species analogous to one
of the intermediate species in the mechanistic pathway has been isolated.
PMID- 27180678
TI - Diabetes mellitus is an independent risk factor for atrial fibrillation in a
general Chinese population.
AB - AIMS/INTRODUCTION: To explore the association between atrial fibrillation (AF)
and diabetes mellitus in a general Chinese population, and the influence of
hypertension. MATERIALS AND METHODS: From January 2013 to August 2013, we carried
out a cross-sectional study involving 11,956 permanent residents aged >=35 years
from the general population in the Liaoning province of China (response rate
85.3%). Each participant completed a questionnaire, had a physical examination,
and underwent an electrocardiogram and echocardiogram. AF was diagnosed on the
basis of the electrocardiogram findings. Logistic regression analyses were
carried out to estimate the associations between diabetes mellitus and AF. The
associations were also analyzed in hypertensive and normotensive subgroups.
RESULTS: There was a higher prevalence of AF in participants with diabetes
mellitus than those without diabetes mellitus (1.2 vs 0.5%; P = 0.004). In the
hypertensive subgroup, the prevalence of AF in participants with diabetes
mellitus was significantly higher than in participants without diabetes mellitus
(1.5 vs 0.6%; P = 0.008); however, the prevalences were similar in the
normotensive subgroup (0.3 vs 0.4%; P = 1.000). Similar trends were present in
both men and women. After adjustment for cardiovascular risk factors, the
independent association between diabetes mellitus and AF remained in the total
sample (odds ratio 2.33, 95% confidence interval 1.20-4.54) and hypertensive
subgroup (odds ratio 3.15, 95% confidence interval 1.52-6.56), but not in the
normotensive subgroup (odds ratio 0.64, 95% confidence interval 0.08-5.31).
CONCLUSIONS: Diabetes mellitus is an independent risk factor for AF in the
general population in China, this association was present in total and
hypertensive participants, but not in normotensive participants.
PMID- 27180682
TI - Leveraging Health IT to Reduce Disparities in Three Underserved Beacon
Communities.
AB - Using health information technology (IT) can potentially address health
disparities by increasing access to care, delivering higher-quality care,
improving patient-provider communication, and enhancing patient safety. It
describes challenges encountered by three underserved Beacon Communities that
implemented health IT interventions, including inadequate connectivity
infrastructure, technical support, expertise, and financial resources; provider
shortages and staff turnover; and equipment theft.
PMID- 27180680
TI - Acute dairy milk ingestion does not improve nitric oxide-dependent vasodilation
in the cutaneous microcirculation.
AB - In epidemiological studies, chronic dairy milk consumption is associated with
improved vascular health and reduced age-related increases in blood pressure.
Although milk protein supplementation augments conduit artery flow-mediated
dilation, whether or not acute dairy milk intake may improve microvascular
function remains unclear. We hypothesised that dairy milk would increase direct
measurement of endothelial nitric oxide (NO)-dependent cutaneous vasodilation in
response to local skin heating. Eleven men and women (61 (sem 2) years) ingested
two or four servings (473 and 946 ml) of 1 % dairy milk or a rice beverage on
each of 4 separate study days. In a subset of five subjects, an additional
protocol was completed after 473 ml of water ingestion. Once a stable blood flow
occurred, 15 mm-N G -nitro-l-arginine methyl ester was perfused (intradermal
microdialysis) to quantify NO-dependent vasodilation. Red-blood-cell flux (RBF)
was measured by laser-Doppler flowmetry, and cutaneous vascular conductance
(CVC=RBF/mean arterial pressure) was calculated and normalised to maximum
(%CVCmax; 28 mm-sodium nitroprusside). Full expression of cutaneous vasodilation
was not different among dairy milk, rice beverage and water, and there was no
effect of serving size on the total vasodilatory response. Contrary to our
hypothesis, NO-dependent vasodilation was lower for dairy milk than rice beverage
(D: 49 (sem 5), R: 55 (sem 5) %CVCmax; P<0.01). Acute dairy milk ingestion does
not augment NO-dependent vasodilation in the cutaneous microcirculation compared
with a rice beverage control.
PMID- 27180681
TI - Cancer-associated DDX3X mutations drive stress granule assembly and impair global
translation.
AB - DDX3X is a DEAD-box RNA helicase that has been implicated in multiple aspects of
RNA metabolism including translation initiation and the assembly of stress
granules (SGs). Recent genomic studies have reported recurrent DDX3X mutations in
numerous tumors including medulloblastoma (MB), but the physiological impact of
these mutations is poorly understood. Here we show that a consistent feature of
MB-associated mutations is SG hyper-assembly and concomitant translation
impairment. We used CLIP-seq to obtain a comprehensive assessment of DDX3X
binding targets and ribosome profiling for high-resolution assessment of global
translation. Surprisingly, mutant DDX3X expression caused broad inhibition of
translation that impacted DDX3X targeted and non-targeted mRNAs alike. Assessment
of translation efficiency with single-cell resolution revealed that SG hyper
assembly correlated precisely with impaired global translation. SG hyper-assembly
and translation impairment driven by mutant DDX3X were rescued by a genetic
approach that limited SG assembly and by deletion of the N-terminal low
complexity domain within DDX3X. Thus, in addition to a primary defect at the
level of translation initiation caused by DDX3X mutation, SG assembly itself
contributes to global translation inhibition. This work provides mechanistic
insights into the consequences of cancer-related DDX3X mutations, suggesting that
globally reduced translation may provide a context-dependent survival advantage
that must be considered as a possible contributor to tumorigenesis.
PMID- 27180683
TI - Gender-affirming Surgeries in the Era of Insurance Coverage: Developing a
Framework for Psychosocial Support and Care Navigation in the Perioperative
Period.
AB - Transgender people have a gender identity different from their birth-assigned
sex. Transgender people may seek gender-affirming surgeries to align their body
with their identified gender. With increasing visibility of transgender
identities, and recognition of the importance of gender-affirming care, has come
a policy shift toward mandated coverage or provision of blanket exclusions of
these procedures by insurance companies and Medicaid. The World Professional
Association for Transgender Health provides guidance to mental health
professionals evaluating patients for gender-affirming surgeries, including
making a diagnosis and assessing for capacity to consent. However the expansion
of covered gender-affirming surgeries to safety-net populations has highlighted
the need for an expanded presurgical process which includes a psychosocial
assessment and care navigation. The proposed framework expands the preoperative
assessment to include these components, and can be used to guide both health
systems and insurance providers in the development of transgender medicine
programs.
PMID- 27180684
TI - Reducing Health Care Disparities for Minority Women in the Era of the Affordable
Care Act: Opportunities within Primary Care.
AB - Despite improvements in overall health of the American population, disparities
persist, particularly for minority women. The Affordable Care Act (ACA) offers
the potential to reduce disparities through expanded insurance coverage, greater
access to high-quality care, and bolstered prevention efforts in the context of
new models of care such as the patient-centered medical home (PCMH). We use case
studies representing three clinical conditions (breast cancer, HIV, and coronary
heart disease) to present strategies for how a PCMH could reduce disparities for
minority women. The case studies highlight the opportunity that further
implementation of the ACA provides to improve screening, risk assessment, and
prevention for a range of conditions that impact the health of minority women, as
well as areas ripe for future investigation.
PMID- 27180685
TI - Language Access and Health Equity: Changes under the Affordable Care Act.
AB - Health disparities between English-proficient and limited English-proficient
(LEP) groups in the United States have been widely documented. Provisions of the
Affordable Care Act (ACA), including increased funding to community health
centers and resources to help consumers who are purchasing Marketplace coverage
afford new access to health care for speakers of languages other than English,
which includes more than 60 million individuals, one-third of whom are LEP. This
commentary discusses the legislative precedent for, successes of, and potential
future directions for the implementation of the ACA as it relates to language
access, health disparities, health equity, access to health care, and the
linguistic needs of the LEP population in the United States.
PMID- 27180687
TI - Community Health Workers' Palliative Care Learning Needs and Training: Results
from a Partnership between a US University and a Rural Community Organization in
Mpumalanga Province, South Africa.
AB - The lack of palliative care knowledge among health care providers is a major
barrier to adequate care in the rural provinces of South Africa (SA). Three aims:
(1) to identify palliative care learning needs of community health workers (CHWs)
working in a non-governmental organization (NGO) in Mpumalanga province SA, (2)
to develop a training session based on the needs identified by the CHWs, and (3)
to describe CHWs' perceptions of the usefulness of the training content. Data
were collected from 29 CHWs in focus group interviews. Content analysis
identified eight palliative care learning needs: HIV/AIDS, palliative care, TB,
sexually-transmitted illnesses, debriefing, care of bedridden patients, other
chronic diseases. Based on three of the most salient learning needs, a training
session was planned and delivered. Future program development should consider
interventions to provide emotional support for CHWs and how to evaluate the
quality and impact of care provided on the community.
PMID- 27180686
TI - Insurance Coverage for Rehabilitation Therapies and Association with Social
Participation Outcomes among Low-Income Children.
AB - OBJECTIVES: (1) To profile children's health insurance coverage rates for
specific rehabilitation therapies; (2) to determine whether coverage for
rehabilitation therapies is associated with social participation outcomes after
adjusting for child and household characteristics; (3) to assess whether
rehabilitation insurance differentially affects social participation of children
with and without disabilities. METHODS: We conducted a cross-sectional analysis
of secondary survey data on 756 children (ages 3-17) from 370 households living
in low-income neighborhoods in a Midwestern U.S. city. Multivariate mixed effects
logistic regression models were estimated. RESULTS: Significantly higher
proportions of children with disabilities had coverage for physical therapy,
occupational therapy, and speech and language pathology, yet gaps in coverage
were noted. Multivariate analysis indicated that rehabilitation insurance
coverage was significantly associated with social participation (OR = 1.67, 95%
CI: 1.013-2.75). This trend was sustained in subgroup analysis. CONCLUSIONS:
Findings support the need for comprehensive coverage of all essential services
under children's health insurance programs.
PMID- 27180688
TI - Public Dental Safety Net in Iowa: Capacity and Readiness for Health Care Reform.
AB - OBJECTIVE: Examine the current capacity of Iowa's public dental safety net and
organizational readiness for implementation of the Affordable Care Act (ACA).
METHODS: We surveyed Iowa Community Health Center (CHC) dental clinics for
factors related to clinic capacity and organizational readiness for ACA-related
change. RESULTS: With 14 clinics (93%) responding, clinics had means of 13,064
patient visits and 23% broken appointments in 2012. Seventy percent of clinics
reported high confidence in their ability to respond to changes associated with
health care reform. However, over 60% agreed that current circumstances limited
their ability to respond to these challenges. CONCLUSIONS: CHC dental clinics in
Iowa understand ACA-related changes regarding their patient population, but are
limited in their ability make needed changes in response to increased demand.
This may affect the clinics' transition into an environment with increased demand
for dental services as a result of Medicaid expansion in Iowa.
PMID- 27180690
TI - Patient Experience Of Provider Refusal Of Medicaid Coverage And Its Implications.
AB - Previous studies show that many physicians do not accept new patients with
Medicaid coverage, but no study has examined Medicaid enrollees' actual
experience of provider refusal of their coverage and its implications. Using the
2012 National Health Interview Survey, we estimate provider refusal of health
insurance coverage reported by 23,992 adults with continuous coverage for the
past 12 months. We find that among Medicaid enrollees, 6.73% reported their
coverage being refused by a provider in 2012, a rate higher than that in Medicare
and private insurance by 4.07 (p<.01) and 3.68 (p<.001) percentage points,
respectively. Refusal of Medicaid coverage is associated with delaying needed
care, using emergency room (ER) as a usual source of care, and perceiving current
coverage as worse than last year. In view of the Affordable Care Act's (ACA)
Medicaid expansion, future studies should continue monitoring enrollees'
experience of coverage refusal.
PMID- 27180691
TI - Enhancing Adolescent Reproductive Health Services: Assessment of Health Care
Practices in Holyoke and Springfield, MA Engaged in the Youth First Initiative.
AB - Health care practices can play a key role in reducing teen pregnancies, though
current health care systems do not adequately meet adolescents' reproductive
health needs. To address this gap, Youth First, a Centers for Disease Control and
Prevention funded, community-wide initiative in Holyoke and Springfield
(Massachusetts) established partnerships with nine local health care practices to
increase adolescent access to health services. However, we had limited knowledge
about their reproductive health services and policies. To address this gap,
assessments were conducted with staff using structured interviews and surveys to
inform targeted efforts to enhance the quality and youth friendliness of
adolescent reproductive health services. Findings revealed that many of the youth
friendly services best practices recommended by the CDC were not routinely
implemented by all health care practices. Findings from this assessment can be
used to support health care practices to facilitate widespread adoption of best
practices related to meeting adolescents' reproductive health needs.
PMID- 27180689
TI - Development of a Practical Model for Targeting Patient Decision Support
Interventions to Promote Colorectal Cancer Screening in Vulnerable Populations.
AB - We sought to develop a practical model for predicting probability of colorectal
cancer (CRC) screening completion in a diverse safety-net population and a
subsequent framework for targeting screening promotion interventions. Data used
to construct the models was prospectively collected from a CRC screening
intervention. Models were cross-validated by randomly splitting the data into
training and validation samples. The predicted probabilities of screening
completion from the final model were trichotomized into framework groups and
cross-validated by defining cut-points in the training sample, applying them to
the validation sample, and comparing across samples for homogeneity. The final
model included demographic and simple psychosocial measures and predicted
screening behavior adequately (AUROC=0.63). The framework groups (cut-points 0.62
(low), 0.74 (medium), and 1.0 (high)) were homogeneous across the two samples.
The model and framework may be useful for designing and delivering targeted
interventions to promote CRC screening. Future research should validate the
framework groups.
PMID- 27180692
TI - Measuring the Integration of Tobacco Policy and Treatment into the Behavioral
Health Care Delivery System: How Are We Doing?
AB - People with a mental illness and/or drug use disorder have a higher rate of
smoking than adults in general. To address this challenge, recommendations
include integrating tobacco-free policies and tobacco dependency treatment into
the behavioral health care delivery system. Currently, little is known regarding
levels of such integration. A 65-item Internet survey measuring integration
assessed three areas: a) policies addressing the use of tobacco products; b)
provision of evidence-based tobacco dependence treatment; and, c) capacity to
help employees/volunteers quit tobacco use. The survey was distributed to
representatives of all behavioral health programs in Wisconsin. The survey
response rate was 27.1%. Programs, on average, were 40% integrated. A significant
proportion of programs (20%) were less than 20% integrated. A few programs (4.3%)
exceeded 80% integration. Integration of tobacco policies and treatment into the
behavioral health care delivery system remains limited and there is a need for
technical assistance and training.
PMID- 27180694
TI - Perceptions of Physical Inspections as a Tool to Protect Housing Quality and
Promote Health Equity.
AB - Physical inspections that assess how well affordable housing properties meet
quality and safety standards help to ensure that low-income tenants live in a
healthy built environment. This study was part of a larger Health Impact
Assessment (HIA) conducted between January 2012 and November 2013 to inform
policymakers about the potential health consequences of a proposed policy
decision to align the physical inspections required by housing funding agencies,
which would result in a reduction of the frequency of physical inspections. Key
informant interviews (n=18) of property managers and tenants were used to explore
the inspection process, identification of housing quality issues, and potential
effects on the health of affordable housing tenants and the impact on property
management practices. Results indicate that physical inspection frequency may be
an important trigger for property managers and tenants to adhere to proper
maintenance schedules.
PMID- 27180693
TI - Lessons Learned from Three Models that Use Small Grants for Building Academic
Community Partnerships for Research.
AB - Despite the direct contribution of community-engaged research towards effective
translation, establishing strong and sustained community academic research
partnerships remains a challenge. The Atlanta Clinical and Translational Science
Institute's Community Engagement Research Program (CERP) has developed and
implemented three models for using small grants to seed new community academic
partnerships for research: 1) community-initiated health projects with faculty
partners, 2) dissemination of discoveries to community partners, and 3) building
collaborative research capacity. In this paper, we describe each model in terms
of its purpose, funding level, funding period, proposal requirements, selection
criteria and faculty involvement. Resulting partnerships are described, along
with benefits and challenges from faculty and community perspectives, and lessons
learned in using these mechanisms to promote community-engaged research. These
models may aid others attempting to promote community-engaged research for the
purpose of narrowing the gap between research, practice and ultimately, impact on
community health.
PMID- 27180695
TI - Hearing the Community: Evolution of a Nutrition and Physical Activity Program for
African American Women to Improve Weight.
AB - Listening to the needs of the community is an important step toward reducing
health disparities. Researchers may need to adjust their methods to maximize
participation and benefit to the community. This report describes how the project
team adjusted its approach to a weight loss intervention to support a community
of African American women seeking to improve their health.
PMID- 27180696
TI - Mujer Sana, Familia Fuerte: The Effects of a Culturally-Relevant, Community
Based, Promotores Program to Increase Cervical Cancer Screening among
Latinas.
AB - OBJECTIVE: Although cervical cancer can be prevented through screening and follow
up, Latinas' rate of Pap tests remains low due to knowledge gaps and cultural and
attitudinal factors. METHODS: This study used a single-group pre-/post-test
design to evaluate the effectiveness of Mujer Sana, Familia Fuerte (Healthy
Woman, Strong Family), an intervention intended to improve Latinas' cervical
cancer prevention knowledge, attitudes, self-efficacy to obtain a Pap test, and
intention to get tested. The intervention is delivered through a single session
by promotores de salud, who use a culturally competent, linguistically
appropriate toolkit. A total of 5,211 Latinas participated in the study. RESULTS:
The evaluation indicated that participants had increases in knowledge, positive
attitudes, self-efficacy, and intention to test. CONCLUSION: Latinas have a low
rate of cervical cancer screening but a high rate of cervical cancer, and Mujer
Sana, Familia Fuerte shows promise as a public health practice for use with this
population.
PMID- 27180698
TI - Age Influences the Effects of Provider Racial Biases on Treatment Adherence and
Blood Pressure Control for African American Hypertensive Patients.
AB - OBJECTIVE: The purpose of the current study was to investigate age as a moderator
of the effects of perceived provider racial biases on treatment adherence and
blood pressure control for African American hypertensive patients. METHODS: One
hundred-eighty patients were recruited from an outpatient medical clinic in the
Southeastern region of the U.S. Moderated regression analyses and multinomial
logistic analyses were used to test the study hypotheses. RESULTS: Findings
revealed that age significantly moderated the effects of perceived provider
biases on treatment adherence, such that older patients exhibited lower scores
for treatment adherence in relation to exposure to provider biases. Logistic
analyses revealed that older patients exhibited significantly greater
probabilities for higher systolic blood pressure in relation to perceived
provider biases. CONCLUSIONS: The findings suggest that subjective appraisal of
exposure to provider racial biases is associated with adverse treatment adherence
and blood pressure control for older African American hypertensive patients.
PMID- 27180697
TI - In their Own Words: Resilience among Haitian Survivors of the 2010 Earthquake.
AB - Social sciences literature highlights the importance of resilience in relation to
risk and trauma. The 2010 Haitian earthquake compounded trauma for a nation that
has endured slavery/despotic leadership, structural violence and poverty. Since
2010, various sources broadly describe Haitian survivors as resilient. We
reviewed definitions of resilience published between 1990 and 2013, comparing
them with perspectives of earthquake survivors from economically diverse
communities in Haiti who, participated in semi-structured interviews (n=38) and
in six focus groups (n=63) between 2010-2011. Haitian resilience accords with
some definitions from the literature. It also comprises independent, discrete,
and isolated contextual resignation and intentional choice to survive and
function-when there is no alternative course of action. Understanding Haitian
resilience, can inform health/mental health and policy interventions, if these
are taken as cultural resources. Intervention efforts should incorporate
survivors' input as key informants on what constitute resilience and
reconstruction goals for them.
PMID- 27180699
TI - 'Our only resource': Perspectives and Recommendations of Rural African American
Youth on Adapting Sexual Health and Risk Reduction Interventions.
AB - Recent studies have documented disproportionately high rates of sexually
transmitted infections (STIs), HIV, and births among adolescents in rural areas
of the United States. Despite this, the majority of sexual health education
programs and interventions were developed for adolescents in metropolitan areas,
and may not be appropriate or relevant for rural youth. The present study
investigates the perspectives of 73 African American youth in rural Georgia who
participated in a cognitive-behavioral intervention to reduce sexual risk
behaviors, in an effort to understand how the intervention and ones similar to it
may be tailored to better meet the needs of rural adolescents. Findings highlight
the importance of incorporating diverse teaching and recruitment strategies into
interventions when delivering them to rural youth, as well as the need to expand
education and risk reduction efforts. Additional research is warranted to
understand better how to meet the sexual health education needs of rural youth.
PMID- 27180700
TI - Predictors of Pain Management among American Indian Cancer Survivors.
AB - There is little research on cancer symptom management among Indigenous
populations. This paper reports on the predictors of cancer pain management among
American Indian cancer patients/survivors and their caregivers/family. The
intervention was a symptom management toolkit delivered via traditional talking
circles vs. standard care (control) at eight randomized reservation and urban
clinic sites in the Southwest. Participants (N=184) were American Indian adults
diagnosed with cancer and/or caregiver/family members. The primary outcome
measure collected via pre-test and post-test questionnaires was the ability to
manage cancer pain. Significant differences at post-test were the ability to
manage cancer-related pain (p=.02) and a close relationship (p=.0018) that proved
significant for intervention participants and was instrumental in fostering their
ability to manage pain. The study also showed improvement in the desire and
ability to improve cancer pain management among intervention participants.
Programs targeting American Indians should use culturally appropriate education
to improve management of cancer-related symptoms.
PMID- 27180701
TI - Bone Mineral Density in Navajo Men and Women and Comparison to Non-Hispanic
Whites from NHANES (2005-2008).
AB - PURPOSE: To describe bone mineral density (BMD) at the hip and spine and
prevalence of low bone mass and osteoporosis in Navajo men and women across age,
gender, and body mass index (BMI) compared with non-Hispanic (NH) Whites from
NHANES (2005-2008). METHODS: Cross-sectional dual energy x-ray absorptiometry
measurements at the hip and spine in 1,097 participants from the Education and
Research Towards Health study. RESULTS: Bone mineral density was lower among
younger Navajo than NH-Whites at lower BMI, and in overweight, younger men at
lumbar spine and total hip. Spine BMD was lower in Navajo women, across BMI.
Prevalence of low bone mass and osteoporosis in Navajo was higher than NH-Whites,
particularly among women. CONCLUSIONS: Further research is needed to understand
if lower BMD among younger Navajo signals a risk for future fracture, and
fracture risk relative to BMD, given the challenges in health care access and
fracture morbidity among minorities.
PMID- 27180702
TI - Measuring Geographic "Hot Spots" of Racial/Ethnic Disparities: An Application to
Mental Health Care.
AB - This article identifies geographic "hot spots" of racial/ethnic disparities in
mental health care access. Using data from the 2001-2003 Collaborative
Psychiatric Epidemiology Surveys(CPES), we identified metropolitan statistical
areas(MSAs) with the largest mental health care access disparities ("hot spots")
as well as areas without disparities ("cold spots"). Racial/ethnic disparities
were identified after adjustment for clinical need. Richmond, Virginia and
Columbus, Georgia were found to be hot spots for Black-White disparities,
regardless of method used. Fresno, California and Dallas, Texas were ranked as
having the highest Latino-White disparities and Riverside, California and
Houston, Texas consistently ranked high in Asian-White mental health care
disparities across different methods. We recommend that institutions and
government agencies in these "hot spot" areas work together to address key
mechanisms underlying these disparities. We discuss the potential and limitations
of these methods as tools for understanding health care disparities in other
contexts.
PMID- 27180703
TI - Physical Activity of Mexican-origin Children: Examining Parental Support.
AB - Objectives Mexican-origin children living along the US-Mexico border in colonias
communities are medically underserved, at great risk for obesity-related
diseases, and rarely meet physical activity guidelines. This study examined the
roles of parental social support and social norms for physical activity among
these children. METHODS: Promotora-researchers conducted one-on-one interview
administered surveys with 99 Mexican-origin mother-child dyads (n=198). Survey
items examined perceptions of familial social support and norms relating to
physical activity. RESULTS: Parental social support for physical activity
received by children was low, and gender differences were detected. Discrepancies
between mother and child perceptions were also detected. CONCLUSIONS: High rates
of overweight and obesity and low familial social support indicate a need for
improved parental social support to increase physical activity levels and combat
obesity among underserved Mexican-origin children residing in Texas border
colonias.
PMID- 27180704
TI - Neighborhoods, Social and Cultural Correlates of Obesity Risk among Latinos
living on the U.S.-Mexico border in Southern California.
AB - OBJECTIVES: We explored the relationship between obesity and neighborhood
related, social, and cultural variables and possible moderation by acculturation
and cross-national practices. METHODS: We obtained data from the 2009 San Diego
Prevention Research Center's community survey, which used multistage sampling
methods to recruit 397 adult respondents and conducted multilevel logistic
analytic methods. RESULTS: Nearly half of the respondents were obese. Respondents
had low acculturation scores and reported crossing the U.S.-Mexico border about
three times per month, mostly to visit family and friends. Neighborhoods where
respondents lived were predominantly Latino and had 27% home ownership. A
significant cross-level interaction emerged: those who reported crossing the
border and reported higher levels of collective efficacy were more likely to be
obese than those who had not crossed. CONCLUSIONS: Study findings provide
evidence of the complex relationship among obesity risk factors in a U.S.-Mexico
border community that warrant further examination to prevent and control obesity.
PMID- 27180705
TI - Building a "Deep Fund of Good Will": Reframing Research Engagement.
AB - BACKGROUND: The engagement of underrepresented populations in health research has
been an ongoing challenge. Yet, the participation of these groups is recognized
as key to health equity. METHODS: Semi-structured interviews with 31 experienced
investigators successful in the recruitment of underrepresented minorities were
analyzed with reference to the concept of social capital to determine: 1) if it
is actually in use by successful researchers although yet unidentified as such;
and 2) if the rubric could shed light on new directions especially for those who
find it difficult to implement community-engaged recruitment methods
systematically. RESULTS: Findings indicate that some elements of the concept of
social capital are being used successfully, but that there are also substantial
barriers to its full implementation. CONCLUSION: A lack of enforceable trust and
associated institutional support for researchers is a detriment to research
engagement. Efforts to remedy this would benefit large research projects,
including clinical trials.
PMID- 27180706
TI - Racial Health Disparities, and Variant Red Cell and Iron Homeostasis.
AB - Oxidative stress from excess iron may contribute to racial health disparities.
Previously we reported improved clinical outcomes with lower ferritin and higher
percent transferrin saturation (%TS) levels in White but not Black participants
with peripheral arterial disease entered to a clinical trial. This report
demonstrates racially variant interactions between hemoglobin, ferritin, and %TS
levels. Lower hemoglobin and %TS levels, and higher ferritin levels were
documented in Black compared with White participants within cardiovascular
disease risk categories. Ferritin levels near 80 ng/mL related to higher
hemoglobin levels in White but not Black participants. Higher %TS levels with
ferritin levels above 80 ng/mL in White participants were blunted in Black
participants. Ferritin/%TS ratios were significantly higher in Black than White
participants. Iron incorporation into hemoglobin and scavenging by transferrin
may buffer iron toxicity more effectively in White than in Black individuals.
Metabolic vulnerability to iron excess may explain, in part, racial health
disparities.
PMID- 27180707
TI - Perceived Interest in Vasectomy among Latina Women and their Partners in a
Community with Limited Access to Female Sterilization.
AB - The low prevalence of vasectomy among Latino men in the United States is often
attributed to cultural characteristics despite limited evidence supporting this
hypothesis. We assessed male partners' perceived willingness to undergo vasectomy
through surveys with 470 Mexican-origin women who did not want more children in
El Paso, Texas. Overall, 32% of women reported that their partner would be
interested in getting a vasectomy. In multivariable analysis, completing high
school (OR=2.03 [1.05, 3.95]), having some college education (OR=2.97 [1.36,
6.48]) or receiving US government assistance (OR=1.95 [1.1, 3.45]) was associated
with partners' perceived interest. Additionally, we conducted two focus groups on
men's knowledge and attitudes about vasectomy with partners of a subsample of
these women. Despite some misperceptions, male partners were willing to get a
vasectomy, but were concerned about cost and taking time off work to recover.
Health education and affordable vasectomy services could increase vasectomy use
among Mexican-origin men.
PMID- 27180708
TI - The health of U.S. agricultural worker families: A descriptive study of over
790,000 migratory and seasonal agricultural workers and dependents.
AB - Migratory and seasonal agricultural workers (MSAWs) are a historically under
served population that experience poor access to health care. The aim of this
study was to describe the demographic, socioeconomic, and health status of U.S.
agricultural workers and their dependents who were patients of a Migrant Health
Center in 2012. The authors used the Uniform Data System to examine demographic,
socioeconomic, and health variables for 793,188 patients of 164 Migrant Health
Centers during 2012. Means, proportions, and period prevalence was calculated for
all variables. Results showed that 80% of MSAWs earned family incomes below 100%
of federal poverty level. Among the reported diagnoses, the most common were
hypertension, diabetes mellitus, and mental health conditions. Fifty-three
percent of all MSAWs and 71% of adult MSAWs were uninsured, indicating that
Migrants Health Centers continue to play a vital role in providing access to
primary health care for MSAWs and their families.
PMID- 27180709
TI - Understanding Pathways to Usual Source of Care among Asian Americans.
AB - Although the prevailing conceptual model for health care access is the Andersen
Behavioral Model of Health Service Use, researchers have not evaluated
empirically whether model pathways are appropriate for Asian Americans (AAs).
Using path analysis with 2009 California Health Interview Survey data, we tested
pathways among predisposing, enabling, and need factors and acculturation factors
for having a usual source of care (USC) among AA adults. Pathway differences
among ethnic subgroups (Chinese, Koreans, and Vietnamese) were also examined. The
model adequately predicted USC among AAs. As expected, insurance was the key
predictor but higher education levels were associated with lower income and lower
income with having a USC. English proficiency also contributed significantly to
the AA model. Ethnic subgroup models varied significantly. Findings suggest that
the Andersen behavioral model is appropriate for studying USC among AAs though
pathways vary by ethnicity. Pathways for education and English proficiency must
be better understood.
PMID- 27180711
TI - Skin Disease in the Uninsured: Diagnoses, Management Decisions, and Referral
Outcomes of an Urban Free Clinic.
AB - An understanding of the burden of skin disease in the uninsured population is
needed to address the unique barriers they face to access dermatologic care. We
conducted a retrospective chart review of patients seen for skin conditions over
three years at the New York City (NYC) Free Clinic, a weekly primary care clinic
operated by the NYU School of Medicine and the Institute for Family Health. Main
outcomes of this study were descriptive analyses of demographic characteristics,
diagnoses, management strategies, and referral outcomes, as well as key factors
influencing referral to a dermatologist and referral attendance. Diagnosis was a
significant predictor of referral (p<.000). The referral attendance rate was
52.5%. Patients older than 50 years were more likely to attend their appointments
than younger patients (p=.025). Gender, wait time, and travel distance had no
significant association with non-attendance. While demand for dermatologic care
by uninsured patients in NYC is high, referral non-attendance remains a
substantial barrier to care.
PMID- 27180710
TI - An Evaluation of the Ho'ouna Pono Curriculum: A Pilot Study of Culturally
Grounded Substance Abuse Prevention for Rural Hawaiian Youth.
AB - This pilot study evaluated the Ho'ouna Pono curriculum, which is a culturally
grounded, school-based, drug prevention curriculum tailored to rural Native
Hawaiian youth. The curriculum focuses on culturally relevant drug resistance
skills training and is aligned with the State of Hawai'i academic standards. Six
Hawai'i Island public middle/intermediate schools randomly assigned to
intervention or treatment-as-usual comparison conditions (N = 213) were evaluated
in this study. Paired sample t-tests separating intervention and comparison
groups were conducted, as well as mixed models that adjusted for random effects
(nesting) at the school level. Findings suggested that the curriculum was
effective in maintaining youths' use of culturally relevant drug resistance
skills, as well as decreasing girls' aggressive behaviors, at six-month follow
up. Unanticipated findings also suggested areas for curricular improvement,
including more emphasis on normative drug education. Implications for future
research and development of the curriculum are discussed.
PMID- 27180712
TI - Characteristics of Homeless Adults Who Died of Drug Overdose: A Retrospective
Record Review.
AB - Drug overdose is a major cause of death among homeless people, but little is
known about the characteristics of homeless overdose decedents. We conducted a
retrospective record review of 219 adult patients of Boston Health Care for the
Homeless Program (BHCHP) who died of drug overdose in 2003-2008. We assessed the
substances implicated in overdose and the health and service use characteristics
of decedents prior to death. Eighty-one percent of overdose deaths involved
opioids and 40% involved multiple drugs. Problem substance use (85%), psychiatric
illness (61%), and chronic pain (45%) were common, and 32% had documentation of
all three. Half were well-connected to BHCHP, and 35% had a clinic visit within
90 days of death. The complex health histories and frequent health care contacts
of homeless drug overdose decedents suggest that clinical facilities may be an
important frontline venue for overdose education, naloxone distribution, and
integrated substance use treatment programming.
PMID- 27180713
TI - Emergency Department Use for Dental Problems among Homeless Individuals: A
Population-Based Cohort Study.
AB - OBJECTIVES: To evaluate emergency department (ED) visits for dental problems
among Toronto's homeless population (Ontario, Canada). METHODS: A random sample
of 1,189 homeless was recruited from shelters and meal programs. Emergency
department visits for non-traumatic dental problems (ICD-10-CA codes K00-K14)
were identified using participants' health insurance number, during 2005-2009.
Age- and sex-matched controls were selected from low-income neighborhoods.
RESULTS: Homeless and matched controls had 182 and 10 ED visits for dental
problems, respectively. Homeless people were more significantly more likely
(OR=2.27, p=.007) to make ED visit for dental problems compared with controls.
Over 80% of the ED visits by homeless people were for odontogenic infections, and
46% of homeless people had more than one such visit. CONCLUSION: The high rate of
ED visits for dental problems by people who are homeless suggests that access to
dental care is inadequate. The large number of repeat visits indicates that ED
settings are ineffective for treatment of dental problems.
PMID- 27180714
TI - The Intergenerational Transfer of Education Credentials and Health: Evidence from
the 2008 General Social Survey-National Death Index.
AB - BACKGROUND: The educational attainment of a parent is a powerful predictor of
children's wellbeing, but little is known about why this is the case. METHODS: We
used the 2008 General Social Survey to explore factors that may explain the
relationship between one's father's education and one's own mortality. These
include (1) intellectual traits, (2) material wellbeing, (3) psychological
characteristics, (4) personality characteristics, and (5) social capital.
RESULTS: The education credentials of one's father are significantly associated
with one's risk of death. The strongest mediators are own educational attainment,
family income, home ownership, and subjective socioeconomic status. To a lesser
extent, respondents' happiness with friends and family and social bonding were
also pathways. CONCLUSIONS: A father's educational attainment appears to
influence his children's health, and may do so not only by improving the child's
material circumstances but also through his or her educational attainment and
other psychological and social characteristics.
PMID- 27180716
TI - Characteristics and Likelihood of Ongoing Homelessness Among Unsheltered
Veterans.
AB - INTRODUCTION: Unsheltered homelessness is an important phenomenon yet difficult
to study due to lack of data. The Veterans Health Administration administers a
universal homelessness screener, which identifies housing status for Veterans
screening positive for homelessness. METHODS: This study compared unsheltered and
sheltered Veterans, assessed differences in rates of ongoing homelessness, and
estimated a mixed-effect logistic regression model to examine the relationship
between housing status and ongoing homelessness. RESULTS: Eleven percent of
Veterans who screened positive for homelessness were unsheltered; 40% of those
who rescreened were homeless six months later, compared with less than 20% of
sheltered Veterans. Unsheltered Veterans were 2.7 times as likely to experience
ongoing homelessness. DISCUSSION: Unsheltered Veterans differ from their
sheltered counterparts-they are older, more likely to be male, less likely to
have income-and may be good candidates for an intensive housing intervention.
Future research will assess clinical characteristics and services utilization
among this population.
PMID- 27180718
TI - Erratum.
PMID- 27180719
TI - Note from the Editor: Part 1: Health Policy and ProgramsPart 2: Racial and Ethnic
Minority HealthPart 3: Epidemiology.
PMID- 27180715
TI - Post-traumatic Stress Disorder Symptoms and Mental Health over Time among Low
Income Women at Increased Risk of HIV in the U.S.
AB - Women living in poverty suffer more post-traumatic stress disorder (PTSD)
symptoms than do members of the general population; however we know little about
factors associated with changes in their PTSD symptoms over time. Using data from
HPTN 064, a cohort of women from low-income, high-HIV-prevalence communities
across six eastern states (n=1,860), we assessed the prevalence of and changes in
PTSD symptoms over 12 months and the effect of potential predictors on symptom
acquisition and remission (via the Primary Care-PTSD symptoms scale). Forty-three
percent screened positive for PTSD symptoms. Those reporting food insecurity,
ongoing abuse, depressive symptoms, or binge drinking were more likely to acquire
PTSD symptoms. Those with ongoing abuse or depressive symptoms were less likely
to experience PTSD symptom remission. Findings suggest a need to integrate
programs to reduce abuse, depression, and economic hardship with those that
address sexual health risks among women living in low-income, high-HIV-prevalence
neighborhoods.
PMID- 27180720
TI - Sharing the Educational Wealth: A Nursing and Health Informatics Program
Collaboration.
PMID- 27180721
TI - Mixed-ligand copper(II) complexes activate aryl hydrocarbon receptor AhR and
induce CYP1A genes expression in human hepatocytes and human cell lines.
AB - The effects of four copper(II) mixed-ligand complexes [Cu(qui1)(L)]NO3.H2O (1-3)
and [Cu(qui2)(phen)]NO3 (4), where qui1=2-phenyl-3-hydroxy-4(1H)-quinolinone,
Hqui2=2-(4-amino-3,5-dichlorophenyl)-N-propyl-3-hydroxy-4(1H)-quinolinone-7
carboxamide, L=1,10-phenanthroline (phen) (1), 5-methyl-1,10-phenanthroline
(mphen) (2), bathophenanthroline (bphen) (3), on transcriptional activities of
steroid receptors, nuclear receptors and xenoreceptors have been studied. The
complexes (1-4) did not influence basal or ligand-inducible activities of
glucocorticoid receptor, androgen receptor, thyroid receptor, pregnane X receptor
and vitamin D receptor, as revealed by gene reporter assays. The complexes 1 and
2 dose-dependently induced luciferase activity in stable gene reporter AZ-AhR
cell line, and this induction was reverted by resveratrol, indicating involvement
of aryl hydrocarbon receptor (AhR) in the process. The complexes 1, 2 and 3
induced CYP1A1 mRNA in LS180 cells and CYP1A1/CYP1A2 in human hepatocytes through
AhR. Electrophoretic mobility shift assay EMSA showed that the complexes 1 and 2
transformed AhR in its DNA-binding form. Collectively, we demonstrate that the
complexes 1 and 2 activate AhR and induce AhR-dependent genes in human
hepatocytes and cancer cell lines. In conclusion, the data presented here might
be of toxicological importance, regarding the multiple roles of AhR in human
physiology and pathophysiology.
PMID- 27180723
TI - Heterometallic trinuclear {CoLn(III)} (Ln = Gd, Tb, Ho and Er) complexes in a
bent geometry. Field-induced single-ion magnetic behavior of the Er(III) and
Tb(III) analogues.
AB - Through the use of a multi-site compartmental ligand, 2-methoxy-6-[{2-(2
hydroxyethylamino)ethylimino}methyl]phenol (LH3), the family of heterometallic,
trinuclear complexes of the formula [CoLn(L)2(MU-O2CCH3)2(H2O)3].NO3.xMeOH.yH2O
has been expanded beyond Ln = Dy(III) to include Gd(III) (), Tb(III) (), Ho(III)
() and Er(III) () for , and (x = 1; y = 1) and for (x = 0; y = 2). The metallic
core of these complexes consists of a (Co(III)-Ln(III)-Co(III)) motif bridged in
a bent geometry resulting in six-coordinated distorted Co(III) octahedra and nine
coordinated Ln(III) monocapped square-antiprisms. The magnetic characterization
of these compounds reveals the erbium and terbium analogues to display a field
induced single-ion magnetic behavior similar to the dysprosium analogue but at
lower temperatures. The energy barrier for the reversal of the magnetization of
the CoTb(III) analogue is Ueff >= 15.6(4) K, while for the CoEr(III) analogue
Ueff >= 9.9(8) K. The magnetic properties are discussed in terms of distortions
of the 4f electron cloud.
PMID- 27180722
TI - Marked variation between winter and spring gut microbiota in free-ranging Tibetan
Macaques (Macaca thibetana).
AB - Variation in the availability and distribution of food resources is a strong
selective pressure on wild primates. We explored variation in Tibetan macaque gut
microbiota composition during winter and spring seasons. Our results showed that
gut microbial composition and diversity varied by season. In winter, the genus
Succinivibrio, which promotes the digestion of cellulose and hemicellulose, was
significantly increased. In spring, the abundance of the genus Prevotella, which
is associated with digestion of carbohydrates and simple sugars, was
significantly increased. PICRUSt analysis revealed that the predicted metagenomes
related to the glycan biosynthesis and metabolic pathway was significantly
increased in winter samples, which would aid in the digestion of glycan extracted
from cellulose and hemicellulose. The predicted metagenomes related to
carbohydrate and energy metabolic pathways were significantly increased in spring
samples, which could facilitate a monkey's recovery from acute energy loss
experienced during winter. We propose that shifts in the composition and function
of the gut microbiota provide a buffer against seasonal fluctuations in energy
and nutrient intake, thus enabling these primates to adapt to variations in food
supply and quality.
PMID- 27180724
TI - Juvenile hormone facilitates the antagonism between adult reproduction and
diapause through the methoprene-tolerant gene in the female Colaphellus bowringi.
AB - In insects, the process whereby juvenile hormone (JH) regulates short-day (SD)
induced reproductive diapause has been previously investigated. However, we still
do not understand the mechanism by which JH regulates long-day (LD)-induced
reproductive diapause. In this study, we use a cabbage beetle, Colaphellus
bowringi, which is a serious pest of cruciferous vegetables in Asia capable of
entering reproductive diapause under LD conditions, as a model to test whether JH
regulates female reproductive diapause similar to the mechanism of SD-induced
diapause. Our results showed that the JH analog (JHA) methoprene significantly
induced ovarian development but inhibited lipid accumulation of diapause-destined
adults. Meanwhile, the transcripts of the vitellogenin (Vg) genes were
upregulated, whereas the expression of the fat synthesis and stress tolerance
genes were downregulated. RNA interference of the JH candidate receptor gene
methoprene-tolerant (Met) blocked JH-induced ovarian development and Vg
transcription, suggesting a positive regulatory function for JH-Met signaling in
reproduction. Furthermore, under reproduction-inducing conditions, Met depletion
promoted a diapause-like phenotype, including arrested ovarian development and
increased lipid storage, and stimulated the expression of diapause-related genes
involved in lipid synthesis and stress tolerance, suggesting JH-Met signaling
plays an important role in the inhibition of diapause. Accordingly, our data
indicate that JH acts through Met to facilitate development of the reproductive
system by upregulating Vg expression while inhibiting diapause by suppressing
lipid synthesis and stress tolerance in the cabbage beetle. Combined with
previous studies in SD-induced reproductive diapause, we conclude that JH may
regulate female reproductive diapause using a conserved Met-dependent pathway,
regardless of the length of the photoperiod inducing diapause in insects.
PMID- 27180725
TI - Ecdysteroid signalling components in metamorphosis and development of the desert
locust, Schistocerca gregaria.
AB - The arthropod-specific hormone family of ecdysteroids plays an important role in
regulating diverse physiological processes, such as moulting and metamorphosis,
reproduction, diapause and innate immunity. Ecdysteroids mediate their response
by binding to a heterodimeric complex of two nuclear receptors, the ecdysone
receptor (EcR) and the retinoid-X-receptor/ultraspiracle (RXR/USP). In this study
we investigated the role of EcR and RXR in metamorphosis and development of the
desert locust, Schistocerca gregaria. The desert locust is a voracious,
phytophagous, swarming pest that can ruin crops and harvests in some of the
world's poorest countries. A profound knowledge of the ecdysteroid signalling
pathway can be used in the development of more target-specific insecticides to
combat this harmful plague insect. Here we report an in-depth profiling study of
the transcript levels of EcR and RXR, as well as its downstream response genes,
in different tissues isolated throughout the last larval stage of a
hemimetabolous insect, showing a clear correlation with circulating ecdysteroid
titres. Using RNA interference (RNAi), the role of SgEcR/SgRXR in moulting and
development was investigated. We have proven the importance of the receptor
components for successful moulting of locust nymphs into the adult stage. Some
SgEcR/SgRXR knockdown females were arrested in the last larval stage, and 65 % of
them initiated vitellogenesis and oocyte maturation, which normally only occurs
in adults. Furthermore, our results clearly indicate that at the peak of
ecdysteroid synthesis, on day six of the last larval stage, knockdown of
SgEcR/SgRXR is affecting the transcript levels of the Halloween genes, Spook,
Shadow and Shade.
PMID- 27180726
TI - Functional and immunohistochemical characterization of CCEae3a, a
carboxylesterase associated with temephos resistance in the major arbovirus
vectors Aedes aegypti and Ae. albopictus.
AB - Temephos is a major organophosphate (OP) larvicide that has been used extensively
for the control of Aedes albopictus and Aedes aegypti, the major vectors for
viral diseases, such as dengue fever, zika and chikungunya. Resistance to
temephos has been recently detected and associated with the upregulation of
carboxylesterases (CCEs) through gene amplification, in both species. Here, we
expressed the CCEae3a genes which showed the most striking up-regulation in
resistant Aedes strains, using the baculovirus system. All CCEae3a variants
encoded functional enzymes, with high activity and preference for p-nitrophenyl
butyrate, a substrate that was shown capable to differentiate temephos resistant
from susceptible Aedes larvae. Enzyme kinetic studies showed that CCEae3as from
both Ae. aegypti and Ae. albopictus (CCEae3a_aeg and CCEae3a_alb, respectively)
strongly interact with temephos oxon and slowly released the OP molecule,
indicating a sequestration resistance mechanism. No difference was detected
between resistant and susceptible CCEae3a_aeg variants (CCEae3a_aegR and
CCEae3a_aegS, respectively), indicating that previously reported polymorphism is
unlikely to play a role in temephos resistance. HPLC/MS showed that CCEae3as were
able to metabolize temephos oxon to the temephos monoester [(4-hydroxyphenyl)
sulfanyl] phenyl O,O-dimethylphosphorothioate. Western blot and
immunolocalization studies, based on a specific antibody raised against the
CCEae3a_alb showed that the enzyme is expressed at higher levels in resistant
insects, primarily in malpighian tubules (MT) and nerve tissues.
PMID- 27180727
TI - Structure, evolution, and expression of antimicrobial silk proteins, seroins in
Lepidoptera.
AB - The silks of silkworm and waxworm contain abundant antimicrobial proteins,
including protease inhibitors and seroins. Protease inhibitors have antifungal
activities, whereas seroins have antiviral and antibacterial activities. In order
to obtain insights into the structure, evolution, and expression of seroins, we
performed an extensive survey based on the available genome, transcriptome, and
expressed sequence tags datasets. Sixty-four seroins were identified in 32
lepidopteran species. The phylogenetic and structural analyses revealed that
seroins can be classified into five subfamilies: seroin 1, seroin 2, seroin 3,
seroin 2 + 1, and seroin 3 + 3. It is interesting that seroin 2 + 1 contains two
tandem seroin domains, seroin 2 and seroin 1, whereas seroin 3 + 3 has two tandem
seroin 3 domains. Each seroin domain contains a proline-rich N-terminal motif and
a conserved C-terminal motif. The transcriptome and EST data indicated that
seroin 1 and seroin 2 genes were expressed in the silk gland but seroin 3 genes
were not. Semi-quantitative RT-PCR and western blot analyses suggested that
seroin 1 and seroin 2 were constantly accumulated in the silk gland of silkworm
during the fifth instar, and then secreted into cocoon silk during spinning.
Immunofluorescence analyses indicated that seroin 1 was secreted into the fibroin
and sericin layers, whereas seroin 2 protein was only secreted into the sericin
layer. However, the antimicrobial activity of seroin 2 was more effective than
that of seroin 1. The presence of seroin 1 in the fibroin layer suggested that
this protein not only acts as an antimicrobial protein, but might also play a
role in the assembly and secretion of fibroins. Seroin 3, which was first
identified here, might be related to pheromone synthesis or recognition, as it
was highly expressed in male antennae and in the pheromone gland.
PMID- 27180728
TI - High resolution study of the spatial distributions of abyssal fishes by
autonomous underwater vehicle.
AB - On abyssal plains, demersal fish are believed to play an important role in
transferring energy across the seafloor and between the pelagic and benthic
realms. However, little is known about their spatial distributions, making it
difficult to quantify their ecological significance. To address this, we employed
an autonomous underwater vehicle to conduct an exceptionally large photographic
survey of fish distributions on the Porcupine Abyssal Plain (NE Atlantic, 4850 m
water depth) encompassing two spatial scales (1-10 km(2)) on and adjacent to a
small abyssal hill (240 m elevation). The spatial distributions of the total fish
fauna and that of the two dominant morphotypes (Coryphaenoides sp. 1 and C.
profundicolus) appeared to be random, a result contrary to common expectation but
consistent with previous predictions for these fishes. We estimated total fish
density on the abyssal plain to be 723 individuals km(-2) (95% CI: 601-844). This
estimate is higher, and likely more precise, than prior estimates from trawl
catch and baited camera techniques (152 and 188 individuals km(-2) respectively).
We detected no significant difference in fish density between abyssal hill and
plain, nor did we detect any evidence for the existence of fish aggregations at
any spatial scale assessed.
PMID- 27180729
TI - Transporter protein and drug-conjugated gold nanoparticles capable of bypassing
the blood-brain barrier.
AB - Drug delivery to the central nervous system (CNS) is challenging due to the
inability of many drugs to cross the blood-brain barrier (BBB). Here, we show
that wheat germ agglutinin horse radish peroxidase (WGA-HRP) chemically
conjugated to gold nanoparticles (AuNPs) can be transported to the spinal cord
and brainstem following intramuscular injection into the diaphragm of rats. We
synthesized and determined the size and chemical composition of a three-part
nanoconjugate consisting of WGA-HRP, AuNPs, and drugs for the treatment of
diaphragm paralysis associated with high cervical spinal cord injury (SCI). Upon
injection into the diaphragm muscle of rats, we show that the nanoconjugate is
capable of delivering the drug at a much lower dose than the unconjugated drug
injected systemically to effectively induce respiratory recovery in rats
following SCI. This study not only demonstrates a promising strategy to deliver
drugs to the CNS bypassing the BBB but also contributes a potential nanotherapy
for the treatment of respiratory muscle paralysis resulted from cervical SCI.
PMID- 27180730
TI - Accuracy of Estimating Step Counts and Intensity Using Accelerometers in Older
People With or Without Assistive Devices.
AB - The purpose of this study was to examine the accuracy of uni- and triaxial
accelerometers in monitoring step counts and gait intensity in older people who
did or did not use an assistive device. Forty-nine healthy and frail older adults
wore uniaxial (Lifecorder, Suzuken Co. Ltd.) and triaxial accelerometers
(Activity Monitor, Matsushita Electronic Works, Ltd., and Active Style Pro, Omron
Healthcare Co., Ltd.) during three trials at different gait speeds. All
accelerometers gave relatively accurate step counts for healthy older
participants compared with direct observation; however, the error was greater for
frail older people with assistive devices. Gait intensity detection error was
unaffected by gait speed. Among frail older people with assistive devices, the
gait intensity error was smaller than for step count error. To accurately assess
the steps walked or the gait intensity among frail older people using assistive
devices, more study is needed on these groups of participants.
PMID- 27180731
TI - Syphilis iridocyclitis in a patient with type 1 diabetes.
AB - We present a rare cause of iridocyclitis in a patient with vitiligo and type 1
diabetes who showed poor metabolic control, and suffered from remitting fever,
weight loss, fatigue, diffuse arthralgias and reduced visual acuity. Mild
systemic symptoms coupled with increased cholestasis enzymes, insulin resistance,
mild inflammation and a functioning adrenal gland focused our clinical work-up on
granulomatous causes of iridocyclitis. Specific tests confirmed syphilis, with no
involvement of the central nervous system. Ocular syphilis, despite being
unusual, can be the only manifestation of the disease. The work-up of any
unexplained ocular inflammation should include testing for syphilis so as to not
delay the diagnosis.
PMID- 27180732
TI - DNA barcoding of three species (Canis aureus, Canis lupus and Vulpes vulpes) of
Canidae.
AB - Sequences of the mitochondrial cytochrome c oxidase subunit I (COI) gene have
been used for DNA barcoding and determining the genetic diversity of mammal
species. In the current study, our intention was to test the validity of COI
barcodes for detecting genetic divergence and to reveal whether or not there is a
genetic variation at this marker within canids. Three species (Canis aureus,
Canis lupus and Vulpes vulpes) from the family Canidae were selected for DNA
barcoding using samples collected from Iran and Turkey. All three species had
unique barcoding sequences and none of the sequences were shared among these
species. The mean sequence divergences within and among the species were 0.61%
and 12.32%, respectively, which fell into the mean divergence ranges found in
some mammal groups. The genetic diversity of these three canid species was
relatively higher than that found in previously reported studies.
PMID- 27180734
TI - Acetylation of aromatic cysteine conjugates by recombinant human N
acetyltransferase 8.
AB - 1. The mercapturic acid (MA) pathway is a metabolic route for the processing of
glutathione conjugates to MA (N-acetylcysteine conjugates). An N
acetyltransferase enzyme, NAT8, catalyzes the transfer of an acetyl group from
acetyl-CoA to the cysteine amino group, producing a MA, which is excreted in the
urine. We expressed human NAT8 in HEK293T cells and developed an HPLC-MS method
for the quantitation of the S-aryl-substituted cysteine conjugates and their MA.
2. We measured the activity of the enzyme for acetylation of benzyl-, 4
nitrobenzyl-, and 1-menaphthylcysteine substrates. 3. NAT8 catalyzed the
acetylation of all three cysteine conjugates with similar Michaelis-Menten
kinetics.
PMID- 27180733
TI - Challenging Case of Postmenopausal Bleeding and Complete Urogenital Duplication.
AB - BACKGROUND: Mullerian duct anomalies represent a wide spectrum of congenital
abnormalities ranging from simple uterine anomalies to more complex multisystem
derangements. Complete duplication of uterus, cervix, and vagina may be
associated with urologic and caudal gastrointestinal malformations. CASE REPORT:
We present a case report detailing the management of a morbidly obese patient
with postmenopausal bleeding and thickened endometrial stripe who had a very rare
condition of pelvic organ duplication, including 2 hemiuteri, 2 vaginas, 2
hemibladders, and 2 each of ovaries, fallopian tubes, kidneys, and ureters.
Laparoscopic hysterectomy was complicated by difficulties understanding urinary
system anatomy requiring intraoperative urology consultation and imaging.
CONCLUSIONS: Management of patients with urogenital duplication and abnormal
uterine bleeding requires a thorough understanding of possible associated
malformations. Thorough preoperative evaluation, careful surgical exploration,
and multidisciplinary approach may be necessary to avoid urologic injury in such
patients.
PMID- 27180735
TI - Closing the knowledge gaps on MERS: three and half years since its detection,
what have we learnt and what needs to be done urgently?
PMID- 27180736
TI - Evaluation of factors associated with failure of tuberculosis treatment under
DOTS in northern Islamic Republic of Iran.
AB - Tuberculosis treatment failure remains a major health problem in many parts of
the Islamic Republic of Iran. This study was conducted to identify the risk
factors associated with failure of tuberculosis treatment under the DOTS strategy
in two cities of Golestan province. A retrospective cohort study in 2012-2013 was
conducted on 167 smearpositive tuberculosis patients monitored under DOTS.
Demographic, clinical and treatment outcome factors and social determinants of
health were recorded for each patient. Multivariate regression analysis found
that the strongest predictors of tuberculosis treatment failure were: being
infected with other diseases (OR 9.35; 95% CI: 3.01-29.1), male sex (OR 5.03; 95%
CI: 1.58-16.1), Turkoman ethnicity (OR 11.0; 95% CI: 2.00-60.1), family history
of tuberculosis (OR 0.21; 95% CI: 0.05-0.96) and household size (OR 1.21; 95% CI:
0.99-1.48). Recommendations include better follow-up for patients with other
diseases and facilitating access to treatment, especially for Turkoman patients.
PMID- 27180737
TI - Epidemiology of tuberculosis and evaluation of treatment outcomes in the national
tuberculosis control programme, River Nile state, Sudan, 2011-2013.
AB - Tuberculosis is a major health problem in Sudan, a country that carries 11-15% of
the tuberculosis burden in the Eastern Mediterranean Region. This study aimed to
describe the epidemiology of tuberculosis in River Nile State and to compare
treatment outcomes with WHO recommended indicators. A descriptive study was
conducted on data collected from records of 1221 patients registered at
tuberculosis management units over the 3 years 2011-2013. The mean age of cases
was 37.7 (SD 21.5) years and 65.9% were males; 76.3% were pulmonary tuberculosis
and 36.9% were sputum smear-positive cases. Average values for all outcome
indicators were suboptimal, notably rates of case notification (30.8 per 100
000), case detection (10.3%), treatment success (79.6%), treatment failure
(3.0%), default (8.1%) and death (8.0%). Of the 264 patients tested for HIV, 3.8%
were positive. Outcome indicators for the national tuberculosis control programme
are lagging behind the required targets.
PMID- 27180738
TI - Descriptive epidemiology of physical activity among Omani adults: the Oman World
Health Survey, 2008.
AB - There is an increasing burden of obesity and obesity-related noncommunicable
diseases in Gulf Cooperation Council countries, including Oman. This descriptive,
epidemiological study assessed physical activity among 2977 Omani adults using a
population-based household survey in 2008. Overall, 54.2% of men and 41.6% of
women were physically active; the rate was higher in younger cohorts and varied
significantly by region of residence. Physical activity related to the
transportation (walking and cycling) domain was higher than in the leisure or
work domains. Unmarried men aged 30-39 years were twice as likely to be
physically active (OR 2.25) and unmarried women aged 40+ years were half as
likely to be active (OR 0.58) than their married counterparts. Young women not
working were less active (OR 0.18) than working women. Higher education was
significantly associated with leisure activity for men aged 30+ years and women
aged 40+ years. Further research to understand regional variations and to
identify culturally appropriate strategies to promote physical activity is
required.
PMID- 27180739
TI - Exploring the characteristics of public reproductive health services in the
Islamic Republic of Iran from the perspectives of unmarried women: what needs to
be changed?
AB - This qualitative study in the Islamic Republic of Iran aimed to explore
facilitators and barriers to the use of reproductive health services by unmarried
women. A purposive sample of unmarried women aged 25-60 years in Isfahan city
were interviewed about their experiences of reproductive health services in
public health centres. Content analysis of responses revealed that the favourable
characteristics of reproductive health services in public centres were services
that: were delivered by personnel of the same sex in a woman-friendly environment
and available at a suitable price, and did not label clients. In contrast, the
following characteristics made public health centres undesirable for unmarried
women: not addressing single women for reproductive health services; lack of
privacy; failure to maintain confidentiality; doubts about skills and scientific
ability of personnel; and lack of integration of services.
PMID- 27180740
TI - Men's perceptions of and participation in family planning in Aqaba and Ma'an
governorates, Jordan.
AB - Men's participation in family planning has become a cornerstone in women's
reproductive health. The aim of this study was to examine knowledge, attitudes
and practice towards family planning of Jordanian men in southern Jordan. In a
cross-sectional descriptive study in 2012, structured interviews were made with
104 married men in Aqaba and Ma'an governorates. Although 93.5% of the men had
heard about family planning-most commonly the intrauterine device (IUD) and oral
contraceptives-only 45.1% reported that they and their wife currently used it.
Most men agreed about a minimum 2 years' child spacing (93.3%) and starting
contraception after childbirth (71.2%) and that husband and wife should share
decisions about family planning (90.2%) and the number of children (89.5%). Level
of education significantly affected current use of contraception, while number of
children significantly affected previous use of contraception. Although married
men had positive attitudes and good knowledge about family planning, this did not
translate into practice of contraceptive use.
PMID- 27180741
TI - Measuring health-related quality of life in the population of Tetouan, Morocco,
by the SF-36: normative data and the influence of gender and age.
AB - Measuring health-related quality of life (HRQOL) is an essential complement to
medical evaluation. However, few studies of this type have been conducted in
Morocco. This study aims to develop normative data for the SF-36 and analyse the
HRQOL according to gender and age in the population of Tetouan city, Morocco. The
SF-36 was administered to a sample selected by quotas containing 385 subjects
aged over 16 years living in Tetouan. Comparisons of means were done to determine
the significance of differences. The study population perceived mental health to
be worse than physical health. Men presented significantly higher mean scores
than women for all domains of the SF-36. Perceived health, especially physical
health, declines with age, and so participants over the age of 55years recorded a
poor perception of their health in the majority of domains measured. The results
highlight the vulnerability of the two groups: women and the elderly.
PMID- 27180742
TI - Isolation frequency and susceptibility pattern of non-O1 and non-O139 Vibrio
cholerae in a tertiary health care laboratory, 1999-2012.
AB - In the past decade the importance of non-O1 and non-O139 strains of Vibrio
cholerae has been highlighted globally. This study aimed to evaluate the
frequency and antimicrobial susceptibility profile of non-O1 and non-O139 V.
cholerae in Pakistan. Data of stool specimens yielding growth of non-O1 and non
O139 V. cholerae isolated at a national referral laboratory from 1999 to 2012
were retrospectively analysed and evaluated for resistance to ampicillin,
tetracycline, chloramphenicol, co-trimoxazole and ofloxacin. A total of 95 800
stool samples submitted over 1999-2012 yielded 3668 strains of V. cholerae, of
which 6% were non-O1 and non-O139 V. cholerae. A high isolation rate was found in
the summer season, with a peak in the year 2003. Antimicrobial susceptibility
data revealed increasing resistance to co-trimoxazole and ampicillin, but strains
remained highly susceptible to ofloxacin. Active surveillance of serotypes and
antimicrobial susceptibility is essential to predict future epidemics and define
measures to curtail the disease.
PMID- 27180744
TI - [Focus on the mechanisms of diabetic kidney disease].
PMID- 27180743
TI - Evaluating the English language scientific writing skills of Saudi dental
students at entry level.
AB - Better knowledge is needed about the effectiveness of preparatory English
language courses for the health professions. This study evaluated the scientific
writing skills of students finishing their preparatory year of a bachelor of
dentistry programme in Saudi Arabia. A cross-sectional study was conducted in
2014-15 among first-year dental students at the University of Dammam. Students
were asked to write a 150-word English language assignment that was analysed for
writing statistics and problems using Microsoft Word and plagiarism detection
software. Of the 89 respondents, female students used a significantly greater
number of words than did male students and their assignments had significantly
lower Flesch reading ease scores. Male students had significantly lower odds of
using references (OR 0.04) and higher odds of making punctuation and grammar
mistakes (OR 2.63 and 3.91 respectively). One course of scientific writing in the
preparatory year may not be enough to develop adequate writing skills among
undergraduate dental students.
PMID- 27180745
TI - [Genetics of diabetic nephropathy].
PMID- 27180746
TI - [Value of estimated glomerular filtration rate in diagnosis of senile diabetic
kidney disease].
PMID- 27180747
TI - [Correlation between serum free fatty acid level and estimated glomerular
filtration rate in type 2 diabetic patients].
AB - OBJECTIVE: To investigate the relationship between serum free fatty acid (FFA)
level and glomerular filtration rate (GFR) in patients with type 2 diabetes
mellitus (T2DM). METHODS: A total of 442 T2DM patients treated in Sir Run Run
Shaw Hospital from January 2013 to June 2015 were retrospectively analyzed and
divided into three groups according to estimated glomerular filtration rate
(eGFR) levels using modified modification of diet in renal disease (MDRD)
formula: eGFR>=90 ml.min(-1).1.73 m(-2)group (group A, 227 cases), 60 ml.min(
1).1.73 m(-2)<=eGFR<90 ml.min(-1).1.73 m(-2)group (group B, 118 cases), and
eGFR<60 ml.min(-1).1.73 m(-2)group (group C, 97 cases). In addition, 50 body mass
index (BMI)-matched non-diabetic subjects were selected as control group. Fasting
serum FFA level was measured in each group, and its relationship with eGFR was
analyzed. RESULTS: FFA level in group C[(450+/-203)MUmol/L]was significantly
higher than that in group A[(326+/-167)MUmol/L], group B[(394+/-184)MUmol/L]and
control group[(320+/-90)MUmol/L](all P<0.05). Meanwhile, FFA level in group B was
higher compared with that in group A (P<0.05). However, no statistical difference
was found in FFA level between group A and Control group (P>0.05). Multiple
linear regression analysis using eGFR as the dependent variable demonstrated that
uric acid (UA), FFA, triglyceride (TG), total cholesterol (TC), albuminuria,
hypertension, smoking and duration of diabetes were all independent risk factors
for decreased eGFR (all P<0.05). CONCLUSION: The present results suggest that
increased FFA level might be involved in the development of diabetic nephropathy.
PMID- 27180748
TI - [Effect of metanephric mesenchyme cells on podocytes apoptosis induced by high
glucose].
AB - OBJECTIVE: To study the effect of metanephric mesenchyme cells on podocytes
apoptosis induced by high glucose. METHODS: Mice's podocyte was cultured in
vitro,and apoptosis and injury model of podocyte was then established by high
glucose (30 mmol/L) induction. Metanephric mesenchyme cells were extracted from
E13.5 mouse embryos and used to make conditioned medium which was used to treat
podocytes apoptosis. The flow cytometry and confocal fluorescence imaging were
used to detect the apoptosis ratio and cytoskeletal protein (synaptopodin)
expression of podocyte at several time points (24, 48, 72 h), in order to explore
the effect of high glucose on podocytes and the treatment effect of metanephric
mesenchyme cell conditioned medium. RESULTS: Significant increasing of podocyte
apoptosis ratio and decreasing in synaptopodin expression contrast to control
group was observed after induction of high glucose, with a statistical
difference. Metanephric mesenchyme cells could be isolated from E13.5 mouse
embryos successfully, and had the capacity of osteogenic and adipogenic
differentiation. Metanephric mesenchyme cell conditioned medium and high glucose
stimulations was used to generate podocytes cells. Compared to the group treated
with high glucose stimulation, the flow cytometry detection result suggested that
metanephric mesenchyme cell could reduce podocytes apoptosis in a dose-dependent
manner: with increasing in the concentration of metanephric mesenchyme cell
conditioned medium, the treatment effect was better. CONCLUSION: Metanephric
mesenchyme cells could prevent apoptosis and injury of podocyte induced by high
glucose.
PMID- 27180749
TI - [In vivo study of the renoprotective effects of EGCG in diabetic db/db mice].
AB - OBJECTIVE: To explore the renoprotective effects of (-)-epigallocatechin-3
gallate (EGCG) and its potential mechanism in type 2 diabetic db/db mice.
METHODS: 8-week-old db/db mice (6 h fasting plasma glucose >16.7 mmol/L) were
allocated randomly into Control group (non-intervention group, n=8), EGCG A group
(50 mg.kg(-1).d(-1,)n=8), EGCG B group (100 mg.kg(-1).d(-1,)n=8). Before the
study and after the intervention (in the 4(th)and 8(th)week), the body weight,
the level of fasting plasma glucose, oral glucose tolerance test (OGTT) were
measured and 24 h urine samples were collected. 24 h proteinuria was measured by
routine chemical method. The levels of angiotensin II(AngII), fasting plasma
insulin and urinary 8-OHdG were measured with enzyme-linked immunosorbent assay
(ELISA). The protein expression levels of angiotensin II type 1 receptor (AT-1R),
nicotinamide adenine dinucleotide phosphate (NADPH) oxidase subunit P22-phox,
NADPH oxidase subunit P47-phox, phospho-extracellular regulated protein kinases
(p-Erk1/2), phospho-P38 mitogen-activated protein kinase (p-P38MAPK), phospho
phosphatidylinositol 3-hydroxy kinase (p-PI3K) and phospho-protein kinase B (p
AKT) were determined by Western blot. The renal pathological changes were
examined by the method of PAS (periodic acid-Schiff stain). RESULTS: After 8
weeks of treatment with EGCG, the level of fasting plasma glucose
decreased[(14.4+/-1.0) mmol/L, (14.2+/-0.7) mmol/L vs. (17.2+/-0.8) mmol/L]; the
level of fasting plasma insulin increased[(13.2+/-1.2)mU/L, (13.4+/-1.3) mU/L vs.
(9.9+/-1.0) mU/L]; the area under the curve (AUC) of OGTT decreased[(49.3+/-1.8)
mmol.L(-1).h(-1,)(44.8+/-0.7) mmol.L(-1).h(-1)vs. (60.0+/-0.8) mmol.L(-1).h(-1)];
the level of 24 h proteinuria[(8.8+/-1.0) mg, (8.6+/-1.1) mg vs. (11.7+/-1.3)
mg]and urinary 8-OHdG[(90+/-5) ng/d, (78+/-5) ng/d vs. (118+/-10) ng/d]decreased;
the level of serum Ang-II[(498+/-23) ng/L, (511+/-19) ng/L vs. (688+/-17)
ng/L]and renal cortex AngII[(367+/-5) ng/L, (384+/-10) ng/L vs. (406+/-7)
ng/L]decreased; the expression levels of AT-1R, P22-phox, P47-phox, p-Erk1/2, p
P38MAPK downregulated obviously and the expression levels of p-PI3K, p-AKT
increased significantly (P<0.05), and renal pathology improved as compared with
the control group. After 8 weeks of treatment with EGCG, the level of urinary 8
OHdG decreased (P=0.007) and the AUC of OGTT also decreased (P=0.01) in EGCG B
group when compared with the EGCG A group. CONCLUSION: EGCG protects the kidney
in diabetic db/db mice via anti-oxidative stress pathway, as well as inhibiting
Erk1/2-P38MAPK pathway and improving PI3K-AKT signaling transduction pathway.
PMID- 27180750
TI - [Clinical characteristics of acute cerebral hemorrhage in patients with systemic
malignancy].
AB - OBJECTIVE: To investigate the clinical features of systemic malignancy patients
with acute cerebral hemorrhage as well as its underlying mechanism. METHODS: The
clinical data, including presentation, lab tests and neurological images, of
systemic malignancy patients with acute cerebral hemorrhage at the First
Affiliated Hospital of Guangxi Medical University between January 2003 and
December 2014 were collected and analyzed. RESULTS: Among 61 326 systemic
malignancy patients, 25 patients(0.04%)were found with acute cerebral hemorrhage
and were enrolled. Out of these 25 patients, age ranged from 31 to 77 years old,
with an average age of 61 years, 18 patients were males. The clinical features of
the systemic malignancy patients with acute cerebral hemorrhage were found that
most patients (14/25, 54.0%) lacked traditional risk factors, with sudden symptom
onset and some degree of neurologic deficiency in all patients, and most
hemorrhagic lesions (19/25, 76.0%) involved the hemicerebrum, for most patients
(16/25, 60.0%) cerebral hemorrhage occurred after 3 days to 3 years of the
malignancy diagnosis, and some malignancy patients (8/25, 32.0%) presented with
cerebral hemorrhage as the first presentation. The common subtypes of malignancy
found were lung cancer (8/25, 32.0%), liver cancer (7/25, 28.0%), and then
gastric carcinoma (6/25, 24.0%). Most patients (22/25, 88.0%) had elevated plasma
level of cancer biochemical marks (including one or more than one of cancer
antigen 125, 153 and 199, carcino-embryonic antigen, and alpha fetal protein),
most patients (16/25, 64.0%) were found to have coagulation disorder.
CONCLUSIONS: The unique clinical features of the systemic malignancy patients
with acute cerebral hemorrhage are most patients lacking traditional risk
factors, with coagulation disorder and with hemorrhagic lesions in hemicerebrum.
And coagulation disorder might be responsible for the cerebral hemorrhage.
PMID- 27180751
TI - [Safety of implantation permanent pacemaker at different times in patients with
dual antiplatelet therapy].
AB - OBJECTIVE: To investigate the safety of implantation permanent pacemaker (PM) at
different times in patients with dual antiplatelet (DAPT) therapy after
implantation of drug-eluting stent (DES). METHODS: A total of 105 patients who
implanted pacemakers with DAPT therapy (clopidogrel and aspirin) after
implantation of DES admitted to our hospital from January 2009 to January 2015
were enrolled in the study. The patients were divided into 3 groups: dual
antiplatelet therapy (DAP) group: implanting PM within 3 months after coronary
stenting, continuous DAPT during perioperative period; low molecular weight
heparin (LMWH) bridging group: implanting PM within 3 to 6 months after coronary
stenting, DAPT interruption for 5 days replaced with subcutaneous injection of
enoxaparin (1 mg/kg), twice per day, enoxaparin was stopped 12 h before
operation; asprin group, implanting PM after coronary stenting 6 months,
clopidogrel interruption for 5 days. Oral DAPT was returned 12-24 after operation
according to the patients' condition. Peri-operative cardiovascular events and
bleedings, infections were observed. RESULTS: There was no major adverse cardiac
event (MACE) and pouch infection were observed among 3 groups in perioperation.
The incidence of pocket hemorrhage and errhysis in bridging group was
significantly higher than that of DAP group and asprin group (16.7%, 8.0%, 0.0%
chi(2)=10.431, P=0.005 and 29.2%, 12.0%, 7.1% chi(2)=6.321, P=0.042
respectively). 3 patients had severe bleeding (12.5%, 3/24) in bridging group;
compared with the other 2 groups, there were significant difference
(chi(2)=9.161, P=0.010). The incidence of hematoma and hemorrhage of asprin group
was lowest. CONCLUSIONS: LMWH bridging therapy significantly increases the risk
of pacemaker pocket hematoma and hemorrhage, and the pacemaker implantation may
be safer after DES implantation 6 months.
PMID- 27180752
TI - [Effect of preoperative factors on the clinical outcome of total knee
arthroplasty].
AB - OBJECTIVE: To analyze the effect of preoperative factors on the clinical outcome
in patients receiving total knee replacement. METHODS: From January 2011 to
September 2013, 145 patients (206 knees; 22 males, 31 knees; 123 females, 175
knees)receiving total knee arthroplasty were successfully included in this study
and followed up. The average ages was (66.5+/-7.6) years old(range, 51-83 years
old). The data of preoperative factorsincludedgender, age, body mass index (BMI),
preoperative range of motion (ROM), the knee varus angle, flexion deformity and
preoperative the hospital for special surgery (HSS) scorewere collected. The
correlation between preoperative factors and the clinical outcome (postoperative
HSS score, postoperative ROM)after total knee replacement was evaluated
statistically. RESULTS: The average follow-up time was 35 months (range, 24-56
months). The HSS score was increased from (55.1+/-7.6) preoperativelyto (89.3+/
5.1) postoperatively. ROM elevated from (95.6+/-10.0) degrees preoperatively to
(115.1+/-7.8) degrees postoperatively.The correlation analysis showed that the
postoperative ROM was positively correlated with the preoperative ROM, and was
negatively correlated with the BMI, the knee varus angle(r=-0.864, -0.353, all
P<0.01). The postoperative HSS score was positively correlated with preoperative
ROM, preoperative HSS score(r=0.101, 0.244, P=0.033, P<0.01), and was negatively
correlated with the BMI (r=-0.277, P=0.039). CONCLUSIONS: Total knee arthroplasty
can definitely improve the function of knee joint. The BMI, the preoperative ROM,
the knee varus angle and preoperative HSS score have influence on postoperative
function of patients receiving total knee arthroplasty.
PMID- 27180753
TI - [Diagnosis and management of atypical femoral fractures: clinical efficacy in 11
cases].
AB - OBJECTIVE: To evaluate the clinical efficacy of intramedullary nail fixation in
atypical femoral fractures and explore the management approach. METHOD: From
January 2010 to December 2014, a total of 116 patients over 60 years old with
femoral intertrochanteric fractures or femoral shaft fractures were treated in
Department of Orthopaedics and Traumatology. Among the patients, 11 with atypical
femoral fractures, aged from 62 to 82 ( 69.3+/-5.6) years old, received surgical
treatments. There were 2 males (18.2%) and 9 females (81.8%). 5 patients (45.5%)
had the prodromal symptom of thigh pain. 9 patients (81.8%) had the history of
long-term use of bisphosphonates with the duration of 3 to 9 (5.3+/-2.1) years.
All the fractures received close reduction and antegrade intramedullary nail
fixation. The Thoresen score and EuroQol 5 dimensions scores (EQ-5D) were applied
to evaluate the extremity function and life quality after surgery. RESULT: All
the operations were performed successfully. At least 1 years follow-up was
accomplished with the follow-up period of 1 to 4 (1.8+/-0.9) years. There were 8
(72.7%) excellent, 2 (18.2%) good, 1 (9.1%) fair according to the Thoresen score
with total excellent to good rate up to 90.9% at the last follow-up. The EQ-5D
scores showed the life quality was similar with that before injury (P>0.05).
Fracture healing was achieved in all 11 cases with time from 3 to 8 (5.7+/-1.6)
month. There was no case complicated with infection, nonunion or implant failure
during the follow-up period. No contralateral atypical femoral fracture was
observed. CONCLUSION: Atypical femoral fractures are uncommon in the clinical
practice. Accurate diagnosis and appropriate management approach are the keys of
satisfactory outcomes.
PMID- 27180754
TI - [Establishment of a predicting model to evaluate the probability of malignancy or
benign in patients with solid solitary pulmonary nodules].
AB - OBJECTIVE: To develop a predicting model for evaluating the probability of
malignancy or benign in patients with solid solitary pulmonary nodules through
analyzing the clinical, radiologic, laboratory examination and radionuclide (18)F
Fluorodeoxyglucose examinations data. METHODS: The data of the 203 patients(110
males and 93 females) with solid SPN who underwent surgical resection with
definite postoperative pathological diagnosis from January 2012 to December 2014
in Shanghai Chest Hospital (group A)were retrospectively analyzed. The clinical
data included age, gender, history of smoking, history of tumor; radiologic data
included diameter in lung window, location, shape, clear border, lobulation,
spiculation, vascular convergence, tumor cycle blood vessel, density,
calcification, pleura indentation; laboratory examination included five serum
tumor markers consisting of CA125, CEA, CYFRAL21-1, NSE, SCC. (18)F
Fluorodeoxyglucose examinations included (18)F-FDG PET-CT or SPECT. The
independent predictors of malignancy were estimated through univariate and
multivariate analysis, then the predicting model was built. Another 110 patients
with solid SPN(group B)from January 2015 to December 2015 with definite
pathological diagnosis were used to validate the predictive value of the model.
RESULTS: There were 159(78.3%) cases of malignancy and 44(21.7%) cases of benign
in group A. Logistic regression analysis showed age, clear border, spiculation,
calcification and (18)F-FDG examination were independent predictors of malignancy
in patients with solid SPN(P<0.05). A predicting nomogram was built according to
the result of the multivariate logistic regression analysis. The area under the
ROC curve was 0.890+/-0.038 for group B. The cut off value was 0.708. The
sensitivity in group B was 86%, specificity 80%, accuracy 84.5%. CONCLUSION: Age
of patients, clear border, spiculation, calcification and (18)F-FDG examination
were independent predictors of malignancy in patients with solid SPN. The model
showed good diagnosis efficiency in external validation, and could be applied to
make decision for patients with solid SPN.
PMID- 27180755
TI - [Clinical value of lung ultrasound in the late goal-directed fluid removal in
critically ill patients underwent fluid resuscitation].
AB - OBJECTIVE: To investigate the clinical value of lung ultrasound in the late goal
directed fluid removal in critically ill patients underwent fluid resuscitation.
METHODS: A prospective study was conducted. Forty patients underwent fluid
resuscitation were enrolled in the Department of Surgical Intensive Care Unit of
The First Affiliated Hospital of Sun Yat-sen University from Jan 2015 to June
2015. Lung and heart ultrasound were conducted for lung B-lines and left
ventricular ejection fraction (EF). Serum amino-terminal pro-brain natriuretic
peptide (NT-proBNP), central venous pressure (CVP) and serum creatinine were also
measured and fluid balance was recorded in all patients enrolled. RESULTS: Among
the 40 patients enrolled, 35 patients survived and 5 died. In patients survived,
B-lines reached its peak at 12(30)h after admitted to ICU. It started to decrease
instantly after the peak and reached zero at (39+/-34) h. A higher peak was
followed with more fluids to be removed later and longer ICU stay (P<0.01).
Moreover, when compared with the survivors, B-lines in death reached a higher
peak[7(8) vs 3(4), P<0.01]and without the tendency to drop down. EF was lower in
death than in survivor (44.5%+/-3.5% vs 69.2%+/-11.0%, P<0.05). A lower EF was
found to be followed with a higher peak of B-lines. The peak time of NT-proBNP
and clinical dehydration treatment were later than the peak time of B-lines in
survivors. CONCLUSIONS: Fluid overloading occurs in late stage after
resuscitation in critically ill patients. Lung ultrasound B-lines, which is more
sensitive than the NT-proBNP and CVP, could help to monitor the patient's fluid
status and guide the late goal-directed fluid removal.
PMID- 27180756
TI - [Hodotopical researchonneural pathway of Chinese language in posterior inferior
frontal gyrus].
AB - OBJECTIVE: To investigate the hodotopical organization of neural pathway of
Chinese in posterior inferior frontal gyrus. Forprovide the basis for the
individual protectionoflanguage functionin the operation of the language
functional area. METHODS: Twenty volunteers underwentlanguage mapping using
repetitive navigated transcranial magnetic stimulation(nTMS)to identify the
essential language sites in posterior inferior frontal gyrus.Then, DTI
tractography was used to reconstruct language-relevant fiber tracts within
posterior inferior frontal lobe. Finally, the relationships between essential
language sites and language-relevant fiber tracts were analyzed. RESULTS:
(1)Eighteen subjects hadlanguage sites which induce repeatable language errors in
posterior inferior frontal gyrus. The total number of essential language sites
was 39.46% of essential language sites located in ventral precentralgyrus, 41% in
parsopercularis and 13% in parstriangularis. (2)Long segment of arcuate
fasciculus had the probability of 100%to project into ventral precentralgyrus.
Anterior segment of arcuate fasciculus had the probability of 100%to project into
ventral precentralgyrus. Inferior frontal occipital fasciculus had the
probability of 94% to project into parstriangularis. Uncinate fasciculus had the
probability of 67% to project into parstriangularis. Aslantfiber had the
probability of 100% to project into parsopercularis.(3)When the essential
language site located in ventral precentralgyrus, it had the probability of 82%
to correlate with long segment of arcuate fasciculus. When the essential language
site located in parsopercularis, it had the probability of 79%to correlate with
aslant fiber. When the essential language site located in parstriangularis, it
had the probability of 60% to correlate with inferior frontal occipital
fasciculus. CONCLUSION: Essential language sites within distinct locations were
conducted through different fasciculus, which enlightensour neurosurgeons thatin
order to achieve both maximal lesions resection and language function protection,
we shouldprotectboththe essential language cortices and language relevant
subcortical connection according to each individual's hodotopical organization.
PMID- 27180757
TI - [The clinical characteristics and microsurgery treatment of patients with spinal
cord glioblastoma].
AB - OBJECTIVE: The aim is to explore the clinical characteristics of spinal cord
glioblastoma and the therapeutic effect of microsurgery. METHODS: The clinical
data of 18 patients with spinal cord glioblastoma from January 2011 to December
2014 in Beijing Tiantan Hospital were retrospectively analyzed including the
clinical characteristics, the microsurgery treatment and the postoperative
radiochemotherapy. RESULTS: There were 12 cases for subtotal resection, 4 cases
for partial resection and 2 cases for biopsy of the intraspinal tumors under
microscope. The nervous system symptoms were improved in 11 cases, no changes in
5, deterioration in 2 and no deaths within 3 months after the operation. Among
those, 5 cases (100%) with preoperative McCormick grade I, 4 (57%) with grade II,
2 (50%) with grade III, and 0 with grade IV had improved. There were McCormick
gradeI8 cases, grade II 5 cases, grade III 2 cases and grade IV 3 cases within 3
months after the operation. Seventeen patients were followed up from 6 to 36
months, and 1 patients was lost to follow-up. Five patients returned to normal
work and study, while 8 died. The median survival time was 16 months.
CONCLUSIONS: Spinal cord glioblastoma is highly malignant with low incidence and
poor prognosis, which should be performed by early operative treatment and
postoperative adjuvant radiochemotherapy.
PMID- 27180758
TI - [Value of susceptibility weighted imaging in hepatic fibrosis staging by using MR
in a rabbit model].
AB - OBJECTIVE: To assess the feasibility of susceptibility weighted imaging (SWI) in
staging hepatic fibrosis(HF). METHODS: Sixty healthy rabbits were divided into
three groups: HF group(n=32), control group(n=16), supplementary group(n=12).
Rabbits in HF group and supplementary group were injected subcutaneously with 50%
CCl4 oil solution to establish hepatic fibrosis model. On the basis of
preliminary test, eight rabbits from HF group and four rabbits from control group
underwent liver conventional MR scans and SWI once a time at 4, 5, 6, 10 weeks
after CCl4 administration.After MR scans at each time point, rabbits were killed
to detect pathological staging with Scheuer staging.The liver signal intensity
(SI) and liver-to-muscle SI ratios (SIR) were measured. According to the Scheuer
classification of histological fibrosis stages, the correlation about the SI
value, SIR value and the histological fibrosis stages was investigated by using
the Spearman correlation test. The receiver operating characteristic (ROC) curve
was used to evaluate the diagnostic performance of SWI for staging HF on the
basis of the histopathologic analysis of HF. RESULTS: There were fifteen rabbits
in pathological staging F0, the value of SIR and SI was 0.974 +/- 0.018, 374+/
44, SIR values of pathological staging from F1 to F4 were 0.963 +/- 0.018, 0.796
+/- 0.023, 0.786 +/- 0.025, 0.512+/-0.024 respectively. SI values of pathological
staging from F1 to F4 were 372+/-18, 376+/-22, 346+/-15, 288+/-19 respectively.
In the early period of liver fibrosis, there were no statistical differences in
the SI value between F0 and F1, F1 and F2 stage.With progression of hepatic
fibrosis, from F2 to F4, SI value decreased, the difference was statistically
significant (P<0.05). With the progress of liver fibrosis, SIR value was reduced.
It was negatively correlated with the HF stages and SIR value(r=-0.896, P<0.05).
ROC curve analysis showed that the efficiency of SI value diagnosis in liver
fibrosis was high in the late stage of liver fibrosis, but it was low in the
early stage.The performance of liver-to-muscle SI ratio on SWI was high in the
early stage. Liver-to-muscle SI ratio had a higher diagnostic performance than SI
in the diagnosis of liver fibrosis stages. CONCLUSION: SWI can be a safe,
reliable method for staging hepatic fibrosis and provide quantitative imaging
basis for clinical treatment.
PMID- 27180760
TI - [Effects of subchorionic hematoma on pregnancy outcome: a meta analysis].
AB - OBJECTIVE: To study the effects of subchorionic hematoma (SCH) on pregnancy
outcome. METHODS: We searched publications from January 2000 to July 2015 for
cohort and case-control studies evaluating subchorionic hematoma and perinatal
outcomes, including spontaneous abortion, premature delivery and delivery mode.
RESULTS: Data of 6 articles analyzed by meta-analysis showed that SCH group had
higher rate of spontaneous abortion, while no difference in premature delivery
and delivery mode, compared with threatened abortion group. CONCLUSION:
Subchorionic hematoma increases the risk of spontaneous abortion, while premature
delivery rate and delivery mode are not affected if the pregnant women can
continue the pregnancy.
PMID- 27180759
TI - [Meta-analysis of the combination of warfarin and clopidogrel after coronary
stenting in patients with indications for chronic oral anticoagulation].
AB - OBJECTIVE: To investigate the safety and efficacy of dual antithrombotic regimen
of warfarin and clopidogrel in patients who underwent coronary stenting and were
with chronic oral anticoagulation. METHODS: Two investigators independently
searched Pubmed, Embase and Cochrane for all reported studies, and yielding 6
articles, published before April 2015, enrolling 4 825 patients, follow-up for at
least 12 months. Two investigators independently recorded the data regarding
interventions and the occurrence of major bleeding, ischemic stroke, myocardial
infarction and death. RevMan5.3 was used to do analysis. RESULTS: Patients on
dual antithrombotic regimen had insignificant reduction in major bleeding (odds
ratio[OR]was 0.73, 95% confidence interval[CI]was from 0.46 to 1.14, and P=0.16)
as compared with triple therapy. While the risk of ischemic stroke (OR= 0.78,
95%CI:0.44-1.38, P=0.39), myocardial infarction (OR= 1.19, 95%CI:0.92-1.53,
P=0.18) and the overall incidence of death (OR=0.95, 95%CI:0.56-1.60, P=0.84)
were also comparable between the two regimens. CONCLUSION: Dual antithrombotic
regimen of warfarin and clopidogrel is comparable to the recommended triple
therapy in respect to the prevention of thromboembolic outcomes of MI/ death and
ischemic stroke, while the risk of bleeding is similar in those patients with
indications for chronic oral anticoagulation undergoing percutaneous coronary
intervention with stent implantation.
PMID- 27180761
TI - Corrigendum.
PMID- 27180784
TI - In vivo antibacterial activity of Garcinia mangostana pericarp extract against
methicillin-resistant Staphylococcus aureus in a mouse superficial skin infection
model.
AB - CONTEXT: Garcinia mangostana Linn. (Guttiferae) (GM) pericarp has been shown to
exhibit good in vitro antibacterial activity against methicillin-resistant
Staphylococcus aureus (MRSA); however, there is currently no available
information regarding its in vivo antibacterial activity. OBJECTIVE: To examine
in vivo antibacterial activity of G. mangostana extract against MRSA. MATERIALS
AND METHODS: GM pericarp was extracted by ethanol (GM-EtOH) and methanol (GM
MeOH). The crude extracts were examined for in vitro antibacterial activity
against MRSA using broth microdilution assay. The in vivo antibacterial activity
of 10% GM-EtOH against MRSA was determined in a tape stripping mouse model of
superficial skin infection for 9 days by evaluating transepidermal water loss
(TEWL) and performing colony counts from cultured swabs. RESULTS: GM-EtOH showed
greater in vitro activity against MRSA than GM-MeOH in broth microdilution assay
with minimum inhibitory concentration 17 versus 20 MUg/mL and minimum
bactericidal concentration 30 versus 35 MUg/mL, respectively. The GM-EtOH (13.20
+/- 0.49%) contained alpha-mangostin more than the GM-MeOH (9.83 +/- 0.30%). In
the tape stripping mouse model, 10% GM-EtOH reduced the number of MRSA colonies
(0-1) recovered from infected wounds (>100 colonies) on the first day of
treatment, restored TEWL to normal levels on the fourth day, and had completely
healed the wounds by day 9. CONCLUSION: GM-EtOH showed promising in vivo
antibacterial activity against MRSA in a superficial skin infection model in
mice. It is of interest to develop a topical formulation of GM-EtOH to further
study its potential as a novel antibacterial agent.
PMID- 27180762
TI - Vincristine pharmacokinetics pathway and neurotoxicity during early phases of
treatment in pediatric acute lymphoblastic leukemia.
AB - AIM: Vincristine is an important component of acute lymphoblastic leukemia (ALL)
treatment protocols that can cause neurotoxicity. Patients treated with LAL/SHOP
protocols often suffer from vincristine-related neurotoxicity in early phases of
treatment. Recently, a genome-wide association study connected a SNP in CEP72,
involved in vincristine pharmacodynamics, with neurotoxicity during later phases
of therapy, which was not replicated during induction phase. These results,
together with previous studies indicating that polymorphisms in pharmacokinetic
genes are associated with drug toxicity, suggest that changes in the activity or
levels of vincristine transporters or metabolizers could work as predictors of
vincristine-related neurotoxicity in early phases of treatment in pediatric ALL.
PATIENTS & METHODS: We analyzed 150 SNPs in eight key genes involved in
vincristine pharmacokinetics and in 13 miRNAs that regulate them. We studied
their correlation with neurotoxicity during induction phase in 152 ALL patients
treated with LAL/SHOP protocols. RESULTS: The strongest associations with
neurotoxicity were observed for two SNPs in ABCC2. The genotypes rs3740066 GG and
rs12826 GG were associated with increased neurotoxicity. CONCLUSION:
Polymorphisms in ABCC2 could be novel markers for vincristine-related
neurotoxicity in pediatric ALL in early phases.
PMID- 27180785
TI - Adherence in dermatology.
AB - Non-adherence to treatment and medical recommendations is one of the leading
causes of treatment failure, poor clinical outcomes, and increased healthcare
utilization. Although non-adherence is observed across all medical specialties,
adherence to treatment in dermatology deserves special attention given the
multiple different routes of treatment. Adherence can be measured using
subjective methods (patient reporting and questionnaires) or objective methods
(pill counts, electronic chips, and pharmacy records). Adherence to dermatologic
treatments varies based on the specific condition but is poor for systemic
therapies and even worse with topical agents. Among the factors that influence
adherence, duration of treatment, complexity of regimen, and access play a large
role. Interventions to improve adherence can range from simplifying treatment
regimens to scheduling more frequent office visits. Due to the profound effect on
cost, healthcare outcomes, and mortality, understanding and improving adherence
is equally as important as making the correct diagnosis and prescribing the
correct treatment.
PMID- 27180786
TI - The Impact of Chronic Glycogen Synthase Kinase-3 Inhibition on Remodeling of
Normal and Pre-Diabetic Rat Hearts.
AB - PURPOSE: There is an ongoing search for new drugs and drug targets to treat
diseases like Alzheimer's disease, cancer and type 2 diabetes (T2D). Both obesity
and T2D are characterized by the development of a cardiomyopathy associated with
increased hypertension and compensatory left ventricular hypertrophy. Small,
specific glycogen synthase kinase-3 (GSK-3) inhibitors were developed to replace
lithium chloride for use in psychiatric disorders. In addition, they were
advocated as treatment for T2D since GSK-3 inhibition improves blood glucose
handling. However, GSK-3 is a regulator of hypertrophic signalling in the heart
via phosphorylation of NFATc3 and beta-catenin respectively. In view of this, we
hypothesized that chronic inhibition of GSK-3 will induce myocardial hypertrophy
or exacerbate existing hypertrophy. METHODS: Rats with obesity-induced
prediabetes were treated orally with GSK-3 inhibitor (CHIR118637 (CT20026)), 30
mg/kg/day for the last 8 weeks of a 20-week diet high in sugar content vs a
control diet. Biometric and biochemical parameters were measured,
echocardiography performed and localization and co-localization of NFATc3 and
GATA4 determined in cardiomyocytes. RESULTS: Obesity initiated myocardial
hypertrophy, evidenced by increased ventricular mass (1.158 +/- 0.029 vs 0.983 +/
0.03 g) and enlarged cardiomyocytes (18.86 +/- 2.25 vs 14.92 +/- 0.50um(2)) in
association with increased end-diastolic diameter (EDD = 8.48 +/- 0.11 vs 8.15 +/
0.10 mm). GSK-3 inhibition (i) increased ventricular mass only in controls
(1.075 +/- 0.022 g) and (ii) EDD in both groups (controls: 8.63 +/- 0.07; obese:
8.72 +/- 0.15 mm) (iii) localized NFATc3 and GATA4 peri-nuclearly. CONCLUSION:
Indications of onset of myocardial hypertrophy in both control and obese rats
treated with a GSK-3 inhibitor were found. It remains speculation whether these
changes were adaptive or maladaptive.
PMID- 27180788
TI - Metronidazole-Induced Encephalopathy: A Case Report.
PMID- 27180787
TI - Delphinidin prevents disuse muscle atrophy and reduces stress-related gene
expression.
AB - Delphinidin is a member of the anthocyanidin class of plant pigments. We examined
the effects of delphinidin on muscle atrophy. Oral administration of delphinidin
suppressed the muscle weight loss induced by mechanical unloading. Microarray
analysis showed that delphinidin suppresses the upregulation of oxidative stress
related gene expression, including the expression of Cbl-b. Thus, delphinidin may
prevent unloading-mediated muscle atrophy.
PMID- 27180789
TI - Keloids and Hypertrophic Scars Can Now Be Cured Completely: Recent Progress in
Our Understanding of the Pathogenesis of Keloids and Hypertrophic Scars and the
Most Promising Current Therapeutic Strategy.
AB - Keloids and hypertrophic scars are fibroproliferative disorders of the skin that
are caused by abnormal healing of injured or irritated skin. It is possible that
they are both manifestations of the same fibroproliferative skin disorder and
just differ in terms of the intensity and duration of inflammation. These
features may in turn be influenced by genetic, systemic, and local risk factors.
Genetic factors may include single nucleotide polymorphisms, while systemic
factors may include hypertension, pregnancy, hormones, and cytokines. The most
important local factor is tension on the scar. Over the past 10 years, our
understanding of the pathogenesis of keloids and hypertrophic scars has improved
markedly. As a result, these previously intractable scars are now regarded as
being treatable. There are many therapeutic options, including surgery,
radiation, corticosteroids, 5-fluorouracil, cryotherapy, laser therapy, anti
allergy agents, anti-inflammatory agents, bleaching creams and make-up therapies.
However, at present, we believe that the following combination of three therapies
most reliably achieves a complete cure: surgery, followed by radiation and the
use of steroid tape/plaster.
PMID- 27180790
TI - Different Patterns of Acetylation and Dimethylation of Histone H3 between Young
and Aged Cases with Chronic Tonsillitis: Influences of Inflammation and Aging.
AB - INTRODUCTION: Epigenetics is now considered to be crucially involved in normal
genetics and differentiation and in pathological conditions, such as cancer,
aging, and inflammation. Epigenetic mechanisms involve DNA methylation and
histone modifications. The purpose of this study was to investigate the effects
of inflammation on epigenetics in young subjects and the effect of aging.
MATERIALS AND METHODS: The palatine tonsils were extracted from child and adult
patients with chronic tonsillitis. Hematoxylin-eosin staining was performed to
examine the morphology of the palatine tonsils. A fluorescence immunological
examination was also performed to detect acetyl-histone H3 or dimethyl-histone
H3. Confocal scanning microscopy was used for observations. RESULTS: Acetylated
histone H3 was detected in tonsils from child patients but not from adult
patients. Dimethylated histone H3 was not detected in tonsils from either group
of patients. Degeneration of the tonsillar structures was apparent in tonsils
from adult patients. DISCUSSION: The differential expression of acetylated
histone H3 Lys9 may reflect immunological differences between young and aged
tonsils. The decrease observed in the activity of histone methyltransferase
induced the down-regulated expression of methylated histone H3. CONCLUSION: Our
results suggest that epigenetic changes participate in chronic inflammation and
aging in the palatine tonsils. Although the results do not lead to a direct
treatment, the epigenetic pathogenesis of chronic inflammation, such as
immunoglobulin A nephropathy, by focal infections will be described in greater
detail in future studies, which will lead to new treatments being developed.
PMID- 27180791
TI - Urgent Catheter Ablation in Octogenarians with Serious Tachyarrhythmias.
AB - BACKGROUND: Urgent catheter ablation is often required for various
tachyarrhythmias; however, its efficacy and safety in elderly patients have not
been fully elucidated. METHODS: This study included consecutive octogenarians who
underwent urgent radiofrequency catheter ablation (RFCA) for various serious
tachyarrhythmias (urgent group, n=28) that were life-threatening, hemodynamically
deleterious, or provoking ischemia, and consecutive octogenarians who underwent
elective RFCA (control group, n=36). The rate of a successful RFCA,
complications, later arrhythmia recurrences, and mortality were compared between
the groups. RESULTS: There was no significant difference in the breakdown of the
targeted arrhythmias between the groups, and common-type atrial flutter was most
often targeted in both the urgent group (57%) and the elective group (56%).
Compared with the control group patients, the patients of the urgent group were
older (84+/-3 vs. 82+/-2 years P=0.001), with a higher frequency of baseline
heart disease (68% vs. 17%, P<0.001) and lower left ventricular ejection fraction
(45%+/-15% vs. 68%+/-10%, P<0.001). The rates of acute success (100% vs. 100%,
P=1.00) and later arrhythmia recurrences (4% vs. 14%, P=0.22) were comparable
between the groups. Two patients in the urgent group and 2 in the elective group
had procedure-related nonlethal complications (7% vs. 6%, P=1.00): groin hematoma
in 2, pressure ulcer in 1, and CO2 narcosis in 1. There were no in-hospital
deaths, and mortality during follow-up did not differ between the urgent and
elective groups (6.0% vs. 3.9% per year, log-rank P=0.38). CONCLUSION: Even in
octogenarian patients, urgent catheter ablation for serious tachyarrhythmias can
be safely performed with a high success rate and acceptable prognosis.
PMID- 27180792
TI - Usefulness of Single Photon Emission Computed Tomography/Computed Tomography
Fusion-Hybrid Imaging to Evaluate Coronary Artery Disorders in Patients with a
History of Kawasaki Disease.
AB - BACKGROUND: The coronary arterial lesions of Kawasaki disease are mainly dilative
lesions, aneurysms, and stenotic lesions formed before, after, and between
aneurysms; these lesions develop in multiple branches resulting in complex
coronary hemodynamics. Diagnosis of myocardial ischemia and infarction and
evaluation of the culprit coronary arteries and regions is critical to evaluating
the treatment and prognosis of patients. This study used hybrid imaging, in which
multidetector computed tomographic (CT) images for coronary CT angiography (CCTA)
and stress myocardial perfusion single-photon emission CT (SPECT) images were
fused. We investigated the diagnosis of blood vessels and regions responsible for
myocardial ischemia and infarction in patients with complex coronary arterial
lesions; in addition, we evaluated myocardial lesions that developed directly
under giant coronary artery aneurysms. METHODS: The subjects were 17 patients
with Kawasaki disease with multiple coronary arterial lesions (median age, 18.0
years; 16 male). Both CCTA using 64-row CT and adenosine-loading myocardial SPECT
were performed. Three branches, the right coronary artery (RCA), left anterior
descending branch (LAD), and left circumflex branch, were evaluated with the
conventional side-by-side interpretation, in which the images were lined up for
diagnosis, and hybrid imaging, in which the CCTA and SPECT images were fused with
computer processing. In addition, the myocardial lesions directly under giant
coronary artery aneurysms were investigated with fusion imaging. RESULTS: Images
sufficient for evaluation were acquired in all 17 patients. In the RCA, coronary
arterial lesions were detected with CCTA in 16 patients. The evaluations were
consistent between the side-by-side and fusion interpretation in 14 patients, and
the blood vessel responsible for the myocardial ischemic region was identified in
2 patients. In the left circumflex branch, coronary arterial lesions were
confirmed with 3-dimensional CT in 5 patients, and the the culprit coronary
arteries for myocardial ischemia/infarction were confirmed with the fusion
interpretation but not with the side-by-side interpretation. In the LAD, coronary
arterial lesions were present in all patients, and the diagnosis was made with
the fusion interpretation in 10 patients. In the LAD, small-range infarct lesions
were detected directly under the giant coronary artery aneurysm in 8 patients,
but were not confirmed with the side-by-side interpretation. CONCLUSION: Fusion
imaging was capable of accurately evaluating myocardial ischemia/infarction as
cardiovascular sequelae of Kawasaki disease and confirming the culprit coronary
arteries. In addition, analysis of fusion images confirmed that small-range
infarct lesions were concomitantly present directly under giant coronary artery
aneurysms in the anterior descending coronary artery.
PMID- 27180793
TI - Combining Fulvestrant with Low-Dose Capecitabine is Effective and Tolerable in
Woman with Metastatic Breast Cancer.
AB - Although the use of endocrine therapy in combination with intravenous
chemotherapy has not been standardized, the combination of fulvestrant and
chemotherapy may be promising. A 62-year-old woman came to our hospital's
outpatient clinic with extensive ascites. Approximately 10 years earlier, she had
undergone mastectomy and sentinel lymph node biopsy. Pathologically invasive
lobular carcinoma, with a maximum diameter of 28 mm, had been diagnosed in the
left breast. The cancer had a histological grade of 2, was positive for estrogen
receptor (95% or more positive cells), and was negative for both progesterone
receptor (less than 1% positive cells) and human epidermal growth factor receptor
2. For 5 years the patient underwent adjuvant endocrine therapy with tamoxifen
and then with anastrozole. Four years 2 months after adjuvant endocrine therapy
had been completed, she felt abdominal distention, and her symptoms gradually
worsened. A series of intensive examinations indicated that the invasive lobular
carcinoma had metastasized to the peritoneum, pleura, uterus, and bone. Aromatase
inhibitor was administered as a first-line therapy for the metastatic disease and
was accompanied by denosumab injected every 28 days. For 2 months after the start
of treatment with anastrozole, the ascites did not decrease and tumor markers
increased. Because anastrozole had not been effective, fulvestrant (500 mg) and
low-dose capecitabine (500 mg) were administered for the first 21 days of a 28
day cycle; this regimen had been shown by a phase 2 trial to be effective and
tolerable in patients with metastatic breast cancer. The patient felt an
improvement in abdominal distention, and the tumor markers decreased 2 weeks
after the start of this combination therapy. By 10 months after the start of the
combined therapy the ascites had decreased and pleural effusion had completely
disappeared. The uterine wall became thinner, and the endometrial cavity became
smaller. Tumor markers continued decreasing. No adverse events were observed. The
combination of fulvestrant and low-dose capecitabine is promising because of its
efficacy and tolerability for the treatment of patients with estrogen receptor
positive, human epidermal growth factor receptor 2-negative metastatic breast
cancer.
PMID- 27180794
TI - Homonymous Hemianopsia Associated with Probable Alzheimer's Disease.
AB - Posterior cortical atrophy (PCA) is a rare neurodegenerative disorder that has
cerebral atrophy in the parietal, occipital, or occipitotemporal cortices and is
characterized by visuospatial and visuoperceptual impairments. The most cases are
pathologically compatible with Alzheimer's disease (AD). We describe a case of
PCA in which a combination of imaging methods, in conjunction with symptoms and
neurological and neuropsychological examinations, led to its being diagnosed and
to AD being identified as its probable cause. Treatment with donepezil for 6
months mildly improved alexia symptoms, but other symptoms remained unchanged. A
59-year-old Japanese woman with progressive alexia, visual deficit, and mild
memory loss was referred to our neurologic clinic for the evaluation of right
homonymous hemianopsia. Our neurological examination showed alexia,
constructional apraxia, mild disorientation, short-term memory loss, and right
homonymous hemianopsia. These findings resulted in a score of 23 (of 30) points
on the Mini-Mental State Examination. Occipital atrophy was identified, with
magnetic resonance imaging (MRI) showing left-side dominance. The MRI data were
quantified with voxel-based morphometry, and PCA was diagnosed on the basis of
these findings. Single photon emission computed tomography with (123)I-N
isopropyl-p-iodoamphetamine showed hypoperfusion in the corresponding voxel-based
morphometry occipital lobes. Additionally, the finding of hypoperfusion in the
posterior associate cortex, posterior cingulate gyrus, and precuneus was
consistent with AD. Therefore, the PCA was considered to be a result of AD. We
considered Lewy body dementia as a differential diagnosis because of the presence
of hypoperfusion in the occipital lobes. However, the patient did not meet the
criteria for Lewy body dementia during the course of the disease. We therefore
consider including PCA in the differential diagnoses to be important for patients
with visual deficit, cognitive impairment, and cerebral atrophy in the parietal,
occipital, or occipitotemporal cortices. A combination of imaging methods,
including MRI and single photon emission computed tomography, may help identify
probable causes of PCA.
PMID- 27180796
TI - Errata.
PMID- 27180797
TI - Tissue Characterization of Coronary Plaques as a Key to Relationship between
Serum Uric Acid Level and Cardiovascular Disease: A Direct Risk or an Indirect
Marker?
PMID- 27180795
TI - Right Inguinal Hernia Encompassing the Uterus, Right Ovary and Fallopian Tube in
an Elderly Female: Case Report.
AB - The uterus, ovary, and fallopian tube are rarely present in an inguinal hernia.
We report on an operation to treat just such a rare condition for a right
inguinal hernia. An 87-year-old Japanese woman was admitted with swelling in the
right inguinal region and a purulent discharge from the vagina. Vital signs were
stable, but the mobile mass was irreducible. Computed tomography of the abdomen
indicated uterine tissue in a right inguinal hernia. We diagnosed an inguinal
hernia with an incarcerated uterus and performed surgery on that basis. An
incision approximately 6 cm long was made in the skin above the swollen area to
open the inguinal sac, disclosing a tumor enveloped by a hernial sac. Opening the
hernial sac revealed the prolapsed uterus, the fallopian tube, and the right
ovary. Because no ischemic change was noted, the incarcerated uterus was returned
to the abdominal cavity, and the hernial opening was closed with the onlay mesh
technique. The posterior wall of the inguinal canal was found to have prolapsed
laterally to the inferior epigastric artery, resulting in an external inguinal
hernia. This case demonstrates that careful attention must be paid to inguinal
hernias in female patients because the uterus, ovary, and fallopian tube may be
involved.
PMID- 27180800
TI - Ethanol extract of Cotinus coggygria leaves accelerates wound healing process in
diabetic rats.
AB - CONTEXT: Cotinus coggygria Scop. (Anacardiaceae) leaves that were used as wound
healing in traditional Balkan and Anatolian folk medicine, could be potentially
effective in treating diabetic wounds. OBJECTIVE: This study investigates
biochemical and histological effects of ethanol extract of C. coggygria (CCE) on
excision wound model in diabetic rats. MATERIALS AND METHODS: This study was
conducted on diabetic Wistar albino rats, which were injected by a single dose
(50 mg/kg i.p.) streptozotocin. Afterward an excision wound model was created in
all animals; diabetic control rats were applied topically simple ointment and
diabetic treatment rats were applied topically 5% (w/w) ointment with CC, once a
day during the experimental period. Malondialdehyde, glutathione and
hydroxyproline levels in wound tissues were investigated at the end of 3rd, 7th,
and 14th days. Histopathological examination was also performed. RESULTS:
Hydroxyproline content was significantly increased in the CCE treated group
versus control after the 3rd and 7th days (15.33 versus 11.83; 19.67 versus 15.67
mg/g, p < 0.05; respectively). A statistically significant elevation in
glutathione at the end of 3rd, 7th, and 14th days (5.13 versus 1.58, p < 0.05;
4.72 versus 1.88, p < 0.05; 3.83 versus 1.88 MUmol/g, p < 0.05, respectively) and
a statistically significant decrease in malondialdehyde level at the end of 7th
day (4.49 versus 1.48 nmol/g, p < 0.05) were determined in the treated group
versus control group. These results were also supported by histological analyses.
DISCUSSION AND CONCLUSION: These findings indicate that CCE accelerated the
cutaneous wound healing process in diabetic wounds, in confirmation of its
traditional use.
PMID- 27180801
TI - Molecular Mechanism for Conformational Dynamics of Ras.GTP Elucidated from In
Situ Structural Transition in Crystal.
AB - Ras*GTP adopts two interconverting conformational states, state 1 and state 2,
corresponding to inactive and active forms, respectively. However, analysis of
the mechanism for state transition was hampered by the lack of the structural
information on wild-type Ras state 1 despite its fundamental nature conserved in
the Ras superfamily. Here we solve two new crystal structures of wild-type H-Ras,
corresponding to state 1 and state 2. The state 2 structure seems to represent an
intermediate of state transition and, intriguingly, the state 1 crystal is
successfully derived from this state 2 crystal by regulating the surrounding
humidity. Structural comparison enables us to infer the molecular mechanism for
state transition, during which a wide range of hydrogen-bonding networks across
Switch I, Switch II and the alpha3-helix interdependently undergo gross
rearrangements, where fluctuation of Tyr32, translocation of Gln61, loss of the
functional water molecules and positional shift of GTP play major roles. The NMR
based hydrogen/deuterium exchange experiments also support this transition
mechanism. Moreover, the unveiled structural features together with the results
of the biochemical study provide a new insight into the physiological role of
state 1 as a stable pool of Ras*GTP in the GDP/GTP cycle of Ras.
PMID- 27180802
TI - Sepsis in preterm infants causes alterations in mucosal gene expression and
microbiota profiles compared to non-septic twins.
AB - Sepsis is a life-threatening condition in preterm infants. Neonatal microbiota
plays a pivotal role in the immune system maturation. Changes in gut microbiota
have been associated to inflammatory disorders; however, a link with sepsis in
the neonatal period has not yet been established. We aimed to analyze gut
microbiota and mucosal gene expression using non-invasively obtained samples to
provide with an integrative perspective of host-microbe interactions in neonatal
sepsis. For this purpose, a prospective observational case-control study was
conducted in septic preterm dizygotic twins and their non-septic twin controls.
Fecal samples were used for both microbiota analysis and host genome-wide
expression using exfoliated intestinal cells. Gene expression of exfoliated
intestinal cells in septic preterm showed an induction of inflammatory and
oxidative stress pathways in the gut and pro-oxidant profile that caused
dysbiosis in the gut microbiota with predominance of Enterobacteria and reduction
of Bacteroides and Bifidobacterium spp.in fecal samples, leading to a global
reduction of beneficial anaerobic bacteria. Sepsis in preterm infants induced low
grade inflammation and oxidative stress in the gut mucosa, and also changes in
the gut microbiota. This study highlights the role of inflammation and oxidative
stress in neonatal sepsis on gut microbial profiles.
PMID- 27180803
TI - TGF-beta Signaling Regulates Cementum Formation through Osterix Expression.
AB - TGF-beta/BMPs have widely recognized roles in mammalian development, including in
bone and tooth formation. To define the functional relevance of the autonomous
requirement for TGF-beta signaling in mouse tooth development, we analyzed
osteocalcin-Cre mediated Tgfbr2 (OC(Cre)Tgfbr2(fl/fl)) conditional knockout mice,
which lacks functional TGF-beta receptor II (TbetaRII) in differentiating
cementoblasts and cementocytes. Strikingly, OC(Cre)Tgfbr2(fl/fl) mutant mice
exhibited a sharp reduction in cellular cementum mass with reduced matrix
secretion and mineral apposition rates. To explore the molecular mechanisms
underlying the roles of TGF-beta signaling through TbetaRII in cementogenesis, we
established a mouse cementoblast model with decreased TbetaRII expression using
OCCM-30 cells. Interestingly, the expression of osterix (Osx), one of the major
regulators of cellular cementum formation, was largely decreased in OCCM-30 cells
lacking TbetaRII. Consequently, in those cells, functional ALP activity and the
expression of genes associated with cementogenesis were reduced and the cells
were partially rescued by Osx transduction. We also found that TGF-beta signaling
directly regulates Osx expression through a Smad-dependent pathway. These
findings strongly suggest that TGF-beta signaling plays a major role as one of
the upstream regulators of Osx in cementoblast differentiation and cementum
formation.
PMID- 27180805
TI - Fast machine-learning online optimization of ultra-cold-atom experiments.
AB - We apply an online optimization process based on machine learning to the
production of Bose-Einstein condensates (BEC). BEC is typically created with an
exponential evaporation ramp that is optimal for ergodic dynamics with two-body s
wave interactions and no other loss rates, but likely sub-optimal for real
experiments. Through repeated machine-controlled scientific experimentation and
observations our 'learner' discovers an optimal evaporation ramp for BEC
production. In contrast to previous work, our learner uses a Gaussian process to
develop a statistical model of the relationship between the parameters it
controls and the quality of the BEC produced. We demonstrate that the Gaussian
process machine learner is able to discover a ramp that produces high quality
BECs in 10 times fewer iterations than a previously used online optimization
technique. Furthermore, we show the internal model developed can be used to
determine which parameters are essential in BEC creation and which are
unimportant, providing insight into the optimization process of the system.
PMID- 27180804
TI - Rearrangement of mitochondrial tRNA genes in flat bugs (Hemiptera: Aradidae).
AB - The typical insect mitochondrial (mt) genome organization, which contains a
single chromosome with 37 genes, was found in the infraorder Pentatomomorpha
(suborder Heteroptera). The arrangement of mt genes in these true bugs is usually
the same as the ancestral mt gene arrangement of insects. Rearrangement of
transfer RNA (tRNA) genes, however, has been found in two subfamilies of flat
bugs (Mezirinae and Calisiinae, family Aradidae). In this study, we sequenced the
complete mt genomes of four species from three other subfamilies (Aradinae,
Carventinae and Aneurinae). We found tRNA gene rearrangement in all of these four
species. All of the rearranged tRNA genes are located between the mitochondrial
control region and cox1, indicating this region as a hotspot for gene
rearrangement in flat bugs; the rearrangement is likely caused by events of
tandem duplication and random deletion of genes. Furthermore, our phylogenetic
and dating analyses indicated that the swap of positions between trnQ and trnI
occurred ~162 million years ago (MYA) in the most recent common ancestor of the
five subfamilies of flat bugs investigated to date, whereas the swap of positions
between trnC and trnW occurred later in the lineage leading to Calisiinae, and
the translocation of trnC and trnY occurred later than 134 MYA in the lineage
leading to Aradinae.
PMID- 27180806
TI - The fast-recycling receptor Megalin defines the apical recycling pathway of
epithelial cells.
AB - The basolateral recycling and transcytotic pathways of epithelial cells were
previously defined using markers such as transferrin (TfR) and polymeric IgA
(pIgR) receptors. In contrast, our knowledge of the apical recycling pathway
remains fragmentary. Here we utilize quantitative live-imaging and mathematical
modelling to outline the recycling pathway of Megalin (LRP-2), an apical receptor
with key developmental and renal functions, in MDCK cells. We show that, like
TfR, Megalin is a long-lived and fast-recycling receptor. Megalin enters
polarized MDCK cells through segregated apical sorting endosomes and subsequently
intersects the TfR and pIgR pathways at a perinuclear Rab11-negative compartment
termed common recycling endosomes (CRE). Whereas TfR recycles to the basolateral
membrane from CRE, Megalin, like pIgR, traffics to subapical Rab11-positive
apical recycling endosomes (ARE) and reaches the apical membrane in a microtubule
and Rab11-dependent manner. Hence, Megalin defines the apical recycling pathway
of epithelia, with CRE as its apical sorting station.
PMID- 27180807
TI - Differential neuronal vulnerability identifies IGF-2 as a protective factor in
ALS.
AB - The fatal disease amyotrophic lateral sclerosis (ALS) is characterized by the
loss of somatic motor neurons leading to muscle wasting and paralysis. However,
motor neurons in the oculomotor nucleus, controlling eye movement, are for
unknown reasons spared. We found that insulin-like growth factor 2 (IGF-2) was
maintained in oculomotor neurons in ALS and thus could play a role in oculomotor
resistance in this disease. We also showed that IGF-1 receptor (IGF-1R), which
mediates survival pathways upon IGF binding, was highly expressed in oculomotor
neurons and on extraocular muscle endplate. The addition of IGF-2 induced Akt
phosphorylation, glycogen synthase kinase-3beta phosphorylation and beta-catenin
levels while protecting ALS patient motor neurons. IGF-2 also rescued motor
neurons derived from spinal muscular atrophy (SMA) patients from degeneration.
Finally, AAV9::IGF-2 delivery to muscles of SOD1(G93A) ALS mice extended life
span by 10%, while preserving motor neurons and inducing motor axon regeneration.
Thus, our studies demonstrate that oculomotor-specific expression can be utilized
to identify candidates that protect vulnerable motor neurons from degeneration.
PMID- 27180808
TI - The spin-dependent transport properties of zigzag alpha-graphyne nanoribbons and
new device design.
AB - By performing first-principle quantum transport calculations, we studied the
electronic and transport properties of zigzag alpha-graphyne nanoribbons in
different magnetic configurations. We designed the device based on zigzag alpha
graphyne nanoribbon and studied the spin-dependent transport properties, whose
current-voltage curves show obvious spin-polarization and conductance plateaus.
The interesting transport behaviours can be explained by the transport spectra
under different magnetic configurations, which basically depends on the symmetry
matching of the electrodes' bandstructures. Simultaneously, spin Seebeck effect
is also found in the device. Thus, according to the transport behaviours, zigzag
alpha-graphyne nanoribbons can be used as a dual spin filter diode, a molecule
signal converter and a spin caloritronics device, which indicates that alpha
graphyne is a promising candidate for the future application in spintronics.
PMID- 27180809
TI - microRNA expression profiling on individual breast cancer patients identifies
novel panel of circulating microRNA for early detection.
AB - Breast cancer (BC) is the most common cancer type and the second cause of cancer
related death among women. Therefore, better understanding of breast cancer tumor
biology and the identification of novel biomarkers is essential for the early
diagnosis and for better disease stratification and management choices. Herein we
developed a novel approach which relies on the isolation of circulating microRNAs
through an enrichment step using speed-vacuum concentration which resulted in 5
fold increase in microRNA abundance. Global miRNA microarray expression profiling
performed on individual samples from 23 BC and 9 normals identified 18 up
regulated miRNAs in BC patients (p(corr) < 0.05). Nine miRNAs (hsa-miR-4270, hsa
miR-1225-5p, hsa-miR-188-5p, hsa-miR-1202, hsa-miR-4281, hsa-miR-1207-5p, hsa-miR
642b-3p, hsa-miR-1290, and hsa-miR-3141) were subsequently validated using qRT
PCR in a cohort of 46 BC and 14 controls. The expression of those microRNAs was
overall higher in patients with stage I, II, and III, compared to stage IV, with
potential utilization for early detection. The expression of this microRNA panel
was slightly higher in the HER2 and TN compared to patients with luminal subtype.
Therefore, we developed a novel approach which led to the identification of a
novel microRNA panel which was upregulated in BC patients with potential
utilization in disease diagnosis and stratification.
PMID- 27180810
TI - The functional role of time compression.
AB - Multisensory integration provides continuous and stable perception from separate
sensory inputs. Here, we investigated the functional role of temporal binding
between the visual and the tactile senses. To this end we used the paradigm of
compression that induces shifts in time when probe stimuli are degraded, e.g., by
a visual mask (Zimmermann et al. 2014). Subjects had to estimate the duration of
temporal intervals of 500 ms defined by a tactile and a visual, masked stimulus.
We observed a strong (~100 ms) underestimation of the temporal interval when the
stimuli from both senses appeared to occur at the same position in space. In
contrast, when the positions of the visual and tactile stimuli were spatially
separate, interval perception was almost veridical. Temporal compression
furthermore depended on the correspondence of probe features and was absent when
the orientation of the tactile and visual probes was incongruent. An additional
experiment revealed that temporal compression also occurs when objects were
presented outside the attentional focus. In conclusion, these data support a role
for spatiotemporal binding in temporal compression, which is at least in part
selective for object features.
PMID- 27180811
TI - Early clearance of Chikungunya virus in children is associated with a strong
innate immune response.
AB - Chikungunya fever (CHIKF) is a global infectious disease which can affect a wide
range of age groups. The pathological and immunological response upon Chikungunya
virus (CHIKV) infection have been reported over the last few years. However, the
clinical profile and immune response upon CHIKV infection in children remain
largely unknown. In this study, we analyzed the clinical and immunological
response, focusing on the cytokine/chemokine profile in a CHIKV-infected
pediatric cohort from Sarawak, Malaysia. Unique immune mediators triggered upon
CHIKV infection were identified through meta-analysis of the immune signatures
between this pediatric group and cohorts from previous outbreaks. The data
generated from this study revealed that a broad spectrum of cytokines/chemokines
is up-regulated in a sub-group of virus-infected children stratified according to
their viremic status during hospitalization. Furthermore, different immune
mediator profiles (the levels of pro-inflammatory cytokines, chemokines and
growth and other factors) were observed between children and adults. This study
gives an important insight to understand the immune response of CHIKV infection
in children and would aid in the development of better prognostics and clinical
management for children.
PMID- 27180812
TI - Inflammation: Inflammatory pathology of Fanconi anaemia.
PMID- 27180813
TI - Innate immunity: Sensing broken antibody.
PMID- 27180814
TI - Lymphocyte responses: Hunker down with HOBIT and BLIMP1.
PMID- 27180816
TI - Fetal gastroschisis: antepartum fetal heart rate analysis by computerized
cardiotocography.
AB - OBJECTIVES: To describe the antenatal fetal heart rate (FHR) parameters analyzed
by computerizedcardiotocography (cCTG) in fetuses with gastroschisis and compare
the FHR parameters with ultrasound gastrointestinal markers. METHODS: A
retrospective analysis of antepartum cCTG records were conducted in 87 pregnant
cases with fetal gastroschisis between 28and 36 weeks (plus 6 days). A
comparative analysis of the median distribution of the following FHR parameters
was performed: basal FHR, short-term variation (STV), FHR accelerations and
decelerations, episodes of high and low variations, and variations in low and
high episodes. FHR parameters and ultrasound gastrointestinal markers were also
compared. RESULTS: The majority of FHR parameters did not present significant
changes throughout gestation. An increased number of records with episodes of low
variation (p = 0.019) and an increased number of accelerations >15 bpm (p =
0.001) were the only observed changes throughout gestation. Stomach herniation
was significantly associated with a lower STV (p = 0.018) and a higher frequency
of records with low episodes (p = 0.049). CONCLUSIONS: The cCTG analysis
indicated that the FHR parameters in fetuses with gastroschisis presented
different patterns from those observed in normal fetuses. Stomach herniation was
associated with altered FHR patterns.
PMID- 27180815
TI - Adiponectin plays a role in energy metabolism for musk secretion in scent glands
of muskrats (Ondatra zibethicus).
AB - Adult male muskrats (Ondatra zibethicus) secret musk from their scent glands to
attract females for seasonal mating. The goal of the present study was to
investigate whether the changes in energy metabolism related to musk secretion
during the breeding and non-breeding seasons are mediated by adiponectin. We
found that the secretion of musk during the breeding season was markedly greater
than that during the non-breeding season. The serum adiponectin concentration
measured using an ELISA kit was higher during the breeding season than during the
non-breeding season. Glandular cells, interstitial cells, epithelial cells and
glandular cavities were detected in scent glands using histological methods.
Immunohistochemical methods were used to show that AMP-activated protein kinase
gamma-1 (AMPKG1), and glucose transporter 1 (GLUT1) were more strongly expressed
in glandular cells during the breeding season than the non-breeding season,
whereas the immunoreactivity for acetyl-CoA carboxylase 1 (ACC1) was stronger
during the non-breeding season. Consistent with these qualitative results, RNA
Seq analysis indicated that the expression of AdipoR1 mRNA was not significantly
different during the two seasons. However, AMPKG1 and GLUT1 mRNA levels were
higher in scent glands during the breeding season than during the non-breeding
season, whereas ACC1 mRNA levels notably decreased during the breeding season.
These results suggest that greater musk secretion requires additional energy,
which may be provided by an adiponectin-mediated increase in beta-oxidation and
glucose absorption.
PMID- 27180817
TI - Global quantitative proteomics reveal up-regulation of endoplasmic reticulum
stress response proteins upon depletion of eIF5A in HeLa cells.
AB - The eukaryotic translation factor, eIF5A, is a translation factor essential for
protein synthesis, cell growth and animal development. By use of a adenoviral
eIF5A shRNA, we have achieved an effective depletion of eIF5A in HeLa cells and
undertook in vivo comprehensive proteomic analyses to examine the effects of
eIF5A depletion on the total proteome and to identify cellular pathways
influenced by eIF5A. The proteome of HeLa cells transduced with eIF5A shRNA was
compared with that of scramble shRNA-transduced counterpart by the iTRAQ method.
We identified 972 proteins consistently detected in three iTRAQ experiments and
104 proteins with significantly altered levels (protein ratio >=1.5 or <=0.66, p
value <=0.05) at 72 h and/or 96 h of Ad-eIF5A-shRNA transduction. The altered
expression levels of key pathway proteins were validated by western blotting.
Integration of functional ontology with expression data of the 104 proteins
revealed specific biological processes that are prominently up- or down
regulated. Heatmap analysis and Cytoscape visualization of biological networks
identified protein folding as the major cellular process affected by depletion of
eIF5A. Our unbiased, quantitative, proteomic data demonstrate that the depletion
of eIF5A leads to endoplasmic reticulum stress, an unfolded protein response and
up-regulation of chaperone expression in HeLa cells.
PMID- 27180818
TI - Long-Term Maintenance of Physical Function in Older Adults Following a DVD
Delivered Exercise Intervention.
AB - Previous evidence suggests physical activity interventions effectively produce
short-term improvements in physical function for older adults. The present study
examined whether improvements in physical function after a DVD-delivered exercise
intervention were maintained 18 months postintervention. Older adults (n = 153)
randomized to a 6-month DVD-delivered exercise intervention or an attentional
control condition were contacted 18 months postintervention. Participants
completed the Short Physical Performance Battery (SPPB) and measures of
flexibility, strength, and functional limitations were taken. Analyses of
variance were conducted to determine if improvements in physical function as a
result of the intervention were maintained at follow-up. Improvements in the
SPPB, F (1,125) = 3.70, p = .06, eta2 = .03, and upper body strength, F (1,121) =
3.04, p = .08, eta2 = .03 were maintained for the intervention condition. Home
based DVD exercise training interventions may hold promise for long-term
maintenance of physical function in older adults.
PMID- 27180819
TI - Impact of Leaf Removal, Applied Before and After Flowering, on Anthocyanin,
Tannin, and Methoxypyrazine Concentrations in 'Merlot' (Vitis vinifera L.) Grapes
and Wines.
AB - The development and accumulation of secondary metabolites in grapes determine
wine color, taste, and aroma. This study aimed to investigate the effect of leaf
removal before flowering, a practice recently introduced to reduce cluster
compactness and Botrytis rot, on anthocyanin, tannin, and methoxypyrazine
concentrations in 'Merlot' grapes and wines. Leaf removal before flowering was
compared with leaf removal after flowering and an untreated control. No effects
on tannin and anthocyanin concentrations in grapes were observed. Both treatments
reduced levels of 3-isobutyl-2-methoxypyrazine (IBMP) in the grapes and the
derived wines, although the after-flowering treatment did so to a greater degree
in the fruit specifically. Leaf removal before flowering can be used to reduce
cluster compactness, Botrytis rot, and grape and wine IBMP concentration and to
improve wine color intensity but at the expense of cluster weight and vine yield.
Leaf removal after flowering accomplishes essentially the same results without
loss of yield.
PMID- 27180820
TI - Thermally Reduced Graphene Oxide Electrochemically Activated by Bis-Spiro
Quaternary Alkyl Ammonium for Capacitors.
AB - Thermally reduced graphene oxide (RGO) electrochemically activated by a
quaternary alkyl ammonium-based organic electrolytes/activated carbon (AC)
electrode asymmetric capacitor is proposed. The electrochemical activation
process includes adsorption of anions into the pores of AC in the positive
electrode and the interlayer intercalation of cations into RGO in the negative
electrode under high potential (4.0 V). The EA process of RGO by quaternary alkyl
ammonium was investigated by X-ray diffraction and electrochemical measurements,
and the effects of cation size and structure were extensively evaluated.
Intercalation by quaternary alkyl ammonium demonstrates a small degree of
expansion of the whole crystal lattice (d002) and a large degree of expansion of
the partial crystal lattice (d002) of RGO. RGO electrochemically activated by bis
spiro quaternary alkyl ammonium in propylene carbonate/AC asymmetric capacitor
exhibits good activated efficiency, high specific capacity, and stable
cyclability.
PMID- 27180821
TI - Emergency Department Visits after Diagnosed Chronic Obstructive Pulmonary Disease
in Aboriginal People in Alberta, Canada.
AB - OBJECTIVES: This retrospective cohort study compared rates of emergency
department (ED) visits after a diagnosis of chronic obstructive pulmonary disease
(COPD) in the three Aboriginal groups (Registered First Nations, Metis and Inuit)
relative to a non-Aboriginal cohort. METHODS: We linked eight years of
administrative health data from Alberta and calculated age- and sex-standardized
ED visit rates in cohorts of Aboriginal and non-Aboriginal individuals diagnosed
with COPD. Rate ratios (RR) with 95% confidence intervals (CIs) were calculated
in a Poisson regression model that adjusted for important sociodemographic
factors and comorbidities. Differences in ED length of stay (LOS) and disposition
status were also evaluated. RESULTS: A total of 2,274 Aboriginal people and 1,611
non-Aboriginals were newly diagnosed with COPD during the study period. After
adjusting for important sociodemographic and clinical factors, the rate of all
cause ED visits in all Aboriginal people (RR=1.72, 95% CI: 1.67, 1.77),
particularly among Registered First Nations people (RR=2.02; 95% CI: 1.97, 2.08)
and Inuit (RR=1.28; 95% CI: 1.22, 1.35), were significantly higher than that in
non-Aboriginals, while ED visit rates were significantly lower in the Metis
(RR=0.94; 95% CI: 0.90, 0.98). The ED LOS in all Aboriginal groups were
significantly lower than that of the non-Aboriginal group. CONCLUSIONS:
Aboriginal people with COPD use almost twice the amount of ED services compared
to their non-Aboriginal counterparts. There are also important variations in
patterns of ED services use among different Aboriginal groups with COPD in
Alberta.
PMID- 27180822
TI - Silver Iodide-Chitosan Nanotag Induced Biocatalytic Precipitation for Self
Enhanced Ultrasensitive Photocathodic Immunosensor.
AB - In this work, we first exposed that the application of p-type semiconductor,
silver iodide-chitosan nanoparticle (SICNP), acted as peroxidase mimetic to
catalyze the bioprecipitation reaction for signal-amplification photocathodic
immunosensing of human interleukin-6 (IL-6). After immobilization of captured
antibody onto a polyethylenimine-functionalized carbon nitride (CN) matrix,
SICNPs as photoactive tags and peroxidase mimetics were labeled on secondary
antibodies, which were subsequently introduced onto the sensing interface to
construct sandwich immunoassay platform through antigen-antibody specific
recognition. Due to the matched energy levels between CN and AgI, the
photocurrent intensity and photostability of SICNP were dramatically improved
with rapid separation and transportation of photogenerated carriers. Moreover,
the insoluble product in effective biocatalytic precipitation reaction served as
electron acceptor to scavenge the photoexcited electron, leading to great
amplification of the photocurrent signal of SICNP again. With the help of
multiamplification processes, this photocathodic immunosensor presented a turn-on
photoelectrochemical performance for IL-6, which showed wide linear dynamic range
from 10(-6) to 10 pg/mL with the ultralow detection limit of 0.737 ag/mL. This
work also performed the promising application of SICNP in developing an
ultrasensitive, cost-effective, and enzyme-free photocathodic immunosensor for
biomarkers.
PMID- 27180824
TI - COI-based DNA barcoding of some species of Pentatomidae from North India
(Hemiptera: Heteroptera).
AB - The family Pentatomidae is one of the largest families of the sub-order
Heteroptera, comprising 4722 species belonging to 896 genera. In the present
paper, we analysed a partial ~600 bp COI sequence of 14 species of family
Pentatomidae, collected from northern part of India. For seven species viz.,
Tolumnia antennata Distant, 1902, Cahara jugatoria (Lethierry, 1891), Bagrada
hilaris (Burmeister, 1835), Plautia viridicollis (Westwood, 1837), Priassus
exemptus (Walker, 1868), Dalpada neoclavata (Rider, 1998) and Dalpada affinis
(Dallas, 1851), this is the first ever molecular study which has generated
distinct barcodes for each. The COI sequences of these seven species have been
added to the existing database at GenBank NCBI which can be used for their
identification. The database analysis shows mean K2P divergence of 2.5% at
intraspecific level, 11.9% at interspecific level and 16.37% at intergeneric
level, thereby indicating a hierarchical increase in K2P mean divergence across
different taxonomic levels.
PMID- 27180825
TI - In vitro characterization of belinostat glucuronidation: demonstration of both
UGT1A1 and UGT2B7 as the main contributing isozymes.
AB - 1. Belinostat is a histone deacetylase inhibitor that has been approved for the
treatment of peripheral T-cell lymphoma. This study aimed to identify the UDP
glucuronosyltransferase (UGT) enzymes responsible for belinostat glucuronidation
through kinetic determination using recombinant enzymes with determined enzyme
concentrations. 2. The rate of glucuronidation was determined by incubation of
belinostat with enzyme preparations. Kinetic parameters such as Km and Vmax were
derived by fitting an appropriate model to the glucuronidation data. The role of
active UGT enzymes to belinostat metabolism was evaluated using inhibition
experiments and activity correlation analyses. 3. Human liver microsomes
generated a glucuronide metabolite (i.e. belinostat glucuronide) from belinostat.
The glucuronide structure was confirmed by high-resolution mass spectrometry as
well as the fragmentation pattern. Of 12 test UGT enzymes, only four (UGT1A1,
1A3, 2B4, and 2B7) showed metabolic activities toward belinostat. UGT1A1 was the
most active enzyme, followed by UGT2B7, 1A3, and 2B4. Kinetic profiles for
UGT1A1, 1A3, 2B4, and 2B7 were well described by Michaelis-Menten, Michaelis
Menten, Hill equation, and substrate inhibition equation, respectively. 4.
Glucuronidation of belinostat was markedly inhibited by emodin and apigenin (two
potent inhibitors of UGT1A1), and by quinidine and diclofenac sodium (two
selective inhibitors of UGT2B7). Belinostat glucuronidation was found to be
significantly correlated with beta-estradiol 3-O-glucuronidation and zidovudine
glucuronidation. 5. It was concluded that in addition to UGT1A1, UGT2B7 was also
an important contributor to belinostat glucuronidation.
PMID- 27180826
TI - A mixed-methods impact evaluation of the feasibility of an initiative in small
rural stores to improve access to fruit and vegetables.
AB - Retail stores are a promising setting for improving access to nutritious food.
This study opportunistically evaluated an initiative that supported stores in
small rural Victorian towns to sell fresh fruit and vegetables. It aimed to
measure whether the initiative showed a trend towards improved access to fruit
and vegetables in these rural communities. A mixed-methods, pre-post evaluation
was employed to measure the range and price of 39 fruits and 45 vegetables,
together with 15 interviews with stakeholders 2.5 years after the commencement of
the initiative. Twenty-one of 35 eligible stores took up the initiative. Analysis
of qualitative and quantitative data showed that the initiative improved the
availability of and access to fruit and vegetables, and that stores have a role
in improving access to fruit and vegetables. The overall range of fruit and
vegetables increased over 18 months from a median of 10 varieties (n=10) to 17
varieties (n=15) (P=0.028) and the prices decreased over 12 months in five out of
seven stores where data was available. The capacity to influence availability of
fruit and vegetables was affected by time, human resources and community support.
Sustaining change to fruit and vegetables access is challenging. Using stores for
health promotion may be an effective strategy for improving rural populations'
fruit and vegetable intake.
PMID- 27180823
TI - Higher organism load associated with failure of azithromycin to treat rectal
chlamydia.
AB - Repeat rectal chlamydia infection is common in men who have sex with men (MSM)
following treatment with 1 g azithromycin. This study describes the association
between organism load and repeat rectal chlamydia infection, genovar
distribution, and efficacy of azithromycin in asymptomatic MSM. Stored rectal
chlamydia-positive samples from MSM were analysed for organism load and genotyped
to assist differentiation between reinfection and treatment failure. Included men
had follow-up tests within 100 days of index infection. Lymphogranuloma venereum
and proctitis diagnosed symptomatically were excluded. Factors associated with
repeat infection, treatment failure and reinfection were investigated. In total,
227 MSM were included - 64 with repeat infections [28.2%, 95% confidence interval
(CI) 22.4-34.5]. Repeat positivity was associated with increased pre-treatment
organism load [odds ratio (OR) 1.7, 95% CI 1.4-2.2]. Of 64 repeat infections, 29
(12.8%, 95% CI 8.7-17.8) were treatment failures and 35 (15.4%, 95% CI 11.0-20.8)
were reinfections, 11 (17.2%, 95% CI 8.9-28.7) of which were definite
reinfections. Treatment failure and reinfection were both associated with
increased load (OR 2.0, 95% CI 1.4-2.7 and 1.6, 95% CI 1.2-2.2, respectively).
The most prevalent genovars were G, D and J. Treatment efficacy for 1 g
azithromycin was 83.6% (95% CI 77.2-88.8). Repeat positivity was associated with
high pre-treatment organism load. Randomized controlled trials are urgently
needed to evaluate azithromycin's efficacy and whether extended doses can
overcome rectal infections with high organism load.
PMID- 27180827
TI - POTENTIAL CONTRIBUTION OF ADULT POPULATIONS TO THE MAINTENANCE OF SCHISTOSOMIASIS
AND SOIL-TRANSMITTED HELMINTH INFECTIONS IN THE SIAVONGA AND MAZABUKA DISTRICTS
OF ZAMBIA.
AB - A majority of Zambian children live in impoverished communities that lack safe
water and proper sanitation, exposing them to urogenital and intestinal
helminths. Efforts to mitigate this plight have been implemented through mass
drug administration aimed at deworming school-age and under-five children against
schistosomiasis and soil-transmitted helminths. However, the disease status of
adults living in the same communities as the treated children remains unknown.
The aim of this study was to describe the potential contribution of infected
adult populations to the transmission of these infections in southern Zambia. A
cross-sectional study was conducted in April and May 2013 as part of baseline
survey for a larger study in Mazabuka and Siavonga Districts. Stool and urine
samples of 2829 adults from five catchment areas were collected and processed
using Kato-Katz and urine filtration methods, respectively. Adults from Siavonga
had a 13.9% combined prevalence of Schistosoma haematobium and S. mansoni, and
12.1% combined prevalence of Ascaris lumbricoides and hookworm. There was no S.
mansoni in Mazabuka, and only a 5.3% prevalence of S. haematobium and 7.4%
combined prevalence of A. lumbricoides and hookworm. Additionally, no Trichuris
trichiura infections were observed in the two districts. Despite most of these
infections being categorized as light intensity, heavy infection intensities were
also found for all four parasite species. If this infected adult population is
left untreated, the possibility of it acting as a reservoir of infections and
ultimately transmitting the infections to treated children remains. Therefore,
there is need to consider alternative treatment strategies that incorporate
adults, thereby reducing the risk of contaminating the environment and
perpetuating transmission to children.
PMID- 27180828
TI - An Anatomical Study of the Nutrient Foramina of the Human Humeral Diaphysis.
AB - BACKGROUND Understanding the nutrient foramina is critical to clinical practice.
An insult to the nutrient foramina can be caused by trauma and/or surgical
dissection and lead to devascularization and bad outcomes. Few studies have
looked at the humerus, and no studies have described relative information of
humeral nutrient foramen related to anatomical structures that might be located
by palpable landmarks. In this study, we analyzed the anatomical features of the
nutrient foramina of the diaphyseal humerus and provide a discussion of clinical
relevance. MATERIAL AND METHODS We dissected 19 cadavers and analyzed the
relative positions of the foramina and surrounding muscles, and the number,
direction, diameter, and location of the nutrient foramina. Foramina index and a
new landmark index were used to calculate the location. We compared the data from
both sides and the relationships between transverse and longitudinal locations,
diameter and total length, and foramina index and landmark index were also
analyzed. RESULTS The humeri had one or two main nutrient foramina located in a
small area between the coracobrachialis and brachial muscles and oriented toward
the elbow. The mean diameter was 1.11+/-0.32 mm. The mean index and landmark
index were 43.76+/-4.94% and 42.26+/-5.35%, respectively. There were no
differences between sides in terms of diameter, length, or nutrient foramina
index. There were no significant correlations between transverse and longitudinal
locations or diameter and total length. The foramina index and landmark index
showed strong positive correlation (r=0.994, p<0.0001). CONCLUSIONS Our study
provides details about the nutrient foramina that will benefit clinicians who
treat injuries and diseases of the humerus. Surgeons should be mindful of soft
tissue in the foraminal area during surgical procedures.
PMID- 27180831
TI - Previously reported PDE3A-SLCO1C1 genetic variant does not correlate with anti
TNF response in a large UK rheumatoid arthritis cohort.
AB - AIM: A genetic variant has recently reached genome-wide significance for
association with TNF-inhibitor response in rheumatoid arthritis patients. Here we
undertake a replication study in a UK Caucasian population to test for
association with TNF-inhibitor response. MATERIALS & METHODS: The genetic
variant, rs3794271, located within the PDE3A-SLCO1C1 locus was analyzed for
correlation with treatment response using both the EULAR classification criteria
and absolute change in (Delta)DAS28 scores as outcome measures. RESULTS: Genotype
data were available from 1750 TNF-inhibitor treated individuals. However, no
evidence for association was observed (EULAR: p = 0.91 and DeltaDAS28: p = 0.93).
Furthermore, no significant associations were observed upon stratification by the
anti-TNF received (p > 0.05). CONCLUSION: In the largest replication cohort
conducted to date, no evidence for association was observed.
PMID- 27180832
TI - The use of routine EEG in acute ischemic stroke patients without seizures:
generalized but not focal EEG pathology is associated with clinical
deterioration.
AB - PURPOSE: Specialized electroencephalography (EEG) methods have been used to
provide clues about stroke features and prognosis. However, the value of routine
EEG in stroke patients without (suspected) seizures has been somewhat neglected.
We aimed to assess this in a group of acute ischemic stroke patients in regard to
short-term prognosis and basic stroke features. METHODS: We assessed routine (10
20) EEG findings in 69 consecutive acute ischemic stroke patients without
seizures. Associations between EEG abnormalities and NIHSS scores, clinical
improvement or deterioration as well as MRI stroke characteristics were
evaluated. RESULTS: Mean age was 69 +/- 18 years, 43 of the patients (62.3%) were
men. Abnormal EEG was found in 40 patients (58%) and was associated with higher
age (p = 0.021). The most common EEG pathology was focal slowing (30; 43.5%). No
epileptiform potentials were found. Abnormal EEG in general and generalized or
focal slowing in particular was significantly associated with higher NIHSS score
on admission and discharge as well as with hemorrhagic transformation of the
ischemic lesion. Abnormal EEG and generalized (but not focal) slowing were
associated with clinical deterioration ( p = 0.036, p = 0.003). Patients with
lacunar strokes had no EEG abnormalities. CONCLUSIONS: Abnormal EEG in general
and generalized slowing in particular are associated with clinical deterioration
after acute ischemic stroke. The study demonstrates the value of routine EEG as a
simple diagnostic tool in the evaluation of stroke patients especially with
regard to short-term prognosis.
PMID- 27180833
TI - Synthesis and formation mechanistic investigation of nitrogen-doped carbon dots
with high quantum yields and yellowish-green fluorescence.
AB - Heteroatom doped carbon dots (CDs) have received increasing attention due to
their unique properties and related applications. However, previously reported
CDs generally show strong emission only in the blue-light region, thus
restricting their further applications. And the fundamental investigation on the
preparation process is always neglected. Herein, we have developed a simple and
solvent-free synthetic strategy to fabricate nitrogen-doped CDs (N-CDs) from
citric acid and dicyandiamide. The as-prepared N-CDs exhibited a uniform size
distribution, strong yellowish-green fluorescence emission and a high quantum
yield of 73.2%. The products obtained at different formation stages were
detailedly characterized by transmission electron microscopy, X-ray diffraction
spectrometer, X-ray photoelectron spectroscopy and UV absorbance spectroscopy. A
possible formation mechanism has thus been proposed including dehydration,
polymerization and carbonization. Furthermore, the N-CDs could serve as a facile
and label-free probe for the detection of iron and fluorine ions with detection
limits of 50 nmol L(-1) and 75 nmol L(-1), respectively.
PMID- 27180834
TI - Comparison of collagen content in skin wounds evaluated by biochemical assay and
by computer-aided histomorphometric analysis.
AB - CONTEXT: The quantification of total collagen is of major importance in a wide
range of research areas, including the study of cutaneous wound healing and new
drugs trials. OBJECTIVE: The total collagen content in skin biopsies was compared
by biochemical hydroxyproline assay and by two computer-aided histomorphometric
analyses of histological sections. MATERIALS AND METHODS: Two methods were used
to evaluate collagen formation: the hydroxyproline assay, as the gold standard
and histomorphometric image analysis of the filled areas by corresponding stained
collagen fibres, using picrosirius and Gomori's trichrome staining. The image
analyses were determined by digital densitometry recognition using computer-aided
ImageJ software. One-way ANOVA, simple linear regression and ANCOVA were applied
for the statistical analysis and correlation. RESULTS: In a simple linear
regression analysis carried out on the 14th day period after the induction of
skin injury, three techniques, picrosirius red (F = 33.57, p = 0.00), Gomori's
trichrome (F = 81.61, p = 0.00) and hydroxyproline content (F = 16.85, p = 0.00)
were able to detect collagen production. After scale adjustment, there were no
significant differences among either the slopes (F = 1.17, p = 0.32) or the
intercepts (F = 0.69, p = 0.51) of the estimated regression lines. It seems that
a highly significant correlation exists between the histomorphometrical analysis
and hydroxyproline assay. DISCUSSION AND CONCLUSION: The morphometric analysis
proved to be adequate and can be used as a simple, rapid, low-cost technology for
evaluating total collagen in cutaneous wound specimens, compared with the gold
standard hydroxyproline assay.
PMID- 27180835
TI - The removal of organic precursors of DBPs during three advanced water treatment
processes including ultrafiltration, biofiltration, and ozonation.
AB - The removal efficiency of organic matter, the formation potential of
trihalomethanes (THMFP), and the formation potential of haloacetic acids (HAAFP)
in each unit of three advanced treatment processes were investigated in this
paper. The molecular weight distribution and the components of organic matter in
water samples were also determined to study the transformation of organic matter
during these advanced treatments. Low-molecular-weight matter was the predominant
fraction in raw water, and it could not be removed effectively by ultrafiltration
and biofiltration. The dominant species of disinfection by-product formation
potential (DBPFP) in raw water were chloroform and monochloroacetic acid (MCAA),
with average concentrations of 107.3 and 125.9 MUg/L, respectively. However, the
formation potential of chloroform and MCAA decreased to 36.2 and 11.5 MUg/L after
ultrafiltration. Similarly, biological pretreatment obtained high removal
efficiency for DBPFP. The total THMFP decreased from 173.8 to 81.8 MUg/L, and the
total HAAFP decreased from 211.9 to 84.2 MUg/L. Separate ozonation had an adverse
effect on DBPFP, especially for chlorinated HAAFP. Numerous low-molecular-weight
compounds such as aldehydes, ketones, and alcohols were generated during the
ozonation, which have been proven to be important precursors of HAAs. However,
the ozonation/biological activated carbon (BAC) combined process had a better
removal efficiency for DBPFP. The total DBPFP decreased remarkably from 338.7 to
113.3 MUg/L after the O3/BAC process, far below the separated BAC of process B
(189.1 MUg/L).
PMID- 27180836
TI - Organic compounds present in airborne particles stimulate superoxide production
and DNA fragmentation: role of NOX and xanthine oxidase in animal tissues.
AB - Suspended particulate matter trigger the production of reactive oxygen species.
However, most of the studies dealing with oxidative damage of airborne particles
focus on the effects of individual compounds and not real mixtures. In order to
study the enzymatic superoxide production resulting from the exposition to a
complex mixture, we derived organic extracts from airborne particles collected
daily in an urban area and exposed kidney, liver, and heart mammal tissues. After
that, we measured DNA damage employing the comet assay. We observed that in every
tissue, NADPH oxidase and xanthine oxidase were involved in O2 (-) production
when they were exposed to the organic extracts, as the lucigenin's
chemiluminescence decays when enzymes were inhibited. The same trend was observed
with the percentage of cells with comets, since DNA damage was higher when they
were exposed to same experimental conditions. Our data allow us to hypothesize
that these enzymes play an important role in the oxidative stress produced by
PAHs and that there is a mechanism involving them in the O2 (-)generation.
PMID- 27180837
TI - Predicting seasonal fate of phenanthrene in aquatic environment with a Markov
chain.
AB - Phenanthrene (Phe) with carcinogenicity is ubiquitous in the environment,
especially in aquatic environment; its toxicity is greater. To help determine
toxicity risk and remediation strategies, this study predicted seasonal fate of
Phe in aquatic environment. Candidate mechanisms including biodegradation,
sorption, desorption, photodegradation, hydrolysis and volatility were studied;
the results for experiments under simulated conditions for normal, wet and dry
seasons in the Yinma River Basin indicated that biodegradation in sediment,
sorption, desorption, and volatility were important pathways for elimination of
Phe from aquatic environment and showed seasonal variations. A microcosm which
was used to mimic sediment/water system was set up to illustrate seasonal
distribution and transport of Phe. A Markov chain was applied to predict seasonal
fate of Phe in air/water/sediment environment, the predicted results were
perfectly agreed with results of microcosm experiments. Predicted results with a
Markov chain suggested that volatility and biodegradation in sediment were main
elimination pathways, and contributions of elimination pathways showed seasonal
variations; Phe was eliminated from water and sediment to negligible levels over
around 250 h in August and over 1000 h in May; in November, Phe was eliminated
from water to a negligible level while about 31 % of Phe amount still remained in
sediment over 1000 h.
PMID- 27180838
TI - Eco-friendly drugs from the marine environment: spongeweed-synthesized silver
nanoparticles are highly effective on Plasmodium falciparum and its vector
Anopheles stephensi, with little non-target effects on predatory copepods.
AB - Mosquitoes act as vectors of devastating pathogens and parasites, representing a
key threat for millions of humans and animals worldwide. The control of mosquito
borne diseases is facing a number of crucial challenges, including the emergence
of artemisinin and chloroquine resistance in Plasmodium parasites, as well as the
presence of mosquito vectors resistant to synthetic and microbial pesticides.
Therefore, eco-friendly tools are urgently required. Here, a synergic approach
relying to nanotechnologies and biological control strategies is proposed. The
marine environment is an outstanding reservoir of bioactive natural products,
which have many applications against pests, parasites, and pathogens. We proposed
a novel method of seaweed-mediated synthesis of silver nanoparticles (AgNP) using
the spongeweed Codium tomentosum, acting as a reducing and capping agent. AgNP
were characterized by UV-Vis spectroscopy, Fourier transform infrared (FTIR)
spectroscopy, scanning electron microscopy (SEM), energy-dispersive X-ray
spectroscopy (EDX), and X-ray diffraction (XRD). In mosquitocidal assays, the 50
% lethal concentration (LC50) of C. tomentosum extract against Anopheles
stephensi ranged from 255.1 (larva I) to 487.1 ppm (pupa). LC50 of C. tomentosum
synthesized AgNP ranged from 18.1 (larva I) to 40.7 ppm (pupa). In laboratory,
the predation efficiency of Mesocyclops aspericornis copepods against A.
stephensi larvae was 81, 65, 17, and 9 % (I, II, III, and IV instar,
respectively). In AgNP contaminated environment, predation was not affected; 83,
66, 19, and 11 % (I, II, III, and IV). The anti-plasmodial activity of C.
tomentosum extract and spongeweed-synthesized AgNP was evaluated against CQ
resistant (CQ-r) and CQ-sensitive (CQ-s) strains of Plasmodium falciparum. Fifty
percent inhibitory concentration (IC50) of C. tomentosum were 51.34 MUg/ml (CQ-s)
and 65.17 MUg/ml (CQ-r); C. tomentosum-synthesized AgNP achieved IC50 of 72.45
MUg/ml (CQ-s) and 76.08 MUg/ml (CQ-r). Furthermore, low doses of the AgNP
inhibited the growth of Bacillus subtilis, Klebsiella pneumoniae, and Salmonella
typhi, using the agar disk diffusion and minimum inhibitory concentration
protocol. Overall, C. tomentosum metabolites and spongeweed-synthesized AgNP may
be potential candidates to develop novel and effective tools in the fight against
Plasmodium parasites and their mosquito vectors. The employ of ultra-low doses of
nanomosquitocides in synergy with cyclopoid crustaceans seems a promising green
route for effective mosquito control programs.
PMID- 27180840
TI - On the causal links between health indicator, output, combustible renewables and
waste consumption, rail transport, and CO2 emissions: the case of Tunisia.
AB - This study employs the autoregressive distributed lag (ARDL) approach and Granger
causality test to investigate the short- and long-run relationships between
health indicator, real GDP, combustible renewables and waste consumption, rail
transport, and carbon dioxide (CO2) emissions for the case of Tunisia, spanning
the period of 1990-2011. The empirical findings suggest that the Fisher statistic
of the Wald test confirm the existence of a long-run relationship between the
variables. Moreover, the long-run estimated elasticities of the ARDL model
provide that output and combustible renewables and waste consumption have a
positive and statistically significant impact on health situation, while CO2
emissions and rail transport both contribute to the decrease of health indicator.
Granger causality results affirm that, in the short-run, there is a
unidirectional causality running from real GDP to health, a unidirectional
causality from health to combustible renewables and waste consumption, and a
unidirectional causality from all variables to CO2 emissions. In the long-run,
all the computed error correction terms are significant and confirm the existence
of long-run association among the variables. Our recommendations for the Tunisian
policymakers are as follows: (i) exploiting wastes and renewable fuels can be a
good strategy to eliminate pollution caused by emissions and subsequently improve
health quality, (ii) the use of renewable energy as a main source for national
rail transport is an effective strategy for public health, (iii) renewable energy
investment projects are beneficial plans for the country as this contributes to
the growth of its own economy and reduce energy dependence, and (iii) more
renewable energy consumption leads not only to decrease pollution but also to
stimulate health situation because of the increase of doctors and nurses numbers.
PMID- 27180841
TI - Aluminum complexes containing salicylbenzoxazole ligands and their application in
the ring-opening polymerization of rac-lactide and epsilon-caprolactone.
AB - Two series of four-coordinate aluminum () and five-coordinate aluminum ()
complexes were successfully synthesized via the reactions between the
corresponding salicylbenzoxazole ligands and 1 or 0.5 equivalents of AlMe3,
respectively. The synthesized aluminum complexes were characterized by (1)H and
(13)C NMR spectroscopy and elemental analysis. The solid-state structures of
complexes and were determined using single crystal X-ray diffraction. Upon
addition of 1 equivalent of benzyl alcohol, all complexes were efficient
initiators for the ring-opening polymerization (ROP) of rac-lactide (rac-LA) and
epsilon-caprolactone (epsilon-CL). The polymerizations were living with a good
control over molecular weights and molecular weight distributions. Under immortal
polymerization conditions, all four-coordinate aluminum complexes () exhibited a
living polymerization with the obtained molecular weights proportional to the
ratio of monomer/benzyl alcohol and the PDIs were narrow. Kinetic studies
revealed that both rac-LA and epsilon-CL polymerizations mediated by all
complexes were first-order in monomers. The effects of ligand structure and
coordination geometry on the catalytic activity and stereoselectivity were
discussed. A good isoselectivity control was achieved for the polymerizations
mediated by complexes (Pm = 0.75), (Pm = 0.74), and (Pm = 0.74).
PMID- 27180839
TI - Oxalate-assisted oxidative degradation of 4-chlorophenol in a bimetallic, zero
valent iron-aluminum/air/water system.
AB - The reaction of zero-valent iron and aluminum with oxygen produced reactive
oxidants that can oxidize 4-chlorophenol (4-CP). However, oxidant yield without
metal surface cleaning to dissolve the native oxide layer or in the absence of
ligands was too low for practical applications. The addition of oxalate (ox) to
dissolved oxygen-saturated solution of Fe(0)-Al(0) significantly increased
oxidant yield because of the dissolution, pH buffer, and complexing
characteristics of ox. Ox-enhanced reactive oxidant generation was affected by ox
concentration and solution pH. The critical effect of ox dosing was confirmed
with the reactive species of [Fe(II)(ox)0] and [Fe(II)(ox)2 (2-)]. Systematic
studies on the effect of the initial and in situ solution pH revealed that 4-CP
oxidation was controlled by the continuous release of dissolved Fe(2+) and
Al(3+), their fate, and the activation mechanisms of O2 reduction. The
degradation pathway of 4-CP in ox-enhanced Fe(0)-Al(0)/O2 may follow the 4
chlorocatechol pathway. The robustness of the ox-enhanced Al(0)-Fe(0)-O2 process
was determined with one-time dosing of ox. Therefore, ox is an ideal additive to
enhancing the Fe(0)-Al(0)/O2 system for the oxidative degradation of aqueous
organic pollutants.
PMID- 27180842
TI - Relevance of serum interleukin-33 and ST2 levels and the natural course of
chronic hepatitis B virus infection.
AB - BACKGROUND: Interleukin-33 (IL-33) and ST2 have been demonstrated to be
associated with liver damage. However, their potential value in hepatitis B virus
(HBV) infection remains unknown. This study was designed to investigate the
change of serum IL-33 and ST2 levels in the natural course of chronic HBV
infection. METHODS: A total of 120 patients with chronic hepatitis B (CHB), 20
chronic hepatitis B virus carriers in immunotolerant phase and 28 healthy
controls were enrolled in this study. All patients with CHB were divided into
four groups according to their serum ALT levels. The serum levels of IL-33 and
ST2 of all participants were determined by enzyme-linked immunosorbent assay, and
compared between each two out of those six groups. RESULTS: No significant
differences were found in serum levels of IL-33 and ST2 between the group of CHB
with ALT 1-2 upper limit of normal and the healthy controls (P = 0.354 for IL-33
and P = 0.815 for ST2). Other than that, there were significant differences when
serum levels of IL-33 and ST2 were compared between any other two out of those
six groups (P < 0.05, respectively). The overall correlation analysis indicated
that changes of serum IL-33 and ST2 levels were positively associated with ALT
levels in patients with chronic HBV infection (rs = 0.879, P < 0.001 for IL-33
and rs = 0.923, P < 0.001 for ST2). No significant differences were found when
the serum levels of ALT, IL-33 and ST2 were compared between patients with HBeAg
positive CHB and HBeAg-negative CHB. CONCLUSIONS: Our study revealed that the
serum levels of IL-33 and ST2 varied in different courses of chronic hepatitis B
virus infection. The serum levels of IL-33 and ST2 elevated as serum ALT levels
increased in patients with CHB. They might indicate liver damage for patients
with CHB, just like ALT.
PMID- 27180866
TI - Ratiometric detection and imaging of endogenous hypochlorite in live cells and in
vivo achieved by using an aggregation induced emission (AIE)-based nanoprobe.
AB - An AIE-based fluorescent nanoprobe (MTPE-M) has been developed and used for
ratiometric detection of hypochlorite with high selectivity and sensitivity. More
importantly, its application in live cells and zebrafish for ratiometric imaging
of endogenous ClO(-) has also been achieved.
PMID- 27180868
TI - Influence of Dissolved O2 in Organic Solvents on CuOEP Supramolecular Self
Assembly on Graphite.
AB - The supramolecular self-assembly of copper(II) octaethylporphyrin (CuOEP) and
octaethylporphyrin (H2OEP) on graphitic surfaces immersed in organic solvents
(dichlorobenzene, dodecane) is studied using scanning tunneling microscopy (STM)
and Raman spectroscopy. STM reveals that the self-assembled structure of CuOEP in
1,2-dichlorobenzene is significantly altered by dissolved oxygen within the
solvent. Raman spectroscopy reveals that the presence of the oxygen alters the
molecule-substrate interaction, which is attributed to the adsorption of oxygen
on the Cu center of the CuOEP, which is facilitated by electron transfer from the
graphitic surface. Such oxygen-induced changes are not observed for H2OEP,
indicating that the metal center of CuOEP plays a critical role. When the solvent
is dodecane, we find that solvation effects dominate. CuOEP adsorbed on graphitic
surfaces provides a model system relevant to the study of the transport and
activation of oxygen by enzymes and other complexes.
PMID- 27180867
TI - Touch screens as a tool in patient care in the IBD outpatient clinic.
AB - OBJECTIVE: We have introduced online touch screens in the waiting room for
patients with ulcerative colitis (UC) or Crohn's disease (CD) for recording of
symptoms before their consultation. This has made disease activity scores readily
available to the physician in our newly established database, 'Gastrobio'. We
wanted to validate the use of touch screens compared to paper questionnaires.
MATERIAL AND METHODS: A total of 54 patients with UC and 74 patients with CD were
included in the study. The UC patients filled out the Short Health Scale (SHS)
and Simple Clinical Colitis Activity Index (SSCAI). The CD patients filled out
the SHS and Harvey-Bradshaw Index (HBI). Paper questionnaires and touch screen
versions were used in random order and comparison between the two modalities was
made by Spearman correlation test, Bland-Altman plots, and Kappa-statistics.
RESULTS: Among the 128 patients, the two SHS scores (SHS touch versus SHS paper)
were found to be highly correlated (Spearman correlation; 0.92 for UC and 0.92
for CD). Also, on average, Bland-Altman plots demonstrated a difference close to
zero between the two modalities. Agreement between paper version and touch screen
version of SCCAI and HBI scores was also high (Kappa-statistics; 78% raw and 98%
weighted for SCCAI; 65% raw and 97% weighted for HBI). CONCLUSIONS: It is
feasible to introduce touch screens in the outpatient clinic and to have patients
record their symptoms before the consultation. However, the study may not be
representative for elderly patients.
PMID- 27180869
TI - Antibacterial properties and mechanisms of gold-silver nanocages.
AB - Despite the number of antibiotics used in routine clinical practice, bacterial
infections continue to be one of the most important challenges faced in humans.
The main concerns arise from the continuing emergence of antibiotic-resistant
bacteria and the difficulties faced with the pharmaceutical development of new
antibiotics. Thus, advancements in the avenue of novel antibacterial agents are
essential. In this study, gold (Au) was combined with silver (Ag), a well-known
antibacterial material, to form silver nanoparticles producing a gold-silver
alloy structure with hollow interiors and porous walls (gold-silver nanocage).
This novel material was promising in antibacterial applications due to its better
biocompatibility than Ag nanoparticles, potential in photothermal effects and
drug delivery ability. The gold-silver nanocage was then tested for its
antibacterial properties and the mechanism involved leading to its antibacterial
properties. This study confirms that this novel gold-silver nanocage has broad
spectrum antibacterial properties exerting its effects through the destruction of
the cell membrane, production of reactive oxygen species (ROS) and induction of
cell apoptosis. Therefore, we introduce a novel gold-silver nanocage that serves
as a potential nanocarrier for the future delivery of antibiotics.
PMID- 27180870
TI - Overproduction and identification of butyrolactones SCB1-8 in the antibiotic
production superhost Streptomyces M1152.
AB - Gamma-butyrolactones (GBLs) are signalling molecules that control antibiotic
production in Streptomyces bacteria. The genetically engineered strain S.
coelicolor M1152 was found to overproduce GBLs SCB1-3 as well as five novel GBLs
named SCB4-8. Incorporation experiments using isotopically-labelled precursors
confirmed the chemical structures of SCB1-3 and established those of SCB4-8.
PMID- 27180871
TI - A new family of 1D, 2D and 3D frameworks aggregated from Ni5, Ni4 and Ni7
building units: synthesis, structure, and magnetism.
AB - Three new Ni(ii)-clusters based on a Y-shaped ligand (biphenyl-3,4',5
tricarboxylate, H3BPT), [Ni5(HBPT)4(OH)2(H2O)12]n (),
[Ni4(BPT)2(OH)2(H2O)6]n.4nH2O (), and [Ni7(BPT)2(1,4-bib)2(OH)6(HCO2)2]n.3nH2O ()
(1,4-bib = 1,4-bi(1H-imidazol-1-yl)benzene), have been synthesized under
solvothermal conditions. They were studied by infrared spectroscopy (IR), single
crystal X-ray diffraction, thermogravimetric analysis (TGA), and
magnetochemistry. The complexes contain low nuclear Ni-clusters as building units
(BUs). Structurally, in , the cluster BUs of [Ni5(MU3-OH)2](8+) can be viewed as
two reverse triangles sharing a common vertex, which are connected by the
partially deprotonated MU2-eta(1):eta(1)-HBPT(2-) forming 1D chains. The BUs of
[Ni4(MU3-OH)2](6+) clusters in can be considered as two reverse triangles sharing
a common edge and extended by deprotonated MU6-eta(1):eta(1):eta(1):eta(1):eta(2)
BPT(3-) constructing a 2D framework. The 3D framework of complex consists of a
[Ni7(MU3-OH)4(R-COO)7(HCO2)3] cluster BUs with fully deprotonated MU5
eta(1):eta(1):eta(1):eta(1):eta(1):eta(1)-BPT(3-) and 1,4-bib ligands. In
addition, TGA reveals that the complexes are stable in the range of 293-548 K.
Magnetostructural analyses indicate ferromagnetic coupling of J1 = 1.85(3) and J2
= 2.25(4) cm(-1) in and J = 5.76(6) cm(-1) in , whereas magnetic parameters J1 =
2.64(3), J2 = -23.22(19) and J3 = 12.02(5) cm(-1) indicate an alternating
magnetic chain (AF/F) in .
PMID- 27180872
TI - Room-temperature and gram-scale synthesis of CsPbX3 (X = Cl, Br, I) perovskite
nanocrystals with 50-85% photoluminescence quantum yields.
AB - All inorganic CsPbX3 (X = Cl, Br, I) perovskite nanocrystals (PNCs) with 50-85%
photoluminescence quantum yields and tunable emission in the range of 440-682 nm
have been successfully synthesized at room temperature in open air. This facile
strategy enables us to prepare gram-scale CsPbBr3 NCs with a PLQY approaching
80%.
PMID- 27180874
TI - Non-volatile transistor memory devices using charge storage cross-linked core
shell nanoparticles.
AB - Solution processable cross-linked core-shell poly[poly(ethylene
glycol)methylether methacrylate]-block-poly(2,5-dibromo-3-vinylthiophene)
(poly(PEGMA)m-b-poly(DB3VT)n) nanoparticles are firstly explored as charge
storage materials for transistor-type memory devices owing to their efficient and
controllable ability in electric charge transfer and trapping.
PMID- 27180873
TI - Ultrafast dynamics of quasiparticles and coherent acoustic phonons in slightly
underdoped (BaK)Fe2As2.
AB - We have utilized ultrafast optical spectroscopy to study carrier dynamics in
slightly underdoped (BaK)Fe2As2 crystals without magnetic transition. The
photoelastic signals due to coherent acoustic phonons have been quantitatively
investigated. According to our temperature-dependent results, we found that the
relaxation component of superconducting quasiparticles persisted from the
superconducting state up to at least 70 K in the normal state. Our findings
suggest that the pseudogaplike feature in the normal state is possibly the
precursor of superconductivity. We also highlight that the pseudogap feature of K
doped BaFe2As2 is different from that of other iron-based superconductors,
including Co-doped or P-doped BaFe2As2.
PMID- 27180875
TI - Secondary plasma formation after single pulse laser ablation underwater and its
advantages for laser induced breakdown spectroscopy (LIBS).
AB - In this work we present studies of spatial and temporal plasma evolution after
single pulse ablation of an aluminium target in water. The laser ablation was
performed using 20 ns long pulses emitted at 1064 nm. The plasma characterization
was performed by fast photography, the Schlieren technique, shadowgraphy and
optical emission spectroscopy. The experimental results indicate the existence of
two distinct plasma stages: the first stage has a duration of approximately 500
ns from the laser pulse, and is followed by a new plasma growth starting from the
crater center. The secondary plasma slowly evolves inside the growing vapor
bubble, and its optical emission lasts over several tens of microseconds. Later,
the hot glowing particles, trapped inside the vapor cavity, were detected during
the whole cycle of the bubble, where the first collapse occurs after 475 MUs from
the laser pulse. Differences in the plasma properties during the two evolution
phases are discussed, with an accent on the optical emission since its detection
is of primary importance for LIBS. Here we demonstrate that the LIBS signal
quality in single pulse excitation underwater can be greatly enhanced by
detecting only the secondary plasma emission, and also by applying long
acquisition gates (in the order of 10-100 MUs). The presented results are of
great importance for LIBS measurements inside a liquid environment, since they
prove that a good analytical signal can be obtained by using nanosecond pulses
from a single commercial laser source and by employing cost effective, not gated
detectors.
PMID- 27180876
TI - A novel nucleoside hydrolase from Lactobacillus buchneri LBK78 catalyzing
hydrolysis of 2'-O-methylribonucleosides.
AB - 2'-O-Methylribonucleosides (2'-OMe-NRs) are promising raw materials for nucleic
acid drugs because of their high thermal stability and nuclease tolerance. In the
course of microbial screening for metabolic activity toward 2'-OMe-NRs,
Lactobacillus buchneri LBK78 was found to decompose 2'-O-methyluridine (2'-OMe
UR). The enzyme responsible was partially purified from L. buchneri LBK78 cells
by a four-step purification procedure, and identified as a novel nucleoside
hydrolase. This enzyme, LbNH, belongs to the nucleoside hydrolase superfamily,
and formed a homotetrameric structure composed of subunits with a molecular mass
around 34 kDa. LbNH hydrolyzed 2'-OMe-UR to 2'-O-methylribose and uracil, and the
kinetic constants were Km of 0.040 mM, kcat of 0.49 s(-1), and kcat/Km of 12 mM(
1) s(-1). In a substrate specificity analysis, LbNH preferred ribonucleosides and
2'-OMe-NRs as its hydrolytic substrates, but reacted weakly with 2'
deoxyribonucleosides. In a phylogenetic analysis, LbNH showed a close
relationship with purine-specific nucleoside hydrolases from trypanosomes.
PMID- 27180877
TI - Stress and coping in parents of newly born twins.
AB - OBJECTIVE: Research indicates that parents of twins have poorer psychosocial
outcomes than parents of singletons. Parents who have conceived using assisted
reproductive technology (ART) have been found to be at higher risk of negative
psychosocial outcomes compared to parents who have conceived spontaneously. The
current study aimed to model the factors associated with parenting stress of
newly-born twins, using the Transactional Model of Stress. METHODS: Data were
collected using a cross-sectional survey design with participants identified from
delivery records across Northern Ireland. Mothers and fathers (n = 104) of twins
aged between 1 and 12 months old returned a questionnaire pack containing the
Parenting Stress Index, Impact on the Family Scale-Financial Burden, Coping
Orientation to Problems Experienced - Brief Version, Multidimensional Scale of
Perceived Social Support, General Health Questionnaire and a demographic
questionnaire. RESULTS: There were no differences on psychological outcomes
between parents who had conceived via ART and those who conceived spontaneously.
Regression analyses found that social interaction and support is an important
variable in terms of the psychological outcomes experienced by parents of twins.
CONCLUSION: Parents of newly-born twins regardless of the mode of conception
should be considered an at risk group for parental distress. Support groups such
as the Twins and Multiple Births Association could be important in providing that
crucial social interaction and support that seems to be important in the
emotional well-being of parents of twins.
PMID- 27180878
TI - Genotoxicity and cytotoxicity of oxindole alkaloids from Uncaria tomentosa (cat's
claw): Chemotype relevance.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Uncaria tomentosa (Willdenow ex Roemer &
Schultes) DC. (Rubiaceae) or cat's claw is a climber vine from the South American
rainforest used in folk medicine for cancer treatment. Its antitumor activity has
been mostly ascribed to pentacyclic oxindole alkaloids (POA) from stem bark and
leaves while the activity of tetracyclic oxindole alkaloids (TOA) remains
unknown. In recent times, the occurrence of three chemotypes based on its
oxindole alkaloid profile was noticed in U. tomentosa, namely, chemotype I (POA
cis D/E ring junction); chemotype II (POA trans D/E ring junction) or chemotype
III (TOA). Consequently, the relationship between the chemotype and cytotoxic and
genotoxic activities deserves attention. AIM OF THE STUDY: To evaluate the
influence of cat's claw chemotypes on genotoxicity and cytotoxicity against non
malignant and malignant human cell line models. MATERIAL AND METHODS: Four
authentic stem bark cat's claw samples (SI-SIV) and two leaf samples (LII and
LIII) were analyzed by HPLC-PDA, properly extracted and fractioned by ion
exchange to obtain oxindole alkaloid purified fractions (OAPFs). The freeze-dried
fractions were assayed for genotoxicity and cytotoxicity against human leukocytes
(non malignant cell line) by the micronuclei frequency method and the alkaline
comet DNA assay, and the trypan blue method, respectively. Moreover, the
cytotoxicity of each OAPF was evaluated against a human bladder cancer cell line
(T24) and human glioblastoma cell line (U-251-MG) by MTT method (malignant cell
lines). Additionally, the isomerization of oxindole alkaloids throughout the
course of cell incubation was monitored by HPLC-PDA. RESULTS: Based on HPLC-PDA
analyses, sample SI was characterized as chemotype I, while samples SII and LII
were characterized as chemotype II, and samples SIII, SIV and LIII as chemotype
III. The chemotypes showed comparable cytotoxic activity toward malignant cell
lines (T24 and U-251-MG) unlike human leukocytes (non malignant cell line), where
this activity was clearly distinct. Chemotype II (POA trans D/E ring junction)
showed a higher selectivity index (SI) against malignant cells (SI=1.11-3.04)
than chemotype I (SI=0.10-0.19) and III (SI=0.21-0.57). No important genotoxic
potential was found by micronuclei frequency and alkaline comet DNA assays.
Despite the isomerization of oxindole alkaloids during the cell incubation, the
chemotype of the cat's claw samples remained unchanged. CONCLUSION: Cat's claw
chemotypes showed different selectivity against human malignant cells, so that
the correct identification of each chemotype seems to be important to better
understand its antitumor potential.
PMID- 27180879
TI - Eupafolin ameliorates COX-2 expression and PGE2 production in particulate
pollutants-exposed human keratinocytes through ROS/MAPKs pathways.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Eupafolin is a major bioactive compound derived
from the methanolic extract of the medicinal herb Phyla nodiflora, which has been
used in traditional Chinese medicine to treat various inflammatory diseases.
Recently, particulate air pollutants have been shown to induce inflammation of
the skin. In this study, we seek to determine whether eupafolin can inhibit the
production of inflammatory mediators in a human skin keratinocyte cell line
exposed to particulate air pollutants (particulate matter, PM), and determine the
molecular mechanisms involved. MATERIALS AND METHODS: Human keratinocyte HaCaT
cells were treated with PM in the presence or absence of eupafolin.
Cyclooxygenase-2 (COX-2) protein and gene expression levels were determined by
Western blotting, RT-PCR and luciferase activity assay. Prostaglandin E2 (PGE2)
production was evaluated by the enzyme immunoassay method. Generation of
intracellular reactive oxygen species (ROS) was measured by the
dichlorofluorescin (DCFH) oxidation assay, and nicotinamide adenine dinucleotide
phosphate (NADPH) oxidase activity was determined by a chemiluminescence assay.
For in vivo studies, COX-2 expression in the skin of BALB/c nude mice was
analyzed by immunohistochemistry. RESULTS: Eupafolin inhibited PM-induced COX-2
protein and gene expression and PGE2 production in HaCaT cells. In addition,
eupafolin suppressed PM-induced intracellular ROS generation, NADPH oxidase
activity, MAPK (ERK, JNK and p38) activation and NK-kappaB activation. In vivo
studies showed that topical treatment with eupafolin inhibited COX-2 expression
in the epidermal keratinocytes of PM-treated mice. CONCLUSIONS: Eupafolin exerts
anti-inflammatory and antioxidant effects on skin keratinocytes exposed to
particulate air pollutants, and may have potential use in the treatment or
prevention of air pollutant-induced inflammatory skin diseases in the future.
PMID- 27180880
TI - Iridoid glycosides from the flowers of Gentiana macrophylla Pall. ameliorate
collagen-induced arthritis in rats.
AB - BACKGROUND: The flowers of Gentiana macrophylla have been usually applied to cure
the joint inflammation and rheumatoid arthritis in Traditional Chinese Medicine.
HYPOTHESIS/PURPOSE: This work aimed to investigate the anti-rheumatoid arthritic
effect and possible mechanism of iridoid glycosides from G. macrophylla (GMI)
using an animal model of collagen-induced rheumatoid arthritis (CIA) in rats.
STUDY DESIGN: All rats were randomly divided into five groups: normal control,
CIA, dexamethasone, 15mg/kg and 30mg/kg GMI. METHODS: CIA was induced (day 0) in
male Sprague-Dawley rats by intradermal injection of complete Bovine CII at the
base of the tail. Dexamethasone was chosen as the positive drug. The
administration of different drugs started from day 1 and continued for 28 days.
Paw swelling, arthritis score and histopathological changes were examined to
assess the severity of arthritis. In addition, the serum levels of tumor necrosis
factor alpha (TNF-alpha), interleukin-1beta (IL-1beta), interleukin-6 (IL-6), and
cyclooxygenase-2 (COX-2) and inducible nitric oxide synthase (iNOS) expressions
in joint synovial tissues were detected. RESULTS: GMI reduced paw edema,
arthritis scores and the index of spleen and thymus from day 7 to 21 after CIA
compared with those in the CIA group. Our data also demonstrated that GMI
inhibited pro-inflammatory cytokines such as TNF-alpha, IL-1beta and IL-6,
regulated the expression of iNOS and COX-2 compared with those in the CIA group.
We also obtained four major components from GMI, identified as loganic acid,
swertamarin, gentiopicroside and sweroside, and the contents of them were also
calculated respectively. CONCLUSION: Taken together, our results shed light on
the therapeutic efficacy of GMI in rats rheumatoid arthritis model by reducing
the levels of IL-1beta, IL-6 and TNF-alpha in serum as well as down-regulating
the levels of iNOS and COX-2. Therefore, GMI may be an effective therapy for the
treatment of rheumatoid arthritis.
PMID- 27180881
TI - The effects of Arnebia euchroma ointment on second-degree burn wounds: a
randomized clinical trial.
AB - ETHNOBOTANICAL RELEVANCE: Burn injuries can cause detrimental long-term
consequences and call for immediate management. Avicenna's Canon of Medicine,
describing the use of Abu-Khalsa (Arnebia euchroma) (AE) as being effective for
burn healing. The purpose of this study was to evaluate the healing effects of AE
ointment (AEO) on patients with a second-degree burn wound and compare its
results with silver sulfadiazine cream (SSD). MATERIALS AND METHODS: In this
prospective, single-blind clinical trial, 45 patients with similar types of
second-degree burns at two different sites of the body were randomly assigned to
the two treatment groups. One burn wound site of the patient was treated with SSD
and another similar burn wound site with AEO once a day until complete healing
was achieved. Wound size and percentage of wound healing were evaluated at 15
days. Satisfaction, clinical adverse events such as pain, burning, warming,
erythema, edema, infection, inflammation, and general wound area were assessed on
a visual analogue scales, and 6-point scales. RESULTS: The healing time was
significantly shorter in the site treated with AEO than SSD (13.9+/-5.3 vs.
17.5+/-6.9 days, respectively). The severity of pain and burning were reduced in
the AEO site compared with SSD site at the time of dressing change, while the
warming score was significantly higher in the AEO wound area. Side-effects were
lower in the site treated with AEO. CONCLUSION: In this clinical study, we
demonstrated that AEO has benefits over SSD in the treatment of second-degree
burn wounds and wound healing and is a viable medication for the management of
second-degree burns.
PMID- 27180884
TI - Evidence against an etiological role of lactobacilli and diphtheroids in dysuria
and frequency in females.
PMID- 27180882
TI - Elevated endocan concentration is associated with coronary slow flow.
AB - We sought to assess whether serum endocan concentration is correlated with
coronary slow flow (CSF). We measured serum endocan concentration in 93 patients
with CSF and in 206 controls. Serum endocan concentration was measured by enzyme
linked immunosorbent assay (ELISA). The presence of CSF was assessed by
thrombolysis in myocardial infarction (TIMI) frame count (TFC) method. We
demonstrated that serum endocan concentration is significantly higher in CSF
patients (n = 93) than that in controls (n = 206) (1.03 [range 0.63-1.33] vs.
0.80 [range 0.52-1.09] ng/mL, p = 0.002). Multivariate logistic regression
analysis revealed that serum endocan concentration was independently associated
with the presence of CSF (odds ratio 1.774, 95% confidence interval 1.064-2.958;
p = 0.028). Serum endocan concentration was positively correlated with mean-TFC
in CSF patients (r = 0.289, p = 0.005). These results revealed that endocan might
be a useful biomarker for predicting the presence and severity of CSF.
Therapeutic interventions by down-regulating endocan to delay the progressive
process of CSF warrants further investigations.
PMID- 27180883
TI - Effects of ADMA on gene expression and metabolism in serum-starved LoVo cells.
AB - Serum starvation is a typical way for inducing tumor cell apoptosis and stress.
Asymmetric dimethylarginine (ADMA) is an endogenous metabolite. Our previous
study reveals the plasma ADMA level is elevated in colon cancer patients, which
can attenuate serum starvation-induced apoptosis in LoVo cells. In current study,
we evaluated the effects of ADMA on gene expression and metabolism in serum
starved LoVo cells with gene microarray and metabolomic approaches. Our results
indicated that 96 h serum starvation induced comprehensive alterations at
transcriptional level, and most of them were restored by ADMA. The main signaling
pathways induced by serum starvation included cancers-related pathways, pathways
in cell death, apoptosis, and cell cycle etc. Meanwhile, the metabolomic data
showed serum-starved cells were clearly separated with control cells, but not
with ADMA-treated cells in PCA model. The identified differential metabolites
indicated serum starvation significantly suppressed TCA cycle, altered glucose
and fatty acids metabolism, as well as nucleic acids metabolism. However, very
few differential metabolites were identified between ADMA and serum-starved
cells. In summary, our current results indicated serum starvation profoundly
altered the gene expression and metabolism of LoVo cells, whereas ADMA could
restore most of the changes at transcriptional level, but not at metabolic level.
PMID- 27180885
TI - Bupropion therapy during pregnancy: the drug and its major metabolites in
umbilical cord plasma and amniotic fluid.
AB - BACKGROUND: Bupropion is used for treatment of depression during pregnancy.
However, its use as a smoking cessation aid for pregnant women is currently under
evaluation. OBJECTIVE: The aim of this opportunistic study was to investigate the
transfer of bupropion and its major pharmacologically active metabolites,
hydroxybupropion and threohydrobupropion, across the placenta in vivo. In
addition, the concentrations of the drug and its metabolites were determined in
the amniotic fluid. STUDY DESIGN: The following samples were collected at
deliveries from 22 women taking bupropion: maternal blood (n = 22), umbilical
cord venous blood (n = 22), and amniotic fluid (n = 9). The concentrations of the
drug and its metabolites in blood plasma and amniotic fluid were determined by
means of liquid chromatography-mass spectrometry. Placental passage was
calculated as a ratio of umbilical cord venous plasma to maternal plasma
concentrations. RESULTS: The levels of hydroxybupropion and threohydrobupropion
in umbilical cord venous plasma were invariably lower than their corresponding
concentrations in maternal plasma. The concentrations of bupropion in umbilical
cord plasma were lower than in maternal plasma in the majority of the maternal
cord blood pairs. The median values of the umbilical cord venous plasma to
maternal plasma ratios were: bupropion, 0.53 (interquartile range 0.35, n = 18),
hydroxybupropion, 0.21 (interquartile range 0.12, n = 18), and
threohydrobupropion, 0.61 (interquartile range 0.11, n = 21). In umbilical cord
venous plasma, the median concentration of bupropion was 5.3 ng/mL;
hydroxybupropion, 103.6 ng/mL; and threohydrobupropion, 59.6 ng/mL. Bupropion and
its metabolites were detectable in the amniotic fluid but the concentrations of
threohydrobupropion were higher than those in the corresponding umbilical cord
venous plasma. CONCLUSION: Bupropion and its active metabolites cross the
placenta to the fetal circulation. The concentrations of hydroxybupropion and
threohydrobupropion in umbilical cord venous plasma were higher than bupropion
concentrations suggesting a higher fetal exposure to the metabolites than the
parent drug. The higher levels of threohydrobupropion in the amniotic fluid than
those in umbilical cord venous plasma suggest that enzymes involved in the
metabolism of bupropion to threohydrobupropion are most likely active in the
fetus. The biological consequences of fetal exposure to maternally administered
bupropion and/or its active metabolites via placental transfer and recirculation
of the amniotic fluid are yet to be determined.
PMID- 27180886
TI - A prospective assessment of pelvic infection risk following same-day sexually
transmitted infection testing and levonorgestrel intrauterine system placement.
AB - BACKGROUND: Misperceptions persist that intrauterine device placement is related
to pelvic infections and Chlamydia and gonorrhea testing results are needed prior
to placement. OBJECTIVE: We sought to evaluate the relationship of Chlamydia and
gonorrhea screening to pelvic infection for up to 2 years following placement of
the levonorgestrel 52-mg intrauterine system. STUDY DESIGN: A total of 1751
nulliparous and multiparous females 16 to 45 years old enrolled in a multicenter
trial designed to evaluate the efficacy and safety of a new levonorgestrel
intrauterine system for up to 7 years. Participants had Chlamydia screening at
study entry and yearly if they were age <=25 years. Women also had baseline
gonorrhea screening if testing had not been performed since starting their
current sexual relationship. Those who changed sexual partners during the trial
had repeated Chlamydia and gonorrhea testing. Intrauterine system insertion could
occur on the same day as screening. Participants did not receive prophylactic
antibiotics for intrauterine system placement. Investigators performed pelvic
examinations after 12 and 24 months and when clinically indicated during visits
at 3, 6, and 18 months after placement and unscheduled visits. Pelvic infection
included any clinical diagnosis of pelvic inflammatory disease or endometritis.
RESULTS: Most participants (n = 1364, 79.6%) did not have sexually transmitted
infection test results available prior to intrauterine system placement. In all,
29 (1.7%) participants had positive baseline testing for a sexually transmitted
infection (Chlamydia, n = 25; gonorrhea, n = 3; both, n = 1); 6 of these
participants had known results (all with Chlamydia infection) prior to
intrauterine system placement and received treatment before enrollment. The 23
participants whose results were not known at the time of intrauterine system
placement received treatment without intrauterine system removal and none
developed pelvic infection. The incidence of positive Chlamydia testing was
similar among those with and without known test results at the time of
intrauterine system placement (1.9% vs 1.5%, respectively, P = .6). Nine (0.5%)
participants had a diagnosis of pelvic infection over 2 years after placement,
all of whom had negative Chlamydia screening on the day of or within 1 month
after intrauterine system placement. Infections were diagnosed in 3 participants
within 7 days, 1 at 39 days, and 5 at >=6 months. Seven participants received
outpatient antibiotic treatment and 2 (diagnoses between 6-12 months after
placement) received inpatient treatment. Two (0.1%) participants had intrauterine
system removal related to infection (at 6 days and at 7 months after placement),
both of whom only required outpatient treatment. CONCLUSION: Conducting Chlamydia
and gonorrhea testing on the same day as intrauterine system placement is
associated with a low risk of pelvic infection (0.2%). Over the first 2 years of
intrauterine system use, infections are infrequent and not temporally related to
intrauterine system placement. Pelvic infection can be successfully treated in
most women with outpatient antibiotics and typically does not require
intrauterine system removal. Women without clinical evidence of active infection
can have intrauterine system placement and sexually transmitted infection
screening, if indicated, on the same day.
PMID- 27180887
TI - Two-dimensional liquid chromatography coupled to tandem mass spectrometry for
vitamin D metabolite profiling including the C3-epimer-25-monohydroxyvitamin D3.
AB - A method based on automated on-line solid phase extraction coupled to two
dimensional liquid chromatography with tandem mass spectrometry detection (SPE
2DLC-MS/MS) is here reported for vitamin D metabolite profiling in human serum
with absolute quantitation. Two-dimensional LC was configured with two
complementary analytical columns, pentafluorophenyl (PFP) and C18 phases, for
determination of 25 hydroxyvitamin D3 epimers and the resting bioactive
metabolites of vitamin D (D3 and D2)-25-hydroxyvitamin D2, 1,25-dihydroxyvitamin
D3, 1,25-dihydroxyvitamin D2 and 24,25-dihydroxyvitamin D3. Quantitative
determination was supported on the use of a stable isotopic labelled internal
standard for each analyte and the resulting method was validated by analysis of a
standard reference material certified by the National Institute of Standards &
Technology (NIST-972a) and 5 samples provided by the vitamin D External Quality
Assurance Scheme (DEQAS). The limits of detection were between 9 and 90pg/mL for
the eight analytes, and precision, expressed as relative standard deviation, was
lower than 11.6%. Two-dimensional LC has shown to be the key to discriminate
between 25 hydroxyvitamin D3 epimers in a quantitative analysis also involving
dihydroxyvitamin D metabolites.
PMID- 27180889
TI - Atrial Fibrillation-Mediated Upregulation of miR-30d Regulates Myocardial
Electrical Remodeling of the G-Protein-Gated K(+) Channel, IK.ACh.
AB - BACKGROUND: Atrial fibrillation (AF) begets AF in part due to atrial remodeling,
the molecular mechanisms of which have not been completely elucidated. This study
was conducted to identify microRNA(s) responsible for electrical remodeling in
AF. METHODS AND RESULTS: The expression profiles of 1205 microRNAs, in
cardiomyocytes from patients with persistent AF and from age-, gender-, and
cardiac function-matched control patients with normal sinus rhythm, were examined
by use of a microRNA microarray platform. Thirty-nine microRNAs differentially
expressed in AF patients' atria were identified, including miR-30d, as a
candidate responsible for ion channel remodeling by in silico analysis. MiR-30d
was significantly upregulated in cardiomyocytes from AF patients, whereas the
mRNA and protein levels ofCACNA1C/Cav1.2 andKCNJ3/Kir3.1, postulated targets of
miR-30d, were markedly reduced.KCNJ3/Kir3.1 expression was downregulated by
transfection of the miR-30 precursor, concomitant with a reduction of the
acetylcholine-sensitive inward-rectifier K(+)current (IK.ACh).KCNJ3/Kir3.1 (but
notCACNA1C/Cav1.2) expression was enhanced by the knockdown of miR-30d. The
Ca(2+)ionophore, A23187, induced a dose-dependent upregulation of miR-30d,
followed by the suppression ofKCNJ3mRNA expression. Blockade of protein kinase C
signaling blunted the [Ca(2+)]i-dependent downregulation of Kir3.1 via miR-30d.
CONCLUSIONS: The downward remodeling ofIK.AChis attributed, at least in part, to
deranged Ca(2+)handling, leading to the upregulation of miR-30d in human AF,
revealing a novel post-transcriptional regulation ofIK.ACh. (Circ J 2016; 80:
1346-1355).
PMID- 27180888
TI - Doping control analysis of 46 polar drugs in horse plasma and urine using a
'dilute-and-shoot' ultra high performance liquid chromatography-high resolution
mass spectrometry approach.
AB - The high sensitivity of ultra high performance liquid chromatography coupled with
high resolution mass spectrometry (UHPLC-HRMS) allows the identification of many
prohibited substances without pre-concentration, leading to the development of
simple and fast 'dilute-and-shoot' methods for doping control for human and
equine sports. While the detection of polar drugs in plasma and urine is
difficult using liquid-liquid or solid-phase extraction as these substances are
poorly extracted, the 'dilute-and-shoot' approach is plausible. This paper
describes a 'dilute-and-shoot' UHPLC-HRMS screening method to detect 46 polar
drugs in equine urine and plasma, including some angiotensin-converting enzyme
(ACE) inhibitors, sympathomimetics, anti-epileptics, hemostatics, the new doping
agent 5-aminoimidazole-4-carboxamide-1-beta-d-ribofuranoside (AICAR), as well as
two threshold substances, namely dimethyl sulfoxide and theobromine. For plasma,
the sample (200MUL) was protein precipitated using trichloroacetic acid, and the
resulting supernatant was diluted using Buffer A with an overall dilution factor
of 3. For urine, the sample (20MUL) was simply diluted 50-fold with Buffer A. The
diluted plasma or urine sample was then analysed using a UHPLC-HRMS system in
full-scan ESI mode. The assay was validated for qualitative identification
purpose. This straightforward and reliable approach carried out in combination
with other screening procedures has increased the efficiency of doping control
analysis in the laboratory. Moreover, since the UHPLC-HRMS data were acquired in
full-scan mode, the method could theoretically accommodate an unlimited number of
existing and new doping agents, and would allow a retrospectively search for
drugs that have not been targeted at the time of analysis.
PMID- 27180890
TI - Efficacy and Safety of a Novel Endothelin Receptor Antagonist, Macitentan, in
Japanese Patients With Pulmonary Arterial Hypertension.
AB - BACKGROUND: Macitentan is a novel, dual endothelin receptor antagonist with
sustained receptor binding, used for the long-term treatment of pulmonary
arterial hypertension (PAH). In the present study, we assessed the efficacy and
safety of macitentan in Japanese patients with PAH. METHODS AND RESULTS:
Macitentan was administered at a once-daily dose of 10 mg in 30 patients. The
primary endpoint was change in pulmonary vascular resistance (PVR) from baseline
to week 24. Change to week 24 in the other hemodynamic parameters, 6-min walk
distance (6MWD), World Health Organization (WHO) functional class, and plasmaN
terminal pro-brain natriuretic peptide (NT-pro-BNP), as well as time to clinical
deterioration up to week 52 were also assessed as secondary endpoints. In the 28
patients on per-protocol analysis, PVR decreased from 667+/-293 to 417+/-214
dyn.sec.cm(-5)(P<0.0001). 6MWD increased from 427+/-128 to 494+/-116 m
(P<0.0001). WHO functional class improved in 13 patients (46.4%) and was
maintained in 15 patients (53.6%), and NT-pro-BNP was reduced by 18% (P<0.0001).
The favorable treatment effect on PVR was apparent regardless of concomitant
therapy for PAH. CONCLUSIONS: Macitentan was efficacious and well tolerated and
improved the hemodynamic parameters, exercise capacity, symptoms, and clinical
biomarkers in Japanese PAH patients. Macitentan can be a valuable therapeutic
option for Japanese patients with PAH. ( TRIAL REGISTRATION: JAPIC Clinical
Trials Information [JapicCTI-121986].) (Circ J 2016; 80: 1478-1483).
PMID- 27180891
TI - Catecholaminergic Polymorphic Ventricular Tachycardia.
AB - Catecholaminergic polymorphic ventricular tachycardia (CPVT) is an inherited
cardiac arrhythmia disorder that is characterized by emotion- and exercise
induced polymorphic ventricular arrhythmias and may lead to sudden cardiac death
(SCD). CPVT plays an important role in SCD in the young and therefore recognition
and adequate treatment of the disease are of vital importance. In the past years
tremendous improvements have been made in the diagnostic methods and treatment of
the disease. In this review, we summarize the clinical characteristics, genetics,
and diagnostic and therapeutic strategies of CPVT and describe the most recent
advances and some of the current challenges. (Circ J 2016; 80: 1285-1291).
PMID- 27180892
TI - Mechanical Cardiopulmonary Resuscitation In and On the Way to the Cardiac
Catheterization Laboratory.
AB - Cardiac arrest, though not common during coronary angiography, is increasingly
occurring in the catheterization laboratory because of the expanding complexity
of percutaneous interventions (PCI) and the patient population being treated.
Manual chest compression in the cath lab is not easily performed, often
interrupted, and can result in the provider experiencing excessive radiation
exposure. Mechanical cardiopulmonary resuscitation (CPR) provides unique
advantages over manual performance of chest compression for treating cardiac
arrest in the cardiac cath lab. Such advantages include the potential for
uninterrupted chest compressions, less radiation exposure, better quality chest
compressions, and less crowded conditions around the catheterization table,
allowing more attention to ongoing PCI efforts during CPR. Out-of-hospital
cardiac arrest patients not responding to standard ACLS therapy can be
transported to the hospital while mechanical CPR is being performed to provide
safe and continuous chest compressions en route. Once at the hospital, advanced
circulatory support can be instituted during ongoing mechanical CPR. This article
summarizes the epidemiology, pathophysiology and nature of cardiac arrest in the
cardiac cath lab and discusses the mechanics of CPR and defibrillation in that
setting. It also reviews the various types of mechanical CPR and their potential
roles in and on the way to the laboratory. (Circ J 2016; 80: 1292-1299).
PMID- 27180893
TI - Phylodynamics of the major HIV-1 CRF02_AG African lineages and its global
dissemination.
AB - The HIV-1 CRF02_AG clade is the most prevalent HIV variant in West and West
Central Africa and its detection outside Africa is increasingly common. Little is
known, however, about the number and phylodynamics of major CRF02_AG lineages
circulating worldwide. To this end, a total of 3170 HIV-1 CRF02_AG-like pol
sequences isolated around the world, over a period of 25years (1989 to 2013),
were analyzed using Maximum Likelihood and Bayesian coalescent-based methods. Our
results suggest that most of the current CRF02_AG diversity comes from the
dissemination of a few founder strains out of Central Africa into West Africa and
Cameroon between the late 1960s and the middle 1980s. The CRF02_AG strain
introduced into West Africa established a large regional epidemic with low
phylogeographic structure. This strain was also successfully disseminated out of
the West African region and originated at least three large secondary outbreaks
in Cameroon at around the late 1970s, in the former Soviet Union (FSU) countries
at around the late 1990s, and in Bulgaria/Germany at around the early 2000s. The
CRF02_AG African lineages introduced into Cameroon remained mostly restricted to
this country and its neighbors. Demographic reconstructions indicate that major
CRF02_AG clades circulating in Africa exhibited a decline in growth rate since
the middle 1980s/1990s, whereas CRF02_AG clades in Europe and the FSU countries
continue to grow exponentially until the middle to late 2000s. Substantial
differences in the median estimated growth rate of the same CRF02_AG clade
circulating in different regions (0.63-2.00year-1), and of different CRF02_AG
clades circulating in the same country (0.41-0.75year-1) were observed. Thus, the
cause of the epidemic outcome of the different HIV-1 CRF02_AG lineages is
probably multifactorial.
PMID- 27180894
TI - Worldwide population genetic analysis and natural selection in the Plasmodium
vivax Generative Cell Specific 1 (PvGCS1) as a transmission-blocking vaccine
candidate.
AB - GENERATIVE CELL SPECIFIC 1 (GCS1) is one of the Transmission Blocking Vaccine
(TBV) candidate antigens, which is expressed on the surface of male gametocytes
and gametes of Plasmodium species. Since antigenic diversity could inhibit the
successful development of a malaria vaccine, it is crucial to determine the
diversity of gcs1 gene in global malaria-endemic areas. Therefore, gene diversity
and selection of gcs1 gene were analyzed in Iranian Plasmodium vivax isolates
(n=52) and compared with the corresponding sequences from worldwide clinical P.
vivax isolates available in PlasmoDB database. Totally 12 SNPs were detected in
the pvgcs1 sequences as compared to Sal-1 sequence. Five out of 12 SNPs including
three synonymous (T797C, G1559A, and G1667T) and two amino acid replacements
(Y133S and Q634P) were detected in Iranian pvgcs1 sequences. According to four
amino acid replacements (Y133S, N575S, Q634P and D637N) observed in all world
PvGCS1 sequences, totally 5 PvGCS1 haplotypes were detected in the world, that
three of them observed in Iranian isolates including the PvGCS-A (133S/634Q,
92.3%), PvGCS-B (133Y/634Q, 5.8%), and PvGCS-C (133S/634P, 1.9%). The overall
nucleotide diversity (pi) for all 52 sequences of Iranian pvgcs1 gene was
0.00018+/-0.00006, and the value of dN-dS (-0.00031) were negative, however, it
was not statistically significant. In comparison with global isolates, Iranian
and PNG pvgcs1 sequences had the lowest nucleotide and haplotype diversity, while
the highest nucleotide and haplotype diversity was observed in China population.
Moreover, epitope prediction in this antigen showed that all B-cell epitopes were
located in conserved regions. However, Q634P (in one Iranian isolate) and D637N
(observed in Thailand, China, Vietnam and North Korea) mutations are involved in
predicted IURs. The obtained results in this study could be used in development
of PvGCS1 based malaria vaccine.
PMID- 27180895
TI - Distinguishing the genotype 1 genes and proteins of human Wa-like rotaviruses vs.
porcine rotaviruses.
AB - Group A rotaviruses (RVAs) are 11-segmented, double-stranded RNA viruses and
important causes of gastroenteritis in the young of many animal species. Previous
studies have suggested that human Wa-like RVAs share a close evolutionary
relationship with porcine RVAs. Specifically, the VP1-VP3 and NSP2-5/6 genes of
these viruses are usually classified as genotype 1 with >81% nucleotide sequence
identity. Yet, it remains unknown whether the genotype 1 genes and proteins of
human Wa-like strains are distinguishable from those of porcine strains. To
investigate this, we performed comprehensive bioinformatic analyses using all
known genotype 1 gene sequences. The RVAs analyzed represent wildtype strains
isolated from humans or pigs at various geographical locations during the years
of 2004-2013, including 11 newly-sequenced porcine RVAs from Brazil. We also
analyzed archival strains that were isolated during the years of 1977-1992 as
well as atypical strains involved in inter-species transmission between humans
and pigs. We found that, in general, the genotype 1 genes of typical modern human
Wa-like RVAs clustered together in phylogenetic trees and were separate from
those of typical modern porcine RVAs. The only exception was for the NSP5/6 gene,
which showed no host-specific phylogenetic clustering. Using amino acid sequence
alignments, we identified 34 positions that differentiated the VP1-VP3, NSP2, and
NSP3 genotype 1 proteins of typical modern human Wa-like RVAs versus typical
modern porcine RVAs and documented how these positions vary in the
archival/unusual isolates. No host-specific amino acid positions were identified
for NSP4, NSP5, or NSP6. Altogether, the results of this study support the notion
that human Wa-like RVAs and porcine RVAs are evolutionarily related, but indicate
that some of their genotype 1 genes and proteins have diverged over time possibly
as a reflection of sequestered replication and protein co-adaptation in their
respective hosts.
PMID- 27180896
TI - Molecular epidemiology, phylogeny and evolution of Legionella.
AB - Legionella are opportunistic pathogens that develop in aquatic environments where
they multiply in protozoa. When infected aerosols reach the human respiratory
tract they may accidentally infect the alveolar macrophages leading to a severe
pneumonia called Legionnaires' disease (LD). The ability of Legionella to survive
within host-cells is strictly dependent on the Dot/Icm Type 4 Secretion System
that translocates a large repertoire of effectors into the host cell cytosol.
Although Legionella is a large genus comprising nearly 60 species that are
worldwide distributed, only about half of them have been involved in LD cases.
Strikingly, the species Legionella pneumophila alone is responsible for 90% of
all LD cases. The present review summarizes the molecular approaches that are
used for L. pneumophila genotyping with a major focus on the contribution of
whole genome sequencing (WGS) to the investigation of local L. pneumophila
outbreaks and global epidemiology studies. We report the newest knowledge
regarding the phylogeny and the evolution of Legionella and then focus on
virulence evolution of those Legionella species that are known to have the
capacity to infect humans. Finally, we discuss the evolutionary forces and
adaptation mechanisms acting on the Dot/Icm system itself as well as the role of
mobile genetic elements (MGE) encoding T4ASSs and of gene duplications in the
evolution of Legionella and its adaptation to different hosts and lifestyles.
PMID- 27180897
TI - Phylogenetic analysis of the Trypanosoma genus based on the heat-shock protein 70
gene.
AB - Trypanosome evolution was so far essentially studied on the basis of phylogenetic
analyses of small subunit ribosomal RNA (SSU-rRNA) and glycosomal glyceraldehyde
3-phosphate dehydrogenase (gGAPDH) genes. We used for the first time the 70kDa
heat-shock protein gene (hsp70) to investigate the phylogenetic relationships
among 11 Trypanosoma species on the basis of 1380 nucleotides from 76 sequences
corresponding to 65 strains. We also constructed a phylogeny based on combined
datasets of SSU-rDNA, gGAPDH and hsp70 sequences. The obtained clusters can be
correlated with the sections and subgenus classifications of mammal-infecting
trypanosomes except for Trypanosoma theileri and Trypanosoma rangeli. Our
analysis supports the classification of Trypanosoma species into clades rather
than in sections and subgenera, some of which being polyphyletic. Nine clades
were recognized: Trypanosoma carassi, Trypanosoma congolense, Trypanosoma cruzi,
Trypanosoma grayi, Trypanosoma lewisi, T. rangeli, T. theileri, Trypanosoma vivax
and Trypanozoon. These results are consistent with existing knowledge of the
genus' phylogeny. Within the T. cruzi clade, three groups of T. cruzi discrete
typing units could be clearly distinguished, corresponding to TcI, TcIII, and
TcII+V+VI, while support for TcIV was lacking. Phylogenetic analyses based on
hsp70 demonstrated that this molecular marker can be applied for discriminating
most of the Trypanosoma species and clades.
PMID- 27180898
TI - Association of MYF5 and KLF15 gene polymorphisms with carcass traits in domestic
pigeons (Columba livia).
AB - Single nucleotide polymorphisms (SNPs) in the exons of the myogenic factor 5
(MYF5) and Kruppel-like factor 15 (KLF15) genes were identified and analysed by
using DNA sequencing methods in 60 female domestic pigeons (Columba livia). Five
SNPs (T5067A, C5084T, C5101T, T5127A and C5154G) were detected in exon 3 of MYF5
and 6 SNPs (C1398T, C1464T, G1542A, C1929T, G1965A and A2355G) were found in exon
2 of KLF15, respectively. The analysis revealed three genotypes, in which the AA
genotype was dominant and the A allele showed a dominant advantage. For the MYF5
gene, the C5084T and T5127A SNP genotypes were significantly associated with
carcass traits of pigeons. Within those two SNPs, the BB genotype showed
relatively higher trait association values than those of AA or AB genotypes. No
significant association was observed between the KLF15 SNP genotypes and carcass
traits. These results indicated that the MYF5 gene is a potential major gene
affecting carcass traits in domestic pigeons. The BB genotype of the C5084T and
T5127A SNPs could be a potential candidate genetic marker for marker-assisted
selection in pigeon.
PMID- 27180899
TI - Alcohol intake increases the risk of HCC in hepatitis C virus-related compensated
cirrhosis: A prospective study.
AB - BACKGROUND & AIMS: Whether alcohol intake increases the risk of complications in
patients with HCV-related cirrhosis remains unclear. The aim of this study was to
determine the impact of alcohol intake and viral eradication on the risk of
hepatocellular carcinoma (HCC), decompensation of cirrhosis and death. METHODS:
Data on alcohol intake and viral eradication were prospectively collected in 192
patients with compensated HCV-related cirrhosis. RESULTS: 74 patients consumed
alcohol (median alcohol intake: 15g/day); 68 reached viral eradication. During a
median follow-up of 58months, 33 patients developed HCC, 53 experienced at least
one decompensation event, and 39 died. The 5-year cumulative incidence rate of
HCC was 10.6% (95% CI: 4.6-16.6) in abstainers vs. 23.8% (95% CI: 13.5-34.1) in
consumers (p=0.087), and 2.0% (95% CI: 0-5.8) vs. 21.7% (95% CI: 14.2-29.2) in
patients with and without viral eradication (p=0.002), respectively. The lowest
risk of HCC was observed for patients without alcohol intake and with viral
eradication (0%) followed by patients with alcohol intake and viral eradication
(6.2% [95% CI: 0-18.4]), patients without alcohol intake and no viral eradication
(15.9% [95% CI: 7.1-24.7]), and patients with alcohol intake and no viral
eradication (29.2% [95% CI: 16.5-41.9]) (p=0.009). In multivariate analysis, lack
of viral eradication and alcohol consumption were associated with the risk of HCC
(hazard ratio for alcohol consumption: 3.43, 95% CI: 1.49-7.92, p=0.004). Alcohol
intake did not influence the risk of decompensation or death. CONCLUSIONS: Light
to-moderate alcohol intake increases the risk of HCC in patients with HCV-related
cirrhosis. Patient care should include measures to ensure abstinence. LAY
SUMMARY: Whether alcohol intake increases the risk of complications in patients
with HCV-related cirrhosis remains unclear. In this prospective study, light-to
moderate alcohol intake was associated with the risk of hepatocellular carcinoma
in multivariate analysis. No patients who did not use alcohol and who reached
viral eradication developed hepatocellular carcinoma during follow-up. The risk
of hepatocellular carcinoma increased with alcohol intake or in patients without
viral eradication and was highest when alcohol intake was present in the absence
of viral eradication. Patients with HCV-related cirrhosis should be strongly
advised against any alcohol intake. Patient care should include measures to
ensure abstinence.
PMID- 27180901
TI - Brevibacillus laterosporus inside the insect body: Beneficial resident or
pathogenic outsider?
AB - Brevibacillus laterosporus is an entomopathogenic bacterium showing varying
degrees of virulence against diverse insect pests. Conversely, it is regarded as
a beneficial component of the intestinal flora in different animals and in some
insect species including the honeybee. B. laterosporus was detected through a
species-specific PCR assay in the body of different insects, including Apis
mellifera and Bombus terrestris. A strain isolated from a honeybee worker was
pathogenic to the house fly Musca domestica, thus supporting the development of
either mutualistic or pathogenic interactions of this bacterium with diverse
insect species, as the result of a coevolutionary process.
PMID- 27180900
TI - Effect of Marriage on Risk for Onset of Alcohol Use Disorder: A Longitudinal and
Co-Relative Analysis in a Swedish National Sample.
AB - OBJECTIVE: The authors sought to clarify the relationship between marriage and
risk for alcohol use disorder. METHOD: The association between marital status and
risk for first registration for alcohol use disorder in medical, criminal, and
pharmacy registries was assessed in a population-based Swedish cohort
(N=3,220,628) using longitudinal time-dependent survival and co-relative designs.
RESULTS: First marriage was associated with a substantial decline in risk for
onset of alcohol use disorder in men (hazard ratio=0.41, 95% CI=0.40-0.42) and
women (hazard ratio=0.27, 95% CI=0.26-0.28). This association was slightly
stronger when the spouse had no lifetime alcohol use disorder, while marriage to
a spouse with lifetime alcohol use disorder increased risk for subsequent alcohol
use disorder registration in both men (hazard ratio=1.29, 95% CI=1.16-1.43) and
women (hazard ratio=1.18, 95% CI=1.06-1.30). In both sexes, the protective effect
of marriage was significantly stronger in those with than those without a family
history of alcohol use disorder. In both men and women, the associations between
marriage and risk for alcohol use disorder in cousins, half siblings, full
siblings, and monozygotic twins discordant for marital status were as strong as
that seen in the general population. CONCLUSIONS: First marriage to a spouse with
no lifetime alcohol use disorder is associated with a large reduction in risk for
alcohol use disorder. This association cannot be explained by standard covariates
or, as indicated by co-relative analyses, familial genetic or shared
environmental confounders. These results are consistent with the hypothesis that
the psychological and social aspects of marriage, and in particular health
monitoring spousal interactions, strongly protect against the development of
alcohol use disorder. The protective effects of marriage on risk for alcohol use
disorder are increased in those at high familial risk for alcoholism.
PMID- 27180902
TI - A novel WS2 nanowire-nanoflake hybrid material synthesized from WO3 nanowires in
sulfur vapor.
AB - In this work, WS2 nanowire-nanoflake hybrids are synthesized by the sulfurization
of hydrothermally grown WO3 nanowires. The influence of temperature on the
formation of products is optimized to grow WS2 nanowires covered with nanoflakes.
Current-voltage and resistance-temperature measurements carried out on random
networks of the nanostructures show nonlinear characteristics and negative
temperature coefficient of resistance indicating that the hybrids are of
semiconducting nature. Bottom gated field effect transistor structures based on
random networks of the hybrids show only minor modulation of the channel
conductance upon applied gate voltage, which indicates poor electrical transport
between the nanowires in the random films. On the other hand, the photo response
of channel current holds promise for cost-efficient solution process fabrication
of photodetector devices working in the visible spectral range.
PMID- 27180903
TI - Deciphering the relationship among phosphate dynamics, electron-dense body and
lipid accumulation in the green alga Parachlorella kessleri.
AB - Phosphorus is an essential element for life on earth and is also important for
modern agriculture, which is dependent on inorganic fertilizers from phosphate
rock. Polyphosphate is a biological polymer of phosphate residues, which is
accumulated in organisms during the biological wastewater treatment process to
enhance biological phosphorus removal. Here, we investigated the relationship
between polyphosphate accumulation and electron-dense bodies in the green alga
Parachlorella kessleri. Under sulfur-depleted conditions, in which some symporter
genes were upregulated, while others were downregulated, total phosphate
accumulation increased in the early stage of culture compared to that under
sulfur-replete conditions. The P signal was detected only in dense bodies by
energy dispersive X-ray analysis. Transmission electron microscopy revealed
marked ultrastructural variations in dense bodies with and without polyphosphate.
Our findings suggest that the dense body is a site of polyphosphate accumulation,
and P. kessleri has potential as a phosphate-accumulating organism.
PMID- 27180904
TI - Sterol-Rich Membrane Domains Define Fission Yeast Cell Polarity.
AB - Cell polarization is crucial for the functioning of all organisms. The
cytoskeleton is central to the process but its role in symmetry breaking is
poorly understood. We study cell polarization when fission yeast cells exit
starvation. We show that the basis of polarity generation is de novo sterol
biosynthesis, cell surface delivery of sterols, and their recruitment to the cell
poles. This involves four phases occurring independent of the polarity factor
cdc42p. Initially, multiple, randomly distributed sterol-rich membrane (SRM)
domains form at the plasma membrane, independent of the cytoskeleton and cell
growth. These domains provide platforms on which the growth and polarity
machinery assembles. SRM domains are then polarized by the microtubule-dependent
polarity factor tea1p, which prepares for monopolar growth initiation and later
switching to bipolar growth. SRM polarization requires F-actin but not the F
actin organizing polarity factors for3p and bud6p. We conclude that SRMs are key
to cell polarization.
PMID- 27180905
TI - RNA Duplex Map in Living Cells Reveals Higher-Order Transcriptome Structure.
AB - RNA has the intrinsic property to base pair, forming complex structures
fundamental to its diverse functions. Here, we develop PARIS, a method based on
reversible psoralen crosslinking for global mapping of RNA duplexes with near
base-pair resolution in living cells. PARIS analysis in three human and mouse
cell types reveals frequent long-range structures, higher-order architectures,
and RNA-RNA interactions in trans across the transcriptome. PARIS determines base
pairing interactions on an individual-molecule level, revealing pervasive
alternative conformations. We used PARIS-determined helices to guide phylogenetic
analysis of RNA structures and discovered conserved long-range and alternative
structures. XIST, a long noncoding RNA (lncRNA) essential for X chromosome
inactivation, folds into evolutionarily conserved RNA structural domains that
span many kilobases. XIST A-repeat forms complex inter-repeat duplexes that
nucleate higher-order assembly of the key epigenetic silencing protein SPEN.
PARIS is a generally applicable and versatile method that provides novel insights
into the RNA structurome and interactome. VIDEO ABSTRACT.
PMID- 27180906
TI - SIRT6 Suppresses Pancreatic Cancer through Control of Lin28b.
AB - Chromatin remodeling proteins are frequently dysregulated in human cancer, yet
little is known about how they control tumorigenesis. Here, we uncover an
epigenetic program mediated by the NAD(+)-dependent histone deacetylase Sirtuin 6
(SIRT6) that is critical for suppression of pancreatic ductal adenocarcinoma
(PDAC), one of the most lethal malignancies. SIRT6 inactivation accelerates PDAC
progression and metastasis via upregulation of Lin28b, a negative regulator of
the let-7 microRNA. SIRT6 loss results in histone hyperacetylation at the Lin28b
promoter, Myc recruitment, and pronounced induction of Lin28b and downstream let
7 target genes, HMGA2, IGF2BP1, and IGF2BP3. This epigenetic program defines a
distinct subset with a poor prognosis, representing 30%-40% of human PDAC,
characterized by reduced SIRT6 expression and an exquisite dependence on Lin28b
for tumor growth. Thus, we identify SIRT6 as an important PDAC tumor suppressor
and uncover the Lin28b pathway as a potential therapeutic target in a molecularly
defined PDAC subset. PAPERCLIP.
PMID- 27180907
TI - A Mechanogenetic Toolkit for Interrogating Cell Signaling in Space and Time.
AB - Tools capable of imaging and perturbing mechanical signaling pathways with fine
spatiotemporal resolution have been elusive, despite their importance in diverse
cellular processes. The challenge in developing a mechanogenetic toolkit (i.e.,
selective and quantitative activation of genetically encoded mechanoreceptors)
stems from the fact that many mechanically activated processes are localized in
space and time yet additionally require mechanical loading to become activated.
To address this challenge, we synthesized magnetoplasmonic nanoparticles that can
image, localize, and mechanically load targeted proteins with high spatiotemporal
resolution. We demonstrate their utility by investigating the cell-surface
activation of two mechanoreceptors: Notch and E-cadherin. By measuring cellular
responses to a spectrum of spatial, chemical, temporal, and mechanical inputs at
the single-molecule and single-cell levels, we reveal how spatial segregation and
mechanical force cooperate to direct receptor activation dynamics. This
generalizable technique can be used to control and understand diverse
mechanosensitive processes in cell signaling. VIDEO ABSTRACT.
PMID- 27180908
TI - High-Throughput, High-Resolution Mapping of Protein Localization in Mammalian
Brain by In Vivo Genome Editing.
AB - A scalable and high-throughput method to identify precise subcellular
localization of endogenous proteins is essential for integrative understanding of
a cell at the molecular level. Here, we developed a simple and generalizable
technique to image endogenous proteins with high specificity, resolution, and
contrast in single cells in mammalian brain tissue. The technique, single-cell
labeling of endogenous proteins by clustered regularly interspaced short
palindromic repeats (CRISPR)-Cas9-mediated homology-directed repair (SLENDR),
uses in vivo genome editing to insert a sequence encoding an epitope tag or a
fluorescent protein to a gene of interest by CRISPR-Cas9-mediated homology
directed repair (HDR). Single-cell, HDR-mediated genome editing was achieved by
delivering the editing machinery to dividing neuronal progenitors through in
utero electroporation. We demonstrate that SLENDR allows rapid determination of
the localization and dynamics of many endogenous proteins in various cell types,
regions, and ages in the brain. Thus, SLENDR provides a high-throughput platform
to map the subcellular localization of endogenous proteins with the resolution of
micro- to nanometers in the brain.
PMID- 27180910
TI - Scatter to volume registration for model-free respiratory motion estimation from
dynamic MRIs.
AB - Respiratory motion is one major complicating factor in many image acquisition
applications and image-guided interventions. Existing respiratory motion
estimation and compensation methods typically rely on breathing motion models
learned from certain training data, and therefore may not be able to effectively
handle intra-subject and/or inter-subject variations of respiratory motion. In
this paper, we propose a respiratory motion compensation framework that directly
recovers motion fields from sparsely spaced and efficiently acquired dynamic 2-D
MRIs without using a learned respiratory motion model. We present a scatter-to
volume deformable registration algorithm to register dynamic 2-D MRIs with a
static 3-D MRI to recover dense deformation fields. Practical considerations and
approximations are provided to solve the scatter-to-volume registration problem
efficiently. The performance of the proposed method was investigated on both
synthetic and real MRI datasets, and the results showed significant improvements
over the state-of-art respiratory motion modeling methods. We also demonstrated a
potential application of the proposed method on MRI-based motion corrected PET
imaging using hybrid PET/MRI.
PMID- 27180909
TI - Two common structural motifs for TCR recognition by staphylococcal enterotoxins.
AB - Superantigens are toxins produced by Staphylococcus aureus, called staphylococcal
enterotoxins (abbreviated SEA to SEU). They can cross-link the T cell receptor
(TCR) and major histocompatibility complex class II, triggering a massive T cell
activation and hence disease. Due to high stability and toxicity, superantigens
are potential agents of bioterrorism. Hence, antagonists may not only be useful
in the treatment of disease but also serve as countermeasures to biological
warfare. Of particular interest are inhibitors against SEA and SEB. SEA is the
main cause of food poisoning, while SEB is a common toxin manufactured as a
biological weapon. Here, we present the crystal structures of SEA in complex with
TCR and SEE in complex with the same TCR, complemented with computational alanine
scanning mutagenesis of SEA, SEB, SEC3, SEE, and SEH. We have identified two
common areas that contribute to the general TCR binding for these superantigens.
This paves the way for design of single antagonists directed towards multiple
toxins.
PMID- 27180911
TI - Prediction of alcohol drinking in adolescents: Personality-traits, behavior,
brain responses, and genetic variations in the context of reward sensitivity.
AB - Adolescence is a time that can set the course of alcohol abuse later in life.
Sensitivity to reward on multiple levels is a major factor in this development.
We examined 736 adolescents from the IMAGEN longitudinal study for alcohol
drinking during early (mean age=14.37) and again later (mean age=16.45)
adolescence. Conducting structural equation modeling we evaluated the
contribution of reward-related personality traits, behavior, brain responses and
candidate genes. Personality seems to be most important in explaining alcohol
drinking in early adolescence. However, genetic variations in ANKK1 (rs1800497)
and HOMER1 (rs7713917) play an equal role in predicting alcohol drinking two
years later and are most important in predicting the increase in alcohol
consumption. We hypothesize that the initiation of alcohol use may be driven more
strongly by personality while the transition to increased alcohol use is more
genetically influenced.
PMID- 27180913
TI - Attention-deficit hyperactivity disorder and children's emotion dysregulation: A
meta-analysis.
AB - While executive functioning deficits have been central to cognitive theories of
Attention-Deficit Hyperactivity Disorder (ADHD), recent work has suggested that
emotion dysregulation may also play a key role in understanding the impairments
suffered by youth with ADHD. However, given the multiple processes involved in
emotion dysregulation, the extent to which youth with ADHD are impaired across
multiple domains of emotion dysregulation including: emotion
recognition/understanding (ERU), emotion reactivity/negativity/lability (ERNL),
emotion regulation (EREG), and empathy/callous-unemotional traits (ECUT) remains
unclear. A meta-analysis of 77 studies (n=32,044 youths) revealed that youth with
ADHD have the greatest impairment on ERNL (weighted ES d=.95) followed by EREG
(weighted ES d=.80). Significantly smaller effects were observed for ECUT
(weighted ES d=.68) and ERU (weighted ES d=.64). Moderation analyses indicated
that the association between ADHD and ERNL was stronger among studies that had a
sample containing older youth (no other demographic factors were significant).
Additionally, the association between ADHD and ECUT was significantly weaker
among studies that controlled for co-occurring conduct problems. Co-occurring
conduct problems did not moderate the link between ADHD and any other emotion
dysregulation domain. Lastly, the association between ADHD and ERNL was
significantly weaker when controlling for youth's cognitive functioning.
Cognitive functioning did not moderate the link between ADHD and ERU, EREG, or
ECUT, respectively. Theoretical/practical implications for the study of emotional
dysregulation in youth with ADHD are discussed.
PMID- 27180912
TI - Iso-acoustic focusing of cells for size-insensitive acousto-mechanical
phenotyping.
AB - Mechanical phenotyping of single cells is an emerging tool for cell
classification, enabling assessment of effective parameters relating to cells'
interior molecular content and structure. Here, we present iso-acoustic focusing,
an equilibrium method to analyze the effective acoustic impedance of single cells
in continuous flow. While flowing through a microchannel, cells migrate sideways,
influenced by an acoustic field, into streams of increasing acoustic impedance,
until reaching their cell-type specific point of zero acoustic contrast. We
establish an experimental procedure and provide theoretical justifications and
models for iso-acoustic focusing. We describe a method for providing a suitable
acoustic contrast gradient in a cell-friendly medium, and use acoustic forces to
maintain that gradient in the presence of destabilizing forces. Applying this
method we demonstrate iso-acoustic focusing of cell lines and leukocytes, showing
that acoustic properties provide phenotypic information independent of size.
PMID- 27180914
TI - Circulating Zinc-alpha2-glycoprotein levels and Insulin Resistance in Polycystic
Ovary Syndrome.
AB - The aim of study was to assess the relationship between zinc-alpha2-glycoprotein
(ZAG) and androgen excess with insulin resistance in polycystic ovary syndrome
(PCOS) women. 99 PCOS women and 100 healthy controls were recruited. Euglycemic
hyperinsulinemic clamp (EHC) was preformed to assess their insulin sensitivity.
Circulating ZAG was determined with an ELISA kit. In healthy subjects,
circulating ZAG levels exhibited a characteristic diurnal rhythm in humans, with
a major nocturnal rise occurring between midnight and early morning. Circulating
ZAG and M-value were much lower in PCOS women than in the controls. In all
population, overweight/obese subjects had significantly lower circulating ZAG
levels than lean individuals. Multiple linear regression analysis revealed that
only M-value and the area under the curve for glucose were independently related
factors to circulating ZAG in PCOS women. Multivariate logistic regression
analysis showed that circulating ZAG was significantly associated with PCOS even
after controlling for anthropometric variables, blood pressure, lipid profile and
hormone levels. The PCOS women with high ZAG had fewer MetS, IGT and polycystic
ovaries as compared with the low ZAG PCOS women. Taken together, circulating ZAG
levels are reduced in women with PCOS and ZAG may be a cytokine associated with
insulin resistance in PCOS women.
PMID- 27180915
TI - Cystic Lung Diseases: Algorithmic Approach.
AB - Cysts are commonly seen on CT scans of the lungs, and diagnosis can be
challenging. Clinical and radiographic features combined with a multidisciplinary
approach may help differentiate among various disease entities, allowing correct
diagnosis. It is important to distinguish cysts from cavities because they each
have distinct etiologies and associated clinical disorders. Conditions such as
emphysema, and cystic bronchiectasis may also mimic cystic disease. A simplified
classification of cysts is proposed. Cysts can occur in greater profusion in the
subpleural areas, when they typically represent paraseptal emphysema, bullae, or
honeycombing. Cysts that are present in the lung parenchyma but away from
subpleural areas may be present without any other abnormalities on high
resolution CT scans. These are further categorized into solitary or
multifocal/diffuse cysts. Solitary cysts may be incidentally discovered and may
be an age related phenomenon or may be a remnant of prior trauma or infection.
Multifocal/diffuse cysts can occur with lymphoid interstitial pneumonia, Birt
Hogg-Dube syndrome, tracheobronchial papillomatosis, or primary and metastatic
cancers. Multifocal/diffuse cysts may be associated with nodules (lymphoid
interstitial pneumonia, light-chain deposition disease, amyloidosis, and
Langerhans cell histiocytosis) or with ground-glass opacities (Pneumocystis
jirovecii pneumonia and desquamative interstitial pneumonia). Using the results
of the high-resolution CT scans as a starting point, and incorporating the
patient's clinical history, physical examination, and laboratory findings, is
likely to narrow the differential diagnosis of cystic lesions considerably.
PMID- 27180916
TI - Establishing Pulmonary and Critical Care Medicine in China: 2016 Report on
Implementation and Government Recognition: Joint Statement of the Chinese
Association of Chest Physicians and the American College of Chest Physicians.
AB - This article provides an update on progress toward establishing pulmonary and
critical care medicine (PCCM) fellowship training as one of the first four
subspecialties to be recognized and supported by the Chinese government. Designed
and implemented throughout 2013 and 2014 by a collaborative effort of the Chinese
Thoracic Society (CTS) and the American College of Chest Physicians (CHEST), 12
leading Chinese hospitals enrolled a total of 64 fellows into standardized PCCM
training programs with common curricula, educational activities, and assessment
measures. Supplemental educational materials, online assessment tools, and
institutional site visits designed to evaluate and provide feedback on the
programs' progress are being provided by CHEST. As a result of this initial
progress, the Chinese government, through the Chinese Medical Doctor's
Association, endorsed the concept of subspecialty fellowship training in China,
with PCCM as one of the four pilot subspecialties to be operationalized
nationwide in 2016, followed by implementation across other subspecialties by
2020. This article also reflects on the achievements of the training sites and
the challenges they face and outlines plans to enhance and expand PCCM training
and practice in China.
PMID- 27180921
TI - Serum homocysteine level and gestational diabetes mellitus: A meta-analysis.
AB - AIMS/INTRODUCTION: Homocysteine levels during pregnancy in women with gestational
diabetes mellitus (GDM) have been studied; however, it remains unclear whether
hyperhomocysteinemia is a useful predictor of insulin resistance. The present
study aimed to evaluate the relationship between homocysteine level and GDM.
MATERIALS AND METHODS: PubMed, Elsevier, Web of Science and CNKI were searched
for relevant studies published up to January 2015. Manual searches of references
of the relevant original studies were carried out. Meta-analysis was used to
assessed the relationship between homocysteine level and GDM using the stata 12.0
software. RESULTS: Homocysteine levels were significantly elevated in women with
GDM compared with those without GDM (weighted mean difference 0.77, 95%
confidence interval 0.44-1.10). This evidence was more consistent during the
second trimester measurement of homocysteine (weighted mean difference 0.95, 95%
confidence interval 0.67-1.23) and for women aged older than 30 years (weighted
mean difference 0.90, 95% confidence interval 0.63-1.17). CONCLUSIONS: The
present meta-analysis shows that homocysteine level is significantly elevated
among women with GDM compared with women with normal glucose tolerance, and this
finding persists more during the second trimester.
PMID- 27180922
TI - A Biomechanical Analysis of Different Clavicular Tunnel Diameters in Anatomic
Acromioclavicular Ligament Reconstruction.
AB - PURPOSE: To evaluate the biomechanical stability of a tendon-to-clavicle bone
interface fixation of a graft in revision acromioclavicular reconstruction.
METHODS: Fifteen fresh-frozen cadaveric shoulders were used. All specimens
underwent bone density evaluation. For the primary reconstruction, a 5-mm
semitendinosus allograft was inserted into a 5-mm bone tunnel at 25 and 45 mm
from the lateral end of the clavicle using a 5.5 * 8-mm PEEK (polyether ether
ketone) tenodesis screw. Each single graft was fixed in a cryo-clamp and
cyclically loaded from 5 to 70 N for 3,000 cycles, followed by load-to-failure
testing at a rate of 120 mm/min to simulate the revision case. To simulate tunnel
widening, the tunnels of the revision series were over-drilled with an 8-mm
drill, and a 5-mm semitendinosus graft with an 8 * 12-mm PEEK tenodesis screw was
inserted. Biomechanical testing was then repeated. RESULTS: The bone mineral
density analysis showed a significantly higher density at the 45-mm hole compared
with the 25-mm hole (P = .001). The ultimate load to failure increased from the
5.5-mm screw to the 8-mm screw at the 45-mm hole position (P = .001). There was
no statistically significant difference at the 25-mm hole position (P = .934). No
statistical significance for graft elongation comparing the 5.5-mm screw and the
8-mm screw at the 25-mm (P = .156) and 45-mm (P = .334) positions could be found.
CONCLUSIONS: Comparable biomechanical stability for the tendon-to-bone interface
fixation in different clavicular tunnel diameters simulating primary and revision
reconstruction was achieved. CLINICAL RELEVANCE: There is a lack of literature
regarding revision acromioclavicular joint reconstruction, but our biomechanical
results show comparable stability to primary reconstruction. These data provide
support for the use of anatomic acromioclavicular ligament reconstruction in
revision cases.
PMID- 27180923
TI - Shoulder Stiffness: Current Concepts and Concerns.
AB - Shoulder stiffness can be caused by various etiologies such as immobilization,
trauma, or surgical interventions. The Upper Extremity Committee of ISAKOS
defined the term "frozen shoulder" as idiopathic stiff shoulder, that is, without
a known cause. Secondary stiff shoulder is a term that should be used to describe
shoulder stiffness with a known cause. The pathophysiology of frozen shoulder is
capsular fibrosis and inflammation with chondrogenesis, but the cause is still
unknown. Conservative treatment is the primary choice. Pain control by oral
medication, intra-articular injections with or without joint distension, and
physical therapy are commonly used. In cases with refractory stiffness,
manipulation under anesthesia or arthroscopic capsular release may be indicated.
Because of various potential risks of complications with manipulations,
arthroscopic capsular release is preferred. After the capsular release, stepwise
rehabilitation is mandatory to achieve satisfactory outcome. LEVEL OF EVIDENCE:
Level V, evidence-based review.
PMID- 27180924
TI - Involvement of Transient Receptor Potential Vanilloid (TRPV) 4 in mouse sperm
thermotaxis.
AB - Transient Receptor Potential Vanilloid (TRPV) 4 is one of the temperature
sensitive ion channels involved in temperature receptors, and it is known to be
activated from 35 to 40oC. Here we analyzed sperm motility function of Trpv4
knockout (KO) mouse in temperature-gradient conditions to elucidate the
thermotaxis of mouse sperm and the involvement of TRPV4 in thermotaxis. The sperm
were introduced at the vertical column end of a T-shaped chamber filled with
medium in a plastic dish, and we measured the number of sperm that arrived at
both ends of the wide column where we had established a temperature gradient of
approx. 2oC, and we evaluated the sperm's thermotaxis. Large numbers of wild-type
(WT) mouse sperm migrated into the high level of the temperature gradient that
was set in the wide column, and thermotaxis was confirmed. The ratio of migrated
sperm at the high temperature level of the T-shaped chamber was decreased in the
KO sperm and Ruthenium red (a TRPV antagonist) treated sperm compared with the WT
sperm. The thermotaxis of the mouse sperm was confirmed, and the involvement of
TRPV4 in this thermotaxis was suggested.
PMID- 27180925
TI - Mouse oocytes suppress miR-322-5p expression in ovarian granulosa cells.
AB - This study tested the hypothesis that oocyte-derived paracrine factors (ODPFs)
regulate miRNA expression in mouse granulosa cells. Expression of mmu-miR-322-5p
(miR-322) was higher in mural granulosa cells (MGCs) than in cumulus cells of the
Graafian follicles. The expression levels of miR-322 decreased when cumulus cells
or MGCs were co-cultured with oocytes denuded of their cumulus cells. Inhibition
of SMAD2/3 signaling by SB431542 increased miR-322 expression by cumulus-oocyte
complexes (COCs). Moreover, the cumulus cells but not the MGCs in Bmp15(-/
)/Gdf9(+/-) (double-mutant) mice exhibited higher miR-322 expression than those
of wild-type mice. Taken together, these results show that ODPFs suppress the
expression of miR-322 in cumulus cells. Gene ontology analysis of putative miR
322 targets whose expression was detected in MGCs with RNA-sequencing suggested
that multiple biological processes are affected by miR-322 in MGCs. These results
demonstrate that ODPFs regulate miRNA expression in granulosa cells and that this
regulation may participate in the differential control of cumulus cell versus MGC
functions. Therefore, the ODPF-mediated regulation of cumulus cells takes place
at both transcriptional and post-transcriptional levels.
PMID- 27180926
TI - Secukinumab long-term safety experience: A pooled analysis of 10 phase II and III
clinical studies in patients with moderate to severe plaque psoriasis.
AB - BACKGROUND: Secukinumab, a fully human anti-interleukin-17A monoclonal antibody,
has demonstrated efficacy and safety in patients with moderate to severe plaque
psoriasis. OBJECTIVE: We reviewed safety data from the secukinumab psoriasis
phase II/III program. METHODS: Data were pooled from 10 phase II/III secukinumab
psoriasis studies. RESULTS: Analysis included 3993 subjects; 3430 received
secukinumab, representing 2725 subject-years (SYs) of exposure. Over 52 weeks,
for secukinumab 300 mg, 150 mg, and etanercept, respectively, exposure-adjusted
incidence rates (IRs) per 100 SYs were comparable across treatments for total
adverse events (AEs; 236.1, 239.9, and 243.4, respectively); infections (91.1,
85.3, and 93.7, respectively); serious AEs (7.4, 6.8, and 7.0, respectively);
serious infections (1.4, 1.1, and 1.4, respectively); malignant or unspecified
tumors (0.77, 0.97, and 0.68, respectively); and adjudicated major adverse
cardiovascular events (0.42, 0.35, and 0.34, respectively). AEs were not dose
related except for nonserious, mild/moderate, skin/mucosal candidiasis (IRs 3.55,
1.85, and 1.37 for secukinumab 300 mg, 150 mg, and etanercept, respectively).
LIMITATIONS: There was a limited number of patients in comparator groups and the
exposure to placebo was short. CONCLUSION: Secukinumab had a favorable safety
profile, had no meaningful difference between the 300- and 150-mg doses and, in
terms of safety, was comparable to etanercept over 52 weeks in patients with
moderate to severe plaque psoriasis.
PMID- 27180928
TI - Log Odds of Positive Lymph Nodes Predicts Survival in Patients After Resection
for Esophageal Cancer.
AB - BACKGROUND: The number of lymph node metastases (LNMs) and the positive lymph
node ratio (LNR) have been shown to be significant independent prognostic factors
in predicting overall survival in patients with esophageal cancer. Our aim was to
evaluate whether a novel prognostic indicator-the log odds of positive lymph
nodes (LODDS)-predicts survival in esophageal cancer. METHODS: Patients who
underwent esophagectomy for esophageal cancer between 1988 and 2007 were
identified from the Surveillance, Epidemiology, and End Results (SEER) database
of 18 registries, and a Chinese patient cohort was subjected to validation. The
prognostic efficacy of LNM, LNR, and LODDS was compared. Prognostic performance
was measured using Harrell's C-index statistic, Schemper's proportion of
explained variation, and the Akaike information criterion (AIC). RESULTS: A total
of 4,123 patients in the SEER database and 134 patients in the Chinese cohort met
our criteria in this study. LODDS gave a better prognostic performance than
either LNM or LNR in both the SEER database and the Chinese cohort. Multivariate
analyses showed significant values for LNM, LNR, and LODDS as prognostic factors
(p < 0.001). However, only LODDS was a significant prognostic factor regardless
of the number of lymph nodes retrieved (p = 0.677). Furthermore, after
stratification of patients with no nodes involved or all nodes involved, the
values of LODDS still distinguished the heterogeneity efficiently. CONCLUSIONS:
LODDS predicts survival more accurately than either LNM or LNR in patients
undergoing resection for esophageal cancer, especially for patients with an
insufficient number of lymph nodes retrieved.
PMID- 27180927
TI - Predictors for mortality from respiratory failure in a general population.
AB - Risk factors for death from respiratory failure in the general population are not
established. The aim of this study was to determine the characteristics of
individuals who die of respiratory failure in a Japanese general population. In
total, 3253 adults aged 40 years or older participated in annual health check in
Takahata, Yamagata, Japan from 2004 to 2006. Subject deaths through the end of
2010 were reviewed; 27 subjects died of respiratory failure (pneumonia, n = 22;
COPD, n = 1; pulmonary fibrosis, n = 3; and bronchial asthma, n = 1). Cox
proportional hazard analysis revealed that male sex; higher age, high levels of D
dimer and fibrinogen; lower body mass index (BMI) and total cholesterol; and
history of stroke and gastric ulcer were independent risk factors for respiratory
death. On analysis with C-statistics, net reclassification improvement, and
integrated discrimination improvement, addition of the disease history and
laboratory data significantly improved the model prediction for respiratory death
using age and BMI. In conclusion, we identified risk factors for mortality from
respiratory failure in a prospective cohort of a Japanese general population. Men
who were older, underweight, hypocholesterolemic, hypercoagulo-fibrinolytic, and
had a history of stroke or gastric ulcer had a higher risk of mortality due to
respiratory failure.
PMID- 27180930
TI - Unbiased and robust quantification of synchronization between spikes and local
field potential.
AB - BACKGROUND: In neuroscience, relating the spiking activity of individual neurons
to the local field potential (LFP) of neural ensembles is an increasingly useful
approach for studying rhythmic neuronal synchronization. Many methods have been
proposed to measure the strength of the association between spikes and rhythms in
the LFP recordings, and most existing measures are dependent upon the total
number of spikes. NEW METHOD: In the present work, we introduce a robust approach
for quantifying spike-LFP synchronization which performs reliably for limited
samples of data. The measure is termed as spike-triggered correlation matrix
synchronization (SCMS), which takes LFP segments centered on each spike as multi
channel signals and calculates the index of spike-LFP synchronization by
constructing a correlation matrix. RESULTS: The simulation based on artificial
data shows that the SCMS output almost does not change with the sample size. This
property is of crucial importance when making comparisons between different
experimental conditions. When applied to actual neuronal data recorded from the
monkey primary visual cortex, it is found that the spike-LFP synchronization
strength shows orientation selectivity to drifting gratings. COMPARISON WITH
EXISTING METHODS: In comparison to another unbiased method, pairwise phase
consistency (PPC), the proposed SCMS behaves better for noisy spike trains by
means of numerical simulations. CONCLUSIONS: This study demonstrates the basic
idea and calculating process of the SCMS method. Considering its unbiasedness and
robustness, the measure is of great advantage to characterize the synchronization
between spike trains and rhythms present in LFP.
PMID- 27180929
TI - Vitamin D and its receptor regulate lipopolysaccharide-induced transforming
growth factor-beta, angiotensinogen expression and podocytes apoptosis through
the nuclear factor-kappaB pathway.
AB - AIMS/INTRODUCTION: To investigate the effects of vitamin D and its receptor on
cytokines expression and podocytes apoptosis. MATERIALS AND METHODS: Cultured
mouse podocytes were pre-incubated with vitamin D or transiently transfected with
small interfering ribonucleic acid (RNA) to knock down the vitamin D receptor.
Lipopolysaccharide was used to mimic the inflammation status of diabetes.
RESULTS: In a lipopolysaccharide-induced state, expressions of transforming
growth factor-beta, angiotensinogen and vascular endothelial growth factor were
similarly increased. Transforming growth factor-beta and angiotensinogen levels
originally elevated by lipopolysaccharide challenge were distinctly reduced after
pre-incubation with vitamin D. Whereas after vitamin D receptor small interfering
(si)RNA transfection, the aforementioned cytokines had opposite changes as
expected. However, neither vitamin D pretreatment nor vitamin D receptor siRNA
transfection influenced the previously increased vascular endothelial growth
factor expression at messenger RNA or protein levels. When pretreated with
vitamin D, decreases were observed for phosphorylated inhibitor-kappaB and the
inhibitor kinase proteins. After siRNA transfection, those proteins levels were
further elevated. The originally increased transforming growth factor-beta and
angiotensinogen levels as a result of lipopolysaccharide stimulation were reduced
at both the messenger RNA and protein levels after the specific inhibition of the
nuclear factor-kappaB pathway with pyrrolidine dithiocarbamate. The apoptosis
rate of podocytes was decreased in a parallel manner after vitamin D pre
incubation, and increased after siRNA transfection, which was also suppressed by
pyrrolidine dithiocarbamate. CONCLUSIONS: Vitamin D and its receptor might be
involved in the progression of diabetic nephropathy by regulating transforming
growth factor-beta, angiotensinogen expression and apoptosis of podocytes. The
processes are mediated through the signaling of nuclear factor-kappaB pathway.
PMID- 27180931
TI - Asian Dust and Pediatric Emergency Department Visits Due to Bronchial Asthma and
Respiratory Diseases in Nagasaki, Japan.
AB - BACKGROUND: The adverse health effects of Asian dust (AD) on the respiratory
system of children are unclear. We hypothesized that AD events may lead to
increased visits by children to emergency medical centers due to bronchial asthma
and respiratory diseases, including bronchial asthma. METHODS: We used anonymized
data on children receiving primary emergency treatment at Nagasaki Municipal
Primary Emergency Medical Center, Japan between March 2010 and September 2013. We
used Light Detection and Ranging (LIDAR) data to assess AD exposure and performed
time-stratified case-crossover analyses to examine the association between AD
exposure and emergency department visits. The main analysis was done with data
collected from March through May each year. RESULTS: The total number of
emergency department visits during the study period was 756 for bronchial asthma
and 5421 for respiratory diseases, and the number of "AD days" was 47. In school
children, AD events at lag day 3 and lag day 4 were associated with increased
emergency department visits due to bronchial asthma, with odds ratios of 1.837
(95% confidence interval [CI], 1.212-2.786) and 1.829 (95% CI, 1.179-2.806),
respectively. AD events were significantly associated with respiratory diseases
among preschool children at lag day 0, lag day 1, and lag day 2, with odds ratios
of 1.244 (95% CI, 1.128-1.373), 1.314 (95% CI, 1.189-1.452), and 1.273 (95% CI,
1.152-1.408), respectively. These associations were also significant when the
results were adjusted for meteorological variables and other air pollutants.
CONCLUSIONS: The study findings suggested that AD exposure increases emergency
department visits by children.
PMID- 27180932
TI - Tea and Risk of Age-Related Cataracts: A Cross-Sectional Study in Zhejiang
Province, China.
AB - BACKGROUND: The antioxidant properties of tea extracts are considered to be
effective in protecting against cataracts. However, there is still insufficient
epidemiological knowledge about the protective effects of different types of tea
on age-related cataracts. METHODS: The data was derived from the Zhejiang Major
Public Health Surveillance (ZJMPHS) Program on health and related factors in the
elderly. The relationships between consumption of different types of tea and risk
of age-related cataracts were assessed after adjusting for related covariates.
RESULTS: The prevalence of age-related cataracts in this study population was
4.4% (409/9343). After adjustment for potential confounders, tea drinking was
associated with reduced risk of age-related cataracts (adjusted odds ratio [OR]
0.65; 95% confidence interval [CI], 0.47-0.91). Compared to nondrinkers, green
tea drinkers had a significantly reduced risk of cataracts (adjusted OR 0.58; 95%
CI, 0.40-0.85). Average tea consumption of 14-27 cups (adjusted OR 0.55; 95% CI,
0.33-0.93) and over 28 cups (adjusted OR 0.58; 95% CI, 0.34-0.99) per week had a
protective effect against cataracts in comparison to no consumption. In addition,
ingesting a moderate concentration of tea significantly decreased the risk of
cataract compared to no consumption (adjusted OR 0.43; 95% CI, 0.27-0.71).
CONCLUSIONS: Tea ingestion was associated with reduced risk of age-related
cataracts. In light of these findings, we suggest that reasonable tea consumption
(ie, favoring green tea and consuming an average of over 500 mL per day at
moderate concentration) should offer protection against age-related cataracts.
PMID- 27180933
TI - Association Between Social Participation and Instrumental Activities of Daily
Living Among Community-Dwelling Older Adults.
AB - BACKGROUND: Population-based data examining the relationship between social
participation (SP) and instrumental activities of daily living (IADL) are scarce.
This study examined the cross-sectional relationship between SP and IADL in
community-dwelling elderly persons. METHODS: Self-administered questionnaires
were mailed to 23 710 residents aged >=65 years in Nara, Japan (response rate:
74.2%). Data from 14 956 respondents (6935 males and 8021 females) without
dependency in basic activities of daily living (ADL) were analyzed. The number,
type, and frequency of participation in social groups (SGs) were used to measure
SP. SGs included volunteer groups, sports groups, hobby groups, senior citizens'
clubs, neighborhood community associations, and cultural groups. IADL was
evaluated using the Tokyo Metropolitan Institute of Gerontology Index of
Competence. Logistic regression models stratified by gender were used. RESULTS:
After adjustment for putative confounding factors, including demographics, health
status, life-style habits, ADL, depression, cognitive function, social networks,
social support, and social roles, participation in various SGs among both genders
was inversely associated with poor IADL, showing a significant dose-response
relationship between an increasing number of SGs and a lower proportion of those
with poor IADL (P for trend <0.001). A significant inverse association between
frequent participation and poor IADL was observed for all types of SGs among
females, whereas the association was limited to sports groups and senior
citizens' clubs among males. CONCLUSIONS: Our results show that participation in
a variety of SGs is associated with independent IADL among the community-dwelling
elderly, regardless of gender. However, the beneficial effects of frequent
participation on IADL may be stronger for females than for males.
PMID- 27180934
TI - Informal and Formal Social Support and Caregiver Burden: The AGES Caregiver
Survey.
AB - BACKGROUND: We examined the associations of informal (eg, family members and
friends) and formal (eg, physician and visiting nurses) social support with
caregiver's burden in long-term care and the relationship between the number of
available sources of social support and caregiver burden. METHODS: We conducted a
mail-in survey in 2003 and used data of 2998 main caregivers of frail older
adults in Aichi, Japan. We used a validated scale to assess caregiver burden.
RESULTS: Multiple linear regression demonstrated that, after controlling for
caregivers' sociodemographic and other characteristics, informal social support
was significantly associated with lower caregiver burden (beta = -1.59, P <
0.0001), while formal support was not (beta = -0.30, P = 0.39). Evaluating the
associations by specific sources of social support, informal social supports from
the caregiver's family living together (beta = -0.71, P < 0.0001) and from
relatives (beta = -0.61, P = 0.001) were associated with lower caregiver burden,
whereas formal social support was associated with lower caregiver burden only if
it was from family physicians (beta = -0.56, P = 0.001). Compared to caregivers
without informal support, those who had one support (beta = -1.62, P < 0.0001)
and two or more supports (beta = -1.55, P < 0.0001) had significantly lower
burden. This association was not observed for formal support. CONCLUSIONS: Social
support from intimate social relationships may positively affect caregivers'
psychological wellbeing independent of the receipt of formal social support,
resulting in less burden.
PMID- 27180935
TI - The FCTC Turns 10: Lessons From the Fist Decade.
AB - The Framework Convention on Tobacco Control (FCTC) stands as a landmark approach
to addressing a global health problem. It represents the first time the World
Health Organization (WHO) used its constitutional right to negotiate an
international law and the first time the Member States of WHO agreed to a
collective response to chronic, non-communicable diseases. This paper draws
lessons from the FCTC's first decade in force and explores what aspects of the
FCTC experience can inform future efforts to address other disease epidemics
driven by corporate activity, such as alcohol and food.
PMID- 27180937
TI - Microbiome: Why you're stuck with the skin you're in.
PMID- 27180938
TI - Bacterial pathogenesis: Populations drifting apart.
PMID- 27180939
TI - Microbiome: Autophagy genes link OMVs to IBD.
PMID- 27180936
TI - Serum bilirubin levels are positively associated with glycemic variability in
women with type 2 diabetes.
AB - AIMS/INTRODUCTION: Glycemic variability is known to induce oxidative stress. We
investigated the relationships between glycemic variability and serum bilirubin
levels, an endogenous anti-oxidant, in patients with diabetes. MATERIALS AND
METHODS: A cross-sectional study was carried out with 77 patients with type 2
diabetes who had been recruited to two clinical studies from 2008 to 2014. There
were no participants with diseases of the pancreas, liver, biliary tract and
chronic renal insufficiency. Glycemic variation was calculated by a continuous
glucose monitoring system, and correlation analyses were carried out to evaluate
their association with bilirubin levels. Multiple linear regression was carried
out to identify independent factors influencing bilirubin levels and glycemic
variation. RESULTS: Among the participants, 42.3% were men. The mean (standard
deviation) age was 61.5 years (10.4 years), body mass index was 24.2 kg/m2 (2.8
kg/m2 ), diabetes duration was 17.7 years (9.5 years), hemoglobin A1c was 60.7
mmol/mol (7.1 mmol/mol; 7.7 [0.7]%) and bilirubin was 11.8 MUmol/L (4.10
MUmol/L). Serum bilirubin levels were not different according to age, body mass
index and hemoglobin A1c . However, the mean amplitude of glucose excursion was
positively associated with bilirubin levels in women (r = 0.588, P < 0.001).
After adjustment with duration of diabetes, serum albumin, liver enzymes, and
mean glucose, the correlation between bilirubin and mean amplitude of glucose
excursion remained significant (r = 0.566, P < 0.001). Multiple linear regression
analyses showed that bilirubin was an independent determinant for the mean
amplitude of glucose excursion in women. 1,5-Anhydroglucitol was also associated
with bilirubin levels in women. CONCLUSIONS: Bilirubin level within the
physiological range might be an independent predictor for glycemic variability in
women with type 2 diabetes.
PMID- 27180940
TI - Microbiome: Spore formation in the human gut microbiota.
PMID- 27180941
TI - Luminescence and luminescence quenching of highly efficient Y2Mo4O15:Eu(3+)
phosphors and ceramics.
AB - A good LED phosphor must possess strong enough absorption, high quantum yields,
colour purity, and quenching temperatures. Our synthesized Y2Mo4O15:Eu(3+)
phosphors possess all of these properties. Excitation of these materials with
near-UV or blue radiation yields bright red emission and the colour coordinates
are relatively stable upon temperature increase. Furthermore, samples doped with
50% Eu(3+) showed quantum yields up to 85%, what is suitable for commercial
application. Temperature dependent emission spectra revealed that heavily Eu(3+)
doped phosphors possess stable emission up to 400 K and lose half of the
efficiency only at 515 K. In addition, ceramic disks of Y2Mo4O15:75%Eu(3+)
phosphor with thickness of 0.71 and 0.98 mm were prepared and it turned out that
they efficiently convert radiation of 375 and 400 nm LEDs to the red light,
whereas combination with 455 nm LED yields purple colour.
PMID- 27180942
TI - Neurobiology of HIV-associated neuropsychiatric and neurocognitive disorders.
PMID- 27180943
TI - Fabrication of CoTiO3/g-C3N4 Hybrid Photocatalysts with Enhanced H2 Evolution: Z
Scheme Photocatalytic Mechanism Insight.
AB - A novel direct Z-scheme CoTiO3/g-C3N4 (CT-U) photocatalytic system with different
weight percentage of CoTiO3 was synthesized using a facile in situ growth method
for H2 evolution from water splitting. The as-prepared CT-U composites composed
of 1D CoTiO3 microrod and 2D g-C3N4 nanosheet were characterized by various
techniques including XRD, SEM, TEM, XPS, FTIR, and UV-vis. Results demonstrate
that the CT-U composite photocatalysts were successfully fabricated, with
intimate interfacial contact and heterojunction interaction between g-C3N4 and
CoTiO3 which can significantly boost the photocatalytic activity compared with
prinstine g-C3N4 and CoTiO3. The most enhanced H2-evolution rate of 858 MUmol h(
1) g(-1) and high quantum efficiency (38.4% at 365 nm, 3.23% at 420 +/- 20 nm)
are achieved at an optimal 0.15% CT-U. Meanwhile, the 0.15% CT-U sample exhibits
good photocatalytic stability in recycling H2 evolution. Accordingly, direct Z
scheme mechanism capable of leading efficient charge carrier separation and
strong reduction ability for enhanced H2 production was proposed, and further
evidenced by PL, photoelectrochemical analysis, and ESR assay.
PMID- 27180944
TI - Let's Face It: Consumer-Focused Technology Is the Future of Cardiovascular
Disease Prevention and Treatment.
PMID- 27180945
TI - Technology and Public Health: New Tools and Perspectives.
PMID- 27180946
TI - Interaction of Skatole and Androstenone in the Olfactory Perception of Boar
Taint.
AB - This study analyzed odor-odor interactions of two malodorous volatile substances,
androstenone and skatole, that may accumulate in fat and meat of uncastrated male
(boar) pigs. Therefore, fat samples were collected from 1000+ entire male pig
carcasses for sensory evaluation and quantification of boar taint compounds using
gas chromatography-mass spectrometry (GC-MS). Each sample was sniffed by 10
trained assessors, resulting in 11 000+ individual ratings, which were subjected
to statistical analysis. Pearson correlations of chemical traits and sensory
traits (panel average) were higher for skatole [r(1029) = 0.59; p < 0.001] than
for androstenone [r(1029) = 0.44; p < 0.001]. Linear terms of androstenone and
skatole as well as their interaction significantly (p < 0.05) contributed to
perception of deviant smell (R(2) = 0.43). Standardized regression coefficients
illustrate the higher importance of skatole (beta = 0.68) than androstenone (beta
= 0.39). Interindividual differences in the responses of assessors to
androstenone and skatole are confirmed. A new curved approach is suggested
because it better accounts for the interaction of androstenone and skatole than
the "safe box" approach. On the basis of these data, sorting strategies using
instrumental measurements are discussed. An automated detection based on only
skatole measurements is recommended because its performance is only slightly
inferior to a sorting based on both androstenone and skatole. Sorting thresholds
need to be calibrated against consumer acceptance though.
PMID- 27180947
TI - The Association between Serum 25-Hydroxy Vitamin D Level and Urine Cathelicidin
in Children with a Urinary Tract Infection.
AB - OBJECTIVE: Cathelicidin is an important antimicrobial peptide in the urinary
tract. Cathelicidin expression is strongly stimulated by 1,25-dihydroxy vitamin D
in epithelial cells, macrophages/monocytes, and neutrophils. Vitamin D and
cathelicidin status in children with urinary tract infection (UTI) caused by
Escherichia coli is unknown. To establish the relationship between serum vitamin
D and urine cathelicidin levels in children with a UTI caused by Escherichia
coli. METHODS: Serum 25-hydroxy vitamin D and urine cathelicidin levels were
measured in 36 patients with UTI (mean age 6.8+/-3.6 years, range: 0.25-12.6
years) and 38 controls (mean age 6.3+/-2.8 years, range: 0.42-13 years). RESULTS:
There were no significant differences in urine cathelicidin levels between the
study and control groups (p>0.05). Eight (22.2%) patients in the study group and
21 (58.3%) children in the control group were found to have sufficient vitamin D
(>=20 ng/mL). Patients with sufficient vitamin D had higher urine cathelicidin
levels than the controls with sufficient vitamin D (respectively 262.5+/-41.1 vs.
168+/-31.6 ng/mL, p=0.001). There were no significant differences between the
patients and controls with insufficient vitamin D (p>0.05). CONCLUSION: The
children with vitamin D insufficiency may not be able to increase their urine
cathelicidin level during UTI caused by Escherichia coli. There is a need of
prospective studies in order to prove a beneficial effect of vitamin D
supplementation for the restoration of cathelicidin stimulation and consequently
for prevention of UTI recurrence.
PMID- 27180948
TI - Development and Evaluation of a Simulation-based Curriculum for Ultrasound-guided
Central Venous Catheterization.
AB - OBJECTIVE: To develop a simulation-based curriculum for residents to learn
ultrasound-guided (USG) central venous catheter (CVC) insertion, and to study the
volume and type of practice that leads to technical proficiency. METHODS: Ten
post-graduate year two residents from the Departments of Emergency Medicine and
Anesthesiology completed four training sessions of two hours each, at two week
intervals, where they engaged in a structured program of deliberate practice of
the fundamental skills of USG CVC insertion on a simulator. Progress during
training was monitored using regular hand motion analysis (HMA) and performance
benchmarks were determined by HMA of local experts. Blinded assessment of video
recordings was done at the end of training to assess technical competence using a
global rating scale. RESULTS: None of the residents met any of the expert
benchmarks at baseline. Over the course of training, the HMA metrics of the
residents revealed steady and significant improvement in technical proficiency.
By the end of the fourth session six of 10 residents had faster procedure times
than the mean expert benchmark, and nine of 10 residents had more efficient left
and right hand motions than the mean expert benchmarks. Nine residents achieved
mean GRS scores rating them competent to perform independently. CONCLUSION: We
successfully developed a simulation-based curriculum for residents learning the
skills of USG CVC insertion. Our results suggest that engaging residents in three
to four distributed sessions of deliberate practice of the fundamental skills of
USG CVC insertion leads to steady and marked improvement in technical proficiency
with individuals approaching or exceeding expert level benchmarks.
PMID- 27180949
TI - Manual and Instrument Applied Cervical Manipulation for Mechanical Neck Pain: A
Randomized Controlled Trial.
AB - OBJECTIVE: The purpose of this study was to compare the effects of 2 different
cervical manipulation techniques for mechanical neck pain (MNP). METHODS:
Participants with MNP of at least 1 month's duration (n = 65) were randomly
allocated to 3 groups: (1) stretching (control), (2) stretching plus manually
applied manipulation (MAM), and (3) stretching plus instrument-applied
manipulation (IAM). MAM consisted of a single high-velocity, low-amplitude
cervical chiropractic manipulation, whereas IAM involved the application of a
single cervical manipulation using an (Activator IV) adjusting instrument.
Preintervention and postintervention measurements were taken of all outcomes
measures. Pain was the primary outcome and was measured using visual analogue
scale and pressure pain thresholds. Secondary outcomes included cervical range of
motion, hand grip-strength, and wrist blood pressure. Follow-up subjective pain
scores were obtained via telephone text message 7 days postintervention. RESULTS:
Subjective pain scores decreased at 7-day follow-up in the MAM group compared
with control (P = .015). Cervical rotation bilaterally (ipsilateral: P = .002;
contralateral: P = .015) and lateral flexion on the contralateral side to
manipulation (P = .001) increased following MAM. Hand grip-strength on the
contralateral side to manipulation (P = .013) increased following IAM. No
moderate or severe adverse events were reported. Mild adverse events were
reported on 6 occasions (control, 4; MAM, 1; IAM, 1). CONCLUSION: This study
demonstrates that a single cervical manipulation is capable of producing
immediate and short-term benefits for MNP. The study also demonstrates that not
all manipulative techniques have the same effect and that the differences may be
mediated by neurological or biomechanical factors inherent to each technique.
PMID- 27180950
TI - Prognostic impact of cardiac troponin T in patients with stable coronary artery
disease and diabetes.
PMID- 27180951
TI - Task modulation of disyllabic spoken word recognition in Mandarin Chinese: a
unimodal ERP study.
AB - Using unimodal auditory tasks of word-matching and meaning-matching, this study
investigated how the phonological and semantic processes in Chinese disyllabic
spoken word recognition are modulated by top-down mechanism induced by
experimental tasks. Both semantic similarity and word-initial phonological
similarity between the primes and targets were manipulated. Results showed that
at early stage of recognition (~150-250 ms), an enhanced P2 was elicited by the
word-initial phonological mismatch in both tasks. In ~300-500 ms, a fronto
central negative component was elicited by word-initial phonological similarities
in the word-matching task, while a parietal negativity was elicited by
semantically unrelated primes in the meaning-matching task, indicating that both
the semantic and phonological processes can be involved in this time window,
depending on the task requirements. In the late stage (~500-700 ms), a centro
parietal Late N400 was elicited in both tasks, but with a larger effect in the
meaning-matching task than in the word-matching task. This finding suggests that
the semantic representation of the spoken words can be activated automatically in
the late stage of recognition, even when semantic processing is not required.
However, the magnitude of the semantic activation is modulated by task
requirements.
PMID- 27180952
TI - Evaluation of Percutaneous First Annular Pulley Release: Efficacy and
Complications in a Perfused Cadaveric Study.
AB - PURPOSE: Trigger finger is the most common entrapment tendinopathy, with a
lifetime risk of 2% to 3%. Open surgical release of the flexor tendon sheath is a
commonly performed procedure associated with a high rate of success. Despite
reported success rates of over 94%, percutaneous trigger finger release (PFTR)
remains a controversial procedure because of the risk of iatrogenic digital
neurovascular injury. This study aimed to evaluate the safety and efficacy of
traditional percutaneous and ultrasound (US)-guided first annular (A1) pulley
releases performed on a perfused cadaveric model. METHODS: First annular pulley
releases were performed percutaneously using an 18-gauge needle in 155 digits
(124 fingers and 31 thumbs) of un-embalmed cadavers with restored perfusion. A
total of 45 digits were completed with US guidance and 110 digits were completed
without it. Each digit was dissected and assessed regarding the amount of release
as well as neurovascular, flexor tendon, and A2 pulley injury. RESULTS: Overall,
114 A1 pulleys were completely released (74%). There were 38 partial releases
(24%) and 3 complete misses (2%). No significant flexor tendon injury was seen.
Longitudinal scoring of the flexor tendon was found in 35 fingers (23%). There
were no lacerations to digital nerves and one ulnar digital artery was partially
lacerated (1%) in a middle finger with a partial flexion contracture that
prevented appropriate hyperextension. The ultrasound-assisted and blind PTFR
techniques had similar complete pulley release and injury rates. CONCLUSIONS:
Both traditional and US-assisted percutaneous release of the A1 pulley can be
performed for all fingers. Perfusion of cadaver digits enhances surgical
simulation and evaluation of PTFR beyond those of previous cadaveric studies. The
addition of vascular flow to the digits during percutaneous release allows for
Doppler flow assessment of the neurovascular bundle and evaluation of vascular
injury. CLINICAL RELEVANCE: Our cadaveric data align with those of published
clinical investigations for percutaneous A1 pulley release.
PMID- 27180953
TI - Pachydermodactyly: A Case Report Including Histopathology.
AB - Pachydermodactyly (PDD) is a rare form of digital fibromatosis characterized by
painless soft tissue swelling, primarily about the proximal interphalangeal
joints. The skin at the metacarpophalangeal joints, the palm, and the dorsum of
the hand may also be involved. Because swelling can occur over the proximal
interphalangeal and metacarpophalangeal joints, PDD may be confused with juvenile
inflammatory arthropathy and may even occur concurrently. We present the clinical
and histopathologic findings of a case of PDD characterized by bilateral proximal
phalangeal involvement of the index through little fingers.
PMID- 27180955
TI - Signaling pathways effecting crosstalk between cartilage and adjacent tissues:
Seminars in cell and developmental biology: The biology and pathology of
cartilage.
AB - Endochondral ossification, the mechanism responsible for the development of the
long bones, is dependent on an extremely stringent coordination between the
processes of chondrocyte maturation in the growth plate, vascular expansion in
the surrounding tissues, and osteoblast differentiation and osteogenesis in the
perichondrium and the developing bone center. The synchronization of these
processes occurring in adjacent tissues is regulated through vigorous crosstalk
between chondrocytes, endothelial cells and osteoblast lineage cells. Our
knowledge about the molecular constituents of these bidirectional communications
is undoubtedly incomplete, but certainly some signaling pathways effective in
cartilage have been recognized to play key roles in steering vascularization and
osteogenesis in the perichondrial tissues. These include hypoxia-driven signaling
pathways, governed by the hypoxia-inducible factors (HIFs) and vascular
endothelial growth factor (VEGF), which are absolutely essential for the survival
and functioning of chondrocytes in the avascular growth plate, at least in part
by regulating the oxygenation of developing cartilage through the stimulation of
angiogenesis in the surrounding tissues. A second coordinating signal emanating
from cartilage and regulating developmental processes in the adjacent
perichondrium is Indian Hedgehog (IHH). IHH, produced by pre-hypertrophic and
early hypertrophic chondrocytes in the growth plate, induces the differentiation
of adjacent perichondrial progenitor cells into osteoblasts, thereby harmonizing
the site and time of bone formation with the developmental progression of
chondrogenesis. Both signaling pathways represent vital mediators of the tightly
organized conversion of avascular cartilage into vascularized and mineralized
bone during endochondral ossification.
PMID- 27180954
TI - Effects of acetyl-L-carnitine and methylcobalamin for diabetic peripheral
neuropathy: A multicenter, randomized, double-blind, controlled trial.
AB - AIMS/INTRODUCTION: To assess the efficacy and safety of acetyl-L-carnitine (ALC)
on diabetic peripheral neuropathy compared with methylcobalamin (MC). MATERIALS
AND METHODS: This was a multicenter, randomized, parallel-group, double-blind,
double-dummy, positive-controlled, non-inferior phase II clinical trial. Diabetic
patients with abnormal nerve conduction test results were randomized in a 1:1
ratio to receive oral ALC 500 mg t.i.d. or MC 0.5 mg t.i.d. for 24 weeks. The
neuropathy symptom score, neuropathy disability score and neurophysiological
parameters were measured during follow up. RESULTS: A total of 232 patients were
randomized (ALC n = 117, MC n = 115), 88% of which completed the trial. At week
24, patients from both groups had significant reductions in both neuropathy
symptom score and neuropathy disability score with no significant difference
between two groups (neuropathy symptom score reduction: ALC vs MC 2.35 +/- 2.23,
P < 0.0001 vs 2.11 +/- 2.48, P < 0.0001, intergroup P = 0.38; neuropathy
disability score reduction ALC vs MC 1.66 +/- 1.90, P < 0.0001 vs 1.35 +/- 1.65,
P < 0.0001, intergroup P = 0.23). Neurophysiological parameters were also
improved in both groups. No significant difference was found between groups in
the development of adverse events. CONCLUSIONS: ALC is as effective as MC in
improving clinical symptoms and neurophysiological parameters for patients with
diabetic peripheral neuropathy over a 24-week period with good tolerance.
PMID- 27180956
TI - Chemical nature of alkaline polyphosphate boundary film at heated rubbing
surfaces.
AB - Alkaline polyphosphate has been demonstrated to be able to reduce significant
wear and friction of sliding interfaces under heavy loads (>1 GPa) and elevated
temperature (800 degrees C and above) conditions, e.g. hot metal manufacturing.
The chemical composition and fine structure of polyphosphate lubricating film is
not well understood as well as the role of alkaline elements within the reaction
film at hot rubbing surface. This work makes use of the coupling surface
analytical techniques on the alkaline polyphosphate tribofilm, XANES, TOF-SIMS
and FIB/TEM. The data show the composition in gradient distribution and
trilaminar structure of tribofilm: a shorter chain phosphate overlying a long
chain polyphosphate that adheres onto oxide steel base through a short chain
phosphate. The chemical hardness model well explains the anti-abrasive mechanism
of alkaline polyphosphate at elevated temperatures and also predicts a
depolymerisation and simultaneous cross-linking of the polyphosphate glass. The
role of alkaline elements in the lubrication mechanism is especially explained.
This work firstly serves as a basis for a detailed study of alkaline
polyphosphate tribofilm at temperature over 600 degrees C.
PMID- 27180957
TI - The percentage of iNKT cells among other immune cells at various clinical stages
of laryngeal cancer.
AB - INTRODUCTION: Invariant natural killer T (iNKT) cells constitute a small
population of immune cells that share functional and phenotypic characteristics
of T lymphocytes and NK cells. Due to their involvement in specific and non
specific immune responses, iNKT cells may represent an important component of
antitumor and anti-infectious immunity. MATERIAL AND METHODS: Using flow
cytometry, we analyzed the percentages of iNKT cells as well as T and B
lymphocytes in peripheral blood of 50 laryngeal cancer patients at various
clinical stages in comparison to healthy controls (n=15). Moreover, we determined
the expression of CD25, CD69 and CD95 antigens on T lymphocytes. RESULTS: The
percentage of CD4+/CD3+ T lymphocytes in the controls was higher than in
laryngeal cancer patients, both with early and late stages of the disease. The
percentage of CD8+/CD3+ T lymphocytes in healthy controls was lower than in
patients with early and late clinical stages of laryngeal cancer. Patients with
advanced laryngeal cancer showed a lower percentage of iNKT cells and higher
frequencies of T regulatory cells (Tregs) than the controls. Advanced clinical
stages of laryngeal cancer are associated with impaired activation of
lymphocytes. CONCLUSIONS: Our study confirmed that laryngeal cancer cells exert a
strong suppressor effect on the immune system of the host. This is reflected by a
decrease in the percentage of iNKT cells that are capable of cancer cell
elimination, and a concomitant increase in the percentage of Tregs. However,
further studies are needed in order to explain the underlying mechanisms of
immunosuppression and understand interactions between immune and cancer cells.
PMID- 27180958
TI - [The use of oligonucleotide aptamers in cancer therapy].
AB - Aptamers are a new class of molecules which originated in the 1990s. They are
usually RNA or DNA oligonucleotides, the length of which ranges between 20 and 80
nt. They are produced using the SELEX method that allows one to obtain aptamers
that bind to virtually any molecule of interest, providing a high specificity.
Aptamers are an alternative to antibodies because on the one hand, their
sensitivity is at a similar or sometimes even higher level, while on the other
hand they do not show immunogenicity, and may be synthesized in vitro. To date, a
broad range of different applications of aptamers has been described: as
components of biosensors, or use in various laboratory techniques, such as
microarrays or chromatography. One of the most important is the use of aptamers
in medicine, especially in the fight against cancer. They can be used both for
diagnosis and for the eradication of cancers - particularly through the delivery
of drugs. Currently, most transport-related research is devoted to the delivery
of chemotherapeutic drugs, such as doxorubicin. This was used in research on
liver cancer cells, prostate, and acute lymphoblastic leukemia blast cells.
Another possibility is to use aptamers to deliver siRNAs. In this way inhibition
of the quality control process of the mRNA in tumor cells is possible. An aptamer
complex with the drug allows for direct delivery of the active substance in a
particular cell type, substantially eliminating the non-specific effect of the
drug.
PMID- 27180959
TI - [Juvenile idiopathic arthritis and oral health].
AB - Juvenile idiopathic arthritis (JIA) is the most common autoimmune inflammatory
disease of connective tissue in children. It is characterized by progressive
joint destruction which causes preserved changes in the musculoskeletal system.
The literature describes fully clinical symptoms and radiological images in
different subtypes of JIA. However, there is still a limited number of studies
reporting on the medical condition of the oral cavity of ill children. JIA can
affect hard and soft tissues of the oral cavity by: the general condition of the
child's health, arthritis of the upper limbs, as the result of the
pharmacotherapy, changes in secretion and composition of saliva, inflammation of
the temporomandibular joint and facial deformity. The study summarizes the
available literature on the condition of the teeth and periodontal and oral
hygiene in the course of JIA. The presence of diverse factors that modify the
oral cavity, such as facial growth, functioning of salivary glands, or the
supervision and care provided by adults, prevents clear identification if JIA
leads to severe dental caries and periodontal disease. Despite conflicting
results in studies concerning the clinical oral status, individuals with JIA
require special attention regarding disease prevention and maintenance of oral
health.
PMID- 27180960
TI - Case control study of ANKK1 Taq 1A polymorphism in patients with alcohol
dependence classified according to Lesch's typology.
AB - OBJECTIVE: The aim of this study was to examine the association between the Taq
1A polymorphism of the ANKK1 gene in homogeneous subgroups of patients with
alcohol dependence syndrome divided according to Lesch's typology.
MATERIAL/METHODS: DNA was provided from alcohol-dependent (AD) patients (n = 373)
and healthy control subjects (n = 168), all of Polish descent. The history of
alcoholism was obtained using the Polish version of the SSAGA (Semi-Structured
Assessment for the Genetics of Alcoholism). Samples were genotyped using the PCR
method. RESULTS: We found no association between alcohol dependence and ANKK1 Taq
1A polymorphism. CONCLUSIONS: Lesch's typology is a clinical consequence of the
disease, and its phenotypic description is too complex for simple genetic
analysis.
PMID- 27180961
TI - [Drugs affecting the incretin system and renal glucose transport: do they meet
the expectations of modern therapy of type 2 diabetes?].
AB - Agents introduced into therapy of type 2 diabetes in the last few years are still
the subject of numerous clinical and experimental studies. Although many studies
have been completed, we still do not know all aspects of these drugs' action,
especially the long-term effects of their use. Most questionable is their impact
on the processes of cell proliferation, on the cardiovascular and immune systems,
on lipids and uric acid metabolism. A summary of the most important observations
on the use of three groups of new drugs - analogs of glucagon-like peptide 1 (GLP
1), inhibitors of dipeptidyl peptidase IV (DPPIV) and inhibitors of sodium
glucose cotransporters (SGLT1 and SGLT2) - has been made, based on a review of
the literature over the past five years (2010-2014). The information included in
the present review concerns the structure and activity relationship, therapeutic
efficacy, side effects and the observed additional therapeutic effects, which can
determine new standards in therapy of diabetes and also facilitate the
development of better antidiabetic drugs.
PMID- 27180962
TI - Association between selected gene polymorphisms and statin metabolism, risk of
ischemic stroke and cardiovascular disorders.
AB - Statins are increasingly widely used in primary and secondary prevention of
cardiovascular disorders, including ischemic stroke. The initial studies regarded
mainly coronary heart disease, but recently more attention has been paid to
statin use in ischemic stroke, including primary and secondary prevention as well
as the acute phase treatment. Besides their main hypolipemic activity, statins
have been proved to have immunomodulating properties that are called a
pleiotropic effect. Drug metabolism is under genetic influence, exemplified by
the single nucleotide polymorphisms (SNPs). This also applies to statins.
Pharmacogenetic studies are conducted in many disorders including stroke. The aim
of this study was to review selected common genetic variants in lipid or statin
metabolism-related genes and indicate associations with cardiovascular disorders,
especially with ischemic stroke. We present available data of SNPs in regard to
the most significant and promising proteins such as cytochrome P450, ATPase
superfamily, organic anion transporter family, apolipoprotein E, lipoprotein
associated phospholipase A2, lipoprotein(a), LDLR, proprotein convertase
subtilisin/kexin type 9, HMGCR, and CETP. A presentation of particular SNPs may
help in future studies to aim for individual and thus more effective statin
therapy in stroke patients.
PMID- 27180963
TI - [Significance of increased and reduced proteasome activity in the pathomechanism
of selected disorders].
AB - Proteasomes are structures responsible for the elimination of damaged and
misfolded proteins. Thus, they also regulate the most important intracellular
processes. Changes in their functions can lead to many molecular diseases. There
are two possible disorders in the function of proteasomes. Their increasing
activity causes excessive degradation of important cell proteins. On the other
hand, their insufficiency can inhibit the degradation of pathological proteins
and lead to their accumulation. The increase of proteasome activity and the
degradation of important proteins are observed in many pathological disorders.
Therefore the study of pharmacological methods using proteasome inhibitors has
gained growing interest in the last years. This review summarizes recent findings
regarding the role of proteasomes in pathogenesis of selected diseases and
discusses the potential use of proteasomes in diagnosis of different disorders.
PMID- 27180964
TI - [Treatment of nephrotic syndrome: immuno- or rather podocyte therapy?].
AB - Nephrotic syndrome (NS) is a group of clinical symptoms resulting from massive
proteinuria caused by impairment of the glomerular filtration barrier. The
filtration barrier comprises glomerular basement membrane with endothelial cells
lining its inner side and a podocyte monolayer covering its outer aspect. As well
as forming part of the glomerular filter, podocytes also regulate synthesis of
other components of the filtration barrier. Therefore, integrity of these cells
is crucial for maintaining the normal ultrafiltration function. The pathogenesis
of idiopathic nephrotic syndrome (INS) was proposed to be associated with
autoimmunity and appearance in the circulation of a still unknown protein
permeability factor (PF) inducing changes in the glomerular filtration barrier.
Several candidate PFs have been identified to date, and current results indicate
that podocytes are target cells for all of them. Changes in podocyte structure
and functions induced by these factors are typical for changes observed in
patients with nephrotic proteinuria. Most pharmacotherapeutic approaches in NS
are based on various immunosuppressive agents and are targeted toward minimizing
proteinuria. It appears, however, that these drugs not only target the cells of
the immune system but also act directly on podocytes. Thus, it can be concluded
that detailed studies on mechanisms regulating podocyte functions as well as
designing drugs to protect these cells are required for effective therapy of NS.
PMID- 27180965
TI - [BRAF mutation in progression and therapy of melanoma, papillary thyroid
carcinoma and colorectal adenocarcinoma].
AB - BRAF is mutated at a high frequency in various malignancies, including melanoma,
papillary thyroid carcinoma and colorectal adenocarcinoma. BRAF is an element of
the RAS/RAF/MEK/ERK (MAPK) pathway, which when constitutively active can lead to
increased proliferation rate, enhanced survival, invasion and metastasis. The
development of small molecule inhibitors of mutant BRAF kinase has changed the
care of patients, especially with melanoma. Despite the success in treating
melanoma with inhibitors of mutant BRAF and other elements of RAS/RAF/MEK/ERK
(MAPK) pathway, resistance limits the long-term responsiveness to these drugs.
The resistance mechanisms to MAPK pathway inhibition are complex, occur at
genomic and phenotypic levels, and frequently the same patient can simultaneously
develop diverse mechanisms of resistance in different progressive metastases or
even in the same lesion. In the current review, we summarize recent research on
mutations in BRAF and their importance for the development of tumor. This review
will also give an overview on the current knowledge concerning therapies for
patients harboring mutation in BRAF and discusses the diverse mechanisms of
resistance developed in response to these targeted therapies.
PMID- 27180966
TI - [The biological role of sulfatides].
AB - Sulfatides (3-O-sulfogalactosylceramides, sulfated galactocerebrosides, SM4) are
esters of sulfuric acid with galactosylceramides. These acidic
glycosphingolipids, present at the external leaflet of the plasma membrane, are
synthesized by a variety of mammalian cells. They are especially abundant in the
myelin sheath of oligodendrocytes in the central nervous system and Schwann cells
in the peripheral nervous system. Studies using cerebroside galactosyltransferase
deficient mice revealed that sulfatides are responsible for proper structure and
functioning of myelin. Large amounts of sulfatides are also found in the kidney,
gastrointestinal tract, islets of Langerhans, and membranes of erythrocytes,
thrombocytes and granulocytes. They are ligands for numerous proteins, but in
most cases the biological role of such interactions is poorly understood. A
notable exception is their binding by P- and L-selectins. Platelet sulfatides are
major ligands for P-selectin, and this interaction is critical for the formation
of stable platelet aggregates. Sulfatides also bind to chemokines, and seem to
play a role in regulation of cytokine expression in human lymphocytes and
monocytes. Aberrant metabolism of sulfatides, could cause several important human
diseases. In this article, we describe the changes in sulfatide expression
associated with such nervous disorders as metachromatic leukodystrophy (MLD),
Parkinson's disease and Alzheimer's disease, and several types of cancer, e.g.
colon cancer, kidney cancer, and ovarian cancer. We also discuss the involvement
of sulfatides in cancer progression, diabetes and autoimmune and immune disorders
such as multiple sclerosis. This acidic glycosphingolipids seem to play an
important role in pathogenesis of infectious diseases, serving as receptors for
binding various bacteria and viruses.
PMID- 27180967
TI - Analysis of Clostridium difficile infections in patients hospitalized at the
nephrological ward in Poland.
AB - BACKGROUND: Few studies have evaluated the incidence and risk factors of
Clostridium difficile infection (CDI) in the adult Polish population, in
particular in solid organ recipients hospitalized at the nephrological ward. AIM:
The aim of this study was to analyze Clostridium difficile infections (CDI) among
patients hospitalized in the Department of Nephrology, Transplantation and
Internal Medicine, Medical University of Silesia in Katowice. MATERIAL/METHODS:
Thirty-seven patients with Clostridium difficile infection diagnosed between
October 2011 and November 2013 (26 months), identified among a total of 3728
patients hospitalized in this department during this period, were included in
this retrospective, single-center study. The CDI definition was based on the
current recommendations of the European Society of Clinical Microbiology and
Infectious Diseases. RESULTS: The observation period was divided into two 13
month intervals. Increased incidence (of borderline significance) of CDI in the
second period compared to the first period was observed (1.33% vs 0.65%
respectively; p=0.057). Patients after kidney (n=11), kidney and pancreas (n=2)
and liver (n=5) transplantation represented 48% of the analyzed CDI patients, and
in half of these patients (50%) CDI symptoms occurred within the first 3 months
after transplantation. Clostridium difficile infection leads to irreversible
deterioration of graft function in 38% of kidney recipients. Most incidents of
CDI (70%) were identified as nosocomial infection. CONCLUSIONS: 1. Clostridium
difficile infection is particularly common among patients in the early period
after solid organ transplantation. 2. Clostridium difficile infection may lead to
irreversible deterioration of transplanted kidney function.
PMID- 27180968
TI - [Carbamylation of proteins--mechanism, causes and consequences].
AB - Carbamylation (carbamoylation) is a post-translational modification resulting
from the nonenzymatic reaction between isocyanic acid and free functional groups
of proteins, in particular with the free amino groups. This reaction alters
structural and functional properties of proteins and results in faster aging of
proteins. Urea present in the body can be transformed into cyanate and its more
reactive form, isocyanic acid. High concentration of urea is associated with some
diseases, especially with chronic renal failure and atherosclerosis. In human
tissues, urea and cyanate are in equilibrium in aqueous solutions. Surprisingly,
concentration of isocyanate in the body is much lower than it would appear from
the kinetic parameters of urea decomposition. The low concentration of isocyanic
acid results from its high reactivity and short half-life. In this review we
describe the biochemical mechanism of carbamylation of proteins and free amino
acids. We summarize the literature data for carbamylation of hemoglobin,
lipoproteins, albumin, membrane proteins and erythropoietin in chronic renal
failure. In summary, the carbamylation of proteins may have a negative impact on
their biological activity and may contribute to the deterioration of patients
with chronic renal failure.
PMID- 27180969
TI - Comparable pharmacodynamics, efficacy, and safety of linagliptin 5 mg among
Japanese, Asian and white patients with type 2 diabetes.
AB - AIMS/INTRODUCTION: The efficacy and safety of drugs can vary between different
races or ethnic populations because of differences in the relationship of dose to
exposure, pharmacodynamic response or clinical efficacy and safety. In the
present post-hoc analysis, we assessed the influence of race on the
pharmacokinetics, pharmacodynamics, efficacy and safety of monotherapy with the
dipeptidyl peptidase-4 inhibitor, linagliptin, in patients with type 2 diabetes
enrolled in two comparable, previously reported randomized phase III trials.
MATERIALS AND METHODS: Study 1 (with a 12-week placebo-controlled phase)
recruited Japanese patients only (linagliptin, n = 159; placebo, n = 80); study 2
(24-week trial) enrolled Asian (non-Japanese; linagliptin, n = 156; placebo, n =
76) and white patients (linagliptin, n = 180; placebo, n = 90). RESULTS:
Linagliptin trough concentrations were equivalent across study and race groups,
and were higher than half-maximal inhibitory concentration, resulting in
dipeptidyl peptidase-4 inhibition >80% at trough. Linagliptin inhibited plasma
dipeptidyl peptidase-4 activity to a similar degree in study 1 and study 2.
Linagliptin reduced fasting plasma glucose concentrations by a similar magnitude
across groups, leading to clinically relevant reductions in glycated hemoglobin
in all groups. Glycated hemoglobin levels decreased to a slightly greater extent
in study 1 (Japanese) and in Asian (non-Japanese) patients from study 2.
Linagliptin had a favorable safety profile in each race group. CONCLUSIONS:
Trough exposure, pharmacodynamic response, and efficacy and safety of linagliptin
monotherapy were comparable among Japanese, Asian (non-Japanese) and white
patients, confirming that the recommended 5-mg once-daily dose of linagliptin is
appropriate for use among different race groups.
PMID- 27180970
TI - Is ghrelin a glucagon-like peptide-1 secretagogue?
AB - Ghrelin was reported to enhance GLP-1 secretion after glucose load in mice.
PMID- 27180971
TI - Phosphoprotein network analysis of white adipose tissues unveils deregulated
pathways in response to high-fat diet.
AB - Despite efforts in the last decade, signaling aberrations associated with obesity
remain poorly understood. To dissect molecular mechanisms that define this
complex metabolic disorder, we carried out global phosphoproteomic analysis of
white adipose tissue (WAT) from mice fed on low-fat diet (LFD) and high-fat diet
(HFD). We quantified phosphorylation levels on 7696 peptides, and found
significant differential phosphorylation levels in 282 phosphosites from 191
proteins, including various insulin-responsive proteins and metabolic enzymes
involved in lipid homeostasis in response to high-fat feeding. Kinase-substrate
prediction and integrated network analysis of the altered phosphoproteins
revealed underlying signaling modulations during HFD-induced obesity, and
suggested deregulation of lipogenic and lipolytic pathways. Mutation of the
differentially-regulated novel phosphosite on cytoplasmic acetyl-coA forming
enzyme ACSS2 (S263A) upon HFD-induced obesity led to accumulation of serum
triglycerides and reduced insulin-responsive AKT phosphorylation as compared to
wild type ACSS2, thus highlighting its role in obesity. Altogether, our study
presents a comprehensive map of adipose tissue phosphoproteome in obesity and
reveals many previously unknown candidate phosphorylation sites for future
functional investigation.
PMID- 27180972
TI - Smart Nanotransformers with Unique Enzyme-Inducible Structural Changes and Drug
Release Properties.
AB - We previously reported a high aspect ratio peptide nanofiber that could be
effectively delivered to tumors with minimal nonspecific uptake by other organs.
The peptidic nature offers the design flexibility of smart formulation with
unique responsiveness. Two new formulations that behave congruously as
nanotransformers (NTFs) are reported herein. NTF1 and NTF2 could biomechanically
remodel upon enzyme activation to generate a degradable and an aggregable effect,
respectively, within the lysosomal compartment. These NTFs were further evaluated
as carriers of mertansine (DM1), a microtubule inhibitor. DM1-loaded NTF1 could
be degraded by cathepsin B (CathB) to release the same active metabolite, as
previously described in the lysosomal degradation of antibody-DM1 conjugate. In
contrast, CathB only partially digested DM1-loaded NTF2 and induced aggregate
formation to become a storage reservoir with slow payload release property. The
DM1-loaded NTF1 exhibited a comparable cytotoxicity to the free drug and was more
effective than the NTF2 formulation in eradicating triple negative breast cancer.
Our data suggested that biological transformers with distinct enzyme-induced
structural changes and payload release profiles could be designed for the
intracellular delivery of cytotoxic and imaging agents.
PMID- 27180973
TI - Resistant Shigella strains in refugees, August-October 2015, Greece.
AB - Shigellosis is endemic in most developing countries and thus a known risk in
refugees and internally displaced persons. In 2015, a massive influx of refugees
into Greece, due to the political crisis in the Middle East, led to the
development of appropriate conditions for outbreaks of communicable diseases as
shigellosis. We present a cluster of 16 shigellosis cases in refugees, detected
by the implementation of a syndromic notification system in one transit centre in
Athens, between 20 August and 7 October 2015. Both Shigella flexneri (n = 8) and
S. sonnei (n = 8) were identified, distributed in various serotypes. All tested
isolates (n = 13) were multidrug resistant; seven were CTX-M-type extended
spectrum beta-lactamase producers. Our results indicate lack of a potential
common source, although pulsed-field gel electrophoresis typing results revealed
small clusters in isolates of the same serotype indicating possible limited
person-to-person transmission without identifying secondary community cases
related to the refugees. To prevent the spread of shigellosis, empirical
antibiotic treatment as well as environmental hygiene measures were implemented.
The detection of multi-drug resistance is important for determining the
appropriate empirical antibiotic treatment for the more severe cases, while at
the same time real-time typing is useful for epidemiological investigation and
control measures.
PMID- 27180974
TI - Pneumocystis jirovecii pneumonia developed in a patient with rheumatoid arthritis
after 14 weeks of iguratimod add-on to treatment with methotrexate and
etanercept.
AB - A 66-year-old woman who had rheumatoid arthritis and underwent a long-term
treatment with methotrexate and etanercept developed Pneumocystis jirovecii
pneumonia (PCP) 3 months after iguratimod add-on. Although most rheumatologists
might have the impression that iguratimod has less toxicity and immunosuppressive
effect compared with methotrexate and biologic disease-modifying antirheumatic
drugs, this case suggests that iguratimod may increase the risk of PCP,
especially in combination with other drugs.
PMID- 27180975
TI - Absorption, distribution, metabolism and excretion (ADME) of the ALK inhibitor
alectinib: results from an absolute bioavailability and mass balance study in
healthy subjects.
AB - 1. Alectinib is a highly selective, central nervous system-active small molecule
anaplastic lymphoma kinase inhibitor. 2. The absolute bioavailability,
metabolism, excretion and pharmacokinetics of alectinib were studied in a two
period single-sequence crossover study. A 50 MUg radiolabelled intravenous
microdose of alectinib was co-administered with a single 600 mg oral dose of
alectinib in the first period, and a single 600 mg/67 MUCi oral dose of
radiolabelled alectinib was administered in the second period to six healthy male
subjects. 3. The absolute bioavailability of alectinib was moderate at 36.9%.
Geometric mean clearance was 34.5 L/h, volume of distribution was 475 L and the
hepatic extraction ratio was low (0.14). 4. Near-complete recovery of
administered radioactivity was achieved within 168 h post-dose (98.2%) with
excretion predominantly in faeces (97.8%) and negligible excretion in urine
(0.456%). Alectinib and its major active metabolite, M4, were the main components
in plasma, accounting for 76% of total plasma radioactivity. In faeces, 84% of
dose was excreted as unchanged alectinib with metabolites M4, M1a/b and M6
contributing to 5.8%, 7.2% and 0.2% of dose, respectively. 5. This novel study
design characterised the full absorption, distribution, metabolism and excretion
properties in each subject, providing insight into alectinib absorption and
disposition in humans.
PMID- 27180976
TI - Detection of the European epidemic strain of Trichomonas gallinae in finches, but
not other non-columbiformes, in the absence of macroscopic disease.
AB - Finch trichomonosis is an emerging infectious disease affecting European
passerines caused by a clonal strain of Trichomonas gallinae. Migrating
chaffinches (Fringilla coelebs) were proposed as the likely vector of parasite
spread from Great Britain to Fennoscandia. To test for such parasite carriage, we
screened samples of oesophagus/crop from 275 Apodiform, Passeriform and Piciform
birds (40 species) which had no macroscopic evidence of trichomonosis (i.e.
necrotic ingluvitis). These birds were found dead following the emergence of
trichomonosis in Great Britain, 2009-2012, and were examined post-mortem.
Polymerase chain reactions were used to detect (ITS1/5.8S rRNA/ITS2 region and
single subunit rRNA gene) and to subtype (Fe-hydrogenase gene) T. gallinae.
Trichomonas gallinae was detected in six finches [three chaffinches, two
greenfinches (Chloris chloris) and a bullfinch (Pyrrhula pyrrhula)]. Sequence
data had 100% identity to the European finch epidemic A1 strain for each species.
While these results are consistent with finches being vectors of T. gallinae,
alternative explanations include the presence of incubating or resolved T.
gallinae infections. The inclusion of histopathological examination would help
elucidate the significance of T. gallinae infection in the absence of macroscopic
lesions.
PMID- 27180978
TI - Unconjugated bilirubin elevation impairs the function and expression of breast
cancer resistance protein (BCRP) at the blood-brain barrier in bile duct-ligated
rats.
AB - AIM: Liver failure is associated with dyshomeostasis of efflux transporters at
the blood-brain barrier (BBB), which contributes to hepatic encephalopathy. In
this study we examined whether breast cancer resistance protein (BCRP), a major
efflux transporter at the BBB, was altered during liver failure in rats. METHODS:
Rats underwent bile duct ligation (BDL) surgery, and then were sacrificed after
intravenous injection of prazosin on d3, d7 and d14. The brains and blood samples
were collected. BCRP function at the BBB was assessed by the brain-to-plasma
prazosin concentration ratio; Evans Blue extravasation in the brain tissues was
used as an indicator of BBB integrity. The protein levels of BCRP in the brain
tissues were detected. Human cerebral microvessel endothelial cells (HCMEC/D3)
and Madin-Darby canine kidney cells expressing human BCRP (MDCK-BCRP) were tested
in vitro. In addition, hyperbilirubinemia (HB) was induced in rats by intravenous
injection of unconjugated bilirubin (UCB). RESULTS: BDL rats exhibited
progressive decline of liver function and HB from d3 to d14. In the brain tissues
of BDL rats, both the function and protein levels of BCRP were progressively
decreased, whereas the BBB integrity was intact. Furthermore, BDL rat serum
significantly decreased BCRP function and protein levels in HCMEC/D3 cells. Among
the abnormally altered components in BDL rat serum tested, UCB (10, 25 MUmol/L)
dose-dependently inhibit BCRP function and protein levels in HCMEC/D3 cells,
whereas 3 bile acids (CDCA, UDCA and DCA) had no effect. Similar results were
obtained in MDCK-BCRP cells and in the brains of HB rats. Correlation analysis
revealed that UCB levels were negatively correlated with BCRP expression in the
brain tissues of BDL rats and HB rats as well as in two types of cells tested in
vitro. CONCLUSION: UCB elevation in BDL rats impairs the function and expression
of BCRP at the BBB, thus contributing to hepatic encephalopathy.
PMID- 27180979
TI - Ciprofloxacin blocked enterohepatic circulation of diclofenac and alleviated
NSAID-induced enteropathy in rats partly by inhibiting intestinal beta
glucuronidase activity.
AB - AIM: Diclofenac is a non-steroidal anti-inflammatory drug (NSAID), which may
cause serious intestinal adverse reactions (enteropathy). In this study we
investigated whether co-administration of ciprofloxacin affected the
pharmacokinetics of diclofenac and diclofenac-induced enteropathy in rats.
METHODS: The pharmacokinetics of diclofenac was assessed in rats after receiving
diclofenac (10 mg/kg, ig, or 5 mg/kg, iv), with or without ciprofloxacin (20
mg/kg, ig) co-administered. After receiving 6 oral doses or 15 intravenous doses
of diclofenac, the rats were sacrificed, and small intestine was removed to
examine diclofenac-induced enteropathy. beta-Glucuronidase activity in intestinal
content, bovine liver and E coli was evaluated. RESULTS: Following oral or
intravenous administration, the pharmacokinetic profile of diclofenac displayed
typical enterohepatic circulation, and co-administration of ciprofloxacin
abolished the enterohepatic circulation, resulted in significant reduction in the
plasma content of diclofenac. In control rats, beta-glucuronidase activity in
small intestinal content was region-dependent: proximal intestine S1 electronic transition in 1H-Py(+), and a band origin
was used at 20580.96 cm(-1). The displaced harmonic oscillator approximation and
Franck-Condon approximation were used to simulate the absorption spectrum of the
(1) (1)A' <- X[combining tilde](1)A' transition of 1H-Py(+), and the main
vibronic transitions were assigned for the first pipi* state. It shows that the
vibronic structures were dominated by one of the eight active totally symmetric
modes, with nu15 being the most crucial. This indicates that the electronic
transition of the S1((1)A') state calculated in the adiabatic representation
effectively includes a contribution from the adiabatic vibronic coupling through
Franck-Condon factors perturbed by harmonic oscillators. The present method can
adequately reproduce experimental absorption and fluorescence spectra of a gas
phase.
PMID- 27181018
TI - Clinicopathologic characteristics and prognostic of gastric cancer in young
patients.
AB - OBJECTIVES: Gastric cancer (GC) in young patients is thought to exhibit a worse
prognosis due to specific clinicopathologic characteristics and delayed
diagnosis; however, the data are controversial. This study aimed to analyse the
clinicopathologic characteristics and prognostic factors of GC in young patients
and to determine whether GC is a different clinical entity in younger vs. older
patients. PATIENTS AND METHODS: Clinical data of 3930 gastric cancer patients who
underwent radical gastrectomy were retrospectively analysed and divided into two
age groups. The younger group consisted of 342 cases of patients aged 40 years or
younger at the time of surgery, and the older group included 3588 cases of
patients older than 40 years. Clinicopathologic characteristics were compared
using Pearson's chi(2) or Fisher's exact tests, and survival curves were
constructed using the Kaplan-Meier method. RESULTS: Clinicopathologic
characteristics of the younger group exhibited a predominance of females (p <
0.001), diffuse stomach lesions (p = 0.014), signet-ring cell type (p < 0.001),
poorly differentiated histological tumours (p < 0.001), Borrmann type IV (p <
0.001), mixed Lauren's classification types (p = 0.004), and recurrence rate in
the gastric remnant (p < 0.001). The overall 5-year survival rates in the younger
group and older group were 60.8% and 53.7%, respectively (p = 0.017). When
stratified by TNM stage, the younger group exhibited enhanced 5-year survival at
stage IV compared with the older group (26.9% VS. 10.3%, p = 0.003). CONCLUSION:
Although younger patients with GC exhibit more aggressive cancer patterns and
higher recurrence rate in the gastric remnant, the overall 5-year survival rate
may be better than older patients.
PMID- 27181020
TI - Patterning of Metal Films on Arbitrary Substrates by Using Polydopamine as a UV
Sensitive Catalytic Layer for Electroless Deposition.
AB - Patterning metal films on various substrates is essentially important and yet
challenging for developing a wide variety of innovative devices. We herein report
a versatile approach to pattern metal (gold, silver, or copper) films on
arbitrary substrates by using the bio-inspired polydopamine (PDA) thin film as a
UV-sensitive adhesive layer for electroless deposition. The PDA film is able to
be formed on virtually any solid surfaces under mild condition, and its rich
catechol groups allow for electroless deposition of metal films with high
adhesion stability. Upon UV irradiation, spatially selective oxidation of PDA
film occurs and the local metal deposition is inhibited, thus facilitating
successful patterning of metal films. Considering its versatility and simplicity,
this strategy may demonstrate great applications in manufacturing various
innovative devices.
PMID- 27181019
TI - Long-term Follow-up Data from Pivotal Studies of Adjuvant Trastuzumab in Early
Breast Cancer.
AB - The addition of adjuvant trastuzumab therapy for 1 year to standard chemotherapy
significantly improved disease-free survival and overall survival versus
chemotherapy alone in a number of pivotal early breast cancer studies. Here we
review long-term follow-up data on the efficacy, cardiac safety, and general
safety of trastuzumab in these pivotal studies. We also evaluate ongoing phase
II/III adjuvant trials with newer HER2-targeted agents and the efficacy and
safety of the recently developed subcutaneous (SC) formulation of trastuzumab in
early breast cancer. Long-term follow-up data confirm the significant survival
benefit afforded by the addition of trastuzumab to chemotherapy in patients with
HER2-positive disease, with an acceptable safety profile. Long-term cardiac
safety data suggest that the incidence of cardiac adverse events is maintained at
a relatively low level with continued follow-up. At this present time, 1 year of
trastuzumab treatment remains the standard of care in HER2-positive early breast
cancer. Future adjuvant trastuzumab treatment strategies should focus on reducing
cardiotoxicity, particularly in elderly patients, by identifying potential
predictive biomarkers of cardiac dysfunction. Clinicians must also decide whether
to omit trastuzumab in women who would achieve little benefit from treatment to
avoid cardiotoxicity.
PMID- 27181021
TI - Hollow CoP nanopaticle/N-doped graphene hybrids as highly active and stable
bifunctional catalysts for full water splitting.
AB - An alkaline electrolyzer fabricated by employing hollow CoP nanoparticles/N-doped
graphene as bifunctional catalysts exhibits remarkable activity with a current
density of 10 mA cm(-2) at a cell voltage of 1.58 V and considerable stability
over 65 h of continuous electrolysis operation, favorably comparable to the
integrated performance of commercial Pt/C and IrO2.
PMID- 27181022
TI - A direct and vicinal functionalization of the 1-methyl-2-quinolone framework: 4
alkoxylation and 3-chlorination.
AB - Bis(functionalization), 4-alkoxylation and 3-chlorination, of the 1-methyl-2
quinolone framework was achieved under mild conditions by a sequential treatment
of 3-nitrated 1-methyl-2-quinolones with sodium alkoxide and N-chlorosuccinimide.
Moreover, a succinimide group instead of an alkoxy group was introduced at the 4
position, affording a masked form of the 4-amino-3-chloro-2-quinolone derivative.
Furthermore, the prepared vicinally functionalized quinolones thus obtained were
subjected to a Suzuki-Miyaura coupling reaction, arylating the 3-position.
PMID- 27181023
TI - Designing for selectivity: weak interactions and the competition for reactive
sites on gold catalysts.
AB - A major challenge in heterogeneous catalysis is controlling reaction selectivity,
especially in complex environments. When more than one species is present in the
gas mixture, the competition for binding sites on the surface of a catalyst is an
important factor in determining reaction selectivity and activity. We establish
an experimental hierarchy for the binding of a series of reaction intermediates
on Au(111) and demonstrate that this hierarchy accounts for reaction selectivity
on both the single crystal surface and under operating catalytic conditions at
atmospheric pressure using a nanoporous Au catalyst. A partial set of
measurements of relative binding has been measured by others on other catalyst
materials, including Ag, Pd and metal oxide surfaces; a comparison demonstrates
the generality of this concept and identifies differences in the trends.
Theoretical calculations for a subset of reactants on Au(111) show that weak van
der Waals interactions are key to predicting the hierarchy of binding strengths
for alkoxides bound to Au(111). This hierarchy is key to the control of the
selectivity for partial oxidation of alcohols to esters on both Au surfaces and
under working catalytic conditions using nanoporous gold. The selectivity depends
on the competition for active sites among key intermediates. New results probing
the effect of fluorine substitution are also presented to extend the relation of
reaction selectivity to the hierarchy of binding. Motivated by an interest in
synthetic manipulation of fluorinated organics, we specifically investigated the
influence of the -CF3 group on alcohol reactivity and selectivity. 2,2,2
Trifluoroethanol couples on O-covered Au(111) to yield CF3CH2O-C([double bond,
length as m-dash]O)(CF3), but in the presence of methanol or ethanol it
preferentially forms the respective 2,2,2-trifluoroethoxy-esters. The ester is
not the dominant product in any of these cases, though, indicating that the rate
of beta-H elimination from adsorbed trifluoroethoxy is slower than that for
either adsorbed methoxy or ethoxy, consistent with their relative estimated beta
C-H bond strengths. The measured equilibrium constants for the competition for
binding to the surface are 2.9 and 0.38 for ethanol and methanol, respectively,
vs. 2,2,2-trifluoroethanol, indicating that the binding strength of 2,2,2
trifluoroethoxy is weaker than ethoxy, but stronger than methoxy. These results
are consistent with weakening of the interactions between the surface and the
alkyl group due to Pauli repulsion of the electron-rich CF3 group from the
surface, which offsets the van der Waals attraction. These experiments provide
guiding principles for understanding the effect of fluorination on heterogeneous
synthesis and further demonstrate the key role of molecular structure in
determining reaction selectivity.
PMID- 27181024
TI - Visible-light photoredox synthesis of internal alkynes containing quaternary
carbons.
AB - A novel and efficient visible-light photoredox method for the synthesis of
internal alkynes containing quaternary carbons has been developed via coupling
reactions of N-phthalimidoyl oxalates of tert-alcohols with 1-(2
(arylsulfonyl)ethynyl)benzenes. The reactions proceeded well at room temperature
with good functional group tolerability.
PMID- 27181026
TI - Synergistic effects in ambiphilic phosphino-borane catalysts for the
hydroboration of CO2.
AB - The benefit of combining both a Lewis acid and a Lewis base in a catalytic system
has been established for the hydroboration of CO2, using ferrocene-based
phosphine, borane and phosphino-borane derivatives.
PMID- 27181025
TI - Tissue Plasminogen Activator Neurotoxicity is Neutralized by Recombinant ADAMTS
13.
AB - Tissue plasminogen activator (tPA) is an effective treatment for ischemic stroke,
but its neurotoxicity is a significant problem. Here we tested the hypothesis
that recombinant ADAMTS 13 (rADAMTS 13) would reduce tPA neurotoxicity in a mouse
model of stroke. We show that treatment with rADAMTS 13 in combination with tPA
significantly reduced infarct volume compared with mice treated with tPA alone 48
hours after stroke. The combination treatment significantly improved neurological
deficits compared with mice treated with tPA or vehicle alone. These
neuroprotective effects were associated with significant reductions in fibrin
deposits in ischemic vessels and less severe cell death in ischemic brain. The
effect of rADAMTS13 on tPA neurotoxicity was mimicked by the N-methyl-D-aspartate
(NMDA) receptor antagonist M-801, and was abolished by injection of NMDA.
Moreover, rADAMTS 13 prevents the neurotoxicity effect of tPA, by blocking its
interaction with the NMDA receptor NR2B and the attendant phosphorylation of NR2B
and activation of ERK1/2. Finally, the NR2B-specific NMDA receptor antagonist
ifenprodil abolished tPA neurotoxicity and rADAMTS 13 treatment had no further
beneficial effect. Our data suggest that the combination of rADAMTS 13 and tPA
may provide a novel treatment of ischemic stroke by diminishing the neurotoxic
effects of exogenous tPA.
PMID- 27181027
TI - Tumor-suppressive activity of 1,25-dihydroxyvitamin D3 against kidney cancer
cells via up-regulation of FOXO3.
AB - 1,25-Dihydroxyvitamin D3 has been known to have the tumor-suppressive activity in
various kinds of tumors. However, the exact effect and working mechanism of 1,25
dihydroxyvitamin D3 on the tumor-suppressive activity in human kidney cancer
cells remains poorly understood. 1,25-Dihydroxyvitamin D3 has cytotoxicity to
ACHN cells and inhibited ACHN cell proliferation compared to the vehicle control.
1,25-Dihydroxyvitamin D3 increased the expression of the cleaved PARP1, active
Caspase3, Bax, and Bim but decreased the expression of Bcl2 in ACHN cells.
Moreover, 1,25-dihydroxyvitamin D3 down-regulated the phosphorylated Akt and Erk
which might lead to apoptosis through activation of FOXO3 in ACHN cells.
Transfection of siRNA against FOXO3 attenuated the pro-apoptotic BimEL expression
in ACHN cells treated with 1,25-dihydroxyvitamin D3. These results suggest that
FOXO3 is involved in the apoptosis induced by 1,25-dihydroxyvitamin D3.
PMID- 27181028
TI - Two-dimensional stanane: strain-tunable electronic structure, high carrier
mobility, and pronounced light absorption.
AB - By means of state-of-the-art density functional theory (DFT) computations, we
systematically studied the structural, electronic, and optical properties of a
novel two dimensional material, namely stanane (SnH). According to our
computational results, stanane is semiconducting with a direct band gap of 1.00
eV, which can be flexibly tuned by applying an external strain. Remarkably,
stanane has much higher electron and hole mobilities than those of a MoS2
monolayer at room temperature. Moreover, stanane has rather strong optical
absorption in the visible as well as infrared regions of the solar spectrum.
These results provide many useful insights for the wide application of stanane in
electronics and optoelectronics.
PMID- 27181029
TI - Developmental changes in the hypothalamic mRNA expression levels of PACAP and its
receptor PAC1 and their sensitivity to fasting in male and female rats.
AB - The actions and responses of hypothalamic appetite regulatory and factors change
markedly during the neonatal to pre-pubertal period. Pituitary adenylate cyclase
activating polypeptide (PACAP) has been found to play pivotal roles in the
regulation of metabolic and nutritional status through its specific receptor
PAC1. PACAP/PAC1 have anorectic roles, and their functions are regulated by
leptin in adulthood. In the present study, we showed that hypothalamic PACAP mRNA
expression decreases during the neonatal to pre-pubertal period (from postnatal
day 10-30) in both male and female rats. During this period, hypothalamic PACAP
mRNA expression was not affected by 24h fasting in either sex, while the serum
leptin levels (leptin is a positive regulator of hypothalamic PACAP expression in
adulthood) of both sexes were decreased by fasting. On the other hand,
hypothalamic PAC1 mRNA expression did not change during the neonatal to pre
pubertal period in either sex; however, its levels were consistently higher in
males than in females. Hypothalamic PAC1 mRNA expression was decreased by 24h
fasting in males, but no such changes were observed in females. These results
indicate while hypothalamic PACAP expression is sensitive to a negative energy
state and the serum leptin level in adulthood, no such relationships are seen in
the pre-pubertal period. In addition, we speculate that differences in the
gonadal steroidal milieu might induce sexual dimorphism in the basal hypothalamic
PAC1 mRNA level and its response to fasting. The mechanisms responsible for and
the physiological effects of such changes in hypothalamic PACAP and PAC1
expression during the developmental period remain to be clarified.
PMID- 27181031
TI - Na domhain shamhlaiochta: formulary submission guidelines in Ireland and the
standards of normal science.
AB - In common with a number of other single payer health systems, Ireland, through
the Health Information and Quality Authority, has established guidelines for
formulary submissions by pharmaceutical manufacturers. In the last few months,
however, there have been a number of questions raised as to whether or not
guidelines for economic evaluations in such submissions are consistent with the
standards of normal science. Do they require those making the submission to put
their claims in the form of testable hypotheses that can support falsification
and replication? The purpose of this commentary is to consider whether the 2014
guidelines meet these standards. The assessment presented argues that the
guidelines do not meet the standards of normal science. Instead, from this
perspective, they are best characterized as na domhain shamhlaiochta (imaginary
or false worlds). There is no requirement in the guidelines for claims to be
expressed as testable propositions, as hypotheses for expected impact that can be
evaluated and the outcomes reported as part of ongoing disease area and
therapeutic class reviews. The commentary concludes with suggestions for a
reworking of the guidelines to meet the standards of normal science.
PMID- 27181030
TI - The validity of dysthymia to predict clinical depressive symptoms as measured by
the Hamilton Depression Scale at the 5-year follow-up of patients with first
episode depression.
AB - BACKGROUND: In long-term follow-up studies on depression, the Eysenck Neuroticism
Scale (ENS) at the score level of dysthymia has been found to be valid at
predicting poor outcome. AIMS: The ENS dysthymia level was compared with the Beck
Depression Inventory (BDI) level to predict the prevalence of depressive symptoms
at the 5-year follow-up of patients initially diagnosed with first episode
depression using the Hamilton Depression Scale (HAM-D) to express depressive
symptoms. METHODS: A total of 301 in- or outpatients aged 18-70 years with a
recent single depressive episode were assessed by ENS, BDI, and HAM-D from 2005
2007. At 5-year follow-up from 2011-2013, the participants were re-assessed by
HAM-D. The HAM-D was used to measure depressive symptoms at the 5-year follow-up.
The Mokken analysis was used to indicate scalability of the BDI and ENS. RESULTS:
A total of 185 participants were available for the psychometric analysis of the
ESN and BDI, and the scalability was found acceptable. In total, 99 patients were
available for the predictive analysis. Both the ENS and the BDI were
significantly associated with depressive symptoms (HAM-D17 >= 8) at the 5-year
follow-up (p < 0.05). CONCLUSION: Dysthymia as measured by the two self-rating
scales ENS and BDI can be considered part of a 'double depression' in patients
with first episode depression, implying an existence of depressive symptoms at
the 5-year follow-up. CLINICAL IMPLICATIONS: Evaluation of dysthymia or
neuroticism is important to perform, even in patients with first episode
depression, in order to identify 'double depression'.
PMID- 27181032
TI - Multiplexed labeling system for high-throughput cell sorting.
AB - Flow cytometry and fluorescence activated cell sorting techniques were designed
to realize configurable classification and separation of target cells. A number
of cell phenotypes with different functionalities have recently been revealed.
Before simultaneous selective capture of cells, it is desirable to label
different samples with the corresponding dyes in a multiplexing manner to allow
for a single analysis. However, few methods to obtain multiple fluorescent colors
for various cell types have been developed. Even when restricted laser sources
are employed, a small number of color codes can be expressed simultaneously. In
this study, we demonstrate the ability to manifest DNA nanostructure-based
multifluorescent colors formed by a complex of dyes. Highly precise self-assembly
of fluorescent dye-conjugated oligonucleotides gives anisotropic DNA
nanostructures, Y- and tree-shaped DNA (Y-DNA and T-DNA, respectively), which may
be used as platforms for fluorescent codes. As a proof of concept, we have
demonstrated seven different fluorescent codes with only two different
fluorescent dyes using T-DNA. This method provides maximum efficiency for current
flow cytometry. We are confident that this system will provide highly efficient
multiplexed fluorescent detection for bioanalysis compared with one-to-one
fluorescent correspondence for specific marker detection.
PMID- 27181034
TI - Putting the cart before the horse: A cost effectiveness analysis of treatments
for stuttering in young children requires evidence that the treatments analyzed
were effective.
AB - PURPOSE: To investigate the validity of findings from a recent study reported in
this journal by de Sonneville-Koedoot, Bouwmans, Franken, and Stolk (2015) on the
cost effectiveness of two programs for treating young children who stutter.
METHODS: The de Sonneville-Koedoot, Bouwmans et al. study was based directly on
the results obtained in an earlier study, known as the RESTART-study, which
compared the outcomes from the Lidcombe Program and a Demands and Capacities
Model program. The methodology of the RESTART-study was critically reviewed.
RESULTS: The absence of an untreated control group in the RESTART-study makes the
results of that study uninterpretable. An inappropriate comparison made with the
Yairi and Ambrose (2005) Illinois Study findings failed to resolve the control
group problem. Furthermore, the criteria used to classify treated children as
"non-stuttering" was also shown to be confounded. The foregoing problems meant
that neither treatment program could be shown to be more effective than no
treatment. CONCLUSION: de Sonneville-Koedoot, Bouwmans et al's findings, which
compared the cost effectiveness of two treatments for young children who stutter,
have no value for clinical management because the treatments investigated were
not shown to be more effective than no treatment.
PMID- 27181036
TI - Failing Left Ventricles Have an Enhanced Post-Stimulation Potentiation Despite
Their Impaired Force Frequency Relationship.
AB - The left ventricular contractile force (LV dP/dtmax) of patients with left
ventricular systolic dysfunction does not increase effectively with an increase
in heart rate. In other words, their force-frequency relationship (FFR) is
impaired. However, it is unknown whether a longer coupling interval subsequent to
tachycardia causes a stronger contraction (poststimulation potentiation, PSP) in
a rate-dependent manner.In 16 patients with idiopathic dilated cardiomyopathy
(DCM) (48 +/- 2 years old, LVEF 30 +/- 10%) and 6 control patients (58 +/- 4
years old, LVEF 70 +/- 7%), FFR was assessed by right atrial pacing using a micro
manometer-tipped catheter. At each pacing rate, the increase of LV dP/dtmax over
basal LV dP/dt (DeltaFFR) and the increase of LV dP/dtmax of the first beat after
pacing cessation over LV dP/dtmax during pacing (DeltaPSP) were
evaluated.Patients with DCM had smaller LV dP/dtmax at baseline (872 +/- 251
versus 1370 +/- 123 mmHg/second, P = 0.0002) and developed smaller DeltaFFR (eg,
at 120/minute, 77 +/- 143 versus 331 +/- 131 mmHg/second, P = 0.0011). In
contrast, they showed a rate-dependent increase of LV dP/dtmax of PSP and had
greater DeltaPSP (eg, at 120/minute, 294 +/- 173 versus -152 +/- 131 mmHg/second,
P < 0.0001).Failing left ventricles develop little contractile force during
tachycardia despite their rate-dependent enhancement in post-stimulation
potentiation, suggesting that refractoriness of contractile force underlies
impaired FFR.
PMID- 27181035
TI - Coral Sr/Ca-based sea surface temperature and air temperature variability from
the inshore and offshore corals in the Seribu Islands, Indonesia.
AB - The ability of massive Porites corals to faithfully record temperature is
assessed. Porites corals from Kepulauan Seribu were sampled from one inshore and
one offshore site and analyzed for their Sr/Ca variation. The results show that
Sr/Ca of the offshore coral tracked SST, while Sr/Ca variation of the inshore
coral tracked ambient air temperature. In particular, the inshore SST variation
is related to air temperature anomalies of the urban center of Jakarta. The
latter we relate to air-sea interactions modifying inshore SST associated with
the land-sea breeze mechanism and/or monsoonal circulation. The correlation
pattern of monthly coral Sr/Ca with the Nino3.4 index and SEIO-SST reveals that
corals in the Seribu islands region respond differently to remote forcing. An
opposite response is observed for inshore and offshore corals in response to El
Nino onset, yet similar to El Nino mature phase (December to February). SEIO SSTs
co-vary strongly with SST and air temperature variability across the Seribu
island reef complex. The results of this study clearly indicate that locations of
coral proxy record in Indonesia need to be chosen carefully in order to identify
the seasonal climate response to local and remote climate and anthropogenic
forcing.
PMID- 27181033
TI - alpha-Amanitin Restrains Cancer Relapse from Drug-Tolerant Cell Subpopulations
via TAF15.
AB - Cancer relapse occurs with substantial frequency even after treatment with
curative intent. Here we studied drug-tolerant colonies (DTCs), which are
subpopulations of cancer cells that survive in the presence of drugs. Proteomic
characterization of DTCs identified stemness- and epithelial-dominant
subpopulations, but functional screening suggested that DTC formation was
regulated at the transcriptional level independent from protein expression
patterns. We consistently found that alpha-amanitin, an RNA polymerase II
(RNAPII) inhibitor, effectively inhibited DTCs by suppressing TAF15 expression,
which binds to RNA to modulate transcription and RNA processing. Sequential
administration of alpha-amanitin and cisplatin extended overall survival in a
cancer-relapse mouse model, namely peritonitis carcinomatosa. Therefore, post
treatment cancer relapse may occur through non-distinct subpopulations and may be
effectively prevented by alpha-amanitin to disrupt transcriptional machinery,
including TAF15.
PMID- 27181037
TI - Reduction of Fluoroscopic Exposure Using a New Fluoroscopy Integrating Technology
in a 3D-Mapping System During Pulmonary Vein Isolation With a Circular Multipolar
Irrigated Catheter.
AB - Pulmonary vein isolation (PVI) is a cornerstone therapy in patients with atrial
fibrillation (AF). With increasing numbers of PVI procedures, demand arises to
reduce the cumulative fluoroscopic radiation exposure for both the physician and
the patient. New technologies are emerging to address this issue. Here, we report
our first experiences with a new fluoroscopy integrating technology in addition
to a current 3D-mapping system. The new fluoroscopy integrating system (FIS) with
3D-mapping was used prospectively in 15 patients with AF. Control PVI cases (n =
37) were collected retrospectively as a complete series. Total procedure time
(skin to skin), fluoroscopic time, and dose-area-product (DAP) data were
analyzed. All PVI procedures were performed by one experienced physician using a
commercially available circular multipolar irrigated ablation catheter. All PVI
procedures were successfully undertaken without major complications. Baseline
characteristics of the two groups showed no significant differences. In the group
using the FIS, the fluoroscopic time and DAP were significantly reduced from 571
+/- 187 seconds versus 1011 +/- 527 seconds (P = 0.0029) and 4342 +/- 2073
cGycm(2) versus 6208 +/- 3314 cGycm(2) (P = 0.049), respectively. Mean procedure
time was not significantly affected and was 114 +/- 31 minutes versus 104 +/- 24
minutes (P = 0.23) by the FIS.The use of the new FIS with the current 3D-mapping
system enables a significant reduction of the total fluoroscopy time and DAP
compared to the previous combination of 3D-mapping system plus normal fluoroscopy
during PVI utilizing a circular multipolar irrigated ablation catheter. However,
the concomitant total procedure time is not affected. Thus, the new system
reduces the radiation exposure for both the physicians and patients.
PMID- 27181038
TI - Efficacy and Limitations of Tachycardia Detection Interval Guided Reprogramming
for Reduction of Inappropriate Shock in Implantable Cardioverter-Defibrillator
Patients.
AB - The avoidance of inappropriate shock therapy is an important clinical issue in
implantable cardioverter-defibrillator (ICD) patients. We retrospectively
analyzed therapeutic events in ICD patients, and the effect of tachycardia
detection interval (TDI) and tachycardia cycle length (TCL) guided reprograming
on the reduction of inappropriate ICD therapy. The clinical determinants of after
reprogramming were also evaluated.A total of 254 consecutive ICD patients were
included in the study, and the incidence of antitachycardia therapy was evaluated
during the follow-up period of 27.3 +/- 18.7 months. When inappropriate
antitachycardia therapy appeared, TDI was reprogrammed not to exceed the detected
TCL and the patients continued to be followed-up. Various clinical parameters
were compared between patients with and without inappropriate ICD therapy. During
the initial follow-up period of 18.6 +/- 15.6 months, ICD therapy occurred in
127/254 patients (50%) including inappropriate antitachycardia pacing (ATP)
(12.9%) and shock (44.35%). Determinants of initial inappropriate therapy were
dilated cardiomyopathy (DCM), history of therapeutic hypothermia, and QRS
duration. Of the 61 patients with inappropriate therapy, 24 received TCL guided
reprogramming. During the additional observation period of 17.0 +/- 16.8 months,
inappropriate therapy recurred in 5/24 patients (2 ATP, 3 shocks). The
determinant of these inappropriate therapy events after reprogramming was the
presence of supraventricular tachycardia.By applying simple TCL and TDI guided
reprogramming, inappropriate therapy was reduced by 79%. The determinant of
inappropriate therapy after reprogramming was the presence of supraventricular
tachycardia.
PMID- 27181039
TI - Bilateral Subclavian Vein Occlusion in a SAPHO Syndrome Patient Who Needed an
Implantable Cardioverter Defibrillator.
AB - A 79-year-old Asian man was hospitalized because of progressive exertional
dyspnea with decreasing left ventricular ejection fraction and frequent non
sustained ventricular tachycardia. Pre-procedure venography for implantable
cardioverter defibrillator (ICD) implantation showed occlusion of the bilateral
subclavian veins. In consideration of subcutaneous humps in the sterno-clavicular
area and palmoplantar pustulosis, we diagnosed him as having synovitis, acne,
pustulosis, hyperostosis, osteitis (SAPHO) syndrome and speculated that it
induced peri-osteal chronic inflammation in the sterno-clavicular area, resulting
in occlusion of the adjacent bilateral subclavian veins. An automatic external
defibrillator (AED) was installed in the patient's house and total subcutaneous
ICD was considered. Venous thrombosis in SAPHO syndrome is not frequent but has
been reported. To the best of our knowledge, this is the first case of bilateral
subclavian vein occlusion in a SAPHO syndrome patient who needs ICD implantation.
PMID- 27181040
TI - Low-Level Vagus Nerve Stimulation Reverses Cardiac Dysfunction and Subcellular
Calcium Handling in Rats With Post-Myocardial Infarction Heart Failure.
AB - Vagus nerve stimulation (VNS), targeting the imbalanced autonomic nervous system,
is a promising therapeutic approach for chronic heart failure (HF). Moreover,
calcium cycling is an important part of cardiac excitation-contraction coupling
(ECC), which also participates in the antiarrhythmic effects of VNS. We
hypothesized that low-level VNS (LL-VNS) could improve cardiac function by
regulation of intracellular calcium handling properties. The experimental HF
model was established by ligation of the left anterior descending coronary artery
(LAD). Thirty-two male Sprague-Dawley rats were divided into 3 groups as follows;
control group (sham operated without coronary ligation, n = 10), HF-VNS group (HF
rats with VNS, n = 12), and HF-SS group (HF rats with sham nerve stimulation, n =
10). After 8 weeks of treatment, LL-VNS significantly improved left ventricular
ejection fraction (LVEF) and attenuated myocardial interstitial fibrosis in the
HF-VNS group compared with the HF-SS group. Elevated plasma norepinephrine and
dopamine, but not epinephrine, were partially reduced by LL-VNS. Additionally, LL
VNS restored the protein and mRNA levels of sarcoplasmic reticulum Ca(2+) ATPase
(SERCA2a), Na(+)-Ca(2+) exchanger 1 (NCX1), and phospholamban (PLB) whereas the
expression of ryanodine receptor 2 (RyR2) as well as mRNA level was unaffected.
Thus, our study results suggest that the improvement of cardiac performance by LL
VNS is accompanied by the reversal of dysfunctional calcium handling properties
including SERCA2a, NCX1, and PLB which may be a potential molecular mechanism of
VNS for HF.
PMID- 27181041
TI - Everolimus-Incorporated Therapy Reduces Myocardial Hypertrophy in Recipients of
Heart Transplantation.
PMID- 27181043
TI - Cardiac Troponin as a Specific and Non-Specific Biomarker for Cardiovascular
Events.
PMID- 27181042
TI - Pathophysiology and Management of Cardiovascular Manifestations in Marfan and
Loeys-Dietz Syndromes.
AB - Marfan syndrome (MFS) is an autosomal dominant heritable disorder of connective
tissue that affects the cardiovascular, skeletal, ocular, pulmonary, and nervous
systems and is usually caused by mutations in the FBN1 gene, which encodes
fibrillin-1. MFS is traditionally considered to result from the structural
weakness of connective tissue. However, recent investigations on molecular
mechanisms indicate that increased transforming growth factor-beta (TGF-beta)
activity plays a crucial role in the pathogenesis of MFS and related disorders,
such as Loeys-Dietz syndrome (LDS), which is caused by mutation in TGF-beta
signaling-related genes. In addition, recent studies show that angiotensin II
type 1 receptor (AT1R) signaling enhances cardiovascular pathologies in MFS, and
the angiotensin II receptor blocker losartan has the potential to inhibit aortic
aneurysm formation. However, the relationship between TGF-beta and AT1R signaling
pathways remains poorly characterized. In this review, we discuss the recent
studies on the molecular mechanisms underlying cardiovascular manifestations of
MFS and LDS and the ensuing strategies for management.
PMID- 27181044
TI - Does Mechanical Stress Regulate the Angiogenic Profile of Endothelial Progenitor
Cells?
PMID- 27181047
TI - Prevalence of neoplasms in definite and probable mitochondrial disorders.
AB - There are some indications that the prevalence of benign and malign neoplasms is
increased in patients with a mitochondrial disorder (MID). This study aimed at
calculating the prevalence of malign and benign neoplasms in MID patients
compared to the general population. Among 103 adult patients with definite or
probable MID 16 had a malignancy (15.5%) and 11 (10.7%) a benign neoplasm. Four
patients had thyroid cancer, three patients had prostate cancer, two patients
each colon cancer, or ovarian cancer, and one each lung cancer, basalioma, Paget
carcinoma of the skin, Bowen disease, renal cancer, and urinary bladder cancer.
One patient had two carcinomas. Five patients had lipomas, two thyroid adenoma,
and one each meningeoma, ovarian adenoma, hemangioma of the liver, and pituitary
adenoma. Compared to the general population, the prevalence of malignancies was 3
4 fold increased in definite and probable MIDs. Compared to a cohort of myotonic
dystrophy type-1 patients, the prevalence was 1.4 fold increased. In conclusion,
adult MID patients seem to carry an increased risk to develop malignancy or a
benign neoplasm. Females with a MID seem to be predominantly at risk to develop a
neoplasm.
PMID- 27181045
TI - Contribution of Candida biomarkers and DNA detection for the diagnosis of
invasive candidiasis in ICU patients with severe abdominal conditions.
AB - BACKGROUND: To assess the performance of Candida albicans germ tube antibody
(CAGTA), (1 -> 3)-beta-D-glucan (BDG), mannan antigen (mannan-Ag), anti-mannan
antibodies (mannan-Ab), and Candida DNA for diagnosing invasive candidiasis (IC)
in ICU patients with severe abdominal conditions (SAC). METHODS: A prospective
study of 233 non-neutropenic patients with SAC on ICU admission and expected stay
>= 7 days. CAGTA (cutoff positivity >= 1/160), BDG (>=80, 100 and 200 pg/mL),
mannan-Ag (>=60 pg/mL), mannan-Ab (>=10 UA/mL) were measured twice a week, and
Candida DNA only in patients treated with systemic antifungals. IC diagnosis
required positivities of two biomarkers in a single sample or positivities of any
biomarker in two consecutive samples. Patients were classified as neither
colonized nor infected (n = 48), Candida spp. colonization (n = 154) (low-grade,
n = 130; high-grade, n = 24), and IC (n = 31) (intra-abdominal candidiasis, n =
20; candidemia, n = 11). RESULTS: The combination of CAGTA and BDG positivities
in a single sample or at least one of the two biomarkers positive in two
consecutive samples showed 90.3 % (95 % CI 74.2-98.0) sensitivity, 42.1 % (95 %
CI 35.2-98.8) specificity, and 96.6 % (95 % CI 90.5-98.8) negative predictive
value. BDG positivities in two consecutive samples had 76.7 % (95 % CI 57.7-90.1)
sensitivity and 57.2 % (95 % CI 49.9-64.3) specificity. Mannan-Ag, mannan-Ab, and
Candida DNA individually or combined showed a low discriminating capacity.
CONCLUSIONS: Positive Candida albicans germ tube antibody and (1 -> 3)-beta-D
glucan in a single blood sample or (1 -> 3)-beta-D-glucan positivity in two
consecutive blood samples allowed discriminating invasive candidiasis from
Candida spp. colonization in critically ill patients with severe abdominal
conditions. These findings may be helpful to tailor empirical antifungal therapy
in this patient population.
PMID- 27181046
TI - Analysis of Mitochondrial haemoglobin in Parkinson's disease brain.
AB - Mitochondrial dysfunction is an early feature of neurodegeneration. We have shown
there are mitochondrial haemoglobin changes with age and neurodegeneration. We
hypothesised that altered physiological processes are associated with recruitment
and localisation of haemoglobin to these organelles. To confirm a dynamic
localisation of haemoglobin we exposed Drosophila melanogaster to cyclical
hypoxia with recovery. With a single cycle of hypoxia and recovery we found a
relative accumulation of haemoglobin in the mitochondria compared with the
cytosol. An additional cycle of hypoxia and recovery led to a significant
increase of mitochondrial haemoglobin (p<0.05). We quantified ratios of human
mitochondrial haemoglobin in 30 Parkinson's and matched control human post-mortem
brains. Relative mitochondrial/cytosolic quantities of haemoglobin were obtained
for the cortical region, substantia nigra and cerebellum. In age matched post
mortem brain mitochondrial haemoglobin ratios change, decreasing with disease
duration in female cerebellum samples (n=7). The change is less discernible in
male cerebellum (n=18). In cerebellar mitochondria, haemoglobin localisation in
males with long disease duration shifts from the intermembrane space to the outer
membrane of the organelle. These new data illustrate dynamic localisation of
mitochondrial haemoglobin within the cell. Mitochondrial haemoglobin should be
considered in the context of gender differences characterised in Parkinson's
disease. It has been postulated that cerebellar circuitry may be activated to
play a protective role in individuals with Parkinson's. The changing localisation
of intracellular haemoglobin in response to hypoxia presents a novel pathway to
delineate the role of the cerebellum in Parkinson's disease.
PMID- 27181048
TI - Accurate quantification of mouse mitochondrial DNA without co-amplification of
nuclear mitochondrial insertion sequences.
AB - BACKGROUND: Mitochondria contain an extra-nuclear genome in the form of
mitochondrial DNA (MtDNA), damage to which can lead to inflammation and
bioenergetic deficit. Changes in MtDNA levels are increasingly used as a
biomarker of mitochondrial dysfunction. We previously reported that in humans,
fragments in the nuclear genome known as nuclear mitochondrial insertion
sequences (NumtS) affect accurate quantification of MtDNA. In the current paper
our aim was to determine whether mouse NumtS affect the quantification of MtDNA
and to establish a method designed to avoid this. METHODS: The existence of NumtS
in the mouse genome was confirmed using blast N, unique MtDNA regions were
identified using FASTA, and MtDNA primers which do not co-amplify NumtS were
designed and tested. MtDNA copy numbers were determined in a range of mouse
tissues as the ratio of the mitochondrial and nuclear genome using real time qPCR
and absolute quantification. RESULTS: Approximately 95% of mouse MtDNA was
duplicated in the nuclear genome as NumtS which were located in 15 out of 21
chromosomes. A unique region was identified and primers flanking this region were
used. MtDNA levels differed significantly in mouse tissues being the highest in
the heart, with levels in descending order (highest to lowest) in kidney, liver,
blood, brain, islets and lung. CONCLUSION: The presence of NumtS in the nuclear
genome of mouse could lead to erroneous data when studying MtDNA content or
mutation. The unique primers described here will allow accurate quantification of
MtDNA content in mouse models without co-amplification of NumtS.
PMID- 27181050
TI - Role and modulation of drug transporters in HIV-1 therapy.
AB - Current treatment of human immunodeficiency virus type-1 (HIV-1) infection
involves a combination of antiretroviral drugs (ARVs) that target different
stages of the HIV-1 life cycle. This strategy is commonly referred to as highly
active antiretroviral therapy (HAART) or combined antiretroviral therapy (cART).
Membrane-associated drug transporters expressed ubiquitously in mammalian systems
play a crucial role in modulating ARV disposition during HIV-1 infection. Members
of the ATP-binding cassette (ABC) and solute carrier (SLC) transporter
superfamilies have been shown to interact with ARVs, including those that are
used as part of first-line treatment regimens. As a result, the functional
expression of drug transporters can influence the distribution of ARVs at
specific sites of infection. In addition, pathological factors related to HIV-1
infection and/or ARV therapy itself can alter transporter expression and
activity, thus further contributing to changes in ARV disposition and the
effectiveness of HAART. This review summarizes current knowledge on the role of
drug transporters in regulating ARV transport in the context of HIV-1 infection.
PMID- 27181049
TI - CD23 can negatively regulate B-cell receptor signaling.
AB - CD23 has been implicated as a negative regulator of IgE and IgG antibody
responses. However, whether CD23 has any role in B-cell activation remains
unclear. We examined the expression of CD23 in different subsets of peripheral B
cells and the impact of CD23 expression on the early events of B-cell receptor
(BCR) activation using CD23 knockout (KO) mice. We found that in addition to
marginal zone B cells, mature follicular B cells significantly down regulate the
surface expression level of CD23 after undergoing isotype switch and memory B
cell differentiation. Upon stimulation with membrane-associated antigen, CD23 KO
causes significant increases in the area of B cells contacting the antigen
presenting membrane and the magnitude of BCR clustering. This enhanced cell
spreading and BCR clustering is concurrent with increases in the levels of
phosphorylation of tyrosine and Btk, as well as the levels of F-actin and
phosphorylated Wiskott Aldrich syndrome protein, an actin nucleation promoting
factor, in the contract zone of CD23 KO B cells. These results reveal a role of
CD23 in the negative regulation of BCR signaling in the absence of IgE immune
complex and suggest that CD23 down-regulates BCR signaling by influencing actin
mediated BCR clustering and B-cell morphological changes.
PMID- 27181051
TI - Ces3/TGH Deficiency Attenuates Steatohepatitis.
AB - Nonalcoholic fatty liver disease (NAFLD) is the most common form of chronic liver
disease in developed countries. NAFLD describes a wide range of liver pathologies
from simple steatosis to nonalcoholic steatohepatitis (NASH) and cirrhosis. NASH
is distinguished from simple steatosis by inflammation, cell death and fibrosis.
In this study we found that mice lacking triacylglycerol hydrolase (TGH, also
known as carboxylesterase 3 or carboxylesterase 1d) are protected from high-fat
diet (HFD) - induced hepatic steatosis via decreased lipogenesis, increased fatty
acid oxidation and improved hepatic insulin sensitivity. To examine the effect of
the loss of TGH function on the more severe NAFLD form NASH, we ablated Tgh
expression in two independent NASH mouse models, Pemt(-/-) mice fed HFD and Ldlr(
/-) mice fed high-fat, high-cholesterol Western-type diet (WTD). TGH deficiency
reduced liver inflammation, oxidative stress and fibrosis in Pemt(-/-) mice. TGH
deficiency also decreased NASH in Ldlr(-/-) mice. Collectively, these findings
indicate that TGH deficiency attenuated both simple hepatic steatosis and
irreversible NASH.
PMID- 27181052
TI - Are there any differences in mandibular morphology of patients with
bisphosphonate-related osteonecrosis of jaws?: a case-control study.
AB - OBJECTIVES: The aim of this study was to compare the morphological differences in
the mandible between patients with bisphosphonate-related osteonecrosis of the
jaw (BRONJ) and healthy individuals and to detect the correlation between these
parameters on panoramic radiography and CBCT. METHODS: The CBCT and panoramic
images of patients with BRONJ (n = 32) and control groups (n = 32) were included
in the study. All the comparisons were analyzed between the osteonecrosed and
healthy sides of patients with BRONJ and control group. The panoramic radiographs
were used to measure the values of the condyle angle, gonial angle, antegonial
angle, antegonial depth, condylar height and ramal height. The mandibular
cortical index (MCI) and bone quality index (BQI) were also examined on cross
sectional scans of CBCT images. RESULTS: There were significant differences in
the MCI (p = 0.014) and BQI (p = 0.021) between the left and right side of the
BRONJ group and also between the osteonecrosed side of the BRONJ and control
group (p < 0.0001). No significant difference was found in other comparisons.
CONCLUSIONS: The outcomes of the present study indicate that bisphosphonates
influenced some internal morphological changes in the mandible. These changes may
be a reason of BRONJ. But, these changes are not reflective of the measured
values obtained using panoramic radiographs on the external morphology of the
mandible.
PMID- 27181054
TI - A sobering reminder that heavy drinking and heart failure do not mix.
PMID- 27181053
TI - Infectiousness of HIV-Seropositive Patients with Tuberculosis in a High-Burden
African Setting.
AB - RATIONALE: Policy recommendations on contact investigation of HIV-seropositive
patients with tuberculosis have changed several times. Current epidemiologic
evidence informing these recommendations is considered low quality, and few large
studies investigating the infectiousness of HIV-seropositive and -seronegative
index cases have been performed in sub-Saharan Africa. OBJECTIVES: We assessed
the infectiousness of HIV-seropositive and -seronegative patients with
tuberculosis to their household contacts and examined potential modifiers of this
relationship. METHODS: Adults suffering from their first episode of pulmonary
tuberculosis were identified in Kampala, Uganda. Field workers visited index
households and enrolled consenting household contacts. Latent tuberculosis
infection was measured through tuberculin skin testing, and relative risks were
calculated using modified Poisson regression models. Standard assessments of
interaction between latent tuberculosis infection, the HIV serostatus of index
cases, and other variables were performed. MEASUREMENTS AND MAIN RESULTS: Latent
tuberculosis infection was found in 577 of 878 (65.7%) and 717 of 974 (73.6%)
household contacts of HIV-seropositive and -seronegative tuberculosis cases
(relative risk, 0.89; 95% confidence interval, 0.82-0.97). On further
stratification, cavitary lung disease (P < 0.0001 for interaction) and smear
status (P = 0.02 for interaction) of tuberculosis cases modified the
infectiousness of HIV-seropositive indexes. Cough duration of index cases did not
display interaction (P = 0.499 for interaction). CONCLUSIONS: This study suggests
that HIV-seropositive tuberculosis cases may be less infectious than HIV
seronegative patients only when they are smear-negative or lack cavitary lung
disease. These results may explain heterogeneity between prior studies and
provide evidence suggesting that tuberculosis contact investigation should
include HIV-seropositive index cases in high disease burden settings.
PMID- 27181055
TI - Saliva ferning, an unorthodox estrus detection method in water buffaloes (Bubalus
bubalis).
AB - Estrus detection is a major problem in buffalo husbandry because of inconsistent
expression of estrous signs at different seasons, and a high prevalence of the
silent heat and postpartum anestrus in this species. Around 50% of the estrus
events in buffaloes are currently undetected in the field conditions, resulting
in a huge economic loss. Although the cervicovaginal fluid fern patterns confirm
the estrus for a breeding decision, the fluid discharge is absent during the
silent-heat condition. Therefore, the present study focused on the
crystallization patterns of the saliva as an alternative method for estrus
detection in buffaloes. Saliva is a body fluid available regularly, and its
ferning ability before ovulation was established in women. In this study, eight
female nonpregnant Murrah buffaloes (Bubalus bubalis) were considered during two
experimental periods of 3 months each. One period was in summer with five
animals, and another period was in rainy season with three animals. Estrus was
determined by the estrus symptoms, ovarian ultrasonography, and salivary
estradiol (E2) to progesterone (P4) ratio. A total of 450 saliva samples were
collected from these animals on the daily basis. The salivary smear was prepared
with 20 MUL of the cell-free saliva on a clean glass slide, and its microscopic
images were captured at a magnification of * 200. The images were used for
fractal analysis as the salivary crystallization or fern patterns follow the
fractal geometry. Saliva at estrus showed a typical symmetrical fern-like
crystallization patterns with significantly (P < 0.05) lower fractal dimension
values. Salivary estradiol levels and E2/P4 ratio were significantly (P < 0.05)
higher at the estrus stage than those at the diestrus stage. An average period of
an estrous cycle was 21.7 +/- 2.7 days (n = 18 estrous cycles) in buffaloes on
the basis of distinct salivary crystallization patterns. The proportion of estrus
detection by the salivary fern patterns was very significantly (P < 0.01) higher
(0.84) than the proportion of estrus detection (0.5) in the field conditions.
Altogether, salivary fern patterns along with the current methods can help reduce
estrus detection problem in buffaloes.
PMID- 27181056
TI - Quantum versus simulated annealing in wireless interference network optimization.
AB - Quantum annealing (QA) serves as a specialized optimizer that is able to solve
many NP-hard problems and that is believed to have a theoretical advantage over
simulated annealing (SA) via quantum tunneling. With the introduction of the D
Wave programmable quantum annealer, a considerable amount of effort has been
devoted to detect and quantify quantum speedup. While the debate over speedup
remains inconclusive as of now, instead of attempting to show general quantum
advantage, here, we focus on a novel real-world application of D-Wave in wireless
networking-more specifically, the scheduling of the activation of the air-links
for maximum throughput subject to interference avoidance near network nodes. In
addition, D-Wave implementation is made error insensitive by a novel Hamiltonian
extra penalty weight adjustment that enlarges the gap and substantially reduces
the occurrence of interference violations resulting from inevitable spin bias and
coupling errors. The major result of this paper is that quantum annealing
benefits more than simulated annealing from this gap expansion process, both in
terms of ST99 speedup and network queue occupancy. It is the hope that this could
become a real-word application niche where potential benefits of quantum
annealing could be objectively assessed.
PMID- 27181058
TI - Error Signals in Motor Cortices Drive Adaptation in Reaching.
AB - Reaching movements are subject to adaptation in response to errors induced by
prisms or external perturbations. Motor cortical circuits have been hypothesized
to provide execution errors that drive adaptation, but human imaging studies to
date have reported that execution errors are encoded in parietal association
areas. Thus, little evidence has been uncovered that supports the motor
hypothesis. Here, we show that both primary motor and premotor cortices encode
information on end-point errors in reaching. We further show that post-movement
microstimulation to these regions caused trial-by-trial increases in errors,
which subsided exponentially when the stimulation was terminated. The results
indicate for the first time that motor cortical circuits provide error signals
that drive trial-by-trial adaptation in reaching movements.
PMID- 27181057
TI - Improving classification of mature microRNA by solving class imbalance problem.
AB - MicroRNAs (miRNAs) are ~20-25 nucleotides non-coding RNAs, which regulated gene
expression in the post-transcriptional level. The accurate rate of identifying
the start sit of mature miRNA from a given pre-miRNA remains lower. It is noting
that the mature miRNA prediction is a class-imbalanced problem which also leads
to the unsatisfactory performance of these methods. We improved the prediction
accuracy of classifier using balanced datasets and presented MatFind which is
used for identifying 5' mature miRNAs candidates from their pre-miRNA based on
ensemble SVM classifiers with idea of adaboost. Firstly, the balanced-dataset was
extract based on K-nearest neighbor algorithm. Secondly, the multiple SVM
classifiers were trained in orderly using the balance datasets base on
represented features. At last, all SVM classifiers were combined together to form
the ensemble classifier. Our results on independent testing dataset show that the
proposed method is more efficient than one without treating class imbalance
problem. Moreover, MatFind achieves much higher classification accuracy than
other three approaches. The ensemble SVM classifiers and balanced-datasets can
solve the class-imbalanced problem, as well as improve performance of classifier
for mature miRNA identification. MatFind is an accurate and fast method for 5'
mature miRNA identification.
PMID- 27181060
TI - Adaptive Prediction Error Coding in the Human Midbrain and Striatum Facilitates
Behavioral Adaptation and Learning Efficiency.
AB - Effective error-driven learning benefits from scaling of prediction errors to
reward variability. Such behavioral adaptation may be facilitated by neurons
coding prediction errors relative to the standard deviation (SD) of reward
distributions. To investigate this hypothesis, we required participants to
predict the magnitude of upcoming reward drawn from distributions with different
SDs. After each prediction, participants received a reward, yielding trial-by
trial prediction errors. In line with the notion of adaptive coding, BOLD
response slopes in the Substantia Nigra/Ventral Tegmental Area (SN/VTA) and
ventral striatum were steeper for prediction errors occurring in distributions
with smaller SDs. SN/VTA adaptation was not instantaneous but developed across
trials. Adaptive prediction error coding was paralleled by behavioral adaptation,
as reflected by SD-dependent changes in learning rate. Crucially, increased
SN/VTA and ventral striatal adaptation was related to improved task performance.
These results suggest that adaptive coding facilitates behavioral adaptation and
supports efficient learning.
PMID- 27181059
TI - Circuit-wide Transcriptional Profiling Reveals Brain Region-Specific Gene
Networks Regulating Depression Susceptibility.
AB - Depression is a complex, heterogeneous disorder and a leading contributor to the
global burden of disease. Most previous research has focused on individual brain
regions and genes contributing to depression. However, emerging evidence in
humans and animal models suggests that dysregulated circuit function and gene
expression across multiple brain regions drive depressive phenotypes. Here, we
performed RNA sequencing on four brain regions from control animals and those
susceptible or resilient to chronic social defeat stress at multiple time points.
We employed an integrative network biology approach to identify transcriptional
networks and key driver genes that regulate susceptibility to depressive-like
symptoms. Further, we validated in vivo several key drivers and their associated
transcriptional networks that regulate depression susceptibility and confirmed
their functional significance at the levels of gene transcription, synaptic
regulation, and behavior. Our study reveals novel transcriptional networks that
control stress susceptibility and offers fundamentally new leads for
antidepressant drug discovery.
PMID- 27181064
TI - A new cost-effective and fast method of autologous fat grafting.
AB - Due to the increasing number of fat grafting procedures, several laboratories
have developed their own fat processing system (Puregraft((r)), LipiVage((r)),
Viafill((r)), etc.), such as closed harvesting systems, centrifugation or washing
and filtration devices, or even simple decantation techniques. However, all these
tissue-engineering systems are expensive. Our team has developed a simple and
fast autologous fat grafting system, useable even for a large volume of
lipofilling, and based on low-pressure suction and a sterile closed-system for
processing the harvested fat tissue. It is a cost-effective system, as it only
costs 9.28Eur (10.52USD) for a 500milliliters autologous fat graft procedure.
PMID- 27181061
TI - Dopamine Regulation of Lateral Inhibition between Striatal Neurons Gates the
Stimulant Actions of Cocaine.
AB - Striatal medium spiny neurons (MSNs) form inhibitory synapses on neighboring
striatal neurons through axon collaterals. The functional relevance of this
lateral inhibition and its regulation by dopamine remains elusive. We show that
synchronized stimulation of collateral transmission from multiple indirect
pathway MSNs (iMSNs) potently inhibits action potentials in direct-pathway MSNs
(dMSNs) in the nucleus accumbens. Dopamine D2 receptors (D2Rs) suppress lateral
inhibition from iMSNs to disinhibit dMSNs, which are known to facilitate
locomotion. Surprisingly, D2R inhibition of synaptic transmission was larger at
axon collaterals from iMSNs than their projections to the ventral pallidum.
Targeted deletion of D2Rs from iMSNs impaired cocaine's ability to suppress
lateral inhibition and increase locomotion. These impairments were rescued by
chemogenetic activation of Gi-signaling in iMSNs. These findings shed light on
the functional significance of lateral inhibition between MSNs and offer a novel
synaptic mechanism by which dopamine gates locomotion and cocaine exerts its
canonical stimulant response. VIDEO ABSTRACT.
PMID- 27181062
TI - Melanopsin-Encoded Response Properties of Intrinsically Photosensitive Retinal
Ganglion Cells.
AB - Melanopsin photopigment expressed in intrinsically photosensitive retinal
ganglion cells (ipRGCs) plays a crucial role in the adaptation of mammals to
their ambient light environment through both image-forming and non-image-forming
visual responses. The ipRGCs are structurally and functionally distinct from
classical rod/cone photoreceptors and have unique properties, including single
photon response, long response latency, photon integration over time, and slow
deactivation. We discovered that amino acid sequence features of melanopsin
protein contribute to the functional properties of the ipRGCs. Phosphorylation of
a cluster of Ser/Thr residues in the C-terminal cytoplasmic region of melanopsin
contributes to deactivation, which in turn determines response latency and
threshold sensitivity of the ipRGCs. The poorly conserved region distal to the
phosphorylation cluster inhibits phosphorylation's functional role, thereby
constituting a unique delayed deactivation mechanism. Concerted action of both
regions sustains responses to dim light, allows for the integration of light over
time, and results in precise signal duration.
PMID- 27181065
TI - Pseudomonas aeruginosa elastase cleaves a C-terminal peptide from human thrombin
that inhibits host inflammatory responses.
AB - Pseudomonas aeruginosa is an opportunistic pathogen known for its immune evasive
abilities amongst others by degradation of a large variety of host proteins. Here
we show that digestion of thrombin by P. aeruginosa elastase leads to the release
of the C-terminal thrombin-derived peptide FYT21, which inhibits pro-inflammatory
responses to several pathogen-associated molecular patterns in vitro and in vivo
by preventing toll-like receptor dimerization and subsequent activation of down
stream signalling pathways. Thus, P. aeruginosa 'hijacks' an endogenous anti
inflammatory peptide-based mechanism, thereby enabling modulation and
circumvention of host responses.
PMID- 27181066
TI - Rapamycin reduces motivated responding for cocaine and alters GluA1 expression in
the ventral but not dorsal striatum.
AB - The mechanistic target of rapamycin complex 1 (mTORC1) regulates synaptic protein
synthesis and therefore synaptic function and plasticity. A role for mTORC1 has
recently been demonstrated for addiction-related behaviors. For example, central
or intra-accumbal injections of the mTORC1 inhibitor rapamycin attenuates several
indices of cocaine-seeking including progressive ratio (PR) responding and
reinstatement. These behavioral effects are associated with decreased mTORC1
activity and synaptic protein translation in the nucleus accumbens (NAC) and
point to a possible therapeutic role for rapamycin in the treatment of addiction.
Currently, it is unclear whether similar behavioral and biochemical effects can
be achieved by administering rapamycin systemically, which represents a more
clinically-appropriate route of administration. Here, we assessed the effects of
repeated, systemic administration of rapamycin (10mg/kg, i.p.) on PR responding
for cocaine. We also assessed whether systemic rapamycin was associated with
changes in measures of mTORC1 activity and GluA1 expression in the ventral and
dorsal striatum. We report that systemic rapamycin treatment reduced PR
breakpoints to levels comparable to intra-NAC rapamycin. Systemic rapamycin
treatment also reduced phosphorylated p70S6K and GluA1 AMPARs within the NAC but
not dorsal striatum. Thus, systemic administration of rapamycin is as effective
at reducing drug seeking behavior and measures of mTORC1 activity compared to
direct accumbal application and may therefore represent a possible therapeutic
option in the treatment of addiction. Possible caveats of this treatment approach
are discussed.
PMID- 27181063
TI - Comprehensive genomic analysis reveals FLT3 activation and a therapeutic strategy
for a patient with relapsed adult B-lymphoblastic leukemia.
AB - The genomic events responsible for the pathogenesis of relapsed adult B
lymphoblastic leukemia (B-ALL) are not yet clear. We performed integrative
analysis of whole-genome, whole-exome, custom capture, whole-transcriptome (RNA
seq), and locus-specific genomic assays across nine time points from a patient
with primary de novo B-ALL. Comprehensive genome and transcriptome
characterization revealed a dramatic tumor evolution during progression, yielding
a tumor with complex clonal architecture at second relapse. We observed and
validated point mutations in EP300 and NF1, a highly expressed EP300-ZNF384 gene
fusion, a microdeletion in IKZF1, a focal deletion affecting SETD2, and large
deletions affecting RB1, PAX5, NF1, and ETV6. Although the genome analysis
revealed events of potential biological relevance, no clinically actionable
treatment options were evident at the time of the second relapse. However,
transcriptome analysis identified aberrant overexpression of the targetable
protein kinase encoded by the FLT3 gene. Although the patient had refractory
disease after salvage therapy for the second relapse, treatment with the FLT3
inhibitor sunitinib rapidly induced a near complete molecular response,
permitting the patient to proceed to a matched-unrelated donor stem cell
transplantation. The patient remains in complete remission more than 4 years
later. Analysis of this patient's relapse genome revealed an unexpected,
actionable therapeutic target that led to a specific therapy associated with a
rapid clinical response. For some patients with relapsed or refractory cancers,
this approach may indicate a novel therapeutic intervention that could alter
outcome.
PMID- 27181067
TI - Loading of Gemcitabine on chitosan magnetic nanoparticles increases the anti
cancer efficacy of the drug.
AB - Targeted delivery of anti-cancer drugs increase the efficacy, while decreasing
adverse effects. Among various delivery systems, chitosan coated iron oxide
nanoparticles (CsMNPs) gained attention with their biocompatibility,
biodegradability, low toxicity and targetability under magnetic field. This study
aimed to increase the cellular uptake and efficacy of Gemcitabine. CsMNPs were
synthesized by in situ co-precipitation and Gemcitabine was loaded onto the
nanoparticles. Nanoparticle characterization was performed by TEM, FTIR, XPS, and
zeta potential. Gemcitabine release and stability was analyzed. The cellular
uptake was shown. Cytotoxicity of free-Gemcitabine and Gem-CsMNPs were examined
on SKBR and MCF-7 breast cancer cells by XTT assay. Gemcitabine loading was
optimized as 30uM by spectrophotometric analyses. Drug release was highest (65%)
at pH 4.2, while it was 8% at pH 7.2. This is a desired release characteristic
since pH of tumor-tissue and endosomes are acidic, while the blood-stream and
healthy-tissues are neutral. Peaks reflecting the presence of Gemcitabine were
observed in FTIR and XPS. At neutral pH, zeta potential increased after
Gemcitabine loading. TEM images displayed, Gem-CsMNPs were 4nm with uniform size
distribution and have spherical shape. The cellular uptake and targetability of
CsMNPs was studied on MCF-7 breast cancer cell lines. IC50 value of Gem-CsMNPs
was 1.4 fold and 2.6 fold lower than free-Gem on SKBR-3 and MCF-7 cell lines
respectively, indicating the increased efficacy of Gemcitabine when loaded onto
nanoparticles. Targetability by magnetic field, stability, size distribution,
cellular uptake and toxicity characteristics of CsMNPs in this study provides a
useful targeted delivery system for Gemcitabine in cancer therapy.
PMID- 27181068
TI - Protective effects of Celastrol on diethylnitrosamine-induced hepatocellular
carcinoma in rats and its mechanisms.
AB - Celastrol, an active ingredient of Tripterygium Wilfordii, is a traditional
Chinese medicinal herb, which has attracted interests for its potential anti
inflammatory and anti-cancer activities. The aim of this study was to evaluate
the anti-tumor effect of Celastrol against diethylnitrosamine (DEN)-induced
hepatocellular carcinoma (HCC) in rats and furthermore, to explore the underlying
mechanism. Sprague-Dawley rats were intragastrically administered with DEN
(10mg/kg) for 6 days every week and persisting 16 weeks. The number of nodules
was calculated. Hematoxylin-Eosin (HE) staining was used to evaluate the hepatic
pathological lesions. The levels of serum alanine aminotransferase (ALT),
glutamic oxalacetic transaminase (AST), alkaline phosphatase (ALP) and alpha
fetoprotein (AFP) were analyzed by Elisa kits, and the protein levels of p53,
Murine double minute (MDM) 2, Bax, Bcl-2, Bcl-xl, cytochrome C, Caspase-3,
Caspase-9 and Poly (ADP-ribose) polymerase (PARP) were analyzed by western blot.
The results showed that Celastrol could significantly decrease the mortality, the
number of tumor nodules and the index of liver in the Celastrol groups compared
with DEN-treated group. Moreover, Celastrol obviously improved the hepatic
pathological lesions and decreased the elevated levels of ALT, AST, ALP and AFP.
Meanwhile, Celastrol suppressed the expression of the protein MDM2, activated the
intrinsic mitochondrial apoptosis pathway induced by p53, inhibited anti
apoptotic Bcl-2 and Bcl-xl, induced the pro-apoptotic Bax, cytochrome C, PARP and
caspases. These results suggested that Celastrol had a good therapeutic action in
reversing DEN-induced HCC rats, which may be associated with the apoptosis of
hepatoma cells induced by Celastrol.
PMID- 27181069
TI - In vitro and in vivo corneal effects of latanoprost combined with brimonidine,
timolol, dorzolamide, or brinzolamide.
AB - To examine the relevance of concentration of benzalkonium chloride (BAK) on the
cornea, we investigated the effects of latanoprost containing BAK alone and in
combination with other antiglaucoma drug classes on corneal epithelium in vitro
in a cultured rabbit corneal cell line (SIRC) and in vivo, using a corneal
resistance device (CRD). [In vitro] staten's seruminstitut rabbit corneal cells
were exposed to 0.005% latanoprost for 30s, followed by either phosphate buffered
saline (control), 0.1% brimonidine, 0.5% timolol, 1% dorzolamide, or 1%
brinzolamide. The number of viable cells was counted at 8, 15, and 30min. [In
vivo] Albino rabbits were administered one drop of 0.005% latanoprost, followed
5min later by one drop of an agent from the in vitro trial. This was repeated
every 15min for a total of three times. The change in corneal barrier function
was assessed by measuring the corneal resistance at 2 and 30min after the final
administration. [In vitro] At 8min, the viable cell count in the
latanoprost+dorzolamide group was significantly lower than in the control group.
At 15 and 30min, all treatment groups, except the latanoprost+brimonidine group,
demonstrated significantly lower viable cell counts than the control group. [In
vivo] At 2min after the final eye drop, the latanoprost+timolol group and the
latanoprost+brinzolamide group demonstrated significantly lower corneal
resistance than did the latanoprost+brimonidine group. No significant difference
was observed between the agents at 30min. In conclusion, when combining
latanoprost containing benzalkonium chloride with other classes of antiglaucoma
drugs, brimonidine may cause the least corneal damage, and the number of drug
administrations may be an important factor.
PMID- 27181071
TI - [Unusual localisation of acquired digital fibrokeratoma].
PMID- 27181070
TI - Insulin degludec/insulin aspart in Japanese patients with type 1 diabetes
mellitus: Distinct prandial and basal glucose-lowering effects.
AB - AIMS/INTRODUCTION: Insulin degludec/insulin aspart (IDegAsp) is a soluble co
formulation of long-acting insulin degludec (IDeg) and rapid-acting insulin
aspart (IAsp). The present study investigated the pharmacodynamic properties of
IDegAsp in Japanese patients with type 1 diabetes mellitus. MATERIALS AND
METHODS: In this randomized, double-blind, two-period, cross-over trial, 21
Japanese patients with type 1 diabetes mellitus received single doses of 0.5 U/kg
IDegAsp and biphasic insulin aspart 30 in a randomized sequence (13-21 days
washout between treatments). The pharmacodynamic response was evaluated in a 26-h
euglycemic glucose clamp (target 5.5 mmol/L). Single-dose IDegAsp glucose
infusion rate (GIR) profiles were extrapolated to steady state using modeling.
RESULTS: The IDegAsp single-dose GIR profile showed a clear distinction between
the effects of the bolus (IAsp) and basal (IDeg) components in IDegAsp. When
simulated to steady state, the GIR profile of IDegAsp was shifted upwards
compared with the single-dose profile, and showed a rapid onset of action and a
distinct peak from the IAsp component followed by a separate and sustained basal
action from the long-acting IDeg component. For biphasic insulin aspart 30, the
initial shape of the GIR profile was similar to IDegAsp, but GIR continuously
decreased from maximum and reached zero 18-20 h post-dosing. The characteristics
of the GIR profile for IDegAsp were retained when simulated to steady state in a
twice-daily dosing regimen. DISCUSSION: In Japanese patients with type 1 diabetes
mellitus, the pharmacodynamic profile of IDegAsp is characterized by distinct
prandial and basal effects from the IAsp and IDeg components, consistent with
what has been reported previously in Caucasian patients with type 1 diabetes
mellitus.
PMID- 27181072
TI - [Papules on the feet].
PMID- 27181073
TI - [Persistent neurologic symptoms despite Viperfav((r)) antidote treatment after
Vipera aspis bite : 2 cases].
PMID- 27181074
TI - Epidemiology of perioperative anaphylaxis.
AB - Anaphylactic reactions may be either of immune (allergy, usually IgE-mediated,
sometimes IgG-mediated) or non-immune origin. The incidence of anaphylactic
reactions during anaesthesia varies between countries ranging from 1/1250 to
1/18,600 per procedure. In France, the estimated incidence of allergic reactions
is 100.6 [76.2-125.3]/million procedure with a high female predominance (male:
55.4 [42.0-69.0], female: 154.9 [117.2-193.1]). The proportion of IgE-mediated
allergic reactions seems to be relatively similar between countries, ranging from
50 to 60%. Substantial geographical variability regarding the different drugs or
substances involved is reported. Reactions involving neuromuscular blocking
agents are a major cause in several countries but are less frequently reported in
the United States or Denmark. Reactions involving antibiotics, dyes or
chlorhexidine are reported with a high and sometimes increasing frequency in most
series. Reactions to latex are rapidly decreasing as a result of primary and
secondary prevention policy. Regional differences are a strong incentive for
repeated epidemiological surveys in different countries.
PMID- 27181075
TI - Sjogren's syndrome in association with Crohn's disease.
PMID- 27181076
TI - Body mass index and the risk of cancer incidence in patients with type 2 diabetes
in Japan: Results from the National Center Diabetes Database.
AB - AIMS/INTRODUCTION: Both type 2 diabetes and obesity increase the risk of some
types of cancers, and underlying mechanisms are thought to be, at least in part,
common. In the present study, we carried out a retrospective cohort study of the
relationship between body mass index (BMI) categories and cancer development in
Japanese type 2 diabetic patients. MATERIALS AND METHODS: A total of 113 incident
cancers including 35 cancers whose incidence was reported to be increased by
obesity (27 colorectal cancers, two breast cancers in postmenopausal women, one
endometrial cancer, four renal cancers and one gallbladder cancer) were
identified in 2,334 type 2 diabetic patients (1,616 men and 718 women) over an
average observation period of 5.1 years. RESULTS: In men, there was no
significant association between the BMI categories at the start of the
observation period and the development of any cancer. In contrast, the incidence
of all of the cancers in the women was significantly higher in the group with a
BMI of less than 22.0 kg/m2 (hazard ratio 3.07, 95% CI 1.01-9.36). In either sex,
there was no significant relationship between the BMI categories and the
development of cancers whose risk is known to be increased by obesity.
CONCLUSIONS: The findings of the present study were limited by the relatively
small number of patients in the cohort, which posed a danger of not finding
significance. However, the results suggested that obesity did not become an
additional risk factor for cancer in Japanese type 2 diabetic patients.
PMID- 27181078
TI - ON and OFF retinal ganglion cells differentially regulate serotonergic and
GABAergic activity in the dorsal raphe nucleus.
AB - The dorsal raphe nucleus (DRN), the major source of serotonergic input to the
forebrain, receives excitatory input from the retina that can modulate serotonin
levels and depressive-like behavior. In the Mongolian gerbil, retinal ganglion
cells (RGCs) with alpha-like morphological and Y-like physiological properties
innervate the DRN with ON DRN-projecting RGCs out numbering OFF DRN-projecting
RGCs. The DRN neurons targeted by ON and OFF RGCs are unknown. To explore retino
raphe anatomical organization, retinal afferents labeled with Cholera toxin B
were examined for association with the postsynaptic protein PSD-95. Synaptic
associations between retinal afferents and DRN serotonergic and GABAergic neurons
were observed. To explore retino-raphe functional organization, light-evoked c
fos expression was examined. Light significantly increased the number of DRN
serotonergic and GABAergic cells expressing c-Fos. When ON RGCs were rendered
silent while enhancing the firing rate of OFF RGCs, c-Fos expression was greatly
increased in DRN serotonergic neurons suggesting that OFF DRN-projecting RGCs
predominately activate serotonergic neurons whereas ON DRN-projecting RGCs mainly
target GABAergic neurons. Direct glutamatergic retinal input to DRN 5-HT neurons
contributes to the complex excitatory drive regulating these cells. Light, via
the retinoraphe pathway can modify DRN 5-HT neuron activity which may play a role
in modulating affective behavior.
PMID- 27181079
TI - Prescription Drug Monitoring Programs: Ethical Issues in the Emergency
Department.
AB - Prescription drug monitoring programs are statewide databases available to
clinicians to track prescriptions of controlled medications. These programs may
provide valuable information to assess the history and use of controlled
substances and contribute to clinical decisionmaking in the emergency department
(ED). The widespread availability of the programs raises important ethical issues
about beneficence, nonmaleficence, respect for persons, justice, confidentiality,
veracity, and physician autonomy. In this article, we review the ethical issues
surrounding prescription drug monitoring programs and how those issues might be
addressed to ensure the proper application of this tool in the ED. Clinical
decisionmaking in regard to the appropriate use of opioids and other controlled
substances is complex and should take into account all relevant clinical factors,
including age, sex, clinical condition, medical history, medication history and
potential drug-drug interactions, history of addiction or diversion, and disease
state.
PMID- 27181080
TI - Effect of Educational Debt on Emergency Medicine Residents: A Qualitative Study
Using Individual Interviews.
AB - STUDY OBJECTIVE: In 2001, less than 20% of emergency medicine residents had more
than $150,000 of educational debt. Our emergency medicine residents anecdotally
reported much larger debt loads. Surveys have reported that debt affects career
and life choices. Qualitative approaches are well suited to explore how and why
such complex phenomena occur. We aim to gain a better understanding of how our
emergency medicine residents experience debt. METHODS: We conducted individual
semistructured interviews with emergency medicine residents. We collected self
reported data related to educational debt and asked open-ended questions about
debt influence on career choices, personal life, future plans, and financial
decisions. We undertook a structured thematic analysis using a qualitative
approach based in the grounded theory method. RESULTS: Median educational debt
was $212,000. Six themes emerged from our analysis: (1) debt influenced career
and life decisions by altering priorities; (2) residents experienced debt as a
persistent source of background stress and felt powerless to change it; (3)
residents made use of various techniques to negotiate debt in order to focus on
day-to-day work; (4) personal debt philosophy, based on individual values and
obtained from family, shaped how debt affected each individual; (5) debt had a
normative effect and was acculturated in residency; and (6) residents reported a
wide range of financial knowledge, but recognized its importance to career
success. CONCLUSION: Our emergency medicine residents' debt experience is complex
and involves multiple dimensions. Given our current understanding, simple
solutions are unlikely to be effective in adequately addressing this issue.
PMID- 27181081
TI - Synergistic Antiproliferative Effects of Zoledronic Acid and Fluvastatin on Human
Pancreatic Cancer Cell Lines: An in Vitro Study.
AB - Bisphosphonates and statins are known to have antitumor activities against
different types of cancer cell lines. In the present study, we investigated the
antiproliferative effects of the combination of zoledronic acid (ZOL), a
bisphophosphonate, and fluvastatin (FLU), a statin, in vitro on two types of
human pancreatic cancer cell lines, Mia PaCa-2 and Suit-2. The pancreatic cancer
cell lines were treated with ZOL and FLU both individually and in combination to
evaluate their antiproliferative effects using WST-8 cell proliferation assay. In
this study, we demonstrated a potent synergistic antiproliferative effect of both
drugs when used in combination in both cell lines. Moreover, we studied the
molecular mechanism behind this synergistic effect, which was inhibited by the
addition of the mevalonate pathway products, farnesyl pyrophosphate (FPP) and
geranylgeranyl pyrophosphate (GGPP). Furthermore, we aimed to determine the
effect of ZOL and FLU combination on RhoA and Ras guanosine 5'-triphosphate (GTP)
proteins. The combination induced a marked accumulation in RhoA and unprenylated
Ras. GGPP and FPP reversed the increase in the amount of both proteins. These
results indicated that the combination treatment impaired RhoA and Ras signaling
pathway by the inhibition of geranylgeranylation and/or farnesylation. This study
provides a potentially effective approach for the treatment of pancreatic cancer
using a combination treatment of ZOL and FLU.
PMID- 27181082
TI - Role of NOX2 in mediating doxorubicin-induced senescence in human endothelial
progenitor cells.
AB - Senescence exerts a great impact on both biological and functional properties of
circulating endothelial progenitor cells (EPCs), especially in cardiovascular
diseases where the physiological process of aging is accelerated upon clinical
administration of certain drugs such as doxorubicin. EPC impairment contributes
to doxorubicin-induced cardiotoxicity. Doxorubicin accelerates EPC aging,
although mechanisms underlying this phenomenon remain to be fully clarified. Here
we investigated if Nox2 activity is able to modulate the premature senescence
induced in vitro by doxorubicin in human EPCs. Results showed that in conditioned
media obtained from late EPC cultures, the levels of interleukin-6, isoprostanes
and nitric oxide bioavailability were increased and reduced respectively after 3h
of doxorubicin treatment. These derangements returned to physiological levels
when cells were co-treated with apocynin or gp91ds-tat (antioxidant and specific
Nox2 inhibitors, respectively). Accordingly, Nox2 activity resulted to be
activated by doxorubicin. Importantly, we found that Nox2 inhibition reduced
doxorubicin-induced EPC senescence, as indicated by a lower percentage of beta
gal positive EPCs. In conclusion, Nox2 activity efficiently contributes to the
mechanism of oxidative stress-induced increase in premature aging conferred by
doxorubicin. The importance of modulation of Nox2 in human EPCs could reveal a
useful tool to restore EPC physiological function and properties.
PMID- 27181083
TI - Yeast buddies helping to unravel the complexity of neurodegenerative disorders.
AB - Neurodegenerative disorders have a profound effect on the quality of life of
patients and their environment. However, the development of adequate therapies
requires accurate understanding of the underlying disease pathogenesis. On that
account, yeast models can play an important role, as they enable the elucidation
of the mechanisms leading to neurodegenerative disorders. Furthermore, by using
so-called humanized yeast systems, the findings in yeast can be interpolated to
humans. In this review, we will give an overview of the current body of knowledge
on the use of yeast models with regard to Huntington's, Parkinson's and
Alzheimer's disease. In addition to the results, obtained with the baker's yeast
Saccharomyces cerevisiae, we also consider the existing literature on the less
common but promising fission yeast Schizosaccharomyces pombe.
PMID- 27181084
TI - Serum selenium and liposoluble vitamins in Japanese Black cows that had stillborn
calves.
AB - Stillbirth and dystocia are major factors that negatively affect beef production.
We sought to clarify serum selenium and liposoluble vitamin levels in Japanese
Black cows that gave birth to stillborn calves (stillbirth cows). Blood samples
were collected from 103 stillbirth cows and 95 cows that gave birth to healthy
calves (control cows). Serum levels of selenium (45.8 +/- 16.0 ng/ml) and vitamin
A (73.0 +/- 24.8 IU/dl) in stillbirth cows were lower (P<0.05) than those in
control cows (52.2 +/- 8.9 ng/ml and 93.3 +/- 14.8 IU/dl, respectively). Our
findings suggest that appropriate serum selenium and vitamin A levels are
important for calving cows.
PMID- 27181085
TI - Utility of ultrasonography for diagnosis of superficial swellings in buffalo
(Bubalus bubalis).
AB - We studied 72 buffalo with superficial swellings in the head (n=4), neck (n=5),
chest wall (n=4), abdominal wall (n=28), limbs (n=16), gluteal region (n=8),
perineal region (n=6) and udder (n=1). Ultrasonographically, the swellings varied
according to type, duration, content and location. The clinical use of ultrasound
to assess these superficial swellings allowed diagnosis of abscesses (n=21),
hematomas (n=11), hernias (n=17), bursitis (n=13), urethral diverticula (n=6) and
tumors (n=4). Ultrasonography could precisely discriminate each lesion type
(sensitivity, 71-100%; specificity, 75-100%; odds ratio, 1.0-8.4; Confidence
Interval, 74.2-20; and P value 0.001). The specificity for ultrasonographic
evaluation of superficial swellings was 100% for hernias, urethral diverticula
and tumors, whilst the lowest specificity was recorded for hematomas (75%) and
abscesses (92%). In conclusion, ultrasonography provides a precise, non-invasive
and fast technique for the evaluation, classification and subsequent treatment of
a variety of superficial swellings in buffalo.
PMID- 27181086
TI - Akabane virus nonstructural protein NSm regulates viral growth and pathogenicity
in a mouse model.
AB - The biological function of a nonstructural protein, NSm, of Akabane virus (AKAV)
is unknown. In this study, we generated a series of NSm deletion mutant viruses
by reverse genetics and compared their phenotypes. The mutant in which the NSm
coding region was almost completely deleted could not be rescued, suggesting that
NSm plays a role in virus replication. We next generated mutant viruses
possessing various partial deletions in NSm and identified several regions
critical for virus infectivity. All rescued mutant viruses produced smaller
plaques and grew inefficiently in cell culture, compared to the wild-type virus.
Interestingly, although the pathogenicity of NSm deletion mutant viruses varied
in mice depending on their deletion regions and sizes, more than half the mice
died following infection with any mutant virus and the dead mice exhibited
encephalitis as in wild-type virus-inoculated mice, indicating their
neuroinvasiveness. Abundant viral antigens were detected in the brain tissues of
dead mice, whereas appreciable antigen was not observed in those of surviving
mice, suggesting a correlation between virus growth rate in the brain and
neuropathogenicity in mice. We conclude that NSm affects AKAV replication in
vitro as well as in vivo and that it may function as a virulence factor.
PMID- 27181087
TI - Crash risk: How cycling flow can help explain crash data.
AB - Crash databases are commonly queried to infer crash causation, prioritize
countermeasures to prevent crashes, and evaluate safety systems. However, crash
databases, which may be compiled from police and hospital records, alone cannot
provide estimates of crash risk. Moreover, they fail to capture road user
behavior before the crash. In Sweden, as in many other countries, crash databases
are particularly sterile when it comes to bicycle crashes. In fact, not only are
bicycle crashes underreported in police reports, they are also poorly documented
in hospital reports. Nevertheless, these reports are irreplaceable sources of
information, clearly highlighting the surprising prevalence of single-bicycle
crashes and hinting at some cyclist behaviors, such as alcohol consumption, that
may increase crash risk. In this study, we used exposure data from 11 roadside
stations measuring cyclist flow in Gothenburg to help explain crash data and
estimate risk. For instance, our results show that crash risk is greatest at
night on weekends, and that this risk is larger for single-bicycle crashes than
for crashes between a cyclist and another motorist. This result suggests that the
population of night-cyclists on weekend nights is particularly prone to specific
crash types, which may be influenced by specific contributing factors (such as
alcohol), and may require specific countermeasures. Most importantly, our results
demonstrate that detailed exposure data can help select, filter, aggregate,
highlight, and normalize crash data to obtain a sharper view of the cycling
safety problem, to achieve a more fine-tuned intervention.
PMID- 27181088
TI - Renal physiology: MAGED2 mutations in transient antenatal Bartter syndrome.
PMID- 27181089
TI - Disseminated intravascular coagulation in the HELLP syndrome: how much do we
really know?
AB - The rate of disseminated intravascular coagulation (DIC) during pregnancy varies
among nations from 0.03% to 0.35%. The existing reports suggest dissimilarity in
the underlying mechanisms leading to DIC during gestation. While in developing
countries preeclampsia and the HELLP syndrome are prevalent causes of DIC, the
leading causes in the developed countries are placental abruption and postpartum
hemorrhage. In different cohort studies, DIC is reported in about 12-14% of women
with preeclampsia. Nevertheless, it has been suggested that in most cases these
women also had a HELLP syndrome and that the occurrence of DIC in women who had
only preeclampsia without manifestations of the HELLP syndrome is rare. The aims
of this review are to: (1) highlight the mechanisms leading to DIC; (2) describe
the changes in the coagulation system during this complication; and; (3) discuss
the diagnostic tool and treatment modalities of DIC, in women who develop a HELLP
syndrome.
PMID- 27181090
TI - Fulminant type 1 diabetes mellitus with anti-programmed cell death-1 therapy.
AB - Anti-programmed cell death-1 (PD-1) antibodies are regarded as a risk factor for
insulin-dependent diabetes mellitus as a side-effect. While a small number of
cases have been reported, evidence remains limited. This is the first report of
an Asian patient developing insulin-dependent diabetes during anti-PD-1 therapy.
A 55-year-old euglycemic woman receiving nivolumab for malignant melanoma showed
abrupt onset of ketonuria, and elevated levels of plasma glucose (580 mg/dL) and
hemoglobin A1c (7.0%). Over the next 2 weeks, serum C-peptide levels fell below
the limit of detection. Islet autoantibodies were negative, and the patient
showed a human leukocyte antigen haplotype associated with type 1 diabetes. Anti
PD-1 therapy can cause rapid onset of insulin-dependent diabetes, possibly
because of inappropriate activation of T cells. Human leukocyte antigen
haplotypes might be related to the onset of this disease. Physicians should be
aware of this serious adverse event and carry out routine blood glucose testing
during anti-PD-1 therapy.
PMID- 27181091
TI - Pitfalls of tightening driving regulations for diabetic patients.
AB - The regulations of driver's license for diabetic patients have been tightened in
Japan and EU countries recently for public safety. However, recent literatures
showed this tightened regulation may fail to achieve its purpose. So I would like
to make some raise a alarm against this trend in this article.
PMID- 27181092
TI - LASP1-S100A11 axis promotes colorectal cancer aggressiveness by modulating
TGFbeta/Smad signaling.
AB - LIM and SH3 protein 1(LASP1) can promote colorectal cancer (CRC) progression and
metastasis, but the mechanism remains unclear. Here, we show that LASP1 interacts
with S100 calcium binding protein A11(S100A11) and enhances its expression in
CRC. LASP1-S100A11 axis is essential for TGFbeta-mediated epithelial-mesenchymal
transition (EMT) and cell aggressive phenotype. Clinically, S100A11 is
overexpressed in CRC tissues and localized in both the cytoplasm and the nucleus
of CRC cells. Overexpression of S100A11 in cytoplasmic and nuclear subcellular
compartments is associated with tumor metastasis and poor prognosis of CRC
patients. Introduction of cytoplasmic and nuclear S100A11 promotes aggressive
phenotypes of CRC cells in vitro as well as growth and metastasis of CRC
xenografts, whereas suppressing S100A11 abrogates these effects. Furthermore, we
identify flotillin-1 (FLOT1) and histone H1 as downstream factors for cytoplasmic
and nuclear pathway of S100A11, which are required for LASP1-S100A11 axis
mediated EMT and CRC progression. These findings indicate S100A11, combined with
LASP1, plays a critical role in promoting CRC metastasis via its subcellular
effectors, FLOT1 and histone H1.
PMID- 27181094
TI - EFIS lecture. Immune response to tuberculosis: How to control the most successful
pathogen on earth.
AB - Tuberculosis (TB) remains a major health threat and general agreement exists that
better control measures are needed. These include better diagnostics, drugs and
vaccines. In particular, vaccines will be critical for better TB control. Based
on knowledge about protective immunity against TB, a vaccine was created,
VPM1002, which shows high protective efficacy and safety in experimental animal
models. The vaccine has proven safe and immunogenic in human adults and neonates
and is currently assessed in clinical trials in the context of HIV exposure. As a
next step, a phase III efficacy trial in adults and a phase IIb efficacy trial in
neonates are being planned. Biosignatures that differentially diagnose TB disease
versus latent infection with high sensitivity and specificity have been designed.
Most recently, a prognostic biosignature which predicts progression from latent
infection to active TB has been identified. Biosignatures are not only of great
value for improved diagnosis and prognosis of TB, they can also provide
guidelines for better understanding of molecular mechanisms underlying disease.
Accordingly, distinct biomarkers of diagnostic and prognostic value but of
unknown biological function are being characterized functionally. In this way,
deeper insights have been obtained on the role of type I interferon and of
neutrophils in TB in experimental animal models of TB. In conclusion, clinical
and basic research further supported by computational biology can complement each
other in the pursuit of knowledge-based development of improved intervention
measures for TB control.
PMID- 27181093
TI - T-cell immune adaptor SKAP1 regulates the induction of collagen-induced arthritis
in mice.
AB - SKAP1 is an immune cell adaptor that couples the T-cell receptor with the 'inside
out' signalling pathway for LFA-1 mediated adhesion in T-cells. A connection of
SKAP1 to the regulation of an autoimmune disorder has not previously been
reported. In this study, we show that Skap1-deficient (skap1-/-) mice are highly
resistant to the induction of collagen-induced arthritis (CIA), both in terms of
incidence or severity. Skap1-/- T-cells were characterised by a selective
reduction in the presence IL-17+ (Th17) in response to CII peptide and a marked
reduction of joint infiltrating T-cells in Skap1-/- mice. SKAP1 therefore
represents a novel connection to Th17 producing T-cells and is new potential
target in the therapeutic intervention in autoimmune and inflammatory diseases.
PMID- 27181095
TI - Oral delivery of insulin via polyethylene imine-based nanoparticles for colonic
release allows glycemic control in diabetic rats.
AB - In this study, insulin-containing nanoparticles were loaded into pellet cores and
orally administered to diabetic rats. Polyethylene imine-based nanoparticles,
either placebo or loaded with insulin, were incorporated by extrusion and
spheronization technology into cores that were subsequently coated with three
overlapping layers and a gastroresistant film. The starting and coated systems
were evaluated in vitro for their physico-technololgical characteristics, as well
as disintegration and release performance. Nanoparticles-loaded cores showed
homogeneous particle size distribution and shape. When a superdisintegrant and a
soluble diluent were included in the composition enhanced disintegration and
release performance were observed. The selected formulations, coated either with
enteric or three-layer films, showed gastroresistant and release delayed behavior
in vitro, respectively. The most promising formulations were finally tested for
their hypoglycemic effect in diabetic rats. Only the nanoformulations loaded into
the three-layer pellets were able to induce a significant hypoglycemic activity
in diabetic rats. Our results suggest that this efficient activity could be
attributed to a retarded release of insulin into the distal intestine,
characterized by relatively low proteolytic activity and optimal absorption.
PMID- 27181096
TI - Comparison of three different techniques for camera and motion control of a
teleoperated robot.
AB - This research aims to evaluate new methods for robot motion control and camera
orientation control through the operator's head orientation in robot
teleoperation tasks. Specifically, the use of head-tracking in a non-invasive
way, without immersive virtual reality devices was combined and compared with
classical control modes for robot movements and camera control. Three control
conditions were tested: 1) a condition with classical joystick control of both
the movements of the robot and the robot camera, 2) a condition where the robot
movements were controlled by a joystick and the robot camera was controlled by
the user head orientation, and 3) a condition where the movements of the robot
were controlled by hand gestures and the robot camera was controlled by the user
head orientation. Performance, workload metrics and their evolution as the
participants gained experience with the system were evaluated in a series of
experiments: for each participant, the metrics were recorded during four
successive similar trials. Results shows that the concept of robot camera control
by user head orientation has the potential of improving the intuitiveness of
robot teleoperation interfaces, specifically for novice users. However, more
development is needed to reach a margin of progression comparable to a classical
joystick interface.
PMID- 27181097
TI - Determination of Enantiomeric Distribution of Terpenes for Quality Assessment of
Australian Tea Tree Oil.
AB - A number of papers have appeared in recent years proposing the use of
enantiomeric ratios of key monoterpenes in Australian tea tree oil (TTO) for
detection of adulterated oils. There are however a range of reported values, even
from exactly the same suite of authentic oils, and we address here probable
reasons for these differences and stress the importance of establishing reference
ratios within each laboratory based on oils of known provenance. Any biological
variation in the ratio for the key terpene terpinen-4-ol has been demonstrated to
be effectively unmeasurable, because the standard deviation on multiple
measurements of the same oil is of the same order as that of multiple authentic
oils.
PMID- 27181098
TI - Interconnected Hierarchical Porous Carbon from Lignin-Derived Byproducts of
Bioethanol Production for Ultra-High Performance Supercapacitors.
AB - The advent of bioethanol production has generated abundant lignin-derived
byproducts which contain proteins and polysaccharides. These byproducts are
inapplicable for direct material applications. In this study, lignin-derived
byproducts were used for the first time as carbon precursors to construct an
interconnected hierarchical porous nitrogen-doped carbon (HPNC) via hydrothermal
treatment and activation. The obtained HPNC exhibited favorable features for
supercapacitor applications, such as hierarchical bowl-like pore structures, a
large specific surface area of 2218 m(2) g(-1), a high electronic conductivity of
4.8 S cm(-1), and a nitrogen doping content of 3.4%. HPNC-based supercapacitors
in a 6 M KOH aqueous electrolyte exhibited high-rate performance with a high
specific capacitance of 312 F g(-1) at 1 A g(-1) and 81% retention at 80 A g(-1)
as well as an excellent cyclic life of 98% initial capacitance after 20 000
cycles at 10 A g(-1). Moreover, HPNC-based supercapacitors in the ionic liquid
electrolyte of EMI-BF4 displayed an enhanced energy density of 44.7 Wh kg(-1)
(remaining 74% of max value) at an ultrahigh power density of 73.1 kW kg(-1). The
proposed strategy may facilitate lignin utilization and lead to a green
bioethanol production process.
PMID- 27181099
TI - A Novel Homozygous Mutation in the KCNJ11 Gene of a Neonate with Congenital
Hyperinsulinism and Successful Management with Sirolimus.
AB - Congenital hyperinsulinism (CHI) is the most common cause of neonatal persistent
hypoglycemia caused by mutations in nine known genes. Early diagnosis and
treatment are important to prevent brain injury. The clinical presentation and
response to pharmacological therapy may vary depending on the underlying
pathology. Genetic analysis is important in the diagnosis, treatment, patient
follow-up, and prediction of recurrence risk within families. Our patient had
severe hypoglycemia and seizure following birth. His diagnostic evaluations
including genetic testing confirmed CHI. He was treated with a high-glucose
infusion, high-dose diazoxide, nifedipine, and glucagon infusion. A novel
homozygous mutation (p.F315I) in the KCNJ11 gene, leading to diazoxide
unresponsive CHI, was identified. Both parents were heterozygous for this
mutation. Our patient's clinical course was complicated by severe refractory
hypoglycemia; he was successfully managed with sirolimus and surgical
intervention was not required. Diazoxide, nifedipine, and glucagon were
discontinued gradually following sirolimus therapy. The patient was discharged at
2 months of age on low-dose octreotide and sirolimus. His outpatient clinical
follow-up continues with no episodes of hypoglycemia. We present a novel
homozygous p.F315I mutation in the KCNJ11 gene leading to diazoxide-unresponsive
CHI in a neonate. This case illustrates the challenges associated with the
diagnosis and management of CHI, as well as the successful therapy with
sirolimus.
PMID- 27181100
TI - The nutritive value of condensed wheat distillers solubles for cattle.
AB - The chemical composition and the energy and protein value of five batches of
condensed distillers solubles (CDS) originating from wheat were determined. The
net energy for lactation (NEL) was derived from digestion coefficients obtained
with sheep. The true protein digested in the small intestine (DVE) and the rumen
degradable protein balance (OEB) were based on the rumen degradation rate (kd D
), the rumen undegradable fraction (U) and intestinal digestibility of undegraded
protein (%DVBE) predicted by regression equations derived from a data set of 28
protein feeds with kd D , U and %DVBE determined in situ. The CDS is a by-product
with a high, but very variable CP content (238 to 495 g/kg DM). The CP contained
on average 81% amino acids, with glutamine as main component (on average 21.8% of
CP) and a relatively good lysine proportion (3.0%). Further, CDS contains quite a
lot of crude fat (mean+/-SD: 71+/-14 g/kg DM), glycerol (95+/-52 g/kg DM) and
sugars (123+/-24 g/kg DM) resulting in a high organic matter digestibility
(88.6+/-3.0%) and high NEL content (8.3+/-0.4 MJ/kg DM). The protein value showed
a large variation, with DVE ranging from 122 to 244 g/kg DM and OEB from 50 to
204 g/kg DM. Wheat CDS is a rich source of minerals and trace elements with
exception of calcium.
PMID- 27181101
TI - Systemic features of rotavirus infection.
AB - A growing body of evidence warrants a revision of the received/conventional
wisdom of rotavirus infection as synonymous with acute gastroenteritis. Rotavirus
vaccines have boosted our interest and knowledge of this virus, but also
importantly, they may have changed the landscape of the disease. Extraintestinal
spread of rotavirus is well documented, and the clinical spectrum of the disease
is widening. Furthermore, the positive impact of current rotavirus vaccines in
reducing seizure hospitalization rates should prompt a reassessment of the actual
burden of extraintestinal manifestations of rotavirus diseases. This article
discusses current knowledge of the systemic extraintestinal manifestations of
rotavirus infection and their underlying mechanisms, and aims to pave the way for
future clinical, public health and research questions.
PMID- 27181102
TI - Anti-inflammatory role of glucose-dependent insulinotropic polypeptide in
periodontitis.
AB - AIMS/INTRODUCTION: The involvement of glucose-dependent insulinotropic
polypeptide (GIP) on inflammation was explored in atherosclerosis and adipose
tissue. Periodontal disease is a chronic inflammatory disease, and is considered
one of the diabetic complications. In the present study, to examine the effect of
GIP on periodontitis, we induced experimental periodontitis in glucose-dependent
insulinotropic polypeptide receptor-knockout mice (GIPRKO). We also investigated
the anti-inflammatory effect of GIP in a culture system. MATERIALS AND METHODS:
Experimental periodontitis was induced by ligature wire in GIPRKO and C57BL/C
mice. Two weeks after the ligature, immunohistological evaluation and
inflammatory messenger ribonucleic acid expression in the gingiva was examined.
To elucidate the role of GIP in inflammation, the effects of GIP on
lipopolysaccharide-induced gene expressions in THP-1 cells were evaluated.
RESULTS: Periodontitis increased inflammatory cell infiltration, macrophage
accumulation and tumor necrosis factor-alpha and nitric oxide synthase gene
expressions in the gingiva. Periodontitis in GIPRKO showed a marked increase of
inflammatory cells in the gingivomucosal tissue. Mac-1-positive macrophages and
the inflammatory gene expressions were significantly increased in periodontitis
in GIPRKO compared with C57BL/C mice periodontitis. Immunohistochemical staining
confirmed that GIP receptors were expressed in residual and infiltrated Mac-1
positive macrophages. The in vitro study showed that GIP suppressed
lipopolysaccharide-induced tumor necrosis factor-alpha and nitric oxide synthase
gene expression in a dose-dependent manner. Furthermore, the inhibitory effect of
GIP on lipopolysaccharide-induced inflammatory gene expressions was at least
partially through cyclic adenosine monophosphate/protein kinase A pathway.
CONCLUSIONS: These results suggest the beneficial effects of GIP on periodontal
disease. In diabetic patients, GIP is expected to have a direct anti-inflammatory
effect on periodontitis in addition to its glucose-lowering effect.
PMID- 27181105
TI - Controversy about the relationship between sulfonylurea use and cardiovascular
events and mortality.
AB - Among a number of classes of oral antihyperglycemic drugs, sulfonylureas (SUs)
demonstrating the potential effect to reduce blood glucose level have been used
in a majority of patients with type 2 diabetes for many years. The
pathophysiological processes by which SUs adversely induce the risk of
cardiovascular disease have not been fully clarified. We summarized about the
relationship between SU use and cardiovascular events and mortality in patients
with type 2 diabetes.
PMID- 27181104
TI - [Hepatitis B and pregnancy. Part 2. Nine practical issues about delivery and
neonatal care].
AB - In France, the hepatitis B maternal-fetal transmission prevention strategy is
based on serovaccination at birth. Serum therapy is to inject 30IU/kg of anti-HBs
specific immunoglobulins of human origin in the first hours of life, which in
practice corresponds to 1ml or 100IU. Vaccination should also be performed during
the first hours of life, and a new injection should be performed at 1month and
6months. In infants less than 32weeks and/or less than 2kg, lower vaccine
response leads to prescribe an additional injection at 2months. This
serovaccination reduces the risk of mother to child transmission from 57 to 4 %.
The failure risk factors of serovaccination are high maternal viral load (greater
than or equal to 7 log) and/or the presence of HBeAg. The delivery route does not
change the risk of maternal-fetal transmission of hepatitis B when
serovaccination at birth was well conducted. Likewise, breastfeeding does not
change the risk of maternal-fetal transmission of hepatitis B after
serovaccination. It is recommended by WHO. During labor, the pH in utero should
be done only when strictly necessary, the published data do not allow to conclude
on the risk of transmission.
PMID- 27181103
TI - SOD2 deregulation enhances migration, invasion and has poor prognosis in salivary
adenoid cystic carcinoma.
AB - This study aimed to investigate the role of SOD2 in the progression and
metastasis of salivary adenoid cystic carcinoma (SACC). We analyzed the
expression of SOD2 in 50 SACC patients. Then, the effects and mechanism of SOD2
on cell metastasis in a pair of different metastatic potential cell lines was
investigated. SOD2 was deregulated in patients with SACC. Up-regulation of SOD2
was associated with distant metastasis and reduced overall survival and disease
free - survival. Compared to SACC-83 cells (lower metastasis ability), SACC-LM
cells (higher metastasis ability) had higher SOD2 activity and intracellular H2O2
concentrations, and protein levels of pERK1/2 and Slug, but had similar catalase
protein level and activity. In SACC-LM, reducing the expression of SOD2 by SiRNA
inhibited the metastasis ability and reduced the SOD2 activities, intracellular
H2O2 concentrations, and protein levels of pERK1/2 and Slug. These effects were
revised in SACC-83 after SOD2 overexpression. Moreover, in SACC-83, treated with
H2O2, the metastasis was enhanced accompanied by increased protein levels of
pERK1/2 and Slug. We confirmed that SOD2 play an important role in the
development and prognosis of SACC and SOD2-dependent production of H2O2
contributes to metastasis of SACC through the ERK-Slug signaling pathway.
PMID- 27181106
TI - Sodium nitrite attenuates hypertension-in-pregnancy and blunts increases in
soluble fms-like tyrosine kinase-1 and in vascular endothelial growth factor.
AB - Preeclampsia is a pregnancy-associated disorder characterized by hypertension
with uncertain pathogenesis. Increases in antiangiogenic soluble fms-like
tyrosine kinase-1 (sFlt-1) and reductions in nitric oxide (NO) bioavailability
have been observed in preeclamptic women. However, the specific mechanisms
linking these detrimental changes to the hypertension-in-pregnancy are not
clearly understood. In this regard, while recent findings have suggested that
nitrite-derived NO formation exerts antihypertensive and antioxidant effects, no
previous study has examined these responses to orally administered nitrite in
hypertension-in-pregnancy. We then hypothesized restoring NO bioavailability with
sodium nitrite in pregnant rats upon NO synthesis inhibition with N(omega)-nitro
l-arginine methyl ester (L-NAME) attenuates hypertension and high circulating
levels of sFlt-1. Number and weight of pups and placentae were recorded to assess
maternal-fetal interface. Plasma sFlt-1, vascular endothelial growth factor
(VEGF) and biochemical determinants of NO formation and of antioxidant function
were measured. We found that sodium nitrite blunts the hypertension-in-pregnancy
and restores the NO bioavailability, and concomitantly prevents the L-NAME
induced high circulating sFlt-1 and VEGF levels. Also, our results suggest that
nitrite-derived NO protected against reductions in litter size and placental
weight caused by L-NAME, improving number of viable and resorbed fetuses and
antioxidant function. Therefore, the present findings are consistent with the
hypothesis that nitrite-derived NO may possibly be the driving force behind the
maternal and fetal beneficial effects observed with sodium nitrite during
hypertension-in-pregnancy. Certainly further investigations are required in
preeclampsia, since counteracting the damages to the mother and fetal sides
resulting from hypertension and elevated sFlt-1 levels may provide a great
benefit in this gestational hypertensive disease.
PMID- 27181108
TI - An atom probe perspective on phase separation and precipitation in duplex
stainless steels.
AB - Three-dimensional chemical imaging of Fe-Cr alloys showing Fe-rich (alpha)/Cr
rich (alpha') phase separation is reported using atom probe tomography
techniques. The extent of phase separation, i.e., amplitude and wavelength, has
been quantitatively assessed using the Langer-Bar-on-Miller, proximity histogram,
and autocorrelation function methods for two separate Fe-Cr alloys, designated
2101 and 2205. Although the 2101 alloy possesses a larger wavelength and
amplitude after annealing at 427 degrees C for 100-10 000 h, it exhibits a lower
hardness than the 2205 alloy. In addition to this phase separation, ultra-fine Ni
Mn-Si-Cu-rich G-phase precipitates form at the alpha/alpha' interfaces in both
alloys. For the 2101 alloy, Cu clusters act to form a nucleus, around which a Ni
Mn-Si shell develops during the precipitation process. For the 2205 alloy, the Ni
and Cu atoms enrich simultaneously and no core-shell chemical distribution was
found. This segregation phenomenon may arise from the exact Ni/Cu ratio inside
the ferrite. After annealing for 10 000 h, the number density of the G-phase
within the 2205 alloy was found to be roughly one order of magnitude higher than
in the 2101 alloy. The G-phase precipitates have an additional deleterious effect
on the thermal embrittlement, as evaluated by the Ashby-Orowan equation, which
explains the discrepancy between the hardness and the rate of phase separation
with respect to annealing time (Gladman T 1999 Mater. Sci. Tech. Ser. 15 30-36).
PMID- 27181107
TI - Development of passive CLARITY and immunofluorescent labelling of multiple
proteins in human cerebellum: understanding mechanisms of neurodegeneration in
mitochondrial disease.
AB - CLARITY enables immunofluorescent labelling and imaging of large volumes of
tissue to provide a better insight into the three dimensional relationship
between cellular morphology and spatial interactions between different cell
types. In the current study, we optimise passive CLARITY and immunofluorescent
labelling of neurons and mitochondrial proteins in mouse and human brain tissues
to gain further insights into mechanisms of neurodegeneration occurring in
mitochondrial disease. This is the first study to utilise human cerebellum fixed
in paraformaldehyde and cryoprotected in conjunction with formalin-fixed tissues
opening up further avenues for use of archived tissue. We optimised hydrogel
embedding and passive clearance of lipids from both mouse (n = 5) and human (n =
9) cerebellum as well as developing an immunofluorescent protocol that
consistently labels different neuronal domains as well as blood vessels. In
addition to visualising large structures, we were able to visualise mitochondrial
proteins in passively cleared tissues to reveal respiratory chain deficiency
associated with mitochondrial disease. We also demonstrate multiple use of
tissues by stripping antibodies and re-probing the cerebellum. This technique
allows interrogation of large volumes intact brain samples for better
understanding of the complex pathological changes taking place in mitochondrial
disease.
PMID- 27181109
TI - Elevated circulating level of a cytokine, pancreatic-derived factor, is
associated with metabolic syndrome components in a Chinese population.
AB - AIMS/INTRODUCTION: Pancreatic-derived factor (PANDER) is an important factor
involved in obesity, glucose intolerance and abnormal lipid metabolism in
animals. Nevertheless, the relationship between PANDER and metabolic syndrome
(MetS) in humans has not yet been reported. MATERIALS AND METHODS: To determinate
the relationship between PANDER and MetS components, 212 individuals aged between
40 and 65 years were recruited. Fasting plasma PANDER and other variables were
measured. Correlations of plasma PANDER and other variables were carried out.
Plasma PANDER level was compared in participants with no metabolic components and
those with any metabolic components, as well as in normal glucose tolerance,
impaired glucose tolerance and diabetes mellitus participants. RESULTS: In all
the participants, there were 65 participants in the no metabolic components group
and 147 participants in the any metabolic components group. Plasma PANDER level
was increased with the number of MetS components (P < 0.05) and correlated with
metabolic score (r = 0. 529, P < 0.001). In addition, plasma PANDER significantly
correlated with fasting plasma glucose (r = 0.187, P = 0.046), 2-h plasma glucose
(r = 0.195, P = 0.035), homeostasis model assessment of beta-cell function (r =
0.191, P = 0.039), triglyceride (r = 0.305, P = 0.001) and high-density
lipoprotein cholesterol (r = -0.333, P < 0.001). Using multivariable logistic
regression analysis, circulating PANDER was associated with an increased risk
ratio of impaired glucose tolerance or diabetes mellitus (odds ratio 2.22, 95%
confidence interval 1.15-4.42, P = 0.018) after adjustment of the other possible
confounders. CONCLUSIONS: Circulating level of PANDER in relation to the
accumulation in MetS suggested that persons with elevated levels of PANDER were
associated with an increased risk of metabolic syndrome.
PMID- 27181110
TI - Pitavastatin improves glycated hemoglobin in patients with poorly controlled type
2 diabetes.
AB - AIMS/INTRODUCTION: To investigate the effect of pitavastatin on glucose control
in patients with type 2 diabetes. MATERIALS AND METHODS: Medical records of 340
patients with type 2 diabetes treated with pitavastatin or atorvastatin between 1
August 2013 and 31 May 2014 were reviewed. A total of 96 patients who had not
received statins were treated with pitavastatin (N to P group). A total of 100
patients who had previously used atorvastatin were switched to pitavastatin (A to
P group). A total of 144 patients continued with atorvastatin treatment. Data
were collected at baseline, 3 and 6 months of treatment. Changes in glycated
hemoglobin (HbA1c) level were analyzed in 222 patients who did not change their
antidiabetic agent during 6 months of treatment. RESULTS: A negative correlation
between baseline HbA1c and delta HbA1c at 6 months was found in the pitavastatin
treated patients (N to P group: rho = -0.329, P = 0.006; A to P group: rho =
0.480, P < 0.001). The correlation remained similar after adjusting for age, body
mass index, dose of pitavastatin, estimated glomerular filtration rate and high
density lipoprotein cholesterol. After 6 months of treatment, the benefit of
pitavastatin on HbA1c in the patients with poorly controlled diabetes was
significant in both the N to P (8.1 vs 7.4%, P = 0.018) and A to P (9.7 vs 9.0%,
P = 0.015) groups. CONCLUSIONS: Pitavastatin decreases HbA1c in patients with
type 2 diabetes with a higher baseline HbA1c level. The benefit on HbA1c was also
observed in patients with previous use of atorvastatin.
PMID- 27181111
TI - Pixel-level plasmonic microcavity infrared photodetector.
AB - Recently, plasmonics has been central to the manipulation of photons on the
subwavelength scale, and superior infrared imagers have opened novel applications
in many fields. Here, we demonstrate the first pixel-level plasmonic microcavity
infrared photodetector with a single quantum well integrated between metal
patches and a reflection layer. Greater than one order of magnitude enhancement
of the peak responsivity has been observed. The significant improvement
originates from the highly confined optical mode in the cavity, leading to a
strong coupling between photons and the quantum well, resulting in the enhanced
photo-electric conversion process. Such strong coupling from the localized
surface plasmon mode inside the cavity is independent of incident angles,
offering a unique solution to high-performance focal plane array devices. This
demonstration paves the way for important infrared optoelectronic devices for
sensing and imaging.
PMID- 27181112
TI - Transformation from Globular to Cylindrical Mixed Micelles through Molecular
Exchange that Induces Micelle Fusion.
AB - Transformations between different micellar morphologies in solution induced by
changes in composition, salt, or temperature are well-known phenomena; however,
the understanding of the associated kinetic pathways is still limited. Especially
for mixed surfactant systems, the micelles can take a very wide range of
structures, depending on the surfactant packing parameter and other thermodynamic
conditions. Synchrotron-based small-angle X-ray scattering (SAXS) in combination
with fast mixing using a stopped-flow apparatus can give direct access to the
structural kinetics on a millisecond time scale. Here, this approach is used to
study the formation of cylindrical micelles after mixing two solutions with
globular micelles of the nonionic surfactant dodecyl maltoside (DDM) and the
anionic surfactant sodium dodecyl sulfate (SDS), respectively. Two separate
processes were identified: (i) a transition in micellar shell structure,
interpreted as exchange of surfactant molecules resulting in mixed globular
micelles, and subsequently, (ii) fusion into larger, cylindrical structures.
PMID- 27181113
TI - Synthesis and Mechanism Insight of a Peptide-Grafted Hyperbranched Polymer
Nanosheet with Weak Positive Charges but Excellent Intrinsically Antibacterial
Efficacy.
AB - Antimicrobial resistance is an increasingly problematic issue in the world and
there is a present and urgent need to develop new antimicrobial therapies without
drug resistance. Antibacterial polymers are less susceptible to drug resistance
but they are prone to inducing serious side effects due to high positive charge.
Herein we report a peptide-grafted hyperbranched polymer which can self-assemble
into unusual nanosheets with highly effective intrinsically antibacterial
activity but weak positive charges (+ 6.1 mV). The hyperbranched polymer was
synthesized by sequential Michael addition-based thiol-ene and free radical
mediated thiol-ene reactions, and followed by ring-opening polymerization of N
carboxyanhydrides (NCAs). The nanosheet structure was confirmed by transmission
electron microscopy (TEM) and atomic force microscopy (AFM) studies. Furthermore,
a novel "wrapping and penetrating" antibacterial mechanism of the nanosheets was
revealed by TEM and it is the key to significantly decrease the positive charges
but have a very low minimum inhibitory concentration (MIC) of 16 MUg mL(-1)
against typical Gram-positive and Gram-negative bacteria. Overall, our synthetic
strategy demonstrates a new insight for synthesizing antibacterial nanomaterials
with weak positive charges. Moreover, the unique antibacterial mechanism of our
nanosheets may be extended for designing next-generation antibacterial agents
without drug resistance.
PMID- 27181114
TI - Stimulants for impulsive violence in schizophrenia spectrum disordered women: a
case series and brief review.
AB - High violence prevalence is a common concern for forensic psychiatric settings.
Categorizing underlying drivers of violence has helped to direct treatment and
management efforts toward psychotic, predatory, and impulsively violent
psychopathology. This article describes a series of cases in which clozapine
provided adequate control of psychosis in women suffering schizophrenia-spectrum
disorders. Nevertheless, impulsive violence remained problematic. Add-on
methylphenidate was found to be safe and effective in curbing impulsive violent
behavior in this select group of patients.
PMID- 27181115
TI - The efficacy and safety of additional administration of tacrolimus in patients
with rheumatoid arthritis who showed an inadequate response to tocilizumab.
AB - OBJECTIVES: Tocilizumab (TCZ) shows good retention in patients with rheumatoid
arthritis (RA), but no previous reports demonstrated hopeful treatment options
against inadequate response to TCZ. Tacrolimus (TAC) has proved to show efficacy
against inadequate response to tumor necrosis factor alpha inhibitors, yet its
add-on effects on TCZ remain unknown. METHODS: Twenty patients with RA (17 women,
age 58.6 years, disease duration 12.1 years, prior TCZ duration 2.6 years, 18
intravenous [8 mg/kg/month] and 2 subcutaneous [324 mg/month] TCZ treatments,
methotrexate 6.1 mg/week [70.0%]) who showed an inadequate response to TCZ
(clinical disease activity index [CDAI] >= 5.8, 18 secondary non-responders) were
additionally treated with TAC (1.1 mg/day), and enrolled in this 24-week,
prospective study. RESULTS: Seventeen patients (85.0%) continued the treatment
for 24 weeks. Statistically significant decreases in outcome measures were as
follows: disease activity score based on 28 joints with C-reactive protein (DAS28
CRP) from 3.3 at baseline to 2.1 at week 24 (p < 0.001), CDAI from 17.7 to 7.6 (p
< 0.001), and serum matrix metalloproteinase-3 levels from 232.8 to 66.2 ng/ml (p
< 0.001). About 15 patients (75%) achieved low disease activity or remission
(DAS28-CRP <=2.7 or CDAI <=10) at week 24. CONCLUSIONS: Adding low-dose TAC to
inadequate responders to TCZ may be a promising complementary treatment option.
PMID- 27181116
TI - Commentary: Is There Clinical Benefit From Using a Diode or Neodymium:Yttrium
Aluminum-Garnet Laser in the Treatment of Periodontitis?
AB - Despite a quarter of a century of laser research, there is a persistent debate
regarding the efficacy of dental lasers in the treatment of periodontitis or
periodontal maintenance therapy. There are many claims and much hyperbole
surrounding the use of lasers, either as a monotherapy or adjunctive to scaling
and root planing, to treat periodontitis. There is little evidence that using a
diode or neodymium:yttrium-aluminum-garnet laser adds clinical value over and
above conventional non-surgical or surgical periodontal treatment. There is a
significant need for better designed human clinical trials. Data from such trials
should be analyzed according to initial probing depth and characteristics of the
treated sites, such as non-molar, molar flat surfaces, and molar furcations, and
evaluated for long-term post-treatment results.
PMID- 27181122
TI - The legendary life of Dr. Gim Shek Ju, the founding father of the education of
acupuncture and Chinese medicine in the United States.
PMID- 27181121
TI - Meta-Selective CAr-H Nitration of Arenes through a Ru3(CO)12-Catalyzed Ortho
Metalation Strategy.
AB - The first example of transition metal-catalyzed meta-selective CAr-H nitration of
arenes is described. With the use of Ru3(CO)12 as the catalyst and Cu(NO3)2.3H2O
as the nitro source, a wide spectrum of arenes bearing diversified N-heterocycles
or oximido as the directing groups were nitrated with meta-selectivity
exclusively. Mechanism studies have demonstrated the formation of a new 18e
octahedral ruthenium species as a key ortho-CAr-H metalated intermediate, which
may be responsible for the subsequent meta-selective electrophilic aromatic
substitution (SEAr). Moreover, this approach provides a fast-track strategy for
atom/step economical synthesis of many useful pharmaceutical molecules.
PMID- 27181123
TI - Interventional mechanisms of herbs or herbal extracts on renal interstitial
fibrosis.
AB - Renal interstitial fibrosis (RIF) is a common development in chronic renal
diseases that can lead to uremia and be life-threatening. The RIF pathology has
complicated extracellular and intercellular mechanisms, involving many cells and
cytokines, resulting in an incomplete mechanistic understanding of the disease.
Finding effective herbs or herbal extracts for prevention and treatment of RIF is
crucial because current medical approaches do not reliably slow or reverse RIF.
In recent years, many experts have worked to identify herbs or herbal extracts to
combat RIF both in vivo and in vitro, with some success. This review attempts to
summarize the possible interventional mechanisms of herbs or herbal extracts
involved in protecting and reversing RIF. The authors found some herbs and their
extracts that may ameliorate renal impairments through anti-inflammation, anti
fibrogenesis and stabilization of extra cellular matrix. Among them,
tetramethylpyrazine/ligustrazine, curcumin and polyglucoside of Tripterygium have
experimentally shown good potential for improving RIF. However, conclusive
evidence is still needed, especially in randomized controlled clinical trials. We
expect that herbs or herbal extracts will play an important role in RIF treatment
and reversal in the future.
PMID- 27181124
TI - Hazards of insomnia and the effects of acupuncture treatment on insomnia.
AB - Insomnia is a common disease in modern society; it is made worse by increasingly
fierce competition in the workplace and elsewhere, along with rapid economic and
social development. Sleep disorders can result in changes in serum biomarkers and
decreased immunity, and may cause maladies such as depression and cardiac
diseases, as well as many other somatic symptoms. Western medications for
treating insomnia can easily lead to addiction and other adverse effects.
Fortunately, acupuncture can ease the symptoms of insomnia. This review
summarizes the hazards associated with insomnia and the use of acupuncture in its
treatment. Furthermore, the authors introduce an effective and low-cost method of
treating insomnia with acupuncture. This review indicates that insomnia poses a
major threat to mental health through its effects on serum components, heart
function and the immune system of patients, which may lead to other physiological
disorders. Anxiety and depression are the two main negative emotions affected by
insomnia. Acupuncture, which has showed effectiveness against insomnia and its
complications, may be an effective and complementary method for the treatment of
insomnia and associated maladies.
PMID- 27181117
TI - Human infection with Strongyloides stercoralis and other related Strongyloides
species.
AB - The majority of the 30-100 million people infected with Strongyloides
stercoralis, a soil transmitted intestinal nematode, have subclinical (or
asymptomatic) infections. These infections are commonly chronic and longstanding
because of the autoinfective process associated with its unique life cycle. A
change in immune status can increase parasite numbers, leading to hyperinfection
syndrome, dissemination, and death if unrecognized. Corticosteroid use and HTLV-1
infection are most commonly associated with the hyperinfection syndrome.
Strongyloides adult parasites reside in the small intestine and induce immune
responses both local and systemic that remain poorly characterized. Definitive
diagnosis of S. stercoralis infection is based on stool examinations for larvae,
but newer diagnostics - including new immunoassays and molecular tests - will
assume primacy in the next few years. Although good treatment options exist for
infection and control of this infection might be possible, S. stercoralis remains
largely neglected.
PMID- 27181125
TI - Knowledge, attitude and practice of patients with type 2 diabetes mellitus
towards complementary and alternative medicine.
AB - OBJECTIVE: The use of complementary and alternative medicine (CAM) is relatively
common among patients with type 2 diabetes mellitus (T2DM) around the world. Like
many other countries, Saudi Arabia has a high incidence of T2DM, and incorporates
the use of CAM in its treatment. This study explores the knowledge, attitude and
practice (KAP) of CAM therapies among patients with T2DM and explores the
relationships between sociodemographics and the KAP of CAM modalities. METHODS:
This is a hospital-based, observational, cross-sectional study that selected 302
outpatients with T2DM, from Diabetic Center of King Salman bin Abdul-Aziz
Hospital, in Riyadh city, in May 2014. Patients were interviewed using a
predesigned questionnaire. RESULTS: The mean age of patients was (51.6 +/- 10.6)
years and 43.4% of them were males. The prevalence of CAM practices was 30.5%;
30.39% of them used herbs, 20.58% used wet cupping and 17.64% used nutritional
supplements and other therapies. The factors found to predict the use of CAM
therapies among patients with T2DM were: age above 51 years, unemployment and the
participants+/- knowledge about the effectiveness of CAM products. CONCLUSION:
This preliminary study estimated the prevalence of CAM use, revealed positive
attitude towards CAM and found three significant predictors of CAM use among
patients with T2DM, which is consistent with regional data. A community-based
research with a larger sample that targets T2DM population is needed in Saudi
Arabia.
PMID- 27181126
TI - Repeated cupping manipulation temporary decreases natural killer lymphocyte
frequency, activity and cytotoxicity.
AB - OBJECTIVE: Elevated natural killer lymphocyte cytotoxicity (NKc) has been linked
with reproductive problems in women. Here we evaluate the potential benefit of
cupping therapy (CT) in reproduction-related immune responses. METHODS: This was
a pilot clinical study. Participants were healthy female volunteers (n = 23) with
elevated NKc, and received repeated CT 3 times over 5 d (inner pressure 40-50
kPa, 40 min; 12-15 cups). Lymphocyte subsets, NKc and NK lymphocyte activity
(NKa) were measured in blood on day 0 (initial levels, before the first
treatment) and days 3, 10 and 17 after the last CT treatment, using the K562
stimulated CD69 expression assay. RESULTS: As a result of CT manipulations NKa
was reduced on days 3 and 10, and NK percentage was reduced on day 10. NKc was
most sensitive to CT treatment, resulting in their decreased counts at 3, 10 and
17 d post CT. CT treatment decreased NKc in the majority of individuals (87%),
but the magnitude of the effect was variable. Out of 23 subjects 9 (39.1%) had a
2-3 fold decrease of NKc on days 3, 10 and 17; 11 (47.8%) started to show a
decrease in NKc later, or more quickly returned to base levels; and only 3 (13%)
subjects displayed no effect of CT on NKc. Expectedly, no changes in T-cell
subsets (CD3CD4, CD3CD8, HLADR, CD158a) were observed after CT. CONCLUSION: CT
decreased NK cell numbers, their activity and cytotoxicity. Low cost, safety, non
invasive nature and ease of administration make CT a promising approach for NKc
down-regulation.
PMID- 27181127
TI - Celastrol targets IRAKs to block Toll-like receptor 4-mediated nuclear factor
kappaB activation.
AB - OBJECTIVE: Celastrol has been established as a nuclear factor-kappaB (NF-kappaB)
activation inhibitor; however, the exact mechanism behind this action is still
unknown. Using text-mining technology, the authors predicted that interleukin-1
receptor-associated kinases (IRAKs) are potential celastrol targets, and
hypothesized that targeting IRAKs might be one way that celastrol inhibits NF
kappaB. This is because IRAKs are key molecules for some crucial pathways to
activate NF-kappaB (e.g., the interleukin-1 receptor (IL-1R)/Toll-like receptor
(TLR) superfamily). METHODS: The human hepatocellular cell line (HepG2) treated
with palmitic acid (PA) was used as a model for stimulating TLR4/NF-kappaB
activation, in order to observe the potential effects of celastrol in IRAK
regulation and NF-kappaB inhibition. The transfection of small interfering RNA
was used for down-regulating TLR4, IRAK1 and IRAK4, and the Western blot method
was used to detect changes in the protein expressions. RESULTS: The results
showed that celastrol could effectively inhibit PA-caused TLR4-dependent NF
kappaB activation in the HepG2 cells; PA also activated IRAKs, which were
inhibited by celastrol. Knocking down IRAKs abolished PA-caused NF-kappaB
activation. CONCLUSION: The results for the first time show that targeting IRAKs
is one way in which celastrol inhibits NF-kappaB activation.
PMID- 27181128
TI - A homeopathic nosode, Hepatitis C 30 demonstrates anticancer effect against liver
cancer cells in vitro by modulating telomerase and topoisomerase II activities as
also by promoting apoptosis via intrinsic mitochondrial pathway.
AB - OBJECTIVE: Homeopathic nosodes have seldom been scientifically validated for
their anticancer effects. This study was conducted to examine if a recently
developed hepatitis C nosode has demonstrable anticancer potential in cancer
cells in vitro. METHODS: Anticancer effects of Hepatitis C 30C (Hep C 30), if
any, were initially tested on three cancer cell lines, HepG2 (liver cancer), MCF
7 (breast cancer) and A549 (lung cancer) and one normal liver cell line WRL-68
cells and subsequently a more thorough study using further scientific protocols
was undertaken on HepG2 cells (against WRL-68 cells as the normal control) as
HepG2 cells showed better anticancer response than the other two. Three doses,
one at 50% lethal dose (LD50) and the other two below LD50, were used on HepG2
cells subsequently. Protocols like apoptosis induction and its possible signaling
mechanism were deployed using immunoblots of relevant signal proteins and
confocal microscopy, with particular reference to telomerase and topoisomerase II
(Top II) activities, two strong cancer biomarkers for their direct relationship
with divisional activities of cells and DNAs. RESULTS: Hep C 30 induced
apoptosis, caused distorted cell morphology typical of apoptotic cells, increased
reactive oxygen species generation and produced increased DNA nicks. Further it
enhanced pro-apototic signal proteins like Bax, cytochrome c and inhibited anti
apoptotic signal proteins, Bcl-2, cytochrome c and caspase-3, changed
mitochondrial membrane potential and caused externalization of
phosphatidylserine. The drug also decreased expression of two cancer biomarkers,
Top II and telomerase, consistent with its anticancer effect. CONCLUSION: Hep C
30 has demonstrable anticancer effects against liver cancer cells in vitro.
PMID- 27181129
TI - Evaluation of the effect of acupuncture on hand pain, functional deficits and
health-related quality of life in patients with rheumatoid arthritis--A study
protocol for a multicenter, double-blind, randomized clinical trial.
AB - BACKGROUND: Rheumatoid arthritis (RA) is a systemic inflammatory disease
characterized by functional disability and pain. Although acupuncture is widely
used, until now Western acupuncture studies on RA have not shown conclusive
positive results. Acupuncture is regarded as a reflex therapy that has effects on
the human autonomic nervous system. By establishing a traditional Chinese
medicine (TCM) diagnosis first, the practitioner is able to choose acupoints
according to the state of each individual patient. METHODS/DESIGN: We are
interested if acupuncture, using a classical diagnostic procedure to allocate
acupoints to the patient according to the Shang Han Lun theory, can be effective
in relieving pain, improving hand function and increasing health-related quality
of life in RA.The authors intend to harmonize TCM diagnosis according to clinical
and genetic profiles. Patients with the TCM diagnosis of a so-called Turning
Point syndrome will be followed up in a randomized, prospective, double-blind,
placebo-controlled, multicenter and three-armed parallel-group study with a
standardized treatment in order to optimize potential therapeutic effects of
acupuncture on pain, strength and muscle function of patients with RA as well as
the influence on inflammation and quality of life. DISCUSSION: The findings of
this study will provide important clinical information about the feasibility and
efficacy of acupuncture treatment for RA patients. In addition, it will explore
the feasibility of further acupuncture research. TRIAL REGISTRATION NUMBER:
ClinicalTrials.gov Identifier NCT02553005.
PMID- 27181131
TI - Ten-year kidney transplant survival of cyclosporine- or tacrolimus-treated
patients in Brazil.
AB - BACKGROUND: Cyclosporine and tacrolimus are well established immunosuppressants;
however little is known about long term survival rates. The project aim was to
compare 10-year graft survival and associated factors among kidney transplant
patients within the Brazilian Public Health System (SUS) prescribed either
immunosuppressant. METHODS: Analyze a national cohort of kidney transplant
recipients within SUS. Graft loss defined by death or dialysis for more than
three months. Kaplan-Meier method used to estimate cumulative probabilities of
survival. Cox proportional hazards model used to evaluate factors associated with
progression to graft loss. RESULTS: 13,811 patients were included, 5,887 used
cyclosporine and 7,924 tacrolimus. A higher risk of graft loss was associated
with tacrolimus, a deceased donor, additional years of age, median period of
dialysis greater than 47 months, diagnosis of diabetes as the primary cause of
chronic kidney disease and transplantation between 2005 and 2009. CONCLUSIONS:
Among other factors, tacrolimus-based regimens were associated with worse graft
survival.
PMID- 27181132
TI - Predictive role of host constitutive variants in neoadjuvant therapy of
esophageal cancer.
AB - Chemoradiotherapy followed by surgery is at present the standard therapeutic
approach for esophageal cancer (EC) in patients with resectable tumor. However,
response to neoadjuvant therapy is characterized by a strong interpatient
variability, and the identification of markers predictive of outcome is
mandatory. In this review, taking into account the currently available
literature, we report the impact that host genetic variables can have on EC
neoadjuvant therapy. We mainly focused on the gene variants involved in the
pharmacokinetics and pharmacodynamics of the common chemotherapeutic drugs used
to treat EC patients, commented on the weakness of the present knowledge, and
discussed the future strategies to achieve a more personalized and effective EC
treatment.
PMID- 27181133
TI - Trihaloethenes as versatile building blocks for organic synthesis.
AB - This review highlights the chemistry of trihaloethene building blocks with a
special focus on commercially available 1,1,2-trichloroethene. The topics
surveyed herein include the use of trihaloethenes as C2-building blocks for
transition metal-catalyzed coupling reactions, addition, elimination and
cycloaddition reactions as well as natural product syntheses.
PMID- 27181130
TI - Adjunctive acupuncture for pain and symptom management in the inpatient setting:
protocol for a pilot hybrid effectiveness-implementation study.
AB - BACKGROUND: Effective pain management among hospitalized patients is an important
aspect of providing quality care and achieving optimal clinical outcomes and
patient satisfaction. Common pharmacologic approaches for pain, though effective,
have serious side effects and are not appropriate for all inpatients. Findings
from randomized controlled trials (RCTs) support the efficacy of acupuncture for
many symptoms relevant to inpatients including postoperative pain, cancer-related
pain, nausea and vomiting, and withdrawal from narcotic use. However, the extent
to which findings from RCTs translate to real-world implementation of acupuncture
in typical hospital settings is unknown. METHODS/DESIGN: In partnership with the
launch of a clinical program offering acupuncture services to inpatients at the
University of California San Francisco's Mount Zion Hospital, we are conducting a
pilot study using a hybrid effectiveness-implementation design to: (1) assess the
effectiveness of acupuncture to manage pain and other symptoms and improve
patient satisfaction; and (2) evaluate the barriers and facilitators to
implementing an on-going acupuncture service for inpatients. During a two-month
pre-randomization phase, we evaluated and adapted clinical scheduling and
treatment protocols with acupuncturists and hospital providers and pretested
study procedures including enrollment, consent, and data collection. During a six
month randomization phase, we used a two-tiered consent process in which
inpatients were first consented into a study of symptom management, randomized to
be offered acupuncture, and consented for acupuncture if they accepted. We are
also conducting in-depth interviews and focus groups to assess evidence, context,
and facilitators of key provider and hospital administration stakeholders.
DISCUSSION: Effectiveness research in "real-world" practice settings is needed to
inform clinical decision-making and guide implementation of evidence-based
acupuncture practices. To successfully provide clinical acupuncture services and
maintain a rigorous research design, practice-based trials of acupuncture require
careful planning and attention to setting-specific, contextual factors. TRIAL
REGISTRATION: This trial has been registered in ClinicalTrials.gov. The
identifier is NCT01988194, registered on November 5, 2013.
PMID- 27181134
TI - Differentiating hand-foot syndrome from tinea in patients receiving chemotherapy.
PMID- 27181135
TI - Chemical composition and vascular and intestinal smooth muscle relaxant effects
of the essential oil from Psidium guajava fruit.
AB - CONTEXT: Psidium guajava L. (Myrtaceae) is widely used in traditional medicine
for the treatment of various ailments including cardiovascular and
gastrointestinal disorders. OBJECTIVES: The current study investigated the
chemical composition and cardiovascular and gastrointestinal effects of the
essential oil of P. guajava. MATERIALS AND METHODS: The chemical composition of
the essential oil was investigated using gas chromatography-mass spectrometry (GC
MS) technique. The biological activity of the essential oil was tested on rabbit
aorta and jejunum. All changes in isometric tension were recorded through a force
transducer coupled with a bridge amplifier data acquisition system. RESULTS AND
DISCUSSION: GC-MS analysis showed the presence of butanoic acid methyl ester, 3
methyl glutaric anhydride, 1-butanol, 3-hexenal, cinnamyl alcohol, 1-hexanol and
hexane as the major components. In isolated rabbit aorta preparations, the
essential oil showed vasorelaxation at doses of 3-10 mg/mL against high K+ and
phenylephrine pre-contractions with EC50 values of 5.52 (5-6.04) and 6.23 mg/mL
(5.0-7.46). The essential oil inhibited spontaneous and high K+ induced
contractions in isolated rabbit jejunum with EC50 values of 0.84 (0.3-1.38) and
0.71 mg/mL (0.3-1.12) and shifted Ca + 2 concentration curves to the right,
similar to verapamil, suggesting spasmolytic activity mediated possibly through
Ca + 2 channel blockade. CONCLUSIONS: In summary, the data indicated the presence
of seven different phytoconstituents in the essential oil of P. guajava and
calcium channel blocking activity, which provides a pharmacological base to the
traditional use of P. guajava in cardiovascular and gastrointestinal disorders.
Further studies are suggested to explore the molecular nature of these effects.
PMID- 27181136
TI - Fetal scalp blood lactate during second stage of labor: determination of
reference values and impact of obstetrical interventions.
AB - OBJECTIVE: To determine the reference interval of fetal scalp blood lactate
during second stage of labor. MATERIAL: Two hundred and fifty-three women in
first stage of labor with a reassuring CTG were asked for permission to sample
fetal scalp blood during second stage. RESULTS: In cases with reassuring CTG and
five minute Apgar score >=9, the mean lactate value (+/-2 SD) was 2.5 mmol/L
(lower limit 1.1, higher limit 5.2). The lactate concentration was significantly
higher among nulliparous and in cases with use of epidural or oxytocin (p
<0.001). There was a moderate positive correlation between scalp lactate values
and active pushing time. When parity, epidural, oxytocin and active pushing time
were analyzed together, they had equal influence on lactate values (p <0.001).
Higher lactate values were associated with intermediate/pathological CTG compared
to normal CTG (p <0.001). There was no correlation to gestational age or
birthweight (p = 0.72, respectively 0.43). CONCLUSIONS: The reference interval of
fetal scalp lactate during second stage is 1.1-5.2 mmol/L. Parity, use of
epidural or oxytocin and the duration of pushing are associated to increased
lactate concentration; however, we could not demonstrate any correlation to
advancing gestational age or birthweight.
PMID- 27181137
TI - Enantiopure and racemic radical-cation salts of B(malate)2(-) anions with BEDT
TTF.
AB - We have synthesized the first examples of radical-cation salts of BEDT-TTF with
chiral borate anions, [B(malate)2](-), prepared from either enantiopure or
racemic bidentate malate ligands. In the former case only one of two
diastereoisomers of the borate anion is incorporated, while for the hydrated
racemic salt one racemic pair of borate anions containing a R and a S malate
ligand is incorporated. Their conducting and magnetic properties are reported.
The tight-binding band calculation indicates that the chiral salt has an
effective half-filled flat band, which is likely to be caused by the chiral
structural feature.
PMID- 27181158
TI - The remarkable ability of anions to bind dihydrogen.
AB - The structural features and hydrogen binding affinity of anions F(-), Cl(-), Br(
), OH(-), NH2(-), NO2(-), CN(-), and ClO(-) have been explored at the CCSD(T)/aug
cc-pVTZ//CCSD/6-311++G(d,p) level of coupled cluster theory and the M06L/6
311++G(d,p) level of density functional theory along with a two-point
extrapolation to the complete basis set limit and a benchmark study at CCSD(T)
and MP2 levels. The coupled cluster, MP2 and DFT methods yield comparable results
and show that anions have very high capacity to store hydrogen as the weight
percent of H2 in the highest H2-coordinated state of F(-), Cl(-), Br(-), OH(-),
NH2(-), NO2(-), CN(-), and ClO(-) is 56.0, 47.6, 33.5, 64.0, 65.4, 41.2, 55.4,
and 40.0 wt%, respectively. The CCSD(T)/aug-cc-pVTZ//CCSD/6-311++G(d,p) results
are presented for anions coordinated with up to nine or ten H2 molecules, while
up to the entire first coordination shell is computed using the M06L method which
revealed H2 coordination numbers of 12, 16, 20, 15, 15, 16, 16, and 17,
respectively, for F(-), Cl(-), Br(-), OH(-), NH2(-), NO2(-), CN(-), and ClO(-).
An increase in the total interaction energy (Eint) and a decrease in the
interaction energy per H2 molecule (Eint/H2) with an increase in the number of
coordinated H2 molecules are observed. However, the decrease in Eint/H2 is very
less and even in the highest coordinated anions, substantially good values of
Eint/H2 are observed, viz. 4.24, 2.59, 2.09, 3.32, 3.07, 2.36, 2.31, and 2.63
kcal mol(-1) for F(-), Cl(-), Br(-), OH(-), NH2(-), NO2(-), CN(-), and ClO(-),
respectively, which are comparable with the values obtained for complexes with
lesser H2 coordination. The stability of the complexes is attributed to the
formation of a large number of non-covalent X(-)H bonds as revealed by the
identification of bond critical points in the quantum theory of atoms in
molecules (QTAIM) analysis. Further, critical features of molecular electrostatic
potential (MESP) have been used to correlate the stability of X(-)(H2)n complexes
with the charge delocalization in the complexes. These results show that anions
have a remarkable ability to bind with a large number of hydrogen molecules and
this property can be utilized for the development of novel salt systems for
hydrogen storage.
PMID- 27181161
TI - Strong Electrostatic Interactions Lead to Entropically Favorable Binding of
Peptides to Charged Surfaces.
AB - Thermodynamic analyses can provide key insights into the origins of protein self
assembly on surfaces, protein function, and protein stability. However, obtaining
quantitative measurements of thermodynamic observables from unbiased classical
simulations of peptide or protein adsorption is challenging because of sampling
limitations brought on by strong biomolecule/surface binding forces as well as
time scale limitations. We used the parallel tempering metadynamics in the well
tempered ensemble (PTMetaD-WTE) enhanced sampling method to study the adsorption
behavior and thermodynamics of several explicitly solvated model peptide
adsorption systems, providing new molecular-level insight into the biomolecule
adsorption process. Specifically studied were peptides LKalpha14 and LKbeta15 and
trpcage miniprotein adsorbing onto a charged, hydrophilic self-assembled
monolayer surface functionalized with a carboxylic acid/carboxylate headgroup and
a neutral, hydrophobic methyl-terminated self-assembled monolayer surface.
Binding free energies were calculated as a function of temperature for each
system and decomposed into their respective energetic and entropic contributions.
We investigated how specific interfacial features such as peptide/surface
electrostatic interactions and surface-bound ion content affect the thermodynamic
landscape of adsorption and lead to differences in surface-bound conformations of
the peptides. Results show that upon adsorption to the charged surface,
configurational entropy gains of the released solvent molecules dominate the
configurational entropy losses of the bound peptide. This behavior leads to an
apparent increase in overall system entropy upon binding and therefore to the
surprising and seemingly nonphysical result of an apparent increased binding free
energy at elevated temperatures. Opposite effects and conclusions are found for
the neutral surface. Additional simulations demonstrate that by adjusting the
ionic strength of the solution, results that show the expected physical behavior,
i.e., peptide binding strength that decreases with increasing temperature or is
independent of temperature altogether, can be recovered on the charged surface.
On the basis of this analysis, an overall free energy for the entire
thermodynamic cycle for peptide adsorption on charged surfaces is constructed and
validated with independent simulations.
PMID- 27181160
TI - Intrinsic quantum spin Hall and anomalous Hall effects in h-Sb/Bi epitaxial
growth on a ferromagnetic MnO2 thin film.
AB - Exploring a two-dimensional intrinsic quantum spin Hall state with a large band
gap as well as an anomalous Hall state in realizable materials is one of the most
fundamental and important goals for future applications in spintronics,
valleytronics, and quantum computing. Here, by combining first-principles
calculations with a tight-binding model, we predict that Sb or Bi can epitaxially
grow on a stable and ferromagnetic MnO2 thin film substrate, forming a flat
honeycomb sheet. The flatness of Sb or Bi provides an opportunity for the
existence of Dirac points in the Brillouin zone, with its position effectively
tuned by surface hydrogenation. The Dirac points in spin up and spin down
channels split due to the proximity effects induced by MnO2. In the presence of
both intrinsic and Rashba spin-orbit coupling, we find two band gaps exhibiting a
large band gap quantum spin Hall state and a nearly quantized anomalous Hall
state which can be tuned by adjusting the Fermi level. Our findings provide an
efficient way to realize both quantized intrinsic spin Hall conductivity and
anomalous Hall conductivity in a single material.
PMID- 27181162
TI - Solvent effects on modulus of poly(propylene oxide)-based organogels as measured
by cavitation rheology.
AB - A series of novel organogels were synthesized from poly(propylene oxide) (PPO)
functionalized with main chain urea moieties which provided rapid gelation and
high moduli in a variety of solvents. Three different molecular weight PPOs were
used in this study: 430, 2000, and 4000 g mol(-1), each with alpha,omega-amino
end groups. Four urea groups were introduced into the main chain by reaction with
hexamethylene diisocyanate followed by subsequent reaction with a monofunctional
alkyl or aromatic amine. This PPO/urea gelator was found to form gels in carbon
tetrachloride, chloroform, dichloromethane, toluene, ethyl acetate, and
tetrahydrofuran. Among these, carbon tetrachloride and toluene were found to be
the best solvents for this system, resulting in perfectly clear gels with high
moduli at low mass fraction for PPO-2000 systems. Flory-Huggins polymer-solvent
interaction parameter, chi, was found to be a useful indicator of gel quality for
these systems, with chiCCl4/PPO-2000 < 0.5 and chitoluene/PPO-2000~ 0.5. Systems
with chi parameters >0.5 were found to form low moduli gels, indicating that for
these systems, polymer-solvent interaction parameters can be a useful predictor
of gel quality in different solvent systems.
PMID- 27181159
TI - A genetic variant in proximity to the gene LYPLAL1 is associated with lower
hunger feelings and increased weight loss following Roux-en-Y gastric bypass
surgery.
AB - OBJECTIVE: Bariatric surgery is the most efficient treatment of severe obesity.
We investigated to what extent BMI- or waist-hip ratio (WHR)-related genetic
variants are associated with excess BMI loss (EBMIL) two years after Roux-en-Y
gastric bypass (RYGB) surgery, and elucidated the affected biological pathways.
METHODS: Two-hundred fifty-one obese patients (age: 43 +/- 10.7, preoperative
BMI: 45.1 +/- 6.1 kg/m(2), 186 women) underwent RYGB surgery and were followed up
after two years with regard to BMI. Patients were genotyped for 32 single
nucleotide polymorphisms (SNPs) that were investigated with regard to their
impact on response to RYGB and preoperatively measured Three Factor Eating
Questionnaire (TFEQ) scores. RESULTS: Homozygous T carriers of the SNP rs4846567
in proximity to the Lysophospholipase-like 1 (LYPLAL1) gene showed a 7% higher
EBMIL compared to wild-type and heterozygous carriers (p = 0.031). TT-allele
carriers showed furthermore lower scores for Hunger (74%, p < 0.001), lower
Disinhibition (53%, p < 0.001), and higher Cognitive restraint (21%, p = 0.017)
than GG/GT carriers in the TFEQ. Patients within the lowest quartile of Hunger
scores had a 32% greater EBMIL compared to patients in the highest quartile (p <
0.001). CONCLUSION: The LYPLAL1 genotype is associated with differences in eating
behavior and loss of extensive body weight following RYGB surgery. Genotyping and
the use of eating behavior-related questionnaires may help to estimate the RYGB
associated therapy success.
PMID- 27181163
TI - Safety evaluation and antihyperlipidemia effect of aqueous extracts from
fermented puerh tea.
AB - Fermented puerh tea, having undergone a long period of secondary oxidization and
fermentation, has become more and more popular in recent years. In the present
paper, a safety evaluation of aqueous extracts from fermented puerh tea (EFPT)
was performed, including an oral acute toxicity study in rats and mice, mutation
tests, a mouse micronucleus test, mouse sperm abnormality test and a 30 day
feeding study in rats. Meanwhile, the antihyperlipidemia effect of EFPT was
investigated as well. It was found that the oral maximum tolerated dose of EFPT
was more than 10.0 g per kg body weight both in rats and mice. And it had no
mutagenicity as judged by negative experimental results of the mutation test. No
abnormal symptoms, clinical signs or deaths have been found in rats in each group
throughout the experiments. In addition, EFPT in this study showed certain
effects on hyperlipidemia.
PMID- 27181164
TI - In-stem thiazole orange reveals the same triplex intermediate for pH and thermal
unfolding of i-motifs.
AB - The unfolding pathway of human telomeric i-motifs was monitored by both monomer
and exciplex fluorescence of in-stem thiazole orange. A uniform triplex
intermediate was observed upon unfolding i-motifs against either pH or thermal
denaturation.
PMID- 27181165
TI - Pharmacologic Approaches to Weight Management: Recent Gains and Shortfalls in
Combating Obesity.
AB - Obesity is a growing epidemic in the USA with over one third of adults presently
classified as obese. Obesity-related comorbidities include many leading causes of
preventable death such as heart disease, stroke, type 2 diabetes, and certain
types of cancer. Modest weight loss of 5-10 % of body weight is sufficient to
produce clinically relevant improvements in cardiovascular disease risk factors
among patients with overweight and obesity. Until recently, there were limited
pharmacologic options approved by the Food and Drug Administration to treat
obesity. Phentermine/topiramate ER and lorcaserin were approved in 2012, and
naltrexone SR/bupropion SR and liraglutide 3.0 mg were approved in 2014. This
article reviews recent literature in the field of Obesity Medicine and highlights
important findings from clinical trials. Future directions in the pharmacologic
management of obesity are presented along with new diabetes medications that
promote weight loss and reduce cardiovascular mortality.
PMID- 27181167
TI - Design and fabrication of clustered rugged ZnO nanotube films with condensate
microdrop self-propelling function.
AB - We design and fabricate a type of condensate microdrop self-propelling (CMDSP)
clustered rugged nanotube film, which is achieved by two-step electrodeposition
and low-surface-energy silane modification. This work offers an avenue for
developing CMDSP surfaces with self-cleaning, antifrosting, and antidewing
functions.
PMID- 27181166
TI - Impaired Nitric Oxide Mediated Vasodilation In The Peripheral Circulation In The
R6/2 Mouse Model Of Huntington's Disease.
AB - Recent evidence shows that the Huntington's disease (HD) extends beyond the
nervous system to other sites, including the cardiovascular system. Further, the
cardiovascular pathology pre-dates neurological decline, however the mechanisms
involved remain unclear. We investigated in the R6/2 mouse model of HD nitric
oxide (NO) dependent and independent endothelial mechanisms. Femoral artery
reactivity was determined by wire myography in wild type (WT) and R6/2 mice at 12
and 16 weeks of adulthood. WT mice showed increased endothelial relaxation
between 12 and 16 weeks (Rmax: 72 +/- 7% vs. 97 +/- 13%, P < 0.05). In contrast,
R6/2 mice showed enhanced endothelial relaxation already by 12 weeks (Rmax at
12w: 72 +/- 7% vs. 94 +/- 5%, WT vs. R6/2, P < 0.05) that declined by 16 weeks
compared with WT mice (Rmax at 16w: 97 +/- 13% vs. 68 +/- 7%, WT vs. R6/2, P <
0.05). In WT mice, the increase in femoral relaxation between 12 and 16 weeks was
due to enhanced NO dependent mechanisms. By 16 weeks of adult age, the R6/2 mouse
developed overt endothelial dysfunction due to an inability to increase NO
dependent vasodilation. The data add to the growing literature of non-neural
manifestations of HD and implicate NO depletion as a key mechanism underlying the
HD pathophysiology in the peripheral vasculature.
PMID- 27181168
TI - Calcitriol prevents inflammatory gene expression in macrovascular endothelial
cells.
AB - BACKGROUND: Calcitriol (vitamin D) supplementation has been proposed for
therapeutical use in vascular diseases due to its immunomodulatory activity,
preventing inflammation and promoting angiogenesis. In the present study, we
hypothesised whether calcitriol downregulates pro-inflammatory gene expression
without affecting angiogenesis and anti-inflammatory gene expression in LPS
induced endothelial cells. METHOD: In order to evaluate the effect of calcitriol
in suppressing inflammatory gene expression in the endothelium, endothelial cells
were exposed to the physiological concentration of calcitriol followed by
stimulation with lipopolysaccharide (LPS). Gene expression of interleukin (IL)
1beta, Transforming Growth Factor (TGF)-beta, Human beta-defensin (HBD)-2,
angiogenin (ANG) and cathelicidin (LL-37) were quantified by quantitative
polymerase chain reaction. RESULTS: The results from six independent experiments
conducted in duplicate, showed that calcitriol decreased IL-1beta (p < 0.01) and
HBD-2 expression (p < 0.01) when compared to non-treated cells. However,
calcitriol treatment had no effect on TGF-beta, ANG and LL-37 gene expression.
CONCLUSION: Calcitriol prevents inflammatory gene expression, but does not affect
expression of angiogenic genes in endothelial cells, which suggest the potential
use of calcitriol to prevent endothelial activation through the downregulation of
IL-1beta and HBD-2.
PMID- 27181169
TI - Preliminary feasibility and modelling of a liquid matrix Dictyostelium discoideum
virulence assay for Pseudomonas aeruginosa.
AB - OBJECTIVES: To develop and determine the feasibility of using a liquid matrix
adaptation of the Dictyostelium discoideum bacterial virulence assay by testing
on well-characterised clinical and environmental isolates of Pseudomonas
aeruginosa. MATERIALS AND METHODS: Axenic AX2 D. discoideum were co-cultured with
clinical and environmental isolates of P. aeruginosa in costar 24-well tissue
culture plates for 24 h. A P. aeruginosa PAO1 positive control was tested in
biological quintuplicate. Wells were then inspected using an inverted microscope
and the degree of cytotoxic changes (sparse growth compared to control combined
with rounding of cells and cytoplasmic shrinkage) on the D. discoideum cells was
observed. A Klebsiella aerogenes negative control was included with each assay
series. RESULTS: Sixty-five clinical and 20 environmental P. aeruginosa isolates
were tested in the model. Cystic fibrosis respiratory isolates were found to be
significantly (P < 0.05) less cytotoxic than P. aeruginosa from other sources.
Limitations attached to the funding of this paper did not allow validation
against previously employed models or animal models. DISCUSSION: A liquid matrix
D. discoideum model for the analysis of P. aeruginosa virulence in a eukaryotic
host is feasible, but further validation of the model is required before it may
be employed in routine setting.
PMID- 27181170
TI - Serum TGF-beta1 in patients with acute myocardial infarction.
PMID- 27181171
TI - Thyroid and parathyroid hormones in benign prostatic hyperplasia.
PMID- 27181172
TI - Molecular identification and characterisation of catalase and catalase-like
protein genes in urease-positive thermophilic Campylobacter (UPTC).
AB - BACKGROUND: Thermophilic Campylobacter are important bacterial pathogens of
foodborne diseases worldwide. These organisms' physiology requires a
microaerophilic atmosphere. To date, little is known about the protective
catalase mechanism in urease-positive thermophilic campylobacters (UPTC); hence,
it was the aim of this study to identify and characterise catalase and catalase
like protein genes in these organisms. MATERIALS AND METHODS: Catalase (katA) and
catalase (Kat)-like protein genes from the Japanese UPTC CF89-12 strain were
molecularly analysed and compared with C. lari RM2100 and other C. lari and
thermophilic Campylobacter reference isolates. RESULTS: A possible open reading
frame of 1,422 base pairs, predicted to encode a peptide of 474 amino acid
residues, with calculated molecular weight of 52.7 kilo Daltons for katA, was
identified within UPTC CF89-12. A probable ribosome binding site, two putative
promoters and a putative rho-independent transcription terminator were also
identified within katA. A similar katA cluster also existed in the C. lari RM2100
strain, except that this strain carries no DcuB genes. However, the Kat-like
protein gene or any other homologue(s) were never identified in the C. lari
RM2100 strain, or in C. jejuni and C. upsaliensis. CONCLUSIONS: This study
demonstrates the presence of catalase/catalase-like protein genes in UPTC
organisms. These findings are significant in that they suggest that UPTC
organisms have the protective genetic capability of helping protect the organisms
from toxic oxygen stress, which may help them to survive in physiologically harsh
environments, both within human and animal hosts, as well as in the natural
environment.
PMID- 27181173
TI - Identification of minor chromosomal defects causing abnormal foetus and
spontaneous abortions.
AB - BACKGROUND: Chromosomal abnormalities are the most common cause of recurrent
abortions and miscarriages (RAM), but micro-variations on chromosomes causing RAM
have never been previously studied. Single nucleotide polymorphisms (SNPs) are
the single nucleotide variations frequently present at genome with the density of
at least one common (>20% allele frequency) SNP per kilobase pair. It has already
been reported that SNP array examination for chromosomal abnormalities has better
performance than the conventional cytogenetic karyotyping. METHODS: We applied
SNP array to detect the chromosomal defects in 80 placental villi and foetal
tissues of abnormal foetus and spontaneous abortions. RESULTS: The analyses of
data revealed that total 52.5% (42/80) cases were found to have chromosomal
abnormalities. The trisomies were most commonly found 26/42 (61.9%) in current
samples. Total 8/42 (19.1%) cases were found to have other structural aberrations
including translocations in 2/8 (25%), duplications and deletions in 3/8 (37.5%)
cases, respectively. SNP analysis also successfully detected triploidy 69,XXX and
tetraploidy 92,XXXY. Total 12/80 cases were performed by cytogenetic karyotyping
and results were compared with SNP data. Total 5/12 (41.7%) cases were found to
have same findings with SNP data while results of 2/12 (16.7%) cases had partial
similarity between both techniques. Four cases were declared as karyotypically
normal (46,XY or 46,XX) by cytogenetic examination, but later on these four cases
were found to have small chromosomal variation which could be the cause of RAM in
women. CONCLUSION: Therefore, we conclude that use of a high-density SNP platform
in diagnosis can give better understanding of molecular causes of pregnancy loss
and foetal abnormalities.
PMID- 27181174
TI - Antimicrobial resistance in cystic fibrosis isolates of Haemophilus influenzae.
PMID- 27181175
TI - Aseptic meningitis after measles-mumps-rubella (MMR) vaccination.
PMID- 27181176
TI - Cervical lymph node thyroglobulin measurement in washout of fine-needle aspirates
for diagnosis of papillary thyroid cancer metastases.
AB - BACKGROUND: Patients with papillary thyroid cancer (PTC) and enlarged cervical
lymph nodes (CLNs) are usually assessed by fine-needle aspiration biopsy cytology
(FNAB-C). Thyroglobulin (Tg) is frequently detected in washout of fine-needle
aspirates (FNA) of these lymph nodes. The aim of this study was to evaluate the
accuracy of the measurement of FNAB-Tg in the washout of FNAB in combination with
FNAB-C to detect CLN metastases in PTC. METHODS: We retrospectively evaluated 163
surgically proven CLNs. Ultrasound-guided FNAB-C and FNAB-Tg measurements were
performed and the ultrasound features were evaluated. RESULTS: The sensitivity,
specificity and accuracy of FNAB-C, FNAB-Tg and FNAB-C/FNAB-Tg in diagnosis of
metastatic CLNs were 85.7, 87.8 and 71.6%, were 80.5, 87 and 82.8% and were 97.1,
96.3 and 95.7%, respectively. The diagnostic sensitivity, specificity and
accuracy of FNAB-C/FNAB-Tg for metastatic CLNs was significantly higher than that
of FNAB-C or FNAB-Tg alone (p < 0.01). CONCLUSION: Combined US-guided FNAB-C and
FNAB-Tg can improve the accuracy for diagnosis of metastatic CLNs in patients
with PTC.
PMID- 27181177
TI - Ab initio molecular dynamics investigation of structural, dynamic and
spectroscopic aspects of Se(vi) species in the aqueous environment.
AB - Microscopic investigation of solvation of selenic acid (H2SeO4) in the aqueous
environment has been carried out using the Car-Parrinello molecular dynamics
simulation technique. The species deprotonates to HSeO4(-) in a few picoseconds
owing to its low pKa1 value of -3.0. A dynamic equilibrium between HSeO4(-) and
SeO4(2-), is observed in qualitative agreement with the reported pKa2 value of
1.70. The governing deprotonation mechanism and the structural and dynamic
evolutions of the system, particularly the nature of hydrogen bonding, their
strengths and lifetimes are investigated comprehensively. A comparison of the
vibrational spectra of the species recorded in the gas phase and in the aqueous
environment provides further insights on the nature of the interaction between
the solute species and water. The results are in good agreement with the
available experimental data and other recent computational studies.
PMID- 27181178
TI - A dynamic course of T cell defects in individuals at risk for mood disorders.
AB - OBJECTIVES: T cell abnormalities have been repeatedly reported in adult patients
with mood disorders, suggesting a role of these cells in the pathogenesis of
these disorders. In the present study, we explored the dynamics of circulating T
cell subsets over time in a population at high familial risk for developing a
mood disorder. METHODS: Children of a parent with bipolar disorder (bipolar
offspring, N=140) were assessed at three time-points: adolescence, young
adulthood and adulthood. We carried out a detailed fluorescence-activated cell
sorting (FACS) analysis to determine various T cell subsets from frozen stored
peripheral blood mononuclear cells of bipolar offspring and age- and gender
matched healthy controls at each time-point. RESULTS: Throughout the period of
observation reduced levels of CD3+ and CD3+ CD4+ T cells were observed. In
bipolar offspring Th1, Th2, Th17 and natural T regulatory cells (Tregs) followed
a dynamic course over time with reduced levels of Tregs in adolescence and a
reduced relative number of Th1, Th17 cells in young adulthood. In post hoc
analysis Tregs were inversely associated with the pro-inflammatory monocyte state
determined previously (rs=-0.220, p=0.001). Significant associations between T
cell subset abnormalities and psychopathology such as mood disorders were not
found. CONCLUSIONS: A subtle partial T cell defect was present in bipolar
offspring from adolescence through adulthood. Within this defect the dynamic
change of inflammatory and regulatory T cell subsets suggests a high inflammatory
state during adolescence, a reduced inflammatory state during young adulthood and
a virtually normalized state at adulthood.
PMID- 27181179
TI - Effect of recombinant erythropoietin on inflammatory markers in patients with
affective disorders: A randomised controlled study.
AB - AIM: This study investigated the effect of repeated infusions of recombinant
human erythropoietin (EPO) on markers of inflammation in patients with affective
disorders and whether any changes in inflammatory markers were associated with
improvements on verbal memory. METHODS: In total, 83 patients were recruited: 40
currently depressed patients with treatment-resistant depression (TRD) (Hamilton
Depression Rating Scale-17 items (HDRS-17) score >17) (sub-study 1) and 43
patients with bipolar disorder (BD) in partial remission (HDRS-17 and Young Mania
Rating Scale (YMRS)?14) (sub-study 2). In both sub-studies, patients were
randomised in a double-blind, parallel-group design to receive eight weekly
intravenous infusions of EPO (Eprex; 40,000IU/ml) or saline (0.9% NaCl). Plasma
concentrations of interleukin 6 (IL-6), interleukin 18 (IL-18) and high sensitive
c-reactive protein (hsCRP) were measured at week 1 (baseline) and weeks 5, 9 and
14. HDRS-17 and neuropsychological function was assessed at weeks 1, 9 and 14
using a test battery including the RAVLT Auditory Verbal Learning Test (primary
depression and primary cognition outcomes in the original trial). RESULTS: EPO
had no cumulative effect on plasma levels of IL-6 or IL-18 but increased hsCRP
levels in patients with TRD (mean+/-SD change in ng/L: EPO: 0.43+/-1.64; Saline:
0.90+/-2.43; F(1,39)=4.78, p=0.04). EPO had no effects on inflammatory markers in
BD. There was no correlation between change in inflammatory markers and change in
verbal memory. CONCLUSIONS: Repeated EPO infusions had no effect on IL-6 and IL
18 levels but produced a modest increase in hsCRP levels in patients with TRD.
Changes over time in inflammatory markers were not correlated with changes in
cognition suggesting that modulation of the inflammatory pathway is not a
putative mechanism of the EPO-associated improvement of cognition in affective
disorders.
PMID- 27181181
TI - Therapeutic inertia or individualization? Delay in clinical management of type 2
diabetes mellitus.
PMID- 27181180
TI - Associations between cytokines, endocrine stress response, and gastrointestinal
symptoms in autism spectrum disorder.
AB - Many children and adolescents with autism spectrum disorder (ASD) have
significant gastrointestinal (GI) symptoms, but the etiology is currently
unknown. Some individuals with ASD show altered reactivity to stress and altered
immune markers relative to typically-developing individuals, particularly stress
responsive cytokines including tumor necrosis factor alpha (TNF-alpha) and
interleukin 6 (IL-6). Acute and chronic stress is associated with the onset and
exacerbation of GI symptoms in those without ASD. The present study examined
whether GI symptoms in ASD were associated with increases in cortisol, a stress
associated endocrine marker, and TNF-alpha and IL-6 in response to stress. As
hypothesized, a greater amount of lower GI tract symptoms were significantly
associated with post-stress cortisol concentration. The relationship between
cortisol response to stress and GI functioning was greater for children who had a
history of regressive autism. Exploratory analyses revealed significant
correlations between cortisol response, intelligence, and inappropriate speech.
In contrast, symptoms of the lower GI tract were not associated with levels of
TNF-alpha or IL-6. Significant correlations were found, however, between TNF
alpha and IL-6 and irritability, socialization, and intelligence. These findings
suggest that individuals with ASD and symptoms of the lower GI tract may have an
increased response to stress, but this effect is not associated with concomitant
changes in TNF-alpha and IL-6. The relationship between cortisol stress response
and lower GI tract symptoms in children with regressive autism, as well as the
relationships between cortisol, IL-6, and intelligence in ASD, warrant further
investigation.
PMID- 27181182
TI - Construct Validity of the Four Square Step Test in Multiple Sclerosis.
AB - OBJECTIVE: To expand the construct validity of the Four Square Step Test (FSST)
in people with multiple sclerosis (PWMS). DESIGN: Cross-sectional study. SETTING:
Multiple sclerosis center. PARTICIPANTS: PWMS (N=218; 133 women, 85 men; mean
age, 43.2+/-13.5y; mean disease duration, 7.5+/-7.7y since diagnosis) were
enrolled in the study. The Expanded Disability Status Scale score was 3.1+/-1.3,
indicating minimal to moderate neurologic disability. INTERVENTIONS: Not
applicable. MAIN OUTCOME MEASURES: The FSST, posturography measures, 2-minute
walk test, timed Up & Go test, timed 25-foot walk, fall status, Falls Efficacy
Scale International, Modified Fatigue Impact Scale, instrumented cognitive
assessment, and 12-item Multiple Sclerosis Walking Scale. RESULTS: The FSST score
of the total sample was 11.0+/-4.9. Significant differences were observed between
the very mild, mild, and moderate disability groups: 8.8+/-3.4, 11.1+/-4.9, and
14.1+/-5.3, respectively. In terms of fall status, the fallers demonstrated a
significant slower FSST score than the nonfallers (12.5+/-5.7 vs 9.0+/-2.6,
respectively). Modest significant correlation scores were found between the FSST
and the timed Up & Go test and 2-minute walk test (Pearson rho=.652 and rho=
.575, respectively). In terms of posturography, all measures were significantly
associated with the FSST scores. A significant positive relation was observed
with the visual spatial cognitive domain (Pearson rho=-.207). CONCLUSIONS: The
current study supports and broadens the construct validity of the FSST in PWMS.
PMID- 27181183
TI - Accelerometer and Global Positioning System Measurement of Recovery of Community
Ambulation Across the First 6 Months After Stroke: An Exploratory Prospective
Study.
AB - OBJECTIVE: To characterize community ambulation and determine if it changes
across the first 6 months after discharge from hospital after stroke. DESIGN:
Prospective, observational study. SETTING: Community setting. PARTICIPANTS:
Subacute stroke survivors with no cognitive impairment or conditions limiting
mobility prior to stroke (N=34). INTERVENTIONS: Not applicable. MAIN OUTCOME
MEASURES: Community ambulation was measured by an accelerometer, Global
Positioning System, and activity diary. Measures included the following: volume
(step count; time spent in the community, lying/sitting, standing, and walking),
frequency (number of community trips; number of and time in short-, medium-, long
duration bouts), intensity (number of and time at low-, moderate-, high-intensity
bouts), and trip type at 1, 3, and 6 months after hospital discharge. RESULTS: At
1 month participants took on average 1 trip per day in the community, lasting
137+/-113 minutes. Overall, most community ambulation was spread across long
duration bouts (>300 steps) lasting 11.3 to 14.1min/d and moderate-intensity
bouts (30-80 steps per minute). There was no change in community ambulation trip
type (P<.302) or ambulation characteristics over time except for a greater number
of and time spent in long ambulation bouts at 6 months only (P<.027).
CONCLUSIONS: Total volume and intensity of community ambulation did not change
over the first 6 months postdischarge after stroke. However, at 6 months,
survivors spent more time in long-duration ambulation bouts. Review of stroke
survivors at 6 months after hospital discharge is suggested because this is when
changes in community ambulation may first be observed.
PMID- 27181184
TI - Transitional object use in adolescence: a developmental phenomenon or a sign of
problems?
AB - BACKGROUND: Transitional object (TO) use is suggested to be related with various
health problems. Prolonged TO use in adolescence is quite common, and research on
its associations with health and psychosocial wellbeing is relevant because it
does not necessarily represent pathologies in this age group. AIMS: To
investigate the role of transitional phenomena and attachment to an inanimate
object in adolescence, possible explanatory variables were studied, including
sociodemographic and psychosocial factors and self-image. METHODS: The study
group consisted of 992 subjects (433 boys and 559 girls), mean age 14.5 years (SD
= 0.5), who were studying at comprehensive schools in the City of Turku, Finland.
Information about the TO use and background data were collected with a semi
structured questionnaire. Mental distress and self-esteem were assessed by the
Offer Self-Image Questionnaire (OSIQ). RESULTS: The multivariable logistic
regression analysis revealed that, in the whole study group, significant
explanatory factors for the TO use included female gender (p < 0.0001), frequent
pain and aches (p = 0.008), and, of the OSIQ sub-scales, low Emotional Health (p
= 0.006). For girls, significant explanatory factors for the TO use were pain and
aches (p = 0.003) and low Emotional Health (p = 0.002). For boys, the only
statistically significant explanatory factor was pain and aches (p = 0.02).
CONCLUSIONS: The TO may serve as a soothing element for somatoform pain symptoms
in adolescence. Identifying of the TO use may help one see an adolescent's
possible distress, symptoms of pains, and problems in psychological resilience.
PMID- 27181185
TI - A New Suturing Device for Small Arteries.
AB - Endoscope-assisted surgery and robot-assisted surgery are not common in cardiac
surgery, particularly coronary artery bypass grafting, because of the complex
nature of the procedures. We developed a new suturing device that allows for easy
performance of such cardiac surgeries in comparison with conventional suturing
methods. A total of 63 rabbits were used in this study. The right carotid artery
was bypassed using the same side of the jugular vein under endoscopic guidance.
Of these, 48 rabbits were operated on using the new devices and 15 rabbits were
operated on using conventional polypropylene sutures. The proximal suturing time
was 16.6 +/- 5.3 minutes in the group that underwent surgery using the new device
(group D) and 22.8 +/- 7.6 minutes in the control group (group C; P < 0.05). The
distal suture time was 16.3 +/- 4.2 minutes in group D and 22.8 +/- 6.0 minutes
in group C (P < 0.05). The operation time was 113.0 +/- 15.8 minutes in group D
and 136.7 +/- 20.6 minutes in group C (P < 0.05). Graft flow was 19.9 +/- 12.8
mL/minute in group D and 12.1 +/- 11.3 mL/minute in group C (P < 0.05). Thus, the
operation time and the suture time differed significantly between the groups.
This device provides advantages in endoscopic surgery compared to the
conventional suture method.
PMID- 27181186
TI - Identifying local-scale wilderness for on-ground conservation actions within a
global biodiversity hotspot.
AB - Protecting wilderness areas (WAs) is a crucial proactive approach to sustain
biodiversity. However, studies identifying local-scale WAs for on-ground
conservation efforts are still very limited. This paper investigated the spatial
patterns of wilderness in a global biodiversity hotspot - Three Parallel Rivers
Region (TPRR) in southwest China. Wilderness was classified into levels 1 to 10
based on a cluster analysis of five indicators, namely human population density,
naturalness, fragmentation, remoteness, and ruggedness. Only patches
characterized by wilderness level 1 and >=1.0 km(2) were considered WAs. The
wilderness levels in the northwest were significantly higher than those in the
southeast, and clearly increased with the increase in elevation. The WAs covered
approximately 25% of TPRR's land, 89.3% of which was located in the >3,000 m
elevation zones. WAs consisted of 20 vegetation types, among which temperate
conifer forest, cold temperate shrub and alpine ecosystems covered 79.4% of WAs'
total area. Most WAs were still not protected yet by existing reserves.
Topography and human activities are the primary influencing factors on the
spatial patterns of wilderness. We suggest establishing strictly protected
reserves for most large WAs, while some sustainable management approaches might
be more optimal solutions for many highly fragmented small WAs.
PMID- 27181187
TI - Electrocardiographic diagnosis of ST segment elevation myocardial infarction: An
evaluation of three automated interpretation algorithms.
AB - OBJECTIVE: To assess the validity of three different computerized
electrocardiogram (ECG) interpretation algorithms in correctly identifying STEMI
patients in the prehospital environment who require emergent cardiac
intervention. METHODS: This retrospective study validated three diagnostic
algorithms (AG) against the presence of a culprit coronary artery upon cardiac
catheterization. Two patient groups were enrolled in this study: those with
verified prehospital ST-elevation myocardial infarction (STEMI) activation
(cases) and those with a prehospital impression of chest pain due to ACS
(controls). RESULTS: There were 500 records analyzed resulting in a case group
with 151 patients and a control group with 349 patients. Sensitivities differed
between AGs (AG1=0.69 vs AG2=0.68 vs AG3=0.62), with statistical differences in
sensitivity found when comparing AG1 to AG3 and AG1 to AG2. Specificities also
differed between AGs (AG1=0.89 vs AG2=0.91 vs AG3=0.95), with AG1 and AG2
significantly less specific than AG3. CONCLUSIONS: STEMI diagnostic algorithms
vary in regards to their validity in identifying patients with culprit artery
lesions. This suggests that systems could apply more sensitive or specific
algorithms depending on the needs in their community.
PMID- 27181188
TI - Enhanced adsorptive removal of p-nitrophenol from water by aluminum metal-organic
framework/reduced graphene oxide composite.
AB - In this study, the composite of aluminum metal-organic framework MIL-68(Al) and
reduced graphene oxide (MA/RG) was synthesized via a one-step solvothermal
method, and their performances for p-nitrophenol (PNP) adsorption from aqueous
solution were systematically investigated. The introduction of reduced graphene
oxide (RG) into MIL-68(Al) (MA) significantly changes the morphologies of the MA
and increases the surface area. The MA/RG-15% prepared at RG-to-MA mass ratio of
15% shows a PNP uptake rate 64% and 123% higher than MIL-68(Al) and reduced
graphene oxide (RG), respectively. The hydrogen bond and pi - pi dispersion were
considered to be the major driving force for the spontaneous and endothermic
adsorption process for PNP removal. The adsorption kinetics, which was controlled
by film-diffusion and intra-particle diffusion, was greatly influenced by
solution pH, ionic strength, temperature and initial PNP concentration. The
adsorption kinetics and isotherms can be well delineated using pseudo-second
order and Langmuir equations, respectively. The presence of phenol or isomeric
nitrophenols in the solution had minimal influence on PNP adsorption by reusable
MA/RG composite.
PMID- 27181189
TI - A Novel Transcarotid Approach for Implantation of Balloon-Expandable or Self
Expandable Transcatheter Aortic Valves.
AB - Transcarotid transcatheter aortic valve replacement (TAVR) is a new alternative
in patients with contraindications to other approaches. We report results in 5
patients who underwent TAVR using the common carotid artery approach.
Intraprocedural evaluation of the integrity of the circle of Willis was performed
by measuring backflow pressure and cerebral oximetry. All patients underwent
successful TAVR, without any cerebrovascular or access-related complications.
Patient mobilization occurred early postprocedure and 4 of the 5 patients were
discharged 3 days after the TAVR procedure. In conclusion, these results suggest
that transcarotid access is a safe and feasible secondary TAVR approach.
PMID- 27181190
TI - Ataxia-telangiectasia (A-T): An emerging dimension of premature ageing.
AB - A-T is a prototype genome instability syndrome and a multifaceted disease. A-T
leads to neurodegeneration - primarily cerebellar atrophy, immunodeficiency,
oculocutaneous telangiectasia (dilated blood vessels), vestigial thymus and
gonads, endocrine abnormalities, cancer predisposition and varying sensitivity to
DNA damaging agents, particularly those that induce DNA double-strand breaks.
With the recent increase in life expectancy of A-T patients, the premature ageing
component of this disease is gaining greater awareness. The complex A-T phenotype
reflects the ever growing number of functions assigned to the protein encoded by
the responsible gene - the homeostatic protein kinase, ATM. The quest to
thoroughly understand the complex A-T phenotype may reveal yet elusive ATM
functions.
PMID- 27181191
TI - Concurrent Formation of Carbon-Carbon Bonds and Functionalized Graphene by
Oxidative Carbon-Hydrogen Coupling Reaction.
AB - Oxidative C-H coupling reactions were conducted using graphene oxide (GO) as an
oxidant. GO showed high selectivity compared with commonly used oxidants such as
(diacetoxyiodo) benzene and 2,3-dichloro-5,6-dicyano-p-benzoquinone. A
mechanistic study revealed that radical species contributed to the reaction.
After the oxidative coupling reaction, GO was reduced to form a material that
shows electron conductivity and high specific capacitance. Therefore, this system
could concurrently achieve two important reactions: C-C bond formation via C-H
transformation and production of functionalized graphene.
PMID- 27181193
TI - A 7-Month-Old Infant with Plantar Garlic Burns.
PMID- 27181192
TI - Light-activated photocurrent degradation and self-healing in perovskite solar
cells.
AB - Solution-processed organometallic perovskite solar cells have emerged as one of
the most promising thin-film photovoltaic technology. However, a key challenge is
their lack of stability over prolonged solar irradiation. Few studies have
investigated the effect of light soaking on hybrid perovskites and have
attributed the degradation in the optoelectronic properties to photochemical or
field-assisted ion migration. Here we show that the slow photocurrent degradation
in thin-film photovoltaic devices is due to the formation of light-activated meta
stable deep-level trap states. However, the devices can self-heal completely by
resting them in the dark for <1 min or the degradation can be completely
prevented by operating the devices at 0 degrees C. We investigate several
physical mechanisms to explain the microscopic origin for the formation of these
trap states, among which the creation of small polaronic states involving
localized cooperative lattice strain and molecular orientations emerges as a
credible microscopic mechanism requiring further detailed studies.
PMID- 27181194
TI - First or Second Drop of Blood in Capillary Glucose Monitoring: Findings from a
Quantitative Study.
AB - For clinical nurses, especially those working in emergency departments, it is
crucial to measure blood glucose (BG) in an accurate, timely, and safe manner.
Many differences in practice exist with regard to use of the first or second drop
of blood for testing, and no consistent guidelines are available for capillary BG
testing at home or in ED settings. The purpose of this study is to evaluate the
BG differences between the first and second drop of capillary blood collected
from the same site in patients with type 1 diabetes. METHODS: A consecutive
sample of 195 persons with type 1 diabetes who had washed their hands and were
not suspected of having hypoglycemia were included in the study. Descriptive and
inferential statistical analysis for non-normal distributed variables was
performed. RESULTS: A strong correlation emerged between the BG reported in the
first and the second drops (Spearman's rho test [rs] 0.979, P < .001; Pearson r
0.978, P < .001). The average BG values obtained from the first and second drops
were 184.30 mg/dL (median, 166) and 187.6 mg/dL (median, 172), respectively, and
thus the second drop showed higher glucose values compared with the first drop.
However, BG values of the second drop were not higher in all occasions: whereas
some evaluations reported higher BG values in the second drop capillary sample (n
= 123), others reported higher values in the first drop (n = 65), and still
others reported identical measurements in the first and second drops (n = 7).
Five outliers were present with a BG difference from -39 to -53 mg/dL in the
first drop compared with the second drop, and 3 outliers were present with a BG
difference from +46 to +57 mg/dL in the first drop compared with the second drop.
However, the differences that emerged were not affected by glucose concentration
(P = .221). DISCUSSION: Using the first drop of blood in a patient with clean
hands allows emergency nurses to perform the test more quickly, resulting in
immediate information. Findings indicate that the first drop of blood is adequate
for clinical decision making, but the clinician should use judgment if using
protocols in which small values (eg, 6 mg/dL) are important, because the first
drop is more likely to have a slightly lower value.
PMID- 27181195
TI - Engineering 3D bicontinuous hierarchically macro-mesoporous LiFePO4/C
nanocomposite for lithium storage with high rate capability and long cycle
stability.
AB - A highly crystalline three dimensional (3D) bicontinuous hierarchically macro
mesoporous LiFePO4/C nanocomposite constructed by nanoparticles in the range of
50~100 nm via a rapid microwave assisted solvothermal process followed by carbon
coating have been synthesized as cathode material for high performance lithium
ion batteries. The abundant 3D macropores allow better penetration of electrolyte
to promote Li(+) diffusion, the mesopores provide more electrochemical reaction
sites and the carbon layers outside LiFePO4 nanoparticles increase the electrical
conductivity, thus ultimately facilitating reverse reaction of Fe(3+) to Fe(2+)
and alleviating electrode polarization. In addition, the particle size in
nanoscale can provide short diffusion lengths for the Li(+) intercalation
deintercalation. As a result, the 3D macro-mesoporous nanosized LiFePO4/C
electrode exhibits excellent rate capability (129.1 mA h/g at 2 C; 110.9 mA h/g
at 10 C) and cycling stability (87.2% capacity retention at 2 C after 1000
cycles, 76.3% at 5 C after 500 cycles and 87.8% at 10 C after 500 cycles,
respectively), which are much better than many reported LiFePO4/C structures. Our
demonstration here offers the opportunity to develop nanoscaled hierarchically
porous LiFePO4/C structures for high performance lithium-ion batteries through
microwave assisted solvothermal method.
PMID- 27181196
TI - Continuous Positive Airway Pressure Improves Quality of Life in Women with
Obstructive Sleep Apnea. A Randomized Controlled Trial.
AB - RATIONALE: Continuous positive airway pressure (CPAP) is the treatment of choice
in patients with symptomatic obstructive sleep apnea (OSA). CPAP treatment
improves quality of life (QoL) in men with OSA, but its role in women has not yet
been assessed. OBJECTIVES: To investigate the effect of CPAP on QoL in women with
moderate to severe OSA. METHODS: We conducted a multicenter, open-label
randomized controlled trial in 307 consecutive women diagnosed with moderate to
severe OSA (apnea-hypopnea index, >=15) in 19 Spanish sleep units. Women were
randomized to receive effective CPAP therapy (n = 151) or conservative treatment
(n = 156) for 3 months. The primary endpoint was the change in QoL based on the
Quebec Sleep Questionnaire. Secondary endpoints included changes in daytime
sleepiness, mood state, anxiety, and depression. Data were analyzed on an
intention-to-treat basis with adjustment for baseline values and other relevant
clinical variables. MEASUREMENTS AND MAIN RESULTS: The women in the study had a
mean (SD) age of 57.1 (10.1) years and a mean (SD) Epworth Sleepiness Scale score
of 9.8 (4.4), and 77.5% were postmenopausal. Compared with the control group, the
CPAP group achieved a significantly greater improvement in all QoL domains of the
Quebec Sleep Questionnaire (adjusted treatment effect between 0.53 and 1.33; P <
0.001 for all domains), daytime sleepiness (-2.92; P < 0.001), mood state (-4.24;
P = 0.012), anxiety (-0.89; P = 0.014), depression (-0.85; P = 0.016), and the
physical component summary of the 12-item Short Form Health Survey (2.78; P =
0.003). CONCLUSIONS: In women with moderate or severe OSA, 3 months of CPAP
therapy improved QoL, mood state, anxiety and depressive symptoms, and daytime
sleepiness compared with conservative treatment. Clinical trial registered with
www.clinicaltrials.gov (NCT02047071).
PMID- 27181198
TI - Molecular Mechanism of HIV-1 Vpr for Binding to Importin-alpha.
AB - Viral protein R (Vpr) is an accessory gene product of human immunodeficiency
virus type 1 (HIV-1) that plays multiple important roles associated with viral
replication. Structural studies using NMR have revealed that Vpr consists of
three alpha-helices and contains flexible N- and C-termini. However, the
molecular mechanisms associated with Vpr function have not been elucidated. To
investigate Vpr multifunctionality, we performed an X-ray crystallographic study
of Vpr complexes containing importin-alpha, a known Vpr binding partner present
in host cells. Elucidation of the crystal structure revealed that the flexible C
terminus changes its conformation to a twisted beta-turn via an induced-fit
mechanism, enabling binding to a minor nuclear localization signal (NLS) site of
importin-alpha. The Vpr C-terminus can also bind with major NLS sites of importin
alpha in an extended conformation in different ways. These results, which
represent the first reported crystallographic analysis of Vpr, demonstrate the
multifunctional aspects that enable Vpr interaction with a variety of cellular
proteins.
PMID- 27181200
TI - A moveable feast: Contemporary relational food cultures emerging from local food
networks.
AB - Although the globalised food system delivers unparalleled food variety and
quantity to most in the developed world it also disconnects consumers from where,
how and by whom food is grown. This change in the food system has resulted in an
acceptance of an anonymous and homogeneous food supply, which has contributed to
over-consumption and the rise in diet-related diseases. 'Nutritionism' responds
to this issue by maintaining that a 'healthy diet' can be achieved by consuming
the correct balance of energy and nutrients, but with limited success. Yet, some
food cultures can moderate the effects of the environmental drivers of increasing
global obesity rates. This paper draws on this premise and presents an
alternative eco-dietetic response, exploring people's meaning-making of food and
food culture through local food networks. This research used narrative inquiry
methodology and purposive sampling to gather stories through focus group
conversations. Twenty people attended focus groups comprised of food procurers
from one of three local food networks in the Canberra region: community gardens,
a modified Community Supported Agriculture (CSA) and farmers' markets. The
findings showed that those using local food networks enjoyed a 'contemporary
relational food culture' that highlighted the importance of people, place and
time, in their visceral experiences of food. The community gardeners made meaning
of food through their connections to the earth and to others. The farmers' market
and CSA food procurers valued the seasonal, local and ethical food produced by
their beloved farmer(s). This paper provides qualitative evidence that local food
networks enable people to enjoy multi-dimensional relationships to food. Further
research is required to examine whether experiencing a contemporary relational
food culture can lead to improved health outcomes for people and the planet.
PMID- 27181197
TI - Interferon-Inducible GTPases in Host Resistance, Inflammation and Disease.
AB - Cell-autonomous immunity is essential for host organisms to defend themselves
against invasive microbes. In vertebrates, both the adaptive and the innate
branches of the immune system operate cell-autonomous defenses as key effector
mechanisms that are induced by pro-inflammatory interferons (IFNs). IFNs can
activate cell-intrinsic host defenses in virtually any cell type ranging from
professional phagocytes to mucosal epithelial cells. Much of this IFN-induced
host resistance program is dependent on four families of IFN-inducible GTPases:
the myxovirus resistance proteins, the immunity-related GTPases, the guanylate
binding proteins (GBPs), and the very large IFN-inducible GTPases. These GTPase
families provide host resistance to a variety of viral, bacterial, and protozoan
pathogens through the sequestration of microbial proteins, manipulation of
vesicle trafficking, regulation of antimicrobial autophagy (xenophagy), execution
of intracellular membranolytic pathways, and the activation of inflammasomes.
This review discusses our current knowledge of the molecular function of IFN
inducible GTPases in providing host resistance, as well as their role in the
pathogenesis of autoinflammatory Crohn's disease. While substantial advances were
made in the recent past, few of the known functions of IFN-inducible GTPases have
been explored in any depth, and new functions await discovery. This review will
therefore highlight key areas of future exploration that promise to advance our
understanding of the role of IFN-inducible GTPases in human diseases.
PMID- 27181199
TI - Glycemic control and adherence to basal insulin therapy in Taiwanese patients
with type 2 diabetes mellitus.
AB - AIMS/INTRODUCTION: The aim of the present study was to assess the glycemic
control, adherence and treatment satisfaction in a real-world setting with basal
insulin therapy in type 2 diabetes patients in Taiwan. MATERIALS AND METHODS:
This was a multicenter, prospective, observational registry. A total of 836
patients with type 2 diabetes taking oral antidiabetic drugs with glycated
hemoglobin (HbA1c) >7% entered the study. Basal insulin was given for 24 weeks.
All treatment choices and medical instructions were at the physician's discretion
to reflect real-life practice. RESULTS: After 24-week treatment, 11.7% of
patients reached set HbA1c goals without severe hypoglycemia (primary
effectiveness end-point). HbA1c and fasting blood glucose were significantly
decreased from (mean +/- SD) 10.1 +/- 1.9% to 8.7 +/- 1.7% (-1.4 +/- 2.1%, P <
0.0001) and from 230.6 +/- 68.8 mg/dL to 159.1 +/- 55.6 mg/dL (-67.4 +/- 72.3
mg/dL, P < 0.0001), respectively. Patients received insulin therapy at a
frequency of nearly one shot per day on average, whereas self-monitoring of blood
glucose was carried out approximately four times a week. Hypoglycemia was
reported by 11.4% of patients, and only 0.7% of patients experienced severe
hypoglycemia. Slight changes in weight (0.7 +/- 2.4 kg) and a low incidence of
adverse drug reactions (0.4%) were also noted. The score of 7-point treatment
satisfaction rated by patients was significantly improved by 1.9 +/- 1.7 (P <
0.0001). CONCLUSIONS: Basal insulin therapy was associated with a decrease in
HbA1c and fasting blood glucose, and an improved treatment satisfaction. Most
patients complied with physicians' instructions. The treatment was generally well
tolerated by patients with type 2 diabetes, but findings pointed out the need to
reinforce the early and appropriate uptitration to achieve treatment targets.
PMID- 27181201
TI - Dietary interventions among university students: A systematic review.
AB - PURPOSE: This study aimed to provide an overview of available literature on
interventions aiming to improve dietary intake among university students.
MATERIALS AND METHODS: A systematic review was conducted following the PRISMA
guidelines. Web of Science, PubMed, PsycINFO and SPORTDiscus were searched for
relevant articles. Risk of bias was assessed using the Academy of Nutrition and
Dietetics Quality Criteria Checklist for Primary Research. RESULTS: Twenty
studies were identified, consisting of 12 randomised controlled trials, 1 quasi
experiment and 7 pre-experiments. Six studies were conducted outside the US. Risk
of bias assessment revealed an average quality score of 5.8/10. Of the 13
interventions which were effective in improving students' dietary intake, 8 used
an intrapersonal approach, with 6 of them using the web or some kind of media to
facilitate the intervention. The 5 remaining studies used an environmental (point
of-purchase) approach. Only 1 intervention, using 10 web-based lessons, based on
non-diet principles and focused on eating competence and size acceptance to
promote healthy eating, was found to be effective in the long term. CONCLUSIONS:
Nutrition education, enhancing self-regulation components towards dietary intake
(often facilitated by the worldwide web or other media devices), and point-of
purchase messaging strategies may improve university or college students' dietary
intake. Future high quality randomised controlled trials should evaluate
sustainability of intervention effects, as well as further investigate the
effectiveness of realistic and low-cost environmental (preferably combined with
intrapersonal) interventions which can easily and instantly reach a great part of
the university population.
PMID- 27181203
TI - p27T187A knockin identifies Skp2/Cks1 pocket inhibitors for advanced prostate
cancer.
AB - SCFSkp2/Cks1 ubiquitinates Thr187-phosphorylated p27 for degradation.
Overexpression of Skp2 coupled with underexpression of p27 are frequent
characteristics of cancer cells. When the role of SCFSkp2/Cks1-mediated p27
ubiquitination in cancer was specifically tested by p27 Thr187-to-Ala knockin
(p27T187A KI), it was found dispensable for KrasG12D-induced lung tumorigenesis
but essential for Rb1-deficient pituitary tumorigenesis. Here we identify pRb and
p53 doubly deficient (DKO) prostate tumorigenesis as a context in which p27
ubiquitination by SCFSkp2/Cks1 is required for p27 downregulation. p27 protein
accumulated in prostate when p27T187A KI mice underwent DKO prostate
tumorigenesis. p27T187A KI or Skp2 knockdown (KD) induced similar degrees of p27
protein accumulation in DKO prostate cells, and Skp2 KD did not further increase
p27 protein in DKO prostate cells that contained p27T187A KI (AADKO prostate
cells). p27T187A KI activated an E2F1-p73-apoptosis axis in DKO prostate
tumorigenesis, slowed disease progression and significantly extended survival.
Querying co-occurrence relationships among RB1, TP53, PTEN, NKX3-1 and MYC in
TCGA of prostate cancer identified co-inactivation of RB1 and TP53 as the only
statistically significant co-occurrences in metastatic castration-resistant
prostate cancer (mCRPC). Together, our study identifies Skp2/Cks1 pocket
inhibitors as potential therapeutics for mCRPC. Procedures for establishing mCRPC
organoid cultures from contemporary patients were recently established. An
Skp2/Cks1 pocket inhibitor preferentially collapsed DKO prostate tumor organoids
over AADKO organoids, which spontaneously disintegrated over time when DKO
prostate tumor organoids grew larger, setting the stage to translate mouse model
findings to precision medicine in the clinic on the organoid platform.
PMID- 27181202
TI - SUMOylation and SENP3 regulate STAT3 activation in head and neck cancer.
AB - Hyperphosphorylation of signal transducer and activator of transcription 3
(STAT3) has been found in various types of human cancers, including head and neck
cancer (HNC). Although smoking is critical in the development and progression of
HNC, how tobacco components activate STAT3 is unclear. We demonstrated that
exposure of HNC cell lines to a tobacco extract induced a rapid Y705
phosphorylation of STAT3 and a rapid increase in the SUMO protease SENP3 that
depended on a simultaneous increase in reactive oxygen species. We identified
that SUMOylation at the lysine 451 site facilitated STAT3 binding to the
phosphatase TC45 through an SUMO-interacting motif of TC45. SENP3 could thus
enhance STAT3 phosphorylation by de-conjugating the SUMO2/3 modification of
STAT3. Knocking-down of SENP3 greatly impaired basal and induced STAT3
phosphorylation by tobacco extract or interleukin 6. A correlation between SENP3
protein levels and STAT3 Y705 phosphorylation levels in human laryngeal carcinoma
specimens was found, which was more significant in the specimens derived from the
smoker patients and with poor clinicopathological parameters. Our data identified
SUMOylation as a previously undescribed post-translational modification of STAT3
and SENP3 as a critical positive modulator of tobacco- or cytokine-induced STAT3
activation. These findings provide novel insights into the hyperphosphorylation
of STAT3 in development of HNC.
PMID- 27181204
TI - The C/EBPdelta protein is stabilized by estrogen receptor alpha activity,
inhibits SNAI2 expression and associates with good prognosis in breast cancer.
AB - Hypoxia and inflammatory cytokines like interleukin-6 (IL-6, IL6) are strongly
linked to cancer progression, and signal in part through the transcription factor
Ccaat/enhancer-binding protein delta (C/EBPdelta, CEBPD), which has been shown to
promote mesenchymal features and malignant progression of glioblastoma. Here we
report a different role for C/EBPdelta in breast cancer. We found that the
C/EBPdelta protein is expressed in normal breast epithelial cells and in low
grade cancers. C/EBPdelta protein (but not mRNA) expression correlates with
estrogen receptor (ER+) and progesterone receptor (PGR) expression and longer
progression-free survival of breast cancer patients. Specifically in ER+ breast
cancers, CEBPD-but not the related CEBPB-mRNA in combination with IL6 correlated
with lower risk of progression. Functional studies in cell lines showed that
ERalpha promotes C/EBPdelta expression at the level of protein stability by
inhibition of the FBXW7 pathway. Furthermore, we found that C/EBPdelta attenuates
cell growth, motility and invasiveness by inhibiting expression of the SNAI2
(Slug) transcriptional repressor, which leads to expression of the cyclin
dependent kinase inhibitor CDKN1A (p21CIP1/WAF1). These findings identify a
molecular mechanism by which ERalpha signaling reduces the aggressiveness of
cancer cells, and demonstrate that C/EBPdelta can have different functions in
different types of cancer. Furthermore, our results support a potentially
beneficial role for the IL-6 pathway specifically in ER+ breast cancer and call
for further evaluation of the role of intra-tumoral IL-6 expression and of which
cancers might benefit from current attempts to target the IL-6 pathway as a
therapeutic strategy.
PMID- 27181205
TI - Overexpression of maelstrom promotes bladder urothelial carcinoma cell
aggressiveness by epigenetically downregulating MTSS1 through DNMT3B.
AB - We have recently identified and characterized a novel oncogene, maelstrom (MAEL)
from 1q24, in the pathogenesis of hepatocellular carcinoma. In this study, MAEL
was investigated for its oncogenic role in urothelial carcinoma of the bladder
(UCB) tumorigenesis/aggressiveness and underlying molecular mechanisms. Here, we
report that overexpression of MAEL in UCB is important in the acquisition of an
aggressive and/or poor prognostic phenotype. In UCB cell lines, knockdown of MAEL
by short hairpin RNA is sufficient to inhibit cell growth,
invasiveness/metastasis and suppressed epithelial-mesenchymal transition (EMT),
whereas ectopic overexpression of MAEL promoted cell growth, invasive and/or
metastatic capacity and enhanced EMT both in vitro and in vivo. We further
demonstrate that MAEL could induce UCB cell EMT by downregulating a critical
downstream target, the metastasis suppressor 1 (MTSS1) gene, ultimately leading
to an increased invasiveness of cancer cells. Notably, overexpression of MAEL in
UCB cells substantially enhanced the enrichment of DNA methyltrans-ferase
(DNMT)3B and histone deacetylase (HDAC)1/2 on the promoter of the MTSS1, and
thereby epigenetically suppressing the MTSS1 transcription. Downregulation of
MTSS1 by MAEL in UCB cells is partially dependent on DNMT3B. Furthermore, we
identify that beside the gene amplification of MAEL, miR-186 is a key negative
regulator of MAEL and downregulation of miR-186 is another important mechanism
for MAEL overexpression in UCBs. These data suggest that overexpression of MAEL,
caused by gene amplification and/or decreased miR-186, has a critical oncogenic
role in UCB pathogenesis by downregulation of MTSS1, and MAEL could be used as a
novel prognostic marker and/or effective therapeutic target for human UCB.
PMID- 27181206
TI - RAC1 GTPase promotes the survival of breast cancer cells in response to hyper
fractionated radiation treatment.
AB - Radiation therapy is a staple approach for cancer treatment, whereas
radioresistance of cancer cells remains a substantial clinical problem. In
response to ionizing radiation (IR) induced DNA damage, cancer cells can
sustain/activate pro-survival signaling pathways, leading to apoptotic resistance
and induction of cell cycle checkpoint/DNA repair. Previous studies show that
Rac1 GTPase is overexpressed/hyperactivated in breast cancer cells and is
associated with poor prognosis. Studies from our laboratory reveal that Rac1
activity is necessary for G2/M checkpoint activation and cell survival in
response to IR exposure of breast and pancreatic cancer cells. In this study, we
investigated the effect of Rac1 on the survival of breast cancer cells treated
with hyper-fractionated radiation (HFR), which is used clinically for cancer
treatment. Results in this report indicate that Rac1 protein expression is
increased in the breast cancer cells that survived HFR compared with parental
cells. Furthermore, this increase of Rac1 is associated with enhanced activities
of extracellular signal-regulated kinases 1 and 2 (ERK1/2) and nuclear factor
kappaB (NF-kappaB) signaling pathways and increased levels of anti-apoptotic
protein Bcl-xL and Mcl-1, which are downstream targets of ERK1/2 and NF-kappaB
signaling pathways. Using Rac1-specific inhibitor and dominant-negative mutant
N17Rac1, here we demonstrate that Rac1 inhibition decreases the phosphorylation
of ERK1/2 and inhibitory kappaBalpha (IkappaBalpha), as well as the levels of Bcl
xL and Mcl-1 protein in the HFR-selected breast cancer cells. Moreover,
inhibition of Rac1 using either small molecule inhibitor or dominant-negative
N17Rac1 abrogates clonogenic survival of HFR-selected breast cancer cells and
decreases the level of intact poly(ADP-ribose) polymerase, which is indicative of
apoptosis induction. Collectively, results in this report suggest that Rac1
signaling is essential for the survival of breast cancer cells subjected to HFR
and implicate Rac1 in radioresistance of breast cancer cells. These studies also
provide the basis to explore Rac1 as a therapeutic target for radioresistant
breast cancer cells.
PMID- 27181207
TI - A CCL8 gradient drives breast cancer cell dissemination.
AB - The migration of cancer cells towards gradients of chemoattractive factors
represents a potential, yet elusive, mechanism that may contribute to cancer cell
dissemination. Here we provide evidence for the maintenance of a gradient of
increasing CCL8 concentration between the epithelium, the stroma and the
periphery that is instrumental for breast cancer cells' dissemination. In
response to signals elicited by the neoplastic epithelium, CCL8 production is
enhanced in stromal fibroblasts at the tumor margins and in tissues at which
breast cancer cells tend to metastasize such as the lungs and the brain.
Manipulation of CCL8 activity influences the histology of the tumors and promotes
major steps of the metastatic process such as invasion to adjacent stroma,
intravasation and ultimately extravasation and seeding. These findings exemplify
how gradients of chemoattractive factors such as CCL8, drive metastasis and
suggest that interference with their operation may provide means for breast
cancer management.
PMID- 27181208
TI - eEF-2 kinase is a critical regulator of Warburg effect through controlling PP2A-A
synthesis.
AB - Cancer cells predominantly metabolize glucose by glycolysis to produce energy in
order to meet their metabolic requirement, a phenomenon known as Warburg effect.
Although Warburg effect is considered a peculiarity critical for survival and
proliferation of cancer cells, the regulatory mechanisms behind this phenomenon
remain incompletely understood. We report here that eukaryotic elongation factor
2 kinase (eEF-2K), a negative regulator of protein synthesis, has a critical role
in promoting glycolysis in cancer cells. We showed that deficiency in eEF-2K
significantly reduced the uptake of glucose and decreased the productions of
lactate and adenosine triphosphate in tumor cells and in the Ras-transformed
mouse embryonic fibroblasts. We further demonstrated that the promotive effect of
eEF-2K on glycolysis resulted from the kinase-mediated restriction of synthesis
of the protein phosphatase 2A-A (PP2A-A), a key factor that facilitates the
ubiquitin-proteasomal degradation of c-Myc protein, as knockdown of eEF-2K
expression led to a significant increase in PP2A-A protein synthesis and
remarkable downregulation of c-Myc and pyruvate kinase M2 isoform, the key
glycolytic enzyme transcriptionally activated by c-Myc. In addition, depletion of
eEF-2K reduced the ability of the transformed cells to proliferate and enhanced
the sensitivity of tumor cells to chemotherapy both in vitro and in vivo. These
results, which uncover a role of the eEF-2K-mediated control of PP2A-A in tumor
cell glycolysis, provide new insights into the regulation of the Warburg effect.
PMID- 27181210
TI - Pteropod eggs released at high pCO2 lack resilience to ocean acidification.
AB - The effects of ocean acidification (OA) on the early recruitment of pteropods in
the Scotia Sea, was investigated considering the process of spawning, quality of
the spawned eggs and their capacity to develop. Maternal OA stress was induced on
female pteropods (Limacina helicina antarctica) through exposure to present day
pCO2 conditions and two potential future OA states (750 MUatm and 1200 MUatm).
The eggs spawned from these females, both before and during their exposure to OA,
were incubated themselves in this same range of conditions (embryonic OA stress).
Maternal OA stress resulted in eggs with lower carbon content, while embryonic OA
stress retarded development. The combination of maternal and embryonic OA stress
reduced the percentage of eggs successfully reaching organogenesis by 80%. We
propose that OA stress not only affects the somatic tissue of pteropods but also
the functioning of their gonads. Corresponding in-situ sampling found that post
larval L. helicina antarctica concentrated around 600 m depth, which is deeper
than previously assumed. A deeper distribution makes their exposure to waters
undersaturated for aragonite more likely in the near future given that these
waters are predicted to shoal from depth over the coming decades.
PMID- 27181211
TI - An analogue of atrial natriuretic peptide (C-ANP4-23) modulates glucose
metabolism in human differentiated adipocytes.
AB - The present study was undertaken to investigate the effects of C-atrial
natriuretic peptide (C-ANP4-23) in human adipose-derived stem cells
differentiated into adipocytes over 10 days (1 MUM for 4 h). The intracellular
cAMP, cGMP and protein kinase A levels were determined by ELISA and gene and
protein expression were determined by qRT-PCR and Western blot, respectively, in
the presence or absence of C-ANP4-23. The levels of lipolysis and glucose uptake
were also determined. C-ANP4-23 treatment significantly increased the
intracellular cAMP levels and the gene expression of glucose transporter type 4
(GLUT4) and protein kinase, AMP-activated, alpha 1 catalytic subunit (AMPK).
Western blot showed a significant increase in GLUT4 and phosphor-AMPKalpha
levels. Importantly, the adenylate cyclase inhibitor SQ22536 abolished these
effects. Additionally, C-ANP4-23 increased glucose uptake by 2-fold. Our results
show that C-ANP4-23 enhances glucose metabolism and might contribute to the
development of new peptide-based therapies for metabolic diseases.
PMID- 27181212
TI - Successful disabling of the 5' UTR of HCV using adeno-associated viral vectors to
deliver modular multimeric primary microRNA mimics.
AB - Chronic hepatitis C virus (HCV) infection is a major health concern and is
strongly associated with cirrhosis, hepatocellular carcinoma and liver-related
mortality. The HCV genome is the template for both protein translation and viral
replication and, being RNA, is amenable to direct genetic silencing by RNA
interference (RNAi). HCV is a highly mutable virus and is capable of escaping
RNAi-mediated silencing. This has highlighted the importance of developing RNAi
based therapy that simultaneously targets multiple regions of the HCV genome. To
develop a multi-targeting RNAi activator, a novel approach for the generation of
anti-HCV gene therapy was investigated. Five artificial primary miRNA (pri-miR)
were each designed to mimic the naturally occurring monomeric pri-miR-31. Potent
knockdown of an HCV reporter was seen with four of the five constructs and were
processed according to the intended design. The design of the individual pri-miR
mimics enabled the modular assembly into multimeric mimics of any possible
conformation. Consequently the four potent pri-miR mimics were used to generate
polycistronic cassettes, which showed impressive silencing of an HCV target. To
further their application as a gene therapy, recombinant adeno-associated viral
(rAAV) vectors that express the polycistronic pri-miR mimics were generated. All
AAV-delivered anti-HCV pri-miR mimics significantly knocked down the expression
of an HCV target and showed inhibition of HCV replicon replication. Here we
describe a protocol for the generation of therapeutic rAAVs that express modular
polycistronic pri-miR cassettes allowing for rapid alteration and generation of
tailored therapeutic constructs against HCV.
PMID- 27181209
TI - Microphthalmia-associated transcription factor suppresses invasion by reducing
intracellular GTP pools.
AB - Melanoma progression is associated with increased invasion and, often, decreased
levels of microphthalmia-associated transcription factor (MITF). Accordingly,
downregulation of MITF induces invasion in melanoma cells; however, little is
known about the underlying mechanisms. Here, we report for the first time that
depletion of MITF results in elevation of intracellular GTP levels and increased
amounts of active (GTP-bound) RAC1, RHO-A and RHO-C. Concomitantly, MITF-depleted
cells display larger number of invadopodia and increased invasion. We further
demonstrate that the gene for guanosine monophosphate reductase (GMPR) is a
direct MITF target, and that the partial repression of GMPR accounts mostly for
the above phenotypes in MITF-depleted cells. Reciprocally, transactivation of
GMPR is required for MITF-dependent suppression of melanoma cell invasion,
tumorigenicity and lung colonization. Moreover, loss of GMPR accompanies
downregulation of MITF in vemurafenib-resistant BRAFV600E-melanoma cells and
underlies the increased invasion in these cells. Our data uncover novel
mechanisms linking MITF-dependent inhibition of invasion to suppression of
guanylate metabolism.
PMID- 27181213
TI - Development of RT-qPCR assays for the specific identification of two major
genotypes of avian infectious bronchitis virus.
AB - Infectious bronchitis virus (Gammacoronavirus, Coronaviridae) is a genetically
variable RNA virus (27.6kb) that causes one of the most persistent respiratory
disease in poultry. The virus is classified in genotypes with different
epidemiological relevance and clinical implications. The present study reports
the development and validation of specific RT-qPCR assays for the detection of
two major IBV genotypes: South America I (SAI) and Asia/South America II
(A/SAII). The SAI genotype is an exclusive and widespread South American lineage
while the A/SAII genotype is distributed in Asia, Europe and South America. Both
identification assays employ TaqMan probes that hybridize with unique sequences
in the spike glycoprotein gene. The assays successfully detected all the assessed
strains belonging to both genotypes, showing high specificity and absence of
cross-reactivity. Using serial dilutions of in vitro-transcribed RNA we obtained
acceptable determination coefficients, PCR efficiencies and relatively small
intra- and inter-assay variability. The assays demonstrated a wide dynamic range
between 10(1)-10(7) and 10(2)-10(7) RNA copies/reaction for SAI and A/SAII
strains, respectively. The possibility to characterize a large number of samples
in a rapid, sensitive and reproducible way makes these techniques suitable tools
for routine testing, IBV control, and epidemiological research in poultry.
PMID- 27181214
TI - HLA-A*33-DR3 and A*33-DR9 haplotypes enhance the risk of type 1 diabetes in Han
Chinese.
AB - AIMS/INTRODUCTION: To investigate the typing for human leukocyte antigen (HLA)
class I in Chinese patients with type 1 diabetes as a complement screening for
HLA class II. MATERIALS AND METHODS: A total of 212 type 1 diabetic patients and
200 healthy controls were enrolled. The genetic polymorphisms of HLA class I and
II were examined with a high-resolution polymerase chain reaction sequence-based
typing method. RESULTS: The haplotype, A*33:03-B*58:01-C*03:02(A33), was
associated with type 1 diabetes (P = 1.0 * 10(-4) , odds ratio 3.2 [1.738
5.843]). The A33-DR3 and A33-DR9 haplotypes significantly enhanced the risk of
type 1 diabetes (A33-DR3, odds ratio 5.1 [2.40-10.78], P = 4.0 * 10(-6) ; A33
DR9, odds ratio 13.0 [1.69-100.32], P = 0.004). In type 1 diabetic patients,
compared with A33-DR3-negative carriers, A33-DR3-positive carriers had
significantly lower percentages of CD3(+) CD4(+) T cells (42.5 +/- 7.72 vs 37.0
+/- 8.35%, P = 0.023), higher percentages of CD3(+) CD8(+) T cells (27.4 +/- 7.09
vs 32.8 +/- 5.98%, P = 0.005) and T-cell receptor alpha/beta T cells (70.0 +/-
7.00 vs 73.6 +/- 6.25%, P = 0.031), and lower CD4/CD8 ratios (1.71 +/- 0.75 vs
1.16 +/- 0.35, P = 0.003). CONCLUSIONS: It is the first time that the haplotypes
A33-DR3 and A33-DR9 were found with an enhanced predisposition to type 1 diabetes
in Han Chinese. A33-DR3 was associated with a reduction in the helper-to
cytotoxic cell ratio and preferential increase of T-cell receptor alpha/beta T
cell. The typing for HLA class I and its immunogenetic effects are important for
more accurate HLA class II haplotype risk prediction and etiology research in
type 1 diabetic patients.
PMID- 27181216
TI - [Lymphoproliferative pulmonary infiltration: A diagnosis to keep in mind in
pulmonary infiltrates in patient with chronic lymphocytic leukemia].
PMID- 27181215
TI - Polycomb repressive complex 1 controls uterine decidualization.
AB - Uterine stromal cell decidualization is an essential part of the reproductive
process. Decidual tissue development requires a highly regulated control of the
extracellular tissue remodeling; however the mechanism of this regulation remains
unknown. Through systematic expression studies, we detected that Cbx4/2, Rybp,
and Ring1B [components of polycomb repressive complex 1 (PRC1)] are predominantly
utilized in antimesometrial decidualization with polyploidy. Immunofluorescence
analyses revealed that PRC1 members are co-localized with its functional histone
modifier H2AK119ub1 (mono ubiquitination of histone-H2A at lysine-119) in
polyploid cell. A potent small-molecule inhibitor of Ring1A/B E3-ubiquitin ligase
or siRNA-mediated suppression of Cbx4 caused inhibition of H2AK119ub1, in
conjunction with perturbation of decidualization and polyploidy development,
suggesting a role for Cbx4/Ring1B-containing PRC1 in these processes. Analyses of
genetic signatures by RNA-seq studies showed that the inhibition of PRC1 function
affects 238 genes (154 up and 84 down) during decidualization. Functional
enrichment analyses identified that about 38% genes primarily involved in
extracellular processes are specifically targeted by PRC1. Furthermore, ~15% of
upregulated genes exhibited a significant overlap with the upregulated Bmp2 null
induced genes in mice. Overall, Cbx4/Ring1B-containing PRC1 controls
decidualization via regulation of extracellular gene remodeling functions and
sheds new insights into underlying molecular mechanism(s) through transcriptional
repression regulation.
PMID- 27181221
TI - The critical care nurse work environment, physician staffing, and risk for
ventilator-associated pneumonia.
AB - We examined the relationship between intensivist physician staffing, nurse work
environment, and ventilator-associated pneumonia (VAP) in 25 intensive care
units. We found a significant interaction between the nurse work environment,
intensivist physician staffing, and VAP. Future work may need to focus on
fostering organizational collaboration between nursing and medicine to leverage
skills of both clinician groups to reduce risk for VAP in critically ill
patients.
PMID- 27181222
TI - Are health care personnel trained in correct use of personal protective
equipment?
AB - Effective use of personal protective equipment (PPE) is essential to protect
personnel and patients in health care settings. However, in a survey of 222
health care personnel, PPE training was often suboptimal with no requirement for
demonstration of proficiency. Fourteen percent of physicians reported no previous
training in use of PPE.
PMID- 27181217
TI - Study on autonomic dysfunction and metabolic syndrome in Chinese patients.
AB - AIMS/INTRODUCTION: There is still a lack of simple methods and instruments for
the early assessment of autonomic dysfunction in metabolic syndrome patients.
Assessment of sudomotor function has been proposed to explore autonomic function,
and could be used as an early biomarker for metabolic syndrome. In the present
study, we use a quick and non-invasive method to measure sudomotor function, and
aimed to evaluate its efficacy to identify metabolic syndrome in a Chinese
population. MATERIALS AND METHODS: Information on the 1,160 Chinese participants
involved in the study, such as age, sex, blood pressure, waist circumference,
body mass index, fasting plasma glucose and lipid profile, and SUDOSCAN, was
recorded. During the sudomotor test, patients were asked to place their bare
hands and feet on large electrodes. The test took 2 min to carry out, was
painless and no participant preparation was required. RESULTS: A total of 567
participants were diagnosed with metabolic syndrome. The prevalence of metabolic
syndrome correlated significantly with increasing SUDOSCAN cardiac risk score (P
for trend <0.0001). Furthermore, an increase in cardiac risk score value was
associated with an increase in the number of metabolic syndrome components (P for
trend <0.0001). Compared with the no-risk group (cardiac risk score <20),
participants in the high-risk group (cardiac risk score >=30) had a 2.83-fold
increased risk of prevalent metabolic syndrome (P < 0.0001), and 1.51-fold
increased risk (P = 0.01) after adjustments. CONCLUSIONS: Autonomic dysfunction
is correlated to components of metabolic syndrome. The role of SUDOSCAN in the
screening of at-risk populations for metabolic syndrome has to be confirmed by
further studies.
PMID- 27181223
TI - High frequency tympanometry (1000Hz) in young infants and its comparison with
otoacoustic emissions, otomicroscopy and 226Hz tympanometry.
AB - INTRODUCTION AND OBJECTIVE: In the first 6 months of life, 226Hz tympanometry is
considered an ineffective procedure for the diagnosis of otitis media with
effusion. With the introduction of universal hearing screening, the use of high
frequency 1000Hz (1kHz) tympanometry has been recommended. To optimise the
diagnosis of neonatal hearing loss, we present this comparison, from the clinical
point of view, of the results of 226Hz and 1kHz tympanometry in infants.
MATERIALS AND METHODS: We designed a prospective study of 100 children under 9
months of age proceeding from our hearing screening program. We compare the
result of tympanometry with binocular microscopy and transient evoked otoacoustic
emissions. RESULTS: The application of transient otoacoustic emissions,
otomicroscopy and 226Hz and 1kHz tympanometry has shown its usefulness in the
management of otitis media with effusion of young infants, with a similar
effectiveness between the 4 tests. CONCLUSION: The joint use of otomicroscopy,
transient otoacoustic emissions and 226Hz and 1kHz tympanometry, has allowed us
to diagnose otitis media with effusion in young infants more accurately than each
test separately. We recommend initial use of 1kHz tympanometry, at least in
children younger than 7 months, but in the presence of hearing loss or an unclear
result, 226Hz tympanometry is a good diagnostic complement.
PMID- 27181224
TI - Ultrasonographical assessment of implanted biodegradable device for long-term
slow release of methotrexate into the vitreous.
AB - Our group has developed a biodegradable drug delivery device (micro-implant) for
long-term slow intraocular release of methotrexate (MTX) that can be implanted in
the peripheral vitreous. The purpose of this study was to assess the position of
the implanted devices and the status of the adjacent vitreous and peripheral
retina over time using B-scan ocular ultrasonography (US). In each of the eight
New Zealand rabbits used in this study, a chitosan (CS) and poly-lactic acid
(PLA)-based micro-implant containing approximately 400 MUg of MTX and a placebo
micro-implant without MTX were inserted into the peripheral vitreous of the right
and left eyes, respective, employing minimally invasive surgery. B-scan US
imaging was performed on all of the rabbits immediately after implant insertion
and on two rabbits at each of several pre-determined time points post-insertion
(post-insertion days 5, 12, 19, and 33) to evaluate the position of the micro
implants and identify any evident morphological changes in the micro-implants and
in the peripheral retina and vitreous during treatment. US imaging revealed
stable positioning of the PLA-coated CS-based MTX micro-implant and the placebo
micro-implant in the respective eyes throughout the study and lack of any changes
in size, shape or sonoreflectivity of the micro-implants or abnormalities of the
peripheral vitreous or retina in any of the study eyes. In summary, US did not
show any evident morphological changes in the micro-implants, shifts in post
insertion position of the micro-implants, or identifiable changes in the micro
implants or peripheral vitreous and retina of the study eyes.
PMID- 27181225
TI - Amyloid beta deposition and phosphorylated tau accumulation are key features in
aged choroidal vessels in the complement factor H knock out model of retinal
degeneration.
AB - Extra-cellular deposition including amyloid beta (Abeta) is a feature of retinal
ageing. It has been documented for Bruch's membrane (BM) where Abeta is elevated
in complement factor H knockout mice (Cfh(-/-)) proposed as a model for age
related macular degeneration. However, arterial deposition in choroidal vessels
prior to perfusion across BM has not been examined. Abeta is associated with tau
phosphorylation and these are linked in blood vessels in Alzheimers Disease where
they can drive perivascular pathology. Here we ask if Abeta, tau and
phosphorylated tau are features of ageing in choroidal vessels in 12 month C57
BL/6 and Cfh(-/-) mice, using immune staining and Western blot analysis. Greater
levels of Abeta and phosphorylated tau are found in choroidal vessels in Cfh(-/-)
mice. Western blot revealed a 40% increase in Abeta in Cfh(-/-) over C57 BL/6
mice. Abeta deposits coat around 55% of the luminal wall in Cfh(-/-) compared to
only about 40% in C57 BL/6. Total tau was similar in both groups, but
phosphorylated tau increased by >100% in Cfh(-/-) compared to C57 BL/6 and
covered >75% of the luminal wall compared to 50% in C57 BL/6. Hence,
phosphorylated tau is a marked choroidal feature in this mouse model. Abeta
deposition was clumped in Cfh(-/-) mice and likely to influence blood flow
dynamics. Disturbed flow is associated with atherogenesis and may be related to
the accumulation of membrane attack complex recently identified between choroidal
vessels in those at high risk of macular degeneration due to complement factor H
polymorphisms.
PMID- 27181227
TI - Treatment of alkali-injured cornea by cyclosporine A-loaded electrospun
nanofibers - An alternative mode of therapy.
AB - In this study we tried to develop a new approach to suppress inflammation and
neovascularization in the alkali-injured rabbit cornea. For this reason
Cyclosporine A (CsA)-loaded electrospun nanofibers were transferred onto the
ocular surface injured with alkali (0.25 N NaOH). Damaged corneas were divided
into the following groups: untreated, treated with CsA eye drops, treated with
nanofibers drug-free and treated with CsA-loaded nanofibers. Healthy rabbit
corneas served as controls. Drug-free nanofibers and CsA-loaded nanofibers were
transferred onto the damaged corneal surface immediately after the injury and
sutured to conjunctiva. On day five after the injury the nanofibers were removed.
The animals from all groups were sacrificed on day twelve after the injury. The
extent of the inflammatory reaction and corneal healing were examined
macroscopically, immunohistochemically and biochemically. The central corneal
thickness was measured using an ultrasonic pachymeter. When compared with
untreated injured corneas, injured corneas treated with drug-free nanofibers or
injured corneas treated with CsA eye drops, the number of CD3-positive cells (T
lymphocytes) and the production of pro-inflammatory cytokines were strongly
reduced in corneas treated with CsA-loaded nanofibers, which was associated with
the significantly decreased expression of matrix metalloproteinase 9, inducible
nitric oxide synthase, vascular endothelial growth factor and active caspase-3.
CsA-loaded nanofibers effectively suppressed corneal inflammation and corneal
neovascularization. Central corneal thickness restored to levels before injury
only in corneas treated with CsA-loaded nanofibers. Corneal transparency was
highly restored in these corneas. It is suggested that the beneficial effect of
CsA-loaded nanofibers was associated with the continuous release of CsA from
nanofibers and continuous affection of damaged cornea by CsA. The suture of
nanofibers to conjunctiva and the closed eyes contributed to beneficial corneal
healing. This is in contrast to CsA eye drops, which are quickly washed from the
ocular surface and the contact of CsA with the damaged cornea was limited. In
conclusion, the approach with CsA-loaded nanofibers could represent an effective
alternative mode of therapy for corneal chemical burns.
PMID- 27181226
TI - Dimethylarginine dimethylaminohydrolase-2 deficiency promotes vascular
regeneration and attenuates pathological angiogenesis.
AB - Ischemia-induced angiogenesis is critical for tissue repair, but aberrant
neovascularization in the retina causes severe sight impairment. Nitric oxide
(NO) has been implicated in neovascular eye disease because of its pro-angiogenic
properties in the retina. Nitric oxide production is inhibited endogenously by
asymmetric dimethylarginines (ADMA and L-NMMA) which are metabolized by
dimethylarginine dimethylaminohydrolase (DDAH) 1 and 2. The aim of this study was
to determine the roles of DDAH1, DDAH2, ADMA and L-NMMA in retinal ischemia
induced angiogenesis. First, DDAH1, DDAH2, ADMA and L-NMMA levels were determined
in adult C57BL/6J mice. The results obtained revealed that DDAH1 was twofold
increased in the retina compared to the brain and the choroid. DDAH2 expression
was approximately 150 fold greater in retinal and 70 fold greater in choroidal
tissue compared to brain tissue suggesting an important tissue-specific role for
DDAH2 in the retina and choroid. ADMA and L-NMMA levels were similar in the
retina and choroid under physiological conditions. Next, characterization of
DDAH1(+/-) and DDAH2(-/-) deficient mice by in vivo fluorescein angiography,
immunohistochemistry and electroretinography revealed normal neurovascular
function compared with wildtype control mice. Finally, DDAH1(+/-) and DDAH2(-/-)
deficient mice were studied in the oxygen-induced retinopathy (OIR) model, a
model used to emulate retinal ischemia and neovascularization, and VEGF and ADMA
levels were quantified by ELISA and liquid chromatography tandem mass
spectrometry. In the OIR model, DDAH1(+/-) exhibited a similar phenotype compared
to wildtype controls. DDAH2 deficiency, in contrast, resulted in elevated retinal
ADMA which was associated with attenuated aberrant angiogenesis and improved
vascular regeneration in a VEGF independent manner. Taken together this study
suggests, that in retinal ischemia, DDAH2 deficiency elevates ADMA, promotes
vascular regeneration and protects against aberrant angiogenesis. Therapeutic
inhibition of DDAH2 may therefore offer a potential therapeutic strategy to
protect sight by promoting retinal vascular regeneration and preventing
pathological angiogenesis.
PMID- 27181229
TI - Prognosis and progress in immunotherapies for organ involvements in systemic
autoimmune diseases.
AB - Treatment of organ involvements accompanied by systemic autoimmune diseases is
still challenging for clinicians, reminding the existence of unmet needs. Among
them, lupus nephritis (LN), neuropsychiatric lupus, interstitial lung diseases
(ILD) complicated with polymyositis/dermatomyositis (PM/DM) or systemic sclerosis
(SSc) are the most severe conditions with poor prognosis. Because of the rarity
and severity of the disease status, and of variety in evaluation methods,
randomized clinical trials tend to be difficult in recruiting patients, in
designing protocols, and in meeting primary endpoints. In such tough conditions,
superiority of IVCY over corticosteroids alone for LN has been established, which
is now going to be replaced by mycophenolate mofetil (MMF). Moreover, non
inferiority of tacrolimus to MMF is reported and efficacy of biologics such as
Rituximab and Abatacept for LN is under investigation. In contrast, PM/DM-ILD is
not suitable for randomized controlled trial because of the severity/acute
progression in some patients. Intensive immunosuppressive regimen is recommended
for those with poor prognostic factor(s). Cyclophosphamide has limited efficacy
in SSc-ILD. Hematopoietic stem cell transplantation elongated patient survival
and improved ILD, but with high treatment-related mortality rate. Efficacy of
rituximab and MMF has been reported in small-sized trials. In this review,
previously established treatment as well as emerging immunotherapies for organ
involvements will be discussed. Our experiences in autoimmune settings also will
be introduced.
PMID- 27181230
TI - Cancer immunotherapy and immunological memory.
AB - Human immunological memory is the key distinguishing hallmark of the adaptive
immune system and plays an important role in the prevention of morbidity and the
severity of infection. The differentiation system of T cell memory has been
clarified using mouse models. However, the human T cell memory system has great
diversity induced by natural antigens derived from many pathogens and tumor cells
throughout life, and profoundly differs from the mouse memory system constructed
using artificial antigens and transgenic T cells. We believe that only human
studies can elucidate the human immune system. The importance of immunological
memory in cancer immunotherapy has been pointed out, and the trafficking
properties and long-lasting anti-tumor capacity of memory T cells play a crucial
role in the control of malignant tumors. Adoptive cell transfer of less
differentiated T cells has consistently demonstrated superior anti-tumor capacity
relative to more differentiated T cells. Therefore, a human T cell population
with the characteristics of stem cell memory is thought to be attractive for
peptide vaccination and adoptive cell transfer. A novel human memory T cell
population that we have identified is closer to the naive state than previous
memory T cells in the T cell differentiation lineage, and has the characteristics
of stem-like chemoresistance. Here we introduce this novel population and
describe the fundamentals of immunological memory in cancer immunotherapy.
PMID- 27181228
TI - T follicular helper (Tfh) cells in autoimmune diseases.
AB - Systemic autoimmune diseases are characterized by multiple organ damages, whose
pathogenesis caused by the activation of autoreactive T cells reacting against
antigens of the body's own tissues and B cells producing autoantibodies.
Following the animal studies, Tfh cells have been identified as a critical subset
for the formation and function of B cell responses in humoral immunity, but also
play an important role in autoimmunity. In fact, circulating Tfh cells are
reported to increase and correlate with disease activity and autoantibody
production in human autoimmune diseases. However, the evidence from human studies
highlighted apparent differences between mouse and human Tfh cell
differentiation. Furthermore, there is increased recognition of functional
plasticity and diversity of Tfh cells. This may be advantageous in terms of host
defense but needs to be borne in mind in thinking about effective therapies for
autoimmune diseases. Thus, better understanding of the extrinsic and intrinsic
signals that control plasticity and diversity of Tfh cells will have important
therapeutic applications to control autoimmunity.
PMID- 27181231
TI - Autoimmune disease and epigenome regulation.
AB - Epigenetic modifications play a central role in the cellular programming of gene
expression. Two of the most characterized epigenetic modifications are DNA
methylation and histone modification. Recent observation that a number of GWAS
SNP for immunological diseases localize to immune enhancers suggests the
importance of epigenetic modifications that control enhancer activity. Epigenome
wide analysis of DNA-methylation in systemic lupus erythematosus (SLE) and
rheumatoid arthritis (RA) revealed differential DNA methylation in a number of
disease-related gene pathways. With regard to histone mark, the requirement of
millions of cells for established protocol prevents application to clinical
samples. However, recent technical advances enable us to capture open chromatin
in small amount of patient samples. As epigenetic modifications function as an
integrator of environmental stimulation and the underlying genetic variant,
detailed epigenetic analysis combined with genetic and environmental factors may
facilitate the understanding of the progression of human immunological diseases.
PMID- 27181232
TI - A review of adverse events caused by immune checkpoint inhibitors.
AB - There has been no effective therapy in the unresectable melanoma for more than 40
years. Anti-PD-1 antibody and anti-CTLA-4 antibody have totally changed the
situation. They have clearly shown the survival benefits of the patients with
metastatic melanoma. However, immune checkpoint inhibitors sometimes induce
various kinds of immune-related adverse events (irAEs). It is very important for
the clinicians to know the reported cases of irAEs and to keep in mind the
symptoms of irAEs for the early detection. This review describes the previously
reported irAEs and adequate managements for irAEs induced by immune checkpoint
inhibitors.
PMID- 27181233
TI - Assessment of disease activity in rheumatoid arthritis by multi-biomarker disease
activity (MBDA) score.
AB - For assessing clinical disease activity in rheumatoid arthritis (RA), several
composite measures of physical findings, patents'/evaluators' visual analog
scales, and acute phase reactants has been used, contributing to advance in
therapies through many clinical trials. However, more objective indices have been
desired due to subjectivity in conventional indices. The Multi-Biomarker Disease
Activity (MBDA) score is a novel blood-test based disease activity score of
single integer ranging 1-100, derived from pre-specified algorithms in
combination with 12 serum biomarkers (VCAM-1, EGF, VEGF-A, IL-6, TNF-RI, YKL-40,
MMP-1, MMP-3, leptin, resistin, SAA, CRP). The MBDA score not only reflects
disease activity in RA, but also is predictive for radiographic progression and
risk of flare after drug reduction. Herein we review clinical usefulness of the
MBDA score in RA.
PMID- 27181234
TI - Salivary epidermal growth factor (EGF) in Sjogren's syndrome: association between
salivary EGF levels and the severity of intraoral manifestations.
AB - Sjogren's syndrome (SS) is a chronic inflammatory autoimmune disease
characterized by lymphocytic infiltration of the exocrine glands, especially the
salivary and lacrimal glands. As a result of salivary gland dysfunction, most
patients with SS have xerostomia, related to a reduced salivary flow rate. In
addition to the discomfort due to xerostomia, dry mouth can cause various
intraoral manifestations such as refractory stomatitis, ulcer and atrophic
changes in the oral mucosa and tongue, and patients' quality of life (QOL) is
impaired severely. These manifestations are believed to be caused mainly by a
decrease in the clearance in the oral cavity owing to hyposalivation. However,
since saliva has several beneficial physiological effects on the intraoral
environment, qualitative changes in sialochemistry should also be considered a
cause of the refractory intraoral manifestations in SS. Salivary epidermal growth
factor (EGF) is considered an important cytoprotective factor against injuries,
and it contributes to wound healing in the oral cavity. We evaluated changes in
salivary EGF levels and assessed the association between salivary EGF levels and
the severity of intraoral manifestations in SS patients. The results showed that
the salivary EGF levels decreased with the progression of SS, and this
deterioration in saliva quality as well as hyposalivation could play a role in
the pathogenesis of refractory intraoral manifestations in SS patients. Our
findings provide new target for therapeutic intervention in SS.
PMID- 27181235
TI - The effects of TGF-betas on immune responses.
AB - Transforming growth factor (TGF)-beta family is a cytokine family with various
biological processes and forms a highly homologous group of three mammalian
isoforms, TGF-beta1, TGF-beta2, and TGF-beta3. Most of the attention on TGF-beta
family in immunology has been mainly focused on TGF-beta1 in that TGF-beta1
induces anti-inflammatory regulatory T cells (Treg), and inflammatory T helper 17
(Th17) cells in combination with interleukin-6. Although little attention has
been focused on the immunological roles of TGF-beta2 and TGF-beta3, the function
of TGF-beta3 for maintaining immunological homeostasis has recently been
identified such as the induction of Th17 cells and direct regulatory effects on
humoral immunity. TGF-beta1 and TGF-beta3 shares similar anti-inflammatory or pro
inflammatory functions, but exhibits significantly different effects on fibrosis
and chondrogenesis. For the clinical application of TGF-betas, the mechanisms by
which each TGF-beta isoform regulates immunity has to be elucidated. In this
review, we provide an overview of the effects, cellular targets, and therapeutic
potential of TGF-betas on immune responses and autoimmune diseases.
PMID- 27181236
TI - Altered composition of gut microbiota in rheumatoid arthritis patients.
AB - Manifestation of rheumatoid arthritis (RA) can be attributed to both genetic and
environmental factors. Some researchers have been focusing on intestinal
microbiota which is thought to be one of the environmental factors that may
enhance the development of RA. The advancement of culture-independent, high
throughput microbial DNA sequencing had enabled us to understand the interplay
between intestinal microbiota and host immune systems. In this study, we have
reviewed the previous findings in animal and human studies with respect to the
role of intestinal microbiota in RA. Mouse models of arthritis have demonstrated
that gut microbiota plays a critical role in the disease development. K/BxN and
IL-1 receptor-antagonist knock-out mice did not develop disease in germ free
condition, however, colonization of particular intestinal bacteria was sufficient
to induce arthritis. Moreover, the dysbiosis was observed in the human RA
patients from United States, China and Finland. Thus, we believe that endeavors
to improve the dysbiosis would serve as a novel therapeutic or preventive
strategy in RA patients.
PMID- 27181237
TI - Successful treatment by rituximab in a patient with TAFRO syndrome with
cardiomyopathy.
AB - TAFRO syndrome is a newly defined disease entity which is characterized by
thrombocytopenia, anasarca, myelofibrosis, renal dysfunction, and organomegaly. A
histological pattern of multiple lymphadenopathy of atypical Castleman's disease
(CD) is also an important characteristic. A 48-year-old man was referred to our
hospital with fever, asthenia, bilateral pleural effusion, ascites, generalized
edema, dyspnea, hypoalbuminemia, severe thrombocytopenia, anemia, renal failure
and proteinuria, whereas bacterial culture and serological and PCR tests for
various viruses were all negative. A CT scan showed multiple lymphadenopathy and
tissue sampling of inguinal lymph nodes showed a compatible histology with plasma
cell type CD. A diagnosis of TAFRO syndrome was made. Ten days after
hospitalization, sudden cardiac insufficiency and anuria developed. Despite
glucocorticoid pulse therapy, tocilizumab and plasmapheresis, clinical and
laboratory features did not improve. On the 34(th) hospital day, we started
rituximab. His general condition started to improve in several days, and by one
month later anasarca had improved drastically. Thrombocytopenia and renal
function gradually improved and finally normalized. Cardiac motion also improved.
This is the first report of a TAFRO syndrome patient with cardiomyopathy, who was
successfully treated with rituximab.
PMID- 27181238
TI - A case of familial Mediterranean fever who complained of periodic fever and
abdominal pain diagnosed by MEFV gene analysis.
AB - Familial Mediterranean fever (FMF) is a hereditary autoinflammatory disease
caused by Mediterranean FeVergene (MEFV) mutations on Chromosome 16, and
characterized by periodic fever of and serositis. FMF is the result of gain-of
function mutations in pyrin that lead to interleukin-1beta activation. FMF can be
classified as "typical" and "atypical" types based on clinical finding and
genetic screening. Although MEFV genotyping has enabled FMF to be confirmed in
some cases, the diagnosis remains predominantly clinical since genotyping has
shown that the disease is characterized by variable manifestations in Japanese.
In 1976, the first report performed on the case of Japanese FMF with periodic
fever of and serositis. Since 2002, genetic analyses are performed on Japanese
FMF patients by K. Shiozaki et al. and N. Tomiyama et al. In our case, she was a
25-year-old Japanese woman with at periodic fever and abdominal pain. MEFV gene
analysis demonstrated a heterozygous mutation of variant M694I, leading to a
diagnosis of FMF. After the increase dose (up to 3 mg/day) of colchicine,
periodic fever and abdominal pain disappeared. It is the important candidate of
FMF for differential diagnosis with unexplained periodic fever and serositis,
such as our case.
PMID- 27181239
TI - Misfolded proteins complexed with MHC class II molecules are targets for
autoantibodies.
AB - Major histocompatibility complex (MHC) molecule is important for immune system
through its function of presentation of peptide antigens. MHC is the gene most
strongly associated with susceptibility to many autoimmune diseases. We recently
found a novel function of MHC class II molecules to transport cellular misfolded
proteins to the cell surface without processing to peptides. Interestingly,
misfolded proteins transported to the cell surface by MHC class II molecules were
found to be a specific targets for autoantibodies produced in patients with
autoimmune diseases such as rheumatoid arthritis and antiphospholipid syndrome.
Furthermore, autoantibody binding to misfolded proteins complexed with MHC class
II molecules is strongly associated with the susceptibility to autoimmune
diseases conferred by each MHC class II allele. Therefore, misfolded proteins
associated with MHC class II molecules might be involved in the pathogenesis of
autoimmune diseases.
PMID- 27181240
TI - Allergic bronchopulmonary aspergillosis in a patient with rheumatoid arthritis
under adalimumab therapy: a case report.
AB - A 77-year-old woman with a 15-year history of rheumatoid arthritis (RA) was
admitted to our hospital because of a wet cough that persisted for 1 month. The
patient had been taking methotrexate (MTX) and adalimumab (ADA) for the past 3
years, and disease activity of RA was low. Discontinuation of ADA and MTX and
treatment with oral levofloxacin were not effective. On admission, laboratory
examinations showed eosinophilia (2539/MUL), elevated serum total immunoglobulin
E (538.0 IU/ml) and Aspergillus-specific immunoglobulin E levels, and Aspergillus
fumigatus serum precipitins. A chest radiograph revealed multiple bilateral
pulmonary shadows, and computed tomography revealed multiple consolidations.
Bronchoscopic examination showed mucous plugs. Pathological examination revealed
diffuse infiltration of eosinophils and fungus in the plugs. These findings led
to the diagnosis of allergic bronchopulmonary aspergillosis (ABPA). A combination
of prednisolone (0.5 mg/kg/day) and itraconazole (200 mg/day) was administered.
After 3 months, the pulmonary consolidations resolved. To our knowledge, this is
the first report of ABPA in a patient with RA treated with ADA. If patients
treated with biologic disease-modifying antirheumatic drugs present with
eosinophilia and pulmonary consolidations, clinicians should consider ABPA in the
differential diagnosis.
PMID- 27181241
TI - Overactive Bladder and Storage Lower Urinary Tract Symptoms Following Radical
Prostatectomy.
AB - OBJECTIVE: To describe the rate of overactive bladder (OAB) and storage lower
urinary tract symptoms following radical prostatectomy (RP) and determine if
subsequent radiation increases the risk of OAB. METHODS: We reviewed all patients
who underwent open RP at our tertiary care institution from January 2006 to June
2011. Primary outcomes were the proportion of patients with new OAB and time to
development of OAB in those treated with RP alone vs RP plus radiation. Secondary
outcomes included the proportion of patients treated for OAB. A Cox survival
analysis was used to assess the impact of radiation on development of OAB.
RESULTS: Of the 875 patients who met study criteria, 19% of patients developed de
novo OAB defined as urgency with or without frequency and nocturia. A total of
256 patients (29%) developed 1 or more urinary symptoms including nocturia (22%),
frequency (21%), urgency (19%), and urge incontinence (6%) following RP. After
adjusting for age, body mass index, smoking status, cancer stage, and nerve
sparing status, radiation therapy was associated with an increased relative
hazard of OAB (5.59; 95% CI 3.63-8.61, P < .001). Among men classified with de
novo OAB, only 41% received treatment. CONCLUSION: OAB and storage lower urinary
tract symptoms are prevalent in men post-RP. Adjuvant or salvage radiation
therapy increases the risk of developing OAB after RP. OAB may be undertreated in
men following prostate cancer treatment.
PMID- 27181242
TI - Iatrogenic Bladder Injury: National Analysis of 30-Day Outcomes.
AB - OBJECTIVE: To examine the risk factors and outcomes of BI, a rare complication of
abdominopelvic surgery. METHODS: We queried the National Surgical Quality
Improvement Program database to identify intraoperative bladder injury (BI)
defined by the Current Procedural Terminology code for cystorrhaphy from 2005 to
2013. Propensity-score matching balanced the differences between patients with BI
and the controls. The factors matched included age, body mass index, race,
modified frailty index, and procedure category. RESULTS: There were 1685 cases of
BI in 1,541,736 surgeries (0.11%). Although 49.5% of surgeries were performed in
an open fashion, this approach accounted for 69.3% of BI (P < .001). Prior to
matching, mortality rates and morbidity were increased for the BI group (P <
.001). Moreover, age, recent chemotherapy or radiation or steroid history, and
smoking were among the risk factors for BI (all P < .05). Resident involvement
increased the odds of BI and complications after BI, but decreased the risk of
readmission (all P < .05). After matching, 30-day mortality was no longer
increased for patients with BI (P < .001). Patients with BI requiring repair did
have increased median length of stay (6 days [interquartile range {IQR}: 3-11] vs
5 [IQR: 2-9]; P < .001) and operative time (203 min [IQR: 140-278] vs 134 [IQR:
86-199]; P < .001). BI patients were more likely to undergo reoperation (7.7% vs
5.3%; P = .005). Urine infection, sepsis, and bleeding were more likely in the BI
group compared with the matched controls (all P < .001). Delayed repair was rare.
CONCLUSION: We present the largest national series assessing iatrogenic BI and
subsequent repair. BI increases 30-day complications, reoperation, and length of
stay but does not increase 30-day mortality compared with matched controls. More
complex surgical cases and increased baseline comorbidity were risk factors for
BI.
PMID- 27181243
TI - Meatal Mobilization and Glanuloplasty: A Viable Option for Coronal and Glanular
Hypospadias Repair.
AB - OBJECTIVE: To present the meatal mobilization with glanuloplasty inclusive
(MMGPI) modification of meatal advancement and glanuloplasty inclusive. MATERIALS
AND METHODS: A total of 120 patients with anterior hypospadias underwent MMGPI
between September 2008 and October 2014 at Kermanshah University of Medical
Sciences. Satisfactory outcomes were defined as continuous straight urinary flow
and catheterization of new meatus without difficulty. Cosmetic outcomes were
considered acceptable if patients maintained a slit-like meatus at the glanular
tip. Patients were examined at 1 week, 1, 3, 6, 12, and 24 months. RESULTS: The
120 patients with anterior hypospadias underwent MMGPI. There were no hematoma,
meatal necrosis, or other early complications. In patients with glanular
hypospadias, there were no meatal regressions or stenosis, all voiding patterns
were normal, and all patients maintained a slit-like meatus at the glanular tip.
Two patients with coronal hypospadias had meatal stenosis and 2 patients had
meatal regression. Five patients with sub-coronal hypospadias had 2-mm meatal
regression with downward sloping urinary stream, and 2 patients had meatal
stenosis. In all, meatus remained distal to the preoperative meatus with no
necrosis. Small sample size was the major limitation of this study. CONCLUSION:
MMGPI represents a viable option for glanular and coronal hypospadias repair.
PMID- 27181244
TI - Bilateral Orbital Metastasis of Prostatic Adenocarcinoma.
AB - Despite the high incidence of prostate carcinoma, metastases of the uvea are very
rare and bilateral localization is even more. We report here the case of a 77
year-old man diagnosed with a metastatic prostate carcinoma. Two months later, he
presented a decreased vision in his right eye and blurred vision in the left eye
relevant to metastatic lesion on his right iris and left choroidal metastasis.
The urologist should evoke possibility of ocular metastasis in patients with
prostate cancer presenting visual disorders.
PMID- 27181245
TI - Wooden Foreign Body in the Renal Pelvis.
AB - Migration of foreign bodies into the renal collecting system is very rare and
usually concerns iatrogenically implanted objects. Migration of a foreign body
from the gastrointestinal tract to the kidneys is even more rare. We present a
case of migration of a wooden toothpick from the duodenum into the pelvis of the
right kidney. The patient was not aware that he swallowed a toothpick; the
foreign body resulted in hematuria and flank pain. The toothpick was missed
during the initial computed tomography urography. Correct diagnosis was revealed
by follow-up computed tomography. The toothpick was extracted endoscopically.
PMID- 27181246
TI - Production and characterization of a biotinylated single-chain variable fragment
antibody for detection of parathion-methyl.
AB - In this article, we reported the development of a biotinylated single-chain
variable fragment (scFv) antibody based indirect competitive enzyme-linked
immunosorbent assay (IC-ELISA) for parathion-methyl (PM) detection. Firstly, a
phage display library was generated using a pre-immunized BALB/C mouse against a
specific hapten of PM. After four rounds of panning, the scFv gene fragments were
transferred into a secreted expression vector. Then, the scFv antibodies were
secreted expressed and screened by IC-ELISA against PM. The selected scFv
antibody was fused with a biotin acceptor domain (BAD) and inserted into pET
28a(+) vector for high-level expression in Escherichia coli BL2 (DE3). After
optimizing expression conditions, the scFv-BAD antibody was expressed as a
soluble protein and biotinylated in vitro by the E. coli biotin ligase (BirA).
Subsequently, the biotinylated scFv-BAD antibody was purified with a high yield
of 59.2 +/- 3.7 mg/L of culture, and was characterized by SDS-PAGE and western
blotting. Finally, based on the biotinylated scFv-BAD, a sensitive IC-ELISA for
detection of PM was developed, and the 50% inhibition value (IC50) of PM was
determined as 14.5 ng/mL, with a limit of detection (LOD, IC10) of 0.9 ng/mL.
Cross-reactivity (CR) studies revealed that the scFv antibody showed desirable
specificity for PM.
PMID- 27181247
TI - Friction and Surface Temperature of Wet Hair Containing Water, Oil, or Oil-in
Water Emulsion.
AB - The surface properties and the tactile texture of human hair are important in
designing hair-care products. In this study, we evaluated the temporal changes of
friction and temperature during the drying process of wet human hair containing
water, silicone oil, or oil-in-water (O/W) emulsion. The wet human hair including
water or O/W emulsion have a moist feel, which was caused by the temperature
reduction of approximately 3-4 degrees C. When human hair is treated with
silicone oil, more than 60% of the subjects felt their hair to be slippery and
smooth like untreated hair. Treating hair with O/W emulsion after drying made the
subject perceive a slippery feeling because the surfactant reduced friction on
the hair surface. These results indicated that both friction and thermal
properties of the hair surface are important to control the tactile texture of
the human hair.
PMID- 27181248
TI - Inhibition of Frying Oil Oxidation by Carbon Dioxide Blanketing.
AB - The oxidation of oil starts, in general, from the penetration of atmospheric
oxygen into oil. Inhibition of the vigorous oxidation of oil at deep-frying
temperature under carbon dioxide flow, by disrupting the contact between oil and
air, was first demonstrated using oil in a round bottom flask. Next, the minimum
carbon dioxide flow rate necessary to blanket 4 L of frying oil in an electric
fryer (surface area 690 cm(2)) installed with nonwoven fabric cover, was found to
be 40 L/h. Then deep-frying of potato was done accordingly; immediately after
deep-frying, an aluminum cover was placed on top of the nonwoven fabric cover to
prevent the loss of carbon dioxide and the carbon dioxide flow was shut off. In
conclusion, the oxidation of oil both at deep-frying temperature and during
standing was remarkably inhibited by carbon dioxide blanketing at a practical
flow rate and volume. Under the deep-frying conditions employed in this study,
the increase in polar compound content was reduced to half of that of the
control.
PMID- 27181249
TI - Surface Adsorption and Micelle Formation of Polyoxyethylene-type Nonionic
Surfactants in Mixtures of Water and Hydrophilic Imidazolium-type Ionic Liquid.
AB - The interfacial properties of polyoxyethylene alkyl ether-type nonionic
surfactants (CnEm) were studied in a hydrophilic room-temperature ionic liquid, 1
butyl-3-methylimidazolium tetrafluoroborate (bmimBF4), in the presence of water.
These properties were assessed using static surface tension, pyrene fluorescence,
and dynamic light scattering measurements. The interfacial properties were
strongly dependent on the solution composition. Increased water concentration
lowered the critical micelle concentration (cmc). The cmc was also affected by
the lengths of both the alkyl and polyoxyethylene chains, but a greater impact
was observed for the alkyl chain length. These results indicate that
micellization occurs as a result of solvophobic interaction between surfactant
molecules in the water/bmimBF4 mixed solutions, similar to aqueous surfactant
systems. The cloud point phenomenon was observed for CnEm with a relatively low
hydrophilic-lipophilic balance (HLB) value, and the relationship between the
cloud point and water/bmimBF4 composition exhibited a convex upward curve.
Furthermore, the mixing of bmimBF4 with water increased the surfactant solubility
for water-rich compositions, suggesting that bmimBF4 acts as a chaotropic salt.
PMID- 27181250
TI - Kinetic and Thermodynamics studies for Castor Oil Extraction Using Subcritical
Water Technology.
AB - In this work both kinetic and thermodynamics of castor oil extraction from its
seeds using subcritical water technique were studied. It was found that the
extraction process followed two consecutive steps. In these steps, the oil was
firstly extracted from inside the powder by diffusion mechanism. Then the
extracted oil, due to extending the extraction time under high temperature and
pressure, was subjected to a decomposition reaction following first order
mechanism. The experimental data correlated well with the irreversible
consecutive unimolecular-type first order mechanism. The values of both oil
extraction rate constants and decomposition rate constants were calculated
through non-linear fitting using DataFit software. The extraction rate constants
were found to be 0.0019, 0.024, 0.098, 0.1 and 0.117 min(-1), while the
decomposition rate constants were 0.057, 0.059, 0.014, 0.019 and 0.17 min(-1) at
extraction temperatures of 240, 250, 260, 270 and 280 degrees C, respectively.
The thermodynamic properties of the oil extraction process were investigated
using Arrhenius equation. The values of the activation energy, Ea, and the
frequency factor, A, were 73 kJ mol(-1) and 946, 002 min(-1), respectively. The
physicochemical properties of the extracted castor oil including the specific
gravity, viscosity, acid value, pH value and calorific value were found to be
0.947, 7.487, 1.094 mg KOH/g, 6.1, and 41.5 MJ/Kg, respectively. Gas
chromatography analysis showed that ricinoleic acid (83.6%) appears as the
predominant fatty acid in the extracted oil followed by oleic acid (5.5%) and
linoleic acid (2.3%).
PMID- 27181251
TI - Oligosaccharide-based Surfactant/Citric Acid Buffer System Stabilizes Lactate
Dehydrogenase during Freeze-drying and Storage without the Addition of Natural
Sugar.
AB - Experiments were conducted to assess the maintenance effects of oligosaccharide
based surfactants on the enzymatic activity of a model protein, lactate
dehydrogenase (LDH), during freeze-drying and room temperature storage using the
citric acid buffer system. Oligosaccharide-based surfactants, which exhibit a
high glass transition temperature (Tg), promoted the eminent retention of
enzymatic activity during these protocols, whereas monosaccharide-based
surfactants with a low Tg displayed poor performance at high concentration,
albeit much better than that of Tween 80 at middle concentration. The increase in
the alkyl chain length did not exert positive effects as observed for the
maintenance effect during freeze-thawing, but an amphiphilic nature and a glass
forming ability were crucial for the effective stabilization at a low excipient
concentration during freeze-drying. Even a low oligosaccharide-based surfactant
content (0.1 mg mL(-1)) could maintain LDH activity during freeze-drying, but a
high surfactant content (1.0 mg mL(-1)) was required to prevent buffer
precipitation and retain high LDH activity on storage. Regarding storage, glass
formation restricted molecular mobility in the lyophilized matrix, and LDH
activity was effectively retained. The present results describe a strategy based
on the glass-forming ability of surfactant-type excipients that affords a natural
sugar-free formulation or an alternative use for polysorbate-type surfactants.
PMID- 27181252
TI - Imperata cylindrica sp as Novel Silica-Based Heterogeneous Catalysts for
Transesterification of Palm Oil Mill Sludge.
AB - Biodiesel from palm oil mill sludge (POMS) was prepared in the presence of novel
silica-based heterogeneous catalysts derived from Imperata cylindrica sp.
Imperatacid and Imperatabase are two types of heterogeneous catalysts derived
from Imperata cylindrica sp and characterized using scanning electron microscopy,
Energy Dispersive X-ray, Brunauer-Emmett-Teller surface area and pore size
measurement. Imperatacid has particle size of 43.1-83.9 um while Imperatabase in
the range of 89-193 um. Imperatacid was conveniently applied in esterification
step to afford > 90 wt% oil in 1:3 (oil/methanol) and 10 wt% catalyst, followed
by transesterification with 1 wt% Imperatabase and 1:1 (oil/methanol) for 1 h at
65 degrees C to afford 80% biodiesel with higher percentage of methyl palmitate
(48.97%) and methyl oleate (34.14%) compare to conventional homogeneous catalyst.
Reusability of the catalyst up to three times afforded biodiesel ranging from 78
80% w/w. The biodiesel was demonstrated onto alternative diesel engine
(Megatech((r))-Mark III) and showed proportional increased of torque (r) to
biodiesel loading.
PMID- 27181253
TI - Antioxidative Properties of Stearoyl Ascorbate in a Food Matrix System.
AB - Stearoyl ascorbate or 6-O-stearoyl l-ascorbate is a lipophilic derivative of l
ascorbic acid and is commercially used in foods as a fat-soluble antioxidant and
surfactant to overcome the disadvantages of using l-ascorbic acid. The objective
of this research is to evaluate the antioxidative ability of stearoyl ascorbate,
in the presence of wheat starch or gluten as a matrix, by measuring the
unoxidized methyl linoleate available in the mixture of them after oxidation
under accelerated conditions compared to that when using ascorbic acid. We
observed that stearoyl ascorbate and ascorbic acid exhibited mutually adjacent
antioxidative ability against oxidation of the methyl linoleate at a molar ratio
of 0.0001 in presence of either wheat starch or gluten. In addition, the
oxidation process in the mixture containing either stearoyl ascorbate or ascorbic
acid was significantly slower than that in the mixture without stearoyl ascorbate
or ascorbic acid. Moreover, by altering the initiation and propagation periods of
the oxidation process, the mixture containing the stearoyl ascorbate and gluten
as the matrix exhibited conspicuously slower oxidation than the mixture
containing either the wheat starch or stearoyl ascorbate alone. However, increase
in the ratio of stearoyl ascorbate to methyl linoleate to 0.001 or higher
resulted in adverse effects due to acceleration of the oxidation process.
PMID- 27181254
TI - Japanese study of tofogliflozin with type 2 diabetes mellitus patients in an
observational study of the elderly (J-STEP/EL): A 12-week interim analysis.
AB - AIMS/INTRODUCTION: Sodium-glucose co-transporter 2 inhibitors are a promising
treatment for type 2 diabetes mellitus, but are associated with concerns about
specific adverse drug reactions. We carried out a 1-year post-marketing
surveillance of tofogliflozin, a novel agent in this class, in Japanese elderly
patients with type 2 diabetes mellitus and here report the results of a 12-week
interim analysis, focusing on adverse drug reactions of special interest.
MATERIALS AND METHODS: The present prospective observational study included all
type 2 diabetes mellitus patients aged >=65 years who started tofogliflozin
during the first 3 months after its launch. Data on demographic and baseline
characteristics, clinical course and adverse events were collected. RESULTS: Of
1,535 patients registered, 1,506 patients whose electronic case report forms were
collected and who had at least one follow-up visit were included in the safety
analysis at 12 weeks. A total of 178 of 1,506 patients (11.82%) had at least one
adverse drug reaction to tofogliflozin. The incidence of adverse drug reactions
of special interest (polyuria/pollakiuria, volume depletion-related events,
urinary tract infection, genital infection, skin disorders and hypoglycemia) was
2.19, 2.32, 1.33, 1.13, 1.46 and 0.73%, respectively. No new safety concerns were
identified. Among those evaluable for clinical effectiveness, the mean (standard
deviation) glycated hemoglobin decreased from 7.65% (1.35%) at baseline to 7.25%
(1.16%) at 12 weeks by 0.39% (0.94%; P < 0.0001). CONCLUSIONS: This interim
analysis characterized the safety profile of tofogliflozin in Japanese elderly
patients with type 2 diabetes mellitus during the early post-marketing period.
PMID- 27181255
TI - A three-terminal ultraviolet photodetector constructed on a barrier-modulated
triple-layer architecture.
AB - We report a novel three-terminal device fabricated on MgZnO/ZnO/MgZnO triple
layer architecture. Because of the combined barrier modulation effect by both
gate and drain biases, the device shows an unconventional I-V characteristics
compared to a common field effect transistor. The photoresponse behavior of this
unique device was also investigated and applied in constructing a new type
ultraviolet (UV) photodetector, which may be potentially used as an active
element in a UV imaging array. More significantly, the proper gate bias-control
offers a new pathway to overcome the common persistent photoconductivity (PPC)
effect problem. Additionally, the MgZnO:F as a channel layer was chosen to
optimize the photoresponse properties, and the spectrum indicated a gate bias
dependent wavelength-selectable feature for different response peaks, which
suggests the possibility to build a unique dual-band UV photodetector with this
new architecture.
PMID- 27181256
TI - Electroencephalographic findings in schizophreniform and affective disorders.
AB - OBJECTIVE: Pathological findings in electroencephalography (EEG) are discussed as
a possible marker of organic mental disorders and a therapeutic response to
anticonvulsive medication under these conditions. METHODS: We compared the
prevalence of EEG abnormalities in 100 patients with schizophrenia, 100 patients
with schizoaffective disorder, 51 patients with acute polymorphic psychotic
disorder, 100 patients with bipolar disorder, 100 patients with unipolar major
depression and 76 healthy control subjects with the findings of a previous study
using well-diagnosed, large control samples (13,658 pilots and aircrew
personnel). RESULTS: We detected an increased number of pathological EEG findings
with intermittent rhythmic delta or theta activity in 7% of patients with
schizophrenia, 7% of patients with schizoaffective disorder, 5.9% of patients
with acute polymorphic psychosis, 6% of patients with bipolar disorder, 4% of
unipolar depressed patients and 3.9% of the own control group, compared to 1% of
strictly controlled healthy subjects. One-sided logistic regression revealed an
association between pathological EEGs and the diagnosis of schizophrenia (Wald W
= 3.466, p = 0.0315), schizoaffective disorder (W = 3.466, p = 0.0315) and
bipolar disorder (W = 2.862, p = 0.0455). CONCLUSIONS: We suggest that the
previously developed local area network inhibition model for a potential
paraepileptic pathomechanism can explain the relevance of such findings in
different psychiatric disorders.
PMID- 27181257
TI - Formation of Guaiacol by Spoilage Bacteria from Vanillic Acid, a Product of Rice
Koji Cultivation, in Japanese Sake Brewing.
AB - The formation of guaiacol, a potent phenolic off-odor compound in the Japanese
sake brewing process, was investigated. Eight rice koji samples were analyzed,
and one contained guaiacol and 4-vinylguaiacol (4-VG) at extraordinarily high
levels: 374 and 2433 MUg/kg dry mass koji, respectively. All samples contained
ferulic and vanillic acids at concentrations of mg/kg dry mass koji. Guaiacol
forming microorganisms were isolated from four rice koji samples. They were
identified as Bacillus subtilis, B. amyloliquefaciens/subtilis, and
Staphylococcus gallinarum using 16S rRNA gene sequence. These spoilage bacteria
convert vanillic acid to guaiacol and ferulic acid to 4-VG. However, they convert
very little ferulic acid or 4-VG to guaiacol. Nine strains of koji fungi tested
produced vanillic acid at the mg/kg dry mass koji level after cultivation. These
results indicated that spoilage bacteria form guaiacol from vanillic acid, which
is a product of koji cultivation in the sake brewing process.
PMID- 27181258
TI - Synthesis of Electroneutralized Amphiphilic Copolymers with Peptide Dendrons for
Intramuscular Gene Delivery.
AB - Intramuscular gene delivery materials are of great importance in plasmid-based
gene therapy system, but there is limited information so far on how to design and
synthesize them. A previous study showed that the peptide dendron-based triblock
copolymer with its components arranged in a reversed biomembrane architecture
could significantly increase intramuscular gene delivery and expression. Herein,
we wonder whether copolymers with biomembrane-mimicking arrangement may have
similar function on intramuscular gene delivery. Meanwhile, it is of great
significance to uncover the influence of electric charge and molecular structure
on the function of the copolymers. To address the issues, amphiphilic triblock
copolymers arranged in hydrophilic-hydrophobic-hydrophilic structure were
constructed despite the paradoxical characteristics and difficulties in
synthesizing such hydrophilic but electroneutral molecules. The as-prepared two
copolymers, dendronG2(l-lysine-OH)-poly propylene glycol2k(PPG2k)-dendronG2(l
lysine-OH) (rL2PL2) and dendronG3(l-lysine-OH)-PPG2k-dendronG3(l-lysine-OH)
(rL3PL3), were in similar structure but had different hydrophilic components and
surface charges, thus leading to different capabilities in gene delivery and
expression in skeletal muscle. rL2PL2 was more efficient than Pluronic L64 and
rL3PL3 when mediating luciferase, beta-galactosidase, and fluorescent protein
expressions. Furthermore, rL2PL2-mediated growth-hormone-releasing hormone
expression could significantly induce mouse body weight increase in the first 21
days after injection. In addition, both rL2PL2 and rL3PL3 showed good in vivo
biosafety in local and systemic administration. Altogether, rL2PL2-mediated gene
expression in skeletal muscle exhibited applicable potential for gene therapy.
The study revealed that the molecular structure and electric charge were critical
factors governing the function of the copolymers for intramuscular gene delivery.
It can be concluded that, combined with the previous study, both structural
arrangements either reverse or similar to the biomembrane are effective in
designing such copolymers. It also provides an innovative way in designing and
synthesizing new electroneutralized triblock copolymers, which could be used
safely and efficiently for intramuscular gene delivery.
PMID- 27181259
TI - Effect of Hurricane Sandy on Health Care Services Utilization Under Medicaid.
AB - OBJECTIVE: This investigation assessed changes in utilization of inpatient,
outpatient, emergency department, and pharmacy services in the aftermath of
Hurricane Sandy in 8 counties in New York affected by the storm. METHODS:
Medicaid data for enrollees residing in 8 counties in New York were used to
obtain aggregated daily counts of claims for 4 service types over immediate, 3
month, and 1-year periods following the storm. Negative binomial regression was
used to compare service utilization in the storm year with the 2 prior years,
within areas differentially affected by the storm. RESULTS: Changes in service
utilization within areas inside or outside the storm zone were most pronounced
over the 1-year effect period. Differences in service utilization by year were
the same by storm zone designation over the immediate effect period for all
services. CONCLUSIONS: Results are consistent with previous investigations
demonstrating that some of the greatest effects of a disaster on health services
utilization occur well beyond the initial event. One-year effects, combined with
some 3-month effects, suggests that storm recovery, with its effect on health
care services utilization, may have followed different paths in areas designated
as inside or outside the storm zone. (Disaster Med Public Health Preparedness.
2016;10:472-484).
PMID- 27181260
TI - Treatment of cerebral radiation necrosis with nerve growth factor: A prospective,
randomized, controlled phase II study.
AB - PURPOSE: A prospective, placebo controlled phase II trial was conducted to test
the efficacy of Nerve Growth Factor (NGF) for the treatment of symptomatic
temporal lobe necrosis (TLN). MATERIALS AND METHODS: Patients with progressive
TLN were randomly assigned to either the control or the study group in a 1:1
ratio. The control group received corticosteroids with gradually reduced dosage.
The study group received NGF with corticosteroids. NGF was dissolved in 2mL
normal saline and injected intramuscularly at 18MUg/time, once a day for 2months.
The efficacy was evaluated by both the objective and subjective methods every 3
4months after treatment. The objective method compared volumes of the necrotic
masses on MRI before and after treatment. The subjective method compared the
neurocognitive score as evaluated by the mini-mental status examination (MMSE).
RESULTS: Twenty-eight cases were enrolled into this study. The objective
evaluation showed that the response rate (RR) in the study group was higher than
the control group. The ratio was 10 versus 2 (p=0.006), and 12 versus 3 (p=0.002)
at 3-4months and 6-8months after intervention, respectively. The subjective
evaluation demonstrated both groups were effective in controlling the necrosis
related symptoms in the first 6months after treatment. But NGF was more effective
than corticosteroids at 9months (13 versus 4, p=0.001). The only observed side
effect was mild pain at the injection site in 3 patients in the study group.
CONCLUSIONS: Our results demonstrated that the process of TLN is not
irreversible. NGF is more effective in recovering TLN than corticosteroids with
little side effect. NGF has a longer duration in controlling the necrosis related
symptoms than corticosteroids.
PMID- 27181261
TI - Transplantation of dental pulp stem cells suppressed inflammation in sciatic
nerves by promoting macrophage polarization towards anti-inflammation phenotypes
and ameliorated diabetic polyneuropathy.
AB - AIMS/INTRODUCTION: Dental pulp stem cells (DPSCs) are thought to be an attractive
candidate for cell therapy. We recently reported that the transplantation of
DPSCs increased nerve conduction velocity and nerve blood flow in diabetic rats.
In the present study, we investigated the immunomodulatory effects of DPSC
transplantation on diabetic peripheral nerves. MATERIALS AND METHODS: DPSCs were
isolated from the dental pulp of Sprague-Dawley rats and expanded in culture.
Eight weeks after the streptozotocin injection, DPSCs were transplanted into the
unilateral hindlimb skeletal muscles. Four weeks after DPSC transplantation,
neurophysiological measurements, inflammatory gene expressions and the number of
CD68-positive cells in sciatic nerves were assessed. To confirm the
immunomodulatory effects of DPSCs, the effects of DPSC-conditioned media on
lipopolysaccharide-stimulated murine macrophage RAW264.7 cells were investigated.
RESULTS: Diabetic rats showed significant delays in sciatic nerve conduction
velocities and decreased sciatic nerve blood flow, all of which were ameliorated
by DPSC transplantation. The number of CD68-positive monocytes/macrophages and
the gene expressions of M1 macrophage-expressed cytokines, tumor necrosis factor
alpha and interleukin-1beta, were increased in the sciatic nerves of the diabetic
rats. DPSC transplantation significantly decreased monocytes/macrophages and
tumor necrosis factor-alpha messenger ribonucleic acid expression, and increased
the gene expression of the M2 macrophage marker, CD206, in the sciatic nerves of
the diabetic rats. The in vitro study showed that DPSC-conditioned media
significantly increased the gene expressions of interleukin-10 and CD206 in
lipopolysaccharide-stimulated RAW264.7 cells. CONCLUSIONS: These results suggest
that DPSC transplantation promoted macrophages polarization towards anti
inflammatory M2 phenotypes, which might be one of the therapeutic mechanisms for
diabetic polyneuropathy.
PMID- 27181262
TI - Management of bipolar disorder in the intercontinental region: an international,
multicenter, non-interventional, cross-sectional study in real-life conditions.
AB - Most of the existing data on real-life management of bipolar disorder are from
studies conducted in western countries (mostly United States and Europe). This
multinational, observational cohort study aimed to describe the management and
clinical outcomes of bipolar patients in real-life conditions across various
intercontinental countries (Bangladesh, Egypt, Iran, Israel, Tunisia, and
Ukraine). Data on socio-demographic and disease characteristics, current
symptomatology, and pharmacological treatment were collected. Comparisons between
groups were performed using standard statistical tests. Overall, 1180 patients
were included. The median time from initial diagnosis was 80 months. Major
depressive disorder was the most common initial diagnosis. Mood stabilizers and
antipsychotics were the most common drugs being prescribed at the time of the
study. Antidepressants (mainly selective serotonin uptake inhibitors [SSRIs])
were administered to 36.1% of patients. Patients with bipolar I disorder received
higher number of antipsychotics and anxiolytics than those with bipolar II
disorder (p < 0.001). Presence of depressive symptoms was associated with an
increase in antidepressant use (p < 0.001). Bipolar disorder real-life management
practice, irrespective of region, shows a delay in diagnosis and an overuse of
antidepressants. Clinical decision-making appears to be based on a
multidimensional approach related to current symptomatology and type of bipolar
disorder.
PMID- 27181263
TI - [Survey of adolescent perception of hospital admission. The importance of
hospital humanisation].
AB - INTRODUCTION: The humanisation of health care involves considering the patient as
an integral human being, providing assistance beyond medical care, and covering
other fields such as social, emotional, spiritual, or relational areas.
OBJECTIVE: To evaluate the requirements and concerns of the hospitalised
children. SUBJECTS AND METHOD: A cross-sectional, descriptive study was conducted
using an anonymous questionnaire on children aged 12-16. RESULTS: The study
included 39 patients, with a median age of 14 years. The most unpleasant
experience during the hospitalisation was the invasive procedures. Almost all
(95%) of patients suffered from pain, and 17% of them felt at some point that a
procedure was performed without them being fully aware. More than 75% of children
asked for more entertainment, with the lack of Wi-Fi being the more demanded
item. CONCLUSIONS: The needs of the population included in this survey, showed
the importance to consider cognitive (necessity of obtaining clear and extensive
information), social (maintaining everyday relationships), emotional (illness and
its diagnostic and therapeutic procedures often generate mood disorders), and
practical (environmental and architectural aspects can lead to either an
improvement or a worsening of the hospitalisation perception) factors. All of
these factors have shown a beneficial contribution, leading to an earlier
recovery of health.
PMID- 27181266
TI - Food-choice motives of adolescents in Jakarta, Indonesia: the roles of gender and
family income.
AB - OBJECTIVE: The aims of the present study were to assess the reliability and
validity of the Food Choice Questionnaire (FCQ) and to determine the factors
associated with food-choice motives in public junior-high-school students in
Jakarta, Indonesia. DESIGN: Cross-sectional study with self-administered
questionnaires. Trained research assistants measured height and weight of the
participants on the day of the data collection. Settings Fourteen randomly
selected public junior-high schools in East Jakarta, Indonesia. SUBJECTS: Public
junior-high-school students (n 681) in grades 7 and 8, aged 13-14 years (377
girls and 304 boys). RESULTS: Three food-choice motives (subscales) were obtained
from factor analysis and reliability testing: (i) comfort; (ii) convenience and
price; and (iii) health. The subscale with the greatest mean value was health.
Family affluence was inversely associated with the convenience and price subscale
(beta=-0.05, P=0.01) and with the health subscale (beta=-0.04; P=0.02). Females
were less likely than males to consider health when choosing foods (beta=-0.16;
P=0.03). CONCLUSIONS: While its factor structure differed from those found in
previous studies of adults, the FCQ can provide reliable measures of food-choice
motives among these adolescents. Students from less affluent families placed more
importance on food's convenience and price, but more affluent students did not
necessarily make healthier choices. Compared with females, males were more likely
to choose healthy foods. Future interventions should be tailored based on the
socio-economic status of the target group.
PMID- 27181264
TI - A 1-year, prospective, observational study of Japanese outpatients with type 1
and type 2 diabetes switching from insulin glargine or detemir to insulin
degludec in basal-bolus insulin therapy (Kumamoto Insulin Degludec Observational
study).
AB - AIMS/INTRODUCTION: The aim of the present prospective observational study was to
assess long-term efficacy and safety of insulin degludec as a part of a basal
bolus therapy for Japanese patients with type 1 or type 2 diabetes in routine
clinical practice. MATERIALS AND METHODS: In the present study, 93 type 1
diabetes patients and 135 type 2 diabetes patients treated with insulin glargine
or detemir were switched from their basal insulin to insulin degludec. The
primary end-points were the changes in glycated hemoglobin (HbA1c) from baseline
at 3, 6 and 12 months. The secondary end-points were changes in body mass index,
insulin dose, frequency of hypoglycemia and adverse events. RESULTS: HbA1c levels
from baseline were significantly reduced at 3, 6, and 12 months by 0.4, 0.4 and
0.3% in type 1 diabetes patients, respectively, and by 0.5, 0.5 and 0.3% in type
2 diabetes patients, respectively. Body mass index in type 1 diabetes patients
increased significantly (P < 0.05), whereas that in type 2 diabetes patients did
not change. Basal insulin dose decreased significantly at 3 months after
switching (P < 0.05), and returned baseline dose at 12 months in type 1 diabetes
and type 2 diabetes patients. The frequency of both total and nocturnal
hypoglycemia decreased significantly in type 1 diabetes and type 2 diabetes
patients (P < 0.05). The result of multiple regression analysis showed that
baseline HbA1c was a significant independent variable of the percentage change in
HbA1c with switching. CONCLUSION: In both type 1 diabetes and type 2 diabetes
patients, switching from insulin glargine or insulin detemir to insulin degludec
led to improvement of glycemic control with a significant reduction of
hypoglycemia.
PMID- 27181265
TI - Targeting multiple response regulators of Mycobacterium tuberculosis augments the
host immune response to infection.
AB - The genome of M. tuberculosis (Mtb) encodes eleven paired two component systems
(TCSs) consisting of a sensor kinase (SK) and a response regulator (RR). The SKs
sense environmental signals triggering RR-dependent gene expression pathways that
enable the bacterium to adapt in the host milieu. We demonstrate that a conserved
motif present in the C-terminal domain regulates the DNA binding functions of the
OmpR family of Mtb RRs. Molecular docking studies against this motif helped to
identify two molecules with a thiazolidine scaffold capable of targeting multiple
RRs, and modulating their regulons to attenuate bacterial replication in
macrophages. The changes in the bacterial transcriptome extended to an altered
immune response with increased autophagy and NO production, leading to
compromised survival of Mtb in macrophages. Our findings underscore the promise
of targeting multiple RRs as a novel yet unexplored approach for development of
new anti-mycobacterial agents particularly against drug-resistant Mtb.
PMID- 27181267
TI - Simultaneous deactivation of FAK and Src improves the pathology of hypertrophic
scar.
AB - Hypertrophic scar (HS) is a serious fibrotic skin condition with currently no
satisfactory therapy due to undefined molecular mechanism. FAK and Src are two
important non-receptor tyrosine kinases that have been indicated in HS
pathogenesis. Here we found both FAK and Src were activated in HS vs. normal skin
(NS), NS fibroblasts treated with TGF-beta1 also exhibited FAK/Src activation. Co
immunoprecipitation and dual-labelled immunofluorescence revealed an enhanced FAK
Src association and co-localization in HS vs. NS. To examine effects of FAK/Src
activation and their interplay on HS pathogenesis, site-directed mutagenesis
followed by gene overexpression was conducted. Results showed only simultaneous
overexpression of non-phosphorylatable mutant FAK Y407F and phosphomimetic mutant
Src Y529E remarkably down-regulated the expression of Col I, Col III and alpha
SMA in cultured HS fibroblasts, alleviated extracellular matrix deposition and
made collagen fibers more orderly in HS tissue vs. the effect from single
transfection with wild-type or mutational FAK/Src. Glabridin, a chemical found to
block FAK-Src complex formation in cancers, exhibited therapeutic effects on HS
pathology probably through co-deactivation of FAK/Src which further resulted in
FAK-Src de-association. This study suggests FAK-Src complex could serve as a
potential molecular target, and FAK/Src double deactivation might be a novel
strategy for HS therapy.
PMID- 27181268
TI - Incidence and risk of hypertension associated with cabozantinib in cancer
patients: a systematic review and meta-analysis.
AB - INTRODUCTION: Cabozantinib (XL184) is an oral inhibitor of multiplereceptor
tyrosine kinases including mesenchymal-epithelial transition factor (MET) and
vascular endothelial growth factor receptor 2 (VEGFR2). Hypertension is one of
its major side effects, but the incidence rate and overall risk has not been
systematically studied. We thus conducted this meta-analysis to investigate the
overall incidence and risk of developing hypertension in cancer patients treated
with cabozantinib. AREAS COVERED: Pubmed, Embase and oncology conference
proceedings were searched for relevant studies. Eligible studies were phase II
and III prospective clinical trials of cabozantinib in cancer patients with data
on hypertension available. A total of 1,514 patients (cabozantinib, 1083;
control, 431) with a variety of solid tumors from 8 prospective clinical trials
were included for the meta-analysis. The use of cabozantinib was associated with
significantly increased risk of developing all grade (RR 5.48; 95%CI, 3.76-7.99;
p < 0.001) and high grade (5.09; 95% CI: 2.71-9.54, p < 0.001) hypertension in
comparison with controls. Additionally, the risk of high grade hypertension with
cabozantinib was substantially higher than other four approved VEGFR-TKIs
(sorafenib, sunitinib, vandetanib and pazopanib). Expert commentary: Cancer
patients receiving cabozantinib have an increased risk of developing
hypertension. Close monitoring and management of hypertension are recommended.
PMID- 27181269
TI - Changes in serum adipocyte fatty acid-binding protein in women with gestational
diabetes mellitus and normal pregnant women during mid- and late pregnancy.
AB - AIMS/INTRODUCTION: To observe the longitudinal changes in serum adipocyte fatty
acid-binding protein (AFABP), carbohydrate, and lipid metabolism parameters in
women with and without gestational diabetes mellitus (GDM) during mid- and late
pregnancy periods, as well as to identify whether there is any association
between AFABP and development of GDM. MATERIALS AND METHODS: A total of 40 GDM
and 240 normal glucose tolerance participants were enrolled at 24-28 weeks and
completed the study. The clinical features, serum AFABP, other adipocytokines
(leptin, adiponectin, retinol-binding protein 4), homeostasis model assessment of
insulin resistance, and lipid profiles were measured in the second and third
trimesters of pregnancy. RESULTS: Compared with the normal glucose tolerance
group, the GDM group showed greater levels of AFABP, leptin and retinol-binding
protein 4; and a decreased level of adiponectin (P < 0.05 or P < 0.01) during mid
and late pregnancy periods. Prepregnancy body mass index was the independent
factor impacting serum AFABP levels in the second (beta = 0.567, P = 0.004) and
third trimesters (beta = 0.619, P = 0.001). Furthermore, GDM was independently
associated with AFABP concentrations in multiple regression analysis in the
second and third trimester (all P < 0.01). Serum AFABP, leptin and retinol
binding protein 4 are risk factors for GDM; adiponectin is a protective factor
for GDM (P < 0.05 or P < 0.01). CONCLUSIONS: The GDM group had a higher level of
AFABP during mid- and late stages of pregnancy; prepregnancy body mass index and
GDM were the independent factors with respect to serum AFABP. AFABP might be
closely related to obesity, insulin resistance and leptin resistance in
pregnancy, and is a major risk factor for GDM.
PMID- 27181272
TI - Polyamine-Cladded 18-Ring-Channel Gallium Phosphites with High-Capacity Hydrogen
Adsorption and Carbon Dioxide Capture.
AB - In this study, we synthesized a unique inorganic framework bearing the largest 18
membered-ring channels in gallium phosphites, denoted as NTHU-15, which displayed
genuine porosity even though large organic templates were present. The idea of
using the "template-cladded" strategy succeeded in releasing channel space of up
to ~24% of the unit-cell volume as highly positive-charged organic templates were
manipulated to cling to the anionic inorganic walls. NTHU-15 showed both high H2
uptake of 3.8 mmol/g at 77 K and effective CO2 adsorption of ~2.4 mmol/g at 298
K, which surpassed those of all other known extra-large-channel inorganic
framework structures. NTHU-15 has been successful at overcoming the long-standing
problem of organic-templated extra-large-channel structures as opposed to a "true
open" framework. Moreover, it realized practical gas sorption functionality in
innovated metal phosphites. In view of its high stability in hot water and high
selectivity for CO2 adsorption, NTHU-15 may be the first novel inorganic
framework material to be applied to the field of flue gas cleaning.
PMID- 27181270
TI - Migraine: What Imaging Reveals.
AB - Although migraine symptomatology is well-defined, our understanding of migraine
pathophysiology is incomplete. Structural and functional brain imaging can
contribute to a greater understanding of migraine pathophysiology. Recent
neuroimaging studies demonstrate that migraine is associated with structural and
functional alterations of brain regions commonly implicated in pain processing.
This review summarizes recent brain structural and functional imaging findings in
migraine and highlights those that are associated with characteristics such as
the presence or absence of aura, associated cognitive dysfunction, sex
differences (male vs. female migraineurs), age, and disease burden.
PMID- 27181273
TI - Non-linear photoelectron effect contributes to the formation of negative matrix
ions in UV-MALDI.
AB - The mechanism of negative ion formation in matrix-assisted laser
desorption/ionization (MALDI) is less well understood than that of positive ions:
electron capture, disproportionation, and liberation of negatively charged sample
molecules or clusters have been proposed to produce the initial anions in MALDI.
Here, we propose that the non-linear photoelectric effect can explain the
emission of electrons from the metallic target material. Moreover, electrons with
sufficient kinetic energy (0-10 eV) could be responsible for the formation of
initial negative ions. Gas-phase electron capture by neutral 2,5-dihydroxy
benzoic acid (DHB) to yield M(-) is investigated on the basis of a coupled
physical and chemical dynamics (CPCD) theory from the literature. A three-layer
energy mass balance model is utilized to calculate the surface temperature of the
matrix, which is used to determine the translational temperature, the number of
desorbed matrix molecules per unit area, and the ion velocity. Calculations of
dissociative attachment and autoionization rates of DHB are presented. It was
found that both processes contribute significantly to the formation of [M - H](-)
and [M - H2](-), although the predicted yield in the fluence range of 5-100 mJ
cm(-2) is low, certainly less than that for positive ions M(+). This work
represents the first proposal for a comprehensive theoretical description of
negative ion formation in UV-MALDI.
PMID- 27181271
TI - New Techniques and Progress in Epilepsy Surgery.
AB - While open surgical resection for medically refractory epilepsy remains the gold
standard in current neurosurgical practice, modern techniques have targeted areas
for improvement over open surgical resection. This review focuses on how a
variety of these new techniques are attempting to address these various
limitations. Stereotactic electroencephalography offers the possibility of
localizing deep epileptic foci, improving upon subdural grid placement which
limits localization to neocortical regions. Laser interstitial thermal therapy
(LITT) and stereotactic radiosurgery can minimally or non-invasively ablate
specific regions of interest, with near real-time feedback for laser interstitial
thermal therapy. Finally, neurostimulation offers the possibility of seizure
reduction without needing to ablate or resect any tissue. However, because these
techniques are still being evaluated in current practice, there are no evidence
based guidelines for their use, and more research is required to fully evaluate
their proper role in the current management of medically refractory epilepsy.
PMID- 27181274
TI - Characterization of heat shock cognate protein 70 gene and its differential
expression in response to thermal stress between two wing morphs of Nilaparvata
lugens (Stal).
AB - Previous studies have demonstrated differences in thermotolerance between two
wing morphs of Nilaparvata lugens, the most serious pest of rice across the Asia.
To reveal the molecular regulatory mechanisms underlying the differential thermal
resistance abilities between two wing morphs, a full-length of transcript
encoding heat shock cognate protein 70 (Hsc70) was cloned, and its expression
patterns across temperature gradients were analyzed. The results showed that the
expression levels of NlHsc70 in macropters increased dramatically after heat
shock from 32 to 38 degrees C, while NlHsc70 transcripts in brachypters remained
constant under different temperature stress conditions. In addition, NlHsc70
expression in the macropters was significantly higher than that in brachypters at
1 and 2h recovery from 40 degrees C heat shock. There was no significant
difference in NlHsc70 mRNA expression between brachypters and macropters under
cold shock conditions. Therefore, NlHsc70 was indeed a constitutively expressed
member of the Hsp70 family in brachypters of N. lugens, while it was heat
inducible in macropters. Furthermore, the survival rates of both morphs injected
with NlHsc70 dsRNA were significantly decreased following heat shock at 40
degrees C or cold shock at 0 degrees C for 1h. These results suggested that the
up-regulation of NlHsc70 is possibly related to the thermal resistance, and the
more effective inducement expression of NlHsc70 in macropters promotes a greater
thermal tolerance under temperature stress conditions.
PMID- 27181275
TI - Evaluation of clinical implementation of prospective DPYD genotyping in 5
fluorouracil- or capecitabine-treated patients.
AB - AIM: Fluoropyrimidines are commonly used anti-cancer drugs, but lead to severe
toxicity in 10-30% of patients. Prospective DPYD screening identifies patients at
risk for toxicity and leads to a safer treatment with fluoropyrimidines. This
study evaluated the routinely application of prospective DPYD screening at the
Leiden University Medical Center. METHODS: Prospective DPYD screening as part of
routine patient care was evaluated by retrospectively screening databases and
patient files to determine genotype, treatment, dose recommendations and dose
adjustments. RESULTS: 86.9% of all patients with a first fluoropyrimidine
prescription were screened. Fourteen out of 275 patients (5.1%) carried a DPYD
variant and received a 25-50% dose reduction recommendation. None of the patients
with a DPYD variant treated with a reduced dose developed toxicities. CONCLUSION:
Prospective DPYD screening can be implemented successfully in a real world
clinical setting, is well accepted by physicians and results in low toxicity.
PMID- 27181276
TI - Heart rate variability and depressive symptoms: a cross-lagged analysis over a 10
year period in the Whitehall II study.
AB - BACKGROUND: People with depression tend to have lower heart rate variability
(HRV), but the temporal sequence is poorly understood. In a sample of the general
population, we prospectively examined whether HRV measures predict subsequent
depressive symptoms or whether depressive symptoms predict subsequent levels of
HRV. METHOD: Data from the fifth (1997-1999) and ninth (2007-2009) phases of the
UK Whitehall II longitudinal population-based cohort study were analysed with an
average follow-up of 10.5 years. The sample size for the prospective analysis
depended on the analysis and ranged from 2334 (644 women) to 2276 (602 women).
HRV measures during 5 min of supine rest were obtained. Depressive symptoms were
evaluated by four cognitive symptoms of depression from the General Health
Questionnaire. RESULTS: At follow-up assessment, depressive symptoms were
inversely associated with HRV measures independently of antidepressant medication
use in men but not in women. Prospectively, lower baseline heart rate and higher
HRV measures were associated with a lower likelihood of incident depressive
symptoms at follow-up in men without depressive symptoms at baseline. Similar but
statistically insignificant associations were found in women. Adjustments for
known confounders including sociodemographic and lifestyle factors,
cardiometabolic conditions or medication did not change the predictive effect of
HRV on incident depressive symptoms at follow-up. Depressive symptoms at baseline
were not associated with heart rate or HRV at follow-up in either sex.
CONCLUSIONS: These findings are consistent with an aetiological role of the
autonomic nervous system in depression onset.
PMID- 27181277
TI - Cardiovascular autonomic neuropathy in patients with type 2 diabetes.
AB - AIMS/INTRODUCTION: Heart rate recovery (HRR) after exercise is considered to be a
new index of autonomic dysfunction associated with cardiovascular disease and
mortality. The present study aimed to investigate the risk factors of HRR and the
effects of exercise on the abnormal HRR in type 2 diabetes. MATERIALS AND
METHODS: A total of 123 type 2 diabetes patients were recruited, and the oral
glucose tolerance test and exercise test were carried out to analyze the risk
factors associated with abnormal HRR. Among these patients, 42 patients with
abnormal HRR were further randomized to either the conventional therapy group (CT
group; n = 20) or the intensive therapy group (IT group; n = 22). The CT group
patients underwent metformin and diet control, whereas the IT group additionally
underwent a combined moderate intensity aerobic and resistance training three
times per week for 12 weeks. The results of blood sample analysis and HRR were
recorded before and after the training. RESULTS: Abnormal HRR was related to
fasting blood glucose, glycosylated hemoglobin, low-density lipoprotein
cholesterol, and resting and maximum heart rates (P < 0.05 for both). After
training, the IT group had significantly lower levels of fasting blood glucose,
glycosylated hemoglobin and resting heart rate than the CT group (all P < 0.01 or
P < 0.005). Significant improvement in HRR and metabolic equivalents was observed
in the IT group compared with the CT group (P < 0.05). CONCLUSIONS: These data
suggested that combined aerobic and resistance training improved cardiac
autonomic dysfunction as measured by HRR in type 2 diabetes patients. This might
be due to better improvement of glycemic control, resting heart rate and physical
fitness.
PMID- 27181278
TI - Virus-Templated Near-Amorphous Iron Oxide Nanotubes.
AB - We present a simple synthesis of iron oxide nanotubes, grown under very mild
conditions from a solution containing Fe(II) and Fe(III), on rod-shaped tobacco
mosaic virus templates. Their well-defined shape and surface chemistry suggest
that these robust bionanoparticles are a versatile platform for synthesis of
small, thin mineral tubes, which was achieved efficiently. Various
characterization tools were used to explore the iron oxide in detail: Electron
microscopy (SEM, TEM), magnetometry (SQUID-VSM), diffraction (XRD, TEM-SAED),
electron spectroscopies (EELS, EDX, XPS), and X-ray absorption (XANES with EXAFS
analysis). They allowed determination of the structure, crystallinity, magnetic
properties, and composition of the tubes. The protein surface of the viral
templates was crucial to nucleate iron oxide, exhibiting analogies to
biomineralization in natural compartments such as ferritin cages.
PMID- 27181279
TI - Aluminium salabza complexes for fixation of CO2 to organic carbonates.
AB - A highly stable and easy to synthesize aluminium complex bearing a flexible N2O2
donor salabza ligand (N,N'-bis(salicylene)-2-aminobenzylamine) in combination
with tetrabutylammonium bromide forms an active binary catalytic system for the
cycloaddition of CO2 to epoxides (TOFs 120-3434 h(-1)) under mild conditions (10
bar, 80 degrees C) and low catalyst loadings (0.05-0.2 mol%). Kinetic
experiments have shown that the cycloaddition of CO2 to styrene oxide catalyzed
by 1/TBAB is first order in 1, TBAB, CO2 and epoxide. A reaction mechanism is
proposed based on these observations. Fe(iii) and Co(iii) related complexes are
less active catalysts for this reaction.
PMID- 27181285
TI - Solar Photochemical Synthesis: From the Beginnings of Organic Photochemistry to
the Solar Manufacturing of Commodity Chemicals.
AB - Natural sunlight offers a cost-efficient and sustainable energy source for
photochemical reactions. In contrast to the lengthy and small-scale "flask in the
sun" procedures of the past, modern solar concentrator systems nowadays
significantly shorten reaction times and enable technical-scale operations. After
a brief historical introduction, this review presents the most important solar
reactor types and their successful application in preparative solar syntheses.
The examples demonstrate that solar manufacturing of fine chemicals is
technically feasible and environmentally sustainable. After over 100 years,
Ciamician's prophetic vision of "the photochemistry of the future" as a clean and
green manufacturing methodology has yet to be realized. At the same time, his
warning "for nature is not in a hurry but mankind is" is still valid today. It is
hoped that this review will lead to a renewed interest in this truly enlightening
technology, that it will stimulate photochemists and photochemical engineers to
"go back to the roots onto the roofs" and that it will ultimately result in
industrial applications in the foreseeable future.
PMID- 27181284
TI - Experience with S-1 in older Caucasian patients with metastatic colorectal cancer
(mCRC): Findings from an observational chart review.
AB - BACKGROUND: An aging population will increase the number of older patients with
metastatic colorectal cancer (mCRC). However, there is limited knowledge about
treatment in older patients as they are under-represented in clinical trials. The
oral fluoropyrimidine S-1 is associated with a lower rate of adverse events than
capecitabine and may therefore be a suitable drug for elderly. However, data on
the use of S-1 in Caucasian mCRC patients are lacking/scarce. MATERIAL AND
METHODS: In the present study we evaluated safety and the efficacy of S-1 alone
or in combination with oxaliplatin (SOx) or irinotecan (IRIS) in older mCRC
patients. Patients who received at least one cycle of S-1 (first-line therapy),
SOx (mainly first-line therapy) or IRIS (second-line therapy) were included.
RESULTS: From June 2012 to December 2014, 71 older patients received >=1 cycle of
either S-1 (n = 9), SOx (n = 44) or IRIS (n = 18) for mCRC. Median age was 76
years and most patients had a WHO performance status of 0 (32%) or 1 (56%). All
patients were evaluable for response and safety. In the SOx group, 18 (41%) and
20 patients (45%) had partial response (PR) and stable disease (SD), respectively
(disease control rate 86%). Median progression-free survival (PFS) was 8.5 months
and median overall survival (OS) was 18.5 months. In the S-1 group (median age 82
years), PR was 22%, median PFS 6.4 months and median OS 15.8 months. In the IRIS
group, PR was 28%, median PFS 7.8 months and the median OS 16.5 months. In
general, therapy was well tolerated; main non-hematological toxicities were
fatigue and diarrhea. CONCLUSION: S-1 monotherapy, SOx and IRIS were well
tolerated for older patients with mCRC and could become alternative regimens in
older mCRC patients. These regimens are now further evaluated in the randomized
ongoing NORDIC9 trial.
PMID- 27181286
TI - The value of magnetic resonance cholangiopancreatography for the exclusion of
choledocholithiasis.
AB - OBJECTIVE: To investigate the ability of Magnetic resonance
cholangiopancreatography (MRCP) to exclude choledocholithiasis (CDL) in
symptomatic patients. MATERIAL AND METHODS: Patients suspected of
choledocholithiasis who underwent MRCP from 2008 through 2013 in a population
based study at the National University Hospital of Iceland were retrospectively
analysed, using ERCP and/or intraoperative cholangiography as a gold standard
diagnosis for CDL. RESULTS: Overall 920 patients [66% women, mean age 55 years
(SD 21)] underwent MRCP. A total of 392 patients had a normal MRCP of which 71
underwent an ERCP investigation demonstrating a CBD stone in 29 patients. A
normal MRCP was found to have a 93% negative predictive value (NPV) and 89%
probability of having no CBD stone demonstrated as well as no readmission due to
gallstone disease within six months following MRCP. During a 6-month follow-up
period of the 321 patients who did not undergo an ERCP nine (2.8%) patients were
readmitted with right upper quadrant pain and elevated liver tests which later
normalised with no CBD stone being demonstrated, three (0.9%) patients were
readmitted with presumed gallstone pancreatitis, two (0.6%) patients were
readmitted with cholecystitis and two (0.6%) patients were lost to follow-up.
Seven patients of those 321 underwent an intraoperative cholangiography (IOC) and
all were negative for CBD stones. For the sub-group requiring ERCP following a
normal MRCP the NPV was 63%. CONCLUSION: Our results support the use of MRCP as a
tool for exclusion of choledocholithiasis with the potential to reduce the amount
of unnecessary ERCP procedures.
PMID- 27181287
TI - The Interplay of Shape and Crystalline Anisotropies in Plasmonic Semiconductor
Nanocrystals.
AB - Doped semiconductor nanocrystals are an emerging class of materials hosting
localized surface plasmon resonance (LSPR) over a wide optical range. Studies so
far have focused on tuning LSPR frequency by controlling the dopant and carrier
concentrations in diverse semiconductor materials. However, the influence of
anisotropic nanocrystal shape and of intrinsic crystal structure on LSPR remain
poorly explored. Here, we illustrate how these two factors collaborate to
determine LSPR characteristics in hexagonal cesium-doped tungsten oxide
nanocrystals. The effect of shape anisotropy is systematically analyzed via
synthetic control of nanocrystal aspect ratio (AR), from disks to nanorods. We
demonstrate the dominant influence of crystalline anisotropy, which uniquely
causes strong LSPR band-splitting into two distinct peaks with comparable
intensities. Modeling typically used to rationalize particle shape effects is
refined by taking into account the anisotropic dielectric function due to
crystalline anisotropy, thus fully accounting for the AR-dependent evolution of
multiband LSPR spectra. This new insight into LSPR of semiconductor nanocrystals
provides a novel strategy for an exquisite tuning of LSPR line shape.
PMID- 27181288
TI - Translocation dynamics of knotted polymers under a constant or periodic external
field.
AB - We perform Brownian dynamics simulations to examine how knots alter the dynamics
of polymers moving through nanopores under an external field. In the first part
of this paper, we study the situation when the field is constant. Here, knots
halt translocation above a critical force with jamming occurring at smaller
forces for twist topologies compared to non-twist topologies. Slightly below the
jamming transition, the polymer's transit times exhibit large fluctuations. This
phenomenon is an example of the knot's molecular individualism since the
conformation of the knot plays a large role in the chain's subsequent dynamics.
In the second part of the paper, we study the motion of the chain when one cycles
the field on and off. If the off time is comparable to the knot's relaxation
time, one can adjust the swelling of the knot at the pore and hence design
strategies to ratchet the polymer in a controllable fashion. We examine how the
off time affects the ratcheting dynamics. We also examine how this strategy
alters the fluctuations in the polymer's transit time. We find that cycling the
force field can reduce fluctuations near the knot's jamming transition, but can
enhance the fluctuations at very high forces since knots get trapped in
metastable states during the relaxation process. The latter effect appears to be
more prominent for non-torus topologies than torus ones. We conclude by
discussing the feasibility of this approach to control polymer motion in
biotechnology applications such as sequencing.
PMID- 27181290
TI - Cardiac imaging: From fetus to adult.
PMID- 27181289
TI - Glycan heterogeneity on gold nanoparticles increases lectin discrimination
capacity in label-free multiplexed bioassays.
AB - The development of new analytical tools as point-of-care biosensors is crucial to
combat the spread of infectious diseases, especially in the context of drug
resistant organisms, or to detect biological warfare agents. Glycan/lectin
interactions drive a wide range of recognition and signal transduction processes
within nature and are often the first site of adhesion/recognition during
infection making them appealing targets for biosensors. Glycosylated gold
nanoparticles have been developed that change colour from red to blue upon
interaction with carbohydrate-binding proteins and may find use as biosensors,
but are limited by the inherent promiscuity of some of these interactions. Here
we mimic the natural heterogeneity of cell-surface glycans by displaying mixed
monolayers of glycans on the surface of gold nanoparticles. These are then used
in a multiplexed, label-free bioassay to create 'barcodes' which describe the
lectin based on its binding profile. The increased information content encoded by
using complex mixtures of a few sugars, rather than increased numbers of
different sugars makes this approach both scalable and accessible. These
nanoparticles show increased lectin identification power at a range of lectin
concentrations, relative to single-channel sensors. It was also found that some
information about the concentration of the lectins can be extracted, all from
just a simple colour change, taking this technology closer to being a realistic
biosensor.
PMID- 27181291
TI - Lower percentage of CD8+ T cells in peripheral blood of patients with
sporotrichosis.
AB - PURPOSE: To characterize the peripheral immunity and immunity response of
patients with sporotrichosis, in this study we determined the lymphocyte subsets
in the peripheral blood of Chinese patients with sporotrichosis. METHODS: In this
retrospective study, peripheral blood was collected from 69 sporotrichosis
patients (37, fixed cutaneous form; 32 lymphocutaneous) and 66 healthy controls.
Lymphocyte subsets were analyzed using flow cytometry. RESULTS: Compared to
controls, the percentage of CD8+ T cells was lower in sporotrichosis patients.
The percentage of CD8+ T cells in peripheral blood tended to become lower with
disease duration and disease severity, although the difference was not
statistically significant for either acute, subacute and chronic patients or
fixed cutaneous and lymphocutaneous patients. CONCLUSION: Our data indicate that
the decrease of CD8+ T cells in peripheral blood of patients with sporotrichosis
is associated with disease severity, although the difference was not
statistically significant for either duration or clinical forms of the disease.
Combining antifungal agents and immunomodulators in patients with long disease
duration and lymphocutaneous may be more beneficial than antifungal monotherapy.
PMID- 27181292
TI - Manipulating vibratory devices' orientation and position enhances proprioceptive
disturbance during upright stance.
AB - We tested local vibration effects during upright standing considering: (i) the
orientation of vibratory devices in relation to muscle fibres; (ii) the muscle
region stimulated; and (iii) the number of stimulation spots. Results showed a
higher balance disturbance with vibration devices oriented parallel to triceps
surae muscle fibres. The single stimulation of the proximal region of the
tibialis anterior muscle belly induces the same proprioceptive disturbance as
stimulating multiple regions simultaneously.
PMID- 27181293
TI - Renal-Replacement Therapy in the Critically Ill--Does Timing Matter?
PMID- 27181294
TI - Highly efficient shrinkage of inverted-pyramid silicon nanopores by plasma
enhanced chemical vapor deposition technology.
AB - Solid-state nanopore-based analysis systems are currently one of the most
attractive and promising platforms in sensing fields. This work presents a highly
efficient method to shrink inverted-pyramid silicon nanopores using plasma
enhanced chemical vapor deposition (PECVD) technology by the deposition of SiN x
onto the surface of the nanopore. The contraction of the inverted-pyramid silicon
nanopores when subjected to the PECVD process has been modeled and carefully
analyzed, and the modeling data are in good agreement with the experimental
results within a specific PECVD shrinkage period (~0-600 s). Silicon nanopores
within a 50-400 nm size range contract to sub-10 nm dimensions. Additionally, the
inner structure of the nanopores after the PECVD process has been analyzed by
focused ion beam cutting process. The results show an inner structure morphology
change from inverted-pyramid to hourglass, which may enhance the spatial
resolution of sensing devices.
PMID- 27181295
TI - Goals and hurdles for a successful implementation of genomic selection in
breeding programme for selected annual and perennial crops.
AB - Genomic Selection is an important topic in quantitative genetics and breeding.
Not only does it allow the full use of current molecular genetic technologies, it
stimulates also the development of new methods and models. Genomic selection, if
fully implemented in commercial farming, should have a major impact on the
productivity of various agricultural systems. But suggested approaches need to be
applicable in commercial breeding populations. Many of the published research
studies focus on methodologies. We conclude from the reviewed publications, that
a stronger focus on strategies for the implementation of genomic selection in
advanced breeding lines, introduction of new varieties, hybrids or multi-line
crosses is needed. Efforts to find solutions for a better prediction and
integration of environmental influences need to continue within applied breeding
schemes. Goals of the implementation of genomic selection into crop breeding
should be carefully defined and crop breeders in the private sector will play a
substantial part in the decision-making process. However, the lack of published
results from studies within, or in collaboration with, private companies
diminishes the knowledge on the status of genomic selection within applied
breeding programmes. Studies on the implementation of genomic selection in plant
breeding need to evaluate models and methods with an enhanced emphasis on
population-specific requirements and production environments. Adaptation of
methods to breeding schemes or changes to breeding programmes for a better
integration of genomic selection strategies are needed across species. More
openness with a continuous exchange will contribute to successes.
PMID- 27181296
TI - Design and synthesis of unsymmetric macrocyclic hexaoxazole compounds with an
ability to induce distinct G-quadruplex topologies in telomeric DNA.
AB - New macrocyclic hexaoxazole compounds bearing two side chains on an unsymmetrical
macrocyclic ring system, i.e., 4,2-L2H2-6OTD (2) and 5,1-L2H2-6OTD (3), were
designed as candidate G-quadruplex (G4) ligands and synthesized. These G4 ligands
2 and 3 induced an anti-parallel topology and a hybrid-type topology of telomeric
DNA, respectively, in contrast to the previously reported symmetrical macrocycle
3,3-L2H2-6OTD (1), which induces a typical anti-parallel structure. Molecular
mechanics calculations and docking studies indicate that these differences arise
from the different directions of the side chains in these L2H2-6OTD derivatives,
and provide an explanation for the weaker stabilization of telomeric DNA by 2 and
3, compared with 1.
PMID- 27181297
TI - Efficacy and safety of low-molecular-weight heparin in patients with sepsis: a
meta-analysis of randomized controlled trials.
AB - Low-molecular-weight heparin (LMWH) is part of standard supportive care. We
conducted a meta-analysis to investigate the efficacy and safety of LMWH in
septic patients. We searched Pubmed, Embase, CKNI and Wanfang database prior to
July 2015 for randomized controlled trials investigating treatment with LMWH in
septic patients. We identified 11 trials involving 594 septic patients. Meta
analysis showed that LMWH significantly reduced prothrombin time (mean
differences [MD] -0.88; 95% CI -1.47 to -0.29), APACHE II score (MD -2.50; 95% CI
-3.55 to -1.46), and 28-day mortality (risk ratio [RR] 0.72; 95% CI 0.57-0.91) as
well as increased the platelet counts (MD 18.33; 95% CI 0.73-35.93) than the
usual treatment. However, LMWH did not reduce D-dimer (MD -0.34; 95% CI -0.85 to
0.18). LMWH also significantly increased the bleeding events (RR 3.82; 95% CI
1.81-8.08). LMWH appears to reduce 28-day mortality and APACHE II score among
septic patients. Bleeding complications should be monitored during the LMWH
treatment. As for limited data about LMWH and sepsis in the English literature,
only trials published in the Chinese were included in the meta-analysis.
PMID- 27181298
TI - Nine-month-olds use frequency of onset clusters to segment novel words.
AB - Before their first birthday, infants have started to identify and use information
about their native language, such as frequent words, transitional probabilities,
and co-occurrence of segments (phonotactics), to identify viable word boundaries.
These cues can then be used to segment new words from running speech. We explored
whether infants are capable of detecting a novel word form using the frequency of
occurrence of the onset alone to further characterize the role of phonotactics in
speech segmentation. Experiment 1 shows that English-learning 9-month-olds can
successfully segment a word from natural speech if the onset is legal in English
(i.e., pleet) but not if the onset is illegal (i.e., tleet). Experiment 2 shows
that English-learning 9-month-olds are successful at word segmentation when
presented with two onset clusters that vary in statistical frequency. Infants
familiarized to a high-frequency onset (i.e., trom) were successful at segmenting
the target word embedded in speech, but those familiarized to the low-frequency
onset (i.e., drom) were unsuccessful. Together, these results show that infants
use statistical information from the speech input and that low levels of exposure
to onset phonotactics alone might not be sufficient in identifying word
boundaries.
PMID- 27181327
TI - Are the oxygen uptake and heart rate off-kinetics influenced by the intensity of
prior exercise?
AB - The aim of this study was to investigate the effect of prior exercise on the
heart rate (HR) and oxygen uptake (VO2) off-kinetics after a subsequent high
intensity running exercise. Thirteen male futsal players (age 22.8+/-6.1years)
performed a series of high-intensity bouts without prior exercise (control),
preceded by a prior same intensity continuous exercise (CE+CE) and a prior sprint
exercise (SE+CE). The magnitude of excess post-exercise oxygen consumption (EPOCm
4.25+/-0.19 vs. 3.69+/-0.20Lmin(-1) in CE+CE and 3.62+/-0.18Lmin(-1) in control;
p<0.05) and the parasympathetic reactivation (HRR60s-33+/-3 vs. 37+/-3bpm in
CE+CE and 42+/-3 bpm in control; p<0.05) in the SE+CE were higher and slower,
compared with another two conditions. The EPOCtau (time to attain 63% of total
response; 53+/-2s) and the heart rate time-course (HRtau-86+/-5s) were
significantly longer after the SE+CE condition than control transition (48+/-2s
and 69+/-5s, respectively; p<0.05). The SE+CE induce greater stress on the
metabolic function, respiratory system and autonomic nervous system regulation
during post-exercise recovery than CE, highlighting that the inclusion of sprint
based exercises can be an effective strategy to increase the total energy
expenditure following an exercise session.
PMID- 27181328
TI - Maximal inspiratory pressure is influenced by intensity of the warm-up protocol.
AB - The aim of the study was to compare the effect of inspiratory muscle warm-up
protocols with different intensities and breathing repetitions on maximal
inspiratory pressure (MIP). Ten healthy and recreationally active men (183.3+/
5.5cm, 83.7+/-7.8kg, 26.4+/-4.1years) completed four different inspiratory muscle
(IM) warm-up protocols (2*30 inspirations at 40% MIP, 2*12 inspirations at 60%
MIP, 2*6 inspirations at 80% MIP, 2*30 inspirations at 15% MIP) on separate,
randomly assigned visits. Pre-post values of MIP using MicroRPM (Micro Medical,
Kent, UK) showed a significant increase in the mean values after the IM warm-up
(POWERbreathe((r)) K1, Warwickshire, UK) with 40% MIP and 60% MIP warm-up
protocols, when MIP increased by 7cm H2O (95% CI: 0.10...13.89) (p=0.047) and by
6.4cm H2O (95% CI: 2.98...13.83) (p=0.027), respectively. In conclusion, a higher
intensity inspiratory muscle warm-up protocol (2*12 breaths at 60% of MIP) can
increase IM strength.
PMID- 27181329
TI - The hypometabolic response to repeated or prolonged hypoxic episodes in the
chicken embryo.
AB - Hypoxia (hx) in embryos causes a drop in oxygen consumption ( [Formula: see text]
) that rapidly recovers upon return to normoxia. We asked whether or not this
pattern varies with the embryo's hypoxic history. The [Formula: see text] of
chicken embryos in the middle (E12) or at end-incubation (E19) was measured by an
open-flow methodology during 15-min epochs of moderate (15% O2) or severe hx (10%
O2). Each hx-epoch was repeated or alternated with air by various modalities (air
hx-air-hx-air-hx-air, air-2.hx-air-2.hx-air, air-5.hx-air), in randomized
sequences. The hx drop in [Formula: see text] was larger with severe than with
moderate hx; however, in either case, its magnitude was essentially independent
of the preceding hx history. E19 embryos had hx drops in [Formula: see text] of
the same magnitude whether their incubation was in air or in moderate hx from E4
to E19. A different protocol (air-12.hx-air) gave variable results; with moderate
hx, the [Formula: see text] response was similar to that of the other hx regimes.
Differently, with severe hx most embryos progressively decreased [Formula: see
text] and eventually died. We interpret these data on the basis of what is known
on the 'compensatory partitioning' between costs of growth and maintenance. With
moderate hx presumably each episode caused an energy shortfall absorbed entirely
by the blunted growth. Hypoxic events of this type, therefore, should have no
long-term functional effects other than those related to the small birth weight.
Differently, the aerobic energy shortfall with severe hypoxia probably impinged
on some maintenance functions and became incompatible with survival.
PMID- 27181326
TI - Interleukin-1beta and interleukin-6 enhance thermal prolongation of the LCR in
decerebrate piglets.
AB - Thermal stress and prior upper respiratory tract infection are risk factors for
the Sudden Infant Death Syndrome. The adverse effects of prior infection are
likely mediated by interleukin-1beta (IL-1beta). Therefore, we examined the
single and combined effects of IL-1beta and elevated body temperature on the
duration of the Laryngeal Chemoreflex (LCR) in decerebrate neonatal piglets
ranging in age from post-natal day (P) 3 to P7. We examined the effects of
intraperitoneal (I.P.) injections of 0.3mg/Kg IL-1beta with or without I.P.
10mg/Kg indomethacin pretreatment on the duration of the LCR, and in the same
animals we also examined the duration of the LCR when body temperature was
elevated approximately 2 degrees C. We found that IL-1beta significantly
increased the duration of the LCR even when body temperature was held constant.
There was a significant multiplicative effect when elevated body temperature was
combined with IL-1beta treatment: prolongation of the LCR was significantly
greater than the sum of independent thermal and IL-1beta-induced prolongations of
the LCR. The effects of IL-1beta, but not elevated body temperature, were blocked
by pretreatment with indomethacin alone. We also tested the interaction between
IL-6 given directly into the nucleus of the solitary tract (NTS) bilaterally in
100ngm microinjections of 50MUL and pretreatment with indomethacin. Here again,
there was a multiplicative effect of IL-6 treatment and elevated body
temperature, which significantly prolonged the LCR. The effect of IL-6 on the
LCR, but not elevated body temperature, was blocked by pretreatment with
indomethacin. We conclude that cytokines interact with elevated body temperature,
probably through direct thermal effects on TRPV1 receptors expressed pre
synaptically in the NTS and through cytokine-dependent sensitization of the TRPV1
receptor. This sensitization is likely initiated by cyclo-oxygenase-2 dependent
synthesis of prostaglandin E2, which is stimulated by elevated levels of IL-1beta
or IL-6. Inflammatory sensitization of the LCR coupled with thermal prolongation
of the LCR may increase the propensity for apnea and Sudden Infant Death
Syndrome.
PMID- 27181330
TI - Maximal inspiratory mouth pressure in Japanese elite male athletes.
AB - Maximal inspiratory mouth pressure (MIP) is a common measurement of inspiratory
muscle strength, which is often used in a variety of exercises to evaluate the
effects of inspiratory muscle training. An understanding of elite athletes' MIP
characteristics is needed to guide sport-specific inspiratory muscle training
programs. The purpose of this study was to investigate and better understand the
MIP characteristics of elite athletes from a variety of sports. A total of 301
Japanese elite male athletes participated in this study. MIP was assessed using a
portable autospirometer with a handheld mouth pressure meter. Athletes with
higher body mass tended to have stronger MIP values, in absolute terms. In
relative terms, however, athletes who regularly experienced exercise-induced
inspiratory muscle fatigue tended to have stronger MIP values. Our findings
suggest that athletes could benefit from prescribed, sport-specific, inspiratory
muscle training or warm-ups.
PMID- 27181331
TI - Preiser's disease in a five-fingered hand.
AB - Preiser's disease, also known as idiopathic avascular necrosis of the scaphoid,
and five-fingered hand are rare hand conditions. In this report, we present a
case of a 25 year old female patient who had avascular necrosis of the scaphoid
and five-fingered hand.
PMID- 27181333
TI - Production of biologically active oxidized derivatives of finasteride through
metabolism by Aspergillus niger culture.
AB - CONTEXT: Among the 4-azasteroids, finasteride is biologically the most important
compound having preventive effect against male pattern baldness (MPH) and benign
prostatic hyperplasia commonly called enlargement of prostate gland. OBJECTIVE:
The microbial transformation of finasteride by fungus Aspergillus niger (ATCC
10549) has been investigated to obtain biologically more potent derivatives.
MATERIALS AND METHODS: Fermentation of finasteride was performed with filamentous
fungus Aspergillus niger (ATCC 10549). This transformation resulted in the
production of two transformed products, which were purified through column
chromatography. In vitro lipoxygenase inhibitory potential was determined by
incubating 20 mL of the enzyme with 10 mL of test sample (100 MUM) in 0.1 mM (pH
7.0) phosphate buffer for 5 min at 258 degrees C followed by addition of 10 MUL
of substrate (linolenic acid) to reaction mixture and measuring the formation of
complex spectrophotometrically. RESULTS: Structure elucidation of biotransformed
metabolites was ascertained through extensive 1D and 2D spectroscopic techniques.
This study established the fact that A. niger promoted stereospecific
dihydroxylation at C-11 and C-15 of finasteride. The resulting biotransformed
metabolites were characterized as 11alpha-hydroxyfinasteride and 15beta
hydroxyfinasteride, respectively. Finasteride along with transformed metabolites
were analyzed for their in vitro lipoxygenase (LOX) inhibition assay. Among the
tested compounds 15beta-hydroxyfinasteride showed good activity with IC50 value
112.56 +/- 2.23 MUM while inhibitory effect in case of 11alpha-hydroxyfinasteride
was low with IC50 value 186.05 +/- 1.34 MUM. Standard compound baicalein revealed
IC50 value being 22.0 +/- 0.05 MUM. CONCLUSION: The present investigation
highlighted the fact that potentially active compound can be produced through the
technology of biotransformation.
PMID- 27181332
TI - BCR-ABL-specific CD4+ T-helper cells promote the priming of antigen-specific
cytotoxic T cells via dendritic cells.
AB - The advent of tyrosine kinase inhibitor (TKI) therapy markedly improved the
outcome of patients with chronic-phase chronic myeloid leukemia (CML). However,
the poor prognosis of patients with advanced-phase CML and the lifelong
dependency on TKIs are remaining challenges; therefore, an effective therapeutic
has been sought. The BCR-ABL p210 fusion protein's junction region represents a
leukemia-specific neoantigen and is thus an attractive target for antigen
specific T-cell immunotherapy. BCR-ABL p210 fusion-region-specific CD4+ T-helper
(Th) cells possess antileukemic potential, but their function remains unclear. In
this study, we established a BCR-ABL p210 b3a2 fusion-region-specific CD4+ Th
cell clone (b3a2-specific Th clone) and examined its dendritic cell (DC)-mediated
antileukemic potential. The b3a2-specific Th clone recognized the b3a2 peptide in
the context of HLA-DRB1*09:01 and exhibited a Th1 profile. Activation of this
clone through T-cell antigen receptor stimulation triggered DC maturation, as
indicated by upregulated production of CD86 and IL-12p70 by DCs, which depended
on CD40 ligation by CD40L expressed on b3a2-specific Th cells. Moreover, in the
presence of HLA-A*24:02-restricted Wilms tumor 1 (WT1)235-243 peptide, DCs
conditioned by b3a2-specific Th cells efficiently stimulated the primary
expansion of WTI-specific cytotoxic T lymphocytes (CTLs). The expanded CTLs were
cytotoxic toward WT1235-243-peptide-loaded HLA-A*24:02-positive cell lines and
exerted a potent antileukemic effect in vivo. However, the b3a2-specific Th-clone
mediated antileukemic CTL responses were strongly inhibited by both TKIs and
interferon-alpha. Our findings indicate a crucial role of b3a2-specific Th cells
in leukemia antigen-specific CTL-mediated immunity and provide an experimental
basis for establishing novel CML immunotherapies.
PMID- 27181334
TI - Marijuana: The Societal and Health Paradox.
PMID- 27181336
TI - Significant curvature effects of partially charged carbon nanotubes on
electrolyte behavior investigated using Monte Carlo simulations.
AB - Carbon nanotubes and graphene are among the major nanomaterials in nanoscience
and technology. Despite having pi electrons, these nanocarbon allotropes have
been simply considered as neutral in classical calculations. In this study, the
effects of partial charges on graphene and curved interfaces on molecular
adsorption were investigated using Monte Carlo simulations of N2 and NaCl aqueous
solutions on graphene and carbon nanotubes. The simulated N2 adsorption behavior
and adsorption potential on partially charged and non-charged graphene coincided
with each other. The adsorption potentials suggested that partially charged
graphene attracted Na ions and repelled Cl ions. However, those tendencies were
not present in NaCl aqueous solutions on graphene. Conversely, in partially
charged carbon nanotube models, a preference for Na ions and repulsion of Cl ions
in the internal nanospaces were observed in the adsorption potentials using Monte
Carlo simulations. Curved interfaces in the internal nanospaces of carbon
nanotubes enhanced these properties, suggesting significant electrostatic
interactions in a curved pi-conjugated system.
PMID- 27181335
TI - Effect of alpha-linolenic acid and DHA intake on lipogenesis and gene expression
involved in fatty acid metabolism in growing-finishing pigs.
AB - The regulation of lipogenesis mechanisms related to consumption of n-3 PUFA is
poorly understood. The aim of the present study was to find out whether alpha
linolenic acid (ALA) or DHA uptake can have an effect on activities and gene
expressions of enzymes involved in lipid metabolism in the liver, subcutaneous
adipose tissue and longissimus dorsi (LD) muscle of growing-finishing pigs. Six
groups of ten pigs received one of six experimental diets supplemented with
rapeseed oil in the control diet, extruded linseed, microalgae or a mixture of
both to implement different levels of ALA and DHA with the same content in total
n-3. Results were analysed for linear and quadratic effects of DHA intake. The
results showed that activities of malic enzyme (ME) and fatty acid synthase (FAS)
decreased linearly in the liver with dietary DHA. Although the expression of the
genes of these enzymes and their activities were poorly correlated, ME and FAS
expressions also decreased linearly with DHA intake. The intake of DHA down
regulates the expressions of other genes involved in fatty acid (FA) metabolism
in some tissues of pigs, such as fatty acid desaturase 2 and sterol-regulatory
element binding transcription factor 1 in the liver and 2,4-dienoyl CoA reductase
2 in the LD muscle. FA oxidation in the LD muscle and FA synthesis decreased in
the liver with increasing amount of dietary DHA, whereas a retroconversion of DHA
into EPA seems to be set up in this last tissue.
PMID- 27181337
TI - Plasmon-enhanced Electrically Light-emitting from ZnO Nanorod Arrays/p-GaN
Heterostructure Devices.
AB - Effective and bright light-emitting-diodes (LEDs) have attracted broad interests
in fundamental research and industrial application, especially on short
wavelength LEDs. In this paper, a well aligned ZnO nanorod arrays grown on the p
GaN substrate to form a heterostructured light-emitting diode and Al
nanoparticles (NPs) were decorated to improve the electroluminescence
performance. More than 30-folds enhancement of the electroluminescence intensity
was obtained compared with the device without Al NPs decoration. The
investigation on the stable and transient photoluminescence spectraof the ZnO
nanorod arrays before and after Al NPs decoration demonstrated that the metal
surface plasmon resonance coupling with excitons of ZnO leads to the enhancement
of the internal quantum efficiency (IQE). Our results provide aneffective
approach to design novel optoelectronic devices such as light-emitting diodes and
plasmonic nanolasers.
PMID- 27181338
TI - Reversible regulation of the supramolecular chirality of a cyanine dye by using
the G-quadruplex structure as a template.
AB - Multiple cycle regulation of the supramolecular chirality of a cyanine dye has
been successfully achieved by using DNA G-quadruplexes as templates, which is
easily controllable by repeated addition of Ag(+) and cysteine (Cys). This work
provides an easy and controllable strategy for the chiral regulation of
supramolecules.
PMID- 27181340
TI - Improving the hydrogen selectivity of graphene oxide membranes by reducing non
selective pores with intergrown ZIF-8 crystals.
AB - We report the intergrowth of ZIF-8 crystals on ultrathin graphene oxide (GO)
membranes, which helps to reduce the non-selective pores of pristine GO membranes
leading to gas selectivities as high as 406, 155, and 335 for H2/CO2, H2/N2, and
H2/CH4 mixtures, respectively.
PMID- 27181341
TI - Behavior change stages related to physical activity in adolescents from Santa
Catarina: prevalence and associated factors.
AB - OBJECTIVE: Verify the prevalence and sociodemographic and economic factors
associated with behavior change stages for habitual physical activity practice in
adolescents from Santa Catarina. METHODS: Secondary analysis of a study on the
Behavior of Adolescents from Santa Catarina (CompAC 2). Cross-sectional school
based study of 6,529 high-school students (males, n=2,903) from the state of
Santa Catarina public education system in 2011, aged 15 to 19 years. Multinomial
logistic regression (crude and adjusted) was used to measure the association.
RESULTS: The highest and lowest prevalence rates were found in the maintenance
(43.9%) and precontemplation stages (7.0%), respectively. The stages of action,
preparation and contemplation showed similar results: 16.2%; 17.0% and 15.6%;
respectively. Male adolescents show higher prevalence in the maintenance stage in
relation to females and these show a higher prevalence in preparation,
contemplation and precontemplation. All the assessed variables (gender, age, area
of residence, employment status, family income, maternal education and school
grade), with the exception of school shift, were associated with at least one of
the stages. CONCLUSIONS: A large proportion of adolescents are in the pre
adoption stages and most of these have the intention to start regular physical
activity. With the exception of school shift, the assessed variables were
associated with stages in different ways, showing different profiles in relation
to sociodemographic and economic characteristics in each subgroup.
PMID- 27181339
TI - Reduced cerebral blood flow and oxygen metabolism in extremely preterm neonates
with low-grade germinal matrix- intraventricular hemorrhage.
AB - Low-grade germinal matrix-intraventricular hemorrhage (GM-IVH) is the most common
complication in extremely premature neonates. The occurrence of GM-IVH is highly
associated with hemodynamic instability in the premature brain, yet the long-term
impact of low-grade GM-IVH on cerebral blood flow and neuronal health have not
been fully investigated. We used an innovative combination of frequency-domain
near infrared spectroscopy and diffuse correlation spectroscopy (FDNIRS-DCS) to
measure cerebral oxygen saturation (SO2) and an index of cerebral blood flow
(CBFi) at the infant's bedside and compute an index of cerebral oxygen metabolism
(CMRO2i). We enrolled twenty extremely low gestational age (ELGA) neonates (seven
with low-grade GM-IVH) and monitored them weekly until they reached full-term
equivalent age. During their hospital stay, we observed consistently lower CBFi
and CMRO2i in ELGA neonates with low-grade GM-IVH compared to neonates without
hemorrhages. Furthermore, lower CBFi and CMRO2i in the former group persists even
after the resolution of the hemorrhage. In contrast, SO2 does not differ between
groups. Thus, CBFi and CMRO2i may have better sensitivity than SO2 in detecting
GM-IVH-related effects on infant brain development. FDNIRS-DCS methods may have
clinical benefit for monitoring the evolution of GM-IVH, evaluating treatment
response, and potentially predicting neurodevelopmental outcome.
PMID- 27181342
TI - Use of honey associated with Ananas comosus (Bromelin) in the treatment of acute
irritative cough.
AB - OBJECTIVE: To evaluate the immediate improvement rate of irritative cough in
patients treated with the combination of Ananas comosus extract and honey
(Bromelin(r)) compared with the use of honey alone (placebo group). METHODS:
Pragmatic, double-blind, randomized, parallel-group study with children aged
between 2 and 15 years, with irritative cough for at least 24hours. The double
blind assessment of cough was through the number of observed coughing episodes
and intensity score for a period of 10minutes of observation. The decrease of one
point in the mean total score was considered as a therapeutic effect. RESULTS:
There was a reduction in coughing episodes in both groups, as well as in the
cough score after 30minutes of drug or honey administration. The change in
clinical score above two points, which could indicate marked improvement,
occurred in five patients in the bromelin group and only in one in the placebo
group, but without significant difference. There were no adverse events.
CONCLUSIONS: The immediate improvement rate of irritative cough was similar in
patients treated with combination of Ananas comosus extract and honey
(Bromelin(r)) compared with the use of honey alone (placebo group). It is
possible that honey has a therapeutic effect on mucus and cough characteristics
(Clinical Trials: NCT01356693).
PMID- 27181343
TI - Association of growth and nutritional parameters with pulmonary function in
cystic fibrosis: a literature review.
AB - OBJECTIVE: To review the literature addressing the relationship of growth and
nutritional parameters with pulmonary function in pediatric patients with cystic
fibrosis. DATA SOURCE: A collection of articles published in the last 15 years in
English, Portuguese and Spanish was made by research in electronic databases -
PubMed, Cochrane, Medline, Lilacs and Scielo - using the keywords cystic
fibrosis, growth, nutrition, pulmonary function in varied combinations. Articles
that addressed the long term association of growth and nutritional parameters,
with an emphasis on growth, with pulmonary disease in cystic fibrosis, were
included, and we excluded those that addressing only the relationship between
nutritional parameters and cystic fibrosis and those in which the aim was to
describe the disease. DATA SYNTHESIS: Seven studies were included, with a total
of 12,455 patients. Six studies reported relationship between growth parameters
and lung function, including one study addressing the association of growth
parameters, solely, with lung function, and all the seven studies reported
relationship between nutritional parameters and lung function. CONCLUSIONS: The
review suggests that the severity of the lung disease, determined by spirometry,
is associated with body growth and nutritional status in cystic fibrosis. Thus,
the intervention in these parameters can lead to the better prognosis and life
expectancy for cystic fibrosis patients.
PMID- 27181345
TI - Differences in caregivers' cognitive appraisal, coping strategies, and perceived
influence on life by care recipients' sex and kinship with primary caregivers.
AB - Objectives This study was aimed at examining whether caregivers' cognitive
appraisal, coping strategies, and perceived influence on life vary according to
care recipients' sex and caregivers' kinship (e.g., spouse, son, daughter, or
daughter-in-law).Methods We contacted 1,110 relatively large visiting nursing
stations in 37 prefectures, 83 of which agreed to participate in the study.
Station managers were requested to select up to 20 families with an elderly
person to care for. A questionnaire that included measures for caregivers'
cognitive appraisal, coping strategies toward family caregiving, and caregivers'
perception, which measures the perceptions of negative and positive influences on
family life through caregiving, was administered to the 1,278 families selected
by the nursing stations. From this pool, 1,020 questionnaires (79.8%) were
returned, completed anonymously by primary caregivers.Results Two-way analysis of
variance was used to analyze the care recipients' sex and kinship with primary
caregivers. The analysis revealed significant interactions regarding the level of
care required and approximately half of the scales measuring cognitive appraisal,
coping strategies, and perceived influence on life. The level of care required
was higher for male care recipients than for female care recipients when
recipients were cared for by their daughters. Husbands caring for wives and
daughters-in-law caring for fathers-in-law were more likely to feel "restricted
in their social life," have difficulty "keeping pace" with caregiving, and have
"negative influence on life." Husbands caring for wives felt less "personal
growth through caregiving" than wives caring for husbands. Daughters caring for
fathers perceived a lower "positive acceptance of caregiving role" than those
caring for mothers. Husbands caring for wives tended not to seek "informal
support." Care recipients' sex had little influence on caregivers' cognitive
appraisal, coping strategies, and caregivers' perceptions of negative and
positive influences on family life. Regarding the main effects of caregivers'
kinship, spousal caregivers felt more anxious about continuous caregiving but
felt more "fulfilled" and "positively accepted" in their caregiving
role.Conclusion Cognitive appraisal and coping strategies toward family
caregiving, and the caregivers' perceptions of negative and positive influences
on life might vary according to care recipients' sex and caregivers' kinship. Our
findings suggest the necessity for long-term care insurance services to
incorporate not only care for the care recipients but also a strategy to deal
with the psychological burden of family caregivers.
PMID- 27181346
TI - The Relationship between Home Environment and Children's Dietary Behaviors,
Lifestyle Factors, and Health: Super Food Education School Project by the
Japanese Ministry of Education, Culture, Sports, Science and Technology.
AB - Objectives The numbers of nuclear families and working women have been
increasing. Such changes in the home environment may affect children's dietary
behaviors, lifestyle factors, and health. This study aims to clarify the
associations between the home environment and children's dietary behaviors,
lifestyle factors, and health.Methods In July 2014, we questioned the students
and parents of five elementary schools that joined the Super Food Education
School Project in Takaoka City, Toyama Prefecture. Of 2057 subjects, 1936 (94.1%)
answered and 1719 of these subjects were analyzed. In this study, the phrase
"home environment" describes such terms as "mother's employment status", "family
structure", "subjective economic state", "communication between parents and
children", "having breakfast or supper with family", "household chores by
children", "parents' awareness of food education", "regard for balanced
nutrition", and "teaching table manners". We performed logistic-regression
analyses using children's dietary behaviors, lifestyle factors, and health as
dependent variables; the items relating to home environment were independent
variables.Results Children with parents who are employed, those who do not have
breakfast or supper with family, those who do not help with household chores, and
those with parents who are less conscious of food education were more likely to
eat fewer vegetables, to have likes and dislikes of foods, to skip breakfast, and
to have snacks. Children who have little communication with their parents, who do
not help with household chores, and those with parents who are less conscious of
food education were less likely to exercise, sleep well, spend less time with
television, and spend less time on playing videogames. Children with less
affluence, those who have little communication with their parents, those who do
not help with household chores, and those with parents who are less conscious of
food education were less likely to have high health satisfaction levels, to feel
good when waking up, to be calm, or to have good self-affirmation.Conclusion The
results of this study show that a good home environment relates to children's
good dietary behaviors, positive lifestyle factors, and good health. It is
important to maintain a good home environment, such as by raising parents' food
awareness, increasing opportunities for communication between children and
parents, and having children help with household chores to improve children's
dietary behaviors, lifestyle factors, and health.
PMID- 27181347
TI - Differences between family caregivers and people with dementia in recognizing the
difficulties encountered in the lives of people with dementia.
AB - Objectives Dementia brings new difficulties in the lives of people with this
disorder. It is important that family caregivers accurately recognize these
difficulties to help their family members live fulfilling lives. Based on
information gathered from people with dementia, family caregivers, and nurses
providing medical care to this population, this study compared the differences in
perspectives related to the difficulties associated with dementia between the
family member with dementia and the family caregiver.Methods The primary
participants in this investigation were 106 people with dementia and their family
caregivers. Participants with dementia were 65 years and older who were receiving
home care in Tokyo. Participants were interviewed about their difficulties while
family caregivers completed a questionnaire with basic information regarding
people with dementia. Additionally, the nurse providing medical care to the
person with dementia completed a questionnaire about the medical care. In this
study, difficulties in the lives of people with dementia was defined as
impediments in life due to dementia. Difficulties were classified according to 12
symptoms based on responses that appeared frequently in the interviews. The 12
symptoms were pain, hallucinations/delusions, aggressive behavior, memory loss,
disorientation, communication impairment, anxiety/confusion, toileting problems,
gait disturbance, dietary deficiency, sleep disorder, and social withdrawal.
Additional information was gathered and analyzed that included diagnosis and
severity of dementia, need for long-term care, core symptoms of dementia,
behavioral and psychological symptoms of dementia (BPSD), and delirium.Results
The family caregiver's perspective about the difficulties encountered in the life
of their family member with dementia was often different from the perspective of
the associated family member. No family caregivers recognized that pain was a
difficulty, and there were only a few cases in which pain was treated.
Alternatively, many family caregivers recognized that hallucinations/delusions
and aggressive behavior were difficulties experienced by people with dementia.
There was also a significant correlation between the experience of pain and the
presence of a sleep disorder.Conclusion The present results clarified the
differences between family caregivers and people with dementia by recognizing the
difficulties in life experienced by people with dementia. Such difficulties are
not solved by the care performed by family caregivers alone. Further
investigation is needed to identify those factors that enable people with
dementia to live fulfilling lives.
PMID- 27181344
TI - Awareness of Emotional Stimuli Determines the Behavioral Consequences of Amygdala
Activation and Amygdala-Prefrontal Connectivity.
AB - Conscious awareness of negative cues is thought to enhance emotion-regulatory
capacity, but the neural mechanisms underlying this effect are unknown. Using
continuous flash suppression (CFS) in the MRI scanner, we manipulated visual
awareness of fearful faces during an affect misattribution paradigm, in which
preferences for neutral objects can be biased by the valence of a previously
presented stimulus. The amygdala responded to fearful faces independently of
awareness. However, when awareness of fearful faces was prevented, individuals
with greater amygdala responses displayed a negative bias toward unrelated novel
neutral faces. In contrast, during the aware condition, inverse coupling between
the amygdala and prefrontal cortex reduced this bias, particularly among
individuals with higher structural connectivity in the major white matter pathway
connecting the prefrontal cortex and amygdala. Collectively, these results
indicate that awareness promotes the function of a critical emotion-regulatory
network targeting the amygdala, providing a mechanistic account for the role of
awareness in emotion regulation.
PMID- 27181348
TI - Early detection and response for measles and rubella cases through the (Nursery)
School Absenteeism Surveillance System in Ibaraki Prefecture.
AB - Objectives In Ibaraki Prefecture, all (nursery) schools have joined the (Nursery)
School Absenteeism Surveillance System (hereafter denoted as (N)SASSy), which is
operated by the Japan School Health Association to monitor the prevalence of
infectious diseases, the early detection and response for outbreaks, and
prevention of large outbreaks. Prefectural government officers also utilize it as
a control measure for infectious diseases. In particular, when cases of measles
or rubella are registered, (N)SASSy sends e-mails automatically to prefectural
government officers to activate control measures. This paper summarizes
administrative responses by prefectural government officers for measles or
rubella cases using (N)SASSy and discusses the future challenges.Methods We
summarized registration, detection, and first response data for measles or
rubella cases in (N)SASSy and compared the number of detected and reported cases
enforced by the Infectious Diseases Control Law from January 1, 2013 to December
31, 2014.Results The public health center questioned hospitals/clinics and
(nursery) schools about all registered measles or rubella cases in (N)SASSy on
the same day to check the entered information. In the past 2 years, there were 5
measles and 56 rubella cases in 2013 and 1 measles and 19 rubella cases in 2014
registered with (N)SASSy. All cases were checked and investigated by the public
health center. Of all cases detected by (N)SASSy, 7 rubella cases in 2013 and 1
rubella case in 2014 were reported based on the law. No measles cases were
reported in the 2 years. The results of investigations and laboratory tests were
given as feedback to the (nursery) schools. If the case did not case definition
determined by the law, we changed the status in (N)SASSy to suspected or
discarded cases.Conclusion Since (N)SASSy assists prefectural government officers
with earlier detection of and response for infectious diseases, it definitely
contributes to infection control. Immediate feedback of the laboratory test
results to the (nursery) schools was also useful to confirm cases of measles or
rubella. As data entry in (nursery) schools is needed for stable operation and
utilization of (N)SASSy, it is important that workshops for (N)SASSy are held for
(nursery) school teachers every year to maintain accuracy. Our future challenges
include the coordination among (nursery) schools, hospitals/clinics, and
prefectural government and their applications for infection control.
PMID- 27181349
TI - Biotin augments acetyl CoA carboxylase 2 gene expression in the hypothalamus,
leading to the suppression of food intake in mice.
AB - It is known that biotin prevents the development of diabetes by increasing the
functions of pancreatic beta-cells and improving insulin sensitivity in the
periphery. However, its anti-obesity effects such as anorectic effects remain to
be clarified. Acetyl CoA carboxylase (ACC), a biotin-dependent enzyme, has two
isoforms (ACC1 and ACC2) and serves to catalyze the reaction of acetyl CoA to
malonyl CoA. In the hypothalamus, ACC2 increases the production of malonyl CoA,
which acts as a satiety signal. In this study, we investigated whether biotin
increases the gene expression of ACC2 in the hypothalamus and suppresses food
intake in mice administered excessive biotin. Food intake was significantly
decreased by biotin, but plasma regulators of appetite, including glucose,
ghrelin, and leptin, were not affected. On the other hand, biotin notably
accumulated in the hypothalamus and enhanced ACC2 gene expression there, but it
did not change the gene expression of ACC1, malonyl CoA decarboxylase (a malonyl
CoA-degrading enzyme), and AMP-activated protein kinase alpha-2 (an ACC
inhibitory enzyme). These findings strongly suggest that biotin potentiates the
suppression of appetite by upregulating ACC2 gene expression in the hypothalamus.
This effect of biotin may contribute to the prevention of diabetes by biotin
treatment.
PMID- 27181351
TI - Toll-interacting protein inhibits HIV-1 infection and regulates viral latency.
AB - HIV-1 latency is mainly characterized by a reversible silencing of long-terminal
repeat (LTR)-driven transcription of provirus. The existing of repressive factors
has been described to contribute to transcription silencing of HIV-1. Toll
interacting protein (Tollip) has been identified as a repressor of Toll like
receptors (TLR)-mediated signaling. Our previous study has found that Tollip
inhibited NF-kappaB-dependent HIV-1 promoter LTR-driven transcription, indicating
the potential role of Tollip in governing viral latency. In this study, by using
HIV-1 latently infected Jurkat T-cell and central memory CD4(+) T-cells, we
demonstrate the role of Tollip in regulating HIV-1 latency, as the knock-down of
Tollip promoted HIV-1 reactivation from both HIV-1 latently infected Jurkat
CD4(+) T cells and primary central memory T cells (TCM). Moreover, we found that
the activities of LTRs derived from multiple HIV-1 subtypes could be repressed by
Tollip; Knock-down of Tollip promoted HIV-1 transcription and infection in CD4(+)
T cells. Our data indicate a key role of Tollip in suppressing HIV-1 infection
and regulating viral latency, which provides a potential host target for
combating HIV-1 infection and latency.
PMID- 27181350
TI - Abiotic stress of ambient cold temperature regulates the host receptivity to
pathogens by cell surfaced sialic acids.
AB - Ambient cold temperature, as an abiotic stress, regulates the survival,
stability, transmission, and infection of pathogens. However, the effect of cold
temperature on the host receptivity to the pathogens has not been fully studied.
In this study, the expression of terminal alpha-2,3- and alpha-2,6-sialic acids
were increased in murine lung tissues, especially bronchial epithelium, by
exposure to cold condition. The expression of several sialyltransferases were
also increased by exposure to cold temperature. Furthermore, in human bronchial
epithelial BEAS-2B cells, the expressions of alpha-2,3- and alpha-2,6-sialic
acids, and mRNA levels of sialyltransferases were increased in the low
temperature condition at 33 degrees C. On the other hand, the treatment of Lith
Gly, a sialyltransferase inhibitor, blocked the cold-induced expression of sialic
acids on surface of BEAS-2B cells. The binding of influenza H1N1 hemagglutinin
(HA) toward BEAS-2B cells cultured at low temperature condition was increased,
compared to 37 degrees C. In contrast, the cold-increased HA binding was blocked
by treatment of lithocholicglycine and sialyl-N-acetyl-D-lactosamines harboring
alpha-2,3- and alpha-2,6-sialyl motive. These results suggest that the host
receptivity to virus at cold temperature results from the expressions of alpha
2,3- and alpha-2,6-sialic acids through the regulation of sialyltransferase
expression.
PMID- 27181352
TI - Effect of green light spectra on the reduction of retinal damage and stress in
goldfish, Carassius auratus.
AB - We investigated the effect of light spectra on retinal damage and stress in
goldfish using green (530 nm) and red (620 nm) light emitting diodes (LEDs) at
three intensities each (0.5, 1.0, and 1.5 W/m(2)). We measured the change in the
levels of plasma cortisol and H2O2 and expression and levels of caspase-3. The
apoptotic response of green and red LED spectra was assessed using the terminal
transferase dUTP nick end labeling (TUNEL) assay. Stress indicator (cortisol and
H2O2) and apoptosis-related genes (caspase-3) decreased in green light, but
increased in red light with higher light intensities over time. The TUNEL assay
revealed that more apoptotic cells were detected in outer nuclear layers after
exposure to red LED over time with the increase in light intensity, than the
other spectra. These results indicate that green light efficiently reduces
retinal damage and stress, whereas red light induces it. Therefore, red light
induced retina damage may induce apoptosis in goldfish retina.
PMID- 27181353
TI - Parkin suppresses Drp1-independent mitochondrial division.
AB - The cycle of mitochondrial division and fusion disconnect and reconnect
individual mitochondria in cells to remodel this energy-producing organelle.
Although dynamin-related protein 1 (Drp1) plays a major role in mitochondrial
division in cells, a reduced level of mitochondrial division still persists even
in the absence of Drp1. It is unknown how much Drp1-mediated mitochondrial
division accounts for the connectivity of mitochondria. The role of a Parkinson's
disease-associated protein-parkin, which biochemically and genetically interacts
with Drp1-in mitochondrial connectivity also remains poorly understood. Here, we
quantified the number and connectivity of mitochondria using mitochondria
targeted photoactivatable GFP in cells. We show that the loss of Drp1 increases
the connectivity of mitochondria by 15-fold in mouse embryonic fibroblasts
(MEFs). While a single loss of parkin does not affect the connectivity of
mitochondria, the connectivity of mitochondria significantly decreased compared
with a single loss of Drp1 when parkin was lost in the absence of Drp1.
Furthermore, the loss of parkin decreased the frequency of depolarization of the
mitochondrial inner membrane that is caused by increased mitochondrial
connectivity in Drp1-knockout MEFs. Therefore, our data suggest that parkin
negatively regulates Drp1-indendent mitochondrial division.
PMID- 27181354
TI - Puromycin induces SUMO and ubiquitin redistribution upon proteasome inhibition.
AB - We have previously reported the co-localization of O-propargyl-puromycin (OP
Puro) with SUMO-2/3 and ubiquitin at promyelocytic leukemia-nuclear bodies (PML
NBs) in the presence of the proteasome inhibitor MG132, implying a role for the
ubiquitin family in sequestering OP-puromycylated immature polypeptides to the
nucleus during impaired proteasome activity. Here, we found that as expected
puromycin induced SUMO-1/2/3 accumulation with ubiquitin at multiple nuclear foci
in HeLa cells when co-exposed to MG132. Co-administration of puromycin and MG132
also facilitated redistribution of PML and the SUMO-targeted ubiquitin ligase
RNF4 concurrently with SUMO-2/3. As removal of the drugs from the medium led to
disappearance of the SUMO-2/3-ubiquitin nuclear foci, our findings indicated that
nuclear assembly/disassembly of SUMO-2/3 and ubiquitin was pharmacologically
manipulable, supporting our previous observation on OP-Puro, which predicted the
ubiquitin family function in sequestrating aberrant proteins to the nucleus.
PMID- 27181355
TI - Crystal structure of Thermotoga maritima acetyl esterase complex with a substrate
analog: Insights into the distinctive substrate specificity in the CE7
carbohydrate esterase family.
AB - The carbohydrate esterase family 7 (CE7) members are acetyl esterases that
possess unusual substrate specificity for cephalosporin C and 7-amino
cephalosporanic acid. This family containing the alpha/beta hydrolase fold has a
distinctive substrate profile that allows it to carry out hydrolysis of esters
containing diverse alcohol moieties while maintaining narrow specificity for an
acetate ester. Here we investigate the structural basis of this preference for
small acyl groups using the crystal structure of the thermostable Thermotoga
maritima CE7 acetyl esterase (TmAcE) complexed with a non-cognate substrate
analog. The structure determined at 1.86 A resolution provides direct evidence
for the location of the largely hydrophobic and rigid substrate binding pocket in
this family. Furthermore, a three-helix insertion domain near the catalytic
machinery shapes the substrate binding site. The structure reveals two residues
(Pro228 and Ile276) which constitute a hydrophobic rigid binding surface for the
acyl group of the ester and thus restricts the size of the acyl group that be
accommodated. In combination with previous literature on kinetic properties of
the enzyme, our studies suggest that these residues determine the unique
specificity of the TmAcE for short straight chain esters. The structure provides
a template for focused attempts to engineer the CE7 enzymes for enhanced
stability, selectivity or activity for biocatalytic applications.
PMID- 27181356
TI - ox-LDL induces endothelial dysfunction by promoting Arp2/3 complex expression.
AB - Oxidized low-density lipoproteins (ox-LDL) play a critical role in endothelial
injury including cytoskeleton reorganization, which is closely related to actin
related protein 2/3 (Arp2/3) complex. The aim of this study was to investigate
the role of Arp2/3 complex in ox-LDL-induced endothelial dysfunction. In this
study, we found that Arp2 and Arp3 expression was increased under atherosclerotic
conditions both in ApoE-/- mice and in ox-LDL-stimulated human coronary artery
endothelial cells (HCAECs). Arp2/3 complex inhibitor CK666 significantly reduced
ox-LDL-induced ROS generation and cytoskeleton reorganization, and increased NO
release in HCAECs. Pretreatment with LOX-1- but not CD36-blocking antibody
markedly decreased ox-LDL-induced Arp2 and Arp3 expression. Moreover, Rac-1 siRNA
remarkably suppressed ox-LDL-stimulated Arp2 and Arp3 expression. Additionally,
CK666 reduced endothelial nitric oxide synthase (eNOS) expression and
atherosclerotic lesions in ApoE-/- mice. Collectively, ox-LDL induces endothelial
dysfunction by activating LOX-1/Rac-1 signaling and upregulating Arp2/3 complex
expression.
PMID- 27181358
TI - microRNA-328 inhibits cervical cancer cell proliferation and tumorigenesis by
targeting TCF7L2.
AB - microRNAs (miRNAs) play a vital role in tumor development and progression. In
this study, we aimed to determine the expression and biological roles of miR-328
in cervical cancer and identify its direct target gene. Our data showed that miR
328 was significantly downregulated in human cervical cancer tissues and cells.
Re-expression of miR-328 inhibited cervical cancer cell proliferation and colony
formation in vitro and suppressed the growth of xenograft tumors in vivo.
Bioinformatic analysis predicted TCF7L2 (an essential effector of canonical Wnt
signaling) as a target gene of miR-328, which was confirmed by luciferase
reporter assays. Enforced expression of miR-328 led to a decline in the
expression of endogenous TCF7L2 in cervical cancer cells. In cervical cancer
tissues, TCF7L2 protein levels were negatively correlated with miR-328 expression
levels (r = -0.462, P = 0.017). Small interfering RNA-mediated knockdown of
TCF7L2 significantly impaired the proliferation and colony formation of cervical
cancer cells. Ectopic expression of a miRNA-resistant form of TCF7L2
significantly reversed the growth suppressive effects of miR-328 on cervical
cancer cells, which was accompanied by induction of cyclin D1 expression. Taken
together, our results provide first evidence for the growth suppressive activity
of miR-328 in cervical cancer, which is largely ascribed to downregulation of
TCF7L2. Restoration of miR-328 may have therapeutic potential in cervical cancer.
PMID- 27181357
TI - Identification of IgM as a contaminant in lectin-FLISA assays for HCC detection.
AB - Liver disease, in the form of hepatocellular carcinoma (HCC) accounts for >
700,000 deaths worldwide. A major reason for this is late diagnosis of HCC. The
currently used biomarker, serum alpha-fetoprotein (AFP) is elevated in 40-60% of
those with HCC and other markers that can either compliment or replace AFP are
desired. Our previous work has identified a number of proteins that contain
altered glycans in HCC. Specifically, these altered glycans were increased levels
of core and outer arm fucosylation. To determine the clinical usefulness of those
identified glycoproteins, a plate based assay was developed that allowed for the
detection of fucosylated glycoforms. While this method was applicable to a number
of independent patient sets, it was unable to specifically detect fucosylated
glycoforms in many patient samples. That is, some material was present in serum
that led to non-specific signal in the lectin- fluorescence -linked immunosorbent
assay (lectin-FLISA). To address this issue, a systematic process was undertaken
to identify the material. This material was found to be increased levels of
lectin reactive IgM. Removal of both IgG and IgM using a multi-step protein A/G
incubation and filtration step removed the contaminating signal and allowed for
the analysis of specific protein glycoforms. This assay was subsequently used on
two sample sets, one that was shown previously to be unable to be tested via a
lectin FLISA and in a larger independent sample set. The clinical usefulness of
this assay in the early detection of HCC is discussed.
PMID- 27181359
TI - Production, characterization, and in vitro effects of a novel monoclonal antibody
against Mig-7.
AB - Development of new cancer therapies based on specific recognition of molecules in
cancer cells is a significant challenge, as this requires identification of such
molecules (molecular targets) and subsequent development of high-affinity,
selective binders (targeting molecules). While several molecular targets for
cancer therapies are currently under evaluation in clinical trials, greater
selectivity for cancer cells over normal cells is required to enhance efficacy.
Migration-inducing gene 7 (Mig-7), a membrane protein found in various types of
carcinoma cells, is a cancer-specific biomarker and a promising molecular target
for targeted cancer therapies. The purpose of this study was to produce and
characterize a novel monoclonal antibody (mAb) raised against an N-terminal
peptide of human Mig-7 (Mig-7(1-30)). The Mig-7(1-30) peptide was conjugated with
a KLH carrier protein for immunization, and the mAb specific to Mig-7 (STmAb-1)
was produced using hybridoma technology. Western blot analysis showed that STmAb
1 specifically reacted with a 23-kDa Mig-7 protein expressed in cancer cell
lines, and, crucially, not with primary human fibroblasts. The affinity constant
(Kaff) of STmAb-1, as measured by non-competitive enzyme immunoassay, was 1.31 *
10(9) M(-1), indicating high mAb affinity against Mig-7. Immunofluorescence
assays demonstrated that STmAb-1 could specifically recognize Mig-7 expressed in
cancer cell lines, but not in primary human fibroblasts and keratinocytes.
Moreover, STmAb-1 inhibited the growth of MCF7 and HeLa cell lines in contrast to
primary human fibroblasts, highlighting its potential usefulness in the
development of new cancer therapeutics.
PMID- 27181360
TI - Iron participated in breast cancer chemoresistance by reinforcing IL-6 paracrine
loop.
AB - Chemotherapeutic efficacy is also regulated by the tumor microenvironment. IL-6
produced by TAMs and downstream IL-6/STAT3 signaling pathway is central regulator
in chemotherapeutic response. The M2-like phenotype of TAMs is characterized by
elevated iron uptake. Whether iron participates in chemo-resistance need to be
elucidated. In the present study, we found that IL-6 participated in breast
cancer chemoresistance. Local IL-6 paracrine loop acted as exogenous IL-6 rich
niche for chemo-sensitive breast cancer cells, leading to de novo acquired drug
resistance. Furthermore, Iron reinforced the IL-6 paracrine loop between TAMs and
tumor cells resulting in enhanced chemo-resistance. Targeting iron metabolism
could disturb the reciprocal interaction between tumor cells and TAMs, breaking
the local IL-6 rich niche and blocking IL-6 signaling pathway, which could be
promising strategy to overcome chemo-resistance.
PMID- 27181361
TI - PAX5 tyrosine phosphorylation by SYK co-operatively functions with its serine
phosphorylation to cancel the PAX5-dependent repression of BLIMP1: A mechanism
for antigen-triggered plasma cell differentiation.
AB - Plasma cell differentiation is initiated by antigen stimulation of the B cell
receptor (BCR) and is regulated by BLIMP1. Prior to the stimulation of BCR,
BLIMP1 is suppressed by PAX5, which is a key transcriptional repressor that
maintains B cell identity. The upregulation of BLIMP1 and subsequent suppression
of PAX5 by BLIMP1 are observed after the BCR stimulation. These events are
considered to trigger plasma cell differentiation; however, the mechanisms
responsible currently remain unclear. We herein demonstrated that the BCR
signaling component, SYK, caused PAX5 tyrosine phosphorylation in vitro and in
cells. Transcriptional repression on the BLIMP1 promoter by PAX5 was attenuated
by this phosphorylation. The BCR stimulation induced the phosphorylation of SYK,
tyrosine phosphorylation of PAX5, and up-regulation of BLIMP1 mRNA expression in
B cells. The tyrosine phosphorylation of PAX5 co-operatively functioned with PAX5
serine phosphorylation by ERK1/2, which was our previous findings, to cancel the
PAX5-dependent repression of BLIMP1. This co-operation may be a trigger for
plasma cell differentiation. These results imply that PAX5 phosphorylation by a
BCR signal is the initial event in plasma cell differentiation.
PMID- 27181362
TI - HIF-1alpha activates hypoxia-induced PFKFB4 expression in human bladder cancer
cells.
AB - PFKFB4 is reported to regulate glycolysis by synthesizing fructose-2, 6
bisphosphate (F2,6BP) and has proved to be associated with most malignancies.
However, the underlying mechanism for increased PFKFB4 expression in bladder
cancer remains unclear. The present study demonstrated that PFKFB4 was
overexpressed in bladder cancer tissues. In addition, the expression of PFKFB4
elevated in bladder cancer cells in the hypoxic condition, while in nomoxic
condition, the expression of PFKFB4 still very low. Furthermore, we identified
the hypoxia-responsive elements (HRE)-D from five putative HREs in the promoter
region of PFKFB4 and demonstrated that the HRE-D was transactivated by the HIF
1alpha in bladder cancer cells. By using the Double-immunofluorescence co
localization assay, we revealed that the HIF-1alpha expression was associated
with PFKFB4 expression in human bladder cancer specimens. Altogether, our study
for the first time identified the pivotal role of HIF-1alpha in the connection
between PFKFB4 and hypoxia in bladder cancer, which may prove to be a potential
target for the treatment of bladder cancer.
PMID- 27181364
TI - An integrated soil-crop system model for water and nitrogen management in North
China.
AB - An integrated model WHCNS (soil Water Heat Carbon Nitrogen Simulator) was
developed to assess water and nitrogen (N) management in North China. It included
five main modules: soil water, soil temperature, soil carbon (C), soil N, and
crop growth. The model integrated some features of several widely used crop and
soil models, and some modifications were made in order to apply the WHCNS model
under the complex conditions of intensive cropping systems in North China. The
WHCNS model was evaluated using an open access dataset from the European
International Conference on Modeling Soil Water and N Dynamics. WHCNS gave better
estimations of soil water and N dynamics, dry matter accumulation and N uptake
than 14 other models. The model was tested against data from four experimental
sites in North China under various soil, crop, climate, and management practices.
Simulated soil water content, soil nitrate concentrations, crop dry matter, leaf
area index and grain yields all agreed well with measured values. This study
indicates that the WHCNS model can be used to analyze and evaluate the effects of
various field management practices on crop yield, fate of N, and water and N use
efficiencies in North China.
PMID- 27181365
TI - Structural evolution and metallicity of lead clusters.
AB - The evolution of the metallic state in lead clusters and its structural
implications are subject to ongoing discussions. Here we present molecular beam
electric deflection studies of neutral PbN (N = 19-25, 31, 36, 54) clusters. Many
of them exhibit dipole moments or anomalies of the polarizability indicating a
non-metallic state. In order to resolve their structures, the configurational
space is searched using the Pool Birmingham Cluster Genetic algorithm based on
density functional theory. Spin-orbit effects on the geometries and dipole
moments are taken into account by further relaxing them with two-component
density functional theory. Geometries and dielectric properties from quantum
chemical calculations are then used to simulate beam deflection profiles.
Structures are assigned by the comparison of measured and simulated beam
profiles. Energy gaps are calculated using time-dependent density functional
theory. They are compared to Kubo gaps, which are an indicator of the metallicity
in finite particles. Both, experimental and theoretical data suggest that lead
clusters are not metallic up to at least 36 atoms.
PMID- 27181363
TI - Clinical predictive factors in diabetic kidney disease progression.
AB - Diabetic kidney disease (DKD) represents a major component of the health burden
associated with type 1 and type 2 diabetes. Recent advances have produced an
explosion of 'novel' assay-based risk markers for DKD, though clinical use
remains restricted. Although many patients with progressive DKD follow a
classical albuminuria-based pathway, non-albuminuric DKD progression is now well
recognized. In general, the following clinical and biochemical characteristics
have been associated with progressive DKD in both type 1 and type 2 diabetes:
increased hemoglobin A1c, systolic blood pressure, albuminuria grade, early
glomerular filtration rate decline, duration of diabetes, age (including pubertal
onset) and serum uric acid; the presence of concomitant microvascular
complications; and positive family history. The same is true in type 2 diabetes
for male sex category, in patients following an albuminuric pathway to DKD, and
also true for the presence of increased pulse wave velocity. The following
baseline clinical characteristics have been proposed as risk factors for DKD
progression, but with further research required to assess the nature of any
relationship: dyslipidemia (including low-density lipoprotein, total and high
density lipoprotein cholesterol); elevated body mass index; smoking status;
hyperfiltration; decreases in vitamin D, hemoglobin and uric acid excretion (all
known consequences of advanced DKD); and patient test result visit-to-visit
variability (hemoglobin A1c, blood pressure and high-density lipoprotein
cholesterol). The development of multifactorial 'renal risk equations' for type 2
diabetes has the potential to simplify the task of DKD prognostication; however,
there are currently none for type 1 diabetes-specific populations. Significant
progress has been made in the prediction of DKD progression using readily
available clinical data, though further work is required to elicit the role of
several variables, and to consolidate data to facilitate clinical implementation.
PMID- 27181366
TI - Cytoplasmic cyclin D1 regulates cell invasion and metastasis through the
phosphorylation of paxillin.
AB - Cyclin D1 (Ccnd1) together with its binding partner Cdk4 act as a transcriptional
regulator to control cell proliferation and migration, and abnormal Ccnd1.Cdk4
expression promotes tumour growth and metastasis. While different nuclear
Ccnd1.Cdk4 targets participating in cell proliferation and tissue development
have been identified, little is known about how Ccnd1.Cdk4 controls cell
adherence and invasion. Here, we show that the focal adhesion component paxillin
is a cytoplasmic substrate of Ccnd1.Cdk4. This complex phosphorylates a fraction
of paxillin specifically associated to the cell membrane, and promotes Rac1
activation, thereby triggering membrane ruffling and cell invasion in both normal
fibroblasts and tumour cells. Our results demonstrate that localization of
Ccnd1.Cdk4 to the cytoplasm does not simply act to restrain cell proliferation,
but constitutes a functionally relevant mechanism operating under normal and
pathological conditions to control cell adhesion, migration and metastasis
through activation of a Ccnd1.Cdk4-paxillin-Rac1 axis.
PMID- 27181367
TI - Upper-Airway Collapsibility and Loop Gain Predict the Response to Oral Appliance
Therapy in Patients with Obstructive Sleep Apnea.
AB - RATIONALE: Oral appliances (OAs) are commonly used as an alternative treatment to
continuous positive airway pressure for patients with obstructive sleep apnea
(OSA). However, OAs have variable success at reducing the apnea-hypopnea index
(AHI), and predicting responders is challenging. Understanding this variability
may lie with the recognition that OSA is a multifactorial disorder and that OAs
may affect more than just upper-airway anatomy/collapsibility. OBJECTIVES: The
objectives of this study were to determine how OA alters AHI and four phenotypic
traits (upper-airway anatomy/collapsibility and muscle function, loop gain, and
arousal threshold), and baseline predictors of which patients gain the greatest
benefit from therapy. METHODS: In a randomized crossover study, 14 patients with
OSA attended two sleep studies with and without their OA. Under each condition,
AHI and the phenotypic traits were assessed. Multiple linear regression was used
to determine independent predictors of the reduction in AHI. MEASUREMENTS AND
MAIN RESULTS: OA therapy reduced the AHI (30 +/- 5 vs. 11 +/- 2 events/h; P <
0.05), which was driven by improvements in upper-airway anatomy/collapsibility
under passive (1.9 +/- 0.7 vs. 4.7 +/- 0.6 L/min; P < 0.005) and active
conditions (2.4 +/- 0.9 vs. 6.2 +/- 0.4 L/min; P < 0.001). No changes were seen
in muscle function, loop gain, or the arousal threshold. Using multivariate
analysis, baseline passive upper-airway collapsibility and loop gain were
independent predictors of the reduction in AHI (r2 = 0.70; P = 0.001).
CONCLUSIONS: Our findings suggest that OA therapy improves the upper-airway
collapsibility under passive and active conditions. Importantly, a greater
response to therapy occurred in those patients with a mild anatomic compromise
and a lower loop gain.
PMID- 27181369
TI - Timing is everything for sperm assessment in fertility studies.
AB - The fertility study design recommended in the ICH S5(R2) Harmonised Guideline for
Detection of Toxicity to Reproduction for Medicinal Products emphasizes the
importance of histopathological endpoints next to a pairing assessment in
evaluating male fertility. However, in a male rat fertility study with JNJ
26489112, a CNS-active agent, while there were no effects on histological
endpoints, mating performance or pregnancy outcomes, sperm assessment was
included. The high dose males presented with reversible decreases in epididymal,
but not testicular, sperm concentration and motility and an increase in abnormal
sperm morphology. In view of the differences in fertility between rats and
humans, these types of sperm effects in rats suggest the potential for an impact
on human male fertility that would be undetected if not for the sperm assessment.
Therefore, the current example suggests that including semenology as a standard
endpoint in nonclinical fertility studies may be warranted.
PMID- 27181370
TI - Entrapment of laurel lipase in chitosan hydrogel beads.
AB - Laurel seed lipase was entrapped within chitosan beads with ionotropic gelatin
method using tripolyphosphate (TPP) as multivalent covalent counter ion.
Immobilization yield was 78%. First, optimum immobilization conditions were
determined, and morphology of chitosan beads was characterized by scanning
electron microscopy. Optimum pH and temperature were evaluated as 6.0 and 40
degrees C, respectively. The immobilized beads saved about 55% of its activities
at 60 degrees while saved about 32% at 70 degrees C for 30 min. Vmax/Km values
were determined as 31.75 and 2.87 using olive oil as substrate for immobilized
beads and free enzyme, respectively. Immobilized beads showed the activities
during 30 days at +4 degrees C.
PMID- 27181368
TI - The nuclear receptor NOR-1 regulates the small muscle protein, X-linked (SMPX)
and myotube differentiation.
AB - Recent works have highlighted the role of NOR-1 in both smooth and skeletal
muscle, and have proposed this nuclear receptor as a nexus that coordinates
muscle performance and metabolic capacity. However, no muscle specific genes
regulated by NOR-1 have been identified so far. To identify NOR-1 target genes,
we over-expressed NOR-1 in human vascular smooth muscle cells (VSMC). These cells
subjected to sustained over-expression of supraphysiological levels of NOR-1
experienced marked phenotypic changes and up-regulated the skeletal muscle
protein X-linked (SMPX), a protein typically expressed in striated muscle and
associated to cell shape. By transcriptional studies and DNA-protein binding
assays, we identified a non-consensus NBRE site in human SMPX promoter, critical
for NOR-1 responsiveness. The expression of SMPX was higher in human skeletal
muscle myoblasts (HSMM) than in human VSMC, and further increased in HSMM
differentiated to myotubes. NOR-1 silencing prevented SMPX expression in HSMM, as
well as their differentiation to myotubes, but the up-regulation of SMPX was
dispensable for HSMM differentiation. Our results indicate that NOR-1 regulate
SMPX in human muscle cells and acts as a muscle regulatory factor, but further
studies are required to unravel its role in muscle differentiation and
hypertrophy.
PMID- 27181371
TI - Relationship between cancer mutations and parameter sensitivity in Rb pathway.
AB - It has long been known that formation of all sorts of tumors is largely owing to
the genomic variations. Oncogenic mutations are often found focused on one or
more important pathways which indicate that it is meaningful to investigate
oncogenic mutations and oncogenic mechanisms from the point of view of biological
network. Recently, we found that in apoptosis pathway of mammalian cell,
mutations that cause large variations on the bifurcation point are more probably
oncogenic mutations. Here, we used the Rb-E2F pathway in mammalian cell in
response to growth factor as another example to verify this correlation. To
conduct this study, nonlinear dynamics equations that describe the behavior of
the Rb-E2F pathway was first constructed. Then we identified sensitive parameters
which have a great influence on the system's bifurcation point. And we found that
the sensitive parameters are highly related to high-frequency oncogenic mutations
after comparing the results of parameter sensitivity analysis with profile of
known cancer mutations. Moreover, the position of bifurcation point rather than
concentration of a certain protein is a better measurement to determine
biological network's function. Our results further confirm that nonlinear
dynamics analysis of biological networks is an important way to understand
oncogenesis. And the analysis method can become a powerful tool to understand and
analyze the function of biological network.
PMID- 27181372
TI - Genetic risks and genetic model specification.
AB - Genetic risks and genetic models are often used in design and analysis of genetic
epidemiology studies. A genetic model is defined in terms of two genetic risk
measures: genotype relative risk and odds ratio. The impacts of choosing a risk
measure on the resulting genetic models are studied in the power to detect
association and deviation from Hardy-Weinberg equilibrium in cases using genetic
relative risk. Extensive simulations demonstrate that the power of a study to
detect associations using odds ratio is lower than that using relative risk with
the same value when other parameters are fixed. When the Hardy-Weinberg
equilibrium holds in the general population, the genetic model can be inferred by
the deviation from Hardy-Weinberg equilibrium in only cases. Furthermore, it is
more efficient than that based on the deviation from Hardy-Weinberg equilibrium
in all cases and controls.
PMID- 27181373
TI - Corrigendum: From the Au nano-clusters to the nanoparticles on 4H-SiC (0001).
PMID- 27181375
TI - Reply to: Regarding the Article: Does Iodine Excess Lead to Hypothyroidism?
Evidence from A Case-Control Study in India.
PMID- 27181376
TI - Current Status of Childhood Hyperinsulinemic Hypoglycemia in Turkey.
AB - Congenital hyperinsulinism (CHI) is a rare disease characterized by dysregulated
insulin secretion from pancreatic beta-cells. Recurrent hypoglycemia can lead to
neurological insult and permanent brain injury. Recently, there are important
advances in understanding the genetic mechanisms, histological characteristics,
imaging, and surgical techniques of congenital hyperinsulinemic hypoglycemia that
could reflect to improvement in the clinical care of infants with this disorder.
In Turkey, there is a high rate of consanguinity, thus, the incidence of CHI is
expected to be high. Until now, there are no nationwide data regarding the
disorder, and some individual case reports or case series had been published.
Determining the characteristics of Turkish patients with CHI can help develop a
different perspective on this rare disease. In this review, we evaluated the
clinical and molecular characteristics of Turkish patients with CHI based on
reports published in the literature. The most frequently seen mutations were
ABCC8 gene mutations (n=37), followed by HADH (n=11) and KCNJ11 gene (n=7)
mutations. A total of 141 Turkish patients with CHI were reported until now.
Among them, 115 patients had been genetically analyzed, and 56 of them had one of
the mutation leading to hyperinsulinism.
PMID- 27181377
TI - Anti-herpesviral effects of a novel broad range anti-microbial quaternary
ammonium silane, K21.
AB - We have created a novel quaternary ammonium silane, K21 through sol-gel
chemistry, using an ethoxylated version of an organosilane quaternary ammonium
compound and TetraEthyl Ortho Silicate (TEOS) as precursors. Previous studies
using the precursor molecule quaternary ammonium compounds (QACs) and a
methacryloxy version of K21, primarily designed for use in dental healthcare,
have shown inhibited growth properties against several types of gram-positive and
gram-negative bacteria including Escherichia coli, Streptococcus mutans,
Actinomyces naeslundii and Candida albicans etc. Here we tested the effect of K21
on HSV-1, HHV-6A and HHV-7 in in vitro cell culture infection models. Our results
show growth inhibitory effect of K21 on HSV-1, HHV-6A and HHV-7 infection.
PMID- 27181374
TI - Neutrophils in type 1 diabetes.
AB - Type 1 diabetes is an autoimmune disease that afflicts millions of people
worldwide. It occurs as the consequence of destruction of insulin-producing
pancreatic beta-cells triggered by genetic and environmental factors. The
initiation and progression of the disease involves a complicated interaction
between beta-cells and immune cells of both innate and adaptive systems. Immune
cells, such as T cells, macrophages and dendritic cells, have been well
documented to play crucial roles in type 1 diabetes pathogenesis. However, the
particular actions of neutrophils, which are the most plentiful immune cell type
and the first immune cells responding to inflammation, in the etiology of this
disease might indeed be unfairly ignored. Progress over the past decades shows
that neutrophils might have essential effects on the onset and perpetuation of
type 1 diabetes. Neutrophil-derived cytotoxic substances, including degranulation
products, cytokines, reactive oxygen species and extracellular traps that are
released during the process of neutrophil maturation or activation, could cause
destruction to islet cells. In addition, these cells can initiate diabetogenic T
cell response and promote type 1 diabetes development through cell-cell
interactions with other immune and non-immune cells. Furthermore, relevant
antineutrophil therapies have been shown to delay and dampen the progression of
insulitis and autoimmune diabetes. Here, we discuss the relationship between
neutrophils and autoimmune type 1 diabetes from the aforementioned aspects to
better understand the roles of these cells in the initiation and development of
type 1 diabetes.
PMID- 27181378
TI - Novel antiviral activity of bromocriptine against dengue virus replication.
AB - Dengue virus (DENV) infectious disease is a major public health problem
worldwide; however, licensed vaccines or specific antiviral drugs against this
infection are not available. To identify novel anti-DENV compounds, we screened
1280 pharmacologically active compounds using focus reduction assay.
Bromocriptine (BRC) was found to have potent anti-DENV activity and low
cytotoxicity (half maximal effective concentration [EC50], 0.8-1.6 MUM; and half
maximal cytotoxicity concentration [CC50], 53.6 MUM). Time-of-drug-addition and
time-of-drug-elimination assays suggested that BRC inhibits translation and/or
replication steps in the DENV life cycle. A subgenomic replicon system was used
to verify that BRC restricts RNA replication step. Furthermore, a single amino
acid substitution (N374H) was detected in the NS3 protein that conferred
resistance to BRC. In summary, BRC was found to be a novel DENV inhibitor and a
potential candidate for the treatment of DENV infectious disease.
PMID- 27181381
TI - High-pressure minerals in eucrite suggest a small source crater on Vesta.
AB - High-pressure minerals in meteorites are important records of shock events that
have affected the surfaces of planets and asteroids. A widespread distribution of
impact craters has been observed on the Vestan surface. However, very few high
pressure minerals have been discovered in Howardite-Eucrite-Diogenite (HED)
meteorites. Here we present the first evidence of tissintite, vacancy-rich
clinopyroxene, and super-silicic garnet in the eucrite Northwest Africa (NWA)
8003. Combined with coesite and stishovite, the presence of these high-pressure
minerals and their chemical compositions reveal that solidification of melt veins
in NWA 8003 began at a pressure of >~10 GPa and ceased when the pressure dropped
to <~8.5 GPa. The shock temperature in the melt veins exceeded 1900 degrees C.
Simulation results show that shock events that create impact craters of ~3 km in
diameter (subject to a factor of 2 uncertainty) are associated with sufficiently
high pressures to account for the occurrence of the high-pressure minerals
observed in NWA 8003. This indicates that HED meteorites containing similar high
pressure minerals should be observed more frequently than previously thought.
PMID- 27181382
TI - Novel role for the CRTC2 in lipid homeostasis.
AB - The mTOR pathways involved in the regulation of SREBP-1.
PMID- 27181379
TI - CDKN2A and BAP1 germline mutations predispose to melanoma and mesothelioma.
AB - BAP1 germline mutations predispose to a cancer predisposition syndrome that
includes mesothelioma, cutaneous melanoma, uveal melanoma and other cancers. This
co-occurrence suggests that these tumors share a common carcinogenic pathway. To
evaluate this hypothesis, we studied 40 Italian families with mesothelioma and/or
melanoma. The probands were sequenced for BAP1 and for the most common melanoma
predisposition genes (i.e. CDKN2A, CDK4, TERT, MITF and POT1) to investigate if
these genes may also confer susceptibility to mesothelioma. In two out of six
families with both mesothelioma and melanoma we identified either a germline
nonsense mutation (c.1153C > T, p.Arg385*) in BAP1 or a recurrent pathogenic
germline mutation (c.301G > T, p.Gly101Trp) in CDKN2A. Our study suggests that
CDKN2A, in addition to BAP1, could be involved in the melanoma and mesothelioma
susceptibility, leading to the rare familial cancer syndromes. It also suggests
that these tumors share key steps that drive carcinogenesis and that other genes
may be involved in inherited predisposition to malignant mesothelioma and
melanoma.
PMID- 27181383
TI - The impact of members of the Society of University Surgeons on the scholarship of
American surgery.
AB - BACKGROUND: A core objective of the Society of University Surgeons (SUS) is
research focused: to "advance the art and science of surgery through original
investigation." This study sought to determine the current impact of the SUS on
academic surgical productivity. METHODS: Individual faculty data for numbers of
publications, citations, and National Institute of Health (NIH) funding history
were collected for 4,015 surgical faculty at the top 55 NIH-funded departments of
surgery using SCOPUS and the NIH Research Portfolio Online Reporting Tools. SUS
membership was determined from membership registry data. RESULTS: Overall, 502
surgical faculty (12.5%) were SUS members with 92.7% holding positions of
associate or full professor (versus 59% of nonmembers). Median publications (P)
and citations (C) among SUS members were P: 112, C: 2,460 versus P: 29, C: 467
for nonmembers (P < .001). Academic productivity was considerably higher by rank
for SUS members than for nonmembers: associate professors (P: 61 vs 36, C: 1,199
vs 591, P < .001) and full professors (P: 141 vs 81, C: 3,537 vs 1,856, P <
.001). Among full professors, SUS members had much higher rates of NIH funding
than did nonmembers (52.6% vs 26%, P < .05) and specifically for R01, P01, and
U01 awards (37% vs 17.7%, P < .01). SUS members were 2 times more likely to serve
in divisional leadership or chair positions (23.5% vs 10.2%, P < .05).
CONCLUSION: SUS society members are a highly productive academic group. These
data support the premise that the SUS is meeting its research mission and
identify its members as very academically productive contributors to research and
scholarship in American surgery and medicine.
PMID- 27181384
TI - Reply to: The necessary preoperative dose of alpha-blockers reflects higher tumor
activity in pheochromocytoma.
PMID- 27181386
TI - Commentary on: Post-thyroidectomy hypocalcemia is related to parathyroid
dysfunction even in patients with normal parathyroid hormone concentrations early
after surgery.
PMID- 27181385
TI - Response to comments on: Cosyntropin stimulation testing on postoperative day 1
allows for selective glucocorticoid replacement therapy following adrenalectomy
for hypercortisolism: Results of a novel, multidisciplinary institutional
protocol.
PMID- 27181387
TI - Analysis of needs of the critically ill relatives and critical care
professional's opinion.
AB - OBJECTIVE: To describe the needs of the families of patients admitted to the
Intensive Care Unit (ICU) and the opinion of ICU professionals on aspects related
to the presence of patient relatives in the unit. DESIGN: A prospective
descriptive study was carried out between March and June 2015. SETTING:
Polyvalent ICU of Leon University Healthcare Complex (Spain). PARTICIPANTS: Two
samples of volunteers were studied: one comprising the relatives emotionally
closest to the primarily non-surgical patients admitted to the Unit for over
48hours, and the other composed of ICU professionals with over three months of
experience in the ICU. INTERVENTION: One self-administered questionnaire was
delivered to each relative and another to each professional. MAIN VARIABLES OF
INTEREST: Sociodemographic data were collected. The variables in the
questionnaire for relatives comprised the information received, closeness to the
patient, safety of care, the support received, and comfort. In turn, the
questionnaire for professionals addressed empathy and professional relationship
with the family, visiting policy, and the effect of the family upon the patient.
RESULTS: A total of 59% of the relatives (35/61) answered the questionnaire. Of
these subjects, 91.4% understood the information received, though 49.6% received
no information on nursing care. A total of 82.9% agreed with the visiting policy
applied (95.2% were patient offspring; P<.05). Participation on the part of the
professionals in turn reached 76.3% (61/80). A total of 59.3% would flexibilize
the visiting policy, and 78.3% considered that the family afforded emotional
support for the patient, with no destabilizing effect. On the other hand, 62.3%
routinely informed the family, and 88% considered training in communication
skills to be needed. CONCLUSIONS: Information was adequate, though insufficient
in relation to nursing care. The professionals pointed to the need for training
in communication skills.
PMID- 27181388
TI - Summary of the 2016 American Association for Cancer Research (AACR) Annual
Meeting.
PMID- 27181389
TI - Stratification of endometrioid endometrial cancer patients into risk levels using
somatic mutations.
AB - OBJECTIVE: Patients with endometrioid endometrial cancer are stratified as high
risk and low risk for extrauterine disease by surgical staging. Since patients
with low-grade, minimally invasive disease do not benefit from comprehensive
staging, pre-surgery stratification into a risk category may prevent unnecessary
surgical staging in low risk patients. Our objective was to develop a predictive
model to identify risk levels using somatic mutations that could be used
preoperatively. METHODS: We classified endometrioid endometrial cancer patients
in The Cancer Genome Atlas (TCGA) dataset into high risk and low risk categories:
high risk patients presented with stage II, III or IV disease or stage I with
high-intermediate risk features, whereas low risk patients consisted of the
remaining stage I patients with either no myometrial invasion or low-intermediate
risk features. Three strategies were used to build the prediction model: 1)
mutational status for each gene; 2) number of somatic mutations for each gene;
and 3) variant allele frequencies for each somatic mutation for each gene.
RESULTS: Each prediction strategy had a good performance, with an area under the
curve (or AUC) between 61% and 80%. Analysis of variant allele frequency produced
a superior prediction model for risk levels of endometrial cancer as compared to
the other two strategies, with an AUC=91%. Lasso and Ridge methods identified 53
mutations that together had the highest predictability for high risk endometrioid
endometrial cancer. CONCLUSIONS: This prediction model will assist future
retrospective and prospective studies to categorize endometrial cancer patients
into high risk and low risk in the preoperative setting.
PMID- 27181390
TI - Cardiac Surgery is Safe in Female Patients with a History of Breast Cancer.
AB - PURPOSE: In cardiac surgery candidates, a concomitant history of breast cancer
suggests adverse outcomes. The possibility of internal mammary artery (IMA)
utilization and its patency rate is frequently discussed. Secondary, blood loss
and wound related infections might be important issues. However, publications
focusing on these issues are limited. METHODS: We analyzed 32 patients with
previously treated breast cancer undergoing cardiac bypass (CABG) and combined
CABG surgery matched to 99 control subjects in a retrospective cohort study.
Patients were analyzed regarding IMA utilization, blood loss and substitution and
frequent perioperative complications as well as long-term mortality. RESULTS: No
significant differences between groups were observed regarding duration of
surgery, IMA-utilization, incidence of infections and postoperative complications
or mortality. A pronounced decline of hemoglobin/hematocrit was evident within
the first 6 postoperative hours (3.3 +/- 1.8 vs. 2.5 +/- 1.8 mg/dl; p = 0.03) in
breast cancer patients not related to an increased drainage loss but associated
with an increase of international normalized ratio (INR) (0.39 +/- 0.16 vs. 0.29
+/- 0.24; p <0.01). CONCLUSION: In breast cancer patients, CABG and combined CABG
procedures can safely be performed with comparable short- and long-term results.
PMID- 27181391
TI - Prevalence of type 2 diabetes mellitus among inland residents in China (2000
2014): A meta-analysis.
AB - AIMS/INTRODUCTION: Besides the aging population in China, the following have
become serious public health problems: increasing urban population, lifestyle
changes and diabetes. We assessed the epidemiology of type 2 diabetes mellitus in
China between 2000 and 2014, and analyzed time trends to better determine the
prevalence status of diabetes in China and to provide a basis for prevention and
decision-making. MATERIALS AND METHODS: In our systematic review, we searched
China National Knowledge Infrastructure, Chinese VIP Information, Wanfang and
PubMed databases for studies on type 2 diabetes mellitus between 2000 and 2014 in
China. Two investigators extracted the data and assessed the quality of the
included literature independently. We excluded studies that did not use 1999
World Health Organization criteria for diabetes. We also excluded reviews and
viewpoints, studies with insufficient data, studies that were not carried out in
mainland China and studies on troops, community, schools or physical examination
people. We used stata 12.0 to combine the prevalence of all studies, calculated
the pooled prevalence and its 95% confidence interval, and analyzed the
differences among men/women, urban/rural areas and year of study. We calculated
the prevalence of seven geographic areas of China, respectively, and mapped the
distribution in the whole country to estimate the pooled prevalence of each area.
RESULTS: Our search returned 4,572 studies, 77 of which satisfied the inclusion
criteria. The included studies had a total of 1,287,251 participants, in which
680,574 cases of type 2 diabetes mellitus were recorded. The overall prevalence
(9.1%) has been increasing since the 1970s, and it increased rapidly with age.
The prevalence of the 65-74 years group was as high as 14.1%. Meanwhile, the
prevalence among men/women and urban/rural areas was significantly different. The
prevalence was 9.9% for men and 11.6% for women, which were significantly higher
than the average at the end of the last century and the beginning of this
century. The prevalence rate in urban areas (11.4%) was significantly higher than
that in rural areas and in urban-rural fringe areas, and the prevalence in rural
areas (8.2%) was slightly higher than that in urban-rural fringe areas (7.5%). In
addition, the prevalence in each geographic area were estimated and mapped, which
showed a large imbalance in the map. CONCLUSIONS: Our analysis suggested that
type 2 diabetes mellitus is highly prevalent in China. These results underscore
the urgent need for the government to vigorously strengthen the management of
diabetes prevention and control.
PMID- 27181392
TI - Natural emulsifiers - Biosurfactants, phospholipids, biopolymers, and colloidal
particles: Molecular and physicochemical basis of functional performance.
AB - There is increasing consumer pressure for commercial products that are more
natural, sustainable, and environmentally friendly, including foods, cosmetics,
detergents, and personal care products. Industry has responded by trying to
identify natural alternatives to synthetic functional ingredients within these
products. The focus of this review article is on the replacement of synthetic
surfactants with natural emulsifiers, such as amphiphilic proteins,
polysaccharides, biosurfactants, phospholipids, and bioparticles. In particular,
the physicochemical basis of emulsion formation and stabilization by natural
emulsifiers is discussed, and the benefits and limitations of different natural
emulsifiers are compared. Surface-active polysaccharides typically have to be
used at relatively high levels to produce small droplets, but the droplets formed
are highly resistant to environmental changes. Conversely, surface-active
proteins are typically utilized at low levels, but the droplets formed are highly
sensitive to changes in pH, ionic strength, and temperature. Certain
phospholipids are capable of producing small oil droplets during homogenization,
but again the droplets formed are highly sensitive to changes in environmental
conditions. Biosurfactants (saponins) can be utilized at low levels to form fine
oil droplets that remain stable over a range of environmental conditions. Some
nature-derived nanoparticles (e.g., cellulose, chitosan, and starch) are
effective at stabilizing emulsions containing relatively large oil droplets.
Future research is encouraged to identify, isolate, purify, and characterize new
types of natural emulsifier, and to test their efficacy in food, cosmetic,
detergent, personal care, and other products.
PMID- 27181393
TI - Applications of plant terpenoids in the synthesis of colloidal silver
nanoparticles.
AB - Green chemistry is the design of chemical products and processes that reduce or
eliminate the generation of hazardous substances. Since the last few years,
natural products especially plant secondary metabolites have been extensively
explored for their potency to synthesize silver nanoparticles (AgNPs). The plant
based AgNPs are safer, energy efficient, eco-friendly, and less toxic than
chemically synthesized counterparts. The secondary metabolites, ubiquitously
found in plants especially the terpenoid-rich essential oils, have a significant
role in AgNPs synthesis. Terpenoids belong to the largest family of natural
products and are found in all kinds of organisms. Their involvement in the
synthesis of plant-based AgNPs has got much attention in the recent years. The
current article is not meant to provide an exhaustive overview of green synthesis
of nanoparticles, but to present the pertinent role of plant terpenoids in the
biosynthesis of AgNPs, as capping and reducing agents for development of uniform
size and shape AgNPs. An emphasis on the important role of FTIR in the
identification and elucidation of major functional groups in terpenoids for AgNPs
synthesis has also been reviewed in this manuscript. It was found that no such
article is available that has discussed the role of plant terpenoids in the green
synthesis of AgNPs.
PMID- 27181394
TI - Role of family support and women's knowledge on pregnancy-related risks in
adherence to maternal iron-folic acid supplementation in Indonesia.
AB - OBJECTIVE: To examine whether women's knowledge of pregnancy-related risks and
family support received during pregnancy are associated with adherence to
maternal iron-folic acid (IFA) supplementation. DESIGN: Secondary data analysis
of the 2002-03, 2007 and 2012 Indonesia Demographic and Health Survey. Analysis
of the association between factors associated with adherence (consuming >=90 IFA
tablets), including the women's knowledge and family support, was performed using
multivariate logistic regression. SETTING: National household survey. SUBJECTS:
Women (n 19 133) who had given birth within 2 years prior to the interview date.
RESULTS: Knowledge of pregnancy-related risks was associated with increased
adherence to IFA supplementation (adjusted OR=1.8; 95 % CI 1.6, 2.0), as was full
family (particularly husband's) support (adjusted OR=1.9; 95 % CI 1.6, 2.3).
Adequate antenatal care (ANC) visits (i.e. four or more) was associated with
increased adherence (adjusted OR=2.2; 95 % CI 2.0, 2.4). However, ANC providers
missed opportunities to distribute tablets and information, as among women with
adequate ANC visits, 15 % reported never having received/bought any IFA tablets
and 30 % had no knowledge of pregnancy-related risks. A significant interaction
was observed between family support and the women's educational level in
predicting adherence. Family support significantly increased the adherence among
women with <9 years of education. CONCLUSIONS: Improving women's knowledge of
pregnancy-related risks and involving family members, particularly the husband
and importantly for less-educated women, improved adherence to IFA
supplementation. ANC visit opportunities must be optimized to provide women with
sufficient numbers of IFA tablets along with health information (especially on
pregnancy-related risks) and partner support counselling.
PMID- 27181396
TI - Primitive red alga Cyanidioschyzon merolae accumulates storage glucan and
triacylglycerol under nitrogen depletion.
AB - Most microalgae accumulate neutral lipids, including triacylglycerol (TAG), into
spherical structures called lipid bodies (LBs) under environmental stress
conditions such as nutrient depletion. In green algae, starch accumulation
precedes TAG accumulation, and the starch is thought to be a substrate for TAG
synthesis. However, the relationship between TAG synthesis and the starch content
in red algae, as well as how TAG accumulation is regulated, is unclear. In this
study, we cultured the primitive red alga Cyanidioschyzon merolae under nitrogen
depleted conditions, and monitored the formation of starch granules (SGs) and LBs
using microscopy. SGs stained with potassium iodide were observed at 24 h;
however, LBs stained specifically with BODIPY 493/503 were observed after 48 h.
Quantitative analysis of neutral sugar and cytomorphological semi-quantitative
analysis of TAG accumulation also supported these results. Thus, the accumulation
of starch occurred and preceded the accumulation of TAG in cells of C. merolae.
However, TAG accumulation was not accompanied by a decrease in the starch
content, suggesting that the starch is a major carbon storage sink, at least
under nitrogen-depleted conditions. Quantitative real-time PCR revealed that the
mRNA levels of genes involved in starch and TAG synthesis rarely changed during
the culture period, suggesting that starch and TAG synthesis in C. merolae are
not controlled through gene transcription but at other stages, such as
translation and/or enzymatic activity.
PMID- 27181395
TI - Detection of the Previously Unobserved Stereoisomers of Thujone in the Essential
Oil and Consumable Products of Sage (Salvia officinalis L.) Using Headspace Solid
Phase Microextraction-Gas Chromatography-Mass Spectrometry.
AB - The discovery of the (+)-alpha-thujone and (-)-beta-thujone stereoisomers in the
essential oil of sage (Salvia officinalis L.) and dietary supplements is
documented for the first time. The detection was accomplished using a chiral
resolution protocol of racemic alpha-/beta-thujone on headspace solid-phase
microextraction-gas chromatography-mass spectrometry. Because the previously
unreported stereoisomers, (+)-alpha-thujone and (-)-beta-thujone, are not
commercially available, a three-step synthesis of racemic thujone from
commercially available starting materials was developed. Thermolysis studies
demonstrated that no racemization at the cyclopropane stereocenters occurs,
corroborating that the detection is not an artifact from the hydrodistillation
process. The developed chiral resolution of thujone was also used to provide
evidence for the absence of the (+)-alpha-thujone and (-)-beta-thujone
enantiomers in other common thujone-containing essential oils.
PMID- 27181398
TI - Interventions for Varicose Veins: Beyond Ablation.
AB - OPINION STATEMENT: Minimally invasive endothermal treatments have replaced
surgical ligation and stripping in the management of chronic venous insufficiency
(CVI) and are now considered the standard of care. Newer techniques have emerged
in the last few years in an attempt to further minimize the procedural discomfort
associated with endothermal procedures. These new techniques are designed to
avoid tumescent anesthesia (TA). These new non-thermal, tumescentless techniques
are well tolerated and are shown to result in equivalent outcomes when compared
to the thermal ablations. Since there is no data to support the argument that one
of these therapies is truly superior to another, selection of a particular
thermal or non-thermal technique is dependent on patient and physician
preferences. Adoption of a particular non-thermal procedure is also dependent on
other factors such as the learning curve, initial setup costs, overall cost
effectiveness and reimbursement. Once the reimbursement issues are resolved and
durability is confirmed, these techniques have the potential to become the new
standard of care for the management of CVI.
PMID- 27181397
TI - Medical Therapy in Peripheral Artery Disease and Critical Limb Ischemia.
AB - OPINION STATEMENT: Peripheral artery disease (PAD) comprises atherosclerosis of
the aorta and lower extremities. Many patients with PAD are asymptomatic, while
others present with intermittent claudication (IC) or critical limb ischemia
(CLI). Defined as rest pain or tissue loss that persists for >2 weeks, CLI
represents the most severe clinical manifestation of PAD and is associated with
an increased risk of limb loss and death. Patients with PAD, including those with
CLI, are underdiagnosed and undertreated. In addition to smoking cessation,
medical therapy with an antiplatelet agent and statin is recommended for all
patients with PAD. Regular exercise has been shown to improve walking distance
and quality of life in patients with symptomatic PAD and should be incorporated
into each patient's treatment plan. In patients who have CLI and in those with
persistent lifestyle-limiting claudication despite optimal medical therapy and an
exercise program, revascularization is indicated for limb salvage and symptom
relief, respectively. Consensus guidelines currently support an endovascular
first approach to revascularization in the majority of cases. Surgical procedures
provide an alternative to endovascular therapy in select cases.
PMID- 27181400
TI - Cardiovascular Complications of Cranial and Neck Radiation.
AB - OPINION STATEMENT: Cancer survivors who receive head and neck radiation are at
increased risk for cerebrovascular events. This is mediated via damage to the
hypothalamus-pituitary axis leading to the metabolic syndrome and extracranial
arterial injury leading to carotid artery stenosis. Head and neck radiation can
also lead to intracranial injury that can present as moyamoya, especially in
children. Survivors require lifelong periodic follow-up for the development of
pan-hypopituitarism or its individual components as well as for dyslipidemia and
obesity. Aggressive control of traditional cardiovascular risk factors is
recommended to reduce cardiovascular morbidity and mortality. There are no
specific guidelines for the surveillance and management of asymptomatic carotid
artery disease in cancer survivors. However, regular detailed examination for
carotid bruits and neurological symptoms is recommended. Treatment of significant
radiation-induced carotid artery disease has not been specifically studied and is
based upon recommendations for patients with atherosclerotic carotid stenosis.
Carotid endarterectomy can be difficult in radiation patients due to anatomic
concerns and the risk of post-operative cranial nerve injury and wound
complications but should be considered in patients with suitable anatomy and neck
architecture. Carotid artery stenting, while successful, may be associated with
greater long-term mortality and neurologic complications. Regardless of the
strategy employed, radiation patients are at increased risk for restenosis and
should undergo routine surveillance even after revascularization.
PMID- 27181399
TI - Exercise and Aerobic Fitness to Reduce Cancer-Related Cardiovascular Toxicity.
AB - OPINION STATEMENT: Several cancer treatments are associated with vascular
toxicity, mainly mediated by their effects on endothelial cell function. In turn,
vascular dysfunction may contribute to cardiac damage and other cardiovascular
side effects such as hypertension, stroke, and arterial and venous thrombosis.
Aerobic exercise is associated with numerous beneficial effects on the
endothelium and on cardiovascular risk factors, and may potentially modulate some
of the mechanisms of vascular damage associated with antineoplastic therapies,
thereby reducing their cardiovascular toxicity. To date, only a very limited
number of studies have focused on the effects of supervised exercise on
endothelial function in cancer patients undergoing treatment and molecular
mechanisms remain to be explored. Further mechanistic, translational, and
clinical research is needed to investigate the potential protective role of
exercise on the vascular toxicity of cancer treatments.
PMID- 27181402
TI - Differentiation of Isomeric Ginsenosides by Using Electron-Induced Dissociation
Mass Spectrometry.
AB - Current phytochemical research on ginsengs focuses on the structural
characterization and isomer differentiation of ginsenosides. In this Letter,
electron-induced dissociation (EID) was initially investigated by analyzing
isomeric ginsenosides. EID provided more structural information on their
differentiation than collision-induced dissociation (CID) did. Glycosyl group
migration previously observed in the CID of oligosaccharide ions could also be
found in the EID of protonated Rg1. This rearrangement reaction would show
substantial ambiguities in differentiating Rg1 from Rf. Although other charge
carriers could alleviate this problem, the use of EID in dissociating
deprotonated ginsenoside ions was superior to other techniques in terms of
eliminating glycosyl group migration and generating diagnostic fragment ions for
the differentiation of structural isomers. This study demonstrates a potential
method to analyze natural products and thus help discover and evaluate novel
compounds.
PMID- 27181401
TI - Use of Noninvasive Imaging in Cardiac Amyloidosis.
AB - OPINION STATEMENT: Cardiac involvement in amyloidosis is associated with poor
outcomes. The standard test for the diagnosis of cardiac amyloidosis is
endomyocardial biopsy but given current advances in noninvasive imaging, the
diagnosis is frequently obtained or strongly suspected without biopsy.
Echocardiography is the most utilized cardiac imaging modality, particularly
myocardial strain measures with this modality have been found to be a predictor
of clinical outcomes, superior to traditional parameters. Other known imaging
modalities with new, useful protocols for this pathology include nuclear imaging
and cardiac magnetic resonance (CMR). In particular, CMR has excellent
sensitivity and specificity.
PMID- 27181403
TI - Divergent Inflammatory, Fibrogenic, and Liver Progenitor Cell Dynamics in Two
Common Mouse Models of Chronic Liver Injury.
AB - Complications of end-stage chronic liver disease signify a major cause of
mortality worldwide. Irrespective of the underlying cause, most chronic liver
diseases are characterized by hepatocellular necrosis, inflammation, fibrosis,
and proliferation of liver progenitor cells or ductular reactions. Vast
differences exist between experimental models that mimic these processes, and
their identification is fundamental for translational research. We compared two
common murine models of chronic liver disease: the choline-deficient, ethionine
supplemented (CDE) diet versus thioacetamide (TAA) supplementation. Markers of
liver injury, including serum alanine transaminase levels, apoptosis, hepatic fat
loading, and oxidative stress, as well as inflammatory, fibrogenic and liver
progenitor cell responses, were assessed at days 3, 7, 14, 21, and 42. This study
revealed remarkable differences between the models. It identified periportal
injury and fibrosis with an early peak and slow normalization of all parameters
in the CDE regimen, whereas TAA-treated mice had pericentral patterns of
progressive injury and fibrosis, resulting in a more severe hepatic injury
phenotype. This study is the first to resolve two different patterns of injury
and fibrosis in the CDE and TAA model and to indisputably identify the fibrosis
pattern in the TAA model as driven from the pericentral vein region. Our data
provide a valuable foundation for future work using the CDE and TAA regimens to
model a variety of human chronic liver diseases.
PMID- 27181405
TI - Intrahepatic Xenograft of Cutaneous T-Cell Lymphoma Cell Lines: A Useful Model
for Rapid Biological and Therapeutic Evaluation.
AB - Cutaneous T-cell lymphomas (CTCLs) are a heterogeneous group of diseases
primarily involving the skin that could have an aggressive course with
circulating blood cells, especially in Sezary syndrome and transformed mycosis
fungoides. So far, few CTCL cell lines have been adapted for in vivo experiments
and their tumorigenicity has not been adequately assessed, hampering the use of a
reproducible model for CTCL biological evaluation. In fact, both patient-derived
xenografts and cell line xenografts at subcutaneous sites failed to provide a
robust tool, because engraftment was dependent on mice strain and cell line
subtype. Herein, we describe an original method of intrahepatic injection into
adult NOD.Cg-Prkdc(scid)Il2rg(tm1Wjl)/SzJ mice liver of both aggressive (My-La,
HUT78, HH, MAC2A, and MAC2B) and indolent (FE-PD and MAC1) CTCL cell lines. Six
of the seven CTCL cell lines were grafted with a high rate of success (80%).
Moreover, this model provided a quick (15 days) and robust assay for in vivo
evaluation of CTCL cell lines tumorigenicity and therapeutic response in
preclinical studies. Such a reproducible model can be therefore used for further
functional studies and in vivo drug testing.
PMID- 27181404
TI - PERK Activation Promotes Medulloblastoma Tumorigenesis by Attenuating
Premalignant Granule Cell Precursor Apoptosis.
AB - Evidence suggests that activation of pancreatic endoplasmic reticulum kinase
(PERK) signaling in response to endoplasmic reticulum stress negatively or
positively influences cell transformation by regulating apoptosis. Patched1
heterozygous deficient (Ptch1(+/-)) mice reproduce human Gorlin's syndrome and
are regarded as the best animal model to study tumorigenesis of the sonic
hedgehog subgroup of medulloblastomas. It is believed that medulloblastomas in
Ptch1(+/-) mice results from the transformation of granule cell precursors (GCPs)
in the developing cerebellum. Here, we determined the role of PERK signaling on
medulloblastoma tumorigenesis by assessing its effects on premalignant GCPs and
tumor cells. We found that PERK signaling was activated in both premalignant GCPs
in young Ptch1(+/-) mice and medulloblastoma cells in adult mice. We demonstrated
that PERK haploinsufficiency reduced the incidence of medulloblastomas in
Ptch1(+/-) mice. Interestingly, PERK haploinsufficiency enhanced apoptosis of
premalignant GCPs in young Ptch1(+/-) mice but had no significant effect on
medulloblastoma cells in adult mice. Moreover, we showed that the PERK pathway
was activated in medulloblastomas in humans. These results suggest that PERK
signaling promotes medulloblastoma tumorigenesis by attenuating apoptosis of
premalignant GCPs during the course of malignant transformation.
PMID- 27181407
TI - Validation of the NCCN-IPI in both de novo and transformed diffuse large B cell
lymphoma.
PMID- 27181406
TI - Epithelial-Derived Inflammation Disrupts Elastin Assembly and Alters Saccular
Stage Lung Development.
AB - The highly orchestrated interactions between the epithelium and mesenchyme
required for normal lung development can be disrupted by perinatal inflammation
in preterm infants, although the mechanisms are incompletely understood. We used
transgenic (inhibitory kappaB kinase beta transactivated) mice that conditionally
express an activator of the NF-kappaB pathway in airway epithelium to investigate
the impact of epithelial-derived inflammation during lung development. Epithelial
NF-kappaB activation selectively impaired saccular stage lung development, with a
phenotype comprising rapidly progressive distal airspace dilation, impaired gas
exchange, and perinatal lethality. Epithelial-derived inflammation resulted in
disrupted elastic fiber organization and down-regulation of elastin assembly
components, including fibulins 4 and 5, lysyl oxidase like-1, and fibrillin-1.
Fibulin-5 expression by saccular stage lung fibroblasts was consistently
inhibited by treatment with bronchoalveolar lavage fluid from inhibitory kappaB
kinase beta transactivated mice, Escherichia coli lipopolysaccharide, or tracheal
aspirates from preterm infants exposed to chorioamnionitis. Expression of a
dominant NF-kappaB inhibitor in fibroblasts restored fibulin-5 expression after
lipopolysaccharide treatment, whereas reconstitution of fibulin-5 rescued
extracellular elastin assembly by saccular stage lung fibroblasts. Elastin
organization was disrupted in saccular stage lungs of preterm infants exposed to
systemic inflammation. Our study reveals a critical window for elastin assembly
during the saccular stage that is disrupted by inflammatory signaling and could
be amenable to interventions that restore elastic fiber assembly in the
developing lung.
PMID- 27181410
TI - Developmental plasticity of phrenic motoneuron and diaphragm properties with the
inception of inspiratory drive transmission in utero.
AB - The review outlines data consistent with the hypothesis that inspiratory drive
transmission that generates fetal breathing movements (FBMs) is essential for the
developmental plasticity of phrenic motoneurons (PMNs) and diaphragm musculature
prior to birth. A systematic examination during the perinatal period demonstrated
a very marked transformation of PMN and diaphragm properties coinciding with the
onset and strengthening of inspiratory drive and FBMs in utero. This included
studies of age-dependent changes of: i) morphology, neuronal coupling, passive
and electrophysiological properties of PMNs; ii) rhythmic inspiratory activity in
vitro; iii) FBMs generated in vivo detected by ultrasonography; iv) contractile
and end-plate potential properties of diaphragm musculature. We also propose how
the hypothesis can be further evaluated with studies of perinatal hypoglossal
motoneuron-tongue musculature and the use of Dbx1 null mice that provide an
experimental model lacking descending inspiratory drive transmission in utero.
PMID- 27181409
TI - A single exercise bout enhances the manufacture of viral-specific T-cells from
healthy donors: implications for allogeneic adoptive transfer immunotherapy.
AB - Cytomegalovirus (CMV) and Epstein-Barr virus (EBV) infections remain a major
cause of morbidity and mortality after allogeneic hematopoietic stem cell
transplantation (HSCT). The adoptive transfer of donor-derived viral-specific
cytotoxic T-cells (VSTs) is an effective treatment for controlling CMV and EBV
infections after HSCT; however, new practical methods are required to augment the
ex vivo manufacture of multi-VSTs from healthy donors. This study investigated
the effects of a single exercise bout on the ex vivo manufacture of multi-VSTs.
PBMCs isolated from healthy CMV/EBV seropositive participants before (PRE) and
immediately after (POST) 30-minutes of cycling exercise were stimulated with CMV
(pp65 and IE1) and EBV (LMP2A and BMLF1) peptides and expanded over 8 days. The
number (fold difference from PRE) of T-cells specific for CMV pp65 (2.6), EBV
LMP2A (2.5), and EBV BMLF1 (4.4) was greater among the VSTs expanded POST. VSTs
expanded PRE and POST had similar phenotype characteristics and were equally
capable of MHC-restricted killing of autologous target cells. We conclude that a
single exercise bout enhances the manufacture of multi-VSTs from healthy donors
without altering their phenotype or function and may serve as a simple and
economical adjuvant to boost the production of multi-VSTs for allogeneic adoptive
transfer immunotherapy.
PMID- 27181408
TI - Time trends in the aetiology of prosthetic joint infections: a multicentre cohort
study.
AB - It is important to know the spectrum of the microbial aetiology of prosthetic
joint infections (PJIs) to guide empiric treatment and establish antimicrobial
prophylaxis in joint replacements. There are no available data based on large
contemporary patient cohorts. We sought to characterize the causative pathogens
of PJIs and to evaluate trends in the microbial aetiology. We hypothesized that
the frequency of antimicrobial-resistant organisms in PJIs has increased in the
recent years. We performed a cohort study in 19 hospitals in Spain, from 2003 to
2012. For each 2-year period (2003-2004 to 2011-2012), the incidence of
microorganisms causing PJIs and multidrug-resistant bacteria was assessed.
Temporal trends over the study period were evaluated. We included 2524
consecutive adult patients with a diagnosis of PJI. A microbiological diagnosis
was obtained for 2288 cases (90.6%). Staphylococci were the most common cause of
infection (1492, 65.2%). However, a statistically significant rising linear trend
was observed for the proportion of infections caused by Gram-negative bacilli,
mainly due to the increase in the last 2-year period (25% in 2003-2004, 33.3% in
2011-2012; p 0.024 for trend). No particular species contributed
disproportionally to this overall increase. The percentage of multidrug-resistant
bacteria PJIs increased from 9.3% in 2003-2004 to 15.8% in 2011-2012 (p 0.008),
mainly because of the significant rise in multidrug-resistant Gram-negative
bacilli (from 5.3% in 2003-2004 to 8.2% in 2011-2012; p 0.032). The observed
trends have important implications for the management of PJIs and prophylaxis in
joint replacements.
PMID- 27181412
TI - Electrical stimulation of the vmPFC serves as a remote control to affect VTA
activity and improve depressive-like behavior.
AB - Despite progress in elucidating mechanisms of depression, the efficacy of
different treatments remains inadequate. Recent small-scale clinical studies
suggested anti-depressant treatment using deep brain stimulation (DBS) of the
ventral capsule/ventral striatum or subgenual cingulate cortex (SCC), yet
controlled, multi-center trials were unsuccessful. We recently suggested the
ventral tegmental area (VTA) as an important intersection for treating
depression. We also found that stimulation of the VTA of a genetic rat model of
depression (Flinders Sensitive Line (FSL) rats) with a programmed pattern
designed to mimic the burst firing of normal rats decreases depressive-like
behavior. Herein, we examined the possibility of reaching the VTA - located deep
in the brain stem - through its direct connection to the ventro-medial prefrontal
cortex (vmPFC), which parallels the human SCC. Thus, we compared treatment of
FSLs with modified versions of DBS - either chronic-intermittent low-frequency
electrical stimulation of the vmPFC, or patterned acute electrical stimulation
(pAES), which integrates transcranial magnetic stimulation properties, namely,
bursts of pulse trains and low frequency stimulation, applied to the VTA. We
found that stimulation of the vmPFC (20Hz, 15min/day, 10days) improved depressive
like behavior and VTA local field potential (LFP) activity of FSLs, yet it had
only a partial long-term effect on behavior. In particular, vmPFC stimulation
decreased theta band activity, which correlated with the improvement in
depressive-like behavior of all treated FSLs at day 1, and in ~50% of treated
FSLs at day 28 post treatment. pAES of the VTA (10Hz, 20min) caused significant,
long-term improvement of depressive-like behavior of FSLs, concurrently with
normalizing intra-VTA LFP activity, and increasing VTA LFP synchronicity and
hippocampal BDNF mRNA levels. Thus, although low-frequency electrical stimulation
of the PFC alters VTA activity, leading to attenuation of depressive-like
manifestations, a specific stimulation pattern affecting VTA cell programming is
important for long-term efficacy.
PMID- 27181411
TI - Neonatal seizures induced by pentylenetetrazol or kainic acid disrupt primary
cilia growth on developing mouse cortical neurons.
AB - Neonatal or early-life seizures (ELS) are often associated with life-long
neurophysiological, cognitive and behavioral deficits, but the underlying
mechanisms contributing to these deficits remain poorly understood. Newborn, post
migratory cortical neurons sprout ciliary buds (procilia) that mature into
primary cilia. Disruption of the growth or signaling capabilities of these cilia
has been linked to atypical neurite outgrowth from neurons and abnormalities in
neuronal circuitry. Here, we tested the hypothesis that generalized seizures
induced by pentylenetetrazol (PTZ) or kainic acid (KA) during early postnatal
development impair neuronal and/or glial ciliogenesis. Mice received PTZ (50 or
100mg/kg), KA (2mg/kg), or saline either once at birth (P0), or once daily from
P0 to P4. Using immunohistochemistry and electron microscopy, the cilia of
neurons and glia were examined at P7, P14, and P42. A total of 83 regions were
analyzed, representing 13 unique neocortical and hippocampal regions. Neuronal
cilia were identified by co-expression of NeuN and type 3 adenylyl cyclase
(ACIII) or somatostatin receptor 3 (SSTR3), while glial cilia were identified by
co-expression of GFAP, Arl13b, and gamma-tubulin. We found that PTZ exposure at
either P0 or from P0 to P4 induced convulsive behavior, followed by acute and
lasting effects on neuronal cilia lengths that varied depending on the cortical
region, PTZ dose, injection frequency, and time post-PTZ. Both increases and
decreases in neuronal cilia length were observed. No changes in the length of
glial cilia were observed under any of the test conditions. Lastly, we found that
a single KA seizure at P0 led to similar abnormalities in neuronal cilia lengths.
Our results suggest that seizure(s) occurring during early stages of cortical
development induce persistent and widespread changes in neuronal cilia length.
Given the impact neuronal cilia have on neuronal differentiation, ELS-induced
changes in ciliogenesis may contribute to long-term pathology and abnormal
cortical function.
PMID- 27181413
TI - Sensitization to the locomotor stimulant effects of "bath salt" constituents, 4
methylmethcathinone (4-MMC) and 3,4-methylenedioxypyrovalerone (MDPV), in male
Sprague-Dawley rats.
AB - BACKGROUND: Synthetic cathinones, 4-methylmethcathinone (4-MMC) and 3,4
methylenedioxypyrovalerone (MDPV), serve as a substrate or blocker at
monoaminergic transporters, respectively, and produce locomotor stimulant effects
in rodents. The present study investigated in rats the effects of repeated
exposure to 4-MMC, MDPV, or mixtures of the two on the induction of locomotor
sensitization and expression of cross-sensitization to cocaine. METHODS: Seventy
two male Sprague-Dawley rats received daily intraperitoneal injections of saline,
MDPV (0.5mg/kg), 4-MMC (0.5, 1.0, or 2.0mg/kg) or mixtures of 0.5mg/kg MDPV+4-MMC
(0.5, 1.0, or 2.0mg/kg) for seven consecutive days. Locomotor activity was
recorded on days 1 and 7 and again after an acute injection of 5mg/kg cocaine
following a 10day drug washout period. RESULTS: Rats injected with 0.5mg/kg MDPV,
0.5, 1.0, or 2.0mg/kg 4-MMC, or 2.0mg/kg 4-MMC+0.5mg/kg MDPV displayed time
dependent increases in horizontal activity that were augmented on day 7 compared
to day 1. In addition, rats pretreated with 0.5mg/kg MDPV, 2.0mg/kg 4-MMC, or
mixtures of 4-MMC+MDPV displayed an enhanced response to cocaine. CONCLUSIONS:
Locomotor responses sensitize to MDPV and to certain mixtures of MDPV and 4-MMC
following repeated dosing. Furthermore, previous exposure to these substances may
produce cross-sensitization to the locomotor stimulant effects of cocaine.
Considered together with recent findings that 4-MMC and MDPV have different sites
of action, but both influence monoaminergic functioning, further investigations
utilizing a variety of behavioral assays may prove informative regarding the
abuse liability of synthetic cathinone mixtures.
PMID- 27181415
TI - The recollective qualities of adolescents' and adults' narratives about a long
ago tornado.
AB - The recollective qualities of autobiographical memory are thought to develop over
the course of the first two decades of life. We used a 9-year follow-up test of
recall of a devastating tornado and of non-tornado-related events from before and
after the storm, to compare the recollective qualities of adolescents' (n = 20,
ages 11 years, 11 months to 20 years, 8 months) and adults' (n = 14)
autobiographical memories. At the time of the tornado, half of the adolescents
had been younger than age 6. Nine years after the event, all participants
provided evidence that they recall the event of the tornado. Adults also had high
levels of recall of the non-tornado-related events. Adolescents recalled
proportionally fewer non-tornado-related events; adolescents younger than 6 at
the time of the events recalled the fewest non-tornado-related events. Relative
to adolescents, adults produced longer narratives. With narrative length
controlled, there were few differences in the recollective qualities of
adolescents' and adults' narrative reports, especially in the case of the
tornado; the recollective qualities were stronger among adolescents older at the
time of the events. Overall, participants in both age groups provided evidence of
the qualities of recollection that are characteristic of autobiographical memory.
PMID- 27181416
TI - Letter to the Editor: Comparison of cognitive functions, pre-morbid conditions
and clinical characteristics between brief psychotic disorder and schizophrenia.
PMID- 27181414
TI - Diabetic complications within the context of aging: Nicotinamide adenine
dinucleotide redox, insulin C-peptide, sirtuin 1-liver kinase B1-adenosine
monophosphate-activated protein kinase positive feedback and forkhead box O3.
AB - Recent research in nutritional control of aging suggests that cytosolic increases
in the reduced form of nicotinamide adenine dinucleotide and decreasing
nicotinamide adenine dinucleotide metabolism plays a central role in controlling
the longevity gene products sirtuin 1 (SIRT1), adenosine monophosphate-activated
protein kinase (AMPK) and forkhead box O3 (FOXO3). High nutrition conditions,
such as the diabetic milieu, increase the ratio of reduced to oxidized forms of
cytosolic nicotinamide adenine dinucleotide through cascades including the polyol
pathway. This redox change is associated with insulin resistance and the
development of diabetic complications, and might be counteracted by insulin C
peptide. My research and others' suggest that the SIRT1-liver kinase B1-AMPK
cascade creates positive feedback through nicotinamide adenine dinucleotide
synthesis to help cells cope with metabolic stress. SIRT1 and AMPK can upregulate
liver kinase B1 and FOXO3, key factors that help residential stem cells cope with
oxidative stress. FOXO3 directly changes epigenetics around transcription start
sites, maintaining the health of stem cells. 'Diabetic memory' is likely a result
of epigenetic changes caused by high nutritional conditions, which disturb the
quiescent state of residential stem cells and impair tissue repair. This could be
prevented by restoring SIRT1-AMPK positive feedback through activating FOXO3.
PMID- 27181417
TI - Organelle remodeling at membrane contact sites.
AB - Cellular organelles must execute sophisticated biological processes to persist,
and often communicate with one another to exchange metabolites and information.
Recent studies suggest inter-organelle membrane contact sites (MCSs) are hubs for
this cellular cross-talk. MCSs also govern membrane remodeling, thus controlling
aspects of organelle shape, identity, and function. Here, we summarize three
emerging phenomena that MCSs appear to govern: 1) organelle identity via the non
vesicular exchange of lipids, 2) mitochondrial shape and division, and 3)
endosomal migration in response to sterol trafficking. We also discuss the role
for ER-endolysosomal contact sites in cholesterol metabolism, and the potential
biomedical importance this holds. Indeed, the emerging field inter-organellar
cross-talk promises substantial advances in the fields of lipid metabolism and
cell signaling.
PMID- 27181418
TI - The unexpected structure of the designed protein Octarellin V.1 forms a challenge
for protein structure prediction tools.
AB - Despite impressive successes in protein design, designing a well-folded protein
of more 100 amino acids de novo remains a formidable challenge. Exploiting the
promising biophysical features of the artificial protein Octarellin V, we
improved this protein by directed evolution, thus creating a more stable and
soluble protein: Octarellin V.1. Next, we obtained crystals of Octarellin V.1 in
complex with crystallization chaperons and determined the tertiary structure. The
experimental structure of Octarellin V.1 differs from its in silico design: the
(alphabetaalpha) sandwich architecture bears some resemblance to a Rossman-like
fold instead of the intended TIM-barrel fold. This surprising result gave us a
unique and attractive opportunity to test the state of the art in protein
structure prediction, using this artificial protein free of any natural
selection. We tested 13 automated webservers for protein structure prediction and
found none of them to predict the actual structure. More than 50% of them
predicted a TIM-barrel fold, i.e. the structure we set out to design more than
10years ago. In addition, local software runs that are human operated can sample
a structure similar to the experimental one but fail in selecting it, suggesting
that the scoring and ranking functions should be improved. We propose that
artificial proteins could be used as tools to test the accuracy of protein
structure prediction algorithms, because their lack of evolutionary pressure and
unique sequences features.
PMID- 27181419
TI - Graphene-clad microfibre saturable absorber for ultrafast fibre lasers.
AB - Graphene, whose absorbance is approximately independent of wavelength, allows
broadband light-matter interactions with ultrafast responses. The interband
optical absorption of graphene can be saturated readily under strong excitation,
thereby enabling scientists to exploit the photonic properties of graphene to
realize ultrafast lasers. The evanescent field interaction scheme of the
propagating light with graphene covered on a D-shaped fibre or microfibre has
been employed extensively because of the nonblocking configuration. Obviously,
most of the fibre surface is unused in these techniques. Here, we exploit a
graphene-clad microfibre (GCM) saturable absorber in a mode-locked fibre laser
for the generation of ultrafast pulses. The proposed all-surface technique can
guarantee a higher efficiency of light-graphene interactions than the
aforementioned techniques. Our GCM-based saturable absorber can generate
ultrafast optical pulses within 1.5 MUm. This saturable absorber is compatible
with current fibre lasers and has many merits such as low saturation intensities,
ultrafast recovery times, and wide wavelength ranges. The proposed saturable
absorber will pave the way for graphene-based wideband photonics.
PMID- 27181420
TI - Smooth and flat phase-locked Kerr frequency comb generation by higher order mode
suppression.
AB - High-Q microresonator is perceived as a promising platform for optical frequency
comb generation, via dissipative soliton formation. In order to achieve a higher
quality factor and obtain the necessary anomalous dispersion, multi-mode
waveguides were previously implemented in Si3N4 microresonators. However,
coupling between different transverse mode families in multi-mode waveguides
results in periodic disruption of dispersion and quality factor, and consequently
causes perturbation to dissipative soliton formation and amplitude modulation to
the corresponding spectrum. Careful choice of pump wavelength to avoid the mode
crossing region is thus critical in conventional Si3N4 microresonators. Here, we
report a novel design of Si3N4 microresonator in which single-mode operation,
high quality factor, and anomalous dispersion are attained simultaneously. The
novel microresonator is consisted of uniform single-mode waveguides in the semi
circle region, to eliminate bending induced mode coupling, and adiabatically
tapered waveguides in the straight region, to avoid excitation of higher order
modes. The intrinsic quality factor of the microresonator reaches 1.36 * 10(6)
while the group velocity dispersion remains to be anomalous at -50 fs(2)/mm. With
this novel microresonator, we demonstrate that broadband phase-locked Kerr
frequency combs with flat and smooth spectra can be generated by pumping at any
resonances in the optical C-band.
PMID- 27181421
TI - Locating Organic Guests in Inorganic Host Materials from X-ray Powder Diffraction
Data.
AB - Can the location of the organic structure-directing agent (SDA) inside the
channel system of a zeolite be determined experimentally in a systematic manner?
In an attempt to answer this question, we investigated six borosilicate zeolites
of known framework structure (SSZ-53, SSZ-55, SSZ-56, SSZ-58, SSZ-59, and SSZ
60), where the location of the SDA had only been simulated using molecular
modeling techniques in previous studies. From synchrotron powder diffraction
data, we were able to retrieve reliable experimental positions for the SDA by
using a combination of simulated annealing (global optimization) and Rietveld
refinement. In this way, problems arising from data quality and only partially
compatible framework and SDA symmetries, which can lead to indecipherable
electron density maps, can be overcome. Rietveld refinement using geometric
restraints were then performed to optimize the positions and conformations of the
SDAs. With these improved models, it was possible to go on to determine the
location of the B atoms in the framework structure. That is, two pieces of
information that are key to the understanding of zeolite synthesis-the location
of the organic SDA in the channel system and of the positions adopted by
heteroatoms in the silicate framework-can be extracted from experimental data
using a systematic strategy. In most cases, the locations of the SDAs determined
experimentally compare well with those simulated with molecular modeling, but
there are also some clear differences, and the reason for these differences can
be understood. The approach is generally applicable, and has also been used to
locate organic guests, linkers, and ligands in metal-organic compounds.
PMID- 27181423
TI - Nanoscale heterogeneity in thermoelectrics: the occurrence of phase separation in
Fe-doped Ca3Co4O9.
AB - The misfit layered cobaltate thermoelectrics are good candidates for high
temperature thermoelectric applications. Ca3Co4O9 is a typical compound of this
family, which consists of rock salt Ca2CoO3 slabs alternating with hexagonal CoO2
slabs with a large lattice mismatch along the b axis. Each slab is 0.3-0.5 nm
thick and shows an inherent structural heterogeneity at the nanoscale. The latter
is a key parameter that affects the electrical transport and the heat flow in
these misfit structured thermoelectrics. To clarify the physical origin of the
thermoelectric performance of iron doped Ca3Co4O9 we combined X-ray near-edge
absorption spectroscopy (XANES) and quantum modeling using density functional
theory. In contrast to single-site doping, the iron doping first occurs at the
Co1 site of the rock salt slab at low doping while at higher doping it prefers
the Ca1 site of the rock salt slab. Doping at the Ca1 site modifies the
electronic structure tuning the nanoscale structural heterogeneity. This
mechanism may open a new route to optimizing the thermoelectric performance of
misfit layered thermoelectrics.
PMID- 27181424
TI - Self-cleaning and antibiofouling enamel surface by slippery liquid-infused
technique.
AB - We aimed to create a slippery liquid-infused enamel surface with antibiofouling
property to prevent dental biofilm/plaque formation. First, a micro/nanoporous
enamel surface was obtained by 37% phosphoric acid etching. The surface was then
functionalized by hydrophobic low-surface energy heptadecafluoro-1,1,2,2-tetra-
hydrodecyltrichlorosilane. Subsequent infusion of fluorocarbon lubricants
(Fluorinert FC-70) into the polyfluoroalkyl-silanized rough surface resulted in
an enamel surface with slippery liquid-infused porous surface (SLIPS). The
results of water contact angle measurement, diffuse-reflectance Fourier transform
infrared spectroscopy, and atomic force microscope confirmed that the SLIPS was
successfully constructed on the enamel surface. The antibiofouling property of
the SLIPS was evaluated by the adsorption of salivary protein of mucin and
Streptococcus mutans in vitro, as well as dental biofilm formation using a rabbit
model in vivo. The results showed that the SLIPS on the enamel surface
significantly inhibited mucin adhesion and S. mutans biofilm formation in vitro,
and inhibited dental plaque formation in vivo.
PMID- 27181422
TI - Efficacy and safety of dapagliflozin in addition to insulin therapy in Japanese
patients with type 2 diabetes: Results of the interim analysis of 16-week double
blind treatment period.
AB - INTRODUCTION: Dapagliflozin treatment when added to insulin therapy in Japanese
patients with type 2 diabetes remains to be evaluated. MATERIALS AND METHODS:
This was a multicenter, randomized, double-blind, parallel-group, placebo
controlled study to evaluate efficacy (at 16 weeks) and long-term safety (at 52
weeks) of dapagliflozin in addition to insulin therapy. The interim analysis was
carried out at week 16 to assess the efficacy and safety profiles. The patients
receiving insulin (n = 182) were randomized to either dapagliflozin 5 mg or a
placebo at a 2:1 ratio. The primary efficacy end-point was the change in
hemoglobin A1c (HbA1c) from baseline at week 16. RESULTS: Patients in the
dapagliflozin group showed an adjusted decrease in HbA1c of -0.55% from baseline,
whereas the placebo showed a marginal increase of 0.05%. The placebo-corrected
mean change of HbA1c from baseline to week 16 in dapagliflozin was -0.60% (P <
0.0001). In addition, the placebo-corrected mean change of fasting plasma glucose
and bodyweight from baseline to week 16 in the dapagliflozin group was -22.7
mg/dL (P < 0.0001) and -1.21 kg (P < 0.0001), respectively. The placebo-corrected
mean daily insulin dose in the dapagliflozin group was numerically decreased
(treatment difference: -0.72 IU/day; P = 0.0743). No major episodes or
discontinuations as a result of hypoglycemia were reported during the study
period. CONCLUSIONS: Dapagliflozin used as add-on treatment to insulin therapy
showed significantly greater reduction of HbA1c, fasting plasma glucose and
bodyweight without severe hypoglycemia compared with the placebo at week 16.
These results show the clinical benefit of prescribing dapagliflozin for Japanese
patients with insufficient glycemic control even with insulin therapy.
PMID- 27181426
TI - Pattern of Injuries and Treatment Given to Victims of Rana Plaza Tragedy in a
Level II Armed Forces Medical Facility in Bangladesh.
AB - BACKGROUND: Rana Plaza building collapse is the worst industrial disaster of
Bangladesh so far. The 9-storied structure collapsed suddenly on April 24, 2013,
with more than 4000 people inside. Bangladesh Armed Forces played a key role in
the massive rescue operations. METHODS: We conducted a cross-sectional study with
423 victims who were treated at a Combined Military Hospital to review the
pattern of injuries and management provided. RESULTS: Middle-aged (35+/-12.75
years) females (68.32%) were the majority of the victims. Among the injured,
42.35% had soft tissue injury, 22.55% had abrasions, 18.79% had fractures, 3.75%
had facial injuries, and 2.5% each had head and abdominal injuries. We treated
the injured with various surgical approaches, such as soft tissue debridement
(38.84%), fasciotomy (18.79%), amputation (3.75%), and other procedures. We had
to refer 8.27% of the patients to different advanced centers. The mortality rate
was 5.91%, including 1 volunteer rescuer. CONCLUSION: Pattern of injuries and
modalities of management needed in an industrial disaster is a valuable
experience which can be utilized in preparing to face disasters in the future and
beyond. Death of a voluntary rescuer once again warrants the necessity of using a
helmet and safety gear during any rescue operation. (Disaster Med Public Health
Preparedness. 2017;11:21-24).
PMID- 27181427
TI - Preparation of Porous Cellulose Beads via Introduction of Diamine Spacers.
AB - The current work presents a synthesis route based on the reductive amination of
2,3-dialdehyde cellulose beads with diamines to render micrometer-sized beads
with increased specific surface area (SSA) and porosity in the mesoporous range.
Specifically, the influence of the reductive amination of 2,3-dialdehyde
cellulose (DAC) using aliphatic and aromatic tethered mono- and diamines on bead
microstructure was investigated. Aliphatic and aromatic tethered monoamines were
found to have limited utility for producing porous beads whereas the introduction
of diamines provided beads with a porous texture and an SSA increasing from <1 to
>30 m(2)/g. Both aliphatic and aromatic diamines were found to be useful in
producing porous beads having a pore size distribution range of 10 to 100 nm, as
verified by N2 gas adsorption and mercury intrusion porosimetry analyses. The
true density of the functionalized DAC beads decreased to an average of about
1.36 g/cm(3) as compared to 1.48 g/cm(3) for the unfunctionalized, fully oxidized
DAC beads. The total porosity of the beads was, according to mercury porosimetry,
in the range of 54-64%. Reductive amination with 1,7-diaminoheptane provided
beads that were stable under alkaline conditions (1 M NaOH). It was concluded
that the introduction of tethered diamines into DAC beads is a facile method for
producing mesoporous beads.
PMID- 27181435
TI - Corrigendum.
PMID- 27181425
TI - Biological function derived from predicted structures in CASP11.
AB - In CASP11, the organizers sought to bring the biological inferences from
predicted structures to the fore. To accomplish this, we assessed the models for
their ability to perform quantifiable tasks related to biological function.
First, for 10 targets that were probable homodimers, we measured the accuracy of
docking the models into homodimers as a function of GDT-TS of the monomers, which
produced characteristic L-shaped plots. At low GDT-TS, none of the models could
be docked correctly as homodimers. Above GDT-TS of ~60%, some models formed
correct homodimers in one of the largest docked clusters, while many other models
at the same values of GDT-TS did not. Docking was more successful when many of
the templates shared the same homodimer. Second, we docked a ligand from an
experimental structure into each of the models of one of the targets. Docking to
the models with two different programs produced poor ligand RMSDs with the
experimental structure. Measures that evaluated similarity of contacts were
reasonable for some of the models, although there was not a significant
correlation with model accuracy. Finally, we assessed whether models would be
useful in predicting the phenotypes of missense mutations in three human targets
by comparing features calculated from the models with those calculated from the
experimental structures. The models were successful in reproducing accessible
surface areas but there was little correlation of model accuracy with calculation
of FoldX evaluation of the change in free energy between the wild-type and the
mutant. Proteins 2016; 84(Suppl 1):370-391. (c) 2016 Wiley Periodicals, Inc.
PMID- 27181437
TI - Bronsted Acid-Catalyzed Transfer Hydrogenation of Imines and Alkenes Using
Cyclohexa-1,4-dienes as Dihydrogen Surrogates.
AB - Cyclohexa-1,4-dienes are introduced to Bronsted acid-catalyzed transfer
hydrogenation as an alternative to the widely used Hantzsch dihydropyridines.
While these hydrocarbon-based dihydrogen surrogates do offer little advantage
over established protocols in imine reduction as well as reductive amination,
their use enables the previously unprecedented transfer hydrogenation of
structurally and electronically unbiased 1,1-di- and trisubstituted alkenes. The
mild procedure requires 5.0 mol % of Tf2NH, but the less acidic sulfonic acids
TfOH and TsOH work equally well.
PMID- 27181428
TI - Low osteocalcin level is a risk factor for impaired glucose metabolism in a
Chinese male population.
AB - AIMS/INTRODUCTION: This study was to assess the association between serum
osteocalcin level and glucose metabolism in a Chinese male population. MATERIALS
AND METHODS: We carried out a cross-sectional study with a cohort of participants
from the Fangchenggang Area Male Health and Examination Survey. The cross
sectional study was carried out among 2,353 men, including 2,139 participants
with normal glucose tolerance, 148 with impaired fasting glucose and 66 with type
2 diabetes. A subsample of 1,109 men with measurement of osteocalcin was observed
in the cohort. After a 4-year follow-up period, 1,049 non-diabetic and 983
participants with normal glucose tolerance who submitted the available
information were enrolled in the cohort. Participants were divided into group-H
(>=23.33 ng/mL) and group-L (<23.33 ng/mL) by osteocalcin level. RESULTS: In the
cross-sectional study, osteocalcin levels were highest in participants with
normal glucose tolerance, followed by those with impaired fasting glucose and
type 2 diabetes (P < 0.001). In partial correlation analysis adjusted for age,
serum osteocalcin level was related to glucose level (r = -0.082, P < 0.001),
insulin level (r = -0.079, P < 0.001) and insulin resistance (r = -0.065, P =
0.002). Compared with group-H, group-L was associated with an increased risk of
type 2 diabetes (odds ratio 2.107, 95% confidence interval 1.123-3.955), impaired
fasting glucose (odds ratio 2.106; 95% CI 1.528-2.902), and insulin resistance
(odds ratio 1.359, 95% confidence interval 1.080-1.710) adjusted for age,
education levels, cigarette smoking and lipid profiles. In the cohort study, the
increased risk of impaired fasting glucose was significant in group-L vs group-H
(3.3% vs 1.2%, P = 0.026). CONCLUSIONS: Low serum osteocalcin level was a risk
factor for impaired glucose metabolism and subsequent type 2 diabetes.
PMID- 27181436
TI - Pirfenidone inhibits the proliferation of fibroblasts from patients with active
Crohn's disease.
AB - OBJECTIVE: One-third of Crohn's disease (CD) patients develop intestinal
strictures that require repeated surgical intervention. Current anti-inflammatory
therapies have limited effect on stricture development, which necessitates the
exploration of new pharmacological approaches. Pirfenidone (PFD), a novel anti
fibrotic agent, was recently approved in Europe for the treatment of idiopathic
pulmonary fibrosis (IPF). We hypothesized that observations in IPF could be
transferable to intestinal fibrosis and that PFD inhibits the proliferation and
extracellular matrix (ECM) turnover of gut-derived fibroblasts from CD patients.
MATERIAL AND METHODS: Fibroblasts were isolated from biopsies of inflamed (n = 8)
and non-inflamed (n = 5) colonic mucosa. Expression of CD90 and alpha-smooth
muscle actin (alphaSMA) expression was determined by flow cytometry. The
fibroblasts were cultured with PFD (0.5, 1.0 and 2.0 mg/ml). Proliferation was
evaluated with CellTiter 96((r)) AQueous One Solution Cell Proliferation Assay.
Production of matrix metalloproteinase-3 (MMP-3), tissue inhibitor of
metalloproteinases-1 (TIMP-1) and collagen were assessed using ELISA and
calorimetric assays, respectively. RESULTS: The majority of the fibroblasts were
alphaSMA-positive myofibroblasts. PFD inhibited fibroblast proliferation [0.94
(PFD 0.5 mg/ml); 0.76 (1.0 mg/ml); 0.58 (2.0 mg/ml)] and production of MMP-3
[0.85 (0.5 mg/ml); 0.74 (1.0 mg/ml); 0.63 (2.0 mg/ml)] dose-dependently (both p =
0.0001). The anti-proliferative effect of PFD was reversible (p = 0.0001),
indicating that PFD does not act by an irreversible cytotoxic mechanism. PFD did
not influence neither TIMP-1 nor collagen production. CONCLUSION: PFD inhibited
the proliferation and the production of MMP-3 dose-dependently in gut-derived
fibroblast from CD patients. Our observations support further studies on PFD in
stricturing CD.
PMID- 27181438
TI - Probe-SAXS on hydrogels under elongation.
AB - We have investigated the effect of polymer/filler interaction on the
displacements of silica nanoparticles in gels by introducing them into poly(N,N
dimethylacrylamide) gel (PDAM-NP gel) and polyacrylamide gel (PAM-NP gel). It is
well known that PDAM chains are strongly adsorbed onto silica nanoparticles,
while PAM chains are not. We carried out SAXS measurements on these gels under
uniaxial elongation. Interestingly, we found that the SAXS scattering profiles of
PDAM-NP and PAM-NP gels were totally different. A four-spot pattern was observed
in the 2D structure factors of the PDAM-NP gel and was assigned to the movement
of the nanoparticles in an affine way. On the other hand, as for the PAM-NP gel,
a sharp peak was observed in the much lower q region than the prediction of
affine deformation, indicating that the peak corresponds to the correlation peak
of the high cross-linking region. These experimental findings may lead to the
development of "probe-SAXS", which is a new technology for detecting nano-order
inhomogeneity in hydrogels.
PMID- 27181439
TI - Early apoptosis real-time detection by label-free SERS based on externalized
phosphatidylserine.
AB - Apoptosis is a tightly regulated cellular process that plays an essential role in
the development, aging, cancer biology, immune response, and pathogenesis of
various diseases. Herein, we report a new SERS sensing strategy for in vitro
sensitive detection of early apoptotic cells. The principle of this method is to
in situ synthesize silver nanoparticles (AgNPs) on the phosphatidylserine (PS) of
the apoptotic cell membrane during the early apoptosis, which enables
distinguishing normal and apoptotic cells. The total assay time of the presented
method is only 10 min, thus being faster, cheaper and simpler than current
techniques for the detection of apoptosis. The intrinsic mechanism was verified
by different approaches based on externalized phosphatidylserine. In addition,
the detection process is real-time and label-free; i.e., the intrinsic SERS
spectra from the cellular membrane are directly employed for apoptosis real-time
detection, which avoids using additional chemical or biological reagents as
external signal indicators. Therefore, our SERS approach may serve as a
potentially practical tool for sensitive and real-time detection of early cell
apoptosis, complementing the state-of-the-art strategies, e.g. flow cytometry.
While further investigation is required to better understand the intrinsic
mechanism of the in situ coating method, the current results may provide another
choice for real-time detection of early apoptosis.
PMID- 27181441
TI - AAOM Clinical Practice Statement: Subject: Medical History.
PMID- 27181442
TI - AAOM Clinical Practice Statement: Subject: Risk Assessment.
PMID- 27181440
TI - The fourth modality: immunotherapy for head and neck cancer hits pay dirt.
PMID- 27181443
TI - AAOM Clinical Practice Statement: Subject: Dental Care for the Patient with an
Oral Herpetic Lesion.
PMID- 27181444
TI - Oral erythema multiforme: trends and clinical findings of a large retrospective:
European case series.
PMID- 27181445
TI - In reply: Oral erythema multiforme: trends and clinical findings of a large
retrospective: European case series.
PMID- 27181446
TI - Simultaneous removal of third molars and completion of a sagittal split
osteotomy: effects of age and presence of third molars-a commentary.
PMID- 27181447
TI - Reply to: "Simultaneous removal of third molars and completion of a sagittal
split osteotomy: effects of age and presence of third molars".
PMID- 27181448
TI - Intraoral administration of botulinum toxin for trigeminal neuropathic pain.
AB - This article presents 2 cases of different neuropathic trigeminal pain conditions
treated with intraoral botulinum toxin injections. There is a growing body of
evidence to support the use of this substance when administered subcutaneously in
the treatment of neuropathic pain, such as in extraoral injections for trigeminal
neuralgia. However, reports of intraoral submucosal administration are still
lacking. In the 2 cases presented here, neuropathic pain was refractory to
treatment with an important intraoral peripheral component, so onabotulinum toxin
A was introduced as an adjuvant therapy. The technique, doses, and dilution are
discussed. The patients reported significant reductions in pain frequency and
intensity, with minimal side effects of temporary mucosal dryness and smile
droopiness. The analgesic benefits of botulinum toxin may be utilized to address
intraoral neuropathic pain. Further studies are needed to confirm safety and
effectiveness in larger samples.
PMID- 27181449
TI - Accuracy and reliability of oral maxillofacial radiologists when evaluating cone
beam computed tomography imaging for adenoid hypertrophy screening: a comparison
with nasopharyngoscopy.
AB - OBJECTIVE: To determine how accurate and reliable oral maxillofacial radiologists
(OMFRs) are in screening for adenoid hypertrophy when using cone-beam computed
tomography (CBCT) imaging compared with nasopharyngoscopy (NP). STUDY DESIGN:
CBCT scans of 10 patients with distinct levels of adenoid hypertrophy were
randomly selected. Fourteen board-certified OMFRs classified the levels of
hypertrophy. The intraclass correlation coefficient (ICC) was used to assess
accuracy by comparing their diagnosis against an NP diagnosis, which is the
reference standard. OMFRs' interreliability was assessed. Kappa statistics were
used to analyze dichotomous data from healthy and unhealthy patients. RESULTS:
Overall, the reliability among OMFRs was good (ICC = 0.79 with confidence
interval [CI] 0.63-0.93). The "statistical mode" was very good (ICC = 0.81; CI
0.43-0.94). The accuracy of OMFRs against NP was good (ICCmean = 0.69; CI 0.43
0.94). On average, the Kappa statistics (Kmean = 0.77; CI 0.62-0.92) demonstrated
a good agreement between OMFRs and NP diagnoses. The individualized results from
each evaluator were presented and investigated according to their performance.
CONCLUSIONS: Compared with the reference standard, the accuracy of OMFRs to
classify adenoid hypertrophy on a four-level scale was moderate to strong and
improved when adenoid hypertrophy was classified as healthy or unhealthy. The
reliability of the OMFRs was greater than 80%, assuring their consistency and
reliability on screening adenoids hypertrophy via CBCT.
PMID- 27181450
TI - Can tongue shadow in panoramic radiographs be avoided by using the tongue
repositioning maneuver?
AB - OBJECTIVE: The purpose of this study was to evaluate the use of the tongue
repositioning maneuver (TRM) during panoramic radiography so as to avoid the
error of tongue shadow. STUDY DESIGN: A total of 300 panoramic radiographs were
evaluated. One hundred and fifty orthopantomograms with conventional positioning
technique were used as a control group, while other 150 were taken with the
patient performing the TRM. The tongue shadow was measured in each radiograph in
the first molar regions bilaterally and in the midsagittal plane. Wilcoxon Mann
Whitney U-Test was used to test the significance difference between the groups.
RESULTS: The tongue-palate distance in the study group was reduced from a mean
value of 6.4 mm to 1 mm in the right first molar, 8.0 mm to 2.2 mm in the
midsagittal region, and 6.6 mm to 1.2 mm in the left first molar position.
Statistical evaluation exhibited significant differences of tongue shadow at all
measuring sites between study and control group (P < .0001). CONCLUSIONS: The
present study demonstrates that the TRM leads to a substantial reduction of the
tongue position error in panoramic radiography.
PMID- 27181451
TI - Derivation of an occupational exposure limit for an inhalation analgesic
methoxyflurane (Penthrox((r))).
AB - Methoxyflurane (MOF) a haloether, is an inhalation analgesic agent for emergency
relief of pain by self administration in conscious patients with trauma and
associated pain. It is administered under supervision of personnel trained in its
use. As a consequence of supervised use, intermittent occupational exposure can
occur. An occupational exposure limit has not been established for
methoxyflurane. Human clinical and toxicity data have been reviewed and used to
derive an occupational exposure limit (referred to as a maximum exposure level,
MEL) according to modern principles. The data set for methoxyflurane is complex
given its historical use as anaesthetic. Distinguishing clinical investigations
of adverse health effects following high and prolonged exposure during
anaesthesia to assess relatively low and intermittent exposure during
occupational exposure requires an evidence based approach to the toxicity
assessment and determination of a critical effect and point of departure. The
principal target organs are the kidney and the central nervous system and there
have been rare reports of hepatotoxicity, too. Methoxyflurane is not genotoxic
based on in vitro bacterial mutation and in vivo micronucleus tests and it is not
classifiable (IARC) as a carcinogenic hazard to humans. The critical effect
chosen for development of a MEL is kidney toxicity. The point of departure (POD)
was derived from the concentration response relationship for kidney toxicity
using the benchmark dose method. A MEL of 15 ppm (expressed as an 8 h time
weighted average (TWA)) was derived. The derived MEL is at least 50 times higher
than the mean observed TWA (0.23 ppm) for ambulance workers and medical staff
involved in supervising use of Penthrox. In typical treatment environments
(ambulances and treatment rooms) that meet ventilation requirements the derived
MEL is at least 10 times higher than the modelled TWA (1.5 ppm or less) and the
estimated short term peak concentrations are within the MEL. The odour threshold
for MOF of 0.13-0.19 ppm indicates that the odour is detectable well below the
MEL. Given the above considerations the proposed MEL is health protective.
PMID- 27181452
TI - Chemical, physical, and in vitro characterization of research cigarettes
containing denicotinized tobacco.
AB - The use of very low nicotine tobacco cigarettes is currently being investigated
as a possible harm reduction strategy. Here, we report the smoke chemistry,
toxicity, and physical characteristics of very low nicotine cigarettes that were
made using blended tobacco processed through a supercritical CO2 fluid
extraction, which resulted in elimination of 96% of nicotine content
(denicotinized (denic) tobacco). Three types of test cigarettes (TCs) were
manufactured with tobacco filler containing 100% denic tobacco (TC100), 50% denic
tobacco and 50% unextracted tobacco (TC50/50), and 100% unextracted tobacco
(TC0). Mainstream smoke (MS) was generated for measurement of 46 analytes and
cytotoxicity and mutagenicity determination. Analysis of physical characteristics
of TCs demonstrated they were well made with <5% variability among cigarettes for
most parameters measured. We observed significant changes in the levels of smoke
constituents, including decreases in formaldehyde, nitrosamines, and phenol, and
increases in aliphatic hydrocarbons, aliphatic nitrogen compounds, aromatic
amines, halogen compounds, and metals. Use of denic tobacco resulted in changes
in the chemical composition of MS, but these changes did not modify biological
activity as measured in the mutagenicity and cytotoxicity assays.
PMID- 27181454
TI - Predicting anxiety responses to halogenated glucocorticoid drugs using the
hexobarbital sleep time test.
AB - Glucocorticoids (GCs) are used to treat numerous diseases, but their use in
limited by adverse side effects. One such effect is occasional increased anxiety.
Since the intensity of hepatic microsomal oxidation has been shown to alter
responses to GC, we examined the possibility that rats with lower rates of
hepatic GC metabolism would have increased anxiety. We hypothesized that the
resulting, excessive GC would stimulate brain monoamine oxidase A (MAO-A), which
would reduce brain serotonin, and thereby increase anxiety. Hepatic microsomal
oxidative intensity was evaluated by the hexobarbital sleep time (HST) test.
Results showed that rats with lower rates of hepatic GC metabolism had elevated
brain MAO-A activity, reduced brain serotonin, and more anxiety than rats with
higher rates of hepatic GC metabolism. We suggest that the HST test, as an
integrative test of microsomal oxidation status, should be useful for predicting
individual sensitivity to GC and to other drugs metabolized by the hepatic
microsomal oxidation system.
PMID- 27181453
TI - Chemical-specific adjustment factors (inter-species toxicokinetics) to establish
the ADI for steviol glycosides.
AB - The acceptable daily intake (ADI) of commercially available steviol glycosides is
currently 0-4 mg/kg body weight (bw)/day, based on application of a 100-fold
uncertainty factor to a no-observed-adverse-effect-level value from a chronic rat
study. Within the 100-fold uncertainty factor is a 10-fold uncertainty factor to
account for inter-species differences in toxicokinetics (4-fold) and
toxicodynamics (2.5-fold). Single dose pharmacokinetics of stevioside were
studied in rats (40 and 1000 mg/kg bw) and in male human subjects (40 mg/kg bw)
to generate a chemical-specific, inter-species toxicokinetic adjustment factor.
Tmax values for steviol were at ~8 and ~20 h after administration in rats and
humans, respectively. Peak concentrations of steviol were similar in rats and
humans, while steviol glucuronide concentrations were significantly higher in
humans. Glucuronidation in rats was not saturated over the dose range 40-1000
mg/kg bw. The AUC0-last for steviol was approximately 2.8-fold greater in humans
compared to rats. Chemical-specific adjustment factors for extrapolating
toxicokinetics from rat to human of 1 and 2.8 were established based on Cmax and
AUC0-last data respectively. Because these factors are lower than the default
value of 4.0, a higher ADI for steviol glycosides of between 6 and 16 mg/kg bw/d
is justified.
PMID- 27181455
TI - Hybrid silicon honeycomb/organic solar cells with enhanced efficiency using
surface etching.
AB - Silicon (Si) nanostructure-based photovoltaic devices are attractive for their
excellent optical and electrical performance, but show lower efficiency than
their planar counterparts due to the increased surface recombination associated
with the high surface area and roughness. Here, we demonstrate an efficiency
enhancement for hybrid nanostructured Si/polymer solar cells based on a novel Si
honeycomb (SiHC) structure using a simple etching method. SiHC structures are
fabricated using a combination of nanosphere lithography and plasma treatment
followed by a wet chemical post-etching. SiHC has shown superior light-trapping
ability in comparison with the other Si nanostructures, along with a robust
structure. Anisotropic tetramethylammonium hydroxide etching not only tunes the
final surface morphologies of the nanostructures, but also reduces the surface
roughness leading to a lower recombination rate in the hybrid solar cells. The
suppressed recombination loss, benefiting from the reduced surface-to-volume
ratio and roughness, has resulted in a high open-circuit voltage of 600 mV, a
short-circuit current of 31.46 mA cm(-2) due to the light-trapping ability of the
SiHCs, and yields a power conversion efficiency of 12.79% without any other
device structure optimization.
PMID- 27181457
TI - Biological strategy for the fabrication of highly ordered aragonite helices: the
microstructure of the cavolinioidean gastropods.
AB - The Cavolinioidea are planktonic gastropods which construct their shells with the
so-called aragonitic helical fibrous microstructure, consisting of a highly
ordered arrangement of helically coiled interlocking continuous crystalline
aragonite fibres. Our study reveals that, despite the high and continuous degree
of interlocking between fibres, every fibre has a differentiated organic-rich
thin external band, which is never invaded by neighbouring fibres. In this way,
fibres avoid extinction. These intra-fibre organic-rich bands appear on the
growth surface of the shell as minuscule elevations, which have to be secreted
differentially by the outer mantle cells. We propose that, as the shell thickens
during mineralization, fibre secretion proceeds by a mechanism of contact
recognition and displacement of the tips along circular trajectories by the cells
of the outer mantle surface. Given the sizes of the tips, this mechanism has to
operate at the subcellular level. Accordingly, the fabrication of the helical
microstructure is under strict biological control. This mechanism of fibre-by
fibre fabrication by the mantle cells is unlike that any other shell
microstructure.
PMID- 27181456
TI - Initiation Strategies for Renal-Replacement Therapy in the Intensive Care Unit.
AB - BACKGROUND: The timing of renal-replacement therapy in critically ill patients
who have acute kidney injury but no potentially life-threatening complication
directly related to renal failure is a subject of debate. METHODS: In this
multicenter randomized trial, we assigned patients with severe acute kidney
injury (Kidney Disease: Improving Global Outcomes [KDIGO] classification, stage 3
[stages range from 1 to 3, with higher stages indicating more severe kidney
injury]) who required mechanical ventilation, catecholamine infusion, or both and
did not have a potentially life-threatening complication directly related to
renal failure to either an early or a delayed strategy of renal-replacement
therapy. With the early strategy, renal-replacement therapy was started
immediately after randomization. With the delayed strategy, renal-replacement
therapy was initiated if at least one of the following criteria was met: severe
hyperkalemia, metabolic acidosis, pulmonary edema, blood urea nitrogen level
higher than 112 mg per deciliter, or oliguria for more than 72 hours after
randomization. The primary outcome was overall survival at day 60. RESULTS: A
total of 620 patients underwent randomization. The Kaplan-Meier estimates of
mortality at day 60 did not differ significantly between the early and delayed
strategies; 150 deaths occurred among 311 patients in the early-strategy group
(48.5%; 95% confidence interval [CI], 42.6 to 53.8), and 153 deaths occurred
among 308 patients in the delayed-strategy group (49.7%, 95% CI, 43.8 to 55.0;
P=0.79). A total of 151 patients (49%) in the delayed-strategy group did not
receive renal-replacement therapy. The rate of catheter-related bloodstream
infections was higher in the early-strategy group than in the delayed-strategy
group (10% vs. 5%, P=0.03). Diuresis, a marker of improved kidney function,
occurred earlier in the delayed-strategy group (P<0.001). CONCLUSIONS: In a trial
involving critically ill patients with severe acute kidney injury, we found no
significant difference with regard to mortality between an early and a delayed
strategy for the initiation of renal-replacement therapy. A delayed strategy
averted the need for renal-replacement therapy in an appreciable number of
patients. (Funded by the French Ministry of Health; ClinicalTrials.gov number,
NCT01932190.).
PMID- 27181458
TI - Relationship between Fusarium spp. diversity and mycotoxin contents of mature
grains in southern Belgium.
AB - Over a 4-year period (2010-13), a survey aiming at determining the occurrence of
Fusarium spp. and their relations to mycotoxins in mature grains took place in
southern Belgium. The most prevalent species were F. graminearum, F. avenaceum,
F. poae and F. culmorum, with large variations between years and locations. An
even proportion of mating type found for F. avenaceum, F. culmorum, F. cerealis
and F. tricinctum is usually a sign of ongoing sexual recombination. In contrast,
an unbalanced proportion of mating type was found for F. poae and no MAT1-2
allele was present in the F. langsethiae population. Genetic chemotyping
indicates a majority of deoxynivalenol (DON)-producing strains in F. culmorum
(78%, all 3-ADON producers) and F. graminearum (95%, mostly 15-ADON producers),
while all F. cerealis strains belong to the nivalenol (NIV) chemotype. Between
2011 and 2013, DON, NIV, enniatins (ENNs) and moniliformin (MON) were found in
each field in various concentrations. By comparison, beauvericin (BEA) was
scarcely detected and T-2 toxin, zearalenone and alpha- and beta-zearalenols were
never detected. Principal component analysis revealed correlations of DON with F.
graminearum, ENNs and MON with F. avenaceum and NIV with F. culmorum, F. cerealis
and F. poae. BEA was associated with the presence of F. tricinctum and, to a
lesser extent, with the presence of F. poae. The use of genetic chemotype data
revealed that DON concentrations were mostly influenced by DON-producing strains
of F. graminearum and F. culmorum, whereas the concentrations of NIV were
influenced by the number of NIV-producing strains of both species added to the
number of F. cerealis and F. poae strains. This study emphasises the need to pay
attention to less-studied Fusarium spp. for future Fusarium head blight
management strategies, as they commonly co-occur in the field and are associated
with a broad spectrum of mycotoxins.
PMID- 27181459
TI - Site-directed spin labeling of proteins for distance measurements in vitro and in
cells.
AB - Site-directed spin labeling (SDSL) in combination with electron paramagnetic
resonance (EPR) spectroscopy allows studying the structure, dynamics, and
interactions of proteins via distance measurements in the nanometer range. We
here give an overview of available spin labels, the strategies for their
introduction into proteins, and the associated potentials for protein structural
studies in vitro and in the context of living cells.
PMID- 27181461
TI - Elucidation of the surface structure-selectivity relationship in ethanol electro
oxidation over platinum by density functional theory.
AB - We have successfully built a general framework to comprehend the structure
selectivity relationship in ethanol electrooxidation on platinum by density
functional theory calculations. Based on the reaction mechanisms on three basal
planes and five stepped surfaces, it was found that only (110) and n(111) * (110)
sites can enhance CO2 selectivity but other non-selective step sites are more
beneficial to activity.
PMID- 27181460
TI - Photodynamic action of palmatine hydrochloride on colon adenocarcinoma HT-29
cells.
AB - Palmatine hydrochloride (PaH) is a natural active compound from a traditional
Chinese medicine (TCM). The present study aims to evaluate the effect of PaH as a
new photosensitizer on colon adenocarcinoma HT-29 cells upon light irradiation.
Firstly, the absorption and fluorescence spectra of PaH were measured using a UV
vis spectrophotometer and RF-1500PC spectrophotometer, respectively. Singlet
oxygen ((1)O2) production of PaH was determined using 1, 3-diphenylisobenzofuran
(DPBF). Dark toxicity of PaH was estimated using the 3-(4, 5-dimethylthiazol-2
yl)-2, 5-diphenyltetrazolium bromide (MTT) assay. Cellular uptake of PaH in HT-29
cells was detected at different time intervals. Subellular localization of PaH in
HT-29 cells was observed using confocal laser fluorescence microscopy. For
photodynamic treatment, HT-29 cells were incubated with PaH and then irradiated
by visible light (470nm) from a LED light source. Photocytotoxicity was
investigated 24h after photodynamic treatment using MTT assay. Cell apoptosis was
observed 18h after photodynamic treatment using a flow cytometry with Annexin
V/PI staining. Results showed that PaH has an absorption peak in the visible
region from 400nm to 500nm and a fluorescence emission peak at 406nm with an
excitation wavelength of 365nm. PaH was activated by the 470nm visible light from
a LED light source to produce (1)O2. Dark toxicity showed that PaH alone
treatment had no cytotoxicity to HT-29 cancer cells and NIH-3T3 normal cells
after incubation for 24h. After incubation for 40min, the cellular uptake of PaH
reached to the maximum and PaH was located in mitochondria. Photodynamic
treatment of PaH demonstrated a significant photocytotoxicity on HT-29 cells. The
rate of cell death increased significantly in a PaH concentration-dependent and
light dose-dependent manner. Further evaluation revealed that the early and late
apoptotic rate of HT-29 cells increased remarkably up to 21.54% and 5.39% after
photodynamic treatment of PaH at the concentration of 5MUM and energy density of
10.8J/cm(2). Our findings demonstrated that PaH as a naturally occurring
photosensitizer has potential in photodynamic therapy on colon adenocarcinoma.
PMID- 27181462
TI - Nanostructured lipid carriers, solid lipid nanoparticles, and polymeric
nanoparticles: which kind of drug delivery system is better for glioblastoma
chemotherapy?
AB - CONTEXT: Glioblastoma is a malignant brain tumor originating in the central
nervous system. Successfully therapy of this disease required the efficient
delivery of therapeutic agents to the tumor cells and tissues. Delivery of
anticancer drugs using novel nanocarriers is promising in glioma treatment.
OBJECTIVE: Polymeric nanoparticles (PNPs), solid lipid nanoparticles (SLNs), and
nanostructured lipid carriers (NLCs) were constructed for the delivery of
temozolomide (TMZ). The anti-tumor effects of the three kinds of nanocarriers
were compared to provide the optimum choice for gliomatosis cerebri treatment.
METHODS: TMZ-loaded PNPs (T-PNPs), SLNs (T-SLNs), and NLCs (T-NLCs) were
formulated. Their particle size, zeta potential, drug encapsulation efficiency
(EE), and drug loading (DL) capacity were evaluated. Anti-tumor efficacies of the
three kinds of nanocarriers were evaluated on U87 malignant glioma cells (U87 MG
cells) and mice-bearing malignant glioma model. RESULTS: T-NLCs displayed the
best anti-tumor activity than other formulations in vivo and in vitro. The most
significantly glioma inhibition was observed on NLCs formulations than PNPs and
SLNs. CONCLUSION: This work demonstrates that NLCs can deliver TMZ into U87MG
cells more efficiently, with higher inhibition efficacy than PNPs and SLNs. T
NLCs could be an excellent drug delivery system for glioblastoma chemotherapy.
PMID- 27181483
TI - Fast electronic resistance switching involving hidden charge density wave states.
AB - The functionality of computer memory elements is currently based on multi
stability, driven either by locally manipulating the density of electrons in
transistors or by switching magnetic or ferroelectric order. Another possibility
is switching between metallic and insulating phases by the motion of ions, but
their speed is limited by slow nucleation and inhomogeneous percolative growth.
Here we demonstrate fast resistance switching in a charge density wave system
caused by pulsed current injection. As a charge pulse travels through the
material, it converts a commensurately ordered polaronic Mott insulating state in
1T-TaS2 to a metastable electronic state with textured domain walls, accompanied
with a conversion of polarons to band states, and concurrent rapid switching from
an insulator to a metal. The large resistance change, high switching speed (30
ps) and ultralow energy per bit opens the way to new concepts in non-volatile
memory devices manipulating all-electronic states.
PMID- 27181484
TI - Critical phenomena of emergent magnetic monopoles in a chiral magnet.
AB - Second-order continuous phase transitions are characterized by symmetry breaking
with order parameters. Topological orders of electrons, characterized by the
topological index defined in momentum space, provide a distinct perspective for
phase transitions, which are categorized as quantum phase transitions not being
accompanied by symmetry breaking. However, there are still limited observations
of counterparts in real space. Here we show a real-space topological phase
transition in a chiral magnet MnGe, hosting a periodic array of hedgehog and
antihedgehog topological spin singularities. This transition is driven by the
pair annihilation of the hedgehogs and antihedgehogs acting as monopoles and
antimonopoles of the emergent electromagnetic field. Observed anomalies in the
magnetoresistivity and phonon softening are consistent with the theoretical
prediction of critical phenomena associated with enhanced fluctuations of
emergent field near the transition. This finding reveals a vital role of topology
of the spins in strongly correlated systems.
PMID- 27181485
TI - Evaluation of simulation learning materials use to fill the gap in Japanese
dental English education.
AB - Even though English is most frequently the common language when the patient's
native language differs from that of a dentist, the opportunities for Japanese
undergraduate dental students to learn dental English are now quite limited. The
purposes of our study were to investigate: the effectiveness and feasibility of
the computer-assisted simulation materials as one solution strategy for dental
English education in Japan, and the needs and demands for dental English from the
learners' side. Interactive simulation materials for medical interviews in
English and clinical cases which were translated to English, were delivered via
Learning Management System (LMS) to nineteen trainee residents of dentistry
(residents). Evaluation for the materials, learners' knowledge and interests in
the contents, and ease of operation were obtained by post-questionnaire (response
rates were 100% and 95%, respectively). Both questionnaire-surveys received
positive feedback toward the materials, yet 47% answered that they lacked the
level of knowledge about contents of the medical interview in English. Results
were sufficient to suggest that the residents would like to have the opportunity
to study or practice medical interview in English, or English related to
dentistry, and that the simulation materials could be one of the solution
strategies for opportunity provision.
PMID- 27181486
TI - Relationship between regional population and healthcare delivery in Japan.
AB - In order to address regional inequality in healthcare delivery in Japan,
healthcare districts were established in 1985. However, regional healthcare
delivery has now become a national issue because of population migration and the
aging population. In this study, the state of healthcare delivery at the district
level is examined by analyzing population, the number of physicians, and the
number of hospital beds. The results indicate a continuing disparity in
healthcare delivery among districts. We find that the rate of change in
population has a strong positive correlation with that in the number of
physicians and a weak positive correlation with that in the number of hospital
beds. In addition, principal component analysis is performed on three variables:
the rate of change in population, the number of physicians per capita, and the
number of hospital beds per capita. This analysis suggests that the two principal
components contribute 90.1% of the information. The first principal component is
thought to show the effect of the regulations on hospital beds. The second
principal component is thought to show the capacity to recruit physicians. This
study indicates that an adjustment to the regulations on hospital beds as well as
physician allocation by public funds may be key to resolving the impending issue
of regionally disproportionate healthcare delivery.
PMID- 27181487
TI - Systematic cytological evaluation and immunocytochemistry of minichromosome
maintenance protein 2 and p53 significantly improve cytological diagnosis of
pancreaticobiliary adenocarcinoma.
AB - Endoscopic retrograde cholangiopancreatography (ERCP) brushing cytology often
cannot distinguish adenocarcinoma from reactive epithelial changes. We attempted
to improve the diagnostic sensitivity of ERCP using the following methods:
systematic cytological evaluation, immunocytochemical examination of
minichromosome maintenance proteins (MCM) 2 and p53, and a combination of these
methods. ERCP specimens from 53 patients (13 benign and 40 malignant cases) were
studied. First, we reclassified the cases into three categories according to the
systematic cytological evaluation: negative, suspicious, and positive. Secondly,
immunocytochemistry was performed for MCM 2 and p53. The cut-off values were set
at 25% labeling index (LI) for MCM 2 and 10% LI for p53, respectively. We
evaluated the sensitivity, specificity, and diagnostic accuracy. The sensitivity
of the systematic cytological evaluation alone did not improve significantly,
compared with the original screening examination (77% vs. 68%). The sensitivity
of immunocytochemistry for MCM 2 and p53 was 90% (P < 0.05) and 68%,
respectively. Applying only the suspicious or positive categories, the
sensitivity improved significantly to 93% for the combination of systematic
cytological evaluation and immunocytochemistry for MCM 2 and p53 (P < 0.01). In
conclusion, the combination of morphology and immunocytochemistry for MCM 2 and
p53 may help to overcome the diagnostic cytological difficulties of
pancreaticobiliary adenocarcinoma.
PMID- 27181488
TI - Usefulness of the transfer function index for diagnosing peripheral arterial
disease in patients with arterial calcification.
AB - The aim of this study was to investigate which parameters among the ankle
brachial index (ABI), toe brachial pressure index (TBI) and transfer function
index (TFI) are useful to accurately diagnose PAD in patients with / without
arterial calcification, who have undergone some type of diagnostic imaging.
PATIENTS AND METHODS: A total of 102 patients with 192 limbs (mean age: 72.0 +/-
8.4 years) underwent plain radiography, ABI, TBI and TFI. A receiver operating
characteristics (ROC) analysis was performed to assess possible threshold values
that predict PAD in these patients. RESULTS: T he a rea u nder t he c urve ( AUC)
o f t he ABI, TBI and TFI were 83.0%, 87.9%, 93.1% in the all limbs group,
respectively. In the non-calcified lesion group, the AUC of the ABI, TBI and TFI
were 94.4%, 87.9%, 93.1%, respectively. In the calcified lesion group, the AUC of
the ABI, TBI and TFI were 71.4%, 87.9% and 92.9%, respectively. CONCLUSIONS: A
cut-off value of TFI ? 1.025 exhibits a higher AUC for detecting the presence of
PAD than the ABI and TBI. Therefore, the TFI is considered to be useful to
estimate the presence of PAD in all types of patients, especially those with
arterial calcification.
PMID- 27181489
TI - Plain Radiographs are a Useful Substitute for Computed Tomography in Evaluating
Acetabular Cup Version.
AB - BACKGROUND: The purpose of this study is to compare acetabular component version
measurements from cross-table lateral (XTL) radiographs, anteroposterior pelvis
(AP-P) and anteroposterior hip (AP-H) radiographs, and axial pelvic computed
tomography (CT) scans. METHODS: One hundred fifty hips met our inclusion criteria
of having a CT, XTL, and AP-P done postoperatively. Version was measured by 2
authors. Pearson regression analysis assessed correlation between versions of the
modalities. Analysis of variance testing compared the averages of the values as a
whole and based on demographics. When available, comparisons were also done with
AP-H radiographs. RESULTS: Mean version for XTL and CT scan was 21.7 degrees and
23.8 degrees , respectively, whereas that from AP-P and AP-H radiographs was 12.5
degrees and 17.2 degrees , respectively. XTL and AP-H version measures were
closely correlated with CT (P = .81), whereas AP-P measurements were only
moderately correlated with CT (P = .75). AP-P and AP-H were significantly (P <
.05) different from CT, whereas XTL was not (P = .36). CONCLUSION: The XTL
radiograph remains a useful, cheaper, and safer substitute for CT scan when
assessing supine version in the postoperative setting.
PMID- 27181490
TI - Can a Silver-Coated Arthrodesis Implant Provide a Viable Alternative to Above
Knee Amputation in the Unsalvageable, Infected Total Knee Arthroplasty?
AB - BACKGROUND: In the unsalvageable, infected total knee arthroplasty, knee
arthrodesis is one treatment option with lower reported reinfection rates
compared with repeated 2-stage revision and improved function compared with
amputation. One possible method for reducing incidence of recurrent infection
treated by arthrodesis is the use of a silver-coated implant. We report our
experience of silver-coated arthrodesis nails used for managing infected revision
arthroplasty. We primarily assess the rate of reinfection and rate of amputation
and report functional outcome measures. METHODS: Retrospective analysis of all
patients undergoing knee arthrodesis with a silver-coated arthrodesis nail
between 2008 and 2014. Patient-reported data were recorded prearthrodesis and
postarthrodesis (Oxford Knee Score and Short Form-36) as well as evidence of
recurrent of infection, subsequent surgery, and the necessity for amputation.
RESULTS: Eight patients underwent arthrodesis using the silver-coated arthrodesis
nail. Mean duration of follow-up was 16 months (5-35 months). At the point of
follow-up, there were no amputations, deaths, or implant revisions. One case of
recurrent infection was successfully treated with washout and debridement. The
mean prearthrodesis and postarthrodesis Oxford Knee Score difference was +8.9
points (P = .086) with significantly improved pain (P = .019), night pain (P =
.021), and ease of standing (P = .003). CONCLUSION: Arthrodesis of the knee using
a silver-coated intramedullary device is successful in eradicating infection and
allowing limb conservation. Where infection does recur, this can be successfully
treated with implant retention. The use of a silver-coated arthrodesis nail
should be considered as an alternative to amputation for patients with a multiply
revised and infected total knee arthroplasty.
PMID- 27181491
TI - The Rising Incidence of Degenerative and Posttraumatic Osteoarthritis of the Knee
in the United States Military.
AB - BACKGROUND: This investigation sought to quantify incidence rates (IRs) and risk
factors for primary and secondary (ie, posttraumatic) osteoarthritis (OA) of the
knee in an active military population. METHODS: We performed a retrospective
review of United States military active duty servicemembers with first-time
diagnosis of primary (International Classification of Disease, 9th Edition code:
715.16) and secondary (International Classification of Disease, 9th Edition code:
715.26) OA of the knee between 2005 and 2014 using the Defense Medical
Epidemiology Database. IRs and 95% CIs were expressed per 1000 person-years, with
stratified subgroup analysis adjusted for sex, age, race, military rank, and
branch of military service. Relative risk factors were evaluated using IR ratios
and multiple regression analysis. RESULTS: A total of 21,318 cases of OA of the
knee were identified among an at-risk population of 13,820,906 person-years for
an overall IR of 1.54 per 1000 person-years, including 19,504 cases of primary
(IR: 1.41) and 1814 cases of secondary OA (IR: 0.13). The IRs of both primary and
secondary OA increased significantly from 2005 to 2014. Increasing age (P <
.0001); black race (P < .001); senior military rank (P < .0001); and Army,
Marines, and Air Force services (P < .0001) were significantly associated with an
increased risk for knee OA. CONCLUSION: This study is the first large-scale
report of knee OA in a young athletic population. An increasing incidence and
several risk factors for knee OA were identified, indicating a need for better
preventative strategies and forecasting the increased anticipated demands for
knee arthroplasty among US military servicemembers.
PMID- 27181492
TI - The Outcome of 69 Recemented Hip Femoral Prostheses Performed by One Surgeon 22
40 Years Ago.
AB - BACKGROUND: There is a lack of long-term data on cement-in-cement technique in
revision of failed hip femoral stem. METHODS: We present the outcome of 69
consecutive recemented femoral prostheses, performed by one surgeon (GH) 22-40
years ago. Four patients (4 hips) were lost to follow-up. Sixty-three patients
(65 hips) were followed for their lifetime or until the time of the preparation
of the study. The study population consisted of 18 failed hemiarthroplasties and
47 failed total hip arthroplasties. RESULTS: The 23-year probability of survival
for the recemented femoral components, with re-revision for any reason and
resection arthroplasty as the end point, was 73.6% (61.8%-85.4%) and, with re
revision for aseptic loosening as the end point, was 82.2% (71.4%-93%).
CONCLUSION: Our follow-up study at 22-40 years, after recemented hip femoral
prostheses, shows that recementing works well in selected cases.
PMID- 27181493
TI - Letter to the Editor on "Total Joint Arthroplasty: Trends in Medicare
Reimbursement and Implant Prices".
PMID- 27181494
TI - Crouzonodermoskeletal Syndrome with Hypoplasia of Corpus Callosum and Inferior
Vermis.
PMID- 27181495
TI - Universal classification of twisted, strained and sheared graphene moire
superlattices.
AB - Moire superlattices in graphene supported on various substrates have opened a new
avenue to engineer graphene's electronic properties. Yet, the exact
crystallographic structure on which their band structure depends remains highly
debated. In this scanning tunneling microscopy and density functional theory
study, we have analysed graphene samples grown on multilayer graphene prepared
onto SiC and on the close-packed surfaces of Re and Ir with ultra-high precision.
We resolve small-angle twists and shears in graphene, and identify large unit
cells comprising more than 1,000 carbon atoms and exhibiting non-trivial
nanopatterns for moire superlattices, which are commensurate to the graphene
lattice. Finally, a general formalism applicable to any hexagonal moire is
presented to classify all reported structures.
PMID- 27181496
TI - Photonic reagents for concentration measurement of flu-orescent proteins with
overlapping spectra.
AB - By exploiting photonic reagents (i.e., coherent control by shaped laser pulses),
we employ Optimal Dynamic Discrimination (ODD) as a novel means for
quantitatively characterizing mixtures of fluorescent proteins with a large
spectral overlap. To illustrate ODD, we simultaneously measured concentrations of
in vitro mixtures of Enhanced Blue Fluorescent Protein (EBFP) and Enhanced Cyan
Fluorescent Protein (ECFP). Building on this foundational study, the ultimate
goal is to exploit the capabilities of ODD for parallel monitoring of genetic and
protein circuits by suppressing the spectral cross-talk among multiple
fluorescent reporters.
PMID- 27181497
TI - Observation of phase-retention behavior of the HC(NH2)2PbI3 black perovskite
polymorph upon mesoporous TiO2 scaffolds.
AB - The alpha->delta phase transition, which occurs favorably in planar films of a
black alpha-HC(NH2)2PbI3 (alpha-FAPbI3) perovskite in the amibent, is retarded
when alpha-FAPbI3 is deposited upon mesoporous TiO2 scaffolds. It is hypothesized
that this is due to the synergistic effect of the partial encapsulation of alpha
FAPbI3 by the mesoporous TiO2 and the elevated activation energy for the
transition reaction associated with the substantial increase of the TiO2/alpha
FAPbI3 interfacial area in the mesoscopic system.
PMID- 27181498
TI - Managing non-alcoholic fatty liver disease in diabetes: Challenges and
opportunities.
PMID- 27181499
TI - A Non-Anticoagulant Fraction of Heparin Inhibits Leukocyte Diapedesis into the
Lung by an Effect on Platelets.
AB - We have investigated whether the mechanism by which the non-anticoagulant N
acetyl-de-O-sulfated-heparin (NSH) inhibits leukocyte infiltration is mediated by
an effect on platelet function. We show that oral treatment with two doses of NSH
significantly inhibits eosinophil and neutrophil recruitment into the lungs.
Intravital microscopy analysis shows that NSH inhibits leukocyte and platelet
diapedesis in the microcirculation of the cremaster muscle and in the trachea.
More importantly, there were significantly lower numbers of leukocytes recruited
into the lung in response to LPS in thrombocytopenic mice when transfused with
platelets pretreated with NSH in vitro when compared with mice transfused with
untreated platelets. Using intravital analysis of the microvasculature of the
cremaster muscle, we have demonstrated that the reinfusion of activated platelets
significantly re-established leukocyte diapedesis in response to LPS but that
this effect was not observed when platelets were pretreated in vitro with NSH.
Finally, we investigated whether the effect of NSH altered the expression of
adhesion molecules on the surface of platelets and leukocytes in blood samples
collected from mice treated orally with NSH. Our results demonstrate that NSH
significantly inhibited the detection of P-selectin as evaluated by flow
cytometry, confirming that part of the antiinflammatory action of NSH is via an
effect on platelet function.
PMID- 27181500
TI - Development and validation of HPLC method for vicenin-1 isolated from fenugreek
seeds in rat plasma: application to pharmacokinetic, tissue distribution and
excretion studies.
AB - CONTEXT: Vicenin-1, a flavonol glycoside, has potent platelet aggregation
inhibition, antioxidant, radioprotectants and anti-inflammatory activities.
OBJECTIVE: To establish a rapid, simple, precise and sensitive high-performance
liquid chromatography (HPLC) method for determination of vicenin-1 in rat plasma,
and to investigate the pharmacokinetics, tissue distribution and excretion after
a single 60 mg/kg oral dose in rats. MATERIALS AND METHODS: Vicenin-1 was
extracted by solid-liquid extraction through Tulsicon(r) ADS-400 (0.40-1.2 mm).
Chromatographic separation was achieved by HPLC with a C18 column with a mobile
phase composed of water and acetonitrile (75:25 v/v) and a flow rate of 1 mL/min
along with UV detection at 210 nm. RESULTS: Good linearity of calibration curve
was found between 10.5 and 100.5 MUg/mL (R2 = 0.995) for plasma and tissue,
whereas 2.5-500 MUg/mL (R2 = 0.999) for the urine and stool samples. The
extraction recoveries were 98.51-99.58% for vicenin-1 in plasma, whereas intra
day and inter-day precision were validated by relative standard deviation (%RSD),
that came in the ranges of 1.16-1.79% and 1.28-1.73%, respectively. The
pharmacokinetics results showed Cmax (7.039 MUg/mL) and Tmax (2 h) after oral
administration of vicenin-1. Tissue distribution study showed that the highest
concentration of vicenin-1 was achieved in the liver followed by the lung.
Approximately 24.2% of its administered dose was excreted via urinary excretion
route. CONCLUSION: The first-pass metabolism, poor solubility and presence of
reducing sugar moiety in vicenin-1 may decrease its bioavailability. The
developed method is sensitive, specific and was successfully applied to the
pharmacokinetics, tissue distribution and excretion studies of vicenin-1 in rats.
PMID- 27181501
TI - Three-step channel conformational changes common to DNA packaging motors of
bacterial viruses T3, T4, SPP1, and Phi29.
AB - The DNA packaging motor of dsDNA bacterial viruses contains a head-tail connector
with a channel for the genome to enter during assembly and to exit during host
infection. The DNA packaging motor of bacterial virus phi29 was recently reported
to use the "One-way revolving" mechanism for DNA packaging. This raises a
question of how dsDNA is ejected during infection if the channel acts as a one
way inward valve. Here we report a three step conformational change of the portal
channel that is common among DNA translocation motors of bacterial viruses T3,
T4, SPP1, and phi29. The channels of these motors exercise three discrete steps
of gating, as revealed by electrophysiological assays. The data suggest that the
three step channel conformational changes occur during DNA entry process,
resulting in a structural transition in preparation for DNA movement in the
reverse direction during ejection.
PMID- 27181502
TI - Probabilities of Dilating Vesicoureteral Reflux in Children with First Time
Simple Febrile Urinary Tract Infection, and Normal Renal and Bladder Ultrasound.
AB - PURPOSE: We evaluated risk factors and assessed predicted probabilities for grade
III or higher vesicoureteral reflux (dilating reflux) in children with a first
simple febrile urinary tract infection and normal renal and bladder ultrasound.
MATERIALS AND METHODS: Data for 167 children 2 to 72 months old with a first
febrile urinary tract infection and normal ultrasound were compared between those
who had dilating vesicoureteral reflux (12 patients, 7.2%) and those who did not.
Exclusion criteria consisted of history of prenatal hydronephrosis or familial
reflux and complicated urinary tract infection. The logistic regression model was
used to identify independent variables associated with dilating reflux. Predicted
probabilities for dilating reflux were assessed. RESULTS: Patient age and
prevalence of nonEscherichia coli bacteria were greater in children who had
dilating reflux compared to those who did not (p = 0.02 and p = 0.004,
respectively). Gender distribution was similar between the 2 groups (p = 0.08).
In multivariate analysis older age and nonE. coli bacteria independently
predicted dilating reflux, with odds ratios of 1.04 (95% CI 1.01-1.07, p = 0.02)
and 3.76 (95% CI 1.05-13.39, p = 0.04), respectively. The impact of nonE. coli
bacteria on predicted probabilities of dilating reflux increased with patient
age. CONCLUSIONS: We support the concept of selective voiding cystourethrogram in
children with a first simple febrile urinary tract infection and normal
ultrasound. Voiding cystourethrogram should be considered in children with late
onset urinary tract infection due to nonE. coli bacteria since they are at risk
for dilating reflux even if the ultrasound is normal.
PMID- 27181503
TI - Vesicoureteral Reflux and Antibiotic Prophylaxis: Why Cohorts and Methodologies
Matter.
AB - PURPOSE: Published cohorts of children with vesicoureteral reflux placed on
antibiotic prophylaxis differ in baseline characteristics and methodology. These
data have been combined in meta-analyses to derive treatment recommendations. We
analyzed these cohorts in an attempt to understand the disparate outcomes
reported. MATERIALS AND METHODS: A total of 18 studies were identified from 1987
to 2013. These series retrospectively or prospectively evaluated children with
vesicoureteral reflux who were on long-term antibiotic prophylaxis. Presenting
demographic data, criteria and methods of evaluation were tabulated. Outcomes
were compared, specifically recurrent urinary infections and renal scarring.
RESULTS: Significant differences identified in baseline characteristics included
gender, circumcision status and reflux grade, and differences in methodology
included evaluation of bowel and bladder dysfunction, method of urine collection,
definition of urinary infection, measurement of compliance and means of
identifying renal scarring. Cohorts with larger numbers of uncircumcised boys had
more breakthrough urinary infections. Infection and renal scarring rates were
higher in series with higher grades of reflux. Bagged urine specimens were
allowed in 6 series, rendering the data suspect. Children with bowel and bladder
dysfunction were excluded from 3 cohorts, and bowel and bladder dysfunction was
correlated with outcome in only 1 cohort. Compliance was monitored in only 6
studies. CONCLUSIONS: Subpopulations and methodologies vary significantly in
published series of children with vesicoureteral reflux on antibiotic
prophylaxis. It is inappropriate to combine outcomes data from these series in a
meta-analysis, which would serve to blur distinctions between these
subpopulations. Broad recommendations or guidelines based on meta-analyses should
be viewed with caution.
PMID- 27181504
TI - Ten-Year Followup after Tension-Free Vaginal Tape-Obturator Procedure for Stress
Urinary Incontinence.
AB - PURPOSE: Suburethral tapes are a standard surgical treatment for stress urinary
incontinence. The aim of the study was to evaluate subjective and objective cure
rates 10 years after a tension-free vaginal tape-obturator procedure. MATERIALS
AND METHODS: All 124 patients who underwent the tension-free vaginal tape
obturator procedure at a total of 2 centers in 2004 and 2005 were invited for
followup. Objective cure was defined as a negative cough stress test at 300 ml.
Subjects completed KHQ (King's Health Questionnaire), IOQ (Incontinence Outcome
Questionnaire), FSFI (Female Sexual Function Index Questionnaire) and PGI-I
(Patient Global Impression of Improvement). RESULTS: Overall, 55 of 112 women
(49%) who were alive were available for clinical examination and 71 (63%)
completed the questionnaires. The objective cure rate in the 55 women examined
clinically was 69%, 22% were not cured and 9% (5) had undergone reoperation for
recurrent or persistent stress urinary incontinence. Treatment was counted as
having failed in these 5 women for study purposes. Subjective cure was reported
by 45 of 71 women (64%). Three patients (5%) had vaginal tape extrusion at the
time of clinical examination. Extrusion in all of them was small and
asymptomatic, and did not require treatment for a cumulative extrusion rate of
7%. Six women (9%) had undergone reoperation for tension-free vaginal tape
obturator associated complications and 18 (26%) experienced de novo overactive
bladder. CONCLUSIONS: Subjective and objective cure rates 10 years after the
tension-free vaginal tape-obturator procedure were 69% and 64%, respectively. The
vaginal extrusion rate in this study was slightly higher than in other series but
major long-term complications appeared to be rare.
PMID- 27181505
TI - Feasibility of a clinical trial to assess the effect of dietary calcium v.
supplemental calcium on vascular and bone markers in healthy postmenopausal
women.
AB - Whether supplemental Ca has similar effects to dietary Ca on vascular and bone
markers is unknown. The present trial investigated the feasibility of applying
dietary and supplemental interventions in a randomised-controlled trial (RCT)
aiming to estimate the effect of supplemental Ca as compared with dietary Ca on
vascular and bone markers in postmenopausal women. In total, thirteen
participants were randomised to a Ca supplement group (CaSuppl) (750 mg Ca from
CaCO3+450 mg Ca from food+20 ug vitamin D supplement) or a Ca diet group (CaDiet)
(1200 mg Ca from food+10 ug vitamin D supplement). Participants were instructed
on Ca consumption targets at baseline. Monthly telephone follow-ups were
conducted to assess adherence to interventions (+/-20 % of target total Ca) using
the multiple-pass 24-h recall method and reported pill count. Measurements of
arterial stiffness, peripheral blood pressure and body composition were performed
at baseline and after 6 and 12 months in all participants who completed the trial
(n 9). Blood and serum biomarkers were measured at baseline and at 12 months.
Both groups were compliant to trial interventions (+/-20 % of target total Ca
intake; pill count >=80 %). CaSuppl participants maintained a significantly lower
average dietary Ca intake compared with CaDiet participants throughout the trial
(453 (sd 187) mg/d v. 1241 (sd 319) mg/d; P<0.001). There were no significant
differences in selected vascular outcomes between intervention groups over time.
Our pilot trial demonstrated the feasibility of conducting a large-scale RCT to
estimate the differential effects of supplemental and dietary Ca on vascular and
bone health markers in healthy postmenopausal women.
PMID- 27181506
TI - Solution structure of the isolated histone H2A-H2B heterodimer.
AB - During chromatin-regulated processes, the histone H2A-H2B heterodimer functions
dynamically in and out of the nucleosome. Although detailed crystal structures of
nucleosomes have been established, that of the isolated full-length H2A-H2B
heterodimer has remained elusive. Here, we have determined the solution structure
of human H2A-H2B by NMR coupled with CS-Rosetta. H2A and H2B each contain a
histone fold, comprising four alpha-helices and two beta-strands (alpha1-beta1
alpha2-beta2-alpha3-alphaC), together with the long disordered N- and C-terminal
H2A tails and the long N-terminal H2B tail. The N-terminal alphaN helix, C
terminal beta3 strand, and 310 helix of H2A observed in the H2A-H2B nucleosome
structure are disordered in isolated H2A-H2B. In addition, the H2A alpha1 and H2B
alphaC helices are not well fixed in the heterodimer, and the H2A and H2B tails
are not completely random coils. Comparison of hydrogen-deuterium exchange, fast
hydrogen exchange, and {(1)H}-(15)N hetero-nuclear NOE data with the CS-Rosetta
structure indicates that there is some conformation in the H2A 310 helical and
H2B Lys11 regions, while the repression domain of H2B (residues 27-34) exhibits
an extended string-like structure. This first structure of the isolated H2A-H2B
heterodimer provides insight into its dynamic functions in chromatin.
PMID- 27181507
TI - Safety and immunogenicity of a CRM or TT conjugated meningococcal vaccine in
healthy toddlers.
AB - BACKGROUND: MenACWY-CRM (Menveo((r)); GlaxoSmithKline) and MenACWY-TT
(Nimenrix((r)); Pfizer) are two meningococcal vaccines licensed in the European
Union for use in both children and adults. While both vaccines target
meningococcal serogroups A, C, W and Y, immunogenicity and reactogenicity of
these quadrivalent meningococcal conjugate vaccines may differ due to differences
in formulation processes and chemical structure. Yet data on the comparability of
these two vaccines are limited. METHODS: The reactogenicity and immunogenicity of
one dose of either MenACWY-CRM or MenACWY-TT were evaluated in healthy toddlers
aged 12-15 months. Immunogenicity was assessed using serum bactericidal antibody
assays (SBA) with human (hSBA) and rabbit (rSBA) complement. RESULTS: A total of
202 children aged 12-15 months were enrolled to receive one dose of MenACWY-CRM
or MenACWY-TT. Similar numbers of subjects reported solicited reactions within 7
days following either vaccination. Tenderness at the injection site was the most
common local reaction. Systemic reactions reported were similar for both vaccines
and mostly mild to moderate in severity: irritability, sleepiness and change in
eating habits were most commonly reported. Immunogenicity at 1 month post
vaccination was generally comparable for both vaccines across serogroups. At 6
months post-vaccination antibody persistence against serogroups C, W, and Y was
substantial for both vaccines, as measured by both assay methodologies. For
serogroup A, hSBA titers declined in both groups, while rSBA titers remained
high. CONCLUSION: Despite differences in composition, the MenACWY-CRM and MenACWY
TT vaccines have comparable reactogenicity and immunogenicity profiles. Immediate
immune responses and short-term antibody persistence were largely similar between
groups. Both vaccines were well-tolerated and no safety concerns were identified.
PMID- 27181508
TI - Increased risk of subclinical atherosclerosis associated with high visceral
adiposity index in apparently healthy Korean adults: the Kangbuk Samsung Health
Study.
AB - BACKGROUND: The visceral adiposity index (VAI) is a mathematical tool that
reflects a patient's visceral adiposity and insulin resistance. Recent studies
have noted an association between VAI and cardiovascular event. We analyzed the
association between VAI and coronary artery calcium score (CACS) in Korean
adults. METHODS: For 33,468 participants (mean age 42 yrs) in a health screening
program, VAI was calculated using the following formulae: [waist circumference
(WC)/{39.68 + (1.88 * body mass index (BMI))}] * (triglyceride/1.03) * {1.31/high
density lipoprotein cholesterol (HDL-C)} for men and [WC/{36.58 + (1.89 * BMI)}]
* (triglyceride/0.81) * (1.52/HDL-C) for women. Coronary artery calcium scores
were measured with multi-detector computed tomography. RESULTS: CACS was
positively correlated with VAI (r = 0.027, p < 0.001). Subjects with 0 < CACS
<100 and CACS >= 100 had significantly higher VAI compared to those with CACS = 0
(2.04 +/- 1.97, 2.08 +/- 1.67 vs. 1.68 +/- 1.50, p < 0.001). In logistic
regression analyses with CACS >0 as the dependent variable, subjects in the
highest tertile of VAI (>1.777) had significantly increased odds ratio for CACS
>0 compared to subjects in the lowest tertile (<0.967), even after adjusting for
confounding variables, including BMI (OR 1.26, 95% CI 1.147-1.381). CONCLUSIONS:
Subjects with high VAI had increased risk for subclinical atherosclerosis, as
assessed by CACS. Key messages Recent studies have noted an association between
visceral adiposity index (VAI) and cardiovascular event. Subjects with coronary
artery calcification (CAC) showed significantly higher VAI compared to those
without CAC. The subjects with high VAI showed increased odds ratio for CAC as
compared to subjects with low VAI, suggesting high VAI reflects increased risk
for subclinical atherosclerosis.
PMID- 27181509
TI - Transcranial direct current stimulation lessens dual task cost in people with
Parkinson's disease.
AB - BACKGROUND: Parkinson's disease (PD) progressively impairs motor and cognitive
function. Gait dysfunction in PD is exacerbated during dual task gait.
Transcranial direct current stimulation (tDCS) may therapeutically benefit motor
and cognitive deficits. We examined the effect of a bilateral tDCS protocol on
dual task gait in people with PD. MATERIAL AND METHODS: Participants with PD
between 50 and 80 years received two sessions of tDCS protocol (1 active, 1 sham)
separated by 7days. tDCS protocols were randomized and blinded to participants.
After each tDCS protocol, participants performed single and dual task gait.
Single 20-min session of bilateral tDCS (dorsolateral prefrontal cortex;
left=anode, right=cathode) at 2mA and one sham session. Each participant was
assessed at baseline for disease severity [Unified Parkinson's Disease Rating
Scale (UPDRS)] and executive function [Repeatable Battery for the Assessment of
Neuropsychological Status (RBANS)]. Following each tDCS condition (active and
sham), participants performed Timed Up and Go (TUG) single and dual task
conditions (TUGalone, TUGmotor, TUGcognitive) and PDQ-39. RESULTS: Ten
participants average age of 68.7 years (+/-10.2) and average PD duration average
7.9 years (+/-7.1) were included. The UPDRS (M=37) and RBANS (M=13%ile) were
administered prior to testing. No differences were observed on dependent t-test
for TUG conditions or PDQ-39. Dual task cost TUGmotor was -20.95% (tDCSactive)
versus -22.58% (tDCSsham) and TUGcognitive was -25.24% (tDCSactive) versus
41.85% (tDCSsham). CONCLUSIONS: Our bilateral tDCS protocol in people with PD did
not significantly improve dual task gait. However, dual task cost following tDCS
was lessened, most dramatically in the presence of a cognitive distractor. A
larger sample size is warranted to draw further conclusions about our bilateral
tDCS approach.
PMID- 27181511
TI - Curcumin ameliorates experimental autoimmune myasthenia gravis by diverse immune
cells.
AB - Curcumin is a traditional Asian medicine with diverse immunomodulatory properties
used therapeutically in the treatment of many autoimmune diseases. However, the
effects of curcumin on myasthenia gravis (MG) remain undefined. Here we
investigated the effects and potential mechanisms of curcumin in experimental
autoimmune myasthenia gravis (EAMG). Our results demonstrated that curcumin
ameliorated the clinical scores of EAMG, suppressed the expression of T cell co
stimulatory molecules (CD80 and CD86) and MHC class II, down-regulated the levels
of pro-inflammatory cytokines (IL-17, IFN-gamma and TNF-alpha) and up-regulated
the levels of the anti-inflammatory cytokine IL-10, shifted the balance from
Th1/Th17 toward Th2/Treg, and increased the numbers of NKR-P1(+) cells (natural
killer cell receptor protein 1 positive cells, including NK and NKT cells).
Moreover, the administration of curcumin promoted the differentiation of B cells
into a subset of B10 cells, increased the anti-R97-166 peptide IgG1 levels and
decreased the relative affinity indexes of anti-R97-116 peptide IgG. In summary,
curcumin effectively ameliorate EAMG, indicating that curcumin may be a potential
candidate therapeutic agent for MG.
PMID- 27181510
TI - Increased neuronal and astroglial aquaporin-1 immunoreactivity in rat striatum by
chemical preconditioning with 3-nitropropionic acid.
AB - Aquaporin-1 (AQP1) is a water channel expressed in the choroid plexus and
participates in forming cerebrospinal fluid. Interestingly, reactive astrocytes
also express AQP1 in the central nervous system under some pathological
conditions. On the other hand, 3-nitropropionic acid (3NP) is a mitochondrial
toxin that causes selective degeneration of striatum; however, its chemical
preconditioning is neuroprotective against cerebral ischemia. We previously
reported that mild 3NP application is accompanied with numerous reactive
astrocytes in rat striatum devoid of typical necrotic lesions. Therefore, we
studied whether AQP1 in the rat striatum could be upregulated with reactive
astrocytosis using the 3NP model. Immunohistochemical or immunofluorescence
analysis showed that reactive astrocytosis in the striatum, which upregulates
glial fibrillary acidic protein and glutamine synthetase, was induced by mild
doses of 3NP administration. Intriguingly, after 3NP treatment, AQP1 was
intensely expressed not only by the subpopulation of astroglia but also by
neurons. The AQP1 immunoreactivity became more intensified at the early-subtoxic
stage (ES: 24-48h), but not as much in the delayed-subtoxic stage (DS: 96-120h).
In contrast, AQP4 expression in the striatum was downregulated after 3NP
treatment, in particular during the ES stage. AQP1 upregulation/AQP4
downregulation induced under subtoxic 3NP treatment may play a pivotal role in
water homeostasis and cell viability in the striatum.
PMID- 27181512
TI - Regulation of neural stem cells by choroid plexus cells population.
AB - The choroid plexus is a tissue on the central nervous system responsible for
producing cerebrospinal fluid, maintaining homeostasis and neural stem cells
support; though, all of its functions still unclear. This study aimed to
demonstrate the niches of choroid plexus cells for a better understanding of the
cell types and functions, using the porcine as the animal model. The collected
material was analyzed by histology, immunohistochemistry, and cell culture. The
cell culture was characterizated by immunocytochemistry and flow cytometry. Our
results showed OCT-4, TUBIII, Nestin, CD45, CD73, CD90 positive expression and
GFAP, CD105 negative expression, also methylene blue histological staining
confirmed the presence of telocytes cells. We realized that the choroid plexus is
a unique and incomparable tissue with different niches of cells as pluripotent,
hematopoietic, neuronal progenitors and telocyte cells, which provide its
complexity, differentiated functionality and responsibility on brain balance and
neural stem cells regulation.
PMID- 27181513
TI - Psychotropic drugs attenuate lipopolysaccharide-induced hypothermia by altering
hypothalamic levels of inflammatory mediators in rats.
AB - Recent evidence suggests that inflammation may contribute to the pathophysiology
of mental disorders and that psychotropic drugs exert various effects on brain
inflammation. The administration of bacterial endotoxin (lipopolysaccharide, LPS)
to mammals is associated with robust production of inflammatory mediators and
pathological changes in body temperature. The objective of the present study was
to examine the effects of four different psychotropic drugs on LPS-induced
hypothermia and production of prostaglandin (PG) E2, tumor necrosis factor (TNF)
alpha and phosphorylated-p65 (P-p65) levels in hypothalamus of LPS-treated rats.
Rats were treated once daily with lithium (100mg/kg), carbamazepine (40mg/kg),
haloperidol (2mg/kg), imipramine (20mg/kg) or vehicle (NaCl 0.9%) for 29 days. On
day 29, rats were injected with LPS (1mg/kg) or saline. At 1.5h post LPS
injection body temperature was measured, rats were sacrificed, blood was
collected and their hypothalami were excised, homogenized and centrifuged. PGE2,
TNF-alpha and nuclear P-p65 levels were determined by specific ELISA kits. We
found that lithium, carbamazepine, haloperidol and imipramine significantly
attenuated LPS-induced hypothermia, resembling the effect of classic anti
inflammatory drugs. Moreover, lithium, carbamazepine, haloperidol and imipramine
differently but significantly affected the levels of PGE2, TNF-alpha and P-p65 in
plasma and hypothalamus of LPS-treated rats. The results suggest that
psychotropic drugs attenuate LPS-induced hypothermia by reducing hypothalamic
production of inflammatory constituents, particularly PGE2. The effects of
psychotropic drugs on brain inflammation may contribute to their therapeutic
mechanism but also to their toxicological profile.
PMID- 27181514
TI - Red nucleus connectivity as revealed by constrained spherical deconvolution
tractography.
AB - Previous Diffusion Tensor Imaging studies have demonstrated that the human red
nucleus is widely interconnected with sensory-motor and prefrontal cortices. In
this study, we assessed red nucleus connectivity by using a multi-tensor model
called non- negative Constrained Spherical Deconvolution (CSD), which is able to
resolve more than one fiber orientation per voxel. Connections of the red nuclei
of fifteen volunteers were studied at 3T using CSD axonal tracking. We found
significant connectivity between RN and the following cortical and subcortical
areas: cerebellar cortex, thalamus, paracentral lobule, postcentral gyrus,
precentral gyrus, superior frontal gyrus and dentate nucleus. We confirmed that
red nucleus is tightly linked with the cerebral cortex and has dense subcortical
connections with thalamus and cerebellar cortex. These findings may be useful in
a clinical context considering that RN is involved in motor control and it is
known to have potential to compensate for injury of the corticospinal tract.
PMID- 27181515
TI - Electroencephalography reactivity for prognostication of post-anoxic coma after
cardiopulmonary resuscitation: A comparison of quantitative analysis and visual
analysis.
AB - Electroencephalogram reactivity (EEG-R) is a positive predictive factor for
assessing outcomes in comatose patients. Most studies assess the prognostic value
of EEG-R utilizing visual analysis; however, this method is prone to
subjectivity. We sought to categorize EEG-R with a quantitative approach. We
retrospectively studied consecutive comatose patients who had an EEG-R recording
performed 1-3 days after cardiopulmonary resuscitation (CPR) or during
normothermia after therapeutic hypothermia. EEG-R was assessed via visual
analysis and quantitative analysis separately. Clinical outcomes were followed-up
at 3-month and dichotomized as recovery of awareness or no recovery of awareness.
A total of 96 patients met the inclusion criteria, and 38 (40%) patients
recovered awareness at 3-month followed-up. Of 27 patients with EEG-R measured
with visual analysis, 22 patients recovered awareness; and of the 69 patients who
did not demonstrated EEG-R, 16 patients recovered awareness. The sensitivity and
specificity of visually measured EEG-R were 58% and 91%, respectively. The area
under the receiver operating characteristic curve for the quantitative analysis
was 0.92 (95% confidence interval, 0.87-0.97), with the best cut-off value of
0.10. EEG-R through quantitative analysis might be a good method in predicting
the recovery of awareness in patients with post-anoxic coma after CPR.
PMID- 27181517
TI - Neuronal low-density lipoprotein receptor-related protein 1 (LRP1) enhances the
anti-apoptotic effect of intravenous immunoglobulin (IVIg) in ischemic stroke.
AB - The low-density lipoprotein receptor-related protein 1 (LRP1) is a
multifunctional and multi-ligand endocytic receptor abundantly expressed in
neurons. Intravenous immunoglobulin (IVIg) is a purified preparation of plasma
derived human immunoglobulin used for the treatment of several neurological
inflammatory disorders, and proposed for the treatment of stroke for its potent
neuroprotective effects. LRP1 has been shown to be involved in the transcytosis
of IVIg, and IVIg-LRP1 interaction leads to LRP1 tyrosine phosphorylation, which
may contribute to the anti-inflammatory effects of IVIg. However, the question
remains whether IVIg could induce its neuroprotective effects via LRP1 in neurons
under ischemic stroke conditions. In cultured neurons and in a transient ischemic
mouse model, ischemia decrease LRP1 levels and phosphorylation, and IVIg blocks
these effects. In ischemic neurons, LRP1 antagonism by receptor associated
protein (RAP) enhances the activation of pro-death signaling pathways such as
nuclear factor-kappa B (NF-kappaB), mitogen-activated protein kinases (MAPKs),
and caspase-3, and IVIg reduces these effects. When applied to ischemic neuronal
cultures, RAP induces a dramatic drop in Akt activation, and IVIg reverses this
effect, as it does with the decrease in Bcl-2 levels caused by ischemic injury in
the presence of RAP. Altogether, these results show evidence of LRP1 expression
and activity modulation by IVIg, and support the role of LRP1 as a partner of
IVIg in the execution of its neuroprotective effects.
PMID- 27181516
TI - Enhancement of an outwardly rectifying chloride channel in hippocampal pyramidal
neurons after cerebral ischemia.
AB - Cerebral ischemia induces delayed, selective neuronal death in the CA1 region of
the hippocampus. The underlying molecular mechanisms remain unclear, but it is
known that apoptosis is involved in this process. Chloride efflux has been
implicated in the progression of apoptosis in various cell types. Using both the
inside-out and whole-cell configurations of the patch-clamp technique, the
present study characterized an outwardly rectifying chloride channel (ORCC) in
acutely dissociated pyramid neurons in the hippocampus of adult rats. The channel
had a nonlinear current-voltage relationship with a conductance of 42.26+/-1.2pS
in the positive voltage range and 18.23+/-0.96pS in the negative voltage range,
indicating an outward rectification pattern. The channel is Cl(-) selective, and
the open probability is voltage-dependent. It can be blocked by the classical Cl(
) channel blockers DIDS, SITS, NPPB and glibenclamide. We examined the different
changes in ORCC activity in CA1 and CA3 pyramidal neurons at 6, 24 and 48h after
transient forebrain ischemia. In the vulnerable CA1 neurons, ORCC activity was
persistently enhanced after ischemic insult, whereas in the invulnerable CA3
neurons, no significant changes occurred. Further analysis of channel kinetics
suggested that multiple openings are a major contributor to the increase in
channel activity after ischemia. Pharmacological blockade of the ORCC partly
attenuated cell death in the hippocampal neurons. We propose that the enhanced
activity of ORCC might contribute to selective neuronal damage in the CA1 region
after cerebral ischemia, and that ORCC may be a therapeutic target against
ischemia-induced cell death.
PMID- 27181518
TI - A pharmacological characterization of GABA, THIP and DS2 at binary alpha4beta3
and beta3delta receptors: GABA activates beta3delta receptors via the
beta3(+)delta(-) interface.
AB - There is growing evidence that GABA (gamma-aminobutyric acid) can activate GABAA
receptors (GABAARs) in the absence of an alpha subunit. In this study, we
compared the pharmacology of homomeric and binary alpha4, beta3 or delta subunits
with ternary alpha4beta3delta to identify subunit interfaces that contribute to
the pharmacology of GABA, THIP, and DS2, and the antagonists, Zn(2+), gabazine
and bicuculline. beta3delta receptors form functional GABA-gated channels when
expressed in Xenopus oocytes with a pharmacology that differs to homomeric beta3,
binary alpha4beta3 and ternary alpha4beta3delta receptors. GABA had similar
potency at alpha4beta3 and beta3delta receptors (25uM and 26uM, respectively) but
differed at alpha4beta3delta receptors where GABA exhibited a biphasic
concentration-response (EC50 (1)=12.6nM; EC50 (2)=6.3MUM). THIP activated
beta3delta receptors (EC50=456MUM) but was a more potent activator of alpha4beta3
(EC50=27MUM) and alpha4beta3delta receptors (EC50 (1)=27.5nM; EC50 (2)=29.5MUMU),
indicating that the alpha4 subunit significantly contribute to its potency. The
delta-preferring modulator, DS2 had marginal or no effect at beta3delta and
alpha4beta3 receptors, indicating a role for both the alpha4 and delta subunits
for its potency. Gabazine inhibited GABA-elicited currents at beta3delta
receptors whereas bicuculline activated these receptors. Mutational analysis
verified that GABA binds to the beta3(+)delta(-) interface formed by the beta3
and delta subunits. In conclusion, evaluating agents against binary GABAARs such
as beta3delta and alpha4beta3 receptors enables identification of interfaces that
may contribute to the pharmacology of the more complex ternary alpha4beta3delta
receptors.
PMID- 27181520
TI - Arenediazonium salts as electrophiles for the oxidative addition of gold(i).
AB - Arenediazonium salts generated in situ from anilines have been found for the
first time to efficiently oxidize [AuCl(L)] (L = SMe2, PPh3) complexes in DMSO as
a solvent, under thermal conditions. The structure of the [AuArCl2(L)] complexes
formed has been confirmed by X-ray diffraction analyses. These complexes have
been used as intermediates, in a one pot cross-coupling reaction of anilines with
silver acetylides.
PMID- 27181522
TI - Dynamic allocation and transfer of non-structural carbohydrates, a possible
mechanism for the explosive growth of Moso bamboo (Phyllostachys heterocycla).
AB - Moso bamboo can rapidly complete its growth in both height and diameter within
only 35-40 days after shoot emergence. However, the underlying mechanism for this
"explosive growth" remains poorly understood. We investigated the dynamics of non
structural carbohydrates (NSCs) in shoots and attached mature bamboos over a 20
month period. The results showed that Moso bamboos rapidly completed their height
and diameter growth within 38 days. At the same time, attached mature bamboos
transferred almost all the NSCs of their leaves, branches, and especially trunks
and rhizomes to the "explosively growing" shoots via underground rhizomes for the
structural growth and metabolism of shoots. Approximately 4 months after shoot
emergence, this transfer stopped when the leaves of the young bamboos could
independently provide enough photoassimilates to meet the carbon demands of the
young bamboos. During this period, the NSC content of the leaves, branches,
trunks and rhizomes of mature bamboos declined by 1.5, 23, 28 and 5 fold,
respectively. The trunk contributed the most NSCs to the shoots. Our findings
provide new insight and a possible rational mechanism explaining the "explosive
growth" of Moso bamboo and shed new light on understanding the role of NSCs in
the rapid growth of Moso bamboo.
PMID- 27181521
TI - Raising the avermectins production in Streptomyces avermitilis by utilizing
nanosecond pulsed electric fields (nsPEFs).
AB - Avermectins, a group of anthelmintic and insecticidal agents produced from
Streptomyces avermitilis, are widely used in agricultural, veterinary, and
medical fields. This study presents the first report on the potential of using
nanosecond pulsed electric fields (nsPEFs) to improve avermectin production in S.
avermitilis. The results of colony forming units showed that 20 pulses of nsPEFs
at 10 kV/cm and 20 kV/cm had a significant effect on proliferation, while 100
pulses of nsPEFs at 30 kV/cm exhibited an obvious effect on inhibition of agents.
Ultraviolet spectrophotometry assay revealed that 20 pulses of nsPEFs at 15 kV/cm
increased avermectin production by 42% and reduced the time for reaching a
plateau in fermentation process from 7 days to 5 days. In addition, the decreased
oxidation reduction potential (ORP) and increased temperature of nsPEFs-treated
liquid were evidenced to be closely associated with the improved cell growth and
fermentation efficiency of avermectins in S. avermitilis. More importantly, the
real-time RT-PCR analysis showed that nsPEFs could remarkably enhance the
expression of aveR and malE in S. avermitilis during fermentation, which are
positive regulator for avermectin biosynthesis. Therefore, the nsPEFs technology
presents an alternative strategy to be developed to increase avermectin output in
fermentation industry.
PMID- 27181523
TI - One-pot conversion of disaccharide into 5-hydroxymethylfurfural catalyzed by
imidazole ionic liquid.
AB - Conversion of carbohydrate into 5-hydroxymethylfurfural (5- HMF), a versatile,
key renewable platform compound is regarded as an important transformation in
biomass-derived carbohydrate chemistry. A variety of ILs, not only acidic but
also alkaline ILs, were synthesized and used as catalyst in the production of 5
HMF from disaccharide. Several factors including reaction temperature, IL dosage,
solvent and reaction time,were found to influence the yield of 5-HMF from
cellobiose. Of the ILs tested, hydroxy-functionalized ionic liquid (IL), 1
hydroxyethyl-3-methylimidazolium tetrafluoroborate ([AEMIM]BF4) showed the
highest catalytic activity and selectivity. 5-HMF yield of 68.71% from sucrose
was obtained after 6 hrs at 160 degrees C. At the same condition with cellobiose
as substrate, 5-HMF yield was 24.73%. In addition, 5-HMF also exhibited good
stablity in this reaction system. Moreover, a kinetic analysis was carried out in
both acidic and alkaline IL-catalyzed system, suggesting main side reaction in
the conversion of fructose catalyzed by acidic and alkaline IL was polymerization
of fructose and 5-HMF degradation, respectively.
PMID- 27181524
TI - Pancreatic differentiation of Pdx1-GFP reporter mouse induced pluripotent stem
cells.
AB - Efficient induction of defined lineages in pluripotent stem cells constitutes the
determinant step for the generation of therapeutically relevant replacement cells
to potentially treat a wide range of diseases, including diabetes. Pancreatic
differentiation has remained an important challenge in large part because of the
need to differentiate uncommitted pluripotent stem cells into highly specialized
hormone-secreting cells, which has been shown to require a developmentally
informed step-by-step induction procedure. Here, in the framework of using
induced pluripotent stem cells (iPSCs) to generate pancreatic cells for
pancreatic diseases, we have generated and characterized iPSCs from Pdx1-GFP
transgenic mice. The use of a GFP reporter knocked into the endogenous Pdx1
promoter allowed us to monitor pancreatic induction based on the expression of
Pdx1, a pancreatic master transcription factor, and to isolate a pure Pdx1-GFP+
population for downstream applications. Differentiated cultures timely expressed
markers specific to each stage and end-stage progenies acquired a rather immature
beta-cell phenotype, characterized by polyhormonal expression even among cells
highly expressing the Pdx1-GFP reporter. Our findings highlight the utility of
employing a fluorescent protein reporter under the control of a master
developmental gene in order to devise novel differentiation protocols for
relevant cell types for degenerative diseases such as pancreatic beta cells for
diabetes.
PMID- 27181519
TI - Stress granules at the intersection of autophagy and ALS.
AB - Amyotrophic lateral sclerosis (ALS) is a progressive, fatal disease caused by
loss of upper and lower motor neurons. The majority of ALS cases are classified
as sporadic (80-90%), with the remaining considered familial based on patient
history. The last decade has seen a surge in the identification of ALS-causing
genes - including TARDBP (TDP-43), FUS, MATR3 (Matrin-3), C9ORF72 and several
others - providing important insights into the molecular pathways involved in
pathogenesis. Most of the protein products of ALS-linked genes fall into two
functional categories: RNA-binding/homeostasis and protein-quality control (i.e.
autophagy and proteasome). The RNA-binding proteins tend to be aggregation-prone
with low-complexity domains similar to the prion-forming domains of yeast. Many
also incorporate into stress granules (SGs), which are cytoplasmic
ribonucleoprotein complexes that form in response to cellular stress. Mutant
forms of TDP-43 and FUS perturb SG dynamics, lengthening their cytoplasmic
persistence. Recent evidence suggests that SGs are regulated by the autophagy
pathway, suggesting a unifying connection between many of the ALS-linked genes.
Persistent SGs may give rise to intractable aggregates that disrupt neuronal
homeostasis, thus failure to clear SGs by autophagic processes may promote ALS
pathogenesis. This article is part of a Special Issue entitled SI:Autophagy.
PMID- 27181525
TI - Geometric conductive filament confinement by nanotips for resistive switching of
HfO2-RRAM devices with high performance.
AB - Filament-type HfO2-based RRAM has been considered as one of the most promising
candidates for future non-volatile memories. Further improvement of the
stability, particularly at the "OFF" state, of such devices is mainly hindered by
resistance variation induced by the uncontrolled oxygen vacancies distribution
and filament growth in HfO2 films. We report highly stable endurance of
TiN/Ti/HfO2/Si-tip RRAM devices using a CMOS compatible nanotip method.
Simulations indicate that the nanotip bottom electrode provides a local
confinement for the electrical field and ionic current density; thus a nano
confinement for the oxygen vacancy distribution and nano-filament location is
created by this approach. Conductive atomic force microscopy measurements confirm
that the filaments form only on the nanotip region. Resistance switching by using
pulses shows highly stable endurance for both ON and OFF modes, thanks to the
geometric confinement of the conductive path and filament only above the nanotip.
This nano-engineering approach opens a new pathway to realize forming-free RRAM
devices with improved stability and reliability.
PMID- 27181526
TI - Systematic review of qualitative and quantitative studies on the attitudes and
barriers to percutaneous endoscopic gastrostomy feeding.
AB - BACKGROUND: Percutaneous endoscopic gastrostomy (PEG) is now commonly used in
long-term care and community settings. However, regional variations exist in the
acceptability of PEG tube feeding with long-term nasogastric feeding still
commonplace in many Asian nations. AIMS: To evaluate the evidence relating to
attitudes towards PEG feeding and to determine potential barriers to the
acceptance of PEG tube feeding. METHODS: We searched Ovid MEDLINE, EMBASE, the
Cochrane Library, Web of Science and CINAHL databases. The search for the studies
was performed without restrictions by using the terms "PEG", "percutaneous
endoscopic gastrostomy", "enteral feeding", "attitude", "perception" and
"opinion". Qualitative and quantitative studies were included. Quality of studies
was assessed with the Alberta checklists. RESULTS: From 981 articles, 17 articles
were included in the final analysis. Twelve qualitative and four quantitative
studies were considered of good quality. Seven of the 14 studies reported
positive attitudes towards PEG. Three major themes were identified in terms of
barriers to PEG feeding: lack of choice (poor knowledge, inadequate competency
and skills, insufficient time given, not enough information given, lack of
guidelines or protocol, resource constraints), confronting mortality (choosing
life or death, risk of procedure) and weighing alternatives (adapting lifestyle,
family influences, attitudes of healthcare professionals (HCPs), fear and
anxiety). CONCLUSIONS: Only half of the reviewed studies reported positive
perceptions towards PEG feeding. The themes identified in our systematic review
will guide the development of interventions to alter the current attitudes and
barriers towards PEG tube feeding.
PMID- 27181527
TI - Body mass index and fat mass by skin-fold thickness are good predictors for body
fat composition change by dual-energy x-ray absorptiometry in obesity adolescent.
PMID- 27181528
TI - Increase in Endoscopic and Laparoscopic Surgery Regarding the Therapeutic
Approach of Gastric Cancer Detected by Cancer Screening in Saga Prefecture,
Japan.
AB - Objective Despite recent advances in endoscopic treatment and laparoscopic
surgery for gastric cancers, an increase in the uptake of these therapeutic
approaches has not yet been fully demonstrated. Therefore, the present study
aimed to investigate the change in therapeutic approaches regarding the treatment
of gastric cancers detected by cancer screening in Saga Prefecture, Japan between
April 2002 and March 2011. Methods Gastric cancer screening by X-ray was
performed on 311,074 subjects between April 2002 and March 2011. In total, 534
patients were thereafter diagnosed with gastric cancer. Eighteen subjects were
excluded because precise details of their treatment were not available. To
evaluate the changes in the therapeutic approach, the observation period was
divided into three 3-year intervals: Period I: April 2002 to March 2005; Period
II: April 2005 to March 2008; Period III: April 2008 to March 2011. Results The
use of open laparotomy for the treatment of gastric cancer decreased, and
laparoscopic surgery and endoscopic treatment increased markedly in a time
dependent manner. A 2.5-fold increase in endoscopic treatment, and a 18.4-fold
increase in laparoscopic surgery were observed in Period III compared with Period
I (after adjusting for age and tumor characteristics). Conclusion Endoscopic
treatment and laparoscopic surgery for gastric cancer increased during the
investigation period (2002-2011), although the tumor characteristics of the
gastric cancers detected through cancer screening in Saga Prefecture, Japan did
not show any changes.
PMID- 27181529
TI - Dissociation between Low Vitamin D Level and Hypertension in Coal Mine Workers:
Evidence from the Kailuan Study.
AB - Objective The aim of this study was to evaluate the vitamin D status and the
relationship between the vitamin D status and hypertension in a relatively large
cohort in northern China. Methods This study was a part of the Kailuan study,
consisting of 3,788 coal mine workers (including 2,532 underground workers and
1,256 surface workers) who received periodic health examinations between
September 13, 2012 and December 24, 2012. Information on demographic factors,
personal history and medical history were collected. The height, weight, blood
pressure and serum25-hydroxyvitamin D [25(OH)D] level of each patient were
measured. Results The mean 25(OH)D level in this cohort was 21.73+/-15.82 nmol/L.
The number (%) of patients with vitamin D deficiency, insufficiency, inadequacy
and sufficiency were 2,509 (66.24%), 1,051 (27.75%), 201 (5.31%) and 27 (0.71%),
respectively. In all the participants, after adjusting for the age, salt intake,
physical activity, smoking status, alcohol drinking status, work type, work
environment, body mass index, diabetes and hyperlipidemia, the odds ratios for
hypertension with 25(OH)D level >=50, 25-50 and <25 nmol/L were 1.00 (reference),
1.44 (95%CI, 0.99-2.11) and 1.39 (95%CI, 0.97-1.99), respectively. Logistic
regression models to evaluate the odds ratios and 95% CIs of hypertension for
each quintile of the 25(OH)D level did not determine significant associations
between the vitamin D status and hypertension. No significant associations were
found in the underground workers or in the surface workers. Conclusion There was
a high proportion of coal mine workers with vitamin D deficiency and
insufficiency in Kailuan. However, no significant association between low vitamin
D levels and hypertension was found in this cohort. Further investigations are
needed to determine the relationship between vitamin D levels and hypertension.
PMID- 27181530
TI - Interrelationship between the Myocardial Mass, Fibrosis, BNP, and Clinical
Outcomes in Hypertrophic Cardiomyopathy.
AB - Objective Increased left ventricular mass (LVM) and LV fibrosis mass (LVFM) are
characteristics of hypertrophic cardiomyopathy (HCM). Additionally, a substantial
increase in the plasma B-type natriuretic peptide (BNP) level is observed.
Therefore, we investigated the interrelationship and clinical significances of
these parameters in a HCM cohort that underwent cardiac MRI (CMR). Methods
Patients with HCM (n=109) receiving regular outpatient treatment underwent CMR
and follow-up through 2015 from CMR examinations. The clinical outcome measures
were all-cause mortality, admission for worsening heart failure, and ventricular
tachycardia/fibrillation. Results The baseline body mass index (BMI), LV outflow
tract (LVOT) obstruction, New York Heart Association (NYHA) class, and increased
left atrial dimension (LAD) index were associated with the plasma BNP level. In
the CMR analysis, LVM and LVFM indices significantly correlated with the BNP
level (r=0.422 and 0.368, respectively), which were independent determinants
according to a multivariate analysis (p=0.009 and 0.023, respectively). A Kaplan
Meier analysis during a median follow-up of 19.4 months showed that the baseline
LVM or LVFM index was not associated with the clinical outcomes. However, the
baseline BNP level was significantly associated with them (p<0.001). In addition,
a multivariate Cox proportional hazard analysis showed that plasma BNP was an
independent predictor for the clinical outcomes after adjusting for age, sex,
LVM, and LVFM. Conclusion The LVM and LVFM are determinants of the BNP level
independent of the BMI, LVOT obstruction, LAD, and NYHA class in patients with
HCM. However, plasma BNP may be a more sensitive integrated-marker for the
clinical outcomes than LVM or LVFM.
PMID- 27181531
TI - Sex Differences in the Association between the Eicosapentaenoic Acid/Arachidonic
Acid Ratio and the Visceral Fat Area among Patients with Type 2 Diabetes.
AB - Objective To examine the serum levels of eicosapentaenoic acid (EPA) and the
ratios of docosahexaenoic acid (DHA), and the EPA/arachidonic acid (AA) and
DHA/AA and to clarify their association with the areas of subcutaneous and
visceral fat separately by sex among patients with type 2 diabetes. Methods The
study participants included 118 men and 96 women who were hospitalized to receive
treatment for type 2 diabetes. We examined the serum levels of EPA and DHA and
the ratios of EPA/AA and DHA/AA, and analyzed their association with the total
fat area (TFA), subcutaneous fat area (SFA), and visceral fat area (VFA), as
measured by computed tomography. Results The mean age of the study participants
was 62.6+/-13.6 years. The mean HbA1c level was 9.37+/-2.27%. Among men, a
multivariate regression analysis adjusted for age and BMI, revealed a significant
negative association between VFA and the EPA/AA ratio. When the multivariate
regression analysis was adjusted for age, BMI, and HbA1c level, VFA was still
found to be significantly negatively associated with the EPA/AA ratio. Although a
crude analysis revealed a significant negative association between SFA and the
EPA/AA ratio in women, no association was observed in multivariate regression
analyses. Conclusion These results suggest the possibility that EPA inhibits the
accumulation of visceral fat in men. Furthermore, there appear to be marked
differences in the relationships between EPA and DHA and visceral fat
accumulation.
PMID- 27181532
TI - Long-term Efficacy and Safety of Sitagliptin in Elderly Patients with Type 2
Diabetes Mellitus.
AB - Objective We herein conducted a retrospective study to evaluate the long-term
efficacy and safety of sitagliptin treatment in elderly patients with type 2
diabetes mellitus. Methods We analyzed the changes in glycemic control in 112
Japanese type 2 diabetes patients over 65 years of age treated with 50 mg/day
sitagliptin. Hemoglobin A1c (HbA1c) levels, liver and kidney functions, and usage
of hypoglycemic agents were recorded for 24 months. Results HbA1c levels were
significantly decreased, and the significance of HbA1c reduction was maintained
during the observation period [from 7.7+/-1.1% to 7.2+/-0.7% (p<0.001) at the end
of observational period]. The %change in HbA1c levels was significantly and
negatively correlated with the baseline HbA1c levels (r=-0.51, p<0.001), but not
with age, duration of diabetes, or the estimated glomerular filtration rate
(eGFR). No patient experienced severe hypoglycemia episodes, and aspartate
transaminase, alanine transaminase, gamma-glutamyl transpeptidase, and the eGFR
remained unchanged. The dose of sulfonylurea was finally decreased in 72% of
patients treated with sulfonylurea. Conclusion Sitagliptin treatment continually
decreases the HbA1c level for 24 months and is useful to reduce the dose of
sulfonylurea in elderly patients with type 2 diabetes.
PMID- 27181533
TI - The Diagnostic Value of Circulating Brain-specific MicroRNAs for Ischemic Stroke.
AB - Objective Circulating microRNAs have been recognized as promising biomarkers for
various diseases. The aim of the present study was to explore the potential role
of circulating miR-107, miR-128b and miR-153 as non-invasive biomarkers in the
diagnosis of ischemia stroke. Methods One hundred and fourteen ischemic stroke
patients (61+/-11.3 years old) and 58 healthy volunteers (56+/-3.9 years old)
matched for age and sex were enrolled in this study. Total RNA was isolated from
plasma with TRIzol reagent. The circulating microRNAs levels were measured by
quantitative real-time polymerase chain reaction. Results The circulating levels
of miR-107, miR-128b and miR-153 significantly increased 2.78-, 2.13- and 1.83
fold in ischemia stroke patients in comparison to the healthy volunteers,
respectively. Receiver operating characteristic (ROC) curves were analyzed using
the SPSS software program and revealed the areas under the curve for circulating
miR-107, miR-128b and miR-153 to be 0.97, 0.903 and 0.893 in ischemia stroke
patients in comparison to healthy volunteers, respectively. The levels of
circulating miR-107, miR-128b and miR-153 therefore positively correlated with
the severity of stroke as defined by NIHSS classes. Conclusion Our results
suggest that circulating miR-107, miR-128b and miR-153 might be used as potential
novel non-invasive biomarkers for the diagnosis of ischemia stroke. However,
future prospective trials in large-sized patient cohorts are needed before
drawing any definitive conclusions.
PMID- 27181534
TI - Cessation of In-line Filters in Central Venous Catheters Does Not Significantly
Influence the Incidence of Bloodstream Infections and Mortality in a Hospital
Hematological Ward.
AB - Objective The use of intravenous in-line filters is effective for the mechanical
removal of large particles, precipitates, bacteria, fungi, large lipid globules,
and air. However, the routine use of in-line filters remains controversial. Many
patients with hematological diseases frequently suffer from bloodstream
infections (BSIs) with fatal outcomes. Methods The year before cessation of an in
line filter was defined as the "filter period" and the year after its cessation
was defined as the "non-filter period." The number of central line-associated
bloodstream infections (CLABSIs), which are defined through surveillance, the
catheter utilization rate, the number of patient deaths within 7 days after
removal of the central venous catheters (CVCs), and the overall survival rate
following CVC insertion were measured. Results During both periods, 84 patients
had a total of 140 CVCs with a total number of catheter days of 3,407. There were
10 CVCs with CLABSIs, and the overall CLABSI rate was 2.9/1,000 catheter days,
including 4 CVCs with CLABSIs (2.5/1,000 catheter days) during the filter period
and 6 CVCs with CLABSIs (3.3/1,000 catheter days) during the non-filter period.
The CLABSI rate, catheter utilization rate, and mortality did not differ
significantly between the two periods. The only independent variable that was
found to be significantly associated with the development of CLABSIs was a
neutrophil count of <500*10(6)/L (p<0.05). Conclusion Our study revealed that the
cessation of in-line filters from CVCs does not significantly influence the
incidence of BSIs and mortality in patients with hematological disease. To
confirm our results, however, a large-scale randomized controlled study is
warranted.
PMID- 27181535
TI - Over-the-counter Drug Induced Autoimmune Hepatitis.
AB - A 64-year-old man seeking treatment for a common cold was admitted to our
hospital due to symptoms of general fatigue and liver dysfunction. A thorough
history review revealed that the patient had recently started taking an over-the
counter (OTC) drug. Drug-induced lymphocyte stimulation tests were positive.
Serum markers for autoimmune hepatitis (AIH) were particularly elevated. Liver
biopsy revealed spotty necrosis and ceroid-pigmented Kupffer cells and piecemeal
necrosis with multiple plasma cells. He responded to corticosteroids, thus
suggesting the presence of an immune-mediated component associated with the liver
injury. Liver injury after using OTCs should be included in the differential
diagnosis for chronic hepatitis with features of AIH.
PMID- 27181536
TI - Internal Mammary Artery Injury Related to Chest Compressions in a Patient with
Post-cardiac Arrest Syndrome.
AB - Although high-quality cardiopulmonary resuscitation (CPR) is essential for
survival from cardiac arrest, chest compressions can also sometimes lead to life
threatening chest injuries. In addition, post-cardiac arrest syndrome patients
often have coagulopathy due to therapeutic hypothermia, mechanical hemodynamic
support, or both. Therefore, when progressive anemia and prolonged shock are
detected in patients who have received CPR, identifying the cause of hemorrhagic
shock is crucial. We herein present an interesting case of hemorrhagic shock due
to an internal mammary artery injury secondary to CPR that was detected by
computed tomography and invasive angiography.
PMID- 27181537
TI - Ruptured Right Sinus of Valsalva Aneurysm Caused by Suspected Takayasu's
Arteritis.
AB - A sinus of Valsalva aneurysm (SVA) is a rare aortic anomaly. The most common
complication is a rupture into the right ventricle and atrium. An SVA rupture
into the left ventricle is a rare event. A 42-year-old man visited an outpatient
clinic due to worsening exertional dyspnea. A loud to-and-fro heart murmur was
detected, and echocardiography revealed a right SVA that had ruptured into the
left ventricle, inducing acute heart failure. Computed tomography imaging allowed
us to determine that the right SVA had been caused by asymptomatic Takayasu's
arteritis. The patient was treated with prednisolone and the right SVA rupture
was surgically repaired.
PMID- 27181539
TI - Late Metabolic Acidosis Caused by Renal Tubular Acidosis in Acute Salicylate
Poisoning.
AB - A 16-year-old man was transferred to our emergency department seven hours after
ingesting 486 aspirin tablets. His blood salicylate level was 83.7 mg/dL. He was
treated with fluid resuscitation and sodium bicarbonate infusion, and his
condition gradually improved, with a decline in the blood salicylate level.
However, eight days after admission, he again reported nausea, a venous blood gas
revealed metabolic acidosis with a normal anion gap. The blood salicylate level
was undetectable, and a urinalysis showed glycosuria, proteinuria and elevated
beta-2 microglobulin and n-acetyl glucosamine levels, with a normal urinary pH
despite the acidosis. We diagnosed him with relapse of metabolic acidosis caused
by renal tubular acidosis.
PMID- 27181538
TI - Hypoglycemia Associated with a Gastrointestinal Stromal Tumor Producing High
molecular-weight Insulin Growth Factor II: A Case Report and Literature Review.
AB - A 61-year-old woman with multiple metastatic and unresectable gastrointestinal
stromal tumors (GISTs) was referred for investigation of refractory hypoglycemia
that developed four months before this hospitalization. On admission, her fasting
plasma glucose was 38 mg/dL despite 10% glucose infusion. Investigations revealed
that her serum C-peptide, insulin and growth hormone levels were suppressed, and
big insulin-like growth factor II was observed. She was diagnosed with non-islet
cell tumor hypoglycemia, which resolved after glucocorticoid treatment.
Clinicians should thus be vigilant to identify hypoglycemia in patients with
large metastatic GISTs because glucocorticoid therapy is useful even if the GIST
is inoperable.
PMID- 27181540
TI - Light Chain Deposition Disease in an Older Adult Patient Successfully Treated
with Long-term Administration of Bortezomib, Melphalan and Prednisone.
AB - A 70-year-old woman was admitted to our hospital because of fatigue and renal
dysfunction and was diagnosed with light chain deposition disease (LCDD) with
multiple organ involvement (kidney, thyroid gland, heart and eyes). After
chemotherapy with bortezomib, cyclophosphamide and dexamethasone, hepatobiliary
enzyme levels increased abruptly. A liver biopsy showed light chain deposition in
Disse spaces. After two years of treatment with bortezomib, melphalan and
prednisone (VMP) administered at shorter intervals relative to regular cycles,
the patient showed a hematological and organ response. This case indicates that a
relatively low dose intensity VMP regimen is preferable for elderly patients with
LCDD with multiple organ involvement.
PMID- 27181541
TI - Infected Aneurysm after Endoscopic Submucosal Dissection.
AB - A 79-year-old man on hemodialysis was hospitalized for further investigation.
Early gastric cancer was diagnosed by gastrointestinal endoscopy and endoscopic
submucosal dissection (ESD) was performed. Fever and abdominal pain thereafter
developed, and a severe inflammatory response was observed on a blood test.
Contrast computed tomography (CT) showed ulcer-like projections and soft tissue
surrounding the aorta, from the celiac to left renal artery. An infected aneurysm
was diagnosed. Although infected aneurysms developing after laparoscopic
cholecystectomy or biopsy of contiguous esophageal duplication cyst have been
reported, those developing after ESD have not. When fever and abdominal pain
develop after ESD, an infected aneurysm should be considered and contrast CT
performed.
PMID- 27181542
TI - Concomitant Systemic Sclerosis and Sarcoidosis with Combined Pulmonary Fibrosis
and Emphysema.
AB - A 75-year-old woman was referred to our hospital with the chief symptom of
dyspnea. Chest computed tomography revealed lymphadenopathy, emphysema, and
honeycombing. Sarcoidosis was diagnosed due to an elevated serum ACE level and
the findings of a lymph-node biopsy. Her smoking history, radiography findings,
and impaired gas exchange indicated combined pulmonary fibrosis and emphysema
(CPFE). Raynaud's phenomenon gradually appeared, and we also diagnosed her with
systemic sclerosis (SSc). Right heart catheterization revealed pulmonary
hypertension (PH). Smoking was assumed to be the chief cause, but SSc may also
induce the development of CPFE. Severe PH induced by CPFE or SSc was present, but
the influence of sarcoidosis also could not be ignored.
PMID- 27181543
TI - Small-cell Lung Cancer in a Young Adult Nonsmoking Patient with Ectopic
Adrenocorticotropin (ACTH) Production.
AB - Cushing's syndrome due to young small-cell lung cancer (SCLC) is recognized as
being extremely rare. We herein present the case of a 35-year-old nonsmoking man
who presented with thirst and polyuria. Laboratory examinations showed
hyperglycemia, hypokalemia and liver enzyme elevation. Imaging examinations
revealed the presence of multiple liver tumors and lymph node swelling. The
levels of serum neuroendocrine tumor markers were elevated. The patient was
diagnosed with SCLC based on the pathological examination of a biopsy specimen
from the right supraclavicular lymph node. The physical findings, including
proximal myopathy, truncal obesity and pigmentation suggested high levels of
glucocorticoids. An immunohistochemical examination of the tumor showed that it
was positive for adrenocorticotropin (ACTH). An endocrinological investigation
allowed for the definitive diagnosis of SCLC with ectopic ACTH production.
PMID- 27181544
TI - Elevation of the Hepatitis B Virus DNA during the Treatment of Polycythemia Vera
with the JAK Kinase Inhibitor Ruxolitinib.
AB - Ruxolitinib is a useful treatment option for myelofibrosis since it effectively
resolves splenomegaly and constitutional symptoms. After the widespread use of
ruxolitinib outside of clinical trials, a series of case reports indicated a
potential risk of ruxolitinib-associated opportunistic infections, including the
reactivation of the hepatitis B virus (HBV). We herein report the case of a
polycythemia vera patient who showed an elevation of HBV-DNA viral DNA with an
elevation of aspartate aminotransferase (AST) and alanine aminotransferase (ALT)
after the initiation of ruxolitinib. Anti-viral therapy with entecavir was
immediately started and the HBV viral load thereafter decreased with an
improvement of the liver function. Physicians should thus be aware of the
potential risk of ruxolitinib-associated HBV reactivation.
PMID- 27181545
TI - Recurrence of Psoriasis Vulgaris Accompanied by Treatment with C-C Chemokine
Receptor Type 4 (CCR4) Antibody (Mogamulizumab) Therapies in a Patient with Adult
T cell Leukemia/ Lymphoma: Insight into Autoinflammatory Diseases.
AB - Adult T cell leukemia / lymphoma (ATL) is one of the most aggressive
hematological malignancies caused by human T-lymphotropic virus type-I (HTLV-1).
Mogamulizumab is a new defucosylated humanized monoclonal antibody agent which
targets C-C chemokine receptor type 4 (CCR4) expressed occasionally on the
surface of ATL cells. However, adverse events such as drug eruptions have also
been highlighted, at least in part, via the dysfunction of regulatory T cells
(Tregs). We herein report a pronounced recurrence of systemic psoriasis vulgaris
accompanied by the treatment of mogamulizumab in a patient with ATL. Pathological
examinations may suggest a mechanistic link between the recurrence of
autoinflammatory diseases and anti-CCR4 antibody therapies.
PMID- 27181546
TI - Anti-myelin Oligodendrocyte Glycoprotein Antibodies in a Patient with Recurrent
Optic Neuritis Involving the Cerebral White Matter and Brainstem.
AB - We herein report a case of recurrent optic neuritis involving the cerebral white
matter and brainstem in a patient positive for anti-myelin oligodendrocyte
glycoprotein (MOG) antibodies. The patient had an initial attack at 24 years of
age. Optic neuritis recurred over 14 years, and she was admitted to our neurology
unit at 38 years of age. She showed bilateral optic neuritis, high-intensity
lesions in the cerebral white matter and brainstem on T2 MRI with contrast
enhancement, and elevated serum anti-MOG antibodies. Immunotherapy improved the
MRI lesions. Recurrent optic neuritis in patients with anti-MOG antibodies may
thus involve the cerebral white matter and brainstem.
PMID- 27181547
TI - IgG4-Related Sialoadenitis with a Skin Lesion and Multiple Mononeuropathies
Suggesting Coexistent Cryoglobulinemic Vasculitis.
AB - A 68-year-old man was admitted because of weakness of the left leg, dysesthesiae
of the extremities and bilateral lower extremity purpura. A neurological
examination showed mononeuritis multiplex with laboratory evidence of
hypocomplementemia, cryoglobulinemia and leukocytoclastic vasculitis in the
biopsy of a skin specimen. The patient also exhibited bilateral submandibular
gland swelling, elevated serum IgG4 levels and infiltration of a large number of
IgG4-positive plasma cells in the submandibular glands. These findings were
consistent with both cryoglobulinemic vasculitis and IgG4-related disease. The
administration of oral prednisolone (1 mg/kg/day) resolved the neurological
manifestations and the swelling of the submandibular glands and cryoglobulinemia.
PMID- 27181548
TI - Relapsing Polychondritis Complicated by Vasculitis of the Omentum.
AB - A 78-year-old man presented with bilateral auricular and nasal chondritis and an
inner ear disorder. Relapsing polychondritis (RPC) was diagnosed and
corticosteroid therapy was initiated. Two years later, he developed abdominal
pain and a fever. A contrast-enhanced computed tomography scan showed enhancement
of the mesentery and massive ascites. The patient underwent emergency laparotomy,
which revealed inflammation and thickening of the omentum. A microscopic
examination of the omentum disclosed vasculitis, and corticosteroid and
cyclophosphamide pulse therapies were administered. We herein report the first
case of RPC complicated by pathologically proven vasculitis of the omentum,
clearly indicating an association between the pathogenesis of these two
conditions.
PMID- 27181549
TI - Acute Tubulointerstitial Nephritis Associated with Infliximab in a Patient with
Crohn's Disease.
AB - We report the findings of a 46-year-old man, who presented with fever and renal
dysfunction while undergoing treatment for Crohn's disease with infliximab (IFX).
Remittent fever and renal dysfunction with urinary casts developed and lasted for
3 weeks without deterioration of Crohn's disease. Renal biopsy revealed acute
tubulointerstitial nephritis (ATIN). After the discontinuation of IFX, his fever
and renal abnormalities resolved. We herein report the first known case of ATIN
associated with IFX.
PMID- 27181550
TI - Hepatitis B Virus Reactivation Following Salazosulfapyridine Monotherapy in a
Patient with Rheumatoid Arthritis.
AB - A 72-year-old man was diagnosed with rheumatoid arthritis (RA) and prior
hepatitis B virus (HBV) infection. He began treatment with salazosulfapyridine
(SASP). Several months later, his blood tests reflected a slightly elevated liver
function. Serum tests were positive for hepatitis B surface antigen and HBV-DNA,
and the diagnosis of de novo HBV hepatitis was made. A genetic analysis showed
that he had polymorphisms of ABCG2 and NAT2, which could lead to high plasma
concentrations of SASP and sulfapyridine. To the best of our knowledge, this is
the first report of de novo hepatitis developing during SASP monotherapy for RA.
PMID- 27181551
TI - Successful Treatment with Adalimumab for Intestinal Behcet's Disease during
Pregnancy.
AB - A 36-year-old Japanese woman with intestinal Behcet's disease was admitted to our
hospital due to a recurrent ileocecal ulcer. Because infliximab (IFX) showed
secondary failure, IFX was switched to adalimumab (ADA). After the third
injection of ADA, she was unexpectedly 4-weeks pregnant. ADA was continued until
20 gestational weeks. Remission of the disease activity was maintained during
pregnancy, and the birth was uneventful. The ileocecal ulcer disappeared after
her delivery. ADA was detected in the umbilical blood after 119 days from the
last infusion. The placental transition and timing of neonatal vaccination should
be considered in cases of pregnancy with TNF antibody therapy.
PMID- 27181552
TI - A False Positive Dengue Fever Rapid Diagnostic Test Result in a Case of Acute
Parvovirus B19 Infection.
AB - An outbreak of dengue fever occurred in Japan in August 2014. We herein report
the case of a 63-year-old man who presented with a persistent fever in September
2014. Acute parvovirus B19 infection led to a false positive finding of dengue
fever on a rapid diagnostic test (Panbio Dengue Duo Cassette(TM)). To the best of
our knowledge, there are no previous reports of a false positive result for
dengue IgM with the dengue rapid diagnostic test. We believe that epidemiological
information on the prevalence of parvovirus B19 is useful for guiding the
interpretation of a positive result with the dengue rapid diagnostic test.
PMID- 27181553
TI - CD8 Encephalitis Caused by Persistently Detectable Drug-resistant HIV.
AB - We herein report a 52-year-old man infected with human immunodeficiency virus
(HIV) who was referred to our hospital due to the development of severe
neurocognitive disorders and bilateral leukoencephalopathy. He has been treated
with antiretroviral agents for 17 years, but low-level viremia has been detected
consistently prior to admission. Drug resistant testing of the serum and the
cerebrospinal fluid (CSF) both demonstrated a M184V mutation. A brain biopsy
revealed perivascular CD8(+) T-lymphocyte infiltration, leading to the diagnosis
of CD8 encephalitis. The clinical symptoms improved drastically after changing to
a nucleoside reverse transcriptase inhibitor sparing regimen, which subsequently
decreased the HIV viral load to an undetectable level in both the serum and CSF.
PMID- 27181554
TI - Overlap of IgG4-related Disease and Primary Biliary Cirrhosis Complicated with
Autoimmune Thrombocytopenia.
AB - A 63-year-old woman was referred to Fukushima Red Cross Hospital with an
enlargement of the left submandibular gland and subcutaneous bleeding in the
chest and legs. A diffuse enlargement of the pancreas was also detected by
abdominal computed tomography, and laboratory data showed severe
thrombocytopenia. She was diagnosed with IgG4-related disease (IgG4-RD)
complicated with autoimmune thrombocytopenia and was treated with
methylprednisolone, after which the number of platelets favorably increased.
Further investigation for liver dysfunction revealed underlying primary biliary
cirrhosis (PBC). We herein report a rare case of IgG4-RD overlapping PBC
complicated with autoimmune thrombocytopenia.
PMID- 27181556
TI - Pulmonary Artery Intimal Sarcoma Invading the Left Lung.
PMID- 27181555
TI - Autoimmune Limbic Encephalitis and Syndrome of Inappropriate Antidiuretic Hormone
Secretion Associated with Lamotrigine-induced Drug Rash with Eosinophilia and
Systemic Symptoms (DRESS) Syndrome.
AB - Drug rash with eosinophilia and systemic symptoms (DRESS) is a severe drug
hypersensitivity reaction characterized by rash, fever and multi-organ failure.
Limbic encephalitis (LE) is a rare disorder characterized by cognitive
dysfunction with memory disturbance, seizures and psychiatric symptoms. We herein
present an unusual case of DRESS syndrome due to lamotrigine with reactivation of
Epstein-Barr virus, which developed autoimmune LE and syndrome of inappropriate
antidiuretic hormone secretion. Discontinuation of lamotrigine, administration of
methylprednisolone and intravenous immunoglobulin led to improvement. The LE in
this case might have been caused by an autoimmune inflammatory mechanism
associated with DRESS syndrome.
PMID- 27181557
TI - Lipoid Pneumonia with Partial Anomalous Pulmonary Venous Return.
PMID- 27181558
TI - Fever and Pulmonary Shadows in a Young Woman.
PMID- 27181559
TI - Intraorbital Granuloma in Granulomatosis with Polyangiitis.
PMID- 27181560
TI - Should Inflammatory Markers Be Used in the Diagnosis of a Fever of Unknown
Origin?
PMID- 27181561
TI - Should Inflammatory Markers Be Used in the Diagnosis of a Fever of Unknown
Origin?
PMID- 27181562
TI - The protozoan nucleus.
AB - The nucleus is arguably the defining characteristic of eukaryotes, distinguishing
their cell organisation from both bacteria and archaea. Though the evolutionary
history of the nucleus remains the subject of debate, its emergence differs from
several other eukaryotic organelles in that it appears not to have evolved
through symbiosis, but by cell membrane elaboration from an archaeal ancestor.
Evolution of the nucleus has been accompanied by elaboration of nuclear
structures that are intimately linked with most aspects of nuclear genome
function, including chromosome organisation, DNA maintenance, replication and
segregation, and gene expression controls. Here we discuss the complexity of the
nucleus and its substructures in protozoan eukaryotes, with a particular emphasis
on divergent aspects in eukaryotic parasites, which shed light on nuclear
function throughout eukaryotes and reveal specialisations that underpin pathogen
biology.
PMID- 27181563
TI - Dietary patterns and sociodemographic factors: considerations for nutrition
research.
PMID- 27181564
TI - Regression coefficient-based scoring system should be used to assign weights to
the risk index.
AB - OBJECTIVE: Some previously developed risk scores contained a mathematical error
in their construction: risk ratios were added to derive weights to construct a
summary risk score. This study demonstrates the mathematical error and derived
different versions of the Charlson comorbidity score (CCS) using regression
coefficient-based and risk ratio-based scoring systems to further demonstrate the
effects of incorrect weighting on performance in predicting mortality. STUDY
DESIGN AND SETTING: This retrospective cohort study included elderly people from
the Clinical Practice Research Datalink. Cox proportional hazards regression
models were constructed for time to 1-year mortality. Weights were assigned to 17
comorbidities using regression coefficient-based and risk ratio-based scoring
systems. Different versions of CCS were compared using Akaike information
criteria (AIC), McFadden's adjusted R2, and net reclassification improvement
(NRI). RESULTS: Regression coefficient-based models (Beta, Beta10/integer,
Beta/Schneeweiss, Beta/Sullivan) had lower AIC and higher R2 compared to risk
ratio-based models (HR/Charlson, HR/Johnson). Regression coefficient-based CCS
reclassified more number of people into the correct strata (NRI range, 9.02
10.04) compared to risk ratio-based CCS (NRI range, 8.14-8.22). CONCLUSION:
Previously developed risk scores contained an error in their construction adding
ratios instead of multiplying them. Furthermore, as demonstrated here, adding
ratios fail to even work adequately from a practical standpoint. CCS derived
using regression coefficients performed slightly better than in fitting the data
compared to risk ratio-based scoring systems. Researchers should use a regression
coefficient-based scoring system to develop a risk index, which is theoretically
correct.
PMID- 27181565
TI - Coronary Artery Bypass Grafting Versus Drug-Eluting Stents Implantation for
Previous Myocardial Infarction.
AB - Patients with previous myocardial infarction (MI) have a high risk of recurrence.
Little is known about the effectiveness of coronary artery bypass grafting (CABG)
versus percutaneous coronary intervention (PCI) with drug-eluting stents (DES) in
patients with a previous MI and left main or multivessel coronary artery disease
(CAD). We compared long-term outcomes of these 2 strategies in 672 patients with
previous MI and left main or multivessel CAD, who underwent CABG (n = 349) or PCI
with DES (n = 323). A pooled database from the BEST, PRECOMBAT, and SYNTAX trials
was analyzed, and the primary outcome was a composite of death from any causes,
MI, or stroke. Baseline characteristics were similar between the 2 groups. The
median follow-up duration was 59.8 months. The rate of the primary outcome was
significantly lower with CABG than PCI (hazard ratio [HR] 0.59, 95% CI 0.42 to
0.82; p = 0.002). This difference was driven by a marked reduction in the rate of
MI (HR 0.29, 95% CI 0.16 to 0.55, p <0.001). The benefit of CABG over PCI was
consistent across all major subgroups. The individual risks of death from any
causes or stroke were comparable between the 2 groups. Conversely, the rate of
repeat revascularization was significantly lower with CABG than PCI (HR 0.34, 95%
CI 0.22 to 0.51, p <0.001). In conclusion, in the patients with previous MI and
left main or multivessel CAD, compared to PCI with DES, CABG significantly
reduces the risk of death from any causes, MI, or stroke.
PMID- 27181566
TI - Safety and Efficacy of Bivalirudin in Patients With Diabetes Mellitus Undergoing
Percutaneous Coronary Intervention: From the REPLACE-2, ACUITY and HORIZONS-AMI
Trials.
AB - Optimal antithrombotic pharmacotherapy in patients affected by diabetes mellitus
(DM) undergoing percutaneous coronary intervention is unclear. We sought to
evaluate the safety and efficacy of bivalirudin compared with heparin plus a
glycoprotein IIb/IIIa inhibitor (GPI) in patients with DM undergoing percutaneous
coronary intervention. We pooled patient-level data from the Randomized
Evaluation of PCI Linking Angiomax to Reduced Clinical Events-2, Acute
Catheterization and Urgent Intervention Triage strategy, and Harmonizing Outcomes
with Revascularization and Stents in Acute Myocardial Infarction trials. The
primary efficacy end point was the incidence of major adverse cardiac events,
defined as the composite of death, myocardial infarction, or unplanned
revascularization at 30 days. The primary safety end point was the incidence of
30-day non-coronary artery bypass graft-related major bleeding. All-cause
mortality was reported at 30 days and 1 year. Of the 14,737 patients included in
the pooled database, 3,641 (24.7%) had DM. Patients with DM had higher rates of
30-day major bleeding and 30-day and 1-year all-cause mortality. There were no
differences in 30-day major adverse cardiac events between bivalirudin versus
heparin plus GPI in patients with DM (6.9% vs 7.8%; relative risk [RR] 0.89, 95%
CI 0.71 to 1.12) or without DM (7.5% vs 6.7%; RR 1.11, 95% CI 0.97 to 1.27;
pinteraction = 0.10). Bivalirudin treatment was associated with reduced risk of
major bleeding in similar magnitude in patients with DM (4.3% vs 6.6% RR 0.68,
95% CI 0.51 to 0.89) or without DM (3.2% vs 6.1%; RR 0.51, 95% CI 0.43 to 0.61;
pinteraction = 0.15). The hemorrhagic benefit of bivalirudin was noted for both
access site- and non-access site-related bleeding. Overall, bivalirudin treatment
was associated with a significant 1-year mortality benefit (2.7% vs 3.3%; RR
0.82, 95% CI 0.68 to 0.98; p = 0.03), which was consistent between patients with
or without DM (pinteraction = 0.30). In conclusion, compared with heparin plus
GPI, bivalirudin was associated with similar 30-day antithrombotic efficacy and
better 30-day freedom from bleeding and 1-year mortality, irrespective of
diabetic status.
PMID- 27181573
TI - Postoperative Decrease in Platelet Counts Is Associated with Delayed Liver
Function Recovery and Complications after Partial Hepatectomy.
AB - Peripheral platelet counts decrease after partial hepatectomy; however, the
implications of this phenomenon are unclear. We assessed if the observed decrease
in platelet counts was associated with postoperative liver function and morbidity
(complications grade <= II according to the Clavien-Dindo classification). We
enrolled 216 consecutive patients who underwent partial hepatectomy for primary
liver cancers, metastatic liver cancers, benign tumors, and donor hepatectomy. We
classified patients as either low or high platelet percentage (postoperative
platelet count/preoperative platelet count) using the optimal cutoff value
calculated by a receiver operating characteristic (ROC) curve analysis, and
analyzed risk factors for delayed liver functional recovery and morbidity after
hepatectomy. Delayed liver function recovery and morbidity were significantly
correlated with the lowest value of platelet percentage based on ROC analysis.
Using a cutoff value of 60% acquired by ROC analysis, univariate and multivariate
analysis determined that postoperative lowest platelet percentage <= 60% was
identified as an independent risk factor of delayed liver function recovery (odds
ratio (OR) 6.85; P < 0.01) and morbidity (OR, 4.90; P < 0.01). Furthermore,
patients with the lowest platelet percentage <= 60% had decreased postoperative
prothrombin time ratio and serum albumin level and increased serum bilirubin
level when compared with patients with platelet percentage >= 61%. A greater than
40% decrease in platelet count after partial hepatectomy was an independent risk
factor for delayed liver function recovery and postoperative morbidity. In
conclusion, the decrease in platelet counts is an early marker to predict the
liver function recovery and complications after hepatectomy.
PMID- 27181567
TI - Ideal glycated hemoglobin cut-off points for screening diabetes and prediabetes
in a Chinese population.
AB - AIMS/INTRODUCTION: The aims of the present study were to evaluate the diagnostic
value of fasting plasma glucose, 2-h postload plasma glucose and glycosylated
hemoglobin (HbA1c) measurements in the screening of diabetes and prediabetes, and
to determine the cut-off point of HbA1c in the diagnosis of diabetes and
prediabetes in a Chinese population. MATERIALS AND METHODS: A total of 7,611
individuals aged 40 years or older, who did not have a prior history of diabetes,
were randomly selected in the Changchun area. For each participant, a
questionnaire was completed, and a physical examination and an oral glucose
tolerance test were carried out. The values of fasting plasma glucose, 2-h
postload plasma glucose and HbA1c were compared by area under the receiver
operating characteristic curves. The Youden index was used to identify the
optimal cut-off point of HbA1c in the diagnosis of diabetes and prediabetes.
RESULTS: The prevalence of newly diagnosed diabetes and prediabetes was 12.71%
and 29.39%, respectively. In participants with newly diagnosed diabetes, the area
under the receiver operating characteristic curve was 0.8368 for fasting plasma
glucose, 0.9330 for 2-h postload plasma glucose and 0.8064 for HbA1c; whereas for
prediabetes, these values were 0.8022, 0.9288 and 0.6895, respectively. In
addition, an HbA1c threshold of 6.3% showed the highest Youden index (0.4799) for
detecting diabetes; furthermore, an HbA1c threshold of 5.8% showed the highest
Youden index (0.2866) for detecting prediabetes. CONCLUSIONS: HbA1c >=6.3% (45
mmol/mol) and between 5.8% and 6.2% (40-44 mmol/mol) were the optimal cut-off
values for the diagnosis of diabetes and prediabetes, respectively, in a Chinese
population.
PMID- 27181574
TI - A New Method and Mass Spectrometer Design for TOF-SIMS Parallel Imaging MS/MS.
AB - We report a method for the unambiguous identification of molecules in biological
and materials specimens at high practical lateral resolution using a new TOF-SIMS
parallel imaging MS/MS spectrometer. The tandem mass spectrometry imaging
reported here is based on the precise monoisotopic selection of precursor ions
from a TOF-SIMS secondary ion stream followed by the parallel and synchronous
collection of the product ion data. Thus, our new method enables simultaneous
surface screening of a complex matrix chemistry with TOF-SIMS (MS(1)) imaging and
targeted identification of matrix components with MS/MS (MS(2)) imaging. This
approach takes optimal advantage of all ions produced from a multicomponent
sample, compared to classical tandem mass spectrometric methods that discard all
ions with the exception of specific ions of interest. We have applied this
approach for molecular surface analysis and molecular identification on the
nanometer scale. High abundance sensitivity is achieved at low primary ion dose
density; therefore, one-of-a-kind samples may be relentlessly probed before ion
beam-induced molecular damage is observed.
PMID- 27181575
TI - Discovery of 1-((2R,4aR,6R,7R,7aR)-2-Isopropoxy-2-oxidodihydro-4H,6H
spiro[furo[3,2-d][1,3,2]dioxaphosphinine-7,2'-oxetan]-6-yl)pyrimidine-2,4(1H,3H)
dione (JNJ-54257099), a 3'-5'-Cyclic Phosphate Ester Prodrug of 2'-Deoxy-2'
Spirooxetane Uridine Triphosphate Useful for HCV Inhibition.
AB - JNJ-54257099 (9) is a novel cyclic phosphate ester derivative that belongs to the
class of 2'-deoxy-2'-spirooxetane uridine nucleotide prodrugs which are known as
inhibitors of the HCV NS5B RNA-dependent RNA polymerase (RdRp). In the Huh-7 HCV
genotype (GT) 1b replicon-containing cell line 9 is devoid of any anti-HCV
activity, an observation attributable to inefficient prodrug metabolism which was
found to be CYP3A4-dependent. In contrast, in vitro incubation of 9 in primary
human hepatocytes as well as pharmacokinetic evaluation thereof in different
preclinical species reveals the formation of substantial levels of 2'-deoxy-2'
spirooxetane uridine triphosphate (8), a potent inhibitor of the HCV NS5B
polymerase. Overall, it was found that 9 displays a superior profile compared to
its phosphoramidate prodrug analogues (e.g., 4) described previously. Of
particular interest is the in vivo dose dependent reduction of HCV RNA observed
in HCV infected (GT1a and GT3a) human hepatocyte chimeric mice after 7 days of
oral administration of 9.
PMID- 27181577
TI - Preparation and characterization of oxidized konjac glucomannan/carboxymethyl
chitosan/graphene oxide hydrogel.
AB - Polysaccharide hydrogels have been widely used as biomaterials in biomedical
field. In this article, composite hydrogels were prepared through the Schiff-base
reaction between the aldehyde of oxidized konjac glucomannan (OKGM) and the amino
of carboxymethyl chitosan (CMCS). Meanwhile, different amount of graphene oxide
(GO) was added as nano-additive. The hydrogels have been characterized by various
methods including Fourier transform infrared spectroscopy (FT-IR) and Surface
morphology (SEM). Through the observation of SEM, the hydrogels' scaffolds
present a homogeneous interconnected pore structure after lyophilizing. In
addition, the influence of different GO content on properties including gelation
time, swelling ability, water evaporation rate and mechanical properties was
investigated. The results indicate that the hydrogels have short gelation time,
appropriate swelling ability and water evaporation rate. Especially, the
compressive strength and modulus increase 144% and 296% respectively as the GO
content increase from 0 to 5mg/ml. Moreover, MTT assay was applied to evaluate
the biocompatibility of hydrogels. The result indicate that hydrogels with GO
show better biocompatibility. Therefore, due to the appropriate water absorption
capacity, the similar compressive modulus with soft tissue and excellent
biocompatibility, the composite hydrogels have potential application in wound
dressings.
PMID- 27181576
TI - Efficacy and safety of ipragliflozin in Japanese patients with type 2 diabetes
stratified by body mass index: A subgroup analysis of five randomized clinical
trials.
AB - AIMS/INTRODUCTION: The influence of overweight/obesity on the clinical efficacy
and safety of sodium-glucose co-transporter 2 inhibitors is unclear. We carried
out a pooled analysis to examine the impact of body mass index on the efficacy
and safety of ipragliflozin. MATERIALS AND METHODS: Patient-level data were
pooled for five Japanese double-blind trials (NCT00621868, NCT01057628,
NCT01135433, NCT01225081 and NCT01242215) in which patients were randomized to
ipragliflozin or a placebo as monotherapy, or in combination with metformin,
pioglitazone or a sulfonylurea. Outcomes included the changes in hemoglobin A1c,
fasting plasma glucose, bodyweight and treatment-emergent adverse events.
Patients were divided into four body mass index categories. RESULTS: Hemoglobin
A1c, fasting plasma glucose and bodyweight decreased significantly in the
ipragliflozin group compared with the placebo group in all body mass index
categories, and in the total cohort (all P < 0.001). Hemoglobin A1c did not
improve in 11.2 and 69.2% of patients in the ipragliflozin and placebo groups,
respectively. The change in hemoglobin A1c was weakly correlated with the change
in bodyweight in all patients (r = 0.136, P = 0.002). Regarding laboratory
variables, the placebo-subtracted difference tended to be greater in patients
with higher body mass index for aspartate aminotransferase, alanine
aminotransferase, gamma-glutamyl transpeptidase and uric acid. The incidences of
treatment-emergent adverse events were similar between the ipragliflozin and
placebo groups in all patients combined and in the four body mass index
categories. CONCLUSIONS: These results show that the efficacy and safety of
ipragliflozin are not influenced by obesity/overweight in Japanese patients.
PMID- 27181578
TI - Combining prebiotics with probiotic bacteria can enhance bacterial growth and
secretion of bacteriocins.
AB - There is a growing interest in supporting human health by using prebiotics, such
as oligosaccharides, and beneficial bacteria, also called probiotics. Combining
these two components we can develop synbiotics. In order to create successful
combination of synbiotic it is very important to evaluate the influence of
prebiotic oligosaccharides to probiotic bacteria and their behavior, such as
growth and secretion of health related biomolecules, including bacteriocins. In
this study seven type strains of probiotic bacteria (five Lactobacillus sp. and
two Lactococcus sp.) and two Lactobacillus sp. strains, isolated from probiotic
yoghurt, were cultivated with various commercially available and extracted
oligosaccharides (OS). The aim of this study was to evaluate the influence of
these OS on type and isolated bacterial strains growth and antibacterial
activity. Obtained results suggest that combination of certain OS with probiotic
strains may considerably improve their growth and/or antibacterial activity. We
also determined the antibacterial activity spectrum of investigated strains with
combination of OS against common food borne pathogens. Results of this work show
that prebiotic OS can be useful for modulating probiotic bacteria growth,
antibacterial activity and even specificity of this activity.
PMID- 27181579
TI - Amoebiasis vaccine development: A snapshot on E. histolytica with emphasis on
perspectives of Gal/GalNAc lectin.
AB - Amoebiasis/amebiasis is a gastrointestinal infection caused by an enteric
dwelling protozoan, Entamoeba histolytica. The disease is endemic in the
developing world and is transmitted mainly via the faecal-oral route (e.g., in
water or food) and may or may not be symptomatic. This disease of socio-economic
importance worldwide involves parasite adherence and cytolysis of human cells
followed by invasion that is mediated by galactose-binding (Gal/GalNAc) surface
lectin. Disruption of the mucus layer leads to invasive intestinal and
extraintestinal infection. Gal-lectin based vaccinations have conferred
protection in various animal models against E. histolytica infections. Keeping in
view the pivotal role of Gal/GalNAc lectin in amoebiasis vaccine development, its
regulation, genomic view of the parasite involving gene conversion in lectin gene
families, current knowledge about involvement of Gal/GalNAc lectin in adherence,
pathogenicity, signalling, encystment, generating host immune response, and in
turn protozoa escape strategies, and finally its role as effective vaccine
candidate has been described. This review will help researchers to explore
pathogenesis mechanism along with genomic studies and will also provide a
framework for future amoebiasis vaccine development studies.
PMID- 27181581
TI - Basophils go wild when mosquitoes bite CLL.
PMID- 27181580
TI - Effects of supplementation with L. plantarum TN8 encapsulated in alginate
chitosan in broiler chickens.
AB - This study was undertaken to investigate the effects of supplementation of
probiotic strain Lactobacillus plantarum TN8 encapsulated in sodium alginate
chitosan or a commercial blend of essential oils on total cholesterol, High
Density Lipoprotein (HDL), Low Density Lipoprotein (LDL) and growth performance
of broiler chickens. The results showed that the broiler chickens supplemented
with encapsulated L. plantarum TN8 or essential oil has a higher growth than the
control group. After 35days, the weight means were 1860 and 1880g respectively in
dietary supplementation with probiotic or essential oil, while they are 1800g in
the control group. The evolution of the feed consumption and feed conversion per
week showed that the supplementation of encapsulated TN8 strain or essential oil
in broiler chickens food has a positive influence on their appetite. Similarly,
supplementation of the feed with this encapsulated strain significantly reduced
the rate of cholesterol (HDL and LDL) as well as the contents of triglycerides in
broiler chickens. Through our study, it appears that the use of the probiotic
supplementation or essential oil to broilers were found to be better than the
control group of chickens, resulting in a significant economic impact and
promoting effect on health.
PMID- 27181582
TI - Novel mechanism for counter-regulatory responses to hypoglycemia.
AB - In the central nervous system, especially in the hypothalamus, the energy status
in the body is assessed by glucose-sensing neurons since glucose is the major
fuel in the central nervous system. Glucose sensing mechanisms also have a
significant role in prevention of hypoglycemic bouts. In a recent report, Fan and
colleagues proved a novel type of glucose-exited neurons which detect
hypoglycemia through decreasing glucose entry via SGLT1.
PMID- 27181583
TI - Genome engineering in ophthalmology: Application of CRISPR/Cas to the treatment
of eye disease.
AB - The Clustered Regularly Interspaced Short Palindromic Repeat (CRISPR) and CRISPR
associated protein (Cas) system has enabled an accurate and efficient means to
edit the human genome. Rapid advances in this technology could results in
imminent clinical application, and with favourable anatomical and immunological
profiles, ophthalmic disease will be at the forefront of such work. There have
been a number of breakthroughs improving the specificity and efficacy of
CRISPR/Cas-mediated genome editing. Similarly, better methods to identify off
target cleavage sites have also been developed. With the impending clinical
utility of CRISPR/Cas technology, complex ethical issues related to the
regulation and management of the precise applications of human gene editing must
be considered. This review discusses the current progress and recent
breakthroughs in CRISPR/Cas-based gene engineering, and outlines some of the
technical issues that must be addressed before gene correction, be it in vivo or
in vitro, is integrated into ophthalmic care. We outline a clinical pipeline for
CRISPR-based treatments of inherited eye diseases and provide an overview of the
important ethical implications of gene editing and how these may influence the
future of this technology.
PMID- 27181585
TI - In vitro characterization of Leishmania (Viannia) braziliensis isolates from
patients with different responses to Glucantime((r)) treatment from Northwest
Parana, Brazil.
AB - Leishmaniasis is a group of diseases that presents various clinical
manifestations. Many studies have shown that the parasite plays an important role
in the clinical manifestations and prognosis of this disease. The cutaneous and
mucosal forms of American tegumentary leishmaniasis (ATL) are associated with
Leishmania (Viannia) braziliensis, which exhibits intraspecific genetic
polymorphisms and various clinical manifestations. The present study focused on
four different L. braziliensis strains that were isolated from patients with
distinct Glucantime((r)) treatment responses. The isolates were described based
on their molecular, biological, and infective characteristics. Growth patterns in
culture medium and different grow phases were analyzed, MID-Logarithimic (Mid
LOG), Logarithimic (LOG) and Stationary (STAT) phases. Complement resistance was
evaluated using guinea pig serum. Infection to murine peritoneal macrophages,
cytokine and nitric oxide were analyzed. Ultrastructural features were determined
by transmission electron microscopy, and molecular characteristics were
determined based on random amplified polymorphic DNA (RAPD). All of the L.
braziliensis isolates showed typical growth and similar complement sensitivity
patterns. Markedly lower infectivity indexes were observed for all strains in the
LOG phase, with different cytokine profiles. The ultrastructure analysis revealed
distinct differences between the MID-LOG, LOG, and STAT phases. The RAPD results
showed a divergence between the isolates of the L. braziliensis. The in vitro
characterization of L. braziliensis isolates from humans with different treatment
responses using various parameters enabled us to observe differences among the
isolates. Molecular and in vivo characterizations are currently under study to
improve understanding of the parasite-host interaction that can imply in the
clinical manifestation differences.
PMID- 27181587
TI - The effects of prism adaptation on daily life activities in patients with
visuospatial neglect: a systematic review.
AB - Studies that have investigated prism adaptation (PA) effects on symptoms of
visuospatial neglect have primarily used neuropsychological tests as outcome
measures. An important question that remains to be answered is whether PA effects
translate into improvements in patients' daily life activities. In the present
review, we examined systematically the evidence for the effect of PA treatment on
daily life activities in patients with neglect. Two authors independently
assessed the methodological quality of 25 intervention and 1 follow-up studies
using validated scales. PA effects were evaluated for reading/writing, activities
of daily living (ADL) direct tests, ADL questionnaires, and navigation tests.
Studies were evaluated as being of excellent (n = 1), good (n = 12), fair (n =
10), or poor (n = 3) quality. Among the 26 articles, a total of 32 measurements
showed significant PA effects (one measurement from a study of excellent quality,
17 from studies of good quality, 10 from studies of fair quality, four from
studies of poor quality), whereas non-significant effects were found in 15
measurements (two from a study of excellent quality, three from studies of good
quality, eight from studies of fair quality, two from studies of poor quality).
There is some evidence suggesting that PA can improve daily functioning,
particularly as measured by reading/writing and ADL direct tests. The impact of
several variables on PA effects should be investigated further including sample
heterogeneity and time since injury.
PMID- 27181586
TI - Berberine activates peroxisome proliferator-activated receptor gamma to increase
atherosclerotic plaque stability in Apoe-/- mice with hyperhomocysteinemia.
AB - AIMS/INTRODUCTION: An elevated level of plasma homocysteine has long been
suspected as a metabolic risk factor for the development of atherosclerotic
vascular diseases in diabetes. Berberine (BBR) has several preventive effects on
cardiovascular diseases. The effects of BBR on atherosclerotic plaque stability
increased by homocysteine thiolactone (HTL) remain unknown. MATERIALS AND
METHODS: The model of atherosclerotic vulnerable plaque was induced by placing a
collar around the carotid artery in Apoe-/- mice. Endothelium-dependent
relaxation was assayed by organ chamber. RESULTS: Homocysteine thiolactone (50
mg/kg/day, 8 weeks) reduced the atherosclerotic plaque stability in the carotid
artery of Apoe-/- mice, which was reversed by BBR administration (1.0 g/kg/day).
In vivo and ex vivo experiments showed that HTL dramatically reduced
acetylcholine-induced endothelium-dependent relaxation and superoxide dismutase
activity, and increased malondialdehyde content, which were inhibited by BBR.
Importantly, all effects induced by BBR were abolished by GW9662, an antagonist
of peroxisome proliferator-activated receptor-gamma. Incubation of cultured
endothelial cells with HTL significantly reduced cell viabilities and enhanced
production of reactive oxygen species. Pretreatment of cells with BBR dose
dependently reversed HTL-induced detrimental effects, which were GW9662
reversible. CONCLUSIONS: Berberine increases atherosclerotic plaque stability in
hyperhomocysteinemia mice, which is related to the activation of peroxisome
proliferator-activated receptor-gamma and subsequent suppression of oxidative
stress in endothelial cells.
PMID- 27181584
TI - Potent neutralizing monoclonal antibodies against Ebola virus infection.
AB - Ebola virus infections cause a deadly hemorrhagic disease for which no vaccines
or therapeutics has received regulatory approval. Here we show isolation of three
(Q206, Q314 and Q411) neutralizing monoclonal antibodies (mAbs) against the
surface glycoprotein (GP) of Ebola virus identified in West Africa in 2014
through sequential immunization of Chinese rhesus macaques and antigen-specific
single B cell sorting. These mAbs demonstrated potent neutralizing activities
against both pseudo and live Ebola virus independent of complement. Biochemical,
single particle EM, and mutagenesis analysis suggested Q206 and Q411 recognized
novel epitopes in the head while Q314 targeted the glycan cap in the GP1 subunit.
Q206 and Q411 appeared to influence GP binding to its receptor NPC1. Treatment
with these mAbs provided partial but significant protection against disease in a
mouse model of Ebola virus infection. These novel mAbs could serve as promising
candidates for prophylactic and therapeutic interventions against Ebola virus
infection.
PMID- 27181588
TI - Reliability of the Suchey-Brooks method for a French contemporary population.
AB - The Suchey-Brooks method is commonly used for pubic symphyseal aging in forensic
cases. However, inter-population variability is a problem affected by several
factors such as geographical location and secular trends. The aim of our study
was to test the reliability of the Suchey-Brooks method on a virtual sample of
contemporary French males. We carried out a retrospective study of 680 pubic
symphysis from adult males undergoing clinical Multislice Computed Tomography in
two hospitals between January 2013 and July 2014 (Toulouse and Tours, France).
The reliability of the Suchey-Brooks method was tested by the calculation of
inaccuracy and bias between real and estimated ages, and the mean age for each
stage and the mean stage for each 10-years age interval were compared. The degree
of inaccuracy and bias increased with age and inaccuracy exceeded 20 years for
individuals over 65 years of age. The results are consistent with an
overestimation of the real age for stages I and II and an underestimation of the
real age for stages IV, V and VI. Furthermore, the mean stages of the reference
sample were significantly lower for the 14-25 age group and significantly higher
for individuals over 35 years old. Age estimation is potentially limited by
differential inter-population error rates between geographical locations.
Furthermore, the effects of secular trends are also supported by research in
European countries showing a reduction in the age of attainment of indicators of
biological maturity during the past few decades. The results suggest that the
Suchey-Brooks method should be used with caution in France. Our study supports
previous findings and in the future, the Suchey-Brooks method could benefit from
re-evaluation of the aging standards by the establishment of new virtual
reference samples.
PMID- 27181589
TI - A guideline for the validation of likelihood ratio methods used for forensic
evidence evaluation.
AB - This Guideline proposes a protocol for the validation of forensic evaluation
methods at the source level, using the Likelihood Ratio framework as defined
within the Bayes' inference model. In the context of the inference of identity of
source, the Likelihood Ratio is used to evaluate the strength of the evidence for
a trace specimen, e.g. a fingermark, and a reference specimen, e.g. a
fingerprint, to originate from common or different sources. Some theoretical
aspects of probabilities necessary for this Guideline were discussed prior to its
elaboration, which started after a workshop of forensic researchers and
practitioners involved in this topic. In the workshop, the following questions
were addressed: "which aspects of a forensic evaluation scenario need to be
validated?", "what is the role of the LR as part of a decision process?" and "how
to deal with uncertainty in the LR calculation?". The questions: "what to
validate?" focuses on the validation methods and criteria and "how to validate?"
deals with the implementation of the validation protocol. Answers to these
questions were deemed necessary with several objectives. First, concepts typical
for validation standards [1], such as performance characteristics, performance
metrics and validation criteria, will be adapted or applied by analogy to the LR
framework. Second, a validation strategy will be defined. Third, validation
methods will be described. Finally, a validation protocol and an example of
validation report will be proposed, which can be applied to the forensic fields
developing and validating LR methods for the evaluation of the strength of
evidence at source level under the following propositions.
PMID- 27181590
TI - Neurodegeneration Alters Metabolic Profile and Sirt 1 Signaling in High-Fat
Induced Obese Mice.
AB - Different factors may contribute to the development of neurodegenerative
diseases. Among them, metabolic syndrome (MS), which has reached epidemic
proportions, has emerged as a potential element that may be involved in
neurodegeneration. Furthermore, studies have shown the importance of the sirtuin
family in neuronal survival and MS, which opens the possibility of new
pharmacological targets. This study investigates the influence of sirtuin
metabolic pathways by examining the functional capacities of glucose-induced
obesity in an excitotoxic state induced by a quinolinic acid (QA) animal model.
Mice were divided into two groups that received different diets for 8 weeks: one
group received a regular diet, and the other group received a high-fat diet (HF)
to induce MS. The animals were submitted to a stereotaxic surgery and subdivided
into four groups: Standard (ST), Standard-QA (ST-QA), HF and HF-QA. The QA groups
were given a 250 nL quinolinic acid injection in the right striatum and PBS was
injected in the other groups. Obese mice presented with a weight gain of 40 %
more than the ST group beyond acquiring an insulin resistance. QA induced motor
impairment and neurodegeneration in both ST-QA and HF-QA, although no difference
was observed between these groups. The HF-QA group showed a reduction in
adiposity when compared with the groups that received PBS. Therefore, the HF-QA
group demonstrated a commitment-dependent metabolic pathway. The results suggest
that an obesogenic diet does not aggravate the neurodegeneration induced by QA.
However, the excitotoxicity induced by QA promotes a sirtuin pathway impairment
that contributes to metabolic changes.
PMID- 27181591
TI - Thrombin Enhanced Matrix Metalloproteinase-9 Expression and Migration of SK-N-SH
Cells via PAR-1, c-Src, PYK2, EGFR, Erk1/2 and AP-1.
AB - Neuroinflammation is a hallmark of neurodegenerative disorders in the central
nerve system (CNS). Thrombin has been known as one of the factors in pathological
processes including migration, blood-brain barrier breakdown, brain edema
formation, neuroinflammation, and neuronal death. Thrombin has been shown to be a
regulator of matrix metalloproteinase (MMPs) expression leading to cell
migration. Among MMPs, the elevated expression of MMP-9 has been observed in
patients with brain diseases, which may contribute to the pathology of
neuroinflammatory and neurodegenerative diseases. However, the mechanisms
underlying thrombin-induced MMP-9 expression in SK-N-SH cells were not completely
understood. Here, we used gelatin zymography, Western blot, real-time PCR,
promoter activity assay, and cell migration assay to demonstrate that thrombin
induced the expression of pro-form MMP-9 protein and messenger RNA (mRNA), and
promoter activity in SK-N-SH cells, which were attenuated by pretreatment with
the pharmacological inhibitor of protease-activated receptor-1 (PAR-1, SCH79797),
Gi-coupled receptor (GPA2), c-Src (PP1), Pyk2 (PF431396), EGFR (AG1478), PI3K
(LY294002), Akt (SH-5), MEK1/2 (U0126), or AP-1 (TanshinoneIIA) and transfection
with small interfering RNA (siRNA) of PAR-1, Gi, c-Src, Pyk2, EGFR, Akt, p44,
p42, or c-Jun. Moreover, thrombin-stimulated c-Src, Pyk2, EGFR, Akt, p42/p44
MAPK, or c-Jun phosphorylation was attenuated by their respective inhibitor of
PP1, PF431396, AG1478, SH-5, U0126, or TanshinoneIIA. Finally, pretreatment with
these inhibitors also blocked thrombin-induced SK-N-SH cell migration. Our
results concluded that thrombin binding to PAR-1 receptor activated Gi-protein/c
Src/Pyk2/EGFR/PI3K/Akt/p42/p44 MAPK cascade, which in turn elicited AP-1
activation and ultimately evoked MMP-9 expression and cell migration in SK-N-SH
cells.
PMID- 27181592
TI - JNK Activation Contributes to Oxidative Stress-Induced Parthanatos in Glioma
Cells via Increase of Intracellular ROS Production.
AB - Parthanatos is a form of PARP-1-dependent programmed cell death. The induction of
parthanatos is emerging as a new strategy to kill gliomas which are the most
common type of primary malignant brain tumor. Oxidative stress is thought to be a
critical factor triggering parthanatos, but its underlying mechanism is poorly
understood. In this study, we used glioma cell lines and H2O2 to investigate the
role of JNK in glioma cell parthanatos induced by oxidative stress. We found that
exposure to H2O2 not only induced intracellular accumulation of ROS but also
resulted in glioma cell death in a concentration- and incubation time-dependent
manner, which was accompanied with cytoplasmic formation of PAR polymer,
expressional upregulation of PARP-1, mitochondrial depolarization, and AIF
translocation to nucleus. Pharmacological inhibition of PARP-1 with 3AB or
genetic knockdown of its level with siRNA rescued glioma cell death, as well as
suppressed cytoplasmic accumulation of PAR polymer and nuclear translocation of
AIF, which were consistent with the definition of parthanatos. Moreover, the
phosphorylated level of JNK increased markedly with the extension of H2O2
exposure time. Either attenuation of intracellular ROS with antioxidant NAC or
inhibition of JNK phosphorylation with SP600125 or JNK siRNA could significantly
prevent H2O2-induced parthanatos in glioma cells. Additionally, inhibition of JNK
with SP600125 alleviated intracellular accumulation of ROS and attenuated
mitochondrial generation of superoxide. Thus, we demonstrated that JNK activation
contributes to glioma cell parthanatos caused by oxidative stress via increase of
intracellular ROS generation.
PMID- 27181593
TI - CYP2C93 variant is associated with antidiabetes efficacy of gliclazide in Chinese
type 2 diabetes patients.
AB - AIMS/INTRODUCTION: The objective of the present study was to investigate the
effects of CYP2C9*3 polymorphisms on the therapeutic response to gliclazide in
type 2 diabetes patients. MATERIALS AND METHODS: A total of 746 incident type 2
diabetes patients were included in this study. After enrolment, patients went on
4-week gliclazide monotherapy. Fasting plasma glucose was measured before and
after treatment. Hypoglycemia episodes and lifestyle information were collected
by weekly follow up. Genotyping of rs1057910 was carried out using the single
base primer extension method. The t-test, analysis of variance and chisquare-test
were used to evaluate the effects of rs1057910 alleles on the therapeutic
response to gliclazide. RESULTS: After the therapy, fasting plasma glucose
decreased significantly from 11.2 +/- 2.7 mmol/L to 8.0 +/- 2.2 mmol/L (P <
0.001). Patients with AC/CC genotypes of rs1057910 had a greater reduction of
fasting plasma glucose (3.6 vs 3.0 mmol/L, P < 0.001; 31.4 vs 24.5%, P < 0.001)
and a higher rate of treatment success (54.7 vs 37.5%, P < 0.001; 51.4 vs 32.3%,
P < 0.001; 71.6 vs 48.3%, P < 0.001 for criterion 1, 2 and 3, respectively).
CONCLUSIONS: The present study showed that the polymorphism at rs1057910
significantly affected the therapeutic response of gliclazide in type 2 diabetes
mellitus patients. The risk allele is associated with a greater decrease of
fasting blood glucose and a higher rate of treatment success with gliclazide
monotherapy.
PMID- 27181594
TI - Inflammatory insults and mental health consequences: does timing matter when it
comes to depression?
AB - It has become widely accepted that the immune system, and specifically increased
levels of inflammation, play a role in the development of depression. However,
not everyone with increased inflammation develops depression, and as with all
other diseases, there are risk factors that may contribute to an increased
vulnerability in certain individuals. One such risk factor could be the timing of
an inflammatory exposure. Here, using a combination of PubMed, EMBASE, Ovid
Medline and PsycINFO, we systematically reviewed whether exposure to medically
related inflammation in utero, in childhood, and in adolescence, increases the
risk for depression in adulthood. Moreover, we tried to determine whether there
was sufficient evidence to identify a particular time point during the
developmental trajectory in which an immune insult could be more damaging. While
animal research shows that early life exposure to inflammation increases
susceptibility to anxiety- and depressive-like behaviour, human studies
surprisingly find little evidence to support the notion that medically related
inflammation in utero and in adolescence contributes to an increased risk of
developing depression in later life. However, we did find an association between
childhood inflammation and later life depression, with most studies reporting a
significantly increased risk of depression in adults who were exposed to
inflammation as children. More robust clinical research, measuring direct markers
of inflammation throughout the life course, is greatly needed to expand on, and
definitively address, the important research questions raised in this review.
PMID- 27181597
TI - Regulatory policy for research and development of vaccines for public health
emergencies.
PMID- 27181596
TI - Deficiency of dietary niacin impaired gill immunity and antioxidant capacity, and
changes its tight junction proteins via regulating NF-kappaB, TOR, Nrf2 and MLCK
signaling pathways in young grass carp (Ctenopharyngodon idella).
AB - To investigate the effects of dietary niacin on gill immunity, tight junction
proteins, antioxidant system and related signaling molecules mRNA expression,
young grass carp (Ctenopharyngodon idella) were fed six diets containing graded
levels of niacin (3.95-55.01 mg/kg diet) for 8 weeks. The study indicated that
niacin deficiency decreased lysozyme and acid phosphatase activities, and
complement 3 content, and caused oxidative damage that might be partly due to the
decreased copper, zinc superoxide dismutase, catalase, glutathione reductase,
glutathione peroxidase and glutathione-S-transferase activities and reduced
glutathione content in fish gills (P < 0.05). Moreover, the relative mRNA levels
of antimicrobial peptides (liver expressed antimicrobial peptide 2 and Hepcidin),
anti-inflammatory cytokines (interleukin 10 and transforming growth factor
beta1), tight junction proteins (Occludin, zonula occludens 1, Claudin-15 and
3), signaling molecules (inhibitor of kappaBalpha (IkappaBalpha), target of
rapamycin (TOR), ribosomal protein S6 kinase 1 (S6K1) and NF-E2-related factor 2
(Nrf2)) and antioxidant enzymes were significantly decreased (P < 0.05) in niacin
deficient diet group. Conversely, the mRNA levels of pro-inflammatory cytokines
(tumor necrosis factor alpha, interleukin 8, interferon gamma2, and interleukin
1beta), signaling molecules (nuclear factor kappa B p65, IkappaB kinase alpha,
IkappaB kinase beta, IkappaB kinase gamma, Kelch-like-ECH-associated protein 1b,
myosin light chain kinase and p38 mitogen-activated protein kinase (p38 MAPK)
were significantly increased (P < 0.05) in fish gills fed niacin-deficient diet.
Interestingly, the varying niacin levels of 3.95-55.01 mg/kg diet had no effect
on the mRNA level of Kelch-like-ECH-associated protein 1a, Claudin-c and -12 in
fish gills (P > 0.05). In conclusion, niacin deficiency decreased gill immunity,
impaired gill antioxidant system, as well as regulated mRNA expression of gill
tight junction proteins and related signaling molecules of fish.
PMID- 27181595
TI - Streptococcal pyrogenic exotoxin B inhibits apoptotic cell clearance by
macrophages through protein S cleavage.
AB - Clearance of apoptotic cells by macrophages plays an important role in
maintaining tissue homeostasis. Previous study indicated that streptococcal
pyrogenic exotoxin B (SPE B) reduces phagocytic activity in group A streptococcus
(GAS) infection. Here, we demonstrate that SPE B causes an inhibitory effect on
protein S-mediated phagocytosis. In the presence of SPE B, serum- and purified
protein S-mediated phagocytosis of apoptotic cells were significantly inhibited.
The binding abilities of protein S to apoptotic cells were decreased by treatment
with SPE B. Bacterial culture supernatants from GAS NZ131 strain also caused a
reduction of protein S binding to apoptotic cells, but speB mutant strain did
not. SPE B directly cleaved protein S in vitro and in vivo, whereas a lower level
of cleavage occurred in mice infected with a speB isogenic mutant strain. SPE B
mediated initial cleavage of protein S caused a disruption of phagocytosis, and
also resulted in a loss of binding ability of protein S-associated C4b-binding
protein to apoptotic cells. Taken together, these results suggest a novel
pathogenic role of SPE B that initiates protein S degradation followed by the
inhibition of apoptotic cell clearance by macrophages.
PMID- 27181598
TI - Effect of Soy Sauce on Serum Uric Acid Levels in Hyperuricemic Rats and
Identification of Flazin as a Potent Xanthine Oxidase Inhibitor.
AB - This is the first report on the ability of soy sauce to effectively reduce the
serum uric acid levels and xanthine oxidase (XOD) activities of hyperuricemic
rats. Soy sauce was partitioned sequentially into ethyl acetate and water
fractions. The ethyl acetate fraction with strong XOD inhibition effect was
purified further. On the basis of xanthine oxidase inhibitory (XOI) activity
guided purification, nine compounds including 3,4-dihydroxy ethyl cinnamate,
diisobutyl terephthalate, harman, daidzein, flazin, catechol, thymine, genistein,
and uracil were obtained. It was the first time that 3,4-dihydroxy ethyl
cinnamate and diisobutyl terephthalate had been identified from soy sauce. Flazin
with hydroxymethyl furan ketone group at C-1 and carboxyl at C-3 exhibited the
strongest XOI activity (IC50 = 0.51 +/- 0.05 mM). According to fluorescence
quenching and molecular docking experiments, flazin could enter into the
catalytic center of XOD to interact with Lys1045, Gln1194, and Arg912 mainly by
hydrophobic forces and hydrogen bonds. Flazin, catechol, and genistein not only
were potent XOD inhibitors but also held certain antioxidant activities.
According to ADME (absorption, distribution, metabolism, and excretion)
simulation in silico, flazin had good oral bioavailability in vivo.
PMID- 27181601
TI - Monomolecular adsorption on nanoparticles with repulsive interactions: a Monte
Carlo study.
AB - In the present work, we study the adsorption of different monomolecular species
on nanoparticles with different sizes and geometries using a grand canonical
Monte Carlo method. These species are characterized by repulsive lateral
interactions between themselves, as takes place in the case of the adsorption of
partially charged atoms or molecules. Nanosize effects are analyzed in terms of
adsorption on edge and facet sites. The energy minimization in these systems
comes out as a complex conjugation of the repulsive lateral interactions between
the adsorbates and the attractive interactions of the adsorbates with the
nanoparticle. The phenomenon is analyzed as a function of the occurrence of
different ordered structures being formed on the surface of the nanoparticle. We
find that layers with different structures may coexist on different facets of the
nanoparticle. Finally, a discussion of deposition on flat surfaces and in finite
systems is given.
PMID- 27181599
TI - Proposed cut-off values of the waist circumference for metabolic syndrome based
on visceral fat volume in a Japanese population.
AB - AIM/INTRODUCTION: Waist circumference (WC) is the most important parameter for
diagnosis of metabolic syndrome. The present study was carried out to obtain
optimal WC cut-off values for diagnosis of metabolic syndrome in a Japanese
population based on the measurement of total intra-abdominal visceral fat volume
(VFV), which could be expected to reflect visceral obesity more precisely than
visceral fat area. MATERIALS AND METHODS: A total of 405 Japanese persons
undergoing health screening were investigated. visceral fat volume was calculated
from the data in 700-800 computed tomography slices from the top of the liver to
the floor of the pelvic cavity. Then, receiver operating characteristic analysis
was used to determine the cut-off value of the VFV/height ratio. Subsequently,
the corresponding WC value was obtained by linear regression analysis. RESULTS:
The cut-off value of the VFV/height ratio was 2,317 cm(3) /m in men and 1,425
cm(3) /m in women. The sensitivity and specificity of the ratio were 52.9 and
86.4% in men vs 63.4 and 82.2% in women, respectively. The corresponding cut-off
value of WC was 86.0 cm in men and 80.9 cm in women. CONCLUSIONS: The proposed
cut-off values of WC for metabolic syndrome are 85 cm in Japanese men and 80 cm
in Japanese women.
PMID- 27181600
TI - Food and Waterborne Disease in the Greater New York City Area Following Hurricane
Sandy in 2012.
AB - OBJECTIVE: We aimed to evaluate residence in evacuation areas (storm areas) as a
risk factor for food and waterborne disease (FWBD) associated with Hurricane
Sandy flooding. METHODS: We captured 9601 incident outpatient and inpatient FWBD
hospital discharge diagnoses for residents of the greater New York City area. We
used Poisson or negative binomial regression models to compare the covariate
adjusted risk for a FWBD diagnosis, pre-Sandy (10/28-11/09, 2001-2011) vs. post
Sandy (10/28-11/09, 2012), for residents of "storm" and "non-storm" areas.
RESULTS: Outpatient FWBD risk was lower for storm area residents after Hurricane
Sandy (risk ratio [RR]=0.58, 95% confidence interval [CI]: 0.46-0.74), and varied
by age, sex, and county. However, storm area residents 65 years of age or older
experienced higher risk after Hurricane Sandy (RR=2.16, 95% CI: 1.11-4.19),
albeit based on few cases. Inpatient FWBD risk was lower for non-storm area
residents after Hurricane Sandy (RR=0.79, 95% CI: 0.66-0.95), and varied by age,
race, and county, although there was no significant change for storm area
residents (RR=0.86, 95% CI: 0.69-1.08). Those >=65 years of age were also at
lower risk for inpatient FWBD diagnosis, yet the effect was weaker for storm area
(RR=0.89, 95% CI: 0.67-1.18) than for non-storm area residents (RR=0.68, 95% CI:
0.52-0.89). CONCLUSIONS: Hurricane preparation, mitigation, and response
activities in the greater New York City area may have led to "protective" effects
for FWBD. (Disaster Med Public Health Preparedness. 2016;10:503-511).
PMID- 27181602
TI - Optimal cut-off levels of obesity indices by different definitions of metabolic
syndrome in a southeast rural Chinese population.
AB - AIMS/INTRODUCTION: The aim of the present study was to compare the cut-off values
and prediction effect of different obesity indices by different definitions of
metabolic syndrome (MetS) in Zhejiang Province of China. MATERIALS AND METHODS:
We carried out a cross-sectional survey of 10,100 individuals (age 40 years and
older) in Jiashan, Zhejiang Province. Receiver operating characteristic analysis
was used to examine discrimination and find optimal cut off values of waist
circumference (WC), body mass index (BMI), waist-to-hip ratio (WHR) and waist-to
height ratio (WHtR) to predict two or more non-adipose components of MetS by The
National Cholesterol Education Program Expert Panel on Detection, Evaluation, And
Treatment of High Blood Cholesterol In Adults (Adult Treatment Panel III)
definition modified by the Asia-Pacific region criteria, International Diabetes
Federation definition for the Chinese population and Chinese Diabetes Society
definitions of MetS. RESULTS: The age-standardized prevalence of MetS was 23.78%
vs 28.76% vs 19.37% by The National Cholesterol Education Program Expert Panel on
Detection, Evaluation, And Treatment of High Blood Cholesterol In Adults (Adult
Treatment Panel III), International Diabetes Federation and Chinese Diabetes
Society definitions, respectively. Cut-off values of BMI were approximately 24
kg/m(2) both in men and women by three definitions; the average cut-off values of
WC, WHR and WHtR were 83 cm in men vs 81 cm in women, 0.89 in men vs 0.86 in
women and 0.50 in men vs 0.51 in women, respectively. The area under receiver
operating characteristic curve of BMI was larger than WC both in men and women (P
< 0.05); in women, the area under receiver operating characteristic curve of WHtR
was larger than WC, and WHR was smaller. CONCLUSIONS: MetS is prevalent in
Zhejiang Province of China, especially in the female population. BMI and WHtR
might be more useful than WC and WHR for predicting two or more non-adipose
components of MetS.
PMID- 27181603
TI - Speech motor learning changes the neural response to both auditory and
somatosensory signals.
AB - In the present paper, we present evidence for the idea that speech motor learning
is accompanied by changes to the neural coding of both auditory and somatosensory
stimuli. Participants in our experiments undergo adaptation to altered auditory
feedback, an experimental model of speech motor learning which like visuo-motor
adaptation in limb movement, requires that participants change their speech
movements and associated somatosensory inputs to correct for systematic real-time
changes to auditory feedback. We measure the sensory effects of adaptation by
examining changes to auditory and somatosensory event-related responses. We find
that adaptation results in progressive changes to speech acoustical outputs that
serve to correct for the perturbation. We also observe changes in both auditory
and somatosensory event-related responses that are correlated with the magnitude
of adaptation. These results indicate that sensory change occurs in conjunction
with the processes involved in speech motor adaptation.
PMID- 27181605
TI - Morphology controlled synthesis of platinum nanoparticles performed on the
surface of graphene oxide using a gas-liquid interfacial reaction and its
application for high-performance electrochemical sensing.
AB - In this paper, we report a novel morphology-controlled synthetic method. Platinum
(Pt) nanoparticles with three kinds of morphology (aggregation-like, cube-like
and globular) were grown on the surface of graphene oxide (GO) using a simple gas
liquid interfacial reaction and Pt/GO nanocomposites were obtained successfully.
According to the experimental results, the morphology of the Pt nanoparticles can
be controlled by adjusting the reaction temperature with the protection of
chitosan. The obtained Pt/GO nanocomposites were characterized using transmission
electron microscopy (TEM), X-ray diffraction (XRD) and fourier transform infrared
spectroscopy (FTIR). Then the Pt/GO nanocomposites with the three kinds of
morphology were all used to fabricate electrochemical sensors. The
electrochemical experimental results indicated that compared with various
reported electrochemical sensors, the Pt/GO modified sensors in this work exhibit
a low detection limit, high sensitivity and an extra wide linear range for the
detection of nitrite. In addition, the synthesis of Pt particles based on a gas
liquid interfacial reaction provides a new platform for the controllable
synthesis of nanomaterials.
PMID- 27181604
TI - Twenty year fitness trends in young adults and incidence of prediabetes and
diabetes: the CARDIA study.
AB - AIMS/HYPOTHESIS: The prospective association between cardiorespiratory fitness
(CRF) measured in young adulthood and middle age on development of prediabetes,
defined as impaired fasting glucose and/or impaired glucose tolerance, or
diabetes by middle age remains unknown. We hypothesised that higher fitness
levels would be associated with reduced risk for developing incident
prediabetes/diabetes by middle age. METHODS: Participants were from the Coronary
Artery Risk Development in Young Adults (CARDIA) study who were free from
prediabetes/diabetes at baseline (year 0 [Y0]: 1985-1986). CRF was quantified by
treadmill duration (converted to metabolic equivalents [METs]) at Y0, Y7 and Y20
and prediabetes/diabetes status was assessed at Y0, Y7, Y10, Y15, Y20 and Y25. We
use an extended Cox model with CRF as the primary time-varying exposure. BMI was
included as a time-varying covariate. The outcome was development of either
prediabetes or diabetes after Y0. Model 1 included age, race, sex, field centre,
CRF and BMI. Model 2 additionally included baseline (Y0) smoking, energy intake,
alcohol intake, education, systolic BP, BP medication use and lipid profile.
RESULTS: Higher fitness was associated with lower risk for developing incident
prediabetes/diabetes (difference of 1 MET: HR 0.99898 [95% CI 0.99861, 0.99940],
p < 0.01), which persisted (difference of 1 MET: HR 0.99872 [95% CI 0.99840,
0.99904], p < 0.01] when adjusting for covariates. CONCLUSIONS/INTERPRETATION:
Examining participants who had fitness measured from young adulthood to middle
age, we found that fitness was associated with lower risk for developing
prediabetes/diabetes, even when adjusting for BMI over this time period. These
findings emphasise the importance of fitness in reducing the health burden of
prediabetes and diabetes.
PMID- 27181608
TI - Site-Selective N-Dealkylation of 1,2,3-Triazolium Salts: A Metal-Free Route to
1,5-Substituted 1,2,3-Triazoles and Related Bistriazoles.
AB - N3-Alkylation of 1-(pivaloyloxymethyl)-1,2,3-triazoles with alkyl triflates
carrying latent "click" functionality, followed by a nucleophile-promoted N1
dealkylation of the resulting strongly electrophilic intermediate triazolium
salts, provides an efficient route to 1,5-disubstituted 1,2,3-triazoles. The
azide and alkyne groups incorporated by N-alkylation can be submitted to further
copper-catalyzed azide-alkyne and Huisgen cycloadditions to provide bis(1,2,3
triazoles) with unprecedented 1,5/1,4 substitution patterns.
PMID- 27181607
TI - Effect of DSP4 and desipramine in the sensorial and affective component of
neuropathic pain in rats.
AB - Previous findings suggest that neuropathic pain induces characteristic changes in
the noradrenergic system that may modify the sensorial and affective dimensions
of pain. We raise the hypothesis that different drugs that manipulate the
noradrenergic system can modify specific domains of pain. In the chronic
constriction injury (CCI) model of neuropathic pain, the sensorial (von Frey and
acetone tests) and the affective (place escape/avoidance paradigm) domains of
pain were evaluated in rats 1 and 2weeks after administering the noradrenergic
neurotoxin [N-(2-chloroethyl)-N-ethyl-2-bromobenzylamine hydrochloride] (DSP4,
50mg/kg). In other animals, we evaluated the effect of enhancing noradrenergic
tone in the 2weeks after injury by administering the antidepressant desipramine
(10mg/kg/day, delivered by osmotic minipumps) during this period, a noradrenaline
reuptake inhibitor. Moreover, the phosphorylation of the extracellular signal
regulated kinases (p-ERK) in the anterior cingulate cortex (ACC) was also
assessed. The ACC receives direct inputs from the main noradrenergic nucleus, the
locus coeruleus, and ERK activation has been related with the expression of pain
related negative affect. These studies revealed that DSP4 almost depleted
noradrenergic axons in the ACC and halved noradrenergic neurons in the locus
coeruleus along with a decrease in the affective dimension and an increased of p
ERK in the ACC. However, it did not modify sensorial pain perception. By
contrast, desipramine reduced pain hypersensitivity, while completely impeding
the reduction of the affective pain dimension and without modifying the amount of
p-ERK. Together results suggest that the noradrenergic system may regulate the
sensorial and affective sphere of neuropathic pain independently.
PMID- 27181609
TI - Synthesis of Cyclic Guanidines via Silver-Catalyzed Intramolecular Alkene
Hydroamination Reactions of N-Allylguanidines.
AB - The silver-catalyzed hydroamination of tosyl-protected N-allylguanidines is
described. These reactions provide substituted cyclic guanidines in high yields.
The reactions are amenable to the construction of quaternary stereocenters as
well as both monocyclic and bicyclic guanidine products.
PMID- 27181610
TI - Surface-Cross-Linked Micelles as Multifunctionalized Organic Nanoparticles for
Controlled Release, Light Harvesting, and Catalysis.
AB - Surfactant micelles are dynamic entities with a rapid exchange of monomers. By
"clicking" tripropargylammonium-containing surfactants with diazide cross
linkers, we obtained surface-cross-linked micelles (SCMs) that could be
multifunctionalized for different applications. They triggered membrane fusion
through tunable electrostatic interactions with lipid bilayers. Antenna
chromophores could be installed on them to create artificial light-harvesting
complexes with efficient energy migration among tens to hundreds of chromophores.
When cleavable cross-linkers were used, the SCMs could break apart in response to
redox or pH signals, ejecting entrapped contents quickly as a result of built-in
electrostatic stress. They served as caged surfactants whose surface activity was
turned on by environmental stimuli. They crossed cell membranes readily.
Encapsulated fluorophores showed enhanced photophysical properties including
improved quantum yields and greatly expanded Stokes shifts. Catalytic groups
could be installed on the surface or in the interior, covalently attached or
physically entrapped. As enzyme mimics, the SCMs enabled rational engineering of
the microenvironment around the catalysts to afford activity and selectivity not
possible with conventional catalysts.
PMID- 27181611
TI - Magnetic effervescent tablet-assisted ionic liquid dispersive liquid-liquid
microextraction of selenium for speciation in foods and beverages.
AB - A novel, simple and rapid method based on magnetic effervescent tablet-assisted
ionic liquid dispersive liquid-liquid microextraction (MEA-IL-DLLME) followed by
graphite furnace atomic absorption spectrometry (GFAAS) determination was
established for the speciation of selenium in various food and beverage samples.
In the procedure, a special magnetic effervescent tablet containing CO2 sources
(sodium carbonate and sodium dihydrogenphosphate), ionic liquids and Fe3O4
magnetic nanoparticles (MNPs) was used to combine extractant dispersion and
magnetic recovery procedures into a single step. The parameters influencing the
microextraction efficiency, such as pH of the sample solution, volume of ionic
liquid, amount of MNPs, concentration of the chelating agent, salt effect and
matrix effect were investigated and optimised. Under the optimised conditions,
the limits of detection (LODs) for Se(IV) were 0.021 MUg l(-)(1) and the linear
dynamic range was 0.05-5.0 MUg l(-)(1). The relative standard deviation for seven
replicate measurements of 1.0 MUg l(-)(1) of Se(IV) was 2.9%. The accuracy of the
developed method was evaluated by analysis of the standard reference materials
(GBW10016 tea, GBW10017 milk powder, GBW10043 Liaoning rice, GBW10046 Henan
wheat, GBW10048 celery). The proposed method was successfully applied to food and
beverage samples including black tea, milk powder, mushroom, soybean, bamboo
shoots, energy drink, bottled water, carbonated drink and mineral water for the
speciation of Se(IV) and Se(VI) with satisfactory relative recoveries (92.0
108.1%).
PMID- 27181613
TI - Conditioned stress prevents cue-primed cocaine reinstatement only in stress
responsive rats.
AB - Neurobiological mechanisms underlying comorbid posttraumatic stress disorder
(PTSD) and cocaine use disorder (CUD) are unknown. We aimed to develop an animal
model of PTSD + CUD to examine the neurobiology underlying cocaine-seeking in the
presence of PTSD comorbidity. Rats were exposed to cat urine once for 10-minutes
and tested for anxiety-like behaviors one week later. Subsequently, rats
underwent long-access (LgA) cocaine self-administration and extinction training.
Rats were re-exposed to the trauma context and then immediately tested for cue
primed reinstatement of cocaine-seeking. Plasma and brains were collected
afterwards for corticosterone assays and real-time qPCR analysis. Urine-exposed
(UE; n = 23) and controls not exposed to urine (Ctrl; n = 11) did not differ in
elevated plus maze behavior, but UE rats displayed significantly reduced
habituation of the acoustic startle response (ASR) relative to Ctrl rats. A
median split of ASR habituation scores was used to classify stress-responsive
rats. UE rats (n = 10) self-administered more cocaine on Day 1 of LgA than
control rats (Ctrl + Coc; n = 8). Re-exposure to the trauma context prevented
cocaine reinstatement only in stress-responsive rats. Ctrl + Coc rats had lower
plasma corticosterone concentrations than Ctrls, and decreased gene expression of
corticotropin releasing hormone (CRH) and Glcci1 in the hippocampus. Rats that
self-administered cocaine displayed greater CRH expression in the amygdala that
was independent of urine exposure. While we did not find that cat urine exposure
induced a PTSD-like phenotype in our rats, the present study underscores the need
to separate stressed rats into cohorts based on anxiety-like behavior in order to
study individual vulnerability to PTSD + CUD.
PMID- 27181612
TI - Imaging of Cerebral Amyloid Angiopathy with Bivalent (99m)Tc-Hydroxamamide
Complexes.
AB - Cerebral amyloid angiopathy (CAA), characterized by the deposition of amyloid
aggregates in the walls of cerebral vasculature, is a major factor in
intracerebral hemorrhage and vascular cognitive impairment and is also associated
closely with Alzheimer's disease (AD). We previously reported (99m)Tc
hydroxamamide ((99m)Tc-Ham) complexes with a bivalent amyloid ligand showing high
binding affinity for beta-amyloid peptide (Abeta(1-42)) aggregates present
frequently in the form in AD. In this article, we applied them to CAA-specific
imaging probes, and evaluated their utility for CAA-specific imaging. In vitro
inhibition assay using Abeta(1-40) aggregates deposited mainly in CAA and a brain
uptake study were performed for (99m)Tc-Ham complexes, and all (99m)Tc-Ham
complexes with an amyloid ligand showed binding affinity for Abeta(1-40)
aggregates and very low brain uptake. In vitro autoradiography of human CAA brain
sections and ex vivo autoradiography of Tg2576 mice were carried out for bivalent
(99m)Tc-Ham complexes ([(99m)Tc]SB2A and [(99m)Tc]BT2B), and they displayed
excellent labeling of Abeta depositions in human CAA brain sections and high
affinity and selectivity to CAA in transgenic mice. These results may offer new
possibilities for the development of clinically useful CAA-specific imaging
probes based on the (99m)Tc-Ham complex.
PMID- 27181614
TI - Bioavailability of Methadone After Sleeve Gastrectomy: A Planned Case
Observation.
AB - OBJECTIVE: Morbidly obese patients on opioid-replacement therapy may be at risk
for treatment refusal with regard to bariatric surgery. However, patients on
opioid replacement may have the personal skills to facilitate the lifestyle
changes required for successful outcomes after bariatric surgery. This planned
case observation assessed the effects of sleeve gastrectomy on the
pharmacokinetic properties of methadone. METHODS: A white woman in her 40s on
methadone maintenance therapy and with morbid obesity was referred for bariatric
surgery. Serial blood samples for methadone concentration measurements were
obtained before and at 5 days and 1, 7, and 11 months after surgery. FINDINGS:
Serum methadone concentrations increased from before to 5 days after surgery and
continued to increase for 7 months thereafter. The predose measurement at 11
months postoperatively suggests a further increase compared with the previous
predose measurements. IMPLICATIONS: Clinicians should beware the potential for
altered effects of methadone after bariatric surgery. We recommend that serum
concentrations be routinely measured pre- and postoperatively, and that the dose
be adjusted according to these measurements and regular clinical assessments.
PMID- 27181615
TI - Clinical Utility of Basophil CD203c as a Biomarker for Predicting the Timing of
Hypersensitivity Reaction in Carboplatin Rechallenge: Three Case Reports.
AB - PURPOSE: Drug desensitization has been found to be an effective option for
carboplatin rechallenge in patients at risk for severe hypersensitivity reaction.
However, identifying such patients requires precise clinical tests. This study
was performed to evaluate the clinical utility of basophil CD203c to predict the
timing of carboplatin-induced severe hypersensitivity reaction. METHODS: Here we
report on 3 patients undergoing a carboplatin-desensitization protocol at Mie
University Hospital. For all patients, ex vivo exposure to carboplatin resulted
in increased levels of activated basophils in a previous occurrence of
carboplatin-induced severe hypersensitivity reaction. FINDINGS: Basophil
activation test using carboplatin was returned to negative just before the first
course of carboplatin-desensitization protocol in all patients, and they
successfully received their first course of the protocol with no signs of
anaphylaxis. However, for all of the patients, increased basophil activation was
once again detected after subsequent readministration of carboplatin and grade 3
or 4 anaphylaxis developed. Basophil activation test coincided precisely with the
timing of carboplatin-induced anaphylaxis in all patients. IMPLICATIONS: CD203c
basophil activation testing might prove to be a reliable tool for risk
stratification and clinical decision making for carboplatin desensitization in
which severe hypersensitivity reaction is likely to occur.
PMID- 27181616
TI - A two-step etching route to ultrathin carbon nanosheets for high performance
electrical double layer capacitors.
AB - Two-dimensional (2D) carbon materials have attracted intense research interest
for electrical double layer capacitors (EDLCs) due to their high aspect ratio and
large surface area. Herein, we propose an exfoliation-chlorination route for
preparing ultrathin carbon nanosheets by using ternary layered carbide Ti3AlC2 as
the precursor. Due to the large intersheet space of exfoliated layered carbide
(MXene), the as-prepared carbon nanosheets exhibit a thickness of 3-4 nm and a
large specific surface area of 1766 m(2) g(-1) with hierarchical porosity. These
features significantly improve the ion-accessible surface area for charge storage
and shorten the ion transport length in the thin dimension. As a result, the
carbon nanosheets show a high specific capacitance (220 F g(-1) at 0.5 A g(-1)),
remarkable high power capability (79% capacitance retention at 20 A g(-1)) when
measured in a symmetrical two-electrode configuration in an aqueous electrolyte.
The method described in this work provides a new route to prepare 2D electrode
materials from a bulk precursor, thus exploiting their full potential for EDLCs.
PMID- 27181617
TI - Size-Resolved Source Emission Rates of Indoor Ultrafine Particles Considering
Coagulation.
AB - Indoor ultrafine particles (UFP, <100 nm) released from combustion and consumer
products lead to elevated human exposure to UFP. UFP emitted from the sources
undergo aerosol transformation processes such as coagulation and deposition. The
coagulation effect can be significant during the source emission due to high
concentration and high mobility of nanosize particles. However, few studies have
estimated size-resolved UFP source emission strengths while considering
coagulation in their theoretical and experimental research work. The primary
objective of this study is to characterize UFP source strength by considering
coagulation in addition to other indoor processes (i.e., deposition and
ventilation) in a realistic setting. A secondary objective is to test a
hypothesis that size-resolved UFP source emission rates are unimodal and log
normally distributed for three common indoor UFP sources: an electric stove, a
natural gas burner, and a paraffin wax candle. Experimental investigations were
performed in a full-scale test building. Size- and time-resolved concentrations
of UFP ranging from 2 to 100 nm were monitored using a scanning mobility particle
sizer (SMPS). Based on the temporal evolution of the particle size distribution
during the source emission period, the size-dependent source emission rate was
determined using a material-balance modeling approach. The results indicate that,
for a given UFP source, the source strength varies with particle size and source
type. The analytical model assuming a log-normally distributed source emission
rate could predict the temporal evolution of the particle size distribution with
reasonable accuracy for the gas stove and the candle. Including the effect of
coagulation was found to increase the estimates of source strengths by up to a
factor of 8. This result implies that previous studies on indoor UFP source
strengths considering only deposition and ventilation might have largely
underestimated the true values of UFP source strengths, especially for combustion
due to the natural gas stove and the candle.
PMID- 27181618
TI - Incidence, survival and cause-specific mortality in alcoholic liver disease: a
population-based cohort study.
AB - OBJECTIVE: We studied the incidence of severe ALD requiring hospitalization in
Finland, and survival and causes of death among the ALD patients. METHODS: A
cohort of 11,873 persons (8796 men and 3077 women) with diagnosis of ALD during
the years 1996-2012 was identified from Finnish national Inpatient Register. The
annual incidence of alcoholic hepatitis (AH) and alcoholic liver cirrhosis was
calculated. The cohort was combined with the data from national Cause of Death
Register of Statistics Finland. RESULTS: The incidence of alcoholic liver
cirrhosis increased from 8.8/100,000 in year 2001 to 14.6/100,000 in year 2012
among men and from 2.4 to 4.2/100,000 among women. The incidence of AH increased
from 3.7 to 6.5/100,000 among men and from 1.3 to 2.7/100,000 among women. The
relative 5-year survival ratios of patients with alcoholic liver cirrhosis and AH
were 29 and 50% among men and 38 and 52% among women, respectively. Out of 8440
deaths, 65% were due to alcoholic-related causes. The risk of death among ALD
patients was increased in malignancies (SMR 6.82; 95% CI: 6.35-7.29),
cardiovascular diseases (6.13; 5.74-6.52), respiratory diseases (7.86; 6.70
9.10), dementia (3.31; 2.41-4.44) and accidents and violence (11.12; 10.13
12.15). CONCLUSIONS: The incidence of AH and alcoholic liver cirrhosis is
increasing. The survival is poor. Most deaths are alcohol-related and other
common causes of excess deaths are cancers especially in the upper aerodigestive
tract and cardiovascular, digestive and respiratory diseases as well as violence
and accidents.
PMID- 27181619
TI - Role of waist measures in addition to body mass index to assess the hypertension
risk in children.
AB - AIM: This study aimed to evaluate whether waist measures, including waist
circumference (WC) and waist-to-height ratio (WHtR), can improve the ability of
body mass index (BMI) to assess the hypertension risk when used as continuous
variables. METHODS: In this cross-sectional study, 82 432 Chinese children aged 9
17 years were included. Elevated BP was defined using age-sex-and-height-specific
references. Logistic regression model and area under the receiver operating
characteristic curve (AUC) were performed after BMI and waist measures were
converted into age-and-sex-based z-scores. RESULTS: WHtR, but not WC, was
associated with elevated BP after adjusting for BMI, with the odds ratios ranging
between 1.14 (95% confidence interval: 1.04, 1.25) and 1.30 (1.21, 1.39) for one
unit increase in WHtR z-score. Combined use of BMI and WHtR z-scores showed a
significantly larger AUC than BMI alone (p < 0.05), while joint use of BMI and WC
was not better than BMI alone. CONCLUSION: WHtR, rather than WC, provided further
information on hypertension risk beyond that provided by BMI alone when used as a
continuous variable. This study suggests WHtR, in addition to BMI, should be
included for the assessment of childhood adiposity in routine paediatric
practice.
PMID- 27181606
TI - Indacaterol-Glycopyrronium versus Salmeterol-Fluticasone for COPD.
AB - BACKGROUND: Most guidelines recommend either a long-acting beta-agonist (LABA)
plus an inhaled glucocorticoid or a long-acting muscarinic antagonist (LAMA) as
the first-choice treatment for patients with chronic obstructive pulmonary
disease (COPD) who have a high risk of exacerbations. The role of treatment with
a LABA-LAMA regimen in these patients is unclear. METHODS: We conducted a 52
week, randomized, double-blind, double-dummy, noninferiority trial. Patients who
had COPD with a history of at least one exacerbation during the previous year
were randomly assigned to receive, by inhalation, either the LABA indacaterol
(110 MUg) plus the LAMA glycopyrronium (50 MUg) once daily or the LABA salmeterol
(50 MUg) plus the inhaled glucocorticoid fluticasone (500 MUg) twice daily. The
primary outcome was the annual rate of all COPD exacerbations. RESULTS: A total
of 1680 patients were assigned to the indacaterol-glycopyrronium group, and 1682
to the salmeterol-fluticasone group. Indacaterol-glycopyrronium showed not only
noninferiority but also superiority to salmeterol-fluticasone in reducing the
annual rate of all COPD exacerbations; the rate was 11% lower in the indacaterol
glycopyrronium group than in the salmeterol-fluticasone group (3.59 vs. 4.03;
rate ratio, 0.89; 95% confidence interval [CI], 0.83 to 0.96; P=0.003). The
indacaterol-glycopyrronium group had a longer time to the first exacerbation than
did the salmeterol-fluticasone group (71 days [95% CI, 60 to 82] vs. 51 days [95%
CI, 46 to 57]; hazard ratio, 0.84 [95% CI, 0.78 to 0.91], representing a 16%
lower risk; P<0.001). The annual rate of moderate or severe exacerbations was
lower in the indacaterol-glycopyrronium group than in the salmeterol-fluticasone
group (0.98 vs. 1.19; rate ratio, 0.83; 95% CI, 0.75 to 0.91; P<0.001), and the
time to the first moderate or severe exacerbation was longer in the indacaterol
glycopyrronium group than in the salmeterol-fluticasone group (hazard ratio,
0.78; 95% CI, 0.70 to 0.86; P<0.001), as was the time to the first severe
exacerbation (hazard ratio, 0.81; 95% CI, 0.66 to 1.00; P=0.046). The effect of
indacaterol-glycopyrronium versus salmeterol-fluticasone on the rate of COPD
exacerbations was independent of the baseline blood eosinophil count. The
incidence of adverse events and deaths was similar in the two groups. The
incidence of pneumonia was 3.2% in the indacaterol-glycopyrronium group and 4.8%
in the salmeterol-fluticasone group (P=0.02). CONCLUSIONS: Indacaterol
glycopyrronium was more effective than salmeterol-fluticasone in preventing COPD
exacerbations in patients with a history of exacerbation during the previous
year. (Funded by Novartis; FLAME ClinicalTrials.gov number, NCT01782326.).
PMID- 27181620
TI - Conscientious objection - to be or not to be.
PMID- 27181621
TI - Prevalence of and risk factors for sexual dysfunction in young Chinese women
according to the Female Sexual Function Index: an internet-based survey.
AB - OBJECTIVES: Female sexual dysfunction (FSD) is a very common sexual health
problem worldwide. The prevalence of FSD in Chinese women is, however, unknown.
This is the first study to investigate a large number of young women throughout
China via the internet, to determine the prevalence and types of FSD and to
identify the risk factors for FSD. METHODS: The primary endpoint was the Female
Sexual Function Index (FSFI) score, with additional questions on contraception,
sexual activity, relationship stability, pregnancy and other factors which may
influence sexual function. The online questionnaire was completed by women from
31 of the 34 Chinese provinces. RESULTS: A total of 1618 completed questionnaires
were received, and 1010 were included in the analyses after screening (62.4%).
The mean age of the respondents was 25.1 +/- 4.5 years. The mean total FSFI score
was 24.99 +/- 4.60. According to FSFI definitions (cut-off score 26.55), 60.2% of
women were at risk of FSD. Based on domain scores, 52 were considered at high
risk of dysfunction for pain (5.1%), 35 for orgasm (3.5%), 33 for desire (3.3%),
20 for arousal (2.0%), 6 for satisfaction (0.6%) and 2 for lubrication (0.2%).
CONCLUSIONS: The prevalence of FSFI scores indicating risk of sexual dysfunction
was about 60% in Chinese women. An unstable relationship, pressure to become
pregnant, non-use of contraception, negative self-evaluation of appearance and
increasing age were significantly associated with FSD in young Chinese women.
PMID- 27181622
TI - Anodic electrogenerated chemiluminescence behavior and the choline biosensing
application of blue emitting conjugated polymer dots.
AB - The anodic electrochemiluminescence (ECL) behavior of poly(9,9-dioctylfluorenyl
2,7-diyl) (PFO) dots was studied and applied in oxidoreductase-based ECL
biosensing using Chox as the model enzyme.
PMID- 27181623
TI - Is There a Difference in Cost Between Standard and Virtual Surgical Planning for
Orthognathic Surgery?
AB - PURPOSE: Virtual surgical planning (VSP) and 3-dimensional printing of surgical
splints are becoming the standard of care for orthognathic surgery, but costs
have not been thoroughly evaluated. The purpose of this study was to compare the
cost of VSP and 3-dimensional printing of splints ("VSP") versus that of 2
dimensional cephalometric evaluation, model surgery, and manual splint
fabrication ("standard planning"). MATERIALS AND METHODS: This is a retrospective
cohort study including patients planned for bimaxillary surgery from January 2014
to January 2015 at Massachusetts General Hospital. Patients were divided into 3
groups by case type: symmetric, nonsegmental (group 1); asymmetric (group 2); and
segmental (group 3). All cases underwent both VSP and standard planning with
times for all activities recorded. The primary and secondary predictor variables
were method of treatment planning and case type, respectively. Time-driven
activity-based micro-costing analysis was used to quantify the differences in
cost. Results were analyzed using a paired t test and analysis of variance.
RESULTS: The sample included 43 patients (19 in group 1, 17 in group 2, and 7 in
group 3). The average times and costs were 194 +/- 14.1 minutes and $2,765.94,
respectively, for VSP and 540.9 +/- 99.5 minutes and $3,519.18, respectively, for
standard planning. For the symmetric, nonsegmental group, the average times and
costs were 188 +/- 17.8 minutes and $2,700.52, respectively, for VSP and 524.4 +/
86.1 minutes and $3,380.17, respectively, for standard planning. For the
asymmetric group, the average times and costs were 187.4 +/- 10.9 minutes and
$2,713.69, respectively, for VSP and 556.1 +/- 94.1 minutes and $3,640.00,
respectively, for standard planning. For the segmental group, the average times
and costs were 208.8 +/- 13.5 minutes and $2,883.62, respectively, for VSP and
542.3 +/- 118.4 minutes and $3,537.37, respectively, for standard planning. All
time and cost differences were statistically significant (P < .001). CONCLUSIONS:
The results of this study indicate that VSP for bimaxillary orthognathic surgery
takes significantly less time and is less expensive than standard planning for
the 3 types of cases analyzed.
PMID- 27181625
TI - The effect of time in use on the display performance of the iPad.
AB - OBJECTIVE: The aim of this study was to evaluate changes to the luminance,
luminance uniformity and conformance to the digital imaging and communication in
medicine greyscale standard display function (GSDF) as a function of time in use
for the iPad. METHODS: Luminance measurements of the American Association of
Physicists in Medicine (AAPM) Group 18 task group (TG18) luminance uniformity and
luminance test patterns (TG18-UNL and TG18-LN8) were performed using a calibrated
near-range luminance meter. Nine sets of measurements were taken, where the time
in use of the iPad ranged from 0 to 2500 h. RESULTS: The maximum luminance (Lmax)
of the display decreased (367-338 cdm(-2)) as a function of time. The minimum
luminance remained constant. The maximum non-uniformity coefficient was 11%.
Luminance uniformity decreased slightly as a function of time in use. The
conformance of the iPad deviated from the GSDF curve at commencement of use.
Deviation did not increase as a function of time in use. CONCLUSION: This study
has demonstrated that the iPad display exhibits luminance degradation typical of
liquid crystal displays. The Lmax of the iPad fell below the American College of
Radiology-AAPM-Society of Imaging Informatics in Medicine recommendations for
primary displays (>350 cdm(-2)) at approximately 1000 h in use. The Lmax
recommendation for secondary displays (>250 cdm(-2)) was exceeded during the
entire study. The maximum non-uniformity coefficient did not exceed the
recommendations for either primary or secondary displays. The deviation from the
GSDF exceeded the recommendations of the TG18 for use as either a primary or
secondary display. ADVANCES IN KNOWLEDGE: The brightness, uniformity and contrast
response are reasonably stable over the useful lifetime of the device; however,
the device fails to meet the contrast response standard for either a primary or
secondary display.
PMID- 27181624
TI - Morbidity and Mortality Rates After Maxillomandibular Advancement for Treatment
of Obstructive Sleep Apnea.
AB - PURPOSE: To compare morbidity and mortality rates in obstructive sleep apnea
(OSA) versus dentofacial deformity (DFD) patients undergoing equivalent
maxillofacial surgical procedures. PATIENTS AND METHODS: Patients with OSA who
underwent maxillomandibular advancement with genial tubercle advancement in the
Massachusetts General Hospital Department of Oral and Maxillofacial Surgery from
December 2002 to June 2011 were matched to patients with DFD undergoing similar
maxillofacial procedures during the same period. They were compared regarding
demographic variables, medical comorbidities, perioperative management,
intraoperative complications, early and late postoperative complications, and
mortality rate. RESULTS: A study group of 28 patients with OSA and a control
group of 26 patients with DFD were compared. The patients with OSA were older
(41.9 +/- 12.5 years vs 21.7 +/- 8.6 years), had a higher American Society of
Anesthesiologists classification (2.0 +/- 0.5 vs 1.3 +/- 0.6), and had a higher
body mass index (29.6 +/- 4.7 kg/m(2) vs 23.0 +/- 3.1 kg/m(2)). They also had a
greater number of medical comorbidities (2.4 +/- 2.3 vs 0.7 +/- 1.0). More OSA
patients than DFD patients had complications (28 [100%] vs 19 [73%], P = .003),
and the total number of complications in the OSA group was higher (108 vs 33, P <
.001). Of the complications, 13.9% in the OSA group and 3.0% in the DFD group
were classified as major. The absolute risk of a complication was 3.9 for the OSA
group versus 1.3 for the DFD group. The relative risk of complications in OSA
patients compared with DFD patients was 3.0. No difference in mortality rate was
found. CONCLUSIONS: The patients in the OSA group were older, had more
comorbidities, and ultimately had a greater number of early, late, minor, and
major complications than those in the DFD group. The incidence of death in both
groups was zero. Maxillomandibular advancement appears to be a safe procedure
regarding mortality rate, but OSA patients should be counseled preoperatively
regarding the relative increased risk of complications.
PMID- 27181626
TI - Tuning the composition of Bi x W y O nanorods towards zero bias PEC water
splitting.
AB - A unique co-oblique angle deposition method was used to create nanorod arrays of
mixed phase Bi2O3/WO3/Bi2WO6 with varying atomic ratios of Bi to W. The effect of
the tuning on the resulting nanostructures was characterized by EDX, SEM, XRD,
optical transmission, specular reflection, and diffuse reflection spectroscopy.
Samples with different Bi:W atomic ratio had a wide range of morphology and
composition due to the surface mobility of deposited bismuth and its volume
expansion during oxidation. Their photocatalytic and photoelectrochemical
properties were investigated by methylene blue degradation and photo-generated
current respectively. The sample with 38 at.% Bi showed the highest photodecay
rates as well as the maximum photocurrent density, 4.3 MUA cm(-2), at a bias
potential of 600 mV versus Ag/AgCl (3M KCl); while the sample with 50 at.% Bi
exhibited a high photocurrent density of 0.35 MUA cm(-2) at zero bias potential,
which indicates that varying the composition and mixed crystal phases of
different oxides with appropriate band gaps and locations could hold the key to a
visible light driven, zero bias potential, photoelectrochemical cell.
PMID- 27181627
TI - Fatness of female field hockey players: Comparison of estimates with different
methods.
AB - The aim of the study was to compare relative body fat (% fat) in female field
hockey players using several methods with dual-energy X-ray absorptiometry (DXA)
as the reference. Participants were 31 Polish hockey players 16-30 years of age,
17 national and 14 youth level. Percent body fat was estimated by DXA (reference
method), conventional and segmental bioelectrical impedance analysis (BIA), and
predicted from skinfolds (SKF). National and youth team members did not differ in
estimated body fat. Correlations between BIA and skinfold estimates of % fat and
DXA % fat though significant, were moderate. Both % fat SKF and % fat SBIA
differed significantly from % fat DXA, while estimated % fat BIA and % fat DXA
did not differ. Limits of agreement were narrow for conventional BIA (-1.20 to
1.71% fat), followed by segmental BIA (3.72-6.09% fat) and broadest for SKF (5.97
9.28% fat). Differences between DXA % fat and estimated % fat with SKF and SBIA
increased from the leanest to fattest athletes, whereas conventional BIA
overestimated % fat relative to DXA in the small sample of individuals with low
relative fatness and underestimated % fat in individuals with elevated relative
fatness. Estimated % fat from conventional BIA most closely approximated DXA %
fat in this sample of female field hockey players suggesting that the method may
be suitable for field surveys to monitor body composition during the season.
PMID- 27181628
TI - Chemotherapeutic treatment is associated with Notch1 induction in cutaneous T
cell lymphoma.
AB - The Notch pathway is important for survival of cutaneous T-cell lymphoma (CTCL)
cells. We investigated the effect of chemotherapy (doxorubicin, etoposide, and
gemcitabine) and radiation modalities on Notch signaling in CTCL cell lines.
Chemotherapy induced Notch1 expression at the mRNA and protein level in MyLa2000
and Hut78. Upregulation of well-established Notch targets supported the
functional activity of Notch1. Transfection of Notch1 siRNA into MyLa2000 cells
was not able to suppress the effects of chemotherapy on Notch1 activation
significantly. Notch1 knockdown in combination with doxorubicin, etoposide, or
gemcitabine compared to chemotherapy alone decreased cell viability by 12, 20,
and 26%, respectively (p < 0.05). Additionally, X-rays (in MyLa2000 but not SeAx)
and psoralen plus UVA (PUVA) (in MyLa2000, Hut78, and SeAx) increased the
expression of Notch1 family members. Our results indicate that CTCL cells
activate the Notch pathway in vitro in response to chemotherapy and radiation
modalities as a possible protective mechanism.
PMID- 27181629
TI - Identification of rs671, a common variant of ALDH2, as a gout susceptibility
locus.
AB - Gout is a common disease resulting from hyperuricemia. Recently, a genome-wide
association study identified an association between gout and a single nucleotide
polymorphism (SNP) rs2188380, located on an intergenic region between MYL2 and
CUX2 on chromosome 12. However, other genes around rs2188380 could possibly be
gout susceptibility genes. Therefore, we performed a fine-mapping study of the
MYL2-CUX2 region. From 8,595 SNPs in the MYL2-CUX2 region, 9 tag SNPs were
selected, and genotyping of 1,048 male gout patients and 1,334 male controls was
performed by TaqMan method. Eight SNPs showed significant associations with gout
after Bonferroni correction. rs671 (Glu504Lys) of ALDH2 had the most significant
association with gout (P = 1.7 * 10(-18), odds ratio = 0.53). After adjustment
for rs671, the other 8 SNPs no longer showed a significant association with gout,
while the significant association of rs671 remained. rs671 has been reportedly
associated with alcohol drinking behavior, and it is well-known that alcohol
drinking elevates serum uric acid levels. These data suggest that rs671, a common
functional SNP of ALDH2, is a genuine gout-associated SNP in the MYL2-CUX2 locus
and that "A" allele (Lys) of rs671 plays a protective role in the development of
gout.
PMID- 27181634
TI - Inhibitory effects of geraniin on LPS-induced inflammation via regulating NF
kappaB and Nrf2 pathways in RAW 264.7 cells.
AB - Geraniin, a major polyphenolic compound of Geranium sibiricum L, has long been
used as an important Chinese herbal medicine for the treatment of a variety of
inflammatory pathologies. However, the underlying anti-inflammatory molecular
mechanisms of this compound are not clear. The aim of the present study was to
investigate the anti-inflammatory activities of geraniin and elucidate the
underlying mechanisms. The anti-inflammatory effects of geraniin were studied by
using lipopolysaccharide (LPS)-stimulated RAW264.7 cells. Geraniin suppressed the
inducible nitric oxide synthase (iNOS) expression, and inhibited reactive oxygen
species (ROS) production. Subsequent studies demonstrated that geraniin
effectively reduced production of NO and pro-inflammatory cytokines. These
effects were mediated by impaired translocation of nuclear factor (NF)-kappaB and
inhibition of the phosphorylation of Akt in LPS-stimulated RAW 264.7 cells.
Furthermore, geraniin induced heme oxygenase-1 (HO-1) expression via activation
of transcription factor Nrf2. This study gives scientific evidence that geraniin
inhibits the LPS-induced expression of inflammatory mediators via suppression of
Akt-mediated NF-kappaB pathway as well as up-regulation of Nrf2/HO-1 pathway,
indicating that geraniin has a potential application in inflammatory conditions.
PMID- 27181630
TI - Marine cyanobacteria-derived serotonin receptor 2C active fraction induces
psychoactive behavioral effects in mice.
AB - CONTEXT: Marine cyanobacteria offer a robust resource for natural products drug
discovery due to the secondary metabolites they produce. OBJECTIVE: To identify
novel cyanobacterial compounds that exhibit CNS psychoactive effects. MATERIALS
AND METHODS: Cyanobacteria were collected from Las Perlas Archipelago, Panama and
subjected to dichloromethane/methanol extraction and fractionation by column
chromatography before being screened for affinity against a panel of CNS targets.
A 50:50 ethyl acetate:methanol fraction of one cyanobacterial extract (2064H) was
subjected to HPLC and the major peak was isolated (2064H3). At a dose of 20 MUg
per animal, 2064H and 2064H3 were tested in mice using behavioral assays that
included the forced swim, open field and formalin tests. RESULTS: 2064H was shown
to bind to the serotonin 2C (5-HT2C) receptor, a known target for depression and
pain treatment. 2064H showed 59.6% inhibition of binding of [3H]-mesulergine with
an IC50 value of 179 ng/mL and did not show inhibition of binding greater than
45% with any other receptors tested. Both 2064H and 2064H3 decreased immobility
time in the first minute of the tail suspension test. 2064H increased time,
distance and number of entries in the center region in the first half of the open
field test. 2064H increased overall nocifensive behaviors in the formalin test.
DISCUSSION AND CONCLUSION: Overall, manipulating the 5-HT2C receptor with these
receptor-specific ligands derived from cyanobacteria altered pain, depression and
anxiety-like behaviors, illustrating the importance of this receptor in affective
behaviors. These results demonstrate the potential of cyanobacteria as a source
for CNS active compounds.
PMID- 27181635
TI - The naturally occurring alpha-tocopherol stereoisomer RRR-alpha-tocopherol is
predominant in the human infant brain.
AB - alpha-Tocopherol is the principal source of vitamin E, an essential nutrient that
plays a crucial role in maintaining healthy brain function. Infant formula is
routinely supplemented with synthetic alpha-tocopherol, a racaemic mixture of
eight stereoisomers with less bioactivity than the natural stereoisomer RRR-alpha
tocopherol. alpha-Tocopherol stereoisomer profiles have not been previously
reported in the human brain. In the present study, we analysed total alpha
tocopherol and alpha-tocopherol stereoisomers in the frontal cortex (FC),
hippocampus (HPC) and visual cortex (VC) of infants (n 36) who died of sudden
infant death syndrome or other conditions. RRR-alpha-tocopherol was the
predominant stereoisomer in all brain regions (P<0.0001) and samples, despite a
large intra-decedent range in total alpha-tocopherol (5-17 MUg/g). Mean RRR-alpha
tocopherol concentrations in FC, HPC and VC were 10.5, 6.8 and 5.5 MUg/g,
respectively. In contrast, mean levels of the synthetic stereoisomers were RRS, 1
1.5; RSR, 0.8-1.0; RSS, 0.7-0.9; and Sigma2S 0.2-0.3 MUg/g. Samples from all but
two decedents contained measurable levels of the synthetic stereoisomers, but the
intra-decedent variation was large. The ratio of RRR:the sum of the synthetic 2R
stereoisomers (RRS+RSR+RSS) averaged 2.5, 2.3 and 2.4 in FC, HPC and VC,
respectively, and ranged from 1 to at least 4.7, indicating that infant brain
discriminates against synthetic 2R stereoisomers in favour of RRR. These findings
reveal that RRR-alpha-tocopherol is the predominant stereoisomer in infant brain.
These data also indicate that the infant brain discriminates against the
synthetic 2R stereoisomers, but is unable to do so completely. On the basis of
these findings, investigation into the impact of alpha-tocopherol stereoisomers
on neurodevelopment is warranted.
PMID- 27181637
TI - Effects of maternal hypothyroidism during pregnancy on learning, memory and
hippocampal BDNF in rat pups: Beneficial effects of exercise.
AB - Hypothyroidism during early development leads to numerous morphological,
biochemical and functional changes in developing brain. In this study, we
investigated the effects of voluntary and treadmill exercise on learning, memory
and hippocampal BDNF levels in both hypothyroid male and female rat pups. To
induce hypothyroidism in the mothers, 6-propyl-2-thiouracil (PTU) was added to
their drinking water (100mg/L) from their embryonic day 6 to their postnatal day
(PND) 21. For 14days, from PNDs 31 to 44, the rat pups were trained with one of
the two different exercise protocols, namely the mild treadmill exercise and the
voluntary wheel exercise. On PNDs 45-52, a water maze was used for testing their
learning and memory ability. The rats were sacrificed one day later and their
BDNF levels were then measured in the hippocampus. The findings of the present
study indicate that hypothyroidism during the fetal period and the early
postnatal period is associated with the impairment of spatial learning and memory
and reduced hippocampal BDNF levels in both male and female rat offspring. Both
the short-term treadmill exercise and the voluntary wheel exercise performed
during the postnatal period reverse the behavioral and neurochemical deficits
induced by developmental thyroid hormone insufficiency in both male and female
rat offspring. The findings of this study thus demonstrate a marked reversibility
of both behavioral and neurochemical disorders induced by developmental thyroid
hormone insufficiency through the performance of exercise.
PMID- 27181638
TI - Hematocrit analysis through the use of an inexpensive centrifugal polyester-toner
device with finger-to-chip blood loading capability.
AB - Hematocrit (HCT) measurements are important clinical diagnostic variables that
help physicians diagnose and treat various medical conditions, ailments, and
diseases. In this work, we present the HCT Disc, a centrifugal microdevice
fabricated by a Print, Cut and Laminate (PCL) method to generate a 12-sample HCT
device from materials costing <0.5 USD (polyester and toner or PeT). Following
introduction from a drop of blood (finger stick), whole blood metering and cell
sedimentation are controlled by centrifugal force, only requiring a CD player
motor as external hardware and, ultimately, a cell phone for detection. The
sedimented volume from patient blood in the HCT Disc was analyzed using a
conventional scanner/custom algorithm for analysis of the image to determine a
hematocrit value, and these were compared to values generated in a clinical
laboratory, which correlated well. To enhance portability and assure simplicity
of the HCT measurement, values from image analysis by a cell phone using a custom
application was compared to the scanner. Fifteen samples were analyzed with cell
phone image analysis system and were found to be within 4% of the HCT values
determined in the clinical lab. We demonstrate the feasibility of the PeT device
for HCT measurement, and highlight its uniquely low cost (<0.5 USD), speed
(sample-to-answer <8 min), multiplexability (12 samples), low volume whole blood
requirement (<3 MUL), rotation speeds (<4000 rpm) needed for effective
measurement as well as the direct finger-to-chip sample loading capability.
PMID- 27181639
TI - Is the solvation parameter model or its adaptations adequate to account for ionic
interactions when characterizing stationary phases for drug impurity profiling
with supercritical fluid chromatography?
AB - Nine commercially available polar and aromatic stationary phases were
characterized under supercritical fluid chromatographic (SFC) conditions.
Retention data of 64 pharmaceutical compounds was acquired to generate models
based on the linear solvation energy relationship (LSER) approach. Previously,
adaptation of the LSER model was done in liquid chromatography by the addition of
two solute descriptors to describe the influence of positive (D(+)) and negative
(D(-)) charges on the retention of ionized compounds. In this study, the LSER
models, with and without the ionization terms for acidic and basic solutes, were
compared. The improved fits obtained for the modified models support inclusion of
the D(+) and D(-) terms for pharmaceutical compounds. Moreover, the statistical
significance of the new terms in the models indicates the importance of ionic
interactions in the retention of pharmaceutical compounds in SFC. However, unlike
characterization through the retention profiles, characterization of the
stationary phases by modelling never explains the retention variance completely
and thus seems less appropriate.
PMID- 27181636
TI - Usp9x-deficiency disrupts the morphological development of the postnatal
hippocampal dentate gyrus.
AB - Within the adult mammalian brain, neurogenesis persists within two main discrete
locations, the subventricular zone lining the lateral ventricles, and the
hippocampal dentate gyrus. Neurogenesis within the adult dentate gyrus
contributes to learning and memory, and deficiencies in neurogenesis have been
linked to cognitive decline. Neural stem cells within the adult dentate gyrus
reside within the subgranular zone (SGZ), and proteins intrinsic to stem cells,
and factors within the niche microenvironment, are critical determinants for
development and maintenance of this structure. Our understanding of the
repertoire of these factors, however, remains limited. The deubiquitylating
enzyme USP9X has recently emerged as a mediator of neural stem cell identity.
Furthermore, mice lacking Usp9x exhibit a striking reduction in the overall size
of the adult dentate gyrus. Here we reveal that the development of the postnatal
SGZ is abnormal in mice lacking Usp9x. Usp9x conditional knockout mice exhibit a
smaller hippocampus and shortened dentate gyrus blades from as early as P7.
Moreover, the analysis of cellular populations within the dentate gyrus revealed
reduced stem cell, neuroblast and neuronal numbers and abnormal neuroblast
morphology. Collectively, these findings highlight the critical role played by
USP9X in the normal morphological development of the postnatal dentate gyrus.
PMID- 27181640
TI - Development of a mediated whole cell-based electrochemical biosensor for joint
toxicity assessment of multi-pollutants using a mixed microbial consortium.
AB - Since most risk assessment for toxicants is based on individual single-species
test, the deduction of such results to ecosystem evaluation is afflicted with
uncertainties. Herein, we successfully developed a p-benzoquinone mediated whole
cell electrochemical biosensor for multi-pollutants toxicological analysis by co
immobilizing mixed strains of microorganism, including Escherichia coli (gram
negative bacteria), Bacillus subtilis (gram-positive bacteria) and Saccharomyces
cerevisiae (fungus). The individual and combined toxicities of heavy metal ions
(Cu(2+), Cd(2+)), phenol (3,5-dichlorophenol) and pesticides (Ametryn, Acephate)
were examined. The experimental results showed that the order of toxicity for
individual toxicant was ranked as Cu(2+) > 3,5-dichlorophenol (DCP) > Ametryn >
Cd(2+) > Acephate. Then the toxic unit (TU) model was applied to determine the
nature of toxicological interaction of the toxicants which can be classified as
concentration additive (IC50mix = 1TU), synergistic (IC50mix < 1TU) and
antagonistic (IC50mix > 1TU) responses. The binary combination of Cu(2+) +
Cd(2+), Cu(2+) + DCP, Cu(2+) + Acephate, DCP + Acephate, Acephate + Ametryn were
analyzed and the three kind of joint toxicity effects (i.e. additive, synergistic
and antagonistic) mentioned above were observed according to the dose-response
relationship. The results indicate that the whole-cell electrochemical biosensor
based on mixed microbial consortium is more reasonable to reflect the joint
biotoxicity of multi-pollutants existing in real wastewater, and combined effects
of toxicants is extremely necessary to be taken into account in ecological risk
assessment. Thus, present study has provided a promising approach to the quality
assessment of wastewater and a reliable way for early risk warning of acute
biotoxicity.
PMID- 27181641
TI - Electrochemical detection of DNA 3'-phosphatases based on surface-extended DNA
nanotail strategy.
AB - Determination of DNA dephosphorylation is of great value due to its vital role in
many cellular processes. Here we report a surface-extended DNA nanotail strategy
for simple and ultrasensitive detection of DNA 3'-phosphatases by terminal
deoxynucleotidyl transferase (TdT) mediated signal amplification. In this work,
DNA probes labeled with thiols at their 5' terminals and phosphoryls at 3'
terminals are immobilized on gold electrode and are used as substrates for DNA 3'
phosphatases, taking T4 polynucleotide kinase phosphatase (T4PNKP) as an example.
T4PNKP can catalyze the dephosphorylation reaction of the substrate DNA, followed
by the formation of a long DNA strand by TdT on its 3' terminal hydroxyl, leading
to an evident chronocoulometry signal enhancement. The proposal presents a
considerable analytical performance with low detection limit and wide linear
range, making it promise to be applied in the fields of DNA dephosphorylation
related processes, drug discovery, and clinical diagnostics.
PMID- 27181642
TI - Development of natural sorbent based micro-solid-phase extraction for
determination of phthalate esters in milk samples.
AB - In the present study, a natural sorbent based micro-solid phase extraction (MU
SPE) was developed for determination of phthalate esters in milk samples. For the
first time, an efficient and cost effective natural material (seed powder of
Moringa oleifera) was employed as sorbent in MU-SPE. The sorbent was found to be
naturally enriched with variety of functional groups and having a network of
interconnected fibers. This method of extraction integrates different steps such
as removal of proteins and fatty stuff, extraction and pre-concentration of
target analytes into a single step. Thirteen phthalate esters were selected as
target compounds for the development and evaluation of method. Some key
parameters affecting the extraction efficiency were optimized, including
selection of membrane, selection and amount of sorbent, extraction time,
desorption solvent, volume of desorption solvent, desorption time and effect of
salt addition. Under the optimum conditions, very good linearity was achieved for
all the analytes with coefficient of determinations (R(2)) ranging between 0.9768
and 0.9977. The limits of detection ranged from 0.01 to 1.2 MUg L(-1). Proposed
method showed satisfactory reproducibility with relative standard deviations
ranging from 3.6% to 10.2% (n = 7). Finally, the developed method was applied to
tetra pack and bottled milk samples for the determination of phthalate esters.
The performance of natural sorbent based MU-SPE was better or comparable to the
methods reported in the literature.
PMID- 27181643
TI - Sorptive thin film microextraction followed by direct solid state
spectrofluorimetry: A simple, rapid and sensitive method for determination of
carvedilol in human plasma.
AB - A poly acrylate-ethylene glycol (PA-EG) thin film is introduced for the first
time as a novel polar sorbent for sorptive extraction method coupled directly to
solid-state spectrofluorimetry without the necessity of a desorption step. The
structure, polarity, fluorescence property and extraction performance of the
developed thin film were investigated systematically. Carvedilol was used as the
model analyte to evaluate the proposed method. The entire procedure involved one
step extraction of carvedilol from plasma using PA-EG thin film sorptive phase
without protein precipitation. Extraction variables were studied in order to
establish the best experimental conditions. Optimum extraction conditions were
the followings: stirring speed of 1000 rpm, pH of 6.8, extraction temperature of
60 degrees C, and extraction time of 60 min. Under optimal conditions,
extraction of carvedilol was carried out in spiked human plasma; and the linear
range of calibration curve was 15-300 ng mL(-1) with regression coefficient of
0.998. Limit of detection (LOD) for the method was 4.5 ng mL(-1). The intra- and
inter-day accuracy and precision of the proposed method were evaluated in plasma
sample spiked with three concentration levels of carvedilol; yielding a recovery
of 91-112% and relative standard deviation of less than 8%, respectively. The
established procedure was successfully applied for quantification of carvedilol
in plasma sample of a volunteer patient. The developed PA-EG thin film sorptive
phase followed by solid-state spectrofluorimetric method provides a simple, rapid
and sensitive approach for the analysis of carvedilol in human plasma.
PMID- 27181644
TI - Anti-idiotypic nanobody-alkaline phosphatase fusion proteins: Development of a
one-step competitive enzyme immunoassay for fumonisin B1 detection in cereal.
AB - A rapid and sensitive one-step competitive enzyme immunoassay for the detection
of FB1 was developed. The anti-idiotypic nanobody-alkaline phosphatase (Ab2beta
Nb-AP) was validated by the AP enzyme activity and the properties of bounding to
anti-FB1-mAb (3F11) through colorimetric and chemiluminescence analyses. The 50%
inhibitory concentration and the detection limit (LOD) of colorimetric enzyme
linked immunosorbent assay (ELISA) for FB1 were 2.69 and 0.35 ng mL(-1),
respectively, with a linear range of 0.93-7.73 ng mL(-1). The LOD of the
chemiluminescence ELISA (CLIA) was 0.12 ng mL(-1), and the IC50 was 0.89 +/- 0.09
ng mL(-1) with a linear range of 0.29-2.68 ng mL(-1). Compared with LC-MS/MS, the
results of this assay indicated the reliability of the Ab2beta-Nb-AP fusion
protein based one-step competitive immunoassay for monitoring FB1 contamination
in cereals. The Ab2beta-Nb-AP fusion proteins have the potential to replace
chemically-coupled probes in competitive enzyme immunoassay systems.
PMID- 27181645
TI - Chelate titrations of Ca(2+) and Mg(2+) using microfluidic paper-based analytical
devices.
AB - We developed microfluidic paper-based analytical devices (MUPADs) for the chelate
titrations of Ca(2+) and Mg(2+) in natural water. The MUPAD consisted of ten
reaction zones and ten detection zones connected through narrow channels to a
sample zone located at the center. Buffer solutions with a pH of 10 or 13 were
applied to all surfaces of the channels and zones. Different amounts of
ethylenediaminetetraacetic acid (EDTA) were added to the reaction zones and a
consistent amount of a metal indicator (Eriochrome Black T or Calcon) was added
to the detection zones. The total concentrations of Ca(2+) and Mg(2+) (total
hardness) in the water were measured using a MUPAD containing a buffer solution
with a pH of 10, whereas only Ca(2+) was titrated using a MUPAD prepared with a
potassium hydroxide solution with a pH of 13. The MUPADs permitted the
determination of Ca(2+) and Mg(2+) in mineral water, river water, and seawater
samples within only a few minutes using only the naked eye-no need of
instruments.
PMID- 27181646
TI - Collision cross section prediction of deprotonated phenolics in a travelling-wave
ion mobility spectrometer using molecular descriptors and chemometrics.
AB - The combination of ion mobility and mass spectrometry (MS) affords significant
improvements over conventional MS/MS, especially in the characterization of
isomeric metabolites due to the differences in their collision cross sections
(CCS). Experimentally obtained CCS values are typically matched with theoretical
CCS values from Trajectory Method (TM) and/or Projection Approximation (PA)
calculations. In this paper, predictive models for CCS of deprotonated phenolics
were developed using molecular descriptors and chemometric tools, stepwise
multiple linear regression (SMLR), principal components regression (PCR), and
partial least squares regression (PLS). A total of 102 molecular descriptors were
generated and reduced to 28 after employing a feature selection tool, composed of
mass, topological descriptors, Jurs descriptors and shadow indices. Therefore,
the generated models considered the effects of mass, 3D conformation and partial
charge distribution on CCS, which are the main parameters for either TM or PA
(only 3D conformation) calculations. All three techniques yielded highly
predictive models for both the training (R(2)SMLR = 0.9911; R(2)PCR = 0.9917;
R(2)PLS = 0.9918) and validation datasets (R(2)SMLR = 0.9489; R(2)PCR = 0.9761;
R(2)PLS = 0.9760). Also, the high cross validated R(2) values indicate that the
generated models are robust and highly predictive (Q(2)SMLR = 0.9859; Q(2)PCR =
0.9748; Q(2)PLS = 0.9760). The predictions were also very comparable to the
results from TM calculations using modified mobcal (N2). Most importantly, this
method offered a rapid (<10 min) alternative to TM calculations without
compromising predictive ability. These methods could therefore be used in routine
analysis and could be easily integrated to metabolite identification platforms.
PMID- 27181647
TI - MALDI-MS analysis of sialylated N-glycan linkage isomers using solid-phase two
step derivatization method.
AB - Sialic acids usually locate at the terminal of many glycan structures in either
alpha(2,3) or alpha(2,6) linkage, playing different roles in various biological
and pathological processes. Several linkage specific carboxyl derivatization
methods have been reported to discriminate between alpha(2,3) and alpha(2,6)
linked sialic acids by matrix-assisted laser desorption/ionization mass
spectrometry (MALDI-MS). Among them, ethyl esterification was recently reported
to achieve linkage specific derivatization between alpha(2,3) and alpha(2,6)
linked sialic acids with good selectivity. However, the method suffered from the
instability of the generated lactones and byproducts of the derivatives. To
overcome these shortcomings, a solid-phase two step derivatization method was
introduced to convert the alpha(2,6)-linked sialic acid into ethyl esters and the
alpha(2,3)-inked counterparts into N-methyl amides, respectively. Under the
optimized derivatization conditions, our method was able to achieve accurate
relative quantification of N-glycan as well as their corresponding sialylated
linkage types, superior to the ethyl esterification method. The solid phase
derivatization strategy was further applied to investigate N-glycans from
biosimilar antibody drug and human serum from patients and healthy volunteers.
This method has the potential to be used in the biomarker discovery and
pharmaceutical industry.
PMID- 27181648
TI - Computational design and multivariate optimization of an electrochemical
metoprolol sensor based on molecular imprinting in combination with carbon
nanotubes.
AB - This work describes the development of an electrochemical sensor based on a new
molecularly imprinted polymer for detection of metoprolol (MTP) at ultra-trace
level. The polypyrrole (PPy) was electrochemically synthesized on the tip of a
pencil graphite electrode (PGE) which modified whit functionalized multi-walled
carbon nanotubes (MWCNTs). The fabrication process of the sensor was
characterized by cyclic voltammetry (CV) and the measurement process was carried
out by differential pulse voltammetry (DPV). A computational approach was used to
screening functional monomers and polymerization solvent for rational design of
molecularly imprinted polymer (MIP). Based on computational results, pyrrole and
water were selected as functional monomer and polymerization solvent,
respectively. Several significant parameters controlling the performance of the
MIP sensor were examined and optimized using multivariate optimization methods
such as Plackett-Burman design (PBD) and central composite design (CCD). Under
the selected optimal conditions, MIP sensor was showed a linear range from 0.06
to 490 MUmol L(-1) MTP, a limit of detection of 2.88 nmol L(-1), a highly
reproducible response (RSD 3.9%) and a good selectivity in the presence of
structurally related molecules. Furthermore, the applicability of the method was
successfully tested with determination of MTP in real samples (tablet, and
serum).
PMID- 27181649
TI - Fabrication of a liquid-gated enzyme field effect device for sensitive glucose
detection.
AB - This study presents fabrication of a liquid-gated enzyme field effect device and
its implementation as a glucose biosensor. The device consisted of four
electrodes on a glass substrate with a channel functionalized by carboxylated
multi-walled carbon nanotubes-polyaniline nanocomposite (MWCNTCOOH/PAn) and
glucose oxidase. The resistance of functionalized channel increased with
increasing the concentration of glucose when an electric field was applied to the
liquid gate. The most effective and stable performance was obtained at the
applied electric field of 100 mV. The device resistance, R, exhibited a linear
relationship with the logarithm of glucose concentration in the range between
0.005 and 500 mM glucose. The detection limit (S/N = 3) for glucose was about 0.5
MUM. Large effective area and good conductivity properties of MWCNTCOOH/PAn
nanocomposite were the key features of the fabricated sensitive and stable
glucose biosensor.
PMID- 27181650
TI - Sensing lymphoma cells based on a cell-penetrating/apoptosis-inducing/electron
transfer peptide probe.
AB - To electrochemically sense lymphoma cells (U937), we fabricated a multifunctional
peptide probe that consists of cell-penetrating/apoptosis-inducing/electron
transfer peptides. Electron-transfer peptides derive from cysteine residue
combined with the C-terminals of four tyrosine residues (Y4). A peptide whereby
Y4C is bound to the C-terminals of protegrin 1 (RGGRLCYCRRRFCVCVGR-NH2) is known
to be an apoptosis-inducing agent against U937 cells, and is referred to as a
peptide-1 probe. An oxidation response of the peptide-1 probe has been observed
due to a phenolic hydroxyl group, and this response is decreased by the uptake of
the peptide probe into the cells. To improve the cell membrane permeability
against U937 cells, the RGGR at the N-terminals of the peptide-1 probe was
replaced by RRRR (peptide-2 probe). In contrast, RNRCKGTDVQAWY4C (peptide-3
probe), which recognizes ovalbumin, was constructed as a control. Compared with
the other probes, the change in the peak current of the peptide-2 probe was the
greatest at low concentrations and occurred in a short amount of time. Therefore,
the cell membrane permeability of the peptide-2 probe was increased based on the
arginine residues and the apoptosis-inducing peptides. The peak current was
linear and ranged from 100 to 1000 cells/ml. The relative standard deviation of
600 cells/ml was 5.0% (n = 5). Furthermore, the membrane permeability of the
peptide probes was confirmed using fluorescent dye.
PMID- 27181677
TI - Three novel approaches to structural identifiability analysis in mixed-effects
models.
AB - BACKGROUND AND OBJECTIVE: Structural identifiability is a concept that considers
whether the structure of a model together with a set of input-output relations
uniquely determines the model parameters. In the mathematical modelling of
biological systems, structural identifiability is an important concept since
biological interpretations are typically made from the parameter estimates. For a
system defined by ordinary differential equations, several methods have been
developed to analyse whether the model is structurally identifiable or otherwise.
Another well-used modelling framework, which is particularly useful when the
experimental data are sparsely sampled and the population variance is of
interest, is mixed-effects modelling. However, established identifiability
analysis techniques for ordinary differential equations are not directly
applicable to such models. METHODS: In this paper, we present and apply three
different methods that can be used to study structural identifiability in mixed
effects models. The first method, called the repeated measurement approach, is
based on applying a set of previously established statistical theorems. The
second method, called the augmented system approach, is based on augmenting the
mixed-effects model to an extended state-space form. The third method, called the
Laplace transform mixed-effects extension, is based on considering the moment
invariants of the systems transfer function as functions of random variables.
RESULTS: To illustrate, compare and contrast the application of the three
methods, they are applied to a set of mixed-effects models. CONCLUSIONS: Three
structural identifiability analysis methods applicable to mixed-effects models
have been presented in this paper. As method development of structural
identifiability techniques for mixed-effects models has been given very little
attention, despite mixed-effects models being widely used, the methods presented
in this paper provides a way of handling structural identifiability in mixed
effects models previously not possible.
PMID- 27181651
TI - Revealing the role of oxidation state in interaction between nitro/amino-derived
particulate matter and blood proteins.
AB - Surface oxidation states of ultrafine particulate matter can influence the
proinflammatory responses and reactive oxygen species levels in tissue. Surface
active species of vehicle-emission soot can serve as electron transfer-mediators
in mitochondrion. Revealing the role of surface oxidation state in particles
proteins interaction will promote the understanding on metabolism and toxicity.
Here, the surface oxidation state was modeled by nitro/amino ligands on
nanoparticles, the interaction with blood proteins were evaluated by capillary
electrophoresis quantitatively. The nitro shown larger affinity than amino. On
the other hand, the affinity to hemoglobin is 10(3) times larger than that to
BSA. Further, molecular docking indicated the difference of binding intensity
were mainly determined by hydrophobic forces and hydrogen bonds. These will
deepen the quantitative understanding of protein-nanoparticles interaction from
the perspective of surface chemical state.
PMID- 27181678
TI - Nanoencapsulation of Red Ginseng Extracts Using Chitosan with Polyglutamic Acid
or Fucoidan for Improving Antithrombotic Activities.
AB - The potential of nanoencapsulation using bioactive coating materials for
improving antithrombotic activities of red ginseng extract (RG) was examined. RG
loaded chitosan (CS) nanoparticles were prepared using antithrombotic materials,
polyglutamic acid (PGA) or fucoidan (Fu). Both CS-PGA (P-NPs, 360 +/- 67 nm) and
CS-Fu nanoparticles (F-NPs, 440 +/- 44 nm) showed sustained ginsenoside release
in an acidic environment and improved ginsenoside solubility by approximately
122.8%. Both in vitro rabbit and ex vivo rat platelet aggregation of RG (22.3 and
41.5%) were significantly (p < 0.05) decreased within P-NPs (14.4 and 30.0%) and
F-NPs (12.3 and 30.3%), respectively. Although RG exhibited no effect on in vivo
carrageenan-induced mouse tail thrombosis, P-NPs and F-NPs demonstrated
significant effects, likely the anticoagulation activity of PGA and Fu. Moreover,
in the in vivo rat arteriovenous shunt model, P-NPs (156 +/- 6.8 mg) and F-NPs
(160 +/- 3.2 mg) groups showed significantly lower thrombus formation than that
of RG (190 +/- 5.5 mg). Therefore, nanoencapsulation using CS, PGA, and Fu is a
potential for improving the antithrombotic activity of RG.
PMID- 27181679
TI - MEK2 controls the activation of MKK3/MKK6-p38 axis involved in the MDA-MB-231
breast cancer cell survival: Correlation with cyclin D1 expression.
AB - The Ras-Raf-MEK-ERK1/2 signaling pathway regulates fundamental processes in
malignant cells. However, the exact contributions of MEK1 and MEK2 to the
development of cancer remain to be established. We studied the effects of MEK
small-molecule inhibitors (PD98059 and U0126) and MEK1 and MEK2 knock-down on
cell proliferation, apoptosis and MAPK activation. We showed a diminution of cell
viability that was associated with a downregulation of cyclin D1 expression and
an increase of apoptosis marker in MEK2 silenced cells; by contrast, a slight
increase of cell survival was observed in the absence of MEK1 that correlated
with an augment of cyclin D1 expression. These data indicate that MEK2 but not
MEK1 is essential for MDA-MB-231 cell survival. Importantly, the role of MEK2 in
cell survival appeared independent on ERK1/2 phosphorylation since its absence
did not alter the level of activated ERK1/2. Indeed, we have reported an
unrevealed link between MEK2 and MKK3/MKK6-p38 MAPK axis where MEK2 was essential
for the phosphorylation of MKK3/MKK6 and p38 MAPK that directly impacted on
cyclin D1 expression. Importantly, the MEK1 inhibitor PD98059, like MEK1
silencing, induced an augment of cyclin D1 expression that correlated with an
increase of MDA-MB-231 cell proliferation suggesting that MEK1 may play a
regulatory role in these cells. In sum, the crucial role of MEK2 in MDA-MB-231
cell viability and the unknown relationship between MEK2 and MKK3/MKK6-p38 axis
here revealed may open new therapeutic strategies for aggressive breast cancer.
PMID- 27181680
TI - High therapeutic efficacy of Cathelicidin-WA against postweaning diarrhea via
inhibiting inflammation and enhancing epithelial barrier in the intestine.
AB - Diarrhea is a leading cause of death among young mammals, especially during
weaning. Here, we investigated the effects of Cathelicidin-WA (CWA) on diarrhea,
intestinal morphology, inflammatory responses, epithelial barrier and microbiota
in the intestine of young mammals during weaning. Piglets with clinical diarrhea
were selected and treated with saline (control), CWA or enrofloxacin (Enro) for 4
days. Both CWA and Enro effectively attenuated diarrhea. Compared with the
control, CWA decreased IL-6, IL-8 and IL-22 levels and reduced neutrophil
infiltration into the jejunum. CWA inhibited inflammation by down-regulating the
TLR4-, MyD88- and NF-kappaB-dependent pathways. Additionally, CWA improved
intestinal morphology by increasing villus and microvillus heights and enhancing
intestinal barrier function by increasing tight junction (TJ) protein expression
and augmenting wound-healing ability in intestinal epithelial cells. CWA also
improved microbiota composition and increased short-chain fatty acid (SCFA)
levels in feces. By contrast, Enro not only disrupted the intestinal barrier but
also negatively affected microbiota composition and SCFA levels in the intestine.
In conclusion, CWA effectively attenuated inflammation, enhanced intestinal
barrier function, and improved microbiota composition in the intestines of weaned
piglets. These results suggest that CWA could be an effective and safe therapy
for diarrhea or other intestinal diseases in young mammals.
PMID- 27181681
TI - Mutations in the Histone Modifier PRDM6 Are Associated with Isolated Nonsyndromic
Patent Ductus Arteriosus.
AB - Nonsyndromic patent ductus arteriosus (PDA) is a common congenital heart defect
(CHD) with both inherited and acquired causes, but the disease mechanisms have
remained elusive. Using combined genome-wide linkage analysis and whole-exome
sequencing (WES), we identified independent mutations in PRDM6, which encodes a
nuclear protein that is specific to vascular smooth muscle cells (VSMC), has
histone methyl transferase activities, and acts as a transcriptional suppressor
of contractile proteins. In vitro assays showed that the mutations cause loss of
function either by intracellular redistribution of the protein and/or by
alteration of its methyltransferase activities. Wild-type embryonic ductus
arteriosus (DA) exhibited high levels of PRDM6, which rapidly declined
postnatally as the number of VSMCs necessary for ductus contraction increased.
This dynamic change suggests that PRDM6 plays a key role in maintaining VSMCs in
an undifferentiated stage in order to promote their proliferation and that its
loss of activity results in premature differentiation and impaired remodeling of
the DA. Our findings identify PRDM6 mutations as underlying genetic causes of
nonsyndromic isolated PDA in humans and implicates the wild-type protein in
epigenetic regulation of ductus remodeling.
PMID- 27181683
TI - Loss of MAFB Function in Humans and Mice Causes Duane Syndrome, Aberrant
Extraocular Muscle Innervation, and Inner-Ear Defects.
AB - Duane retraction syndrome (DRS) is a congenital eye-movement disorder defined by
limited outward gaze and retraction of the eye on attempted inward gaze. Here, we
report on three heterozygous loss-of-function MAFB mutations causing DRS and a
dominant-negative MAFB mutation causing DRS and deafness. Using genotype
phenotype correlations in humans and Mafb-knockout mice, we propose a threshold
model for variable loss of MAFB function. Postmortem studies of DRS have reported
abducens nerve hypoplasia and aberrant innervation of the lateral rectus muscle
by the oculomotor nerve. Our studies in mice now confirm this human DRS
pathology. Moreover, we demonstrate that selectively disrupting abducens nerve
development is sufficient to cause secondary innervation of the lateral rectus
muscle by aberrant oculomotor nerve branches, which form at developmental
decision regions close to target extraocular muscles. Thus, we present evidence
that the primary cause of DRS is failure of the abducens nerve to fully innervate
the lateral rectus muscle in early development.
PMID- 27181685
TI - Efficacy of Combined Ultrasound-and-Microbubbles-Mediated Diclofenac Gel Delivery
to Enhance Transdermal Permeation in Adjuvant-Induced Rheumatoid Arthritis in the
Rat.
AB - A previous study that investigated the effect of ultrasound (US) on the
transdermal permeation of the non-steroidal anti-inflammatory drug diclofenac
found that therapeutic US can increase circulation in an inflamed joint and
decrease arthritic pain. Transdermal drug delivery has recently been demonstrated
by US combined with microbubbles (MB) contrast agent (henceforth referred to as
"US-MB"). The present study evaluated the efficacy of US-MB-mediated diclofenac
delivery for treating adjuvant-induced rheumatoid arthritis (RA) in rats. RA was
induced by injecting 100 MUL of complete Freund's adjuvant into the ankle joint
of male Sprague-Dawley rats (250-300 g) that were randomly divided into five
treatment groups: (i) carbopol gel alone (the control [group C]), (ii) diclofenac
carbopol gel (group D), (iii) US plus carbopol gel (group U), (iv) US plus
diclofenac-carbopol gel (group DU) and (v) US-MB plus diclofenac-carbopol gel
(group DUB). The ankle width was measured over 10 d using high-frequency (40-MHz)
US B-mode and color Doppler-mode imaging, covering the period before and after
treatment. Longitudinal US images of the induced RA showed synovitis and
neovascularity. Only a small amount of neovascularity was observed after
treatment. The recovery rate on day 10 was significantly higher in group DUB
(97.7% +/- 2.7%, mean +/- standard deviation [SD]) than in groups C (1.0% +/-
2.7%), D (37.5% +/- 4.6%), U (75.5% +/- 4.2%) and DU (87.3% +/- 5.2%) (p < 0.05).
The results obtained indicate that combining US and MB can increase the skin
permeability and thereby enhance the delivery of diclofenac sodium gel and
thereby inhibit inflammation of the tissues surrounding the arthritic ankle.
Color Doppler-mode imaging revealed that US-MB treatment induced a rapid
reduction in synovial neoangiogenesis in the arthritic area.
PMID- 27181684
TI - Performance of ACMG-AMP Variant-Interpretation Guidelines among Nine Laboratories
in the Clinical Sequencing Exploratory Research Consortium.
AB - Evaluating the pathogenicity of a variant is challenging given the plethora of
types of genetic evidence that laboratories consider. Deciding how to weigh each
type of evidence is difficult, and standards have been needed. In 2015, the
American College of Medical Genetics and Genomics (ACMG) and the Association for
Molecular Pathology (AMP) published guidelines for the assessment of variants in
genes associated with Mendelian diseases. Nine molecular diagnostic laboratories
involved in the Clinical Sequencing Exploratory Research (CSER) consortium
piloted these guidelines on 99 variants spanning all categories (pathogenic,
likely pathogenic, uncertain significance, likely benign, and benign). Nine
variants were distributed to all laboratories, and the remaining 90 were
evaluated by three laboratories. The laboratories classified each variant by
using both the laboratory's own method and the ACMG-AMP criteria. The agreement
between the two methods used within laboratories was high (K-alpha = 0.91) with
79% concordance. However, there was only 34% concordance for either
classification system across laboratories. After consensus discussions and
detailed review of the ACMG-AMP criteria, concordance increased to 71%. Causes of
initial discordance in ACMG-AMP classifications were identified, and
recommendations on clarification and increased specification of the ACMG-AMP
criteria were made. In summary, although an initial pilot of the ACMG-AMP
guidelines did not lead to increased concordance in variant interpretation,
comparing variant interpretations to identify differences and having a common
framework to facilitate resolution of those differences were beneficial for
improving agreement, allowing iterative movement toward increased reporting
consistency for variants in genes associated with monogenic disease.
PMID- 27181686
TI - Toward Deep Brain Monitoring with Superficial EEG Sensors Plus Neuromodulatory
Focused Ultrasound.
AB - Noninvasive recordings of electrophysiological activity have limited anatomic
specificity and depth. We hypothesized that spatially tagging a small volume of
brain with a unique electroencephalography (EEG) signal induced by pulsed focused
ultrasound could overcome those limitations. As a first step toward testing this
hypothesis, we applied transcranial ultrasound (2 MHz, 200-ms pulses applied at
1050 Hz for 1 s at a spatial peak temporal average intensity of 1.4 W/cm(2)) to
the brains of anesthetized rats while simultaneously recording EEG signals. We
observed a significant 1050-Hz electrophysiological signal only when ultrasound
was applied to a living brain. Moreover, amplitude demodulation of the EEG signal
at 1050 Hz yielded measurement of gamma band (>30 Hz) brain activity consistent
with direct measurements of that activity. These results represent preliminary
support for use of pulsed focused ultrasound as a spatial tagging mechanism for
non-invasive EEG-based mapping of deep brain activity with high spatial
resolution.
PMID- 27181687
TI - Real-Time Elastography and Contrast-Enhanced Ultrasonography in the Evaluation of
Testicular Masses: A Comparative Prospective Study.
AB - This study investigates the usefulness of contrast-enhanced ultrasound (CEUS) and
real-time elastography (RTE) for the characterization of testicular masses by
comparing pre-operative ultrasound findings with post-operative histology. Sixty
seven patients with 68 sonographically detected testicular masses underwent B
mode, color-coded Doppler sonography (CCDS), CEUS and RTE according to defined
criteria. For RTE, elasticity score (ES), difference of elasticity score (D-ES),
strain ratio (SR) and size quotient (Qsize) were evaluated. Histopathologically,
54/68 testicular lesions were neoplastic (47 malignant, 7 benign). Descriptive
statistics revealed the following results (neoplastic vs. non-neoplastic) for
sensitivity, specificity, positive predictive value, negative predictive value
and accuracy, respectively: B-mode, 100%, 43%, 87%, 100%, 88%; CCDS 81%, 86%,
96%, 55%, 82%; CEUS 93%, 85%, 96%, 73%, 91%; ES 98%, 25%, 85%, 75%, 85%; D-ES
98%, 50%, 90%, 83%, 89%; SR 90%, 45%, 86%, 56%, 81%; and Qsize 57%, 83%, 94%,
28%, 61%. B-mode with CCDS remains the standard for assessing testicular masses.
In characterization of testicular lesions, CEUS clearly outperformed all other
modalities. Our study does not support the routine use of RTE in testicular
ultrasonography because of its low specificity.
PMID- 27181688
TI - Comparative Study of Three Regimens of Bowel Preparation Before Transabdominal
Ultrasonography of the Colon.
AB - The objective of the study was to compare the efficacy of three bowel preparation
regimens for transabdominal colon ultrasonography. A total of 192 consecutive
patients were given one of three regimens (senna, magnesium sulfate or
polyethylene glycol electrolyte powder) before ultrasonographic examinations. The
cleaning grade (I = emptying; II = filled or filled + empty; III = I or II with
some retention; and IV = retention [grades I and II were termed "qualified"]) and
cleaning range (A = all seven colon sections were qualified; B = four to six
sections were qualified; C = three or less sections were qualified) were
evaluated retrospectively. Senna was found more effective than polyethylene
glycol in terms of cleaning grade (p < 0.001), qualified rate (p < 0.001) and
cleaning range (p = 0.003). Senna was better than magnesium sulfate in cleaning
grade (p < 0.001). Our results suggest that senna seems to be the preferred
regimen for bowel preparation before transabdominal colonic ultrasonography.
PMID- 27181689
TI - Frequency Analysis of the Photoacoustic Signal Generated by Coronary
Atherosclerotic Plaque.
AB - The identification of unstable atherosclerotic plaques in the coronary arteries
is emerging as an important tool for guiding percutaneous coronary interventions
and may enable preventive treatment of such plaques in the future. Assessment of
plaque stability requires imaging of both structure and composition.
Spectroscopic photoacoustic (sPA) imaging can visualize atherosclerotic plaque
composition on the basis of the optical absorption contrast. It is an established
fact that the frequency content of the photoacoustic (PA) signal is correlated
with structural tissue properties. As PA signals can be weak, it is important to
match the transducer bandwidth to the signal frequency content for in vivo
imaging. In this ex vivo study on human coronary arteries, we combined sPA
imaging and analysis of frequency content of the PA signals. Using a broadband
transducer (-3-dB one-way bandwidth of 10-35 MHz) and a 1-mm needle hydrophone
(calibrated for 1-20 MHz), we covered a large frequency range of 1-35 MHz for
receiving the PA signals. Spectroscopic PA imaging was performed at wavelengths
ranging from 1125 to 1275 nm with a step of 2 nm, allowing discrimination between
plaque lipids and adventitial tissue. Under sPA imaging guidance, the frequency
content of the PA signals from the plaque lipids was quantified. Our data
indicate that more than 80% of the PA energy of the coronary plaque lipids lies
in the frequency band below 8 MHz. This frequency information can guide the
choice of the transducer element used for PA catheter fabrication.
PMID- 27181690
TI - Sex- and Maturity-Related Differences in Cortical Bone at the Distal Radius and
Midshaft Tibia Evaluated by Quantitative Ultrasonography.
AB - Boys usually have higher values of bone mineral density measured by dual-energy X
ray absorptiometry than girls, but contradictorily also have a greater incidence
of fractures during growth. The purpose of this study was to investigate sex- and
maturity-related differences in bone speed of sound (SoS) at the radius and tibia
in a sample of 625 healthy children aged 10-14 y and to analyze the contributions
of physical activity (PA) to possible dissimilarities. Radial and tibial SoS was
evaluated by quantitative ultrasound, maturity was estimated as the years of
distance from the peak height velocity age, and PA was assessed by accelerometry.
Comparisons between sexes and maturity groups (low: below average [<-2.5 y],
high: average or above [>=-2.5 y]) were made by two-sample t-tests with unequal
variances. Girls in the high-maturity group had higher SoS at the radius and
tibia compared with girls in the low-maturity group (p < 0.001). There were no
SoS differences at the radius or tibia between the high- and low-maturity groups
in boys. Within high-maturity children, girls had higher SoS than boys at the
radius and tibia (p < 0.001). There were no differences at the radius and tibia
between girls and boys with low maturity. The results were not modified after
controlling for PA. Regardless of PA, the results provide insight into sex- and
maturity-related differences in bone SoS at the distal radius and midshaft tibia
from maturity less than 2.5 y from the peak height velocity age, with boys having
lower SoS.
PMID- 27181691
TI - Confined SnO2 quantum-dot clusters in graphene sheets as high-performance anodes
for lithium-ion batteries.
AB - Construction of metal oxide nanoparticles as anodes is of special interest for
next-generation lithium-ion batteries. The main challenge lies in their rapid
capacity fading caused by the structural degradation and instability of solid
electrolyte interphase (SEI) layer during charge/discharge process. Herein, we
address these problems by constructing a novel-structured SnO2-based anode. The
novel structure consists of mesoporous clusters of SnO2 quantum dots (SnO2 QDs),
which are wrapped with reduced graphene oxide (RGO) sheets. The mesopores inside
the clusters provide enough room for the expansion and contraction of SnO2 QDs
during charge/discharge process while the integral structure of the clusters can
be maintained. The wrapping RGO sheets act as electrolyte barrier and conductive
reinforcement. When used as an anode, the resultant composite (MQDC-SnO2/RGO)
shows an extremely high reversible capacity of 924 mAh g(-1) after 200 cycles at
100 mA g(-1), superior capacity retention (96%), and outstanding rate performance
(505 mAh g(-1) after 1000 cycles at 1000 mA g(-1)). Importantly, the materials
can be easily scaled up under mild conditions. Our findings pave a new way for
the development of metal oxide towards enhanced lithium storage performance.
PMID- 27181693
TI - Sandwich phosphate complexes of macrocyclic tris(urea) ligands and their rotation
around the anion.
AB - Four heteroditopic macrocyclic ligands incorporating both anion coordination
sites (tris-urea units) and a cation binding fragment (polyether) were designed
for possible application in molecular devices. Sandwich-type phosphate complexes
were formed, which display a reversible rotation around the anion upon
protonation/deprotonation of phosphate and binding of the cation (Emim(+)).
PMID- 27181692
TI - A method for controlling the synthesis of stable twisted two-dimensional
conjugated molecules.
AB - Thermodynamic stabilization (pi-electron delocalization through effective
conjugation) and kinetic stabilization (blocking the most-reactive sites) are
important considerations when designing stable polycyclic aromatic hydrocarbons
displaying tunable optoelectronic properties. Here, we demonstrate an efficient
method for preparing a series of stable two-dimensional (2D) twisted
dibenzoterrylene-acenes. We investigated their electronic structures and
geometries in the ground state through various experiments assisted by
calculations using density functional theory. We find that the length of the
acene has a clear effect on the photophysical, electrochemical, and magnetic
properties. These molecules exhibit tunable ground-state structures, in which a
stable open-shell quintet tetraradical can be transferred to triplet diradicals.
Such compounds are promising candidates for use in nonlinear optics, field effect
transistors and organic spintronics; furthermore, they may enable broader
applications of 2D small organic molecules in high-performance electronic and
optical devices.
PMID- 27181682
TI - Clinical Sequencing Exploratory Research Consortium: Accelerating Evidence-Based
Practice of Genomic Medicine.
AB - Despite rapid technical progress and demonstrable effectiveness for some types of
diagnosis and therapy, much remains to be learned about clinical genome and exome
sequencing (CGES) and its role within the practice of medicine. The Clinical
Sequencing Exploratory Research (CSER) consortium includes 18 extramural research
projects, one National Human Genome Research Institute (NHGRI) intramural
project, and a coordinating center funded by the NHGRI and National Cancer
Institute. The consortium is exploring analytic and clinical validity and
utility, as well as the ethical, legal, and social implications of sequencing via
multidisciplinary approaches; it has thus far recruited 5,577 participants across
a spectrum of symptomatic and healthy children and adults by utilizing both
germline and cancer sequencing. The CSER consortium is analyzing data and
creating publically available procedures and tools related to participant
preferences and consent, variant classification, disclosure and management of
primary and secondary findings, health outcomes, and integration with electronic
health records. Future research directions will refine measures of clinical
utility of CGES in both germline and somatic testing, evaluate the use of CGES
for screening in healthy individuals, explore the penetrance of pathogenic
variants through extensive phenotyping, reduce discordances in public databases
of genes and variants, examine social and ethnic disparities in the provision of
genomics services, explore regulatory issues, and estimate the value and
downstream costs of sequencing. The CSER consortium has established a shared
community of research sites by using diverse approaches to pursue the evidence
based development of best practices in genomic medicine.
PMID- 27181694
TI - Donor/acceptor chromophores-decorated triazolyl unnatural nucleosides: synthesis,
photophysical properties and study of interaction with BSA.
AB - Much effort has been put forth to develop unnatural, stable, hydrophobic base
pairs with orthogonal recognition properties and study their effect on DNA duplex
stabilisation. Our continuous efforts on the design of fluorescent unnatural
biomolecular building blocks lead us to the synthesis of some triazolyl
donor/acceptor unnatural nucleosides via an azide-alkyne 1,3-dipolar
cycloaddition reaction as a key step, which we want to report herein. We have
studied their photophysical properties and found interesting solvatochromic
fluorescence for two of the nucleosides. Photophysical interactions among two
donor-acceptor beta-nucleosides as well as a pair of alpha/beta-nucleosides have
also been evaluated. Furthermore, we have exploited one of the fluorescent
nucleosides in studying its interaction with BSA with the help of UV-visible and
steady state fluorescence techniques. Our design concept is based on the
hypothesis that a pair of such donor/acceptor nucleosides might be involved in pi
stacking as well as in photophysical interactions, leading to stabilization of
the DNA duplex if such nucleosides can be incorporated into short oligonucleotide
sequences. Therefore, the designed bases may find application in biophysical
studies in the context of DNA.
PMID- 27181695
TI - Structural-functional lung imaging using a combined CT-EIT and a Discrete Cosine
Transformation reconstruction method.
AB - Lung EIT is a functional imaging method that utilizes electrical currents to
reconstruct images of conductivity changes inside the thorax. This technique is
radiation free and applicable at the bedside, but lacks of spatial resolution
compared to morphological imaging methods such as X-ray computed tomography (CT).
In this article we describe an approach for EIT image reconstruction using
morphologic information obtained from other structural imaging modalities. This
leads to recon- structed images of lung ventilation that can easily be
superimposed with structural CT or MRI images, which facilitates image
interpretation. The approach is based on a Discrete Cosine Transformation (DCT)
of an image of the considered transversal thorax slice. The use of DCT enables
reduction of the dimensionality of the reconstruction and ensures that only
conductivity changes of the lungs are reconstructed and displayed. The DCT based
approach is well suited to fuse morphological image information with functional
lung imaging at low computational costs. Results on simulated data indicate that
this approach preserves the morphological structures of the lungs and avoids
blurring of the solution. Images from patient measurements reveal the
capabilities of the method and demonstrate benefits in possible applications.
PMID- 27181696
TI - Can a dietary quality score derived from a short-form FFQ assess dietary quality
in UK adult population surveys?
AB - OBJECTIVE: To devise a measure of diet quality from a short-form FFQ (SFFFQ) for
population surveys. To validate the SFFFQ against an extensive FFQ and a 24 h
diet recall. DESIGN: Population-based cross-sectional survey. SETTING: East Leeds
and Bolton in Northern England. SUBJECTS: Adults (n 1999) were randomly selected
from lists of those registered with a general practitioner in the study areas,
contacted by mail and asked to complete the SFFFQ. Responders were sent a longer
FFQ to complete and asked if they would take part in a telephone-based 24 h diet
recall. RESULTS: Results from 826 people completing the SFFFQ, 705 completing the
FFQ and forty-seven completing the diet recall were included in the analyses. The
dietary quality score (DQS), based on fruit, vegetable, oily fish, non-milk
extrinsic sugar and fat intakes, showed significant agreement between the SFFFQ
and the FFQ (kappa=0.38, P<0.001). The DQS for the SFFFQ and the diet recall did
not show significant agreement (kappa=0.04, P=0.312). A number of single items on
the SFFFQ predicted a 'healthy' DQS when calculated from the FFQ. The odds of
having a healthy diet were increased by 27 % (95 % CI 9, 49 %, P<0.001) for an
increase in fruit of 1 portion/d and decreased by 67 % (95 % CI 47, 79 %,
P<0.001) for an increase in crisps of 1 portion/d. CONCLUSIONS: The SFFFQ has
been shown to be an effective method of assessing diet quality. It provides an
important method for determining variations in diet quality within and across
different populations.
PMID- 27181700
TI - Addendum.
PMID- 27181699
TI - Long-term safety and efficacy of a novel once-weekly oral trelagliptin as
monotherapy or in combination with an existing oral antidiabetic drug in patients
with type 2 diabetes mellitus: A 52-week open-label, phase 3 study.
AB - AIMS/INTRODUCTION: Trelagliptin is a novel once-weekly oral dipeptidyl peptidase
4 inhibitor for type 2 diabetes mellitus that was first approved in Japan. We
evaluated long-term safety and efficacy of trelagliptin in Japanese patients with
type 2 diabetes mellitus. MATERIALS AND METHODS: This was a phase 3, multicenter,
open-label study to evaluate long-term safety and efficacy of trelagliptin.
Patients with type 2 diabetes mellitus inadequately controlled despite
diet/exercise or treatment with one of the existing oral antidiabetic drugs along
with diet/exercise received trelagliptin 100 mg orally once weekly for 52 weeks
as monotherapy or combination therapies. The primary end-points were the safety
variables, and the secondary end-points were glycosylated hemoglobin and fasting
plasma glucose. RESULTS: A total of 680 patients received the following
antidiabetic therapies: trelagliptin monotherapy (n = 248), combination with a
sulfonylurea (n = 158), a glinide (n = 67), an alpha-glucosidase inhibitor (n =
65), a biguanide (n = 70), or a thiazolidinedione (n = 72). During the study,
79.8% of the patients experienced at least one adverse event for monotherapy,
87.3% for combination with a sulfonylurea, 77.6% for a glinide, 81.5% for an
alpha-glucosidase inhibitor, 64.3% for a biguanide, and 84.7% for a
thiazolidinedione, respectively. Most of the adverse events were mild or
moderate. The change in glycosylated hemoglobin from baseline at the end of the
treatment period was -0.74 to -0.25% for each therapy. CONCLUSIONS: Once-weekly
oral trelagliptin provides well-tolerated long-term safety and efficacy in both
monotherapy and combination therapies in Japanese patients with type 2 diabetes
mellitus.
PMID- 27181701
TI - Giant edge state splitting at atomically precise graphene zigzag edges.
AB - Zigzag edges of graphene nanostructures host localized electronic states that are
predicted to be spin-polarized. However, these edge states are highly susceptible
to edge roughness and interaction with a supporting substrate, complicating the
study of their intrinsic electronic and magnetic structure. Here, we focus on
atomically precise graphene nanoribbons whose two short zigzag edges host exactly
one localized electron each. Using the tip of a scanning tunnelling microscope,
the graphene nanoribbons are transferred from the metallic growth substrate onto
insulating islands of NaCl in order to decouple their electronic structure from
the metal. The absence of charge transfer and hybridization with the substrate is
confirmed by scanning tunnelling spectroscopy, which reveals a pair of
occupied/unoccupied edge states. Their large energy splitting of 1.9 eV is in
accordance with ab initio many-body perturbation theory calculations and reflects
the dominant role of electron-electron interactions in these localized states.
PMID- 27181702
TI - Pathogenic mechanisms in Blastocystis spp. - Interpreting results from in vitro
and in vivo studies.
AB - Blastocystis spp. are commonly reported intestinal protists but whose clinical
significance remains controversial. Infections have ranged from asymptomatic
carriage to non-specific gastrointestinal symptoms and have also been linked to
irritable bowel syndrome and urticaria in some patient populations. In vitro
studies showed that both parasite and parasite lysates have damaging effects on
intestinal epithelial cells causing apoptosis and degradation of tight junction
proteins occludin and ZO1, resulting in increased intestinal permeability.
Adhesion of trophic forms to the intestinal epithelium and release of cysteine
proteases appear to be the major triggers leading to pathogenesis. Two putative
virulence factors identified are cysteine proteases legumain and cathepsin B.
Blastocystis spp. also have immuno-modulatory effects including degradation of
IgA, inhibition of iNOS and upregulation of proinflammatory cytokines, IL8 and GM
CSF in intestinal epithelial cells and IL1beta, IL6 and TNFalpha in murine
macrophages. Blastocystis spp. have also been reported to dampen response to LPS
in intestinal epithelial cells and monocytes. Studies in rodent models and
naturally infected pigs have shown that the parasite localizes to the lumen and
mucosal surface of the large intestine mostly in the caecum and colon. The
parasite has been found to cause mucosal sloughing, increase in goblet cell
mucin, increased intestinal permeability and to induce a pro-inflammatory
cytokine response with upregulation of TNFalpha, IFNgamma and IL12. In this
review, we summarize findings from in vitro and in vivo studies that demonstrate
pathogenic potential but also show considerable inter and intra subtype
variation, which provides a plausible explanation on the conflicting reports on
clinical significance.
PMID- 27181703
TI - Azadipyrromethenes: from traditional dye chemistry to leading edge applications.
AB - Azadipyrromethenes were first described over 70 years ago as blue pigments, but
now are rapidly emerging as a compound class with highly desirable near infrared
photophysical properties. Since the turn of the century several routes to
azadipyrromethenes have been developed and numerous post-synthesis
derivatizations have allowed for their exploitation in both biological and
material sciences. The relative ease of access to specifically designed
derivatives is now allowing their use in multiple technological formats from real
time fluorescence imaging, to solar energy materials, to optoelectronic devices
and many more. In this review we have highlighted the synthetic component of this
story as it is the ability to generate the designer azadipyrromethene that opens
the door to exciting applications.
PMID- 27181704
TI - The association between openness and physiological responses to recurrent social
stress.
AB - The association between openness (assessed by shortened Chinese version of NEO
Five-Factor Inventory, NEOFFI) and physiological reactivity to, and recovery
from, social stress (a video-recorded, timed public speaking task with evaluators
present in the room), and physiological adaptation to repeated social stress was
examined in the present study. Subjective and physiological data were collected
from 70 college students across five laboratory stages: baseline, stress exposure
period 1, post-stress period 1, stress exposure period 2, and post-stress period
2. Results indicated that higher openness was associated with lesser heart rate
(HR) reactivity to the first and second stress exposure, and lesser systolic
blood pressure (SBP) reactivity to the second stress exposure. Higher openness
was associated with higher resting respiratory sinus arrhythmia (RSA), lesser RSA
withdrawals to the first stress exposure, and more complete RSA recovery after
the first stress exposure. Moreover, higher openness was associated with
pronounced systolic and diastolic blood pressure (SBP, DBP) adaptation with
greater decreases in SBP and DBP reactivity across the two successive stress
exposures. These findings might shed light on the biological basis linking
openness to health.
PMID- 27181705
TI - The global burden of pulmonary hypertension in sickle cell disease: a systematic
review and meta-analysis.
AB - Elevated tricuspid regurgitant jet velocity (TRJV) is a surrogate measure of
pulmonary hypertension (PH) in persons with sickle cell disease (SCD). We sought
to estimate the burden of PH in people living with sickle cell disease based on
TRJV. From 2000 to 2015, we searched electronic databases for eligible
publications and included 29 studies (n = 5358 persons). We used random effects
modeling to determine the pooled estimate of elevated TRJV. The overall pooled
prevalence of elevated TRJV was 23.5 %(95 % CI 19.5-27.4) in persons with SCD.
The pooled prevalence of elevated TRJV in children and adults with SCD was 20.7 %
(95 % CI 15.7--25.6) and 24.4 % (95 % CI 18.4-30.4), respectively. TRJV is
prevalent among adults and children with SCD. Our finding support international
recommendations that call for screening for PH in SCD patients.
PMID- 27181706
TI - Association of ADIPOQ variants with type 2 diabetes mellitus susceptibility in
ethnic Han Chinese from northeast China.
AB - AIMS/INTRODUCTION: To investigate the association between two single nucleotide
polymorphisms (SNPs; rs3774261 and rs822393) in the ADIPOQ gene and type 2
diabetes mellitus in Han Chinese from northeast China. MATERIALS AND METHODS: The
present study comprised 993 type 2 diabetes mellitus patients and 966 unrelated
controls from northeastern China. Two SNPs were sequenced using SNPscan. The
distribution of genotype frequencies of the two SNPs in ADIPOQ between cases and
controls, and in subgroups stratified based on body mass index, were compared
using logistic regression analysis. Linear regression was used to analyze the
association between each SNP and clinical indicators. RESULTS: The GG genotype of
rs3774261 increased the risk of type 2 diabetes mellitus compared with the AA
genotype in participants with a body mass index <24 (P = 0.021; odds ratio 1.636,
95% CI 1.708-2.484). Rs822393 was correlated with glycosylated hemoglobin (P =
0.043) in controls. Rs3774261 had an association with diastolic blood pressure (P
= 0.017) in controls, and in controls with a body mass index <24; rs3774261 also
had an association with both systolic blood pressure (P = 0.025) and diastolic
blood pressure (P = 0.043). CONCLUSIONS: The present results confirm the
association between ADIPOQ variants and type 2 diabetes mellitus in northeastern
China. However, additional larger replication studies are required to validate
these findings.
PMID- 27181707
TI - Can we improve clinical outcomes in patients with pneumonia treated with
antibiotics in the intensive care unit?
AB - INTRODUCTION: Pneumonia in the intensive care unit (ICU) is associated with high
morbidity, mortality and healthcare costs. However, treatment outcomes with
conventional intravenous (IV) antibiotics remain suboptimal, and there is an
urgent need for improved therapy options. AREAS COVERED: We review how clinical
outcomes in patients with pneumonia treated in the ICU could be improved; we
discuss the importance of choosing appropriate outcome measures in clinical
trials, highlight the current suboptimal outcomes in patients with pneumonia, and
outline potential solutions. We have included key studies and papers based on our
clinical expertise, therefore a systematic literature review was not conducted.
Expert commentary: Reasons for poor outcomes in patients with nosocomial
pneumonia in the ICU include inappropriate initial therapy, increasing bacterial
resistance and the complexities of IV dosing in critically ill patients. Robust
clinical trial endpoints are needed to enable an accurate assessment of the
success of new treatment approaches, but progress in this field has been slow. In
addition, only very few new antimicrobials are currently in development for
nosocomial pneumonia; two potential alternative solutions to improve outcomes
could therefore include the optimization of pharmacokinetic/pharmacodynamics
(PK/PD) and dosing of existing therapies, and the refinement of antimicrobial
delivery by inhalation.
PMID- 27181708
TI - Re-examining the high-density lipoprotein hypothesis.
PMID- 27181709
TI - On-Tissue Derivatization via Electrospray Deposition for Matrix-Assisted Laser
Desorption/Ionization Mass Spectrometry Imaging of Endogenous Fatty Acids in Rat
Brain Tissues.
AB - Matrix-assisted laser desorption/ionization (MALDI) mass spectrometry imaging
(MSI) is used for the multiplex detection and characterization of diverse
analytes over a wide mass range directly from tissues. However, analyte coverage
with MALDI MSI is typically limited to the more abundant compounds, which have
m/z values that are distinct from MALDI matrix-related ions. On-tissue analyte
derivatization addresses these issues by selectively tagging functional groups
specific to a class of analytes, while simultaneously changing their molecular
masses and improving their desorption and ionization efficiency. We evaluated
electrospray deposition of liquid-phase derivatization agents as a means of on
tissue analyte derivatization using 2-picolylamine; we were able to detect a
range of endogenous fatty acids with MALDI MSI. When compared with airbrush
application, electrospray led to a 3-fold improvement in detection limits and
decreased analyte delocalization. Six fatty acids were detected and visualized
from rat cerebrum tissue using a MALDI MSI instrument operating in positive mode.
MALDI MSI of the hippocampal area allowed targeted fatty acid analysis of the
dentate gyrus granule cell layer and the CA1 pyramidal layer with a 20-MUm pixel
width, without degrading the localization of other lipids during liquid-phase
analyte derivatization.
PMID- 27181710
TI - Topoisomerase I in Human Disease Pathogenesis and Treatments.
AB - Mammalian topoisomerase 1 (TOP1) is an essential enzyme for normal development.
TOP1 relaxes supercoiled DNA to remove helical constraints that can otherwise
hinder DNA replication and transcription and thus block cell growth.
Unfortunately, this exact activity can covalently trap TOP1 on the DNA that could
lead to cell death or mutagenesis, a precursor for tumorigenesis. It is therefore
important for cells to find a proper balance between the utilization of the TOP1
catalytic activity to maintain DNA topology and the risk of accumulating the
toxic DNA damages due to TOP1 trapping that prevents normal cell growth. In an
apparent contradiction to the negative attribute of the TOP1 activity to genome
stability, the detrimental effect of the TOP1-induced DNA lesions on cell
survival has made this enzyme a prime target for cancer therapies to kill fast
growing cancer cells. In addition, cumulative evidence supports a direct role of
TOP1 in promoting transcriptional progression independent of its topoisomerase
activity. The involvement of TOP1 in transcriptional regulation has recently
become a focus in developing potential new treatments for a subtype of autism
spectrum disorders. Clearly, the impact of TOP1 on human health is multifold. In
this review, we will summarize our current understandings on how TOP1 contributes
to human diseases and how its activity is targeted for disease treatments.
PMID- 27181712
TI - Dorsal transcription factor is involved in regulating expression of crustin genes
during white spot syndrome virus infection.
AB - Nuclear factor-kappa B (NF-kappaB) pathways play important roles in innate immune
responses. In this study, we identified a dorsal homolog (MrDorsal) from
freshwater prawn Macrobrachium rosenbergii. The full-length cDNA of MrDorsal
comprised 2533 bp with an open reading frame of 1986 bp, which encoded a peptide
of 661 amino acid residues. Amino acid sequence analysis showed that MrDorsal
contains a Rel homolog domain and an IPT/TIG (i.e., Ig-like, plexin, and
transcription factors) domain. The signature sequence of dorsal protein FRYMCEG
existed in the deduced amino acid sequence. Sequence analysis showed that
MrDorsal shared high similarities with Dorsal from invertebrate species. MrDorsal
was abundant in the hemocytes and gills of healthy prawns but minute levels were
detected in other tissues. The expression of MrDorsal was significantly
upregulated 48 h after the white spot syndrome virus (WSSV-) challenge. Knockdown
of MrDorsal using double-stranded RNA could suppress the transcription of crustin
genes (MrCrustin2 and MrCrustin4) in gills of prawns after 48 h of the WSSV
challenge. Results indicated that MrDorsal was involved to regulate the
expression of crustin genes and it might play potential important roles during
WSSV infection.
PMID- 27181713
TI - Identification and functional analysis of interferon regulatory factor 3 in
Lateolabrax maculatus.
AB - The interferon (IFN) regulatory factor 3 (IRF3) is a member of the IFN regulatory
transcription factor family, which binds to the IFN-stimulated response element
(ISRE) within the promoter of IFN genes and IFN-stimulated genes. In this study,
the IRF3 cDNA of sea perch Lateolabrax maculatus (SpIRF3) was identified, which
contained 1781 bp with an open reading frame of 1398 bp that coded a 465 amino
acid protein. The SpIRF3 protein shared conserved characterizations with its
homologues and displayed the conserved DNA-binding domain, IRF association
domain, serine-rich C-terminal domain, and tryptophan residue cluster.
Phylogenetic analysis illustrated that SpIRF3 belonged to the IRF3 subfamily.
Subcellular localization analysis showed that SpIRF3 mainly resided in the
cytoplasm without stimuli but translocated into nuclei in the presence of poly
I:C. Real-time PCR data indicated that SpIRF3 was transcriptionally up-regulated
by poly I:C stimulation in various organs. Moreover, reporter assay revealed that
SpIRF3 functioned as a modulator in triggering the IFN response by inducing the
activity of IFN and ISRE-containing promoter. These data revealed that SpIRF3 was
a potential molecule in the IFN immune defense system against viral infection.
PMID- 27181714
TI - Effects of ethanol extract of propolis on histopathological changes and anti
oxidant defense of kidney in a rat model for type 1 diabetes mellitus.
AB - AIMS/INTRODUCTION: Oxidative stress has a key role in the pathogenesis of
diabetes. Propolis and its constituents have a wide range of medicinal properties
against oxidative stress. In the present study, we evaluated the anti-oxidant
effects of ethanolic extracts of propolis on kidneys in diabetes mellitus rats.
MATERIALS AND METHODS: A total of 40 male Wistar rats were randomly divided into
the following five groups: control, diabetes mellitus, diabetes mellitus with
vehicle treatment, diabetes mellitus with propolis treatment (100 mg/kg) and
diabetes mellitus with propolis treatment (200 mg/kg). Diabetes mellitus in rats
was induced by intraperitoneal injection of streptozotocin (60 mg/kg). Diabetic
groups were treated with vehicle or ethanolic extracts of Iranian propolis for 6
weeks. Serum concentration of malondialdehyde, superoxide dismutase and
glutathione peroxidase were measured. RESULTS: The results showed that Iranian
propolis significantly inhibited bodyweight loss in diabetes mellitus rats. The
propolis extracts significantly reduced serum glucose levels and kidney weight in
diabetes mellitus rats (P < 0.001). Furthermore, propolis extracts significantly
reduced the malondialdehyde content, and increased the activity of superoxide
dismutase and glutathione peroxidase (P < 0.001) along with the total anti
oxidant activity in the kidney tissue of diabetes mellitus rats. In the kidneys
of the diabetes mellitus and vehicle group, the glomerular basement membrane
thickness and glomerular area were significantly increased. Treatment of diabetes
mellitus rats with the propolis extract significantly reduced the glomerular
basement membrane thickness and glomerular area. CONCLUSIONS: The present study
results showed that the Iranian propolis extract could enhance the anti-oxidant
levels and histopathological changes in the kidneys of rats. The final results
showed that most of the favorable effects of propolis are mediated by a reduction
of blood glucose levels in diabetic animals.
PMID- 27181711
TI - Associations between whole peripheral blood fatty acids and DNA methylation in
humans.
AB - Fatty acids (FA) modify DNA methylation in vitro, but limited information is
available on whether corresponding associations exist in vivo and reflect any
short-term effect of the diet. Associations between global DNA methylation and
FAs were sought in blood from lactating infants (LI; n = 49) and adult males
(AMM; n = 12) equally distributed across the three conventional BMI classes. AMM
provided multiple samples at 2-hour intervals during 8 hours after either a
single Western diet-representative meal (post-prandial samples) or no meal
(fasting samples). Lipid/glucose profile, HDAC4 promoter and PDK4 5'UTR
methylation were determined in AMM. Multiple regression analysis revealed that
global (in LI) and both global and PDK4-specific DNA methylation (in AMM) were
positively associated with eicosapentaenoic and arachidonic acid. HDAC4
methylation was inversely associated with arachidonic acid post-prandially in
AMM. Global DNA methylation did not show any defined within-day pattern that
would suggest a short-term response to the diet. Nonetheless, global DNA
methylation was higher in normal weight subjects both post-prandially and in
fasting and coincided with higher polyunsaturated relative to monounsaturated and
saturated FAs. We show for the first time strong associations of DNA methylation
with specific FAs in two human cohorts of distinct age, diet and postnatal
development stage.
PMID- 27181716
TI - Bioequivalence and in vitro antimicrobial activity between generic and brand-name
levofloxacin.
AB - Generic agents play a crucial role in reducing the cost of medical care in many
countries. However, the therapeutic equivalence remains a great concern. Our
study aims to assess the in vitro antimicrobial activity and bioequivalence
between generic and brand-name levofloxacin. Enantiomeric purity test,
dissolution test, and in vitro antimicrobial susceptibility against seven
clinically important pathogens by the agar dilution method were employed to
assess the similarity between four generic products and brand-name levofloxacin
(Daiichi Sankyo). All the generic and brand-name levofloxacin passed enantiomeric
purity test. The results of dissolution tests were not similar among the generic
products and the brand-name levofloxacin. Compared with the generic products, the
brand-name levofloxacin had the smallest mean variations (-25% to 13%) with
reference standard (United States Pharmacopeia levofloxacin Reference Standards).
Variations were observed particularly in dissolution profiles and in vitro
activity between generic products and brand-name levofloxacin.
PMID- 27181715
TI - HIV Infection Rates and Risk Behavior among Young Men undergoing community-based
Testing in San Diego.
AB - Approximately 80% of new HIV infections in the United States occur in men. Four
out of five men diagnosed with HIV infection are men who have sex with men (MSM),
with an increasing proportion of young MSM (i.e. <=24 years of age). We performed
a retrospective analysis 11,873 cisgender men participating in a community based
HIV screening program in San Diego between 2008 and 2014 to characterize the HIV
prevalence and sexual risk behaviors among young men. In young heterosexual men
HIV prevalence was lower compared to heterosexual men between 25 and 49 years of
age (0.3% vs. 1.4%, p = 0.043). Among young MSM, HIV prevalence was 5.5%, per
test positivity rate 3.6%, and HIV incidence 3.4 per 100 person years (95% CI 2.2
5.4). Per test positivity rate (p = 0.008) and incidence (p < 0.001) were
significantly higher among young MSM than among MSM above 24-years of age. Young
MSM diagnosed with HIV infection reported significantly more serodiscordant
condomless anal intercourse, bacterial sexually transmitted infections, and
higher rates of methamphetamine and gamma hydroxybutyrate use when compared to
young MSM who tested negative. In conclusion, young MSM are particularly
vulnerable to HIV infection and may represent ideal candidates for targeted
prevention interventions that increase testing uptake and/or decrease the risk of
acquiring HIV infection.
PMID- 27181718
TI - [Effects of microRNA-494 on the fiber type-specific skeletal myogenesis in human
induced pluripotent stem cells].
PMID- 27181717
TI - Androgen deprivation therapy is associated with diabetes: Evidence from meta
analysis.
AB - AIMS/INTRODUCTION: There is still no obvious evidence proving that androgen
deprivation therapy (ADT) would increase the risk of diabetes. To determine if
ADT is associated with diabetes in men with prostate cancer, we carried out the
present study. MATERIALS AND METHODS: We systematically searched Medline, Embase
and the Cochrane Library Central Register through 2014. Studies comparing ADT vs
control aimed at treating prostate cancer reporting diabetes as outcome were
included. Data were extracted independently by two reviewers. This meta-analysis
was reported based on the Preferred Reporting Items for Systematic reviews and
Meta-Analyses checklist. Observational studies were evaluated through the Meta
analysis Of Observational Studies in Epidemiology checklist. RESULTS: Eight
studies were identified with 65,695 ADT users and 91,893 non-ADT users. The
pooled incidence of diabetes was 39% higher in ADT groups. A significant
association was observed in the overall analysis (risk ratio [RR] 1.39, 95%
confidence interval [CI] 1.27-1.53; P < 0.001). In subgroup analyses, diabetes
was found to be significantly associated with gonadotropin-releasing hormone
(GnRH) alone (RR 1.45, 95% CI 1.36-1.54; P < 0.001), GnRH plus oral antiandrogen
(RR 1.40, 95% CI 1.01-1.93; P = 0.04) and orchiectomy (RR 1.34, 95% CI 1.20-1.50;
P < 0.001), but not with antiandrogen alone (RR 1.33, 95% CI 0.75-2.36; P =
0.33). Diabetes was strongly related to long duration of ADT (RR 1.43, 95% CI
1.22-1.68; P < 0.001), and was slightly associated with short duration of ADT (RR
1.29, 95% CI 1.12-1.49; P = 0.0004). CONCLUSIONS: ADT, especially long duration
(>6 months) of this treatment, GnRH alone, GnRH plus antiandrogen and orchiectomy
can increase the incidence of diabetes.
PMID- 27181719
TI - [Cell therapy for Parkinson's disease].
PMID- 27181720
TI - [Translational research of cell sheet-based myocardial regeneration therapy].
PMID- 27181721
TI - [Modeling muscular diseases by patient-derived iPS cells].
PMID- 27181722
TI - [Re-emerging reactive sulfur-containing compounds and their unique biological
functions].
PMID- 27181723
TI - [Regulation of calcium signals via redox modification].
PMID- 27181724
TI - [Regulatory mechanism of cytotoxicity by reactive sulfur species].
PMID- 27181725
TI - [Functional chemical donors for investigation of reactive sulfide species].
PMID- 27181726
TI - [Reactive sulfur species-modified protein thiols: new methods for polysulfurated
protein analysis].
PMID- 27181727
TI - [Development and perspective for the Biosimilar medicines].
PMID- 27181728
TI - [Pharmacology profile and clinical findings of bosutinib hydrate (Bosulif(r)
Tablets)].
PMID- 27181729
TI - [Is the regulation of immune system in Alzheimer's disease effective? ].
PMID- 27181730
TI - Intestinal toxicity of oral warfarin intake in rats.
AB - Though warfarin is extensively used in the prevention and treatment of
thromboembolic processes in humans, adverse effects of warfarin therapy have been
recognized. Intestinal hemorrhage is one of the hazards of anticoagulant therapy,
but the mechanisms of warfarin toxicity are virtually unknown. In this work, the
effects of 30 days oral warfarin (0.35 mg/l and 3.5 mg/l) intake on rat's gut
were examined. Both doses resulted in prolongation of prothrombin time. Systemic
effects of higher warfarin dose (increases in plasma AST, proteinuria, hematuria,
changes in peripheral blood hematological parameters) were seen. Warfarin intake
resulted in histologically evident tissue damage, leukocyte infiltration and
intestinal inflammation [increases in myeloperoxidase activity, malondialdehyde
content, superoxide dismutase and catalase activity, proinflammatory cytokine
(IFN-gamma, IL-17) concentrations in intestinal homogenates]. In contrast,
suppression of gut-draining mesenteric lymph node (MLN) cell activity
[proliferation responsiveness, production of IFN-gamma and IL-17 to T lymphocyte
mitogen Concanavalin A stimulation] was noted. Inhibition of regulatory cytokine
IL-10 production by MLN cells, suggests commitment of MLN to the suppression of
all inflammatory activities and creation of the microenvironment which is non
permissive for induction of potentially harmful immune response. These novel
findings indicate the need of staying alert for (adverse) effects of warfarin
therapy.
PMID- 27181731
TI - Trends in Use and Cost of Second-Generation Antipsychotics Among Children and
Teens in Indiana Medicaid, 2004-2012.
AB - OBJECTIVE: To replicate and extend a study by the Agency for Healthcare Research
and Quality (AHRQ) and Rutgers on antipsychotic use among youths in Medicaid, the
authors analyzed Indiana Medicaid claims from 2004 to 2012, extending the earlier
study by focusing on second-generation antipsychotics, including both fee-for
service (FFS) and non-FFS patients, and analyzing cost trends. METHODS: The
authors evaluated the impact of several Indiana Medicaid policy changes on
medication utilization and cost among children enrolled for at least one month
during 2004-2012 (N=683,716-793,637), using an exhaustive antipsychotic list to
search the database. RESULTS: Annual utilization rates for antipsychotics were 2%
3% but were much higher among foster children (10%-15%). Policies implemented in
2007 or later were associated with a significant plateauing of utilization in
2008-2012. CONCLUSIONS: Growth of second-generation antipsychotic utilization and
costs was similar to trends described in the AHRQ-Rutgers study. Several
containment strategies appeared effective in addressing these trends.
PMID- 27181732
TI - Implementation of Integrated Health Homes and Health Outcomes for Persons With
Serious Mental Illness in Los Angeles County.
AB - OBJECTIVE: The Medicaid health home option of the Affordable Care Act provides a
new opportunity to address the fragmented system of care for persons with serious
mental illness. This study examined the implementation of integrated health homes
in Los Angeles County. METHODS: Longitudinal data on client-reported physical
health status, clinician-reported mental health recovery, and screening for
common chronic conditions among 1,941 persons enrolled in integrated care
programs for serious mental illness and chronic general medical illness were
combined with site visit data measuring the level of integration of general
medical and mental health care among ten integrated care programs. Various
analyses were used to compare outcomes by level of program integration
(generalized estimating equations for physical health status and mental health
recovery and logistic regression and chi-square tests for screening for common
chronic conditions and clinical risk factors). RESULTS: Clients in more highly
integrated programs had greater improvements in physical health status and mental
health recovery and higher rates of screening for common chronic conditions
compared with clients in less integrated programs. They also had greater
reductions in hypertension but a worrisome increase in prediabetes and diabetes.
CONCLUSIONS: Highly integrated mental health and general medical programs were
associated with greater improvements in health outcomes compared with less
integrated programs. Additional research is necessary to identify predictors of
integration, to determine which aspects of integration drive improvements in
health outcomes, and to identify strategies to increase integration within less
integrated programs. Efforts are needed to coordinate pharmacotherapy, including
increased consideration of the metabolic effects of antipsychotic medication.
PMID- 27181733
TI - A Randomized Clinical Trial of a Money Management Intervention for Veterans With
Psychiatric Disabilities.
AB - OBJECTIVE: The study evaluated an intervention to help veterans with psychiatric
disabilities, who face a unique set of challenges concerning money management.
METHODS: A randomized clinical trial was conducted of a brief (one to three
hours) psychoeducational, recovery-oriented money management intervention called
$teps for Achieving Financial Empowerment ($AFE). RESULTS: Analyses revealed no
main effects on outcomes of random assignment to $AFE (N=67) or a control
condition consisting of usual care (N=77). Veterans who reported using $AFE
skills showed significantly lower impulsive buying, more responsible spending,
higher rates of engaging in vocational activities, and greater number of work
hours compared with veterans in the control condition. CONCLUSIONS: Findings have
clinical implications for case management services involving informal money
management assistance. Offering veterans with psychiatric disabilities a one-time
money management intervention is unlikely to lead to substantial changes. Results
imply that efforts to improve psychosocial outcomes among veterans must not only
teach but also increase use of money management skills.
PMID- 27181734
TI - National Trends in ADHD Diagnosis and Treatment: Comparison of Youth and Adult
Office-Based Visits.
AB - OBJECTIVES: The study objective was to assess national trends in the diagnosis of
attention-deficit hyperactivity disorder (ADHD) in outpatient visits by comparing
adults and youths. Also examined were recent stimulant prescribing patterns for
ADHD visits by youths and adults. METHODS: Databases from the 1999-2010 National
Ambulatory Medical Care Survey and National Hospital Ambulatory Medical Care
Survey were used in this cross-sectional study to analyze outpatient visit data
of youths (ages two to 17 years; unweighted N=112,404) and adults (ages 18-64;
unweighted N=426,209). The 12-year trends in ADHD visits were assessed as a
proportion of youth and adult visits. The interaction of time period and age
group was added to multivariable and weighted logistic regression models to
assess whether trends in ADHD diagnosis differed by age group. RESULTS: As a
percentage of total visits, those involving an ADHD diagnosis were more common
among youths than adults. However, from 1999 through 2010, the percentage of
total visits involving a diagnosis of ADHD increased proportionally more among
adult visits (from .3%, unweighted N=363 of 132,065, to .7%, unweighted N=1,015
of 154,764; adjusted odds ratio [AOR]=2.7, 95% confidence interval [CI]=2.1-3.7)
than among youth visits (from 3.9%, unweighted N=2,033 of 36,263, to 5.2%,
unweighted N=2,609 of 37,906; AOR=1.3, CI=1.1-1.6; p<.001). ADHD visits by adults
compared with those by youths represented significantly greater proportions of
females, Caucasians, patients with private insurance, and visits with a
psychiatrist. Stimulant prescribing was common in ADHD visits regardless of age
group (>70%). CONCLUSIONS: As a percentage of total office-based visits, those at
which ADHD was diagnosed increased more among adults than among youths from 1999
to 2010. Further research is warranted on the appropriateness, benefit-risk, and
policy implications of stimulant use among adults with ADHD.
PMID- 27181735
TI - The ACA's Dependent Coverage Expansion and Out-of-Pocket Spending by Young Adults
With Behavioral Health Conditions.
AB - OBJECTIVE: Young adults with behavioral health conditions (mental or substance
use disorders) often lack access to care. In 2010, the Affordable Care Act (ACA)
extended eligibility for dependent coverage under private health insurance,
allowing young adults to continue on family plans until age 26. The objective of
this study was to analyze out-of-pocket (OOP) spending as a share of total health
care expenditures for young adults with behavioral health conditions before and
after the implementation of the ACA dependent care provision. The study examined
the population of young adults with behavioral health conditions overall and by
race and ethnicity. METHODS: The study analyzed 2008-2009 and 2011-2012
nationally representative data from the Medical Expenditure Panel Survey with
zero-or-one inflated beta regression models in a difference-in-differences
framework to estimate the impact of the ACA's dependent coverage expansion. OOP
spending was examined as a share of total health care expenditures among young
adults with behavioral health disorders. The study compared the treatment group
of individuals ages 19-25 (unweighted N=1,158) with a group ages 27-29
(unweighted N=668). RESULTS: Young adults ages 19-25 with behavioral health
disorders were significantly less likely than the older group to have high levels
of OOP spending after the implementation of the ACA's dependent coverage
expansion. The reduction was pronounced among young adults from racial-ethnic
minority groups. CONCLUSIONS: The extension of health insurance coverage to young
adults with behavioral health disorders has provided them with additional
financial protection, which can be important given the low incomes and high debt
burden that characterize the age group.
PMID- 27181737
TI - Treat and Teach Our Students Well: College Mental Health and Collaborative Campus
Communities.
AB - This article presents a selective review of best practices for the psychiatric
care of college student populations. It describes psychiatric advances in
evidence-based practice for college students and offers a brief compendium for
college health practitioners. College mental health services are delivered in a
specialized milieu, designed to address many of the unique needs of college
students and to support their successful scholastic advancement and graduation.
Practical steps for implementing these best practices within the college
community setting are identified, with a focus on the initial student evaluation,
risk assessment, treatment planning and goal setting, and steps to optimize
academic functioning during psychopharmacologic and nonpharmacologic treatment.
At the center of these practices is the use of a collaborative team and
psychoeducation that engages students to actively learn about their mental
health. By applying common sense and evidence-based practices within
interdisciplinary and student-centered services, college communities can
effectively meet the mental health needs of their students and empower them to
reach their educational goals.
PMID- 27181736
TI - Patient Safety Events and Harms During Medical and Surgical Hospitalizations for
Persons With Serious Mental Illness.
AB - OBJECTIVE: This study explored the risk of patient safety events and associated
nonfatal physical harms and mortality in a cohort of persons with serious mental
illness. This group experiences high rates of medical comorbidity and premature
mortality and may be at high risk of adverse patient safety events. METHODS:
Medical record review was conducted for medical-surgical hospitalizations
occurring during 1994-2004 in a community-based cohort of Maryland adults with
serious mental illness. Individuals were eligible if they died within 30 days of
a medical-surgical hospitalization and if they also had at least one prior
medical-surgical hospitalization within five years of death. All admissions took
place at Maryland general hospitals. A case-crossover analysis examined the
relationships among patient safety events, physical harms, and elevated
likelihood of death within 30 days of hospitalization. RESULTS: A total of 790
hospitalizations among 253 adults were reviewed. The mean number of patient
safety events per hospitalization was 5.8, and the rate of physical harms was 142
per 100 hospitalizations. The odds of physical harm were elevated in
hospitalizations in which 22 of the 34 patient safety events occurred (p<.05),
including medical events (odds ratio [OR]=1.5, 95% confidence interval [CI]=1.3
1.7) and procedure-related events (OR=1.6, CI=1.2-2.0). Adjusted odds of death
within 30 days of hospitalization were elevated for individuals with any patient
safety event, compared with those with no event (OR=3.7, CI=1.4-10.3).
CONCLUSIONS: Patient safety events were positively associated with physical harm
and 30-day mortality in nonpsychiatric hospitalizations for persons with serious
mental illness.
PMID- 27181738
TI - "Poor Insight": A Capacity Perspective on Treatment Refusal in Serious Mental
Illness.
AB - For several decades, a protection standard has prevailed in determining the
conditions under which a mental health provider, in concert with state authority,
might intrude upon the civil rights of a person with serious mental illness. This
approach contrasts with a treatment standard that guides consideration and
assessment of incapacity in all other branches of medicine. This Open Forum
examines the rationale, goals, and limits associated with involuntary
intervention in serious mental illness compared with the rest of medicine. The
authors believe that reviving a treatment standard that focuses on capacity among
persons with serious mental illness would help build bridges between psychiatry
and general medicine, between patients and providers, and between illness and
recovery.
PMID- 27181739
TI - Adoption of a Blended Training Curriculum for ACT in New York State.
AB - Scant evidence exists in the literature for best practices in training assertive
community treatment (ACT) teams to deliver highly effective services to
consumers. This column describes a blended training curriculum, which includes
both face-to-face and distance learning strategies, developed by the ACT Training
Institute in New York State to meet the ongoing training needs of teams across
New York State. Data on training uptake, which has steadily increased over time,
are reported. The role of the state is crucial in driving adoption of training
activities. The column also describes how the ACT Training Institute uses
fidelity and outcome data to identify training needs.
PMID- 27181740
TI - The preliminary clinical observation and analysis of childbearingage women with a
history of iodine-131 treatment for Graves' disease.
AB - Whether radioactive iodine treatment of Graves' disease (GD) during pregnancy
will increase pregnancy loss and affect fetal development is still a matter of
concern. From May 2005 to December 2015, 2,276 childbearing-age women with GD
received iodine-131 treatment in our departments and were retrospectively
enrolled in our study. When some of them were found to have been pregnant, their
thyroid functions were measured every 4 weeks, in addition, thyroid-stimulating
hormone (TSH) was measured 6 weeks after delivery. When necessary, levothyroxine
or propylthiouracil (PTU) was given in order to control their TSH levels during
pregnancy. Finally, 69 pregnant women (29 +/- 3.5 years old) and 1346 women who
were not pregnant during the follow-up period were enrolled into this study. They
were all hyperthyroid before or during pregnancy. Among 69 pregnant women, the
administrated amount of iodine-131 was 254.9 +/- 99.9 MBq. Fifty patients became
subclinically hypothyroid after treatment and were administrated levothyroxine
(55 +/- 25 MUg/d). Seven patients were diagnosed with subclinical hyperthyroidism
during pregnancy and they received PTU (25 +/- 12.5 mg/d). Twelve patients with
normal thyroid function were also clinically followed. Among 69 women, 63 had a
single birth, 3 had dizygotic twins, 2 had two pregnancies and 1 had a single
twin birth. Sixty five babies were born full-term, while 9 were premature (4 +/-
1 weeks early) with birth weight 3.2 +/- 0.5 kg. Six new born babies were
considered to be low birth weight infants (< 2.5 kg) while 5 were high birth
weight (> 4 kg), but the weights of all the infants were within the normal range.
During the period of observation to December 2015, all the infants were found to
grow and develop normally. Among 1346 women who were not pregnant were in the
further follow-up. Our study found no detrimental effects of the iodine-131
treatment in the pregnant women or their offspring so far.
PMID- 27181741
TI - Iron Trichloride and Air Mediated Guanylation of Acylthioureas. An Ecological
Route to Acylguanidines: Scope and Mechanistic Insights.
AB - Recently we introduced iron trichloride as an environmentally benign and cost
efficient reagent for the synthesis of N-benzoylguanidines. This highly
attractive synthetic approach grants access to a broad spectrum of N
benzoylguanidines under mild conditions in short reaction times. In this work we
present an extended scope of our methodology along with the results obtained from
mechanistic studies via in situ IR spectroscopy in combination with LC (liquid
chromatography)-MS analyses. On the basis of these new mechanistic insights we
were able to optimize the synthetic protocol and to develop an alternative
mechanistic proposal. In this context the symbiotic roles of iron trichloride and
oxygen in the guanylation process are highlighted.
PMID- 27181742
TI - "No Good Deed Goes Unpunished": Ignaz Semmelweis and the Story of Puerperal
Fever.
AB - Ignac Fulop Semmelweis was born almost 200 years ago, in 1818, to a well-to-do
middle class Hungarian family. He started law school in 1837, switched to
medicine a year later, and graduated in 1844.
PMID- 27181743
TI - Unusual cause of lymphangitis in a 2-year-old boy.
PMID- 27181745
TI - Maternal supplementation of omega-3 fatty acids and micronutrients reduces
cardiometabolic variables in pregnancy induced hypertension rats.
AB - AIMS: Reports indicate that during pregnancy hypertension is known to have long
term adverse effects both in the mother and offspring. However, the effect of
maternal micronutrient supplementation on this association of in utero exposure
and risk of non-communicable diseases in the later life remains unclear. The
present study examines the effect of maternal micronutrient and omega-3 fatty
acid supplementation either individual or in combination on cardiometabolic risk
factors both in the mother and offspring using an animal model of hypertension.
MAIN METHODS: Pregnant Wistar rats were randomly assigned to the following
groups; control, PIH (Pregnancy induced hypertention) Induced, PIH+vitamin B12,
PIH+ folic acid, PIH+omega-3 fatty acids and PIH+combined smicronutrient
supplementation (vitamin B12+folic acid + omega-3 fatty acids). The dams and
their offspring were shifted to a control diet after delivery and the offspring
continued on these diets till 3mo of age. Hypertension during pregnancy was
induced using l-Nitroarginine methylester (50mg/kgbody weight/day). KEY FINDINGS:
Omega-3 fatty acid supplementation during pregnancy demonstrated lower levels
(p<0.05) of plasma cholesterol while a combined supplementation of folic acid,
vitamin B12 and omega 3 fatty acids demonstrated lower (p<0.05) triglyceride
levels as compared to PIH induced dams. PIH induction increased (p<0.01) the
triglyceride levels in the offspring at 3mo of age and maternal supplementation
of either individual or combined micronutrients demonstrated lower (p<0.01)
triglyceride levels. SIGNIFICANCE: Our findings have implications for planning
intervention studies in women with pregnancy induced hypertension.
PMID- 27181744
TI - Notch signalling regulates asymmetric division and inter-conversion between lgr5
and bmi1 expressing intestinal stem cells.
AB - Rapidly cycling LGR5+ intestinal stem cells (ISCs) located at the base of crypts
are the primary driver of regeneration. Additionally, BMI1 expression is
correlated with a slow cycling pool of ISCs located at +4 position. While
previous reports have shown interconversion between these two populations
following tissue injury, we provide evidence that NOTCH signaling regulates the
balance between these two populations and promotes asymmetric division as a
mechanism for interconversion in the mouse intestine. In both in vitro and in
vivo models, NOTCH suppression reduces the ratio of BMI1+/LGR5+ ISCs while NOTCH
stimulation increases this ratio. Furthermore, NOTCH signaling can activate
asymmetric division after intestinal inflammation. Overall, these data provide
insights into ISC plasticity, demonstrating a direct interconversion mechanism
between slow- and fast-cycling ISCs.
PMID- 27181746
TI - Pharmacological profile of N-(2,6-dichlorophenyl)-2-(4-methyl-1
piperidinyl)acetamide, a novel analogue of lidocaine.
AB - AIM: N-(2,6-Dichlorophenyl)-2-(4-methyl-1-piperidinyl)acetamide (LIA), a
lidocaine analogue, has potential applications in treating neuropathic pain. The
aim of this work was to characterize the pharmacological activity of LIA related
with central nervous system and cardiovascular activity. METHODS: Anesthetic
effect was tested in guinea pigs and mice. Ambulatory activity, anti-anxiety
effect, sodium pentobarbital (PB)-induced hypnosis and pentylenetetrazol (PTZ)
induced seizures test were evaluated in mice to determine the possible central
nervous system activity. The cardiovascular activities in vivo and ex vivo were
analyzed in rats. KEY FINDINGS: LIA (2%) presents, similar to lidocaine (2%),
anesthetic activity on the corneal reflex, infiltration anesthesia and tail
immersion test. LIA (1-100mg/kg, i.p.), similar to lidocaine (1-100mg/kg, i.p.),
presents a dose-dependent sedative-hypnotic effect in mice. Both compounds did
not produce anti-anxiety activity in mice. LIA did not prevent PTZ-induced
seizures. However, LIA itself did not produce seizures at high doses in mice, as
lidocaine does. LIA is a vasorelaxant compound for smooth muscle cells and
presents hypotensive effect in vivo without increments to the heart rate
significantly. SIGNIFICANCE: High doses of lidocaine produce seizures and
vasoconstriction. In this study, we found that LIA shares a similar
pharmacological profile as lidocaine's but without the primary adverse effects of
seizures and vasoconstriction.
PMID- 27181747
TI - A case of variant biochemical phenotype of Niemann-Pick disease type C
accompanying savant syndrome.
AB - A 40-year-old man was referred to our hospital because of vertical supranuclear
gaze palsy, frequent sudden loss of muscle tonus and ataxia for several years. He
had a history of prolonged neonatal jaundice. He was given a diagnosis of autism
in his childhood, followed by a diagnosis of schizophrenia in his teenage. He
also developed a savant skill of calendar calculating. (123)I-IMP-SPECT showed
decreased cerebral blood flow in the left frontotemporal lobe as often seen in
savant syndrome. Although genetic analysis of NPC1 and NPC2 revealed no
pathogenic mutation, filipin staining of cultured fibroblasts from his biopsied
skin revealed a certain amount of intracellular cholesterol storage pattern,
indicating a variant biochemical phenotype of Niemann-Pick disease type C (NPC).
The diagnosis of adulthood onset NPC is difficult and challenging, especially for
neurologists, because the symptoms and signs are not as clear as those in the
classical childhood onset NPC and this subtype is not yet widely known. However,
the diagnosis can be made by a combination of filipin staining of fibroblast
and/or gene analysis. As a disease-specific therapy for NPC has been approved in
Japan, the diagnosis of NPC is of significance.
PMID- 27181748
TI - Sporadic adult-onset neuronal intranuclear inclusion disease with the main
presentation of repeated cerebellar ataxia: a case study.
AB - A 66-year-old woman suddenly experienced unsteadiness while walking; she had
experienced the same symptom before, but it had resolved immediately. Her
neurological findings showed cerebellar ataxia, absence of tendon reflex in the
extremities, and orthostatic hypotension. MRI with DWI of the brain showed linear
high-intensity areas at the white matter just below the cerebral cortex.
Therefore, we suspected neuronal intranuclear inclusion disease (NIID). In her
cutaneous skin biopsy, intranuclear inclusion bodies, which tested positive for
an anti-ubiquitin antibody and anti-p62 antibody, were observed in sweat gland
cells and fibroblasts; therefore, we diagnosed her with NIID. As no one in her
family had similar symptoms, this was a case of sporadic NIID. Adult-onset NIID
with the main presentation of cerebellar ataxia is rare; in our case, this
repeated acute-onset symptom was a unique manifestation of the condition.
PMID- 27181749
TI - Autosomal recessive spinocerebellar ataxias in Japan.
AB - Recent new sequencing techniques allow the identification of novel responsible
genes for autosomal recessive spinocerebellar ataxias (ARCAs). However, the same
phenotypes are sometimes attributed to the different responsible genes in ARCAs.
On the contrary, the same responsible genes may cause heterogeneous phenotypes
with respect to the age at onset, symptoms, and the severity of the disease
progression. In addition, it is an important issue to clarify whether the gene
mutations identified in Caucasian patients with infantile-onset ARCAs are also
observed in Japanese patients with adult-onset ARCAs. In this article we review
the characteristics of several ARCAs, the existence of which has been recently
identified or confirmed in Japan.
PMID- 27181751
TI - Are sex steroids essential for gonadal differentiation of the ornate frog,
Microhyla ornata?
AB - Knowledge about sensitivities and responses of amphibian larvae to sex steroids
and the chemicals alike is the first step towards understanding and assessing the
effect of diverse chemicals that interfere with gonadal development and other
endocrine functions. Herein, we used Microhyla ornata to determine the role of
sex steroids on its gonad differentiation and sex ratio. Our results show that
the exposure to increasing concentrations of estradiol-17beta throughout larval
development did not affect gonad differentiation resulting in 1:1 sex ratio at
metamorphosis. But, females emerging from estradiol-17beta treatment had larger
ovaries with larger sized follicles. Further, testes of some males contained
lumens, the number of which was dose dependent. Similarly, exposure to
testosterone propionate had negligible effects on gonad differentiation. However,
the mean diameter of the largest follicles was smaller in treated ovaries.
Treatment of tadpoles with tamoxifen had no effect on gonad differentiation and
ovary development while testicular development was accelerated at the highest
concentration. Similarly, treatment of tadpoles with cyproterone acetate had
little effect on gonad differentiation as well as development, hence the sex
ratios at the end of metamorphosis. Further, in tadpoles exposed to increasing
concentrations of formestane, gonad differentiation was normal resulting in 1:1
sex ratio. Thus, in M. ornata, both estradiol and testosterone are essential for
the development of ovaries and testes respectively but, they are not critical to
gonadal differentiation. Hence, the effects of sex steroids and other endocrine
disrupting chemicals could be species-specific; different species may have
differential sensitivities to such chemicals.
PMID- 27181750
TI - Peroxisomes are platforms for cytomegalovirus' evasion from the cellular immune
response.
AB - The human cytomegalovirus developed distinct evasion mechanisms from the cellular
antiviral response involving vMIA, a virally-encoded protein that is not only
able to prevent cellular apoptosis but also to inhibit signalling downstream from
mitochondrial MAVS. vMIA has been shown to localize at mitochondria and to
trigger their fragmentation, a phenomenon proven to be essential for the
signalling inhibition. Here, we demonstrate that vMIA is also localized at
peroxisomes, induces their fragmentation and inhibits the peroxisomal-dependent
antiviral signalling pathway. Importantly, we demonstrate that peroxisomal
fragmentation is not essential for vMIA to specifically inhibit signalling
downstream the peroxisomal MAVS. We also show that vMIA interacts with the
cytoplasmic chaperone Pex19, suggesting that the virus has developed a strategy
to highjack the peroxisomal membrane proteins' transport machinery. Furthermore,
we show that vMIA is able to specifically interact with the peroxisomal MAVS. Our
results demonstrate that peroxisomes constitute a platform for evasion of the
cellular antiviral response and that the human cytomegalovirus has developed a
mechanism by which it is able to specifically evade the peroxisomal MAVS
dependent antiviral signalling.
PMID- 27181752
TI - Insulin-like signaling (IIS) responses to temperature, genetic background, and
growth variation in garter snakes with divergent life histories.
AB - The insulin/insulin-like signaling pathway (IIS) has been shown to mediate life
history trade-offs in mammalian model organisms, but the function of this pathway
in wild and non-mammalian organisms is understudied. Populations of western
terrestrial garter snakes (Thamnophis elegans) around Eagle Lake, California,
have evolved variation in growth and maturation rates, mortality senescence
rates, and annual reproductive output that partition into two ecotypes: "fast
living" and "slow-living". Thus, genes associated with the IIS network are good
candidates for investigating the mechanisms underlying ecological divergence in
this system. We reared neonates from each ecotype for 1.5years under two thermal
treatments. We then used qPCR to compare mRNA expression levels in three tissue
types (brain, liver, skeletal muscle) for four genes (igf1, igf2, igf1r, igf2r),
and we used radioimmunoassay to measure plasma IGF-1 and IGF-2 protein levels.
Our results show that, in contrast to most mammalian model systems, igf2 mRNA and
protein levels exceed those of igf1 and suggest an important role for igf2 in
postnatal growth in reptiles. Thermal rearing treatment and recent growth had
greater impacts on IGF levels than genetic background (i.e., ecotype), and the
two ecotypes responded similarly. This suggests that observed ecotypic
differences in field measures of IGFs may more strongly reflect plastic responses
in different environments than evolutionary divergence. Future analyses of
additional components of the IIS pathway and sequence divergence between the
ecotypes will further illuminate how environmental and genetic factors influence
the endocrine system and its role in mediating life history trade-offs.
PMID- 27181754
TI - Controlling particle deposit morphologies in drying nano-particle laden sessile
droplets using substrate oscillations.
AB - Sessile water droplets containing nano-silica particles are allowed to evaporate
in the presence of driven substrate oscillations at chosen frequencies. Different
mode shapes are observed at different oscillation frequencies. As reference, the
evaporation of the same droplets is also observed under stationary conditions
i.e. in the absence of any oscillations. For all cases, the deposit structures
formed by the agglomeration of the nano-silica particles have been imaged. It has
been observed that for the stationary droplets and for droplets whose
oscillations are initiated close to the resonance of the lowest allowable
oscillation mode, the structures are similar having larger spread over height,
while for higher frequencies the structures are dome-like with more uniform outer
dimensions. The possible reasons behind these structures are investigated using
experimental techniques such as high-speed imaging of droplet oscillations,
internal flow visualization and SEM imaging. Understanding of the underlying
mechanisms behind the formation of these striking features is required for these
methods to be applicable in larger scale drying operations or micro-device
applications. Altogether a novel methodology has been presented and investigated
for manipulating the morphological features in evaporating nano-particle laden
sessile droplets.
PMID- 27181753
TI - Role of clock genes in insulin secretion.
PMID- 27181756
TI - Mental health and human trafficking.
PMID- 27181755
TI - Cluster-randomized trial to improve the quality of diabetes management: The study
for the efficacy assessment of the standard diabetes manual (SEAS-DM).
AB - AIMS/INTRODUCTION: 'The Standard Diabetes Manual' has been developed by clinical
researchers from multiple major institutions in Japan, such as the National
Center for Global Health and Medicine, as a comprehensive disease management
program, including collaboration between primary care physicians (PCPs) and
specialist services. The present study evaluated the efficacy of the manual as a
quality improvement strategy in diabetes care by PCPs. MATERIALS AND METHODS: A
total of 42 PCPs in eight domestic districts of the Japan Medical Association
were allocated to either the intervention group or the control group in a cluster
randomized design. The PCPs in both groups were provided with a copy of the
Diabetes Treatment Guide published by the Japan Diabetes Society, and the PCPs in
the intervention group additionally received a copy of the manual and a 30-min
relevant seminar at the inception of the intervention. The primary end-point was
the adherence to the following performances as quality indicators: evaluation of
retinopathy, and urinary albumin excretion measurements and serum creatinine
measurements, as recommended by the Japan Medical Association. RESULTS: A total
of 416 patients were enrolled by 36 PCPs. During the 1-year follow-up period, the
proportion of PCPs who adhered to recommendation-concordant measurements of
urinary albumin excretion was significantly higher in the intervention group than
in the control group (adherence: 17.9% vs 5.3%, P = 0.016). The other parameters
were not statistically different between the two groups. CONCLUSIONS:
Implementation of 'The Standard Diabetes Manual' potentially leads to an improved
quality of diabetes management by PCPs.
PMID- 27181757
TI - Double remote electrochemical addressing and optical readout of
electrochemiluminescence at the tip of an optical fiber.
AB - In this work, we report an original strategy for the wireless electrochemical
generation of light at the tip of an optical fiber bundle, coupled with a
simultaneous remote readout. An optical fiber bundle coated with a nanometer-thin
gold film acts as a dual platform, on the one hand to locally generate
electrochemiluminescence (ECL) in a wireless manner by bipolar electrochemistry,
and on the other hand to guide the resulting ECL signal. The light emission is
triggered and collected at one end, transmitted by the waveguide and remotely
detected at the opposite end. Integration of both functionalities at the level of
the same miniaturized object leads to an unprecedented bipolar opto-electrode,
allowing one to quantify the ECL intensity as a function of different parameters
in a double remote approach with interesting potential applications, ranging from
high-throughput catalyst screening to massive parallel biochemical analysis.
PMID- 27181758
TI - The effect of the carbon nanotube buffer layer on the performance of a Li metal
battery.
AB - Lithium (Li) metal is one of the most promising candidates as an anode for the
next-generation energy storage systems because of its high specific capacity and
lowest negative electrochemical potential. But the growth of Li dendrites limits
the application of the Li metal battery. In this work, a type of modified Li
metal battery with a carbon nanotube (CNT) buffer layer inserted between the
separator and the Li metal electrode was reported. The electrochemical results
show that the modified batteries have a much better rate capability and cycling
performance than the conventional Li metal batteries. The mechanism study by
electrochemical impedance spectroscopy reveals that the modified battery has a
smaller charge transfer resistance and larger Li ion diffusion coefficient during
the deposition process on the Li electrode than the conventional Li metal
batteries. Symmetric battery tests show that the interfacial behavior of the Li
metal electrode with the buffer layer is more stable than the naked Li metal
electrode. The morphological characterization of the CNT buffer layer and Li
metal lamina reveals that the CNT buffer layer has restrained the growth of Li
dendrites. The CNT buffer layer has great potential to solve the safety problem
of the Li metal battery.
PMID- 27181759
TI - [Prognosis prediction of febrile neutropenia by MASCC score: A retrospective
study].
AB - INTRODUCTION: The score of the MASCC, by means of clinical criteria, estimates
the risk of serious complications in patients with neutropenic fever induced by
chemotherapy. METHODS: We retrospectively studied a cohort of patients
hospitalized for a neutropenic fever and analyzed complications according to the
criteria defined by the MASCC. RESULTS: Eighty-one neutropenic fevers in 71
patients were identified. Microbiological documentation was obtained in 33% of
cases only. Fifty-eight patients (72%) presented with a MASCC score>=21 and were
considered as low risk of complications. In the total population, 10 patients
died during their hospitalizations for neutropenic fever, 7 in the high-risk
group versus 3 in the low risk group, including 2 patients suffering from
significant comorbidities not taken into account by MASCC score. Within the low
risk group, presence of a metastatic disease and existence of 2 or more
comorbidities were associated with a longer duration of hospitalization.
CONCLUSION: This analysis suggests that the criteria of the MASCC are not always
enough to thoroughly identify which patients were at risk of complications or
could be treated through outpatient management. By better taking into account the
comorbidities and tumoral stage, a better selection of the patients who are
likely to receive an ambulatory treatment could be made. To date, hospitalization
remains frequently necessary in neutropenic fevers, at least in its initial
steps, and the place of the general practitioner remains to be better defined.
PMID- 27181760
TI - [The surrogate: Partner in the shared decision-making].
AB - The legislative process of the surrogate appears to be unclear to health
professionals and to patients and next of kin. To better adapt this process to
the clinical practice our objective was here to document how the persons
designated as surrogate perceived their role and how they described the
difficulties encountered in oncology. METHODS: Qualitative survey with an
ethnographic approach carried out in 2014-2015, fieldwork, face-to-face
interviews (n=26 including 20 surrogates and 6 patients) in a mobile palliative
care unit located at a Regional Comprehensive Cancer Centre. RESULTS: Close
relationship, psychological and cognitive competences were the main attribute to
designate a surrogate. Perceived roles included the fact to be involved in
decisions, to protect the patient, to be present, and to be a messenger. This
process gives the next of kin the feeling to be part of the patient management.
In the context of divorced families, it sometimes allows to rehabilitate and to
reinforce the affective links. Our data highlight the confusion between the
designation of the 'person to call' and 'the surrogate'. DISCUSSION: Our results
highlight the 'surrogate' protective role of the patient, and the positive sides
of the process, in particular in the context of divorced/rebuilt families. We
recommend splitting the process to designate the 'person to call' and the
'surrogate', as administrative and medical duties, respectively.
PMID- 27181761
TI - High-resolution characterisation of the aging brain using simultaneous
quantitative susceptibility mapping (QSM) and R2* measurements at 7T.
AB - Quantitative susceptibility mapping (QSM) has recently emerged as a novel
magnetic resonance imaging (MRI) method to detect non-haem iron deposition,
calcifications, demyelination and vascular lesions in the brain. It has been
suggested that QSM is more sensitive than the more conventional quantifiable MRI
measure, namely the transverse relaxation rate, R2*. Here, we conducted the first
high-resolution, whole-brain, simultaneously acquired, comparative study of the
two techniques using 7Tesla MRI. We asked which of the two techniques would be
more sensitive to explore global differences in tissue composition in elderly
adults relative to young subjects. Both QSM and R2* revealed strong age-related
differences in subcortical regions, hippocampus and cortical grey matter,
particularly in superior frontal regions, motor/premotor cortices, insula and
cerebellar regions. Within the basal ganglia system-but also hippocampus and
cerebellar dentate nucleus-, QSM was largely in agreement with R2* with the
exception of the globus pallidus. QSM, however, provided superior anatomical
contrast and revealed age-related differences in the thalamus and in white
matter, which were otherwise largely undetected by R2* measurements. In contrast,
in occipital cortex, age-related differences were much greater with R2* compared
to QSM. The present study, therefore, demonstrated that in vivo QSM using ultra
high field MRI provides a novel means to characterise age-related differences in
the human brain, but also combining QSM and R2* using multi-gradient recalled
echo imaging can potentially provide a more complete picture of mineralisation,
demyelination and/or vascular alterations in aging and disease.
PMID- 27181762
TI - fMRI-constrained source analysis reveals early top-down modulations of
interference processing using a flanker task.
AB - Usually, incongruent flanker stimuli provoke conflict processing whereas
congruent flankers should facilitate task performance. Various behavioral studies
reported improved or even absent conflict processing with correctly oriented
selective attention. In the present study we attempted to reinvestigate these
behavioral effects and to disentangle neuronal activity patterns underlying the
attentional cueing effect taking advantage of a combination of the high temporal
resolution of Electroencephalographic (EEG) and the spatial resolution of
functional magnetic resonance imaging (fMRI). Data from 20 participants were
acquired in different sessions per method. We expected the conflict-related N200
event-related potential (ERP) component and areas associated with flanker
processing to show validity-specific modulations. Additionally, the spatio
temporal dynamics during cued flanker processing were examined using an fMRI
constrained source analysis approach. In the ERP data we found early differences
in flanker processing between validity levels. An early centro-parietal relative
positivity for incongruent stimuli occurred only with valid cueing during the
N200 time window, while a subsequent fronto-central negativity was specific to
invalidly cued interference processing. The source analysis additionally pointed
to separate neural generators of these effects. Regional sources in visual areas
were involved in conflict processing with valid cueing, while a regional source
in the anterior cingulate cortex (ACC) seemed to contribute to the ERP
differences with invalid cueing. Moreover, the ACC and precentral gyrus
demonstrated an early and a late phase of congruency-related activity differences
with invalid cueing. We discuss the first effect to reflect conflict detection
and response activation while the latter more likely originated from conflict
monitoring and control processes during response competition.
PMID- 27181763
TI - Human subthalamic nucleus-medial frontal cortex theta phase coherence is involved
in conflict and error related cortical monitoring.
AB - The medial prefrontal cortex (mPFC) is thought to control the shift from
automatic to controlled action selection when conflict is present or when
mistakes have been recently committed. Growing evidence suggests that this
process involves frequency specific communication in the theta (4-8Hz) band
between the mPFC and the subthalamic nucleus (STN), which is the main target of
deep brain stimulation (DBS) for Parkinson's disease. Key in this hypothesis is
the finding that DBS can lead to impulsivity by disrupting the correlation
between higher mPFC oscillations and slower reaction times during conflict. In
order to test whether theta band coherence between the mPFC and the STN underlies
adjustments to conflict and to errors, we simultaneously recorded mPFC and STN
electrophysiological activity while DBS patients performed an arrowed flanker
task. These recordings revealed higher theta phase coherence between the two
sites during the high conflict trials relative to the low conflict trials. These
differences were observed soon after conflicting arrows were displayed, but
before a response was executed. Furthermore, trials that occurred after an error
was committed showed higher phase coherence relative to trials that followed a
correct trial, suggesting that mPFC-STN connectivity may also play a role in
error related adjustments in behavior. Interestingly, the phase coherence we
observed occurred before increases in theta power, implying that the theta phase
and power may influence behavior at separate times during cortical monitoring.
Finally, we showed that pre-stimulus differences in STN theta power were related
to the reaction time on a given trial, which may help adjust behavior based on
the probability of observing conflict during a task.
PMID- 27181764
TI - Imaging whole-brain cytoarchitecture of mouse with MRI-based quantitative
susceptibility mapping.
AB - The proper microstructural arrangement of complex neural structures is essential
for establishing the functional circuitry of the brain. We present an MRI method
to resolve tissue microstructure and infer brain cytoarchitecture by mapping the
magnetic susceptibility in the brain at high resolution. This is possible because
of the heterogeneous magnetic susceptibility created by varying concentrations of
lipids, proteins and irons from the cell membrane to cytoplasm. We demonstrate
magnetic susceptibility maps at a nominal resolution of 10-MUm isotropic,
approaching the average cell size of a mouse brain. The maps reveal many detailed
structures including the retina cell layers, olfactory sensory neurons, barrel
cortex, cortical layers, axonal fibers in white and gray matter. Olfactory
glomerulus density is calculated and structural connectivity is traced in the
optic nerve, striatal neurons, and brainstem nerves. The method is robust and can
be readily applied on MRI scanners at or above 7T.
PMID- 27181765
TI - Different role of zinc transporter 8 between type 1 diabetes mellitus and type 2
diabetes mellitus.
AB - Diabetes can be simply classified into type 1 diabetes mellitus and type 2
diabetes mellitus. Zinc transporter 8 (ZnT8), a novel islet autoantigen, is
specifically expressed in insulin-containing secretory granules of beta-cells.
Genetic studies show that the genotypes of SLC30A8 can determine either
protective or diabetogenic response depending on environmental and lifestyle
factors. The ZnT8 protein expression, as well as zinc content in beta-cells, was
decreased in diabetic mice. Thus, ZnT8 might participate in insulin biosynthesis
and release, and subsequently involved deteriorated beta-cell function through
direct or indirect mechanisms in type 1 diabetes mellitus and type 2 diabetes
mellitus. From a clinical feature standpoint, the prevalence of ZnT8A is
gradiently increased in type 2 diabetes mellitus, latent autoimmune diabetes in
adults and type 1 diabetes mellitus. The frequency and epitopes of ZnT8-specific
T cells and cytokine release by ZnT8-specific T cells are also different in
diabetic patients and healthy controls. Additionally, the response to ZnT8
administration is also different in type 1 diabetes mellitus and type 2 diabetes
mellitus. In the present review, we summarize the literature about clinical
aspects of ZnT8 in the pathogenesis of diabetes, and suggest that ZnT8 might play
a different role between type 1 diabetes mellitus and type 2 diabetes mellitus.
PMID- 27181766
TI - Electrostatic networks control plug stabilization in the PapC usher.
AB - The PapC usher, a beta-barrel pore in the outer membrane of uropathogenic
Escherichia coli, is used for assembly of the P pilus, a key virulence factor in
bacterial colonization of human kidney cells. Each PapC protein is composed of a
24-stranded beta-barrel channel, flanked by N- and C-terminal globular domains
protruding into the periplasm, and occluded by a plug domain (PD). The PD is
displaced from the channel towards the periplasm during pilus biogenesis, but the
molecular mechanism for PD displacement remains unclear. Two structural features
within the beta-barrel, an alpha-helix and beta5-6 hairpin loop, may play roles
in controlling plug stabilization. Here we have tested clusters of residues at
the interface of the plug, barrel, alpha-helix and hairpin, which participate in
electrostatic networks. To assess the roles of these residues in plug
stabilization, we used patch-clamp electrophysiology to compare the activity of
wild-type and mutant PapC channels containing alanine substitutions at these
sites. Mutations interrupting each of two salt bridge networks were relatively
ineffective in disrupting plug stabilization. However, mutation of two pairs of
arginines located at the inner and the outer surfaces of the PD resulted in an
enhanced propensity for plug displacement. One arginine pair involved in a
repulsive interaction between the linkers that tether the plug to the beta-barrel
was particularly sensitive to mutation. These results suggest that plug
displacement, which is necessary for pilus assembly and translocation, may
require a weakening of key electrostatic interactions between the plug linkers,
and the plug and the alpha-helix.
PMID- 27181767
TI - Effects of breast-feeding compared with formula-feeding on preterm infant body
composition: a systematic review and meta-analysis.
AB - We conducted a systematic review and meta-analysis to compare the effect of
breast-feeding and formula-feeding on body composition of preterm infants. We
searched the literature using PubMed, Cochrane Central Library Issue, Ovid
(Medline), Embase and other resources such as Google Scholar, electronic
databases and bibliographies of relevant articles; two reviewers collected and
extracted data independently. All the authors assessed risk of bias independently
using the Newcastle-Ottawa Scale (NOS). A fixed-effects meta-analysis was
undertaken with RevMan 5 software (The Cochrane Collaboration) using the inverse
variance method (P>=0.05; chi 2 test). In contrast, a random-effects meta
analysis was carried out. Altogether, 630 articles were identified using search
strategy, and the references within retrieved articles were also assessed. A
total of six studies were included in this systematic review. In formula-fed
infants, fat mass was higher at term (mean difference 0.24 (95 % CI 0.17, 0.31)
kg), fat-free mass was higher at 36 weeks of gestational (mean difference 0.12
(95 % CI 0.04, 0.21) kg) and the percentage of fat mass was higher at 36 weeks of
gestation (mean difference 3.70 (95 % CI 1.81, 5.59) kg) compared with breast-fed
infants. Compared with breast-feeding, formula-feeding is associated with altered
body composition from birth to term in preterm infants. The effects of formula
feeding on preterm infant body composition from term to 12-month corrected age
are inconclusive in our study. Well-designed studies are required in the future
to explore the effects of formula-feeding compared with breast-feeding.
PMID- 27181769
TI - More trouble with FGF23: a novel role in systemic immunosuppression.
AB - A recent study describes impaired neutrophil function as another adverse effect
of the excessive levels of FGF23 found in the circulation of patients with
chronic kidney disease. These findings shed light on the mechanisms by which
chronic kidney disease leads to systemic immunosuppression.
PMID- 27181770
TI - ISCHEMIA in chronic kidney disease: improving the representation of patients with
chronic kidney disease in cardiovascular trials.
AB - Despite the high cardiovascular risk associated with chronic kidney disease, a
recent systematic review confirmed that patients with kidney disease remain
underrepresented in cardiovascular trials. Two ongoing trials are assessing the
risk:benefit of aggressive evaluation and intervention for ischemic heart disease
in patients with advanced chronic kidney disease.
PMID- 27181771
TI - Activin receptor IIA ligand trap in chronic kidney disease: 1 drug to prevent 2
complications-or even more?
AB - Vascular calcification and kidney fibrosis are 2 important features of chronic
kidney disease. Bone morphogenetic proteins/growth differentiation factors and
their receptors are implicated in the pathogenesis of both processes. Modulation
of the bone morphogenetic protein/growth differentiation factor pathways by a
soluble chimeric protein that contains the activin receptor IIA (ActRIIA) domain
and acts as an ActRIIA ligand trap for activin and other ligands could become a
new therapeutic strategy for vascular calcification and kidney fibrosis in
chronic kidney disease.
PMID- 27181772
TI - Unlocking the code: mining the urinary proteome after renal transplantation.
AB - Diagnosis of transplant dysfunction usually requires kidney biopsy. Sidgel et al.
compared urinary proteomics with matched kidney biopsies to develop a biomarker
panel to differentiate acute rejection, BK viral nephropathy, and chronic
allograft nephropathy. The results suggest that monitoring a panel of urinary
peptides may ultimately facilitate noninvasive diagnosis and management of common
transplant complications.
PMID- 27181773
TI - Unraveling the mechanisms of progressive peritoneal membrane fibrosis.
AB - Continuous glucose exposure contributes to severe ultrafiltration failure in
peritoneal dialysis. In their study, Wang et al. describe a mechanistic pathway
involving direct activation by glucose of mesothelial cell protein kinase C alpha
that, when blocked, or absent in a mouse knockout model, prevents fibrosis and
the associated reduction in ultrafiltration. Interestingly, this pathway involves
the 3 main mechanisms of membrane injury (inflammation, neoangiogenesis, and
fibrogenesis), offering a potential target for therapeutic intervention.
PMID- 27181774
TI - Sleepless in CKD: a novel risk factor for CKD progression?
AB - Disorders of sleep have been associated with adverse outcomes in both the general
population and in patients with chronic kidney disease. McMullan et al. add to
this literature by demonstrating an association between short sleep duration and
more rapid decline in glomerular filtration rate. We discuss the potential
implications of these results but also offer some caution in over-interpretation
of the data, given limitations in both the assessment of sleep as well as the
kidney outcomes.
PMID- 27181775
TI - Statins for hemodialysis patients with diabetes? Long-term follow-up endorses the
original conclusions of the 4D Study.
AB - The clinical benefits of statins in dialysis patients are unproven. New follow-up
data from the 4D Study indicate no clear reduction in cardiovascular events among
patients with type-2 diabetes. Assessing outcomes 7.4 years beyond the
randomization period (20 mg atorvastatin versus placebo), no differences in a
composite cardiovascular outcome were observed and no safety concerns emerged.
Current Kidney Disease: Improving Global Outcomes (KDIGO) guidelines do not need
updating based on these new data.
PMID- 27181776
TI - Controversies and research agenda in nephropathic cystinosis: conclusions from a
"Kidney Disease: Improving Global Outcomes" (KDIGO) Controversies Conference.
AB - Nephropathic cystinosis is an autosomal recessive metabolic, lifelong disease
characterized by lysosomal cystine accumulation throughout the body that commonly
presents in infancy with a renal Fanconi syndrome and, if untreated, leads to end
stage kidney disease (ESKD) in the later childhood years. The molecular basis is
due to mutations in CTNS, the gene encoding for the lysosomal cystine-proton
cotransporter, cystinosin. During adolescence and adulthood, extrarenal
manifestations of cystinosis develop and require multidisciplinary care. Despite
substantial improvement in prognosis due to cystine-depleting therapy with
cysteamine, no cure of the disease is currently available. Kidney Disease:
Improving Global Outcomes (KDIGO) convened a Controversies Conference on
cystinosis to review the state-of-the-art knowledge and to address areas of
controversies in pathophysiology, diagnostics, monitoring, and treatment in
different age groups. More importantly, promising areas of investigation that may
lead to optimal outcomes for patients afflicted with this lifelong, systemic
disease were discussed with a research agenda proposed for the future.
PMID- 27181778
TI - No apparent link between serum Klotho and phosphate in human chronic kidney
disease.
PMID- 27181779
TI - Serum anti-PLA2R antibodies may be present before clinical manifestations of
membranous nephropathy.
PMID- 27181777
TI - Loss of Glis2/NPHP7 causes kidney epithelial cell senescence and suppresses cyst
growth in the Kif3a mouse model of cystic kidney disease.
AB - Enlargement of kidney tubules is a common feature of multiple cystic kidney
diseases in humans and mice. However, while some of these pathologies are
characterized by cyst expansion and organ enlargement, in others, progressive
interstitial fibrosis and kidney atrophy prevail. The Kif3a knockout mouse is an
established non-orthologous mouse model of cystic kidney disease. Conditional
inactivation of Kif3a in kidney tubular cells results in loss of primary cilia
and rapid cyst growth. Conversely, loss of function of the gene GLIS2/NPHP7
causes progressive kidney atrophy, interstitial inflammatory infiltration, and
fibrosis. Kif3a null tubular cells have unrestrained proliferation and reduced
stabilization of p53 resulting in a loss of cell cycle arrest in the presence of
DNA damage. In contrast, loss of Glis2 is associated with activation of
checkpoint kinase 1, stabilization of p53, and induction of cell senescence.
Interestingly, the cystic phenotype of Kif3a knockout mice is partially rescued
by genetic ablation of Glis2 and pharmacological stabilization of p53. Thus,
Kif3a is required for cell cycle regulation and the DNA damage response, whereas
cell senescence is significantly enhanced in Glis2 null cells. Hence, cell
senescence is a central feature in nephronophthisis type 7 and Kif3a is
unexpectedly required for efficient DNA damage response and cell cycle arrest.
PMID- 27181780
TI - Limitations of using the Chronic Kidney Disease-Epidemiology Collaboration
equation for measuring renal function in obese populations.
PMID- 27181781
TI - Cyclical cyclophosphamide and steroids is effective in resistant or relapsing
nephrotic syndrome due to M-type phospholipase A2 receptor-related membranous
nephropathy after tacrolimus therapy.
PMID- 27181782
TI - Phospholipase A2 receptor staining is absent in many kidney biopsies with early
stage membranous glomerulonephritis.
PMID- 27181783
TI - Shed a light on intradialytic calcium mass balance.
PMID- 27181784
TI - Glomerular epithelial cells in secondary focal segmental glomerulosclerosis.
PMID- 27181785
TI - The Authors Reply.
PMID- 27181786
TI - Ingelfinger JR, Kalantar-Zadeh K, Schaefer F; for the World Kidney Day Steering
Committee. Averting the legacy of kidney disease-focus on childhood. Kidney Int.
2016;89:512-518.
PMID- 27181787
TI - Collagenofibrotic glomerulopathy.
PMID- 27181788
TI - Pseudoxanthoma elasticum and nephrocalcinosis.
PMID- 27181789
TI - The Case | Rapidly progressing polyneuropathy in a dialysis patient.
PMID- 27181791
TI - Iodine-Promoted Oxidative Cross-Coupling of Unprotected Anilines with Methyl
Ketones: A Site-Selective Direct C-H Bond Functionalization to C4-Dicarbonylation
of Anilines.
AB - An unprecedented direct dual C-H bond functionalization of unprotected anilines
and methyl ketones has been demonstrated. It is the first example of iodine
promoted highly chemo- and site-selective oxidative C-H/C-H cross-coupling of
anilines and methyl ketones to furnish the C4-dicarbonylation of anilines in
moderate to good yields. Moreover, coproduct HI acted as a catalyst in the
reaction. The salient feature of this approach is unprecedented C-H
functionalization rather than N-H functionalization of unprotected anilines.
PMID- 27181790
TI - Bremelanotide for female sexual dysfunctions in premenopausal women: a
randomized, placebo-controlled dose-finding trial.
AB - AIM: Evaluate efficacy/safety of bremelanotide (BMT), a melanocortin-receptor-4
agonist, to treat female sexual dysfunctions in premenopausal women. METHODS:
Patients randomized to receive placebo or BMT 0.75, 1.25 or 1.75 mg self
administered subcutaneously, as desired, over 12 weeks. Primary end point was
change in satisfying sexual events/month. Secondary end points included total
score changes on female sexual function index and female sexual distress scale
desire/arousal/orgasm. RESULTS: Efficacy data, n = 327. For 1.25/1.75-mg pooled
versus placebo, mean changes from baseline to study end were +0.7 versus +0.2
satisfying sexual events/month (p = 0.0180), +3.6 versus +1.9 female sexual
function index total score (p = 0.0017), -11.1 versus -6.8 female sexual distress
scale-desire/arousal/orgasm total score (p = 0.0014). Adverse events: nausea,
flushing, headache. CONCLUSION: In premenopausal women with female sexual
dysfunctions, self-administered, as desired, subcutaneous BMT was safe,
effective, and well tolerated (NCT01382719).
PMID- 27181792
TI - Radiologic response to radiation therapy concurrent with temozolomide for
progressive simple dysembryoplastic neuroepithelial tumor.
AB - Dysembryoplastic neuroepithelial tumors (DNETs) are low-grade neuroglial tumors
that are traditionally considered to be benign hamartoma-like mass lesions.
Malignant transformation and disease progression have been reported in complex
DNETs. We report a case of a simple DNET with disease progression following
subtotal resection. A 34-year-old woman underwent craniotomy with subtotal
resection of a large nonenhancing right temporal lobe and insular mass.
Histopathological analysis revealed a simple DNET. Magnetic resonance imaging
obtained 6 months after surgery demonstrated disease progression with no
enhancement or change in signal characteristics. Following concurrent therapy
with temozolomide and external beam radiation therapy, a significant radiologic
response was observed. Progressive DNET with malignant transformation exhibits
predominantly glial transformation and occurs predominantly in complex DNETs. The
histological classification of DNETs into simple, complex, and nonspecific are
reviewed. Contrast-enhancing regions are more frequently seen in complex tumors,
with nonenhancing regions having fewer complex histologic features. Close
clinical and radiographic follow-up is important in all cases of DNET. Following
tumor progression, radiation therapy with concurrent and adjuvant temozolomide
chemotherapy may be an effective treatment.
PMID- 27181793
TI - Simple, Reversible, and Fast Modulation in Superwettability, Gradient, and
Adsorption by Counterion Exchange on Self-Assembled Monolayer.
AB - A simple fabrication method for preparation of surfaces able to switch from
superhydrophobic to superhydrophilic state in a reversible and fast way is
described. A self-assembled monolayer (SAM) consisting of quaternary ammonium
group with aliphatic tail bearing terminal thiol functionality was created on
gold nano/microstructured and gold planar surfaces, respectively. A rough
nano/microstructured surface was prepared by galvanic reaction on a silicon
wafer. The reversible counterion exchange on the rough surface resulted in a
switchable contact angle between <5 degrees and 151 degrees . The prewetted
rough surface with Cl(-) as a counterion possesses a superoleophobic underwater
character. The kinetics of counterion exchanges suggests a long hydration process
and strong electron ion pairing between quaternary ammonium group and
perfluorooctanoate counterion. Moreover, a wettability gradient from
superhydrophobic to superhydrophilic can be formed on the modified rough gold
surface in a robust and simple way by passive incubation of the substrate in a
counterion solution and controlled by ionic strength. Furthermore, adsorption of
gold nanoparticles to modified plain gold surface can be controlled to a high
extent by counterions present on the SAM layer.
PMID- 27181794
TI - Anti-rheumatic activity of Ananas comosus fruit peel extract in a complete
Freund's adjuvant rat model.
AB - CONTEXT: Rheumatoid arthritis is a chronic, autoimmune and systemic inflammatory
disease, which targets synovial joints leading to joint destruction mediated in
part by migration of inflammatory cells into the synovial tissue. OBJECTIVE: The
present study evaluates the anti-rheumatic effect of a methanol extract of Ananas
comosus (L.) Merr. (Bromeliaceae) peel in rats. MATERIALS AND METHODS: Anti
rheumatic activity of crude extract of peels of A. comosus in complete Freund's
induced arthritis model in rats was studied at doses of 50, 100, 250 and 500
mg/kg b.w. for 21 days. Parameters such as paw size, levels of superoxide
dismutase (SOD), catalase (CAT), glutathione peroxidase (GPx), C-reactive
proteins (CRP) and prostaglandins (PGE2) were analysed. RESULTS: Oral
administration of the extract significantly reduced the swelling in the paw of
rats (EC50 65.1 +/- 2.95 mg/kg b.w.) with a maximal inhibition of 77.01 +/-
10.53% on 21st day at 500 mg/kg b.w. The extract also significantly reduced the
levels of SOD, CAT and GPx in liver (EC50 26.84 +/- 16.37, 68.37 +/- 19.22,
106.54 +/- 34.81 mg/kg b.w., respectively), kidney (EC50 261.75 +/- 81.5, 176.38
+/- 8.08, 14.32 +/- 6.64, mg/kg b.w., respectively) and spleen (EC50 152.14 +/-
39.57, 83.97 +/- 14.6, 47.1 +/- 10.45 mg/kg b.w., respectively); and CRP (EC50
36.37 +/- 12.4 mg/kg b.w.) and PGE2 (EC50 191.06 +/- 71.54 mg/kg b.w.) in tissue
homogenate and serum, respectively, at 500 mg/kg b.w. as compared to arthritic
control group. DISCUSSION AND CONCLUSION: These results suggest that A. comosus
fruit peel extract exerts anti-rheumatic activity.
PMID- 27181821
TI - Staging of prostatic carcinoma at 1.5-T MRI: correlation of a simplified MRI exam
with whole-mount radical prostatectomy specimens.
AB - OBJECTIVE: To retrospectively analyze the accuracy of simplified multiparametric
MRI at 1.5 T for local staging by using whole-mount-section histopathological
analysis as the standard of reference. METHODS: 123 consecutive patients
underwent T2 weighted, T1 weighted and diffusion-weighted MRI without endorectal
coil prior to radical prostatectomy. The accuracy of predicting extracapsular
extension (ECE) (T3a) was assessed using direct signs or the combination of
direct and indirect signs of extraprostatic extension. The accuracy of predicting
seminal vesicle invasion (T3b) was evaluated, taking into account different
routes of seminal vesicle involvement. Finally, adjacent organ invasion (T4) was
evaluated in this patient population. RESULTS: Histopathology showed T3a, T3b and
T4 in 61, 28 and 9 cases, respectively. The use of direct signs of extraprostatic
extension showed a sensitivity of 57.4% and specificity of 91.9%. The combination
of direct signs and indirect signs improved sensitivity (85.2%) at the expense of
moderate loss of specificity (83.9%). MR sensitivity for the detection of seminal
vesicle invasion was low (53.6%); however, it was dependent on the route of
seminal vesicle tumour infiltration. MR sensitivity and specificity for adjacent
organ invasion were 88.9% and 99.1%. CONCLUSION: Simplified MRI study at 1.5 T
provides a relatively high sensitivity for detecting ECE (T3a) when using the
combination of indirect and direct signs. However, this high sensitivity reading
is at the cost of a moderate loss of specificity. Invasion of the seminal
vesicles (T3b) occurs most often along the ejaculatory duct complex with low MR
sensitivity. ADVANCES IN KNOWLEDGE: Simplified MRI study at 1.5 T without
endorectal coil could be used for the local T staging of prostate cancer.
PMID- 27181822
TI - [Tattoo skin reactions: Management and treatment algorithm].
AB - So-called "allergic" reactions to ink or colouring agents constitute the main
current complication associated with tattoos that lead individuals to consult.
However, general practitioners are frequently at a loss about how to manage such
complications. In order to assist clinicians in their daily practice, we propose
an update of the modes of managing allergic reactions to tattoos, and we offer a
therapeutic scale and a decision-making algorithm.
PMID- 27181823
TI - [Bestiaries in clinical dermatology].
AB - Metaphors have always played a role in the medical tradition and in dermatology
too. Beyond their initially playful aspect, metaphors offer a way of rapidly
identifying a lesion and of linking it to one or more diseases. They also play a
role in the education of medical students and residents by making it easier to
memorise different diseases. Herein we discuss "animal" metaphors.
PMID- 27181824
TI - Remembering Claes Hellerstrom and those around him.
PMID- 27181826
TI - Erratum.
PMID- 27181825
TI - Claes Hellerstrom and Cartesian diver microrespirometry.
AB - Cartesian diver microrespirometry was introduced by Claes Hellerstrom at the
Department of Histology/Medical Cell Biology at Uppsala University, Sweden, to
determine rates of oxygen consumption in islets of Langerhans. The theory behind
this method is touched upon and the main findings described. Glucose-stimulated
beta cell respiration significantly contributes to increased ATP generation,
which is a prerequisite for stimulated insulin secretion and synthesis. This has
had major implications for understanding the beta cell stimulus-secretion
coupling.
PMID- 27181827
TI - Neural correlates of auditory working memory.
PMID- 27181828
TI - Assessment of the relationship between non-alcoholic fatty liver disease and
diabetic complications.
AB - AIMS/INTRODUCTION: Non-alcoholic fatty liver disease (NAFLD) is a metabolic
disorder of the liver. The relationship between NAFLD and type 2 diabetes remains
largely unknown. The aim of the present study was to determine the incidence of
complications arising from the interaction between NAFLD and type 2 diabetes.
MATERIALS AND METHODS: A total of 212 individuals with type 2 diabetes were
included in the study. The presence of NAFLD was determined in individuals using
abdominal ultrasonography for the diagnosis of fatty liver disease. Patients were
divided into three groups based on the duration of diabetes and NAFLD diagnosis.
Type 2 diabetes patients were placed in group A; patients with type 2 diabetes
longer than NAFLD were placed in group B; and patients with NAFLD longer than
type 2 diabetes were placed in group C. All individuals had undergone
electrocardiogram, blood pressure measurements, and thorough medical history and
physical examinations (Doppler ultrasound, electrophysiology, fundoscopy, cardiac
computed tomography). Laboratory measurements included fasting blood glucose,
glycated hemoglobin, oral glucose tolerance test, liver and renal function, lipid
profile, and urinary albumin excretion. RESULTS: Compared with groups A and B,
the patients of group C showed a higher prevalence of significant coronary artery
disease and hypertension (P < 0.05). Compared with groups A and B, the patients
of group C showed a lower prevalence of diabetic retinopathy and diabetic
peripheral neuropathy (P < 0.05). There was no significant difference in the
prevalence of diabetic nephropathy among the three groups (P > 0.05).
CONCLUSIONS: NAFLD combined with type 2 diabetes is associated with the presence
of significant coronary artery disease and hypertension.
PMID- 27181829
TI - Validation of a procedure for the analysis of 226Ra in naturally occurring
radioactive materials using a liquid scintillation counter.
AB - An analytical procedure for detecting 226Ra in naturally occurring radioactive
materials (NORMs) using a liquid scintillation counter (LSC) was developed and
validated with reference materials (zircon matrix, bauxite matrix, coal fly ash,
and phosphogypsum) that represent typical NORMs. The 226Ra was released from
samples by a fusion method and was separated using sulfate-coprecipitation. Next,
a 222Rn-emanation technique was applied for the determination of 226Ra. The
counting efficiency was 238 +/- 8% with glass vials. The recovery for the
reference materials was 80 +/- 11%. The linearity of the method was tested with
different masses of zircon matrix reference materials. Using 15 types of real
NORMs, including raw materials and by-products, this LSC method was compared with
gamma-spectrometry, which had already been validated for 226Ra analysis. The
correlation coefficient for the results from the LSC method and gamma
spectrometry was 0.993 +/- 0.058.
PMID- 27181830
TI - Re: Karim Fizazi, Kim N. Chi, Johann S. de Bono, et al. Low Incidence of
Corticosteroid-associated Adverse Events on Long-term Exposure to Low-dose
Prednisone Given with Abiraterone Acetate to Patients with Metastatic Castration
resistant Prostate Cancer. Eur Urol. In press.
http://dx.doi.org/10.1016/j.eururo.2016.02.035: Corticosteroid-associated Adverse
Events in Elderly Patients.
PMID- 27181831
TI - The Cigarette and the Sperm: A Fatal Liaison?
PMID- 27181833
TI - From brittle to ductile: a structure dependent ductility of diamond nanothread.
AB - As a potential building block for the next generation of devices/multifunctional
materials that are spreading in almost every technology sector, one-dimensional
(1D) carbon nanomaterial has received intensive research interests. Recently, a
new ultra-thin diamond nanothread (DNT) has joined this palette, which is a 1D
structure with poly-benzene sections connected by Stone-Wales (SW) transformation
defects. Using large-scale molecular dynamics simulations, we found that this
sp(3) bonded DNT can transition from brittle to ductile behaviour by varying the
length of the poly-benzene sections, suggesting that DNT possesses entirely
different mechanical responses than other 1D carbon allotropes. Analogously, the
SW defects behave like a grain boundary that interrupts the consistency of the
poly-benzene sections. For a DNT with a fixed length, the yield strength
fluctuates in the vicinity of a certain value and is independent of the "grain
size". On the other hand, both yield strength and yield strain show a clear
dependence on the total length of DNT, which is due to the fact that the failure
of the DNT is dominated by the SW defects. Its highly tunable ductility together
with its ultra-light density and high Young's modulus makes diamond nanothread
ideal for the creation of extremely strong three-dimensional nano-architectures.
PMID- 27181832
TI - Accuracy of conization procedure for predicting pathological parameters of
radical hysterectomy in stage Ia2-Ib1 (<=2 cm) cervical cancer.
AB - The accuracy of conization for the prediction of radical hysterectomy (RH)
pathological variables in patients with stage Ia2 to Ib1 (<=2 cm) cervical cancer
was retrospectively evaluated in the present study. Endocervical or deep
resection margin (RM) involvement in the conization specimens was found to be
independently associated with residual disease in the hysterectomy specimens (P <
0.001, = 0.003, respectively). When a tumor width of >20 mm in the final RH
pathology analysis was predicted by a tumor width of >2 mm or involvement of
endocervical or deep RMs in the conization specimens, the sensitivity and
negative predictive value (NPV) of conization were 98.2% and 95.2%, respectively.
In addition, when deep stromal invasion in the final RH pathology analysis was
predicted by deep stromal invasion or involvement of the endocervical or deep RMs
in the conization specimens, the sensitivity and NPV of conization were 98.4% and
95.8%, respectively. The sensitivity and NPV of this prediction model for
identifying LVSI in the final RH pathology analysis were both 100%. These
findings suggest that conization variables and endocervical and deep resection
margin statuses can be analyzed to effectively predict RH pathological
parameters.
PMID- 27181834
TI - Grasping hydrogen adsorption and dynamics in metal-organic frameworks using (2)H
solid-state NMR.
AB - Record greenhouse gas emissions have spurred the search for clean energy sources
such as hydrogen (H2) fuel cells. Metal-organic frameworks (MOFs) are promising
H2 adsorption and storage media, but knowledge of H2 dynamics and adsorption
strengths in these materials is lacking. Variable-temperature (VT) (2)H solid
state NMR (SSNMR) experiments targeting (2)H2 gas (i.e., D2) shed light on D2
adsorption and dynamics within six representative MOFs: UiO-66, M-MOF-74 (M = Zn,
Mg, Ni), and alpha-M3(COOH)6 (M = Mg, Zn). D2 binding is relatively strong in Mg
MOF-74, Ni-MOF-74, alpha-Mg3(COOH)6, and alpha-Zn3(COOH)6, giving rise to broad
(2)H SSNMR powder patterns. In contrast, D2 adsorption is weaker in UiO-66 and Zn
MOF-74, as evidenced by the narrow (2)H resonances that correspond to rapid
reorientation of the D2 molecules. Employing (2)H SSNMR experiments in this
fashion holds great promise for the correlation of MOF structural features and
functional groups/metal centers to H2 dynamics and host-guest interactions.
PMID- 27181835
TI - Another Choice for Prevention of COPD Exacerbations.
PMID- 27181836
TI - Preparation of ritonavir nanosuspensions by microfluidization using polymeric
stabilizers: I. A Design of Experiment approach.
AB - The objective of this study was to prepare ritonavir (RTV) nanosuspensions, an
anti-HIV protease inhibitor, to solve its poor water solubility issues. The
microfluidization method with a pre-treatment step was used to obtain the
nanosuspensions. Design of Experiment (DoE) approach was performed in order to
understand the effect of the critical formulation parameters which were selected
as polymer type (HPMC or PVP), RTV to polymer ratio, and number of passes.
Interactions between the formulation variables were evaluated according to
Univariate ANOVA. Particle size, particle size distribution and zeta potential
were selected as dependent variables. Scanning electron microscopy, X-ray powder
diffraction, and differential scanning calorimetry were performed for the in
vitro characterization after lyophilization of the optimum nanosuspension
formulation. The saturation solubility was examined in comparison with coarse
powder, physical mixture and nanosuspension. In vitro dissolution studies were
conducted using polyoxyethylene 10 lauryl ether (POE10LE) and biorelevant media
(FaSSIF and FeSSIF). The results showed nanosuspensions were partially amorphous
and spherically shaped with particle sizes ranging from 400 to 600nm. Moreover,
0.1-0.4 particle size distribution and about -20mV zeta potential values were
obtained. The nanosuspension showed a significantly increased solubility when
compared to coarse powder (3.5 fold). Coarse powder, physical mixture,
nanosuspension and commercial product dissolved completely in POE10LE; however,
cumulative dissolved values reached ~20% in FaSSIF for the commercial product and
nanosuspension. The nanosuspension showed more than 90% drug dissolved in FeSSIF
compared to the commercial product which showed ~50% in the same medium. It was
determined that RTV dissolution was increased by nanosuspension formulation. We
concluded that DoE approach is useful to develop nanosuspension formulation to
improve solubility and dissolution rate of RTV.
PMID- 27181837
TI - Evolution of Genome Size in Asexual Digital Organisms.
AB - Genome sizes have evolved to vary widely, from 250 bases in viroids to 670
billion bases in some amoebas. This remarkable variation in genome size is the
outcome of complex interactions between various evolutionary factors such as
mutation rate and population size. While comparative genomics has uncovered how
some of these evolutionary factors influence genome size, we still do not
understand what drives genome size evolution. Specifically, it is not clear how
the primordial mutational processes of base substitutions, insertions, and
deletions influence genome size evolution in asexual organisms. Here, we use
digital evolution to investigate genome size evolution by tracking genome edits
and their fitness effects in real time. In agreement with empirical data, we find
that mutation rate is inversely correlated with genome size in asexual
populations. We show that at low point mutation rate, insertions are
significantly more beneficial than deletions, driving genome expansion and the
acquisition of phenotypic complexity. Conversely, the high mutational load
experienced at high mutation rates inhibits genome growth, forcing the genomes to
compress their genetic information. Our analyses suggest that the inverse
relationship between mutation rate and genome size is a result of the tradeoff
between evolving phenotypic innovation and limiting the mutational load.
PMID- 27181839
TI - Diagnostic performance of swab PCR as an alternative to tissue culture methods
for diagnosing infections associated with fracture fixation devices.
AB - BACKGROUND: Molecular procedures could potentially improve diagnoses of
orthopaedic implant-related infections, but are not yet clinically implemented.
Analysis of sonication fluid shows the highest sensitivity for diagnosing implant
infections in cases of revision surgery with implant removal. However, there
remains controversy regarding the best method for obtaining specimens in cases of
revision surgery with implant retention. Tissue culture is the most common
diagnostic method for pathogen identification in such cases. Here we aimed to
assess the diagnostic performance of swab PCR analysis compared to tissue culture
from patients undergoing revision surgery of fracture fixation devices. METHODS:
We prospectively investigated 62 consecutive subjects who underwent revision
surgery of fracture fixation devices during a two-year period. Tissue samples
were collected for cultures, and swabs from the implant surface were obtained for
16S rRNA PCR analysis. Subjects were classified as having an implant-related
infection if (1) they presented with a sinus tract or open wound in communication
with the implant; or (2) purulence was encountered intraoperatively; or (3) two
out of three tissue cultures tested positive for the presence of the same
pathogen. Tissue culture and swab PCR results from the subjects were used to
calculate the sensitivity, specificity, accuracy, positive predictive value
(PPV), negative predictive value (NPV), and area under the ROC curve (AUC) for
identifying an orthopaedic implant-related infection. RESULTS: Orthopaedic
implant-related infections were detected in 51 subjects. Tissue culture
identified infections in 47 cases, and swab PCR in 35 cases. Among the 11 aseptic
cases, tissue culture was positive in 2 cases and swab PCR in 4 cases. Tissue
culture showed a significantly higher area under the ROC curve for diagnosing
infection (AUC=0.89; 95% CI, 0.67-0.96) compared to swab PCR (AUC=0.66; 95% CI,
0.46-0.80) (p=0.033). CONCLUSIONS: Compared to swab PCR, tissue culture showed
better performance for diagnosing orthopaedic implant-related infection. Although
molecular methods are expected to yield higher diagnostic accuracy than cultures,
it appears that the method of obtaining specimens plays an important role.
Improved methods of specimen collection are required before swab PCR can become a
reliable alternative to tissue-consumptive methods.
PMID- 27181840
TI - A cyclopropene-modified nucleotide for site-specific RNA labeling using genetic
alphabet expansion transcription.
AB - Site-specific RNA modification with methyl cyclopropene moieties is performed by
T7 in vitro transcription. An existing unnatural base is functionalized with a
cyclopropene moiety and used in transcription reactions to produce site
specifically cyclopropene-modified RNA molecules. The posttranscriptional inverse
electron demand Diels-Alder cycloaddition reaction with a selected tetrazine
fluorophore conjugate is demonstrated.
PMID- 27181841
TI - Wideband Scattering Diffusion by using Diffraction of Periodic Surfaces and
Optimized Unit Cell Geometries.
AB - A methodology to obtain wideband scattering diffusion based on periodic
artificial surfaces is presented. The proposed surfaces provide scattering
towards multiple propagation directions across an extremely wide frequency band.
They comprise unit cells with an optimized geometry and arranged in a periodic
lattice characterized by a repetition period larger than one wavelength which
induces the excitation of multiple Floquet harmonics. The geometry of the
elementary unit cell is optimized in order to minimize the reflection coefficient
of the fundamental Floquet harmonic over a wide frequency band. The optimization
of FSS geometry is performed through a genetic algorithm in conjunction with
periodic Method of Moments. The design method is verified through full-wave
simulations and measurements. The proposed solution guarantees very good
performance in terms of bandwidth-thickness ratio and removes the need of a high
resolution printing process.
PMID- 27181838
TI - PD-L1 polymorphism can predict clinical outcomes of non-small cell lung cancer
patients treated with first-line paclitaxel-cisplatin chemotherapy.
AB - This study was conducted to investigate whether polymorphisms of genes involved
in immune checkpoints can predict the clinical outcomes of patients with advanced
stage non-small cell lung cancer (NSCLC) after 1st line paclitaxel-cisplatin
chemotherapy. A total of 379 NSCLC patients were enrolled. Twelve single
nucleotide polymorphisms (SNPs) of PD-1, PD-L1, and CTLA-4 genes were selected
and genotyped. The associations of SNPs with chemotherapy response and overall
survival (OS) were analyzed. Among the 12 SNPs investigated, PD-L1 rs2297136T > C
and rs4143815C > G were significantly associated with clinical outcomes after
chemotherapy. The rs2297136T > C was significantly associated with both better
chemotherapy response and better OS, and the rs4143815C > G had a significantly
better response to chemotherapy. Consistent with the individual genotype
analyses, rs2297136C-rs4143815G haplotype (ht4) carrying variant alleles at both
loci was significantly associated with better chemotherapy response and OS
compared with combined other haplotypes. Patients with at least one ht4 had
significantly better chemotherapy response and OS compared to those without ht4.
PD-L1 rs2297136T > C and rs4143815C > G polymorphisms may be useful for the
prediction of clinical outcome of 1(st) line paclitaxel-cisplatin chemotherapy in
NSCLC. Further studies are needed to confirm our findings and to understand the
role of PD-L1 in the chemotherapy outcome of NSCLC patients.
PMID- 27181842
TI - Genetic engineering in Actinoplanes sp. SE50/110 - development of an intergeneric
conjugation system for the introduction of actinophage-based integrative vectors.
AB - The alpha-glucosidase inhibitor acarbose is used for treatment of diabetes
mellitus type II, and is manufactured industrially with overproducing derivatives
of Actinoplanes sp. SE50/110, reportedly obtained by conventional mutagenesis.
Despite of high industrial significance, only limited information exists
regarding acarbose metabolism, function and regulation of these processes, due to
the absence of proper genetic engineering methods and tools developed for this
strain. Here, a basic toolkit for genetic engineering of Actinoplanes sp.
SE50/110 was developed, comprising a standardized protocol for a DNA transfer
through Escherichia coli-Actinoplanes intergeneric conjugation and applied for
the transfer of phiC31, phiBT1 and VWB actinophage-based integrative vectors.
Integration sites, occurring once per genome for all vectors, were sequenced and
characterized for the first time in Actinoplanes sp. SE50/110. Notably, in case
of phiC31 based vector pSET152, the integration site is highly conserved, while
for phiBT1 and the VWB based vectors pRT801 and pSOK804, respectively, no
sequence similarities to those in other bacteria were detected. The studied
plasmids were proven to be stable and neutral with respect to strain morphology
and acarbose production, enabling future use for genetic manipulations of
Actinoplanes sp. SE50/110. To further broaden the spectrum of available tools, a
GUS reporter system, based on the pSET152 derived vector, was also established in
Actinoplanes sp. SE50/110.
PMID- 27181843
TI - Protective lifestyle behaviours and depression in middle-aged Irish men and
women: a secondary analysis.
AB - OBJECTIVE: To examine the association between protective lifestyle behaviours
(PLB) and depression in middle-aged Irish adults. DESIGN: Secondary analysis of a
cross-sectional study. PLB (non-smoker, moderate alcohol, physical activity,
adequate fruit and vegetable intake) were assessed using a general health and
lifestyle questionnaire and a validated FFQ. Depression was assessed using the
Center for Epidemiologic Studies Depression Scale. A score of 15-21 indicates
mild/moderate depression and a score of 22 or more indicates a possibility of
major depression. Binary logistic regression was used to examine the association
between PLB and depression. SETTING: Livinghealth Clinic, Mitchelstown, North
Cork, Republic of Ireland. SUBJECTS: Men and women aged 50-69 years were selected
at random from a list of patients registered at the clinic (n 2047, 67 % response
rate). RESULTS: Over 8 % of participants engaged in zero or one PLB, 24 % and 39
% had two and three PLB respectively, while 28 % had four PLB. Those who
practised three/four PLB were significantly more likely to be female, have a
higher level of education and were categorised as having no depressive symptoms.
Engaging in zero or one PLB was significantly associated with an increased odds
of depression compared with four PLB. Results remained significant after
adjusting for several confounders, including age, gender, education and BMI
(OR=2.2; 95 % CI 1.2, 4.0; P for trend=0.001). CONCLUSIONS: While causal
inference cannot be established in a cross-sectional study, the findings suggest
that healthy behaviours may play a vital role in the promotion of positive mental
health or, at a minimum, are associated with lower levels of depression.
PMID- 27181844
TI - Paraventricular Nucleus Modulates Excitatory Cardiovascular Reflexes during
Electroacupuncture.
AB - The paraventricular nucleus (PVN) regulates sympathetic outflow and blood
pressure. Somatic afferent stimulation activates neurons in the hypothalamic PVN.
Parvocellular PVN neurons project to sympathoexcitatory cardiovascular regions of
the rostral ventrolateral medulla (rVLM). Electroacupuncture (EA) stimulates the
median nerve (P5-P6) to modulate sympathoexcitatory responses. We hypothesized
that the PVN and its projections to the rVLM participate in the EA-modulation of
sympathoexcitatory cardiovascular responses. Cats were anesthetized and
ventilated. Heart rate and mean blood pressure were monitored. Application of
bradykinin every 10-min on the gallbladder induced consistent pressor reflex
responses. Thirty-min of bilateral EA stimulation at acupoints P5-P6 reduced the
pressor responses for at least 60-min. Inhibition of the PVN with naloxone
reversed the EA-inhibition. Responses of cardiovascular barosensitive rVLM
neurons evoked by splanchnic nerve stimulation were reduced by EA and then
restored with opioid receptor blockade in the PVN. EA at P5-P6 decreased
splanchnic evoked activity of cardiovascular barosensitive PVN neurons that also
project directly to the rVLM. PVN neurons labeled with retrograde tracer from
rVLM were co-labeled with MU-opioid receptors and juxtaposed to endorphinergic
fibers. Thus, the PVN and its projection to rVLM are important in processing
acupuncture modulation of elevated blood pressure responses through a PVN opioid
mechanism.
PMID- 27181846
TI - Data-driven magnetohydrodynamic modelling of a flux-emerging active region
leading to solar eruption.
AB - Solar eruptions are well-recognized as major drivers of space weather but what
causes them remains an open question. Here we show how an eruption is initiated
in a non-potential magnetic flux-emerging region using magnetohydrodynamic
modelling driven directly by solar magnetograms. Our model simulates the coronal
magnetic field following a long-duration quasi-static evolution to its fast
eruption. The field morphology resembles a set of extreme ultraviolet images for
the whole process. Study of the magnetic field suggests that in this event, the
key transition from the pre-eruptive to eruptive state is due to the
establishment of a positive feedback between the upward expansion of internal
stressed magnetic arcades of new emergence and an external magnetic reconnection
which triggers the eruption. Such a nearly realistic simulation of a solar
eruption from origin to onset can provide important insight into its cause, and
also has the potential for improving space weather modelling.
PMID- 27181845
TI - Specific types of alcoholic beverage consumption and risk of type 2 diabetes: A
systematic review and meta-analysis.
AB - AIMS/INTRODUCTION: Previous meta-analyses identified an inverse association of
total alcohol consumption with the risk of type 2 diabetes. The current study
further explored the relationship between specific types of alcoholic beverage
and the incidence of type 2 diabetes. MATERIALS AND METHODS: A search of PubMed,
Embase and Cochrane Library databases from January 1966 to February 2016 was
carried out for prospective cohort studies that assessed the effects of specific
types of alcoholic beverage on the risk of type 2 diabetes. The pooled relative
risks with 95% confidence interval were calculated using random- or fixed-effect
models when appropriate. RESULTS: A total of 13 prospective studies were included
in this meta-analysis, with 397,296 study participants and 20,641 cases of type 2
diabetes. Relative to no or rare alcohol consumption, wine consumption was
associated with a significant reduction of the risk of type 2 diabetes, with the
pooled relative risks of 0.85, whereas beer or spirits consumption led to a
slight trend of decreasing risk of type 2 diabetes (relative risk 0.96, 0.95,
respectively). Further dose-response analysis showed a U-shaped relationship
between all three alcohol types and type 2 diabetes. Additionally, the peak risk
reduction emerged at 20-30 g/day for wine and beer, and at 7-15 g/day for
spirits, with a decrease of 20, 9 and 5%, respectively. CONCLUSIONS: Compared
with beer or spirits, wine was associated with a more significant decreased risk
of type 2 diabetes. The present study showed that wine might be more helpful for
protection against type 2 diabetes than beer or spirits.
PMID- 27181871
TI - Transcriptomic responses of the calanoid copepod Calanus finmarchicus to the
saxitoxin producing dinoflagellate Alexandrium fundyense.
AB - In the Gulf of Maine, the copepod Calanus finmarchicus co-occurs with the
neurotoxin-producing dinoflagellate, Alexandrium fundyense. The copepod is
resistant to this toxic alga, but little is known about other effects. Gene
expression profiles were used to investigate the physiological response of
females feeding for two and five days on a control diet or a diet containing
either a low or a high dose of A. fundyense. The physiological responses to the
two experimental diets were similar, but changed between the time points. At 5
days the response was characterized by down-regulated genes involved in energy
metabolism. Detoxification was not a major component of the response. Instead,
genes involved in digestion were consistently regulated, suggesting that food
assimilation may have been affected. Thus, predicted increases in the frequency
of blooms of A. fundyense could affect C. finmarchicus populations by changing
the individuals' energy budget and reducing their ability to build lipid
reserves.
PMID- 27181872
TI - Subcritical Water Processing of Proteins: An Alternative to Enzymatic Digestion?
AB - Subcritical water is an emerging tool in the processing of bioorganic waste.
Subcritical water is an environmentally benign solvent which has the potential to
provide an alternative to traditional methods of protein hydrolysis without the
inclusion of expensive acids or enzymes. To date, most studies on the subcritical
water mediated hydrolysis of proteins have focused on the production of amino
acids, rather than the intermediate peptides. Here, we investigate the
specificity of subcritical water with respect to the production of peptides from
three model proteins, hemoglobin, bovine serum albumin, and beta-casein, and
compare the results with enzymatic digestion of proteins by trypsin. In addition,
the effect of subcritical water (SCW) treatment on two protein post-translational
modifications, disulfide bonds and phosphorylation, was investigated. The results
show that high protein sequence coverages (>80%) can be obtained following
subcritical water hydrolysis. These are comparable to those obtained following
treatment with tryspin. Under mild subcritical water conditions (160 degrees C),
all proteins showed favored cleavage of the Asp-X bond. The results for beta
casein revealed favored cleavage of the Glu-X bond at subcritical water
temperatures of 160 and 207 degrees C. That was similarly observed for bovine
serum albumin at a subcritical water temperature of 207 degrees C. Subcritical
water treatment results in very limited cleavage of disulfide bonds. Reduction
and alkylation of proteins either prior to or post subcritical water treatment
improve reported protein sequence coverages. The results for phosphoprotein beta
casein show that, under mild subcritical water conditions, phosphorylation may be
retained on the peptide hydrolysis products.
PMID- 27181873
TI - Comparison of the effect of bone marrow cells infusion through the portal vein
and inferior vena cava combined with short-term rapamycin on allogeneic islet
grafts in diabetic rats.
AB - AIMS/INTRODUCTION: The study aimed to compare the impact of allogeneic bone
marrow cells (BMCs) infusion through the inferior vena cava (IVC) and portal vein
(PV) combined with rapamycin on allogeneic islet grafts in diabetic rats.
MATERIALS AND METHODS: Recipient diabetic Wistar rats were infused with islets
from Sprague-Dawley rats through the PV. PKH26-labeled BMCs of Sprague-Dawley
rats were infused to recipients through the PV or IVC, followed by administration
of rapamycin for 4 days. Blood glucose level was measured to evaluate the
survival time of the islets. Lymphocytes separated from blood, BMCs, thymus,
liver, spleen and lymph node were analyzed by flow cytometry. The peripheral
blood smear, BMCs smear and frozen sections of tissues were observed by a
fluorescence microscope. RESULTS: The survival time of the islets was
significantly prolonged by the BMCs infusion combined with rapamycin. The rats
receiving BMCs infusion through the PV induced a significantly longer survival
time of the islets, and increased mixed chimeras of allogeneic BMCs in the
thymus, liver, spleen and lymph node compared with the rats receiving BMCs
infusion through the IVC. The amount of the mixed chimeras on day 14 was lower
than that on day 7 after islet transplantation. Furthermore, PV transplantation
had significantly more mixed chimera than IVC transplantation in all analyzed
organs or tissues. CONCLUSIONS: BMCs infusion combined with rapamycin prolongs
the islets survival and induces mixed chimeras of BMCs. PV infusion of BMCs might
be a more effective strategy than IVC infusion of BMCs.
PMID- 27181874
TI - Cardiac rehabilitation delivery model for low-resource settings.
AB - OBJECTIVE: Cardiovascular disease is a global epidemic, which is largely
preventable. Cardiac rehabilitation (CR) is demonstrated to be cost-effective and
efficacious in high-income countries. CR could represent an important approach to
mitigate the epidemic of cardiovascular disease in lower-resource settings. The
purpose of this consensus statement was to review low-cost approaches to
delivering the core components of CR, to propose a testable model of CR which
could feasibly be delivered in middle-income countries. METHODS: A literature
review regarding delivery of each core CR component, namely: (1) lifestyle risk
factor management (ie, physical activity, diet, tobacco and mental health), (2)
medical risk factor management (eg, lipid control, blood pressure control), (3)
education for self-management and (4) return to work, in low-resource settings
was undertaken. Recommendations were developed based on identified articles,
using a modified GRADE approach where evidence in a low-resource setting was
available, or consensus where evidence was not. RESULTS: Available data on cost
of CR delivery in low-resource settings suggests it is not feasible to deliver CR
in low-resource settings as is delivered in high-resource ones. Strategies which
can be implemented to deliver all of the core CR components in low-resource
settings were summarised in practice recommendations, and approaches to patient
assessment proffered. It is suggested that CR be adapted by delivery by non
physician healthcare workers, in non-clinical settings. CONCLUSIONS: Advocacy to
achieve political commitment for broad delivery of adapted CR services in low
resource settings is needed.
PMID- 27181875
TI - Association of the hypertriglyceridemic waist phenotype and type 2 diabetes
mellitus among adults in China.
AB - AIMS/INTRODUCTION: To clarify the association of the hypertriglyceridemic waist
phenotype and type 2 diabetes mellitus among adults in China. MATERIALS AND
METHODS: In the present case-control study, we included 1,685 patients with type
2 diabetes mellitus and 7,141 normal glucose-tolerant controls from the Henan
Province of China in 2011. Elevated waist circumference (GW) was defined as >=90
cm for men and >=80 cm for women. Hypertriglyceridemia (HT) was defined as >1.7 m
mol/L triglycerides (TG) level. The association of hypertriglyceridemic waist
phenotype and type 2 diabetes mellitus was investigated by sex, body mass index,
physical activity, and family history of diabetes. RESULTS: Cases and controls
differed in age, waist circumference (WC), weight, TG level, fasting glucose,
body mass index, smoking status, diabetic family history, physical activity and
hypertriglyceridemic waist phenotype (P < 0.05), but not alcohol drinking (P =
0.63). In the overall sample, as compared with the phenotype of normal TG level
and normal WC (NTNW), normal TG level/enlarged WC (NTGW), elevated TG
level/normal WC (HTNW) and elevated TG level/enlarged WC (HTGW) were associated
with type 2 diabetes mellitus (odds ratio 4.14, 2.42 and 6.23, respectively).
Only HTGW was consistently associated with risk of type 2 diabetes mellitus, with
or without adjustment. The strongest relationship between HTGW and type 2
diabetes mellitus was for subjects with body mass index <24.0 kg/m(2) (odds ratio
6.54, 95% confidence interval 4.22-10.14) after adjustment for cofounding
variables. CONCLUSION: HTGW was stably and significantly associated with risk of
type 2 diabetes mellitus in adult Chinese.
PMID- 27181876
TI - Outcomes related to variation in hospital pulmonary embolus observation stay
utilization.
AB - OBJECTIVES: To characterize hospital variation in use of observation stays to
manage pulmonary embolism (PE) and its association with subsequent outcomes.
METHODS: We performed a cross-sectional study of hospitals reporting >=75 PE
encounters (emergency department, observation stay or inpatient admission) using
Premier data from 11/2012-3/2015. We included hospital encounters for adults with
a primary diagnosis of PE (415.1x), >=1 diagnostic test claim for PE on day 0-2
and evidence of PE treatment. Hospitals were divided into tertiles (Ts) based on
the proportion of all PE encounters managed as an observation stay. The
association between observation stay utilization and the proportion of PE
encounters resulting in in-hospital death or re-admission within the same or
subsequent 2-months were compared across Ts using a generalized estimating
equation adjusted for individual encounter disease severity. RESULTS: Observation
PE management increased over the study period (1.9%-5.4%; Pearson's r = 0.88, p <
0.001). Of all hospitals reporting >=1 PE encounter, 255 had >=75 encounters
(representing a total of 38,172 PE encounters) and were included in the analysis.
Individual hospital observation use for PE management varied from 0%-33.9%. Mean
hospital rates of PE observation stay by T were T1 = 0.1%, T2 = 2.2% and T3 =
7.9%. Hospitals that used observation stays most frequently (T3) were more likely
in the South or Mid-west (p < 0.001), to be a teaching hospital (p = 0.03) and
less likely to serve an urban population (p = 0.02). Hospitals in T3 (n = 11,780
encounters) were not associated with a statistically significant increased risk
of in-hospital death (2.3% vs. 2.1%-2.6%) or all-cause (4.7% vs. 5.1%-5.4%),
venous thromboembolism-(1.4% vs. 1.8%-2.0%) or major bleeding (0.3% vs. 0.2-0.3%)
related re-admission in the same or subsequent 2-months compared to T1 (n =
12,940 encounters) and T2 (n = 13,452 encounters). CONCLUSION: PE management via
observation stays has increased over recent years. Hospitals more frequently
utilizing observation stays may not experience increased negative outcomes, such
as re-admission.
PMID- 27181877
TI - Early bone anchorage to micro- and nano-topographically complex implant surfaces
in hyperglycemia.
AB - The aim of this work was to investigate the effect of implant surface design on
early bone anchorage in the presence of hyperglycemia. 108 Wistar rats were
separated into euglycemic (EG) controls and STZ-treated hyperglycemic (HG)
groups, and received bilateral femoral custom rectangular implants of two surface
topographies: grit blasted (GB) and grit-blast with a superimposed calcium
phosphate nanotopography (GB-DCD). The peri-implant bone was subjected to a
tensile disruption test 5, 7, and 9days post-operatively (n=28/time point); the
force was measured; and the residual peri-implant bone was observed by scanning
electron microscopy (SEM). Disruption forces at 5days were not significantly
different from zero for the GB implants (p=0.24) in either metabolic group; but
were for GB+DCD implants in both metabolic groups (p<0.001). Contact osteogenesis
was greater on GB-DCD than the GB surface. The nano-and micro-surfaced implants
showed significantly different disruption forces at all time points (e.g. >15N
and <5N respectively at 9days). Such differences were not seen within the GB
implants, as all values were very low (<5N). Even in hyperglycemia the GB-DCD
surface outperformed the GB surfaces in both metabolic groups. Significantly, SEM
of peri-implant bone showed compromised intra-fibrillar collagen mineralization
in hyperglycemia, while inter-fibrillar and cement line mineralization remained
unaffected. Enhanced bone anchorage to the implant surfaces was observed on the
nanotopographically complex surface independent of metabolic group. The
compromised intra-fibrillar mineralization observed provides a mechanism by which
early bone mineralization is affected in hyperglycemia. STATEMENT OF
SIGNIFICANCE: It is generally accepted that the hyperglycemia associated with
diabetes mellitus compromises bone quality, although the mechanism by which this
occurs is unknown. Uncontrolled hyperglycemia is therefore a contra-indication
for bone implant placement. It is also known that nano-topographically complex
implant surfaces accelerate early peri-implant healing. In this report we show
that, in our experimental model, nano-topographically complex surfaces can
mitigate the compromised bone healing seen in hyperglycemia. Importantly, we also
provide a mechanistic explanation for compromised bone quality in hyperglycemia.
We show that intra-fibrillar collagen mineralization is compromised in
hyperglycemia, but that interfibrillar and cement line mineralization, remain
unaffected.
PMID- 27181879
TI - Control of silk microsphere formation using polyethylene glycol (PEG).
AB - A one step, rapid method to prepare silk microspheres was developed, with
particle size controlled by the addition of polyethylene glycol (PEG). PEG
molecular weight (4.0K-20.0KDa) and concentration (20-50wt%), as well as silk
concentration (5-20wt%), were key factors that determined particle sizes varying
in a range of 1-100MUm. Addition of methanol to the PEG-silk combinations
increased the content of crystalline beta-sheet in the silk microspheres. To
track the distribution and degradation of silk microspheres in vivo, 3
mercaptopropionic acid (MPA)-coated CdTe quantum dots (QDs) were physically
entrapped in the silk microspheres. QDs tightly bound to the beta-sheet domains
of silk via hydrophobic interactions, with over 96% of the loaded QDs remaining
in the silk microspheres after exhaustive extraction. The fluorescence of QDs
incorporated silk microspheres less stable in cell culture medium than in
phosphate buffer solution (PBS) and water. After subcutaneous injection in mice,
microspheres prepared from 20% silk (approx. 30MUm diameter particles) still
fluoresced at 24h, while those prepared from 8% silk (approx. 4MUm diameter
particles) and free QDs were not detectable, reflecting the QDs quenching and
particle size effect on microsphere clearance in vivo. The larger microspheres
were more resistant to cell internalization and degradation. Since PEG is an FDA
approved polymer, and silk is FDA approved for some medical devices, the methods
developed in the present study will be useful in a variety of biomedical
applications where simple, rapid and scalable preparation of silk microspheres is
required. STATEMENT OF SIGNIFICANCE: The work is of significance to the
biomaterial and controlled release society because it provides a new option for
fabricating silk microspheres in one simple step of mixing silk and polyethylene
glycol (PEG), with the size and properties of microspheres controllable by PEG
molecular weight as well as PEG and silk concentrations. Although fabrication of
silk microspheres have been reported previously using spray-drying, liposome
templating, polyvinyl alcohol (PVA) emulsification, etc., applications were
hindered due to harsh conditions (temperature, solvents, etc.) and complicated
procedures used as well as low yield and less controllable particle size (usually
<10MUm). Since PEG is an FDA-approved polymer, and silk is FDA approved for some
medical devices, the methods developed in the present study will be useful in a
variety of biomedical applications where simple, rapid and scalable preparation
of silk microspheres is required.
PMID- 27181880
TI - Biofunctionalization of PEDOT films with laminin-derived peptides.
AB - Poly(3,4-ethylenedioxythiophenes) (PEDOT) have been extensively explored as
materials for biomedical implants such as biosensors, tissue engineering
scaffolds and microelectronic devices. Considerable effort has been made to
incorporate biologically active molecules into the conducting polymer films in
order to improve their long term performance at the soft tissue interface of
devices, and the development of functionalized conducting polymers that can be
modified with biomolecules would offer important options for device improvement.
Here we report surface modification, via straightforward protocols, of carboxylic
acid-functional PEDOT copolymer films with the nonapeptide, CDPGYIGSR, derived
from the basement membrane protein laminin. Evaluation of the modified surfaces
via XPS and toluidine blue O assay confirmed the presence of the peptide on the
surface and electrochemical analysis demonstrated unaltered properties of the
peptide-modified films. The efficacy of the peptide, along with the impact of a
spacer molecule, for cell adhesion and differentiation was tested in cell culture
assays employing the rat pheochromocytoma (PC12) cell line. Peptide-modified
films comprising the longest poly(ethylene glycol) (PEG) spacer used in this
study, a PEG with ten ethylene glycol repeats, demonstrated the best attachment
and neurite outgrowth compared to films with peptides alone or those with a PEG
spacer comprising three ethylene glycol units. The films with PEG10-CDPGYISGR
covalently modified to the surface demonstrated 11.5% neurite expression with a
mean neurite length of 90MUm. This peptide immobilization technique provides an
effective approach to biofunctionalize conducting polymer films. STATEMENT OF
SIGNIFICANCE: For enhanced diagnosis and treatment, electronic devices that
interface with living tissue with minimum shortcomings are critical. Towards
these ends, conducting polymers have proven to be excellent materials for
electrode-tissue interface for a variety of biomedical devices ranging from deep
brain stimulators, cochlear implants, and microfabricated cortical electrodes. To
improve the electrode-tissue interface, one strategy utilized by many researchers
is incorporating relevant biological molecules within or on the conducting
polymer thin films to provide a surface for cell attachment and/or provide
biological cues for cell growth. The present study provides a facile means for
generating PEDOT films grafted with a laminin peptide with or without a spacer
molecule for enhanced cell attachment and neurite extension.
PMID- 27181881
TI - Can restoring immune balance be the ultimate therapy for type 1 diabetes?
PMID- 27181878
TI - Human iPSC-derived endothelial cell sprouting assay in synthetic hydrogel arrays.
AB - Activation of vascular endothelial cells (ECs) by growth factors initiates a
cascade of events during angiogenesis in vivo consisting of EC tip cell
selection, sprout formation, EC stalk cell proliferation, and ultimately vascular
stabilization by support cells. Although EC functional assays can recapitulate
one or more aspects of angiogenesis in vitro, they are often limited by undefined
substrates and lack of dependence on key angiogenic signaling axes. Here, we
designed and characterized a chemically-defined model of endothelial sprouting
behavior in vitro using human induced pluripotent stem cell-derived endothelial
cells (iPSC-ECs). We rapidly encapsulated iPSC-ECs at high density in
poly(ethylene glycol) (PEG) hydrogel spheres using thiol-ene chemistry and
subsequently encapsulated cell-dense hydrogel spheres in a cell-free hydrogel
layer. The hydrogel sprouting array supported pro-angiogenic phenotype of iPSC
ECs and supported growth factor-dependent proliferation and sprouting behavior.
iPSC-ECs in the sprouting model responded appropriately to several reference
pharmacological angiogenesis inhibitors of vascular endothelial growth factor, NF
kappaB, matrix metalloproteinase-2/9, protein kinase activity, and beta-tubulin,
which confirms their functional role in endothelial sprouting. A blinded screen
of 38 putative vascular disrupting compounds from the US Environmental Protection
Agency's ToxCast library identified six compounds that inhibited iPSC-EC
sprouting and five compounds that were overtly cytotoxic to iPSC-ECs at a single
concentration. The chemically-defined iPSC-EC sprouting model (iSM) is thus
amenable to enhanced-throughput screening of small molecular libraries for
effects on angiogenic sprouting and iPSC-EC toxicity assessment. STATEMENT OF
SIGNIFICANCE: Angiogenesis assays that are commonly used for drug screening and
toxicity assessment applications typically utilize natural substrates like
Matrigel(TM) that are difficult to spatially pattern, costly, ill-defined, and
may exhibit lot-to-lot variability. Herein, we describe a novel angiogenic
sprouting assay using chemically-defined, bioinert poly(ethylene glycol)
hydrogels functionalized with biomimetic peptides to promote cell attachment and
degradation in a reproducible format that may mitigate the need for natural
substrates. The quantitative assay of angiogenic sprouting here enables precise
control over the initial conditions and can be formulated into arrays for
screening. The sprouting assay here was dependent on key angiogenic signaling
axes in a screen of angiogenesis inhibitors and a blinded screen of putative
vascular disrupting compounds from the US-EPA.
PMID- 27181882
TI - Evidence of weak localization in quantum interference effects observed in
epitaxial La0.7Sr0.3MnO3 ultrathin films.
AB - Quantum interference effects (QIEs) dominate the appearance of low-temperature
resistivity minimum in colossal magnetoresistance manganites. The T(1/2)
dependent resistivity under high magnetic field has been evidenced as electron
electron (e-e) interaction. However, the evidence of the other source of QIEs,
weak localization (WL), still remains insufficient in manganites. Here we report
on the direct experimental evidence of WL in QIEs observed in the single-crystal
La0.7Sr0.3MnO3 (LSMO) ultrathin films deposited by laser molecular beam epitaxy.
The sharp cusps around zero magnetic field in magnetoresistance measurements is
unambiguously observed, which corresponds to the WL effect. This convincingly
leads to the solid conclusion that the resistivity minima at low temperatures in
single-crystal manganites are attributed to both the e-e interaction and the WL
effect. Moreover, the temperature-dependent phase-coherence length corroborates
the WL effect of LSMO ultrathin films is within a two-dimensional localization
theory.
PMID- 27181884
TI - High-normal blood pressure is associated with visit-to-visit blood pressure
variability in the US adults.
AB - OBJECTIVES: High-normal blood pressure and visit-to-visit blood pressure
variability are common in clinical settings. They are associated with
cardiovascular outcomes. No population based studies have assessed the
association between these two phenomena. Our objective was to test the
relationship of high-normal blood pressure with visit-to-visit blood pressure
variability. DESIGN: A cross-sectional study. METHODS: We used data from the
cross-sectional Third National Health and Nutrition Examination Survey to test
the relationship between high-normal blood pressure and visit-to-visit blood
pressure variability; we conducted multivariable regression analyses to evaluate
the relationship between these two variables. RESULTS: The analysis included
6,071 participants. The participants' mean age was 37.16 years. The means of
visit-to-visit systolic and diastolic blood pressure variability were 5.84 mmHg
and 5.26 mmHg. High-normal blood pressure was significantly associated with
systolic and diastolic blood pressure variability (p values <0.05). CONCLUSIONS:
High-normal blood pressure is associated with visit-to-visit blood pressure
variability. Additional research is required to replicate the reported results in
prospective studies and evaluate approaches to reduce blood pressure variability
observed in clinical settings among patients with high-normal blood pressure to
reduce the subsequent complications of blood pressure variability.
PMID- 27181883
TI - Neural representation of scene boundaries.
AB - Three-dimensional environmental boundaries fundamentally define the limits of a
given space. A body of research employing a variety of methods points to their
importance as cues in navigation. However, little is known about the nature of
the representation of scene boundaries by high-level scene cortices in the human
brain (namely, the parahippocampal place area (PPA) and retrosplenial complex
(RSC)). Here we use univariate and multivoxel pattern analysis to study
classification performance for artificial scene images that vary in degree of
vertical boundary structure (a flat 2D boundary, a very slight addition of 3D
boundary, or full walls). Our findings present evidence that there are distinct
neural components for representing two different aspects of boundaries: 1) acute
sensitivity to the presence of grounded 3D vertical structure, represented by the
PPA, and 2) whether a boundary introduces a significant impediment to the
viewer's potential navigation within a space, represented by RSC.
PMID- 27181885
TI - GLS-Finder: A Platform for Fast Profiling of Glucosinolates in Brassica
Vegetables.
AB - Mass spectrometry combined with related tandem techniques has become the most
popular method for plant secondary metabolite characterization. We introduce a
new strategy based on in-database searching, mass fragmentation behavior study,
formula predicting for fast profiling of glucosinolates, a class of important
compounds in brassica vegetables. A MATLAB script-based expert system computer
program, "GLS-Finder", was developed. It is capable of qualitative and semi
quantitative analyses of glucosinolates in samples using data generated by
ultrahigh-performance liquid chromatography-high-resolution accurate mass with
multi-stage mass fragmentation (UHPLC-HRAM/MS(n)). A suite of bioinformatic tools
was integrated into the "GLS-Finder" to perform raw data deconvolution, peak
alignment, glucosinolate putative assignments, semi-quantitation, and
unsupervised principal component analysis (PCA). GLS-Finder was successfully
applied to identify intact glucosinolates in 49 commonly consumed Brassica
vegetable samples in the United States. It is believed that this work introduces
a new way of fast data processing and interpretation for qualitative and
quantitative analyses of glucosinolates, where great efficacy was improved in
comparison to identification manually.
PMID- 27181886
TI - [Comparison of the Effectiveness of Different Supraglottic Ventilation Methods
during Bronchial Thermoplasty].
AB - OBJECTIVE: To compare the effectiveness of high-frequency jet ventilation via Wei
jet nasal airway and controlled ventilation with improved laryngeal mask airway
during bronchial thermoplasty. METHODS: Twenty-eight patients undergoing
bronchial thermoplasty were equally divided into two groups: group A (high
frequency jet ventilation through Wei jet nasal airway) and group B (controlled
ventilation with improved laryngeal mask airway). Pulse oxygenation,heart
rate,and mean arterial blood pressure were recorded after entering the operating
room (T0), 1 minute after administration/induction (T1), bronchoscope inserting
(T2), 15 minutes (T3)/30 minutes (T4)/45 minutes (T5) after ventilation,at the
end of the operation (T6), and at the recovery of patients' consciousness (T7).
The pH,arterial oxygen partial pressure,and arterial carbon dioxide partial
pressure were recorded at T0, T4, and T6. The endoscope indwelling
duration,operative time,patients' awakening time,adverse events during
anesthesia,satisfactions of patients and operators, anesthesic effectiveness were
also recorded. RESULTS: The arterial carbon dioxide partial pressur in group A at
T4 and T6 were significantly higher than in group B (P<0.05). The pH in group A
at T4 and T6 was significantly lower than in group B (P<0.05). The endoscope
indwelling duration and the operative time in group B were significantly shorter
than in group A (P<0.05) while the recovery of consciousness in group B was
significantly longer than in group A (P<0.05). The satisfaction for operators and
the efficacy of anesthesia in group B were better than in group A (P<0.05). The
number of adverse events in group B was significantly smaller than in group A
(P<0.05). CONCLUSION: The improved laryngeal mask airway with controlled
ventilation is more suitable for bronchial thermoplasty.
PMID- 27181887
TI - [Audiological and Otological Status of 45 Infants with Cleft Palate].
AB - OBJECTIVE: To study the audiological and otological status of cleft palate
infants with the application of distortion product otoacoustic emission (DPOAE),
auditory brainstem response (ABR), tympanometry with 1000 Hz and 226 Hz probe
tones. METHODS: Totally 45 cleft palate infants aged 8-24 months were included in
the study. Most of them were examined for DPOAE, ABR and two frequency
tympanometry. RESULTS: Most infants failed the three tests,among whom 6.7% ears
passed DPOAE and 33.3% of ears had normal ABR hearing threshold. In addition,
8.9% of ears turned out normal in the 1000 Hz probe-tone tympanometry, and 13.3%
were type A in the 226 Hz probe-tone tympanometry. Finally, 1000 Hz tympanometry
had more agreement with DPOAE and latency of ABR wave I than 226 Hz tympanometry.
CONCLUSION: Most cleft palate infants have audiological and otological problems,
which should be evaluated in a more comprehensive manner.
PMID- 27181888
TI - [Effect of Paroxysmal Atrial Fibrillation on Parameters Monitored by
Transpulmonary Thermodilution Method].
AB - OBJECTIVE: To investigate the effect of atrial fibrillation on the accuracy of
parameters monitored by transpulmonary thermodilution method. METHODS: Totally 12
patients from emergency intensive care unit with paroxysmal atrial fibrillation
were enrolled. The hemodynamic parameters such as heart rate, mean arterial
pressure, cardiac index, systemic vascular resistance index, intrathoracic blood
volume index, and extravascular lung water index were monitored by transpulmonary
thermodilution method before paroxysmal atrial fibrillation and during atrial
fibrillation, the number of B-lines was detected by lung ultrasonography before
and during paroxysmal atrial fibrillation. The changes of all the parameters were
analyzed. RESULTS: When the paroxysmal atrial fibrillation happened, the heart
rate increased significantly [(123.3+/-20.0) beat/min vs. (98.9+/-12.3) beat/min,
P=0.006]; the mean arterial pressure [(86.9+/-10.2) mmHg vs. (93.0+/-12.5) mmHg,
P=0.058], cardiac index [(2.82+/-0.62) L/(min.m(2)) vs. (3.31+/-1.02)
L/(min.m(2)), P=0.058] and systemic vascular resistance index [(2254+/-947)
dyn.s.cm(-5).m(2) vs. (2302+/-828) dyn.s.cm(-5).m(2), P=0.351] had no obvious
change; however, the intrathoracic blood volume index significantly increased
[(1333+/-90) ml/m(2) vs. (937+/-111) ml/m(2), P<0.001]; extravascular lung water
index also increased significantly [(16.1+/-1.1) ml/kg vs. (6.5+/-1.9) ml/kg,
P<0.001]. No significant difference was found in the number of B-lines detected
by lung ultrasonography before and during atrial fibrillation (10.0+/-4.2 vs.
9.4+/-4.4, P=0.180). CONCLUSION: Both intrathoracic blood volume and
extravascular lung water monitored by transpulmonary thermodilution method were
overvalued during paroxysmal atrial fibrillation, which may mislead the clinical
judgment and decision-making.
PMID- 27181889
TI - [Roles of MicroRNA-21 in the Pathogenesis of Insulin Resistance and Diabetic
Mellitus-induced Non-alcoholic Fatty Liver Disease].
AB - OBJECTIVE: To investigate the roles of microRNA-21 (miR-21) in the pathogenesis
of non-alcoholic fatty liver disease (NAFLD) with high-fat diet-induced insulin
resistance (IR) and diabetes mellitus (DM) mice model. METHODS: Eight-week-old
C57BL/6 mice were allocated into control group, IR group, and DM group. Body mass
was recorded. Intraperitoneal glucose tolerance test was performed to determine
any abnormal glucose metabolism. The liver pathological changes were detected by
biopsy. Changes in free blood glucose, free serum insulin, blood fat and tumor
necrosis factor Alpha level were measured. Differences in miR-21 expression and
peroxidase proliferator-activated receptor subtypes (PPAR-Gamma and PPAR-Alpha)
and adipocyte fatty acid binding protein (aP2) in the liver were detected both at
the mRNA and protein levels. RESULTS: After one 8-week high-fat diet, the body
mass, free serum insulin, and homeostasis model IR index significantly increased
in the IR group (P<0.01, P<0.05, compared with control group), while the free
blood glucose increased and the free serum insulin decreased in DM group
(P<0.05). Free serum insulin level were significantly increased in IR group
(P<0.05). Serum tumor necrosis factor-Alpha levels exhibited an upward trend in
control group, IR group, and DM group (P<0.05, P<0.01). With exacerbation in
NAFLD, liver miR-21 expression level went further down in both IR and DM groups
(P<0.05). The downregulated miR-21 expression level showed negative correlation
with upregulated PPAR-Alpha, AlphaP2, and PPAR-Gamma genetic expression (r=
0.696, r=-0.664, and r=-0.766, respectively; P<0.05) in IR group and with
upregulated PPAR-Alpha and PPAR-Gamma genetic expression in DM group (r=-0.676
and r=-0.550, respectively; P<0.05). In terms of the changes in protein
expression level,only on the protein expressions of aP2 and PPAR-Gamma in IR
group showed significant change (P<0.05, P<0.01, compared with control group).
CONCLUSIONS: The miR-21 expression is downregulated in both IR and DM-induced
NAFLD mice. It may be involved in the pathogenesis of NAFLD by regulating the
expressions of PPAR subtypes.
PMID- 27181890
TI - [Change of Serum Angiopoietin-related Growth Factor in Patients with Abdominal
Aortic Aneurysm and Its Clinical Significance].
AB - OBJECTIVE: To investigate the changes and value of plasma angiopoietin-related
growth factor (AGF) in patients with abdominal aortic aneurysm (AAA). METHODS:
Serum AGF level was analyzed in 50 AAA patients and in 56 healthy subjects. AGF
and adiponectin were quantified by enzyme-linked immunosorbent assay. Routine
testing of blood biochemistry and high-sensitivity C-reactive protein were
performed. RESULTS: The plasma AGF level was significantly higher in AAA patients
than in the controls [(87.91+/-96.87) MUg/L vs. (56.89+/-41.32) MUg/L,
P=0.040],while serum adiponectin level showed no significant difference between
these two groups. The plasma AGF level in patients with an AAA>5 cm and those
with AAA between 3 cm and 5 cm were (96.08+/-68.61) MUg/L and (75.27+/-46.05)
MUg/L. CONCLUSIONS: Plasma AGF is highly expressed in AAA patients. Higher serum
AGF level is associates with larger AAA. Thus, AGF may be a potential serum
biomarker for AAA.
PMID- 27181891
TI - [Effect and Regulatory Mechanism of Clock Gene Per1 on Biological Behaviors of
Human Oral Squamous Carcinoma Cell].
AB - OBJECTIVE: To investigate the effect and regulatory mechanism of clock gene Per1
on the proliferation,apoptosis,migration,and invasion of human oral squamous
carcinoma SCC15 cells. METHODS: RNA interference was used to knock down Per1 gene
in human oral squamous cell carcinoma SCC15 cell line. Changes of cell
proliferation and apoptosis were analyzed by flow cytometry. Transwell assay was
carried out to assess cell migration and invasion. Real-time polymerase chain
reaction was used to detect the mRNA expressions of Ki-67, murine double minute 2
(MDM2), c-Myc, p53, Bax, Bcl-2, metalloproteinase (MMP)2, MMP9, and vascular
endothelial growth factor (VEGF). RESULTS: shRNA-mediated knockdown of Per1
promoted the proliferation, migration and invasion capacity, and inhibited cell
apoptosis capacity of SCC15 cells (all P<0.05). Additionally, Per1 knockdown also
increased the mRNA expressions of Ki-67, MDM2, Bcl-2, MMP2, and MMP9 and
decreased the mRNA expressions of c-Myc, p53, and Bax (all P<0.05); however, the
VEGF mRNA expression did not differ significantly after Per1 knockdown (P>0.05).
CONCLUSIONS: Clock gene Perl can regulate important tumor-related genes
downstream such as Ki-67, MDM2, c-Myc, p53, Bax, Bcl-2, MMP2, and MMP9, and the
aberrant expression of Per1 can affect tumor cell
proliferation,apoptosis,migration and invasion. An in-depth study of Per1 may
further clarify the mechanism of tumorigenesis and tumor development and thus
provides new effective molecular targets for cancer treatment.
PMID- 27181892
TI - [Human Umbilical Cord-derived Mesenchymal Stem Cells Secrete Interleukin-6 to
Influence Differentiation of Leukemic Cells].
AB - OBJECTIVE: To investigate the effect of human umbilical cord-derived mesenchymal
stem cells (UC-MSC) on the differentiation of leukemic cells. METHODS: The co
culture system of UC-MSC with acute promyelocytic leukemic cell line NB4 cells
was constructed in vitro,and the differentiation status of the leukemic cells was
assessed by cell morphology,nitroblue tetrazolium reduction test,and cell surface
differentiation marker CD11b. RESULTS: UC-MSC induced the granulocytic
differentiation of NB4 cells. When UC-MSC and a small dose of all-trans retinoic
acid were applied together,the differentiation-inducing effect was enhanced in an
additive manner. Interleukin (IL)-6Ra neutralization attenuated differentiation
and exogenous IL-6-induced differentiation of leukemic cells. CONCLUSION: UC-MSC
can promotd granulocytic differentiation of acute promyelocytic leukemia cells by
way of IL-6 and presented additive effect when combined with a small dose of all
trans retinoic acid.
PMID- 27181893
TI - [Relationship between P53 Protein Expression and Prognosis of Advanced Ovarian
Serous Adenocarcinoma].
AB - OBJECTIVE: To study the expression of P53 protein in the advanced ovarian serous
adenocarcinoma and explore its potential correlation with the clinicopathological
features and prognosis of ovarian cancer. METHODS: The immunohistochemical
staining was used to detect the expression of P53 protein in 183 patients with
advanced ovarian serous adenocarcinoma. The correlation of P53 protein with the
clinicopathological features and its significance in the assessment of prognosis
were explored. RESULTS: The P53 protein expression was positive in 62.8% of the
patients. Chi-square test showed that the overexpression of P53 protein was
positively correlated with the elevation of serum CA125 and the two-tier grading
of ovarian serous adenocarcinoma (P<0.001, P=0.038). Univariate analysis
suggested that the prognosis of patients was associated with two-tier grading
(P=0.007), lymph node metastasis (P=0.036), preoperative serum CA125 level
(P=0.002), and P53 overexpression (P<0.001). Multivariate analysis showed that
the International Federation of Gynecology and Obstetrics stage (P=0.038), lymph
node metastasis (P=0.002), and overexpression of P53 (P=0.001) were independent
prognostic factors. CONCLUSION: The P53 protein expression is closely related to
the prognosis of advanced ovarian serous adenocarcinoma and can be used as an
important indicator for predicting the prognosis.
PMID- 27181894
TI - [Comparison of the Predictive Values of Eight Staging Systems for Primary Liver
Cancer in Prognosis of Combined Hepatocellular-cholangiocellular Carcinoma
Patients after Surgery].
AB - OBJECTIVE: To compare the predictive values of eight staging systems for primary
liver cancer in the prognosis of combined hepatocellular-cholangiocellular
carcinoma (cHCC-CC) patients after surgery. METHODS: The clinical data of 54 cHCC
CC patients who underwent hepatectomy or liver transplantation from May 2005 to
Augest 2013 in Chinese PLA General Hospital were collected. We evaluated the
prognostic value of the Okuda staging system, Cancer of the Liver Italian Program
(CLIP) score, French staging system, Barcelona Clinic Liver Cancer (BCLC) staging
system, 7th edition of tumour-node-metastasis (TNM) staging system for
hepatocellular carcinoma and intrahepatic cholangiocarcinoma (ICC), Japan
Integrated Staging (JIS) score, and Chinese University Prognostic Index. The
distribution, Kaplan-Meier method, Log-rank test, and area under a receiver
operating characteristic curve were used to compare the prognosis-predicting
ability of these different staging systems in 54 cHCC-CC patients after surgery.
RESULTS: The TNM staging system for ICC and JIS score had a better distribution
of cases. The 12-and 24-month survivals of the entire cohort were 65.5% and
56.3%, respectively. A Log-rank test showed that there was a significant
difference existing in the cumulative survival rates of different stage patients
when using TNM staging system for ICC (stage 1 vs. stage 2, P=0.012; stage 2 vs.
stage 3-4, P=0.002), Okuda staging system (stage 1 vs. stage 2, P=0.025), and
French staging system (stage A and stage B, P=0.045). The 12-and 24-month area
under curve of TNM staging system for ICC, BCLC staging system, JIS score, and
CLIP score were 0.836 and 0.847, 0.744 and 0.780, 0.723 and 0.764, and 0.710 and
0.786, respectively. CONCLUSION: The 7th edition of TNM staging system for ICC
has superior prognostic value to other seven staging systems in cHCC-CC patients
undergoing surgical treatment.
PMID- 27181896
TI - [Effect of Postpartum Depression on Adolescent Depression of Mice Offspring].
AB - OBJECTIVE: To study the effect of postpartum depression (PPD) on adolescent
depression of mice offspring. METHODS: Totally 48 Balb/c female mice were equally
randomized into control group and stress group. Control group was not given any
stress, whereas stress group were given chronic stress: constraining (6 h/d)
combined with light stimulation for 24 hours (twice a week). The stress group was
divided into 3 groups to measue the animals' behaviors immediately after
modeling, three weeks after modeling, and three weeks after delivery to test
whether the PPD models were successfully constructed. The first generation (F1)
of normal mothers and PPD-born F1 were as follows: control group (CTL-F1) and PPD
offspring group (PPD-F1). The 3-4-week-old male CTL-F1 and PPD-F1 mice (n=8 each)
were weighed, and received sucrose preference test, forced swimming test, and
novelty-supressed feeding test to measure the depression-like behaviors. RESULTS:
The 3-and 4-week-old PPD-F1 had significantly lower body mass than CTL-F1
(P=0.000, P=0.002). Also, the sucrose preference significantly decreased
(P=0.000), the forced swimming immobility time significantly increased (P=0.001),
the latency to feed significantly increased (P=0.000), while food intake
significantly decreased (P=0.005). CONCLUSION: PPD offspring may be more
susceptible to depression,with a possible eary onset in adolescence.
PMID- 27181895
TI - [Correlations between Vessel Changes and the Histopathologic Subtypes of Lung
Adenocarcinoma with Pure Ground-glass Nodule on Computed Tomography].
AB - OBJECTIVE: To investigate the correlations between vessel changes and the
histopathologic subtypes of lung adenocarcinoma with pure ground-glass nodule
(pGGN) on computed tomography (CT). METHODS: Totally 107 patients (116 lesions)
with lung adenocarcinomas with pGGN who had undergone curative resection were
included. Vessel changes included vascular convergence and/or vessel dilation or
distortion within the pGGN. According to the vessel appearances within the pGGN,
all patients were categorized into two groups: no change group and change group.
Pearson chi-square test was used to analyze the relationships between vessel
changes and histopathologic subtypes. Mann-Whitney rank test and t-test were used
to identify the relationship of vessels changes with pGGN density and diameter.
RESULTS: Among these 116 pGGNs, there were 21 without vessel changes and 4 with
vessel changes in 25 preinvasive lesions; 14 without vessel changes and 15 with
vessel changes in 29 minimally invasive adenocacinomas; 16 without vessel changes
and 46 with vessel changes in 62 invasive lung adenocarcinomas. There were
statistically significant differences of vessel changes (P=0.000) among
histopathologic subtypes. The lesion diameter was significantly different between
these two groups (P=0.000), while the lesion density showed no significant
difference (P=0.826). CONCLUSION: Vessel changes may indicate the invasiveness of
lung adenocarcinoma with pGGNs and are related with the lesion diameter.
PMID- 27181898
TI - [Construction of Nude Mouse Model of Triple Negative Breast Cancer and Value of
Thermal Tomography].
AB - OBJECTIVE: To explore the early detection of breast cancer by ultrasonic imaging
and thermal tomography of luciferase or green fluorescent protein (GFP)-labeled
MDA-MB-231 breast cancer cell line-xenografts in nude mice. METHODS: Fluorescence
tagged lentiviral vectors were transfected into the triple-negative breast cancer
cell line MDA-MB-231. These cells were implanted either subcutaneously under the
right breast pad or intravenously into the tail vein of nude BALB/C mice. Thermal
tomography and ultrasound imaging were used to detect tumor formation and to
monitor tumor growth and metastasis in vivo. RESULTS: Triple negative breast
cancer cell line-xenografts were used to successfully construct an orthotopic
nude mice model of breast cancer metastasis in the peritoneum. Thermal tomography
and ultrasound imaging were used together to detect small tumors. Thermal
tomography imaging detected small tumors earlier than ultrasound imaging.
CONCLUSIONS: Thermal tomography can be used to monitor changes in tumor growth
and detect abnormal tissue. Therefore, it can serve as a
convenient,rapid,sensitive, and reliable technique for early screening of human
breast cancer.
PMID- 27181897
TI - [Association of Insulin Resistance and beta Cell Function with Lipid Metabolism
in Middle-aged and Elderly Hui and Han Populations].
AB - OBJECTIVE: To explore the association of insulin resistance and beta cell
function with lipid metabolism in middle-aged and elderly Hui and Han
populations. METHODS: A total of 1000 subjects age over 40 years were recruited
from five urban communities in Yinchuan and Wuzhong cities of Ningxia. The
composition ratio between Hui and Han nationality was 1:2. A questionnaire-based
survey was performed. Physical examinations were carried out to measure the
height, body mass, waistline, and hipline. The levels of triglyceride (TG), total
cholesterol (TC), blood uric acid (BUA), fasting blood glucose and insulin were
measured. The boby mass index (BMI), waist-hip ratio (WHR), and secretion related
index including insulin resistance index (IR), insulin sensitivity index (IAI),
and beta cell function index (HBCI) were calculated. RESULTS: The BMI, WHR, IAI,
HBCI, and the prevalence rate of diabetes in Hui nationality were significantly
higher than those in Han nationality (P<0.01). The levels of BUA, fasting blood
glucose, TC, and IR in Han nationality were significantly lower than those in Hui
nationality (P<0.01). In Hui populations, TG, BMI, WHR, and BUA were positively
correlated with IR (r=0.234, r=0.193, r=0.143, and r=0.129, respectively; P<0.01)
and were negatively correlated with IAI (r=-0.234, r=-0.193, r=-0.143, r=-0.129,
respectively; P<0.01), whereas TC was negatively correlated with HBCI (r=-0.169,
P<0.01). In Han populations, TC, TG, BMI, WHR, and BUA were positively correlated
with IR (r=0.140, r=0.257, r=0.288, r=0.163, r=0.104, P<0.01) and negatively
correlated with IAI (r=-0.140, r=-0.257, r=-0.288, r=-0.163, and r=-0.104,
P<0.01), whereas BMI was negatively correlated with HBCI (r=-0.111, P<0.01).
After the influential factors such as gender, nationality, and age were adjusted,
the TC, TG, BMI, WHR, BUA levels were positively correlated with IR (r=0.109,
r=0.256, r=0.253, r=0.139, and r=0.142, P<0.01) and negatively correlated with
IAI (r=-0.109, r=-0.256, r=-0.253, r=-0.139, and r=-0.142, P<0.01). TC and BMI
were negatively correlated with HBCI (r=-0.113, r=-0.086, P<0.01). TG and BMI
were independently associated with IR and IAI (r=0.218, r=0.182, r=-0.218, r=
0.182), while TC and BMI were independently associated with HBCI (r=-0.113, r=
0.086). CONCLUSIONS: The distributions of TC, TG, BMI, WHR, BUA, IR, IAI, and
HBCI differ between Han and Hui populations. The development of insulin
resistance is closely related with the increased levels of TC, TG, BMI, WHR, and
BUA. However, the HBCI increases with the increased level of TC and BMI. TG and
BMI may be related with insulin resistance. Also, TC and BMI may affect the
secretion function of beta cells.
PMID- 27181899
TI - [Expression Patterns of the Proteins Associated with Cell Junctions in Mouse
Testes].
AB - OBJECTIVE: To study on the expression patterns of proteins associated with cell
junctions in the developing mouse testes. METHOD: The expression levels of
reproductive related cell lines spermatogonia cell line GC1 spg, spermatocyte
cell line GC2 spg, leydig cell line TM3, and sertoli cell line TM4, primary
sertoli cells, and 1-6-week mouse testes were analyzed using Western blot.
RESULTS: The sertoli cell junction-associated membrane proteins adhesion molecule
A, Occludin and Claudin, and the sertoli-germ cell junction-associated membrane
proteins junctional adhesion molecule C, Nectin-3, and E-cadherin were stage
specific in the seminiferous tubules in the mouse testes. The adaptor proteins
associated with cell juctions zonula occludens-1, zonula occludens-2, Afadin,
Beta-catenin, and CD2-associated protein were not stage-specific in the
seminiferous tubules in the mouse testes. CONCLUSIONS: In the seminiferous
tubules in the mouse testes, the membrane proteins associated with cell junctions
are stage-specific. However, the expressions of adaptor proteins associated with
cell junctions do not obviously change.
PMID- 27181900
TI - [An Analysis on the Association between Lipid Metabolism and Low Birth Body Mass
and Relative Factors among Rural and Urban Adolescents].
AB - OBJECTIVE: To analyze the status of lipid metabolism and the relationship between
low birth body mass and lipid metabolism disorders in adolescents with different
economic and cultural backgrounds. METHODS: Totally 1700 adolescents from urban
and rural areas aged 12-18 years were selected in 2013. The lipid profiles were
determined, and a questionnaire-based survey was conducted. RESULTS: Among normal
birth body mass adolescents, girls obtained a high density lipoprotein in both
urban and rural areas, low density lipoprotein cholesterol level was higher for
city girls, rural boys and urban girls obtained higher total cholesterol level,
the differences all reached statistical significance. While among the low birth
body mass adolescents, higher level of total cholesterol for urban boys was
detected, with statistically significance. When compared between rural and urban
areas, high density lipoprotein cholesterol level for urban adolescents was lower
in normal birth body mass adolescents, while total cholesterol level for urban
adolescents was higher, both with statistically significance. While the
comparison between different birth body mass adolescents suggested that the
normal birth body mass adolescents obtained a higher high density lipoprotein
cholesterol, low density lipoprotein cholesterol, triglyceride and total
cholesterol level. When analyze the influenced factors of disorder of lipid
metabolism, the Results suggest that low birth body mass, maternal pregnancy body
mass increasing, lipid homeostasis family history, absence of breakfast,
unhealthy snack habit, abnormal of systolic blood pressure and pulse pressure
increasing were related to the occurrence of dyslipidemia. CONCLUSIONS: Low birth
body mass is related with lipid metabolism in early puberty. Low birth body mass,
family history of abnormal lipid metabolism, and poor diet habits can increase
the risk of abnormal lipid metabolism in puberty.
PMID- 27181901
TI - [Impacts of Erythropoietin on Vascular Endothelial Growth Factor Receptor 2 by
the Extracellular Signal-regulated Kinase Signaling Pathway in a Neonatal Rat
Model of Periventricular White Matter Damage].
AB - OBJECTIVE: To explore the impacts of erythropoietin on vascular endothelial
growth factor receptor 2 (VEGFR2) by the extracellular signal-regulated kinase
(ERK) signaling pathway in a neonatal rat model of periventricular white matter
damage. METHODS: All of postnatal day 4 rats were randomized into three groups:
the sham group [without hypoxia-ischemia (HI)], the HI group (HI with saline
administration), and the erythropoietin (EPO) group [HI with recombinant human
erythropoietin (rh-EPO) administration]. Rat pups underwent permanent ligation of
the right common carotid artery, followed by 6% O2 for 2 hours or sham operation
and normoxic exposure. Immediately after the HI, rats received a single
intraventricular injection of rh-EPO (0.6 IU/g body mass) or saline. ERK and
phosphorylation-ERK were examined at 60 minutes and 90 minutes after operation,
and VEGFR2 were detected at 2 and 4 days after operation by using Western blot.
RESULTS: At 60 minutes and 90 minutes after operation, the proteins of
phosphorylation-ERK were significantly higher in HI rats than in the sham rats
and significantly higher in HI+EPO rats than in the HI rats (P<0.05). Two days
after operation, VEGFR2 was not significantly different between sham and HI rats.
However, the proteins of VEGFR2 were increased after administration of rh-EPO
(P<0.05). Four days after operation, the proteins of VEGFR2 were significantly
higher in HI rats than in the sham rats and significantly higher in HI+EPO rats
than in the HI rats (P<0.05). CONCLUSION: EPO may regulate VEGFR2 expression by
affecting the intracranial ERK signaling pathways.
PMID- 27181902
TI - [Molecular Biological Roles of Oxidative Stress in Acute Brain Ischemia].
AB - The pathogenesis of acute brain ischemia is very complex, involving multiple
mechanisms including excessive free radical generation. Oxidative stress means
the imbalance between the generation and removal of free radicals. Once acute
brain ischemia occurs, the reactive oxygen species interact with large numbers of
biomacromolecules, irreversibly change or destroy the functions of cellular
lipids, proteins, and nucleic acids, and thus initiate cell signaling pathways.
However, the molecular biological characteristics of oxidative stress and the way
to prevent and treat acute brain ischemia still need further investigations.
PMID- 27181903
TI - [Microglial Phagocytosis in the Neurodegenerative Diseases].
AB - Microglia are the resident innate immune cells in the brain. Under endogenous or
exogenous stimulates, they become activated and play an important role in the
neurodegenerative diseases. Microglial phagocytosis is a process of receptor
mediated engulfment and degradation of apoptotic cells. In addition, microglia
can phagocyte brain-specific cargo, such as myelin debris and abnormal protein
aggregation. However, recent studies have shown that microglia can also phagocyte
stressed-but-viable neurons, causing loss of neurons in the brain. Thus, whether
microglial phagocytosis is beneficial or not in neurodegenerative disease remains
controversial. This article reviews microglial phagocytosis related mechanisms
and its potential roles in neurodegenerative diseases, with an attempt to provide
new insights in the treatment of neurodegenerative diseases.
PMID- 27181904
TI - [Autologous Fat Grafting in Scar Revision].
AB - Regenerative medicine is an emerging discipline. Adipose tissue is a rich source
of fat cells and mesenchymal stem cells, and autologous fat grafting has
increasingly been applied in plastic surgeries and dermatological treatments.
This paper reviews the latest advances in autologous fat grafting in scar
revision.
PMID- 27181906
TI - Expression of macrophage migration inhibitory factor and CD74 in the inner ear
and middle ear in lipopolysaccharide-induced otitis media.
AB - CONCLUSION: Significant expression of macrophage migration inhibitory factor and
its receptor (CD74) was observed in both the middle ear and inner ear in
experimental otitis media in mice. Modulation of macrophage migration inhibitory
factor and its signaling pathway might be useful in the management of inner ear
inflammation due to otitis media. OBJECTIVES: Inner ear dysfunction secondary to
otitis media has been reported. However, the specific mechanisms involved are not
clearly understood. The aim of this study is to investigate the expression of
macrophage migration inhibitory factor and CD74 in the middle ear and inner ear
in lipopolysaccharide-induced otitis media. METHOD: BALB/c mice received a
transtympanic injection of either lipopolysaccharide or phosphate-buffered saline
(PBS). The mice were sacrificed 24 h after injection, and temporal bones were
processed for polymerase chain reaction (PCR) analysis, histologic examination,
and immunohistochemistry. RESULTS: PCR examination revealed that the
lipopolysaccharide-injected mice showed a significant up-regulation of macrophage
migration inhibitory factor in both the middle ear and inner ear as compared with
the PBS-injected control mice. The immunohistochemical study showed positive
reactions for macrophage migration inhibitory factor and CD74 in infiltrating
inflammatory cells, middle ear mucosa, and inner ear in the lipopolysaccharide
injected mice.
PMID- 27181905
TI - The developmental effects of pentachlorophenol on zebrafish embryos during
segmentation: A systematic view.
AB - Pentachlorophenol (PCP) is a typical toxicant and prevailing pollutant whose
toxicity has been broadly investigated. However, previous studies did not
specifically investigate the underlying mechanisms of its developmental toxicity.
Here, we chose zebrafish embryos as the model, exposed them to 2 different
concentrations of PCP, and sequenced their entire transcriptomes at 10 and 24
hours post-fertilization (hpf). The sequencing analysis revealed that high
concentrations of PCP elicited systematic responses at both time points. By
combining the enrichment terms with single genes, the results were further
analyzed using three categories: metabolism, transporters, and organogenesis.
Hyperactive glycolysis was the most outstanding feature of the transcriptome at
10 hpf. The entire system seemed to be hypoxic, although hypoxia-inducible factor
1alpha (HIF1alpha) may have been suppressed by the upregulation of prolyl
hydroxylase domain enzymes (PHDs). At 24 hpf, PCP primarily affected
somitogenesis and lens formation probably resulting from the disruption of
embryonic body plan at earlier stages. The proposed underlying toxicological
mechanism of PCP was based on the crosstalk between each clue. Our study
attempted to describe the developmental toxicity of environmental pollutants from
a systematic view. Meanwhile, some features of gene expression profiling could
serve as markers of human health or ecological risk.
PMID- 27181908
TI - A non-reward attractor theory of depression.
AB - A non-reward attractor theory of depression is proposed based on the operation of
the lateral orbitofrontal cortex and supracallosal cingulate cortex. The
orbitofrontal cortex contains error neurons that respond to non-reward for many
seconds in an attractor state that maintains a memory of the non-reward. The
human lateral orbitofrontal cortex is activated by non-reward during reward
reversal, and by a signal to stop a response that is now incorrect. Damage to the
human orbitofrontal cortex impairs reward reversal learning. Not receiving reward
can produce depression. The theory proposed is that in depression, this lateral
orbitofrontal cortex non-reward system is more easily triggered, and maintains
its attractor-related firing for longer. This triggers negative cognitive states,
which in turn have positive feedback top-down effects on the orbitofrontal cortex
non-reward system. Treatments for depression, including ketamine, may act in part
by quashing this attractor. The mania of bipolar disorder is hypothesized to be
associated with oversensitivity and overactivity in the reciprocally related
reward system in the medial orbitofrontal cortex and pregenual cingulate cortex.
PMID- 27181907
TI - A Targeted Metabolomics MRM-MS Study on Identifying Potential Hypertension
Biomarkers in Human Plasma and Evaluating Acupuncture Effects.
AB - The critical role of metabolic abnormality in hypertension is increasingly
recognized, but its biomarkers are not clearly identified. In this study, 47
chemical compounds recorded by literature were employed as target metabolites of
essential hypertension (EH). We detected their content in the plasma of EH
patients and healthy subjects by using the Multiple Reaction Monitoring-Mass
Spectrometry (MRM-MS). After screening the most altered compounds, acupuncture
was used to treat patients for 3 months and these plasma metabolites were tested
again. The results showed that oleic acid (OA) and myoinositol (MI) were the most
important differential metabolites between the hypertensive plasma and the
healthy plasma. They were also closely correlated with 24-hour blood pressure and
nocturnal dipping. Moreover, plasma OA and MI could be restored to normal levels
by acupuncture, accompanying with reduction of 24-hour systolic and diastolic
blood pressure [from 145.10 +/- 9.28 mm Hg to 140.70 +/- 9.59 mm Hg (P < 0.0001),
and 88.35 +/- 7.92 mm Hg to 85.86 +/- 7.95 mm Hg (P = 0.0024), respectively] and
improvement of circadian blood pressure rhythm. This study demonstrated that
plasma OA and MI were potential hypertension biomarkers and they could be used to
preliminarily assess the treating effects such as acupuncture.
PMID- 27181910
TI - The efficacy and safety of teneligliptin added to ongoing metformin monotherapy
in patients with type 2 diabetes: a randomized study with open label extension.
AB - OBJECTIVE: The study investigated the efficacy and tolerability of teneligliptin
co-administered to patients with type 2 diabetes mellitus (T2DM) who were
inadequately controlled by stable metformin monotherapy >= 1000 mg/day. METHODS:
A total of 447 patients from 55 European centers who completed a 14-day screening
and 14-day run-in phase, received randomized double-blind treatment with 5, 10,
20 or 40 mg teneligliptin or placebo once daily, for 24 weeks. 364 patients
continued treatment in a 28-week open label extension during which they received
teneligliptin 20 mg once daily. RESULTS: Co-administration of teneligliptin (5 to
40 mg) with metformin demonstrated dose-related and statistically significant
reductions in HbA1c after 24 weeks (-0.30 to -0.63% placebo adjusted) of double
blind treatment. The greatest reduction in HbA1c was seen with teneligliptin at
40 mg (-0.63%) at Week 24. There was also a dose-dependent increase in proportion
of responders achieving HbA1c < 7.0% at this endpoint. Responses were maintained
throughout 28 weeks open label treatment with 20 mg teneligliptin. Treatment was
well tolerated to Week 52 and the overall incidence of hypoglycemia during 52
weeks was 2.3%. CONCLUSIONS: Teneligliptin co-administered with metformin
produced significant reductions in HbA1c in patients with T2DM without increasing
the risk of hypoglycemia.
PMID- 27181911
TI - The analytical change in plasma creatinine that constitutes a
biologic/physiologic change.
AB - PURPOSE: Accurate and precise measurements of creatinine are necessary to
evaluate changes in kidney function related to a decreased glomerular filtration
rate (GFR). When serial measurements of creatinine are monitored in an
individual, it is useful to know what magnitude of an analytical change in
creatinine indicates a true physiologic/biologic change in plasma creatinine that
might warrant clinical intervention. METHODS: We compared results between three
different methods for creatinine using large chemistry analyzers, two based on
alkaline picrate (AP1 and AP2), and one based on dry-slide enzymatic conversion
(ENZ). On each of three different segments or days of the study spaced 1-2months
apart, we selected 10 different plasma samples having creatinine concentrations
ranging from about 0.5mg/dL to 4.5mg/dL (44 to 400MUmol/L). Each sample was
analyzed in triplicate on each of two same-model analyzers at each institution,
then from this data we determined the precision of each model of analyzer. The
within-instrument precision of each analyzer was evaluated from the differences
between the triplicate results on each sample by each analyzer (mean and SD of
the differences). The between-instrument precision was evaluated as the
differences between results on the same sample (1, 2, 3, etc.) analyzed on
different analyzers of the same model (A and B). This between-analyzer precision
data was used to determine both the range and mean+/-2SD of the differences that
could be used to indicate that greater changes in creatinine concentrations would
represent a biologic change. RESULTS: The within-instrument precision was best
for the ENZ method in comparison to the two alkaline picrate rate methods. The
between-instrument precision of the 90 consecutive measurements (30
samples*triplicate analyses) between the same-model analyzers were (mean and SD
of differences in mg/dL): -0.018 and 0.029 (ENZ); 0.016 and 0.11 (AP1), and
0.058 and 0.071 (AP2). CONCLUSIONS: While all three of the creatinine methods
studied had good precision, the ENZ method had the best precision, such that a
change of 0.07mg/dL (6MUmol/L) in serial creatinine concentrations up to 1.5mg/dL
on a patient could indicate a biologic change had occurred. For the alkaline
picrate methods, a measured change of creatinine of 0.23mg/dL for AP1 or
0.11mg/dL for AP2 would indicate that a physiologic change in serum/plasma
creatinine has occurred. While a definite biologic change may simply represent
daily variations, detecting a biologic change in creatinine more rapidly could
impact the ability of creatinine to detect early and clinically significant
changes in renal function.
PMID- 27181912
TI - Screening for circulating RAS/RAF mutations by multiplex digital PCR.
AB - Recent years have shown a large interest in the application of liquid biopsies in
cancer management. Circulating tumor DNA (ctDNA) has been investigated for
potential use in treatment selection, monitoring of treatment response, and early
detection of recurrence. Advances have been hampered by technical challenges
primarily due to the low levels of ctDNA in patients with localized disease and
in patients responding to therapy. The approach presented here is a multiplex
digital PCR method of screening for 31 mutations in the KRAS, NRAS, BRAF, and
PIK3CA genes in the plasma. The upper level of the limit of blank, which defines
the specificity of the multiplexes, was 0.006%-0.06%. Mutations found by
multiplex analyses were identified and quantified by duplex analyses. The method
was tested on samples from cholangiocarcinoma patients with known tumor
mutational status. Mutations found in the tumor were also found in plasma samples
in all cases with analyses for all other mutations being negative. There was a
perfect agreement as to wild type status in tumor and plasma. The method combines
a high sensitivity with the ability to analyze for several mutations at a time
and could be a step towards routine clinical application of liquid biopsies.
PMID- 27181913
TI - Prevention: HOPE-3 trial - targeting BP and LDL-C in at-risk patients.
PMID- 27181914
TI - Clinical trials: Heart failure treatment - clinical trials versus clinical
practice.
PMID- 27181915
TI - Coronary artery disease: Sex-related differences in CAD and plaque
characteristics.
PMID- 27181909
TI - Blood biomarkers for brain injury: What are we measuring?
AB - Accurate diagnosis for mild traumatic brain injury (mTBI) remains challenging, as
prognosis and return-to-play/work decisions are based largely on patient reports.
Numerous investigations have identified and characterized cellular factors in the
blood as potential biomarkers for TBI, in the hope that these factors may be used
to gauge the severity of brain injury. None of these potential biomarkers have
advanced to use in the clinical setting. Some of the most extensively studied
blood biomarkers for TBI include S100beta, neuron-specific enolase, glial
fibrillary acidic protein, and Tau. Understanding the biological function of each
of these factors may be imperative to achieve progress in the field. We address
the basic question: what are we measuring? This review will discuss blood
biomarkers in terms of cellular origin, normal and pathological function, and
possible reasons for increased blood levels. Considerations in the selection,
evaluation, and validation of potential biomarkers will also be addressed, along
with mechanisms that allow brain-derived proteins to enter the bloodstream after
TBI. Lastly, we will highlight perspectives and implications for repetitive
neurotrauma in the field of blood biomarkers for brain injury.
PMID- 27181916
TI - A survey of support systems for return to work in Japanese companies: a cross
sectional study.
AB - The present study aimed to survey systems in Japanese companies for supporting
workers returning to work from sickness absence due to mental illness. A
questionnaire survey was mailed to 3,545 companies. Support systems for return to
work, sick leave, and multiple sick-listed (MSL) workers were examined. A total
of 161 companies responded to the survey (response rate: 4.5%). About 80% of the
companies expressed difficulty in dealing with workers with mental health
problems. About half of all companies reported having reset period and financial
compensation systems, as well as gradual resumption and trial attendance systems.
Most large companies tended to have reset period and trial attendance systems. No
association was found between company size and MSL rates. The most frequent
diagnosis among workers was depression, and the mean number of sick leave days
was 275.3. Although there might have been a selection bias due to the low
response rate, the results of this study are expected to be useful for companies
when formulating employment systems.
PMID- 27181917
TI - Psychophysically determining the maximum acceptable weight of lift for
polypropylene laminated bags.
AB - The objective of this study was to psychophysically determine the maximum
acceptable weight of lift (MAWL) for polypropylene (PP) laminated bags. Twelve
men were requested to decide their MAWLs under various task combinations
involving 3 lifting ranges, 3 lifting frequencies, and 2 hand conditions. The
results revealed that the MAWL was significantly affected by the frequency and
range variables (all p<.001), whereas the hand condition did not influence the
MAWL. The participants exhibited relatively low MAWL values compared with
subjects in previous studies, especially in infrequent lifts. The results of
multiple stepwise regression revealed that certain anthropometric data (e.g.,
chest circumference, wrist circumference, and acromial height) accounted for the
percentage of variance for the determined MAWLs, ranging from 56.2% to 83.4%.
These data can be obtained simply and quickly, and are considered the superior
predictors for MAWL determination when handling PP laminated bags.
PMID- 27181919
TI - Different stabilities of liposomes containing saturated and unsaturated lipids
toward the addition of cyclodextrins.
AB - Liposomes composed of unsaturated lipids were more stable than those containing
saturated lipids toward DMe-beta-CDx, DMe-alpha-CDx and DMe-beta-CDx. The Hill
coefficient values (n) indicated that the saturated lipid.DMe-CDx complexes had
stoichiometric ratios in the range of 1 : 3-1 : 4, while the unsaturated
lipid.DMe-CDx complexes had ratios in the range of 1 : 1.5-1 : 3. That is, a cis
alkene group in the unsaturated lipids prevented complexation with a second DMe
CDx in the direction toward each acyl chain. Furthermore, the liposomes composed
of the unsaturated lipids were much slower to form precipitates upon the addition
of alpha-CDx than those of the saturated lipids. To the best of our knowledge,
this is the first example showing that CDxs interact with unsaturated lipids.
PMID- 27181918
TI - Self-regenerating and hybrid irreversible/reversible PDMS microfluidic devices.
AB - This paper outlines a straightforward, fast, and low-cost method to fabricate
polydimethylsiloxane (PDMS) chips. Termed sandwich bonding (SWB), this method
requires only a laboratory oven. Initially, SWB relies on the reversible bonding
of a coverslip over PDMS channels. The coverslip is smaller than the substrate,
leaving a border around the substrate exposed. Subsequently, a liquid composed of
PDMS monomers and a curing agent is poured onto the structure. Finally, the cover
is cured. We focused on PDMS/glass chips because of their key advantages in
microfluidics. Despite its simplicity, this method created high-performance
microfluidic channels. Such structures featured self-regeneration after leakages
and hybrid irreversible/reversible behavior. The reversible nature was achieved
by removing the cover of PDMS with acetone. Thus, the PDMS substrate and glass
coverslip could be detached for reuse. These abilities are essential in the
stages of research and development. Additionally, SWB avoids the use of surface
oxidation, half-cured PDMS as an adhesive, and surface chemical modification. As
a consequence, SWB allows surface modifications before the bonding, a long time
for alignment, the enclosure of sub-micron channels, and the prototyping of
hybrid devices. Here, the technique was successfully applied to bond PDMS to Au
and Al.
PMID- 27181921
TI - Adjusting for calendar time in a TND influenza study.
PMID- 27181922
TI - Local microstructure evolution at shear bands in metallic glasses with nanoscale
phase separation.
AB - At room temperature, plastic flow of metallic glasses (MGs) is sharply localized
in shear bands, which are a key feature of the plastic deformation in MGs.
Despite their clear importance and decades of study, the conditions for formation
of shear bands, their structural evolution and multiplication mechanism are still
under debate. In this work, we investigate the local conditions at shear bands in
new phase-separated bulk MGs containing glassy nanospheres and exhibiting
exceptional plasticity under compression. It is found that the glassy nanospheres
within the shear band dissolve through mechanical mixing driven by the sharp
strain localization there, while those nearby in the matrix coarsen by Ostwald
ripening due to the increased atomic mobility. The experimental evidence
demonstrates that there exists an affected zone around the shear band. This zone
may arise from low-strain plastic deformation in the matrix between the bands.
These results suggest that measured property changes originate not only from the
shear bands themselves, but also from the affected zones in the adjacent matrix.
This work sheds light on direct visualization of deformation-related effects, in
particular increased atomic mobility, in the region around shear bands.
PMID- 27181920
TI - Translocation of 40 nm diameter nanowires through the intestinal epithelium of
Daphnia magna.
AB - Nanowires (NWs) have unique electrical and optical properties of value for many
applications including lighting, sensing, and energy harnessing. Consumer
products containing NWs increase the risk of NWs being released in the
environment, especially into aquatic ecosystems through sewage systems. Daphnia
magna is a common, cosmopolitan freshwater organism sensitive to toxicity tests
and represents a likely entry point for nanoparticles into food webs of aquatic
ecosystems. Here we have evaluated the effect of NW diameter on the gut
penetrance of NWs in Daphnia magna. The animals were exposed to NWs of two
diameters (40 and 80 nm) and similar length (3.6 and 3.8 MUm, respectively)
suspended in water. In order to locate the NWs in Daphnia, the NWs were designed
to comprise one inherently fluorescent segment of gallium indium phosphide
(GaInP) flanked by a gallium phosphide (GaP) segment. Daphnia mortality was
assessed directly after 24 h of exposure and 7 days after exposure. Translocation
of NWs across the intestinal epithelium was investigated using confocal
fluorescence microscopy directly after 24 h of exposure and was observed in 89%
of Daphnia exposed to 40 nm NWs and in 11% of Daphnia exposed to 80 nm NWs. A
high degree of fragmentation was observed for NWs of both diameters after
ingestion by the Daphnia, although 40 nm NWs were fragmented to a greater extent,
which could possibly facilitate translocation across the intestinal epithelium.
Our results show that the feeding behavior of animals may enhance the ability of
NWs to penetrate biological barriers and that penetrance is governed by the NW
diameter.
PMID- 27181923
TI - Gonadotropin-releasing hormone agonist for luteal phase support: the origin of
the concept, current experience, mechanism of action and future perspectives.
PMID- 27181925
TI - Gestational surrogacy: a call for safer practice.
PMID- 27181924
TI - Transplantations of frozen-thawed ovarian tissue demonstrate high reproductive
performance and the need to revise restrictive criteria.
AB - OBJECTIVE: To report the single-center results of orthotopic retransplantations
of cryopreserved ovarian tissue in cancer survivors and evaluate the validity of
commonly accepted procedure limitations. DESIGN: Prospective cohort study.
SETTING: Tertiary university-affiliated assisted reproduction technology (ART)
and oncology centers. PATIENT(S): Twenty cancer survivors who underwent ovarian
transplantation of frozen-thawed ovarian tissue with the aim to conceive.
INTERVENTION(S): Ovarian tissue cryopreservation (OTCP) and transplantation,
endocrine monitoring, in vitro fertilization (IVF). MAIN OUTCOME MEASURE(S):
Endocrine profile, IVF, pregnancies, live births. RESULT(S): The patient ages at
tissue harvesting ranged from 14 to 39 years. Fifteen women had hematologic
malignancies, and two had leukemia (chronic myelogenous leukemia and acute
myelogenous leukemia). Ten patients were exposed to nonsterilizing chemotherapy
before OTCP. After transplantation, the endocrine recovery rate was 93%. Fourteen
patients underwent IVF treatments with a fertilization rate of 58%. Sixteen
pregnancies were achieved (10 after IVF, 6 spontaneous), resulting in 10 live
births, two (twins) after harvesting from the mother at the age of 37. Two
pregnancies are currently ongoing. After transplantation, 53% of patients
conceived, and 32% delivered at least once. One patient conceived four times.
Preharversting chemotherapy exposure was not associated with inferior outcomes.
All patients, including two leukemia survivors, remained cancer free.
CONCLUSION(S): Orthotopic transplantation of thawed ovarian tissue is a highly
effective measure to restore fertility in sterilized cancer patients.
Chemotherapy exposure before harvesting and age >35 is a realistic option in
selected patients. Retransplantation in leukemic patients is possible after
application of maximal safety measures. These results have led the national
ethical and professional authorities to decide for the first time not to consider
OTCP as an experimental modality for fertility preservation. CLINICAL TRIAL
REGISTRATION NUMBER: NCT02659592.
PMID- 27181926
TI - Binary System Exhibiting the Nematic to Twist-Bend Nematic Transition: Behavior
of Permittivity and Elastic Constants.
AB - We describe measurements of the permittivity and Frank elastic constant in the
nematic phase of a binary system displaying a transition between the nematic (N)
and the recently discovered twist-bend nematic (NTB) phase. Among the salient
features observed are (i) the existence of the NTB phase even when the system is
loaded with a high concentration (~64 mol %) of a rodlike component; (ii) a clear
signature in permittivity of the N-NTB transition; and (iii) a lower value of the
bend elastic constant compared to the splay over a large phase space, with the
difference between the two becoming a maximum for an intermediate mixture. These
studies further support the surprising idea that the elastic features associated
with bent molecules can be further augmented by suitable rodlike additives.
PMID- 27181927
TI - GABAB receptors in the hippocampal dentate gyrus are involved in spatial learning
and memory impairment in a rat model of vascular dementia.
AB - The roles of gamma-aminobutyric acid (GABA) and GABAB receptors of the
hippocampal dentate gyrus (DG) in spatial learning and memory impairment were
investigated in a rat model of vascular dementia (VaD) established by permanent
bilateral carotid occlusion. The extracellular concentration of GABA in the DG
was determined by in vivo microdialysis and HPLC, and spatial learning and memory
were assessed by the Morris water maze (MWM) test. Next, the possible involvement
of GABAB receptors in spatial learning and memory impairments of VaD rats was
examined by microinjection of its antagonist into the DG region. In VaD group
rats, the extracellular concentration of GABA in the DG was significantly
increased, and during MWM test, the escape latency was increased in place
navigation trial and the percentage of time spent in target quadrant and the
number of platform crossings were decreased in spatial probe trial, compared with
the sham group. In sham-operated rats, the extracellular concentrations of
glutamate (Glu) and glycine (Gly) in the DG were significantly increased during
place navigation trial of MWM test, and these responses were inhibited in VaD
rats. Saclofen (an antagonist of GABAB receptor) significantly attenuated the
spatial learning and memory impairment in VaD rats, and partly reversed the
inhibitory effects of VaD in responses of Glu and Gly in the DG during MWM test.
Our results suggest that GABA and GABAB receptors in the hippocampal DG are
involved in spatial learning and memory impairment in VaD rats, in part by
attenuating the responses of Glu and Gly during spatial learning.
PMID- 27181928
TI - Roles of the Nfu Fe-S targeting factors in the trypanosome mitochondrion.
AB - Iron-sulphur clusters (ISCs) are protein co-factors essential for a wide range of
cellular functions. The core iron-sulphur cluster assembly machinery resides in
the mitochondrion, yet due to export of an essential precursor from the
organelle, it is also needed for cytosolic and nuclear iron-sulphur cluster
assembly. In mitochondria all [4Fe-4S] iron-sulphur clusters are synthesised and
transferred to specific apoproteins by so-called iron-sulphur cluster targeting
factors. One of these factors is the universally present mitochondrial Nfu1,
which in humans is required for the proper assembly of a subset of mitochondrial
[4Fe-4S] proteins. Although most eukaryotes harbour a single Nfu1, the genomes of
Trypanosoma brucei and related flagellates encode three Nfu genes. All three Nfu
proteins localise to the mitochondrion in the procyclic form of T. brucei, and
TbNfu2 and TbNfu3 are both individually essential for growth in bloodstream and
procyclic forms, suggesting highly specific functions for each of these proteins
in the trypanosome cell. Moreover, these two proteins are functional in the iron
sulphur cluster assembly in a heterologous system and rescue the growth defect of
a yeast deletion mutant.
PMID- 27181929
TI - A novel zoonotic genotype related to Echinococcus granulosus sensu stricto from
southern Ethiopia.
AB - Complete mitochondrial and two nuclear gene sequences of a novel genotype (GOmo)
related to Echinococcus granulosus sensu stricto are described from a metacestode
isolate retrieved from a human patient in southwestern Ethiopia.
Phylogenetically, the genotype is positioned within the E. granulosus sensu
stricto/Echinococcus felidis cluster, but cannot easily be allocated to either
species. Based on different mitochondrial DNA markers, it is closest to the
haplotype cluster that currently defines the species E. granulosus sensu stricto
(which includes variants showing the widely cited G1, G2 and G3 sequences), but
is clearly not part of this cluster. Pairwise distances between GOmo and E.
granulosus sensu stricto are in the range of those between the most distant
members of the Echinococcus canadensis complex (G6-10) that were recently
proposed as separate species. At this stage, we prefer to list GOmo informally as
a genotype rather than giving it any taxonomic rank because our knowledge rests
on a single isolate from a dead-end host (human), and its lifecycle is unknown.
According to data on molecularly characterised Echinococcus isolates from this
region, GOmo has never been found in the usual livestock species that carry
cystic echinococcosis and the possibility of a wildlife source of this newly
recognised zoonotic agent cannot be excluded. The discovery of GOmo adds
complexity to the already diverse array of cystic echinococcosis agents in sub
Saharan Africa and challenges hypotheses on the biogeographical origin of the E.
granulosus sensu stricto clade.
PMID- 27181930
TI - Self-assembly of high-nuclearity lanthanide-based nanoclusters for potential
bioimaging applications.
AB - Two series of Cd-Ln and Ni-Ln clusters [Ln8Cd24L12(OAc)44(48)Cl4(0)] and
[Ln8Ni6L6(OAc)24(EtOH)6(H2O)2] were constructed using a flexible ligand. The Cd
Ln clusters exhibit interesting nano-drum-like structures which allows direct
visualization by TEM. Luminex MicroPlex Microspheres loaded with the Cd-Sm
cluster were visualized using epifluorescence microscopy. Cytotoxicity studies on
A549 and AGS cancer cell lines showed that the materials have mild to moderate
cytotoxicity.
PMID- 27181931
TI - Case of disseminated pyomyositis in poorly controlled type 2 diabetes mellitus
with diabetic ketoacidosis.
AB - Primary pyomyositis is a pyogenic and uncommon infection of skeletal muscle,
which is mainly observed in tropical areas and/or human immunodeficiency virus
patients. In non-human immunodeficiency virus infected patients, the most common
cause is diabetes mellitus. Because of its rarity, the accurate diagnosis is
often challenging. Staphylococcus aureus is the most common causative bacteria.
According to the severity, pyomyositis is divided into three stages, and the late
stage is occasionally lethal. The present case was compatible with the most
advanced stage. Therefore, it was very difficult to save her life without precise
and timely diagnosis. Furthermore, in the invasive stage, surgical drainage and
broad-spectrum antibiotics should be given for a long enough period. Here, we
report a case of a Japanese woman who developed disseminated abscesses under
poorly controlled diabetic conditions accompanied by ketoacidosis, but was
successfully treated without any sequelae.
PMID- 27181932
TI - Interactions between cadmium and decabrominated diphenyl ether on blood cells
count in rats-Multiple factorial regression analysis.
AB - The objective of this study was to assess toxicity of Cd and BDE-209 mixture on
haematological parameters in subacutely exposed rats and to determine the
presence and type of interactions between these two chemicals using multiple
factorial regression analysis. Furthermore, for the assessment of interaction
type, an isobologram based methodology was applied and compared with multiple
factorial regression analysis. Chemicals were given by oral gavage to the male
Wistar rats weighing 200-240g for 28days. Animals were divided in 16 groups
(8/group): control vehiculum group, three groups of rats were treated with 2.5,
7.5 or 15mg Cd/kg/day. These doses were chosen on the bases of literature data
and reflect relatively high Cd environmental exposure, three groups of rats were
treated with 1000, 2000 or 4000mg BDE-209/kg/bw/day, doses proved to induce toxic
effects in rats. Furthermore, nine groups of animals were treated with different
mixtures of Cd and BDE-209 containing doses of Cd and BDE-209 stated above. Blood
samples were taken at the end of experiment and red blood cells, white blood
cells and platelets counts were determined. For interaction assessment multiple
factorial regression analysis and fitted isobologram approach were used. In this
study, we focused on multiple factorial regression analysis as a method for
interaction assessment. We also investigated the interactions between Cd and BDE
209 by the derived model for the description of the obtained fitted isobologram
curves. Current study indicated that co-exposure to Cd and BDE-209 can result in
significant decrease in RBC count, increase in WBC count and decrease in PLT
count, when compared with controls. Multiple factorial regression analysis used
for the assessment of interactions type between Cd and BDE-209 indicated
synergism for the effect on RBC count and no interactions i.e. additivity for the
effects on WBC and PLT counts. On the other hand, isobologram based approach
showed slight antagonism for the effects on RBC and WBC while no interactions
were proved for the joint effect on PLT count. These results confirm that the
assessment of interactions between chemicals in the mixture greatly depends on
the concept or method used for this evaluation.
PMID- 27181933
TI - Gender influence on manganese induced depression-like behavior and Mn and Fe
deposition in different regions of CNS and excretory organs in intraperitoneally
exposed rats.
AB - Manganese (Mn) is an essential metal for mammals. It can modulate the action of
endogenous substances, as neurotransmitters, but in excess also can trigger known
neurotoxic effects. Many studies have been conducted assessing Mn neurotoxicity.
However, Mn bioaccumulation in different brain tissues and behavior effects
involving gender-specific studies are conflicted in the literature. Therefore,
the aim of this work was to compare Mn effects, after 30days of intraperitoneal
treatment, in male and female rats, submitted to forced swim and open field
tests. After that, were evaluated Mn and Fe tissue levels in CNS, liver, and
kidneys. Wistar rats were divided into saline, Mn 1mg/kg, Mn 5mg/kg, and
imipramine (as forced swim control). Then, animals were euthanized by anesthesia
overdose followed by decapitation and the collected tissue were striatum,
hippocampus, brainstem, cortex, cerebellum, hepatic tissue, and renal tissue. Mn
and Fe were determined by ICP-MS. There was a dose-dependent effect on
accumulation of Mn in the cerebellum and brainstem to the dosage of 5mg/kg. In
hippocampus there were bioaccumulation differences between gender and dose, and
an increase of Fe in the groups exposed to Mn. Excess metals in the brain
dissected has a strong influence on memory and learning processes and suggests
pro-depressive effects, possibly triggered by the reduction of monoamines due to
excessive metal bioaccumulation. It was concluded that, under this experimental
design, Mn exposure cause metal deposition on dissected CNS, liver and kidney.
There an effect at lower doses that was gender-dependent and males had more
pronounced behavioral damage compared to females, although with increasing dose,
females had an indication of motor damage.
PMID- 27181934
TI - Stimulatory effects of combined endocrine disruptors on MA-10 Leydig cell steroid
production and lipid homeostasis.
AB - Previous work in our laboratory demonstrated that in-utero exposure to a mixture
of the phytoestrogen Genistein (GEN), and plasticizer DEHP, induces short- and
long-term alterations in testicular gene and protein expression different from
individual exposures. These studies identified fetal and adult Leydig cells as
sensitive targets for low dose endocrine disruptor (ED) mixtures. To further
investigate the direct effects and mechanisms of toxicity of GEN and DEHP, MA-10
mouse tumor Leydig cells were exposed in-vitro to varying concentrations of GEN
and MEHP, the principal bioactive metabolite of DEHP. Combined 10MUM GEN+10MUM
MEHP had a stimulatory effect on basal progesterone production. Consistent with
increased androgenicity, the mRNA of steroidogenic and cholesterol mediators
Star, Cyp11a, Srb1 and Hsl, as well as upstream orphan nuclear receptors Nr2f2
and Sf1 were all significantly increased uniquely in the mixture treatment group.
Insl3, a sensitive marker of Leydig endocrine disruption and cell function, was
significantly decreased by combined GEN+MEHP. Lipid analysis by high-performance
thin layer chromatography demonstrated the ability of combined 10MUM combined
GEN+MEHP, but not individual exposures, to increase levels of several neutral
lipids and phospholipid classes, indicating a generalized deregulation of lipid
homeostasis. Further investigation by qPCR analysis revealed a concomitant
increase in cholesterol (Hmgcoa) and phospholipid (Srebp1c, Fasn) mediator mRNAs,
suggesting the possible involvement of upstream LXRalpha agonism. These results
suggest a deregulation of MA-10 Leydig function in response to a combination of
GEN+MEHP. We propose a working model for GEN+MEHP doses relevant to human
exposure involving LXR agonism and activation of other transcription factors.
Taken more broadly, this research highlights the importance of assessing the
impact of ED mixtures in multiple toxicological models across a range of
environmentally relevant doses.
PMID- 27181935
TI - Protective effects of the exopolysaccharide Lasiodiplodan against DNA damage and
inflammation induced by doxorubicin in rats: Cytogenetic and gene expression
assays.
AB - The lasiodiplodan (LS) is a beta-(1->6)-d-glucan produced by the fungus
Lasiodiplodia theobromae and some of the biological activities of LS were
reported as hypoglycemic, anticoagulant, anti-proliferative and anticancer
action; however, its effects on DNA instability and modulation of gene expression
are still unclear. Aims of study were investigate the genotoxic effects of
lasiodiplodan, and its protective activity against DNA damage induced by
doxorubicin (DXR) and its impact on the expression of genes associated with DNA
damage and inflammatory response pathways. Therefore, Wistar rats were treated
(15 days) orally with LS (5.0; 10 and 20mg/kg bw) alone and in combination with
DXR (15mg/kg bw; administrated intraperitoneally on 14th day) as well as their
respective controls: distilled water and DXR. Monitoring of DNA damage was
assessed by comet and micronucleus (MN) assays and gene expression was evaluated
by PCR-Arrays. Treatments with LS alone did not induce disturbances on DNA; when
LS was given in combination with DXR, comet and MN formations were reduced to
those found in the respective controls. Moreover, LS was able to reduce the
disturbances on gene expressions induced by DXR treatment, since the animals that
receive LS associated with DXR showed no alteration in the expression of genes
related to DNA damage response. Also, DXR induced several up- and down-regulation
of several genes associated to inflammatory process, while the animals that
received LS+DXR had their gene expression patterns similar to those found in the
control group. In conclusion, our results showed that LS did not induce
disturbances on DNA stability and significantly reduce the DNA damage and
inflammation caused by DXR exposure. In addition, we give further information
concerning the molecular mechanisms associated to LS protective effects which
seems to be a promising nutraceutical with chemopreventive potential.
PMID- 27181938
TI - Expanding Diversity without Protecting Groups: (+)-Sclareolide to
Indolosesquiterpene Alkaloid Mycoleptodiscin A and Analogues.
AB - Short and scalable synthesis of the complex pentacyclic indolosesquiterpene
natural product mycoleptodiscin A has been achieved from commercially available
diterpenoid (+)-sclareolide in 19% overall yield. This approach allows one to
prepare various analogues of mycoleptodiscin using McMurry cyclization as a key
reaction with just three chromatographic purifications.
PMID- 27181936
TI - Renal threshold for glucose reabsorption predicts diabetes improvement by sodium
glucose cotransporter 2 inhibitor therapy.
AB - In the present study we examined the efficacy of sodium-glucose cotransporter 2
inhibitors on improvement of glycated hemoglobin (HbA1c) in comparison with the
renal threshold for glucose reabsorption in patients with type 2 diabetes
mellitus. Patients visited the hospital once a month for a regular follow-up
examination with the determination of blood glucose and HbA1c levels, and urinary
glucose concentration from spot urine samples. Patient samples were compared
before and after ipragliflozin administration. We defined the renal threshold for
glucose reabsorption as the lowest blood glucose level that correlated with the
first detectable appearance of urine glucose. These data showed a significant
negative correlation between improvement of HbA1c level and renal threshold for
glucose reabsorption in patients treated with the sodium-glucose cotransporter 2
inhibitor. These findings show that patients who have a higher renal threshold
for glucose reabsorption can be expected to more effectively respond to sodium
glucose cotransporter 2 inhibitor therapy in terms of lowering HbA1c levels.
PMID- 27181937
TI - Associations between metabolic risk factors and body mass index, waist
circumference, waist-to-height ratio and waist-to-hip ratio in a Chinese rural
population.
AB - AIMS/INTRODUCTION: Obesity plays a central role in metabolic syndrome. Obesity
indexes are important in clinical work. In the present study, we sought to
determine the relationships between obesity indexes and metabolic risk factors.
MATERIALS AND METHODS: We studied 11,568 participants over 35 years. Body mass
index, waist circumference (WC), waist-to-height ratio (WHtR) and waist-to-hip
ratio were measured and calculated. To compare the predictive ability of the
obesity indexes in diagnosing multiple metabolic risk factors, the areas under
receiver operating characteristic curves were calculated, and cut-off values were
determined. A partial correlation coefficient was used to assess the
intercorrelations between the obesity indexes, and to evaluate the correlations
between each index and each metabolic risk factor. RESULTS: The partial
correlation coefficient for WHtR and WC was 0.947. In diagnosing multiple
metabolic risk factors, the WHtR areas under receiver operating characteristic
curves was greater than that for the other obesity indexes in both sexes. The cut
off point for the WHtR was 0.50 in men and 0.52 in women. The cut-off point for
WC was 85 cm in men and 80 cm in women. CONCLUSIONS: WHtR strongly correlates
with WC. The WHtR might show the same predictive ability as the WC in diagnosing
multiple metabolic risk factors.
PMID- 27181940
TI - Real world vaccine ethics.
PMID- 27181939
TI - Myocardial Response to Milrinone in Single Right Ventricle Heart Disease.
AB - OBJECTIVES: Empiric treatment with milrinone, a phosphodiesterase (PDE) 3
inhibitor, has become increasingly common in patients with single ventricle heart
disease of right ventricular (RV) morphology (SRV); our objective was to
characterize the myocardial response to PDE3 inhibition (PDE3i) in the pediatric
population with SRV. STUDY DESIGN: Cyclic adenosine monophosphate levels, PDE
activity, and phosphorylated phospholamban (PLN) were determined in explanted
human ventricular myocardium from nonfailing pediatric donors (n = 10) and
pediatric patients transplanted secondary to SRV. Subjects with SRV were further
classified by PDE3i treatment (n = 13 with PDE3i and n = 12 without PDE3i).
RESULTS: In comparison with nonfailing RV myocardium (n = 8), cyclic adenosine
monophosphate levels are lower in patients with SRV treated with PDE3i (n = 12, P
= .021). Chronic PDE3i does not alter total PDE or PDE3 activity in SRV
myocardium. Compared with nonfailing RV myocardium, SRV myocardium (both with and
without PDE3i) demonstrates equivalent phosphorylated PLN at the protein kinase A
phosphorylation site. CONCLUSIONS: As evidenced by preserved phosphorylated PLN,
the molecular adaptation associated with SRV differs significantly from that
demonstrated in pediatric heart failure because of dilated cardiomyopathy. These
alterations support a pathophysiologically distinct mechanism of heart failure in
pediatric patients with SRV, which has direct implications regarding the presumed
response to PDE3i treatment in this population.
PMID- 27181941
TI - Identification and functional analysis of SVP ortholog in herbaceous perennial
plant Gentiana triflora: Implication for its multifunctional roles.
AB - Information concerning to regulation of vegetative phase and floral initiation in
herbaceous perennial plants has been limited to a few plant species. To know and
compare flowering regulation in a wider range of plant species, we identified and
characterized SHORT VEGETATIVE PHASE (SVP)-like genes (GtSVP-L1 and GtSVP-L2)
from herbaceous perennial gentian (Gentiana triflora). Apple latent spherical
virus (ALSV)-mediated silencing of the GtSVP-L1 in G. triflora seedlings resulted
in early flowering and shortened vegetative phase by about one-third period of
time, without vernalization. This indicated that GtSVP-L1 acts as a negative
regulator of flowering and vegetative phase. Seasonal change in the expression of
GtSVP was monitored in the overwinter buds (OWBs) of G. triflora. It was found
that the levels of GtSVP-L1 mRNA in OWBs increased concomitantly with induction
and/or maintenance of dormancy, then decreased toward release from dormancy,
while that of GtSVP-L2 mRNA remained low and unchanged. These results implied
that, in herbaceous perennial plants, SVP ortholog might concern to activity
dormancy control, as well as negative regulation in flowering. Practically, these
results can be applicable to non-time-consuming technologies for breeding.
PMID- 27181942
TI - Comparative study of Zn deficiency in L. sativa and B. oleracea plants: NH4(+)
assimilation and nitrogen derived protective compounds.
AB - Zinc (Zn) deficiency is a major problem in agricultural crops of many world
regions. N metabolism plays an essential role in plants and changes in their
availability and their metabolism could seriously affect crop productivity. The
main objective of the present work was to perform a comparative analysis of
different strategies against Zn deficiency between two plant species of great
agronomic interest such as Lactuca sativa cv. Phillipus and Brassica oleracea cv.
Bronco. For this, both species were grown in hydroponic culture with different Zn
doses: 10MUM Zn as control and 0.01MUM Zn as deficiency treatment. Zn deficiency
treatment decreased foliar Zn concentration, although in greater extent in B.
oleracea plants, and caused similar biomass reduction in both species. Zn
deficiency negatively affected NO3(-) reduction and NH4(+) assimilation and
enhanced photorespiration in both species. Pro and GB concentrations were reduced
in L. sativa but they were increased in B. oleracea. Finally, the AAs profile
changed in both species, highlighting a great increase in glycine (Gly)
concentration in L. sativa plants. We conclude that L. sativa would be more
suitable than B. oleracea for growing in soils with low availability of Zn since
it is able to accumulate a higher Zn concentration in leaves with similar biomass
reduction. However, B. oleracea is able to accumulate N derived protective
compounds to cope with Zn deficiency stress.
PMID- 27181943
TI - Ectopic expression of a grape aspartic protease gene, AP13, in Arabidopsis
thaliana improves resistance to powdery mildew but increases susceptibility to
Botrytis cinerea.
AB - The grape aspartic protease gene, AP13 was previously reported to be responsive,
in Chinese wild Vitis quinquangularis cv. 'Shang-24', to infection by Erysiphe
necator, the causal agent of powdery mildew disease, as well as to treatment with
salicylic acid in V. labrusca*V. vinifera cv. 'Kyoho'. In the current study, we
evaluated the expression levels of AP13 in 'Shang-24' in response to salicylic
acid (SA), methyl jasmonate (MeJA) and ethylene (ET) treatments, as well as to
infection by the necrotrophic fungus, Botrytis cinerea, and the transcript levels
of VqAP13 decreased after B. cinerea infection and MeJA treatment, but increased
following ET and SA treatments. Transgenic Arabidopsis thaliana lines over
expressing VqAP13 under the control of a constitutive promoter showed enhanced
resistance to powdery mildew and to the bacterium Pseudomonas syringae pv. tomato
DC3000, and accumulated more callose than wild type plants, while the resistance
of transgenic A. thaliana lines to B. cinerea inoculation was reduced. In
addition, the expression profiles of various disease resistance- related genes in
the transgenic A. thaliana lines following infection by different pathogens were
compared to the equivalent profiles in the wild type plants. The results suggest
that VqAP13 action promotes the SA dependent signal transduction pathway, but
suppresses the JA signal transduction pathway.
PMID- 27181944
TI - OsSRT1 is involved in rice seed development through regulation of starch
metabolism gene expression.
AB - OsSRT1 is a NAD(+)-dependent histone deacetylase, closely related to the human
SIRT6 that plays key roles in genome stability and metabolic homeostasis. In this
work, we investigated the role of OsSRT1 in rice seed development. Down
regulation of OsSRT1 induced higher expression of Rice Starch Regulator1 (RSR1)
and amylases genes in developing seeds, which resulted in a decrease of starch
synthesis and an increase of starch degradation, leading to abnormal seed
development. ChIP assay showed that OsSRT1 was required to reduce histone H3K9
acetylation on starch metabolism genes and transposons in developing seeds. In
addition, OsSRT1 was detected to directly bind to starch metabolism genes such as
OsAmy3B, OsAmy3E, OsBmy4, and OsBmy9. Our results suggested that OsSRT1-mediated
histone deacetylation is involved in starch accumulation and transposon
repression to regulate normal seed development.
PMID- 27181945
TI - Glyoxylate cycle and metabolism of organic acids in the scutellum of barley seeds
during germination.
AB - During the developmental processes from dry seeds to seedling establishment, the
glyoxylate cycle becomes active in the mobilization of stored oils in the
scutellum of barley (Hordeum vulgare L.) seeds, as indicated by the activities of
isocitrate lyase and malate synthase. The succinate produced is converted to
carbohydrates via phosphoenolpyruvate carboxykinase and to amino acids via
aminotransferases, while free organic acids may participate in acidifying the
endosperm tissue, releasing stored starch into metabolism. The abundant organic
acid in the scutellum was citrate, while malate concentration declined during the
first three days of germination, and succinate concentration was low both in
scutellum and endosperm. Malate was more abundant in endosperm tissue during the
first three days of germination; before citrate became predominant, indicating
that malate may be the main acid acidifying the endosperm. The operation of the
glyoxylate cycle coincided with an increase in the ATP/ADP ratio, a buildup of
H2O2 and changes in the redox state of ascorbate and glutathione. It is concluded
that operation of the glyoxylate cycle in the scutellum of cereals may be
important not only for conversion of fatty acids to carbohydrates, but also for
the acidification of endosperm and amino acid synthesis.
PMID- 27181946
TI - Transcriptome and selected metabolite analyses reveal points of sugar metabolism
in jackfruit (Artocarpus heterophyllus Lam.).
AB - Artocarpus heterophyllus Lam., commonly known as jackfruit, produces the largest
tree-borne fruit known thus far. The edible part of the fruit develops from the
perianths, and contains many sugar-derived compounds. However, its sugar
metabolism is poorly understood. A fruit perianth transcriptome was sequenced on
an Illumina HiSeq 2500 platform, producing 32,459 unigenes with an average length
of 1345nt. Sugar metabolism was characterized by comparing expression patterns of
genes related to sugar metabolism and evaluating correlations with enzyme
activity and sugar accumulation during fruit perianth development. During early
development, high expression levels of acid invertases and corresponding enzyme
activities were responsible for the rapid utilization of imported sucrose for
fruit growth. The differential expression of starch metabolism-related genes and
corresponding enzyme activities were responsible for starch accumulated before
fruit ripening but decreased during ripening. Sucrose accumulated during
ripening, when the expression levels of genes for sucrose synthesis were elevated
and high enzyme activity was observed. The comprehensive transcriptome analysis
presents fundamental information on sugar metabolism and will be a useful
reference for further research on fruit perianth development in jackfruit.
PMID- 27181947
TI - UV-B effects on leaves-Oxidative stress and acclimation in controlled
environments.
AB - As the steady decline in the Earth's stratospheric ozone layer and parallel
increase in solar ultraviolet-B (UV-B: 280-315nm) has come to an end, the focus
of plant UV research has been shifted from regarding UV-B as threatening plant
life to recognizing it as a regulatory factor. While UV-B photoreceptor mediated
signaling is increasingly understood, the role of UV-B inducible reactive oxygen
species is still to be explored. Earlier experiments with high UV-B irradiation
doses and isolated thylakoid membranes demonstrated the potential of UV-B to
trigger oxidative stress. However, under realistic UV conditions pro-oxidants
cannot be reliably traced in more complex biological samples possessing an array
of antioxidant defenses. In the absence of direct experimental evidence we must
rely on indications and propose hypotheses on how and whether pro-oxidants, such
as reactive oxygen species contribute to acclimative responses. Here we briefly
review how a balance between pro-oxidants and antioxidants is affected by UV-B in
whole plant experiments performed in controlled environments. A working
hypothesis is proposed in which the extents of UV-induced peroxidase and
superoxide dismutase activations affect the success of acclimation to UV-B.
PMID- 27181948
TI - AcEBP1, an ErbB3-Binding Protein (EBP1) from halophyte Atriplex canescens,
negatively regulates cell growth and stress responses in Arabidopsis.
AB - An ErbB-3-binding protein gene AcEBP1, also known as proliferation-associated 2G4
gene (PA2G4s) belonging to the M24 superfamily, was obtained from the saltbush
Atriplex canescens. Subcellular localization imaging showed the fusion protein
AcEBP1-eGFP was located in the nucleus of epidermal cells in Nicotiana
benthamiana. The AcEBP1 gene expression levels were up-regulated under salt,
osmotic stress, and hormones treatment as revealed by qRT-PCR. Overexpression of
AcEBP1 in Arabidopsis demonstrated that AcEBP1 was involved in root cell growth
and stress responses (NaCl, osmotic stress, ABA, low temperature, and drought).
These phenotypic data were correlated with the expression patterns of stress
responsive genes and PR genes. The AcEBP1 transgenic Arabidopsis plants also
displayed increased sensitivity under low temperature and evaluated resistance to
drought stress. Together, these results demonstrate that AcEBP1 negatively
affects cell growth and is a regulator under stress conditions.
PMID- 27181949
TI - Review: Post-translational cross-talk between brassinosteroid and sucrose
signaling.
AB - A direct link has been elucidated between brassinosteroid function and
perception, and sucrose partitioning and transport. Sucrose regulation and
brassinosteroid signaling cross-talk at various levels, including the well
described regulation of transcriptional gene expression: BZR-like transcription
factors link the signaling pathways. Since brassinosteroid responses depend on
light quality and quantity, a light-dependent alternative pathway was postulated.
Here, the focus is on post-translational events. Recent identification of sucrose
transporter-interacting partners raises the question whether brassinosteroid and
sugars jointly affect plant innate immunity and plant symbiotic interactions.
Membrane permeability and sensitivity depends on the number of cell surface
receptors and transporters. More than one endocytic route has been assigned to
specific components, including brassinosteroid-receptors. The number of such
proteins at the plasma membrane relies on endocytic recycling, internalization
and/or degradation. Therefore, vesicular membrane trafficking is gaining
considerable attention with regard to plant immunity. The organization of pattern
recognition receptors (PRRs), other receptors or transporters in membrane
microdomains participate in endocytosis and the formation of specific
intracellular compartments, potentially impacting biotic interactions. This
minireview focuses on post-translational events affecting the subcellular
compartmentation of membrane proteins involved in signaling, transport, and
defense, and on the cross-talk between brassinosteroid signals and sugar
availability.
PMID- 27181950
TI - Soybean SPX1 is an important component of the response to phosphate deficiency
for phosphorus homeostasis.
AB - Phosphate (Pi) homeostasis is required for plant growth and development, but the
Pi-signaling pathways in plants still remain largely unknown. Proteins only
containing the SPX domain are very important in phosphate (Pi) homeostasis and
signaling transduction. In the T-DNA insertion Arabidopsis mutant spx3, AtPHT1-4,
AtPHT1-5, AtACP5, AtRNS, and AtAT4 expression levels were increased under Pi
sufficient condition and low Pi condition compared with WT. Meanwhile, the
expression levels of these phosphate starvation genes was inhibited in OXSPX1 and
spx3/OXSPX1 compared with WT, only under Pi-sufficient condition. These imply
that GmSPX1 may negatively control the transcription of Pi starvation responsive
genes indirectly. However, there were no differences between expression levels of
these PSI genes in spx3 and those in WT under -Pi conditions. These facts imply
that the negative regulation of GmSPX1 and AtSPX3 on PSI genes is depending on Pi
concentration. Consistent with this, GmSPX1 overexpression in the WT and spx3
decreased the total Pi concentration in plants and changed root hair morphology,
suppressing the elongation and number of root hairs compared with the WT and
spx3. The yeast two-hybrid assays and BiFC assays demonstrated that GmSPX1 could
interact with GmMYB48.The qRT-PCR analysis showed that GmMYB48 is a new phosphate
starvation induced transcription factor in soybean. Also, GmSPX1 overexpression
led to decreased transcripts of AtMYB4, an ortholog of GmMYB48, in OXSPX1.
Together, these results suggest that GmSPX1 is a negative regulator in the Pi
signaling network of soybean, and the interaction of GmSPX1/GmMYB48 can be
considered a potential candidate suppressor.
PMID- 27181951
TI - Review: Mechanisms of ammonium toxicity and the quest for tolerance.
AB - Ammonium sensitivity of plants is a worldwide problem, constraining crop
production. Prolonged application of ammonium as the sole nitrogen source may
result in physiological and morphological disorders that lead to decreased plant
growth and toxicity. The main causes of ammonium toxicity/tolerance described
until now include high ammonium assimilation by plants and/or low sensitivity to
external pH acidification. The various ammonium transport-related components,
especially the non-electrogenic influx of NH3 (related to the depletion of (15)N)
and the electrogenic influx of NH4(+), may contribute to ammonium accumulation,
and therefore to NH3 toxicity. However, this accumulation may be influenced by
increasing K(+) concentration in the root medium. Recently, new insights have
been provided by "omics" studies, leading to a suggested involvement of GDP
mannose-pyrophosphorylase in the response pathways of NH4(+) stress. In this
review, we highlight the cross-talk signaling between nitrate, auxins and NO, and
the importance of the connection of the plants' urea cycle to metabolism of
polyamines. Overall, the tolerance and amelioration of ammonium toxicity are
outlined to improve the yield of ammonium-grown plants. This review identifies
future directions of research, focusing on the putative importance of aquaporins
in ammonium influx, and on genes involved in ammonium sensitivity and tolerance.
PMID- 27181952
TI - Overexpression of wheat ubiquitin gene, Ta-Ub2, improves abiotic stress tolerance
of Brachypodium distachyon.
AB - Ubiquitination plays an important role in regulating plant's development and
adaptability to abiotic stress. To investigate the possible functions of a wheat
monoubiquitin gene Ta-Ub2 in abiotic stress in monocot and compare it with that
in dicot, we generated transgenic Brachypodium plants overexpressing Ta-Ub2 under
the control of CaMV35s and stress-inducible RD29A promoters. The constitutive
expression of Ta-Ub2 displayed slight growth inhibition in the growth of
transgenic Brachypodium distachyon under the control conditions. However, this
inhibition was minimized by expression of Ta-Ub2 under the control of stress
inducible RD29A promoter. Compared with WT, the transgenic plants preserved more
water and showed higher enzymatic antioxidants under drought stress, which might
be related to the change in the expression of some antioxidant genes. The
expression of C-repeat binding factors transcription factor genes in the
transgenic B. distachyon lines were upregulated under water stress. Salt and cold
tolerances of transgenic B. distachyon were also improved. Although the
phenotypic changes in the transgenic plants were different, overexpression of Ta
Ub2 improved the abiotic stress tolerance in both dicot and monocot plants. The
improvement in Ta-Ub2 transgenic plants in abiotic stress tolerance might be, at
least partly, through regulating the gene expression and increasing the enzymatic
antioxidants.
PMID- 27181981
TI - Investigating the Role of Thiazide-Like Diuretics in Acute Heart Failure:
Potential Approach to an Unmet Need.
PMID- 27181953
TI - Gene expression profiling during seed-filling process in peanut with emphasis on
oil biosynthesis networks.
AB - Pod-filling is an important stage of peanut (Arachis hypogaea) seed development.
It is partially controlled by genetic factors, as cultivars considerably vary in
pod-filling potential. Here, a study was done to detect changes in mRNA levels
that accompany pod-filling processes. Four seed developmental stages were sampled
from two peanut genotypes differing in their oil content and pod-filling
potential. Transcriptome data were generated by RNA-Seq and explored with respect
to genic and subgenomic patterns of expression. Very dynamic transcriptomic
changes occurred during seed development in both genotypes. Yet, general higher
expression rates of transcripts and an enrichment in processes involved "energy
generation" and "primary metabolites" were observed in the genotype with the
better pod-filling ("Hanoch"). A dataset of 584 oil-related genes was assembled
and analyzed, resulting in several lipid metabolic processes highly expressed in
Hanoch, including oil storage and FA synthesis/elongation. Homoeolog-specific
gene expression analysis revealed that both subgenomes contribute to the oil
genes expression. Yet, biases were observed in particular parts of the pathway
with possible biological meaning, presumably explaining the genotypic variation
in oil biosynthesis and pod-filling. This study provides baseline information and
a resource that may be used to understand development and oil biosynthesis in the
peanut seeds.
PMID- 27181986
TI - Time-dependent SERS spectra monitoring the dynamic adsorption behavior of
bipyridine isomerides combined with bianalyte method.
AB - Based on the bianalyte method, time-dependent surface-enhanced Raman spectrosopy
(SERS) spectra were applied to observe and study the competitive adsorption of
bipyridine isomerides 2,2'-bpy and 4,4'-bpy. These time-dependent SERS spectra
offer a significant advantage for observing the continuous SERS spectra of
analyte with 2 s resolution, letting one monitor real-time competitive adsorption
and corresponding SERS signal intensity for mixed or pure analyte type events
under different concentrations. In this study, we report experimental evidence of
competitive adsorption of two bipyridine isomerides using SERS mapping and
independent spectra in chronological order. On the one hand, the time-dependent
SERS spectra of 2,2'-bpy were prior dominated in the early stage either in high
concentration or in low concentration. On the other hand, pure type 2,2'-bpy or
4,4'-bpy events only occurred for strong intensity, whereas weak intensity events
exhibited more mixed analytes in low concentration, showing a great difference
from those at the higher concentration. In addition, we believe that these
results and this evidence can motivate the use of time-dependent SERS spectra for
distinguishing the fingerprint information of several molecules from similar
isomeride molecules in chemical and biological systems.
PMID- 27181987
TI - A water-soluble colorimetric two-photon probe for discrimination of different
palladium species and its application in bioimaging.
AB - A novel water-soluble colorimetric and fluorescent palladium probe with excellent
selectivity and sensitivity has been designed. Notably, based on a palladium
triggered terminal allyl ether cleavage reaction, the probe could detect and
discriminate Pd(0) and Pd(2+)/Pd(4+) in about 2.5 min at room temperature with a
low detection limit (0.29 ppb) and significant colour change (from light yellow
to pink). The probe could serve as an excellent "naked-eye" colorimetric probe
for selective and quantitative determination of palladium in aqueous solutions.
Moreover, it could be used as a two-photon palladium probe for in vitro/vivo and
three-dimensional imaging with low cytotoxicity and autofluorescence.
PMID- 27181988
TI - Muti-component nanocomposite of nickel and manganese oxides with enhanced
stability and catalytic performance for non-enzymatic glucose sensors.
AB - A muti-component nanocomposite of nickel and manganese oxides with a uniformly
dispersed microspherical structure has been fabricated by a hydrothermal
synthesis method. The as-prepared nanocomposite has been employed as a sensing
material for non-enzymatic glucose detection and shown excellent electrocatalytic
activity, such as high sensitivities of 82.44 MUA mM(-1) cm(-2) and 27.92 MUA mM(
1) cm(-2) over the linear range of 0.1-1 mM and 1-4.5 mM, respectively, a low
detection limit of 0.2 MUM and a fast response time of <3 s. Moreover,
satisfactory specificity and excellent stability have also been achieved. The
results demonstrate that a muti-component nanocomposite of nickel and manganese
oxides has great potential applications as glucose sensors.
PMID- 27181989
TI - Influence of Albumin Configuration by the Chiral Polymer-Grafted Gold
Nanoparticles.
AB - The interaction between nanoparticles (NPs) and proteins is a topic of high
relevance for the medical application of NPs. This study reveals the molecular
chirality on NP surfaces as an indirect regulator of the interaction between
proteins and NPs. Poly(N-acryloyl-valine) (PAV) polymers with d- and l
configurations were conjugated onto gold NPs with a size of 5 nm to obtain the l
PAV-AuNPs and d-PAV-AuNPs, respectively. They had same chemical composition and
surface grafting density but different surface chirality. The isothermal
titration calorimetry results showed that adsorption of bovine serum albumin onto
the l-PAV-AuNPs and d-PAV-AuNPs was primarily driven by electrostatic
interaction. Dynamic light scattering, circular dichroism spectroscopy,
fluorescence quenching, and isothermal titration calorimetry characterizations
revealed that bovine serum albumin molecules adopted both side-on and end-on
configurations on the d-PAV-AuNPs, whereas only end-on configuration on the l-PAV
AuNPs.
PMID- 27181990
TI - Airborne engineered nanomaterials in the workplace-a review of release and worker
exposure during nanomaterial production and handling processes.
AB - For exposure and risk assessment in occupational settings involving engineered
nanomaterials (ENMs), it is important to understand the mechanisms of release and
how they are influenced by the ENM, the matrix material, and process
characteristics. This review summarizes studies providing ENM release information
in occupational settings, during different industrial activities and using
various nanomaterials. It also assesses the contextual information - such as the
amounts of materials handled, protective measures, and measurement strategies -
to understand which release scenarios can result in exposure. High-energy
processes such as synthesis, spraying, and machining were associated with the
release of large numbers of predominantly small-sized particles. Low-energy
processes, including laboratory handling, cleaning, and industrial bagging
activities, usually resulted in slight or moderate releases of relatively large
agglomerates. The present analysis suggests that process-based release potential
can be ranked, thus helping to prioritize release assessments, which is useful
for tiered exposure assessment approaches and for guiding the implementation of
workplace safety strategies. The contextual information provided in the
literature was often insufficient to directly link release to exposure. The
studies that did allow an analysis suggested that significant worker exposure
might mainly occur when engineering safeguards and personal protection strategies
were not carried out as recommended.
PMID- 27181991
TI - Genetic heterogeneity within the HLA region in three distinct clinical subgroups
of myasthenia gravis.
AB - This study aims to investigate genetic susceptibility to early-onset and late
onset anti-acetylcholine receptor antibody positive myasthenia gravis (EOMG and
LOMG) and anti-muscle specific kinase antibody positive MG (MuSK-MG) at genome
wide level in a single population. Using a custom-designed array and imputing
additional variants and the classical HLA alleles in 398 patients, we detected
distinct associations. In EOMG, rs113519545 in the HLA class I region (OR=5.71
[3.77-8.66], P=2.24*10(-16)), HLA-B*08:01 (OR=7.04 [3.95-12.52], P=3.34*10(-11))
and HLA-C*07:01 (OR=2.74 [1.97-3.81], P=2.07(-9)), in LOMG, rs111256513 in the
HLA class II region (OR=2.22 [1.59-3.09], P=2.48*10(-6)) and in MuSK-MG, an
intronic variant within HLA-DQB1 (rs68081734, OR=5.86, P=2.25*10(-14)) and HLA
DQB1*05:02 (OR=8.56, P=6.88*10(-13)) revealed the most significant associations
for genome-wide significance. Differential genetic susceptibility within the HLA
to EOMG, LOMG and MuSK-MG has been established in a population from Turkey.
PMID- 27181992
TI - Maintenance of Mycobacterium tuberculosis-specific T cell responses in End Stage
Renal Disease (ESRD) and implications for diagnostic efficacy.
AB - End-stage renal disease (ESRD) patients exhibit elevated risk of tuberculosis
(TB) reactivation, but current diagnostics, including the interferon gamma
release assay (IGRA), exhibit poor sensitivity in ESRD. We tested 80 ESRD
patients and found an 18.75% prevalence of IGRA positivity. A subset of patients
was assessed for Mtb-specific expression of 44 cytokines/chemokines, and CD4+ T
cell phenotype and function. Similar to non-ESRD IGRA+ individuals, Mtb-specific
IFNgamma, IL-1RA, IP-10, MCP-3 and IL-2 responses were identified in the ESRD
IGRA+ group. 27% of the ESRD IGRA- group exhibited MCP-3 or IL-2 Mtb-specific
responses, which may identify cases of latent TB infection in ESRD. Stimulation
of PBMC with PPD demonstrated similar CD4+ T cell production of IFNgamma,
TNFalpha and GM-CSF by ESRD patients. The reported low sensitivity of the IGRA in
ESRD cohorts is therefore unlikely to be due to poor T cell cytokine secretion,
and may instead reflect defects in antigen presentation.
PMID- 27181994
TI - Correction to "Pyridine Ligand Rotation in Self-Assembled Trigonal Prisms.
Evidence for Intracage Solvent Vapor Bubbles".
PMID- 27181995
TI - Electron-beam irradiation induced transformation of Cu2(OH)3NO3 nanoflakes into
nanocrystalline CuO.
AB - The transmission electron microscope electron-beam (TEM e-beam) as a material
modification tool has been demonstrated. The material modification is realised in
the high-resolution TEM mode (largest condenser aperture, 150 MUm, and 200 nm
spot size) at a 200 keV beam energy. The Cu2(OH)3NO3 (CHN) nanoflakes used in
this study were microwave solution processed that were layered single crystals
and radiation sensitive. The single domain CHN flakes disintegrate into a large
number of individual CuO crystallites within a 90 s span of time. The sequential
bright-field, dark-field, and selected area electron diffraction modes were
employed to record the evolved morphology, microstructural changes, and
structural transformation that validate CHN modification. High-resolution
transmission electron microscopy imaging of e-beam irradiated regions
unambiguously supports the growth of CuO nanoparticles (11.8(3.2) nm in
diameter). This study demonstrates e-beam irradiation induced CHN depletion,
subsequent nucleation and growth of nanocrystalline CuO regions well embedded in
the parent burnt porous matrix which can be useful for miniaturized sensing
applications. NaBH4 induced room temperature reduction of CHN to elemental Cu and
its printability on paper was also demonstrated.
PMID- 27181993
TI - A pre-eclampsia-associated Epstein-Barr virus antibody cross-reacts with
placental GPR50.
AB - To characterize antibody specificities associated with pre-eclampsia (PE),
bacterial displayed peptide library screening and evolution was applied to
identify peptide epitopes recognized by plasma antibodies present in women with
PE near the time of delivery. Pre-eclamptic women exhibited elevated IgG1 titers
towards a peptide epitope KRPSCIGCK within the Epstein-Barr virus nuclear antigen
1 (EBNA-1). EBNA-1 epitope antibodies cross-reacted with a similar epitope within
the extracellular N-terminus of the human G protein-coupled receptor, GPR50,
expressed in human placental tissue and immortalized placental trophoblast cells.
We observed increased antibody binding activity to epitopes from EBNA-1 and GPR50
among women with PE (n=42) compared to healthy-outcome pregnancies (n=43) and
nulligravid samples (n=21). The EBNA-1 peptide potently blocked binding of the PE
associated antibody to the GPR50 epitope (IC50=58-81pM). These results reveal the
existence of molecular mimicry between EBNA-1 and placental GPR50, supporting a
mechanism for IgG1 deposition in the pre-eclamptic placenta.
PMID- 27181997
TI - Polymorphism in alpha-sexithiophene crystals: relative stability and transition
path.
AB - We present a joint theoretical and experimental study to investigate polymorphism
in alpha-sexithiophene (6T) crystals. By means of density-functional theory
calculations, we clarify that the low-temperature phase is favorable over the
high-temperature one, with higher relative stability up to 50 meV per molecule.
This result is in agreement with our thermal desorption measurements. We also
propose a transition path between the high- and low-temperature 6T polymorphs,
estimating an upper bound for the energy barrier of about 1 eV per molecule. The
analysis of the electronic properties of the investigated 6T crystal structures
complements our study.
PMID- 27181996
TI - Comparative Cost-Effectiveness of Conservative or Intensive Blood Pressure
Treatment Guidelines in Adults Aged 35-74 Years: The Cardiovascular Disease
Policy Model.
AB - The population health effect and cost-effectiveness of implementing intensive
blood pressure goals in high-cardiovascular disease (CVD) risk adults have not
been described. Using the CVD Policy Model, CVD events, treatment costs, quality
adjusted life years, and drug and monitoring costs were simulated over 2016 to
2026 for hypertensive patients aged 35 to 74 years. We projected the
effectiveness and costs of hypertension treatment according to the 2003 Joint
National Committee (JNC)-7 or 2014 JNC8 guidelines, and then for adults aged >=50
years, we assessed the cost-effectiveness of adding an intensive goal of systolic
blood pressure <120 mm Hg for patients with CVD, chronic kidney disease, or 10
year CVD risk >=15%. Incremental cost-effectiveness ratios <$50 000 per quality
adjusted life years gained were considered cost-effective. JNC7 strategies treat
more patients and are more costly to implement compared with JNC8 strategies.
Adding intensive systolic blood pressure goals for high-risk patients prevents an
estimated 43 000 and 35 000 annual CVD events incremental to JNC8 and JNC7,
respectively. Intensive strategies save costs in men and are cost-effective in
women compared with JNC8 alone. At a willingness-to-pay threshold of $50 000 per
quality-adjusted life years gained, JNC8+intensive had the highest probability of
cost-effectiveness in women (82%) and JNC7+intensive the highest probability of
cost-effectiveness in men (100%). Assuming higher drug and monitoring costs,
adding intensive goals for high-risk patients remained consistently cost
effective in men, but not always in women. Among patients aged 35 to 74 years,
adding intensive blood pressure goals for high-risk groups to current national
hypertension treatment guidelines prevents additional CVD deaths while saving
costs provided that medication costs are controlled.
PMID- 27181998
TI - Randomized clinical trial of the safety and efficacy of sitagliptin and metformin
co-administered to Chinese patients with type 2 diabetes mellitus.
AB - INTRODUCTION: The results of a clinical trial to evaluate the efficacy and safety
of initial combination therapy with sitagliptin and metformin in Chinese patients
with type 2 diabetes and inadequate glycemic control are reported here. MATERIALS
AND METHODS: This was a multicenter, randomized, double-blind, placebo
controlled, parallel group, 24-week clinical trial carried out in China. Patients
(n = 744) with type 2 diabetes and inadequate glycemic control (glycated
hemoglobin >=7.5 and <=11.0%) who were either drug-naive or washed out of
previous therapy were randomized in equal ratios to sitagliptin 100 mg once daily
(q.d.; S100), metformin 500 mg twice daily (b.i.d.; M1000), metformin 850 mg
b.i.d. (M1700), sitagliptin 50 mg b.i.d. plus metformin 500 mg b.i.d.
(S100/M1000), sitagliptin 50 mg b.i.d. plus metformin 850 mg b.i.d. (S100/M1700),
or placebo. RESULTS: The mean baseline glycated hemoglobin in randomized patients
was 8.7%. Least squares mean changes from baseline in glycated hemoglobin were
0.59% (placebo), -0.99% (S100), -1.29% (M1000), -1.56% (M1700), -1.67%
(S100/M1000) and -1.83% (S100/M1700) (P < 0.05 for each active group vs placebo,
for S100/M1700 and S100/M1000 vs S100, and for S100/M1000 vs M1000). All
treatments were generally well-tolerated. The overall incidence of hypoglycemia
(symptomatic or asymptomatic) was higher in the two co-administration groups
(S100/M1700 and S100/M1000) compared with the placebo. The incidence of
symptomatic hypoglycemia was low, and similar, across all treatment groups. The
incidences of gastrointestinal adverse events were generally higher in high-dose
metformin groups than in the placebo group. CONCLUSIONS: In Chinese patients with
type 2 diabetes, initial combination therapy with sitagliptin and metformin was
generally well-tolerated, and provided improvement in glycemic control.
PMID- 27182002
TI - Diabetes and shoulder disorders.
PMID- 27181999
TI - Bariatric surgery is associated with improvement in kidney outcomes.
AB - Severe obesity is associated with increased risk of kidney disease. Whether
bariatric surgery reduces the risk of adverse kidney outcomes is uncertain. To
resolve this we compared the risk of estimated glomerular filtration rate (eGFR)
decline of >=30% and doubling of serum creatinine or end-stage renal disease
(ESRD) in 985 patients who underwent bariatric surgery with 985 patients who did
not undergo such surgery. Patients were matched on demographics, baseline body
mass index, eGFR, comorbidities, and previous nutrition clinic use. Mean age was
45 years, 97% were white, 80% were female, and 33% had baseline eGFR <90 ml/min
per 1.73 m(2). Mean 1-year weight loss was 40.4 kg in the surgery group compared
with 1.4 kg in the matched cohort. Over a median follow-up of 4.4 years, 85
surgery patients had an eGFR decline of >=30% (22 had doubling of serum
creatinine/ESRD). Over a median follow-up of 3.8 years, 177 patients in the
matched cohort had an eGFR decline of >=30% (50 had doubling of serum
creatinine/ESRD). In adjusted analysis, bariatric surgery patients had a
significant 58% lower risk for an eGFR decline of >=30% (hazard ratio 0.42, 95%
confidence interval 0.32-0.55) and 57% lower risk of doubling of serum creatinine
or ESRD (hazard ratio 0.43, 95% confidence interval: 0.26-0.71) compared with the
matched cohort. Results were generally consistent among subgroups of patients
with and without eGFR <90 ml/min per 1.73 m(2), hypertension, and diabetes. Thus,
bariatric surgery may be an option to prevent kidney function decline in severely
obese individuals.
PMID- 27182003
TI - Paraphilia and sex offending - A South African criminal law perspective.
AB - Historically, the link between sexual deviance and criminality has been described
and documented, asserted by psychiatry, and manifested in law. Laws that have
regulated sexual behaviour have referred to terms such as 'sexual deviation',
'sexual perversion' or even archaic moral terms such as 'unnatural acts and
unspeakable crimes against nature'. A possible link between sexual perversion,
psychopathy, and criminality, specifically manifesting in sexual homicide, has
been the subject of remarkable research in forensic psychiatry. This contribution
examines the phenomenon of paraphilia with specific reference to its definition,
diagnostic classification and characteristics, as well as a few selections of
incidences of paraphilia in South African criminal case law. A brief assessment
is made of how South African criminal courts have dealt with paraphilia. In this
regard, an analysis is made of the criminal liability of the paraphiliac. The
South African response to sexual deviation as addressed in the Criminal Law
(Sexual Offences and Related Matters) Amendment Act 32 of 2007 will also be
addressed with reference to its efficacy in addressing paraphilia within South
African criminal law. The interface between criminal law and medical ethics
within the context of this theme will also be canvassed. In conclusion,
recommendations for possible reform are canvassed.
PMID- 27182001
TI - The weekend effect alters the procurement and discard rates of deceased donor
kidneys in the United States.
AB - Factors contributing to the high rate of discard among deceased donor kidneys
remain poorly understood and the influence of resource limitations of weekends on
kidney transplantation is unknown. To quantify this we used data from the
Scientific Registry of Transplant Recipients and assembled a retrospective cohort
of 181,799 deceased donor kidneys recovered for transplantation from 2000-2013.
We identified the impact of the day of the week on the procurement and subsequent
utilization or discard of deceased donor kidneys in the United States, as well as
report the geographic variation of the impact of weekends on transplantation.
Compared with weekday kidneys, organs procured on weekends were significantly
more likely to be discarded than transplanted (odds ratio: 1.16; 95% confidence
interval: 1.13-1.19), even after adjusting for organ quality (adjusted odds
ratio: 1.13; 95% confidence interval: 1.10-1.17). Weekend discards were of a
significantly higher quality than weekday discards (Kidney Donor Profile Index:
76.5% vs. 77.3%). Considerable geographic variation was noted in the proportion
of transplants that occurred over the weekend. Kidneys available for transplant
over the weekend were significantly more likely to be used at larger transplant
centers, be shared without payback, and experienced shorter cold ischemia times.
Thus, factors other than kidney quality are contributing to the discard of
deceased donor kidneys, particularly during weekends. Policy prescriptions,
administrative or organizational solutions within transplant programs may
potentially mitigate against the recent increase in kidney discards.
PMID- 27182006
TI - A novel rabies virus lipopeptide provides a better protection by improving the
magnitude of DCs activation and T cell responses.
AB - Besides rabies virus neutralizing antibody, non-neutralizing antibody to internal
vital proteins, interferon, and possibly cell-mediated immunity also have a
critical role in preventing the infection by rabies virus (RV). We identified
novel CTL and Th epitopes which could induce lymphocyte proliferation and IFN
gamma, IL-4 production, and designed linear and branched lipopeptides with these
selected CTL and Th epitopes. Compared to linear construct, branched
lipopeptides, especially Lipo C, stimulate stronger phenotypic and functional
maturation of DCs, as well as more efficient CD8(+) T cell responses, evaluating
by using FACS, G333-341 tetramer staining and specific CTL assay. Lipo C could
also assist rabies vaccine to induce an instant rabies virus neutralizing
antibody production, and better protection against rabies virus challenge at
early stage. These data reveal that Lipo C could be a promising component for
developing novel rabies vaccines.
PMID- 27182000
TI - Preservation of residual kidney function in hemodialysis patients: reviving an
old concept.
AB - Residual kidney function (RKF) may confer a variety of benefits to patients on
maintenance dialysis. RKF provides continuous clearance of middle molecules and
protein-bound solutes. Whereas the definition of RKF varies across studies,
interdialytic urine volume may emerge as a pragmatic alternative to more
cumbersome calculations. RKF preservation is associated with better patient
outcomes including survival and quality of life and is a clinical parameter and
research focus in peritoneal dialysis. We propose the following practical
considerations to preserve RKF, especially in newly transitioned (incident)
hemodialysis patients: (1) periodic monitoring of RKF in hemodialysis patients
through urine volume and including residual urea clearance with dialysis adequacy
and outcome markers such as anemia, fluid gains, minerals and electrolytes,
nutritional, status and quality of life; (2) avoidance of nephrotoxic agents such
as radiocontrast dye, nonsteroidal anti-inflammatory drugs, and aminoglycosides;
(3) more rigorous hypertension control and minimizing intradialytic hypotensive
episodes; (4) individualizing the initial dialysis prescription with
consideration of an incremental/infrequent approach to hemodialysis initiation
(e.g., twice weekly) or peritoneal dialysis; and (5) considering a lower protein
diet, especially on nondialysis days. Because RKF appears to be associated with
better patient outcomes, it requires more clinical and research focus in the care
of hemodialysis and peritoneal dialysis patients.
PMID- 27182005
TI - Single and multiple dose pharmacokinetics and pharmacodynamics of omarigliptin, a
novel, once-weekly dipeptidyl peptidase-4 inhibitor, in healthy Japanese men.
AB - AIMS/INTRODUCTION: Omarigliptin is a novel, potent, long-acting oral dipeptidyl
peptidase-4 inhibitor being developed as a once-weekly (q.w.) treatment for type
2 diabetes mellitus patients, with 25 mg and 12.5 mg tablets recently being
approved as market formulations in Japan. MATERIALS AND METHODS: This was a two
part, double-blind, randomized, placebo-controlled study in healthy Japanese men
to evaluate the safety, tolerability, pharmacokinetics, and pharmacodynamics of
omarigliptin after single dose (5-100 mg) and multiple dose (1-50 mg q.w. for 3
weeks) administration. RESULTS: Omarigliptin was rapidly absorbed with a time to
maximum concentration of 0.5-4 h. The pharmacokinetic profile was biphasic with a
long terminal half-life >100 h. The area under the concentration-time curve from
0 to 168 h, maximum concentration and the concentration at 168 h post-dose
increased dose-dependently after 3 weeks of once-weekly dosing for doses ranging
1-50 mg, with accumulation ratios ranging 1.03-1.35 and 0.87-1.36 for the area
under the concentration-time curve from 0 to 168 h and maximum concentration,
respectively. Plasma dipeptidyl peptidase-4 inhibition levels 1 week post-dose
increased with dose, ranging 79.2-94.0% after 5-100 mg single dose administration
and 51.3-90.2% after 1-50 mg multiple once-weekly dose administration.
Administration with food did not meaningfully alter the pharmacokinetics of
omarigliptin. Omarigliptin was generally well tolerated, with no hypoglycemia
being reported. CONCLUSION: The results of the present study in healthy Japanese
men showed that omarigliptin was well tolerated and had a pharmacokinetic and
dipeptidyl peptidase-4 inhibition profile that supports once-weekly dosing in
Japanese patients with type 2 diabetes mellitus.
PMID- 27182007
TI - African swine fever virus Georgia isolate harboring deletions of 9GL and
MGF360/505 genes is highly attenuated in swine but does not confer protection
against parental virus challenge.
AB - African swine fever virus (ASFV) produces a contagious disease of domestic pigs
that results in severe economic consequences to the swine industry. Control of
the disease has been hampered by the unavailability of vaccines. We recently
reported the development of two experimental vaccine strains (ASFV-G-Delta9GL and
ASFV-G-DeltaMGF) based on the attenuation of the highly virulent and
epidemiologically relevant Georgia2007 isolate. Deletion of the 9GL gene or six
genes of the MGF360/505 group produced two attenuated ASFV strains which were
able to confer protection to animals when challenged with the virulent parental
virus. Both viruses, although efficient in inducing protection, present concerns
regarding their safety. In an attempt to solve this problem we developed a novel
virus strain, ASFV-G-Delta9GL/DeltaMGF, based on the deletion of all genes
deleted in ASFV-G-Delta9GL and ASFV-G-DeltaMGF. ASFV-G-Delta9GL/DeltaMGF is the
first derivative of a highly virulent ASFV field strain subjected to a double
round of recombination events seeking to sequentially delete specific genes. ASFV
G-Delta9GL/DeltaMGF showed a decreased ability to replicate in primary swine
macrophage cultures relative to that of ASFV-G and ASFV-G-DeltaMGF but similar to
that of ASFV-G-Delta9GL. ASFV-G-Delta9GL/DeltaMGF was attenuated when
intramuscularly inoculated into swine, even at doses as high as 10(6) HAD50.
Animals infected with doses ranging from 10(2) to 10(6) HAD50 did not present
detectable levels of virus in blood at any time post-infection and they did not
develop detectable levels of anti-ASFV antibodies. Importantly, ASFV-G
Delta9GL/DeltaMGF does not induce protection against challenge with the virulent
parental ASFV-G isolate. Results presented here suggest caution towards
approaches involving genomic manipulations when developing rationally designed
ASFV vaccine strains.
PMID- 27182009
TI - Functional analysis of ZFP36 proteins in keratinocytes.
AB - The ZFP36 family of zinc finger proteins, including ZFP36, ZFP36L1, and ZFP36L2,
regulates the production of growth factors and cytokines via destabilization of
the respective mRNAs. We could recently demonstrate that in cultured
keratinocytes, expression of the ZFP36, ZFP36L1, and ZFP36L2 genes is induced by
growth factors and cytokines and that ZFP36L1 is a potent regulator of
keratinocyte VEGF production. We now further analyzed the localization and
function of ZFP36 proteins in the skin, specifically in epidermal keratinocytes.
We found that in human epidermis, the ZFP36 protein could be detected in basal
and suprabasal keratinocytes, whereas ZFP36L1 and ZFP36L2 were expressed mainly
in the basal layer, indicating different and non-redundant functions of the three
proteins in the epidermis. Consistently, upon inhibition of ZFP36 or ZFP36L1
expression using specific siRNAs, there was no major effect on expression of the
respective other gene. In addition, we demonstrate that both ZFP36 and ZFP36L1
influence keratinocyte cell cycle, differentiation, and apoptosis in a distinct
manner. Finally, we show that similarly as ZFP36L1, ZFP36 is a potent regulator
of keratinocyte VEGF production. Thus, it is likely that both proteins regulate
angiogenesis via paracrine mechanisms. Taken together, our results suggest that
ZFP36 proteins might control reepithelialization and angiogenesis in the skin in
a multimodal manner.
PMID- 27182008
TI - Association between interleukin-19 and angiopoietin-2 with vascular complications
in type 2 diabetes.
AB - AIMS/INTRODUCTION: The aim of the present study was to investigate the role of
interleukin-19 (IL-19) in angiogenesis of type 2 diabetes mellitus with
complications, and to assess the relationship of serum IL-19 and angiopoietin-2
(Ang-2) in type 2 diabetes mellitus. MATERIALS AND METHODS: The group studied
comprised of 240 patients with type 2 diabetes mellitus (132 men and 108 women),
and included macrovascular complications, microvascular complications and type 2
diabetes mellitus without vascular complications. The control group consisted of
50 healthy blood donors. All participants were evaluated for: IL-19, Ang-2,
fasting plasma glucose, fasting insulin and glycosylated hemoglobin. RESULTS: The
serum IL-19 levels of type 2 diabetes mellitus patients with angiopathy were
found to be significantly higher compared with patients without angiopathy. IL-19
levels were significantly positively correlated with Ang-2, homeostasis model
assessment for insulin resistance and glycosylated hemoglobin (r = 0.769, 0.523
and 0.491, respectively, P < 0.01). In multivariable logistic regression
analysis, IL-19 levels (P = 0.01) were found to be independently associated with
patients with type 2 diabetes mellitus complications. CONCLUSIONS: These data are
the first to implicate the association between the IL-19 and type 2 diabetes
mellitus with vascular complications. IL-19 is significantly positively
correlated with Ang-2. The potential role of IL-19 and Ang-2 in the pathogenesis
of vascular complications in type 2 diabetes could warrant further study.
PMID- 27182010
TI - Nasolabial pedicled compared with island flaps for intraoral reconstruction of
oncological defects: complications, recovery of sensitivity, and assessment of
quality of life.
AB - Our aim was to compare pedicled and island nasolabial flaps used for
reconstruction of oral defects in terms of postoperative complications, recovery
of sensitivity, and quality of life. We organised a retrospective cohort study of
49 patients who had had intraoral reconstruction with nasolabial pedicled (n-=13)
and island (n=36) flaps. Twenty- two patients filled in a validated quality-of
life (QoL) questionnaire and we did sensitivity tests (sharp discrimination with
the aid of a Semmes-WeinsteinTM aesthesiometer). Descriptive and bivariate
statistics were computed and probabilities of 0.05 were accepted as significant.
There were 11 flap-related complications (22%), and the flap was totally necrotic
in three patients (6%), all of whom had island flaps. There was a significant
association between flap-related complications and the use of reconstruction
plate p=0.001, 95% CI 2.36 to 11.37) and advanced stage (T3 and T4 p=0.01, 95% CI
1.45 to 5.26). Skin sensitivity recovered in both island and pedicled flaps.
Patients treated with island flaps had significantly more problems with
prosthetic rehabilitation than those treated with pedicled flaps. The relatively
low morbidity and adequate functional and aesthetic results make the pedicled
nasolabial flap a viable technique. De-epithelialisation of the pedicle in island
flaps permits coverage of defects with unilateral flaps in a one-stage
reconstruction. However, the pedicle may be excessively stretched, leading to
ischaemic complications.
PMID- 27182011
TI - Biomechanical evaluation of different fixation systems after Le Fort I osteotomy
in polyurethane models of unilateral clefts.
AB - We compared the stability of three different titanium plate-and-screw fixation
systems after Le Fort I osteotomy in polyurethane models of unilateral clefts.
Thirty-six models were divided into 3 groups. In the first group, we adapted
standard Plates 1mm thick with 2.0mm screws and placed them bilaterally on the
zygomatic buttress and the piriform rim. In the second group, we did the same and
added Plates 0.6mm thick with 1.6mm screws between the standard 2mm miniplates on
both sides. In the last group, we placed Plates 1.4mm thick with 2.0mm screws
bilaterally on the maxillary zygomatic buttress and piriform rim. Each group was
tested in the inferosuperior (IS) and anteroposterior (AP) directions with a
servo-hydraulic testing unit. In the IS direction, displacement values were not
significantly different up to 80N, but between 80 and 210N, those in the 2*1.4mm
group were better. In the AP direction, displacement values were not
significantly different up to 40N, but between 40 and 180N, they were better in
the standard with 1.6*0.6mm group and the 2*1.4mm group. When normal biting
forces (90 - 260N) in the postoperative period are considered, the greatest
resistance to occlusal loads was seen in the 2*1.4mm group. In the others, the
biomechanical properties were better in the AP direction.
PMID- 27182012
TI - Sun exposure, skin color and vitamin D status in Arab children and adults.
AB - Accumulating evidence suggests an increased prevalence of vitamin D deficiency in
the Middle East and North African countries. Sunlight has long been recognized as
a major provider of vitamin D and lighter skin color has been associated with
better vitamin D status. In this context, we aimed to determine whether 25
hydroxyvitamin D[25-(OH)D] concentrations are related to skin color, sun exposure
and gender among healthy Saudi children and adults. A total of 808 Saudi children
(age=14.6+/-0.04years) and 561 (age=31.4+/-0.3years) adults of both genders were
included in this study. Levels of sun exposure and skin color were determined
using a standard questionnaire. Anthropometry and plasma 25-(OH)D concentrations
were analyzed. On the basis of duration of sun exposure (<20min vs. >20min), a
significantly lower concentration of 25-(OH)D (40.9+/-1.2 vs. 35.5+/-1.8nmol/l;
p<0.019) was demonstrated in dark-skinned boys with exposure time less than 20min
than those exposed longer than 20min. We were unable to show an effect of
sunlight exposure or skin color on vitamin D status of children or adults, except
in dark-skinned boys who had lower 25(OH)D concentrations associated with limited
sun exposure.
PMID- 27182013
TI - Psychological and Physical Health in Family Caregivers of Intensive Care Unit
Survivors: Current Knowledge and Future Research Strategies.
AB - PURPOSE: This article provides an overview of current knowledge on the impact of
caregiving on the psychological and physical health of family caregivers of
intensive care unit (ICU) survivors and suggestions for future research. METHODS:
Review of selected papers published in English between January 2000 and October
2015 reporting psychological and physical health outcomes in family caregivers of
ICU survivors. RESULTS: In family caregivers of ICU survivors followed up to five
years after patients' discharge from an ICU, psychological symptoms, manifested
as depression, anxiety and post-traumatic stress disorder, were highly prevalent.
Poor self-care, sleep disturbances and fatigue were identified as common physical
health problems in family caregivers. Studies to date are mainly descriptive; few
interventions have targeted family caregivers. Further, studies that elicit
unique needs of families from diverse cultures are lacking. CONCLUSION: Studies
to date have described the impact of caregiving on the psychological and physical
health in family caregivers of ICU survivors. Few studies have tested
interventions to support unique needs in this population. Therefore, evidence for
best strategies is lacking. Future research is needed to identify ICU caregivers
at greatest risk for distress, time points to target interventions with maximal
efficacy, needs of those from diverse cultures and test interventions to mitigate
family caregivers' burden.
PMID- 27182014
TI - [Experience of Role Adaptation from Nurse to Member of the Nursing Faculty].
AB - PURPOSE: The purpose of this qualitative study was to evaluate the experience of
role adaptation from nurse to member of the nursing faculty. METHODS: Data were
collected from 13 members of a nursing faculty through in-depth interviews. The
main question asked was "Could you describe your experience from being a nurse to
becoming a member of the nursing faculty?" Qualitative data from the field and
transcribed notes were analyzed using Strauss and Corbin's grounded theory
methodology. RESULTS: The core experiential category of role adaptation from
nurse to member of the nursing faculty was 'striving for survival from culture
shock'. The participants used the following three interactional strategies:
'recognizing everything and entering the school environment', 'self-reflection on
being a professor and integration of experiences', and 'finding a way of
adapting'. CONCLUSION: The participants tried to adapt to life as a professor and
reflected on and integrated their experiences into their new life on the faculty.
College faculty need to understand the impact of changes and challenges faced by
new professors during role adaptation and to support this adaptation through
mentorship and organized orientation programs.
PMID- 27182015
TI - [Development and Effects of a Drinking Prevention Program for Preschool
Children].
AB - PURPOSE: The purpose of this study was to identify the effects of the program to
promote drinking knowledge, attitudes, and coping behavior in preschool children.
METHODS: A quasi-experimental with non-equivalent control group pretest-posttest
design was conducted. The participants were 123 five year old children from G
city. They were assigned to the experimental group (n=77) or the control group
(n=46). A pretest and posttest were conducted to measure main variables. For the
experimental group, the drinking prevention program was given for 6 weeks. Data
were analyzed using chi2-test, t-test, and ANCOVA. RESULTS: After the
intervention, preschool children in the experimental group reported significant
differences in drinking knowledge (F=9.25, p=.003), drinking attitudes (F=19.57,
p<.001), and coping behavior (F=16.38, p<.001) compared to preschool children in
the control group. CONCLUSION: The results of this study indicate that a drinking
prevention program for preschool children is effective in increasing drinking
knowledge, attitudes, and coping behavior. This drinking prevention program is
recommended as an effective intervention for preschool age children to postpone
an early introduction to drinking.
PMID- 27182016
TI - [The Effect of K-MBSR Program on Stress, Stress Coping Style, Depression, Anger
and Sleep of Middle Aged Women].
AB - PURPOSE: The purpose of this study was to examine a Korean Mindfulness Based
Stress Reduction (K-MBSR) program for middle aged women and to verify the
program's effectiveness on stress, stress coping style, depression, anger and
sleep. METHODS: Fifty-two women aged from 40 to 59 (26 in the experimental group
and 26 in the control group) from G city participated in the study. Data were
collected from February 13 to April 3, 2013. The experimental group received 8
sessions, scheduled once a week, with each session lasting two and a half hours.
Outcome variables included stress, stress coping style, depression, anger, sleep
and a physiological measure (EEG). RESULTS: There were significant decreases for
stress (t=-2.14, p=.037), depression (t=-2.64, p=.011), state trait anger (t=
3.79, p<.001) in the experimental group compared to the control group.
CONCLUSION: The findings in this study indicate that the K-MBSR program is an
effective program to decrease stress, depression, and state trait anger in middle
aged women.
PMID- 27182017
TI - [A Validation Study of the Korean Version of the Jefferson Empathy Scale for
Health Professionals for Korean Nurses].
AB - PURPOSE: To validate the Korean Version of the Jefferson Empathy Scale for Health
professionals (K-JSE-HP) in a sample of Korean nurses. METHODS: Internal
consistency reliability, construct and criterion validity were calculated using
SPSS (22.0) and AMOS (22.0). Data were collected from 253 nurses (230 women, 23
men) working at one university hospital in Seoul, South Korea. RESULTS: The
Korean version of JSE-HP showed reliable internal consistency with Cronbach's
alpha for the total scale of .89, and .74~.84 for subscales. The model of three
subscales for the K-JSE-HP was validated by confirmatory factor analysis
(chi2=864.60, Q=6.55, p<.001, CFI=.94, NNFI=.92). Criterion validity compared to
the Interpersonal Reaction Index (IRI) showed significant correlation.
CONCLUSION: The findings of this study demonstrate that the Korean JSE-HP shows
satisfactory construct and criterion validity and reliability. It is a useful
tool to measure Korean nurses' empathy.
PMID- 27182018
TI - [Life Experience following Suicide Attempt among Middle-aged Men].
AB - PURPOSE: This study was performed to identify the meaning of life experience
following suicide attempt among middle-aged men. METHODS: A qualitative research
design was adopted using van Manen's hermeneutic phenomenological approach. The
participants were six middle-aged men who had attempted suicide at least one
time. Data were collected in 2013 through in-depth interviews. Individual
interviews were recorded; and literary, art works and phenomenological literature
were searched to identify the meaning of the experience. RESULTS: The five
essential themes of the life experience of middle-aged men who attempted suicide
were 'Bitter reality confronted again', 'Anger buried deep inside', 'Broken
family, inescapable fetters', 'Blocked relationships, closed world' and 'A step
towards a new life'. CONCLUSION: The meaning of lived experience found in this
study provides deep insight into the experience following suicide attempt in
middle-aged men and crucial information to give directions to appropriate support
and nursing interventions.
PMID- 27182019
TI - [A Grounded Theory Approach on Nurses' Experience with Workplace Bullying].
AB - PURPOSE: The purpose of this qualitative study was to explore the workplace
bullying experience of Korean nurses. METHODS: Participants were twenty current
or former hospital nurses who had experienced workplace bullying. Data were
collected through focus group and individual in-depth interviews from February to
May, 2015. Theoretical sampling method was applied to the point of theoretical
saturation. Transcribed interview contents were analyzed using Corbin and
Strauss's grounded theory method. RESULTS: A total of 110 concepts, 48 sub
categories, and 17 categories were identified through the open coding process. As
a result of axial coding based on the paradigm model, the central phenomenon of
nurses' workplace bullying experience was revealed as 'teaching that has become
bullying', and the core category was extracted as 'surviving in love-hate
teaching' consisting of a four-step process: confronting reality, trial and
error, relationship formation, and settlement. The relationship formation was
considered to be the key phase to proceed to the positive settlement phase, and
the participants utilized various strategies such as having an open mind,
developing human relationships, understanding each other in this phase.
CONCLUSION: The in-depth understanding of the workplace bullying experience has
highlighted the importance of effective communication for cultivating desirable
human relationships between nurses.
PMID- 27182020
TI - [Clinical Work Experience of Korean Immigrant Nurses in U.S. Hospitals].
AB - PURPOSE: The purpose of this qualitative study was to explore the meaning of the
experience of Korean immigrant nurses working in US hospitals. METHODS: Purposive
sampling yielded 15 Korean immigrant nurses who had more than one year of
clinical experience in US hospitals. Data were collected from March to August
2012 through in-depth interviews and thematic analysis was conducted using van
Manen's hermeneutic phenomenological approach. RESULTS: The findings were
classified into eight themes: 'struggling from staff at workplace being
territorial to outsiders', 'feeling oppressed due to language barrier',
'accepting rational and horizontal relationships at work', 'staying alert in the
environment where lawsuits are rife', 'feeling a sense of stability from the
social system that values human dignity', 'maintaining self-confidence from
prominent nursing practices and senior Korean nurses' professional reputation',
'performing essential comprehensive nursing care', 'promoting self-development to
be equipped with professionalism.' CONCLUSION: The findings indicate that the
Korean immigrant nurses were able to excel in their workplace when their clinical
experience at US hospitals was combined with the lived space in US politics and
environment, lived time of patience, lived body to be alert, and lived others
with multi cultural characteristics.
PMID- 27182021
TI - [Effects of Auricular Acupressure on Obesity in Women with Abdominal Obesity].
AB - PURPOSE: The purpose of this study was to examine the effects of auricular
acupressure on reducing obesity in adult women with abdominal obesity. METHODS:
The study design was a non-equivalent control group pretest-posttest design.
Participants were 58 women, aged 20 years or older, assigned to the experimental
group (n=30) or control group (n=28). Auricular acupressure using vaccaria seeds
was administered to the experimental group. The sessions continued for 8 weeks.
Outcome measures included body weight, abdominal circumference, and body mass
index, body fat mass, body fat percentage, triglyceride, total cholesterol, low
density lipoprotein cholesterol, high density lipoprotein cholesterol, and serum
glucose. RESULTS: Women in the experimental group showed significant decreases in
body weight (t=6.19, p<.001), abdominal circumference (t=4.01, p<.001), and body
mass index (t=2.58, p=.006) after 8 weeks compared with those in the control
group. CONCLUSION: Results show that auricular acupressure using vaccaria seeds
was effective in decreasing body weight, abdominal circumference, body mass
index, and triglyceride levels in adult women with abdominal obesity.
PMID- 27182022
TI - [Development and Application of an Overcoming Compassion Fatigue Program for
Emergency Nurses].
AB - PURPOSE: This study was conducted to develop a program to help emergency nurses
overcome compassion fatigue, and to analyze the effects of the program. METHODS:
A nonequivalent control group pretest-posttest design was used. There were 14
participants in the experimental group and 18 subjects in the control group. The
program was comprised of five, weekly 80-minute sessions including understanding
and assessment of compassion fatigue, enhancing positive affect, balancing work
life, planning self care, training in relaxation techniques and cognitive
restructuring, and getting social support. Research variables were ego
resiliency, compassion satisfaction and compassion fatigue of the ProQOL 5, and
salivary cortisol. Data were analyzed using Chi-square test, independent t-test,
and paired t-test. RESULTS: The first hypothesis, "There will be a difference in
scores for ego resiliency between the experimental group and the control group".
was not supported. The second hypothesis, "There will be a difference in scores
for compassion satisfaction between the experimental group and the control group"
was supported (t=2.15, p=.046). The third hypothesis, "There will be a difference
in scores for compassion fatigue between the experimental group and the control
group" was not supported. CONCLUSION: The first program for emergency nurses to
overcome compassion fatigue in Korea was effective in increasing emergency
nurses' compassion satisfaction and decreasing salivary cortisol level in the
experimental group. Therefore, this program for overcoming compassion fatigue is
useful to increase emergency nurses' compassion satisfaction. However replication
studies of short-term intensive program reflecting emergency nurses' opinion are
needed.
PMID- 27182023
TI - [Adaptation Experience of Living Kidney Donors after Donation].
AB - PURPOSE: This study was done to explore adaptation experience of living kidney
donors after donation. Specific aims were to identify challenges donors face in
the process of adaptation following surgery and how they interact with recipients
and other people. METHODS: Grounded theory methodology was utilized. Participants
were 13 living kidney donors at six months or more after donation. Data were
collected by in-depth interviews with individual participants. Data were analyzed
using constants comparative method with theoretical saturation. RESULTS: A core
category emerged as 'keeping the fences of my family in spite of vulnerability'.
The adaptation process after donation was manifested in four phases: exploration,
balance, maintenance, and acclimatization. Phenomenon was perception of
vulnerability. Strategies to manage the vulnerability were assessing changes of
body awareness, tailoring regimen to one's own body condition, coping with health
problems, keeping restoration of health, and ruminating on the meaning of one's
kidney donation. Consequences were reestablishing family well-being, realizing
the values of one's kidney donation, and living with uncertainty. CONCLUSION:
Findings of the study indicate that there is a need for health professionals to
understand the vulnerability of living kidney donors and help their family system
maintain a healthy and productive life. The results of this study can be used to
develop phase-specific, patient-centered, and tailored interventions for living
kidney donors.
PMID- 27182024
TI - [Effects of Self-directed Feedback Practice using Smartphone Videos on Basic
Nursing Skills, Confidence in Performance and Learning Satisfaction].
AB - PURPOSE: This study was done to verify effects of a self-directed feedback
practice using smartphone videos on nursing students' basic nursing skills,
confidence in performance and learning satisfaction. METHODS: In this study an
experimental study with a post-test only control group design was used. Twenty
nine students were assigned to the experimental group and 29 to the control
group. Experimental treatment was exchanging feedback on deficiencies through
smartphone recorded videos of nursing practice process taken by peers during self
directed practice. RESULTS: Basic nursing skills scores were higher for all items
in the experimental group compared to the control group, and differences were
statistically significant ["Measuring vital signs" (t=-2.10, p=.039); "Wearing
protective equipment when entering and exiting the quarantine room and the
management of waste materials" (t=-4.74, p<.001) "Gavage tube feeding" (t=-2.70,
p=.009)]. Confidence in performance was higher in the experimental group compared
to the control group, but the differences were not statistically significant.
However, after the complete practice, there was a statistically significant
difference in overall performance confidence (t=-3.07. p=.003). Learning
satisfaction was higher in the experimental group compared to the control group,
but the difference was not statistically significant (t=-1.67, p=.100).
CONCLUSION: Results of this study indicate that self-directed feedback practice
using smartphone videos can improve basic nursing skills. The significance is
that it can help nursing students gain confidence in their nursing skills for the
future through improvement of basic nursing skills and performance of quality
care, thus providing patients with safer care.
PMID- 27182025
TI - [Development and Psychometric Evaluation of the Transcultural Self-efficacy Scale
for Nurses].
AB - PURPOSE: This methodological study was conducted to develop and psychometrically
test the Transcultural Self-efficacy scale (TCSEscale) for nurses. METHODS:
Initial 41 items for the TCSE-scale were generated based on extensive literature
reviews and in-depth interviews with 18 nurses who had experience in caring for
foreign patients. Cultural Competence and Confidence model was used as a
conceptual framework. Content validity was evaluated by an expert panel.
Psychometric testing was performed with a convenience sample of 242 nurses
recruited from four general hospitals in the Seoul metropolitan area and Gyeonggi
do province of South Korea. To evaluate the reliability of TCSE-scale, a test
retest reliability and an internal consistency reliability were analyzed.
Construct validity, concurrent validity, criterion validity, convergent validity
and discriminative validity were used to evaluate the validity. RESULTS: The 25
item TCSE-scale was found to have three subscales-Cognitive, Practical, and
Affective domain-explaining 91.5% of the total variance. TCSE-scale also
demonstrated a concurrent validity with the Cultural Competence Scale. Criterion
related validity was supported by known-group comparison. Reliability analysis
showed an acceptable-to-high Cronbach's alpha-.88 in total, and subscales ranged
from .76 to .87. The ICC was .90, indicating that the TCSE-scale has internal
consistency and stability of reliability. CONCLUSION: This preliminary evaluation
of the psychometric scale properties demonstrated an acceptable validity and
reliability. The TCSE-scale is able to contribute to building up empirical and
evidence based on data collection regarding the transcultural self-efficacy of
clinical nurses. We suggest further testing of the applicability of TCSE-scale in
different settings and community contexts.
PMID- 27182026
TI - [Factors Influencing Quality of Life of Alcoholics Anonymous Members in Korea].
AB - PURPOSE: The purpose of this study was to determine quality of life (QOL) related
factors in Alcoholics Anonymous (AA) members based on PRECEDE Model. METHODS: A
cross sectional survey was conducted with participants (N =203) from AA meeting
in 11 alcohol counsel centers all over South Korea. Data were collected using a
specially designed questionnaire based on the PRECEDE model and including QOL,
epidemiological factors (including depression and perceived health status),
behavioral factors (continuous abstinence and physical health status and
practice), predisposing factors (abstinence self-efficacy and self-esteem),
reinforcing factors (social capital and family functioning), and enabling
factors. Data were analyzed using t-test, one way ANOVA, Tukey HSD test and
hierarchical multiple regression analysis with SPSS (ver. 21.0). RESULTS: Of the
educational diagnostic variables, self-esteem (beta=.23), family functioning
(beta=.12), abstinence self-efficacy (beta=.12) and social capital (beta=.11)
were strong influential factors in AA members' QOL. In addition, epidemiological
diagnostic variables such as depression (beta=-.44) and perceived health status
(beta=.35) were the main factors in QOL. Also, physical health status and
practice (beta=.106), one of behavioral diagnostic variables was a beneficial
factor in QOL. Hierarchical multiple regression analysis showed the determinant
variables accounted for 44.0% of the variation in QOL (F=25.76, p<.001).
CONCLUSION: The finding of the study can be used as a framework for planning
interventions in order to promote the quality of life of AA members. It is
necessary to develop nursing intervention strategies for strengthening
educational and epidemiological diagnostic variables in order to improve AA
members' QOL.
PMID- 27182027
TI - Switching the regioselectivity in the copper-catalyzed synthesis of
iodoimidazo[1,2-a]pyridines.
AB - A unique copper-catalyzed binucleophilic switching of 2-aminopyridine has been
developed for the regioselective synthesis of 2- and 3-iodoimidazo[1,2
a]pyridines using alkenes/alkynes as coupling partners in the presence of
molecular iodine under aerobic reaction conditions. This method was also applied
to the synthesis of 2-iodo-3-phenylbenzo[d]imidazo[2,1-b]thiazoles. This protocol
offers an easy route towards the synthesis of 2,3-diarylimidazo[1,2-a]pyridines.
PMID- 27182029
TI - Blood lactate concentration after cardiac arrest resulting from myocardial
infarction and outcome.
PMID- 27182030
TI - Intravenous Home Infusion Therapy Instituted From a 24-Hour Clinical Decision
Unit For Patients With Cellulitis.
AB - OBJECTIVES: The objective of the study is to evaluate whether patients with
cellulitis can be safely discharged from a 24-hour clinical decision unit (CDU)
with home infusion of intravenous (IV) antibiotics. METHODS: Clinical decision
unit patients receiving IV antibiotics for cellulitis were screened for
enrollment in a home infusion therapy (HIT) program. Inclusion criteria were
patient ability and willingness to administer IV antibiotics at home and
insurers' approval of home infusion services. Patients were discharged home with
a peripheral IV and care coordinated with a home infusion provider. RESULTS: Of
213 patients with cellulitis transferred from the emergency department to the CDU
over an 8-month study period, a total of 32 (15%) were discharged from the CDU
with HIT. The average duration of home IV antibiotic treatment was 3.4 days.
There were a total of 9 complications (28%), including IV infiltration (n = 5),
allergic reactions (n = 2), nontolerance to the antibiotic (n = 1, this patient
developed severe nausea and was switched to oral antibiotics after 2 days of
HIT), and 1 patient required readmission for lack of clinical improvement. Among
the 181 patients with cellulitis who did not receive HIT, 39 (22%) were
hospitalized from the CDU, and 1 additional patient refused admission.
CONCLUSIONS: We avoided admission for 31 (97%) of 32 patients who were enrolled
in HIT. Home infusion therapy has the potential to prevent hospitalizations,
alleviate overcrowding of hospital beds, and decrease health care costs. Further
studies are needed to determine the full impact of HIT on CDU patients with acute
cellulitis.
PMID- 27182032
TI - Gold coated magnetic nanoparticles: from preparation to surface modification for
analytical and biomedical applications.
AB - Gold coated magnetic nanoparticles (Au@MNPs) have become increasingly interesting
to nanomaterial scientists due to their multifunctional properties and their
potential in both analytical chemistry and nanomedicine. The past decade has seen
significant progress in the synthesis and surface modification of Au@MNPs. This
progress is based on advances in the preparation and characterization of
iron/iron oxide nanocrystals with the required surface functional groups. In this
critical review, we summarize recent developments in the methods of preparing
Au@MNPs, surface functionalization and their application in analytical sensing
and biomedicine. We highlight some of the remaining major challenges, as well as
the lessons learnt when working with Au@MNPs.
PMID- 27182031
TI - Efficacy and safety of once-daily insulin degludec dosed flexibly at convenient
times vs fixed dosing at the same time each day in a Japanese cohort with type 2
diabetes: A randomized, 26-week, treat-to-target trial.
AB - AIMS/INTRODUCTION: This trial assessed the efficacy and safety of the possibility
of varying the daily injection time of once-daily, long-acting basal insulin
degludec (IDeg) in Japanese patients with type 2 diabetes inadequately controlled
with insulin glargine. MATERIALS AND METHODS: This was a 26-week, multicenter,
open-label, randomized, treat-to-target trial, with a 2 * 2 factorial design
comparing IDeg flexible (allowing dosing +/-8 h from an agreed dosing time) with
IDeg fixed dosing (at the same time each day). It was carried out in 458 adult
patients who were inadequately controlled on insulin glargine with or without
oral antidiabetic drugs. RESULTS: The majority of doses were taken within 2 h of
the agreed dosing time, showing a high level of adherence among Japanese
patients. After 26 weeks, IDeg flexible was non-inferior to IDeg fixed with
respect to change in glycated hemoglobin from baseline, estimated treatment
difference 0.08% points (95% confidence interval -0.05; 0.22). Fasting plasma
glucose decreased to a similar level with IDeg flexible and IDeg fixed, estimated
treatment difference -0.18 mmol/L (95% confidence interval -0.48; 0.12). The
rates of confirmed and nocturnal confirmed hypoglycemia were numerically, but not
significantly, higher with IDeg flexible vs IDeg fixed dosing. The rates of
adverse events with IDeg flexible and IDeg fixed dosing were similar.
CONCLUSIONS: These results showed the efficacy and safety of allowing patients to
vary the time they dosed IDeg, when necessary, in Japanese patients with type 2
diabetes. Dosing of IDeg at a time convenient to the patient was non-inferior,
with respect to glycated hemoglobin, to dosing at the same time each day.
PMID- 27182033
TI - Adherence to dipeptidyl peptidase-4 inhibitor therapy among type 2 diabetes
patients with employer-sponsored health insurance in Japan.
AB - AIMS/INTRODUCTION: Dipeptidyl peptidase-4 inhibitors (DPP-4i) are a common first
line treatment for type 2 diabetes in Japan. However, little is known about
patients' medication adherence, persistence and discontinuation in this setting.
MATERIALS AND METHODS: This was a retrospective cohort study of new DPP-4i users
in a Japanese claims database. Adult patients (age 18-65 years) with type 2
diabetes diagnosis and no diagnosis of other diabetes or pregnancy during the
study period were included if they were prescribed a DPP-4i as monotherapy or
combination oral therapy. Adherence to therapy was measured using the proportion
of days covered method over a fixed period of 1 year. The proportion of days
covered of >=80% was considered adherent. Persistence was defined as continuing
index DPP-4i treatment with <90-day gap between refills. Patient baseline
characteristics were explored as potential predictors of DPP-4i discontinuation
and adherence in multivariable models. RESULTS: The final sample contained 2,874
monotherapy and 3,016 dual therapy patients. The mean age was approximately 51
years, and 75% were men. The mean proportion of days covered was 76.6% among
monotherapy patients and 82.5% among dual therapy patients, with 67.2% of
monotherapy and 74.4% of dual therapy patients classified as adherent. At 12
months, 72.2% of monotherapy and 79.2% of dual therapy patients were persistent.
In adjusted models, younger age and having fewer concomitant medications were
significantly associated with lower adherence and higher discontinuation, in both
treatment groups. CONCLUSIONS: Those under the age of 45 years, and those with
fewer concomitant medications were less likely to be adherent and persistent, and
more likely to discontinue DPP-4i therapy.
PMID- 27182034
TI - Investigating the potential for cryopreservation of human granulocytes with
concentrated glycerol.
AB - The purpose of this study was to investigate the potential for cryopreservation
of granulocytes using 30% glycerol. Recently reported permeability data was used
to design two different methods for addition and removal of glycerol: a fast
method that is predicted to keep cell volumes between 80% and 150% of the
isotonic volume and a slow method that is predicted to keep cell volumes between
80% and 115% of the isotonic volume. The fast method resulted in cell recoveries
of 31% +/- 9% and 11% +/- 3% before and after freezing, respectively, whereas the
slow method resulted in even lower cell recoveries of 5% +/- 2% and 4% +/- 2%.
The reduced cell recovery for the slow method is consistent with an increase in
damage as a result of glycerol toxicity. Our results suggest that
cryopreservation of granulocytes in concentrated glycerol is not feasible.
PMID- 27182035
TI - Beyond membrane integrity: Assessing the functionality of human umbilical vein
endothelial cells after cryopreservation.
AB - Assessment of cell membrane integrity is one of the most widely used methods to
measure post-cryopreservation viability of cells such as human umbilical vein
endothelial cells (HUVECs). However, an evaluation of cell function provides a
better measure of cell quality following cryopreservation. The tube formation
assay mimics angiogenesis in vitro and can be used to quantitate the ability of
endothelial cells to form capillary-like tubular structures when cultured on
reconstituted basement membrane (Matrigel). We compared the membrane integrity
(measured by flow cytometry) and tube forming ability of HUVEC suspensions
exposed to 10% dimethyl sulfoxide (Me2SO), cooled at 1 degrees C/min to various
sub-zero temperatures, plunged directly into liquid nitrogen, stored for an hour,
and thawed rapidly. We found that as membrane integrity increased so did the
various parameters associated with the extent of in vitro angiogenesis; however,
in comparison to fresh cells with a similar percentage of membrane-intact cells,
the extent of tube formation, expressed as total tube length, is significantly
lower in previously frozen cells for the lower range of post-thaw membrane
integrities. Our findings underscore the value of an assay that quantifies a
specific function that a cell is known to perform in vivo to measure the success
of cryopreservation protocols.
PMID- 27182036
TI - Can Vascular Patterns on Preoperative Magnetic Resonance Imaging Help Predict
Skin Necrosis after Nipple-Sparing Mastectomy?
AB - BACKGROUND: Nipple-areola complex (NAC) and skin flap ischemia and necrosis can
occur after nipple-sparing mastectomy (NSM). The purpose of this study was to
correlate vascular findings on MRI with outcomes in patients who underwent NSM.
STUDY DESIGN: Female patients at a single institution who underwent NSM and had a
preoperative breast MRI between 2010 and 2014 were identified. Medical records
were reviewed for patient demographics, surgical factors, and complications.
Magnetic resonance images were reviewed by 2 radiologists, blinded to outcomes,
for the presence of dual vs single blood supply to the breast. The association
between blood supply on MRI with ischemic and necrotic complications after NSM
was analyzed. RESULTS: One hundred and sixty-four NSM procedures were performed
in 105 patients (mean age 45.5 years, range 25 to 69 years) who had a
preoperative MRI. The majority of procedures were performed for malignancy (89 of
164 [54.3%]) or prophylaxis (73 of 164 [44.5%]). Nipple-areola complex or skin
flap ischemia or necrosis occurred in 40 (24.4%) breasts. Ischemia or necrosis
after NSM was less likely to occur in breasts with dual compared with single
blood supply (20.8% vs 38.2%; p = 0.03). There was no association between
surgical complications and age, BMI, smoking history, previous radiation therapy,
indication for NSM, surgical specimen weight, surgical incision type,
reconstruction approach, or operating surgeon on univariate analysis.
CONCLUSIONS: Preoperative MRI characterization of breast vascularity can be
considered when planning NSM. The presence of a dual blood supply to the breast
on MRI is associated with a decreased risk of nipple-areola complex and skin flap
ischemia and necrosis after NSM.
PMID- 27182037
TI - Emotional Intelligence as a Predictor of Resident Well-Being.
AB - BACKGROUND: There is increasing recognition that physician wellness is critical;
it not only benefits the provider, but also influences quality and patient care
outcomes. Despite this, resident physicians suffer from a high rate of burnout
and personal distress. Individuals with higher emotional intelligence (EI) are
thought to perceive, process, and regulate emotions more effectively, which can
lead to enhanced well-being and less emotional disturbance. This study sought to
understand the relationship between EI and wellness among surgical residents.
STUDY DESIGN: Residents in a single general surgery residency program were
surveyed on a voluntary basis. Emotional intelligence was measured using the
Trait Emotional Intelligence Questionnaire-Short Form. Resident wellness was
assessed with the Dupuy Psychological General Well-Being Index, Maslach Burnout
Inventory, and Beck Depression Inventory-Short Form. Emotional intelligence and
wellness parameters were correlated using Pearson coefficients. Multivariate
analysis was performed to identify factors predictive of well-being. RESULTS:
Seventy-three residents participated in the survey (response rate 63%). Emotional
intelligence scores correlated positively with psychological well-being (r =
0.74; p < 0.001) and inversely with depression (r = -0.69, p < 0.001) and 2
burnout parameters, emotional exhaustion (r = -0.69; p < 0.001) and
depersonalization (r = -0.59; p < 0.001). In regression analyses controlling for
demographic factors such as sex, age, and relationship status, EI was strongly
predictive of well-being (beta = 0.76; p < 0.001), emotional exhaustion (beta =
0.63; p < 0.001), depersonalization (beta = -0.48; p = 0.002), and depression
(beta = -0.60; p < 0.001). CONCLUSIONS: Emotional intelligence is a strong
predictor of resident well-being. Prospectively measuring EI can identify those
who are most likely to thrive in surgical residency. Interventions to increase EI
can be effective at optimizing the wellness of residents.
PMID- 27182038
TI - Photoinduced electron transfer in porous organic salt crystals impregnated with
fullerenes.
AB - Porous organic salt (POS) crystals composed of 9-(4-sulfophenyl)anthracene (SPA)
and triphenylmethylamine (TPMA) were impregnated with fullerenes (C60 and C70),
which were arranged in one dimensional close contact. POS crystals of SPA and
TPMA without fullerenes exhibit blue fluorescence due to SPA, whereas the
fluorescence was quenched in POS with fullerenes due to electron transfer from
the singlet excited state of SPA to fullerenes.
PMID- 27182039
TI - A novel mutation in FBXL4 in a Norwegian child with encephalomyopathic
mitochondrial DNA depletion syndrome 13.
AB - Mitochondrial DNA depletion syndromes (MTDPS) represent a clinically and
genetically heterogeneous group of autosomal recessive disorders, caused by
mutations in genes involved in maintenance of mitochondrial DNA (mtDNA).
Biallelic mutations in FBXL4 were recently described to cause encephalomyopathic
MTDPS13. The syndrome has infantile onset and presents with hypotonia, feeding
difficulties, a pattern of mild facial dysmorphisms, global developmental delay
and brain atrophy. Laboratory investigations reveal elevated blood lactate
levels, unspecific mitochondrial respiratory chain (MRC) enzyme deficiencies and
mtDNA depletion. We report a novel missense variant, c.1442T > C (p.Leu481Pro),
in FBXL4 (NM_012160.4) in a Norwegian boy with clinical, biochemical and cerebral
MRI characteristics consistent with MTDPS13. The FBXL4 c.1442T > C (p.Leu481Pro)
variant was not present in public databases, 149 Norwegian controls nor an in
house database containing whole exome sequencing data from 440 individuals, and
it was predicted in silico to be deleterious to the protein function. Activities
of MRC enzymes were normal in muscle tissue (complexes I-IV) and cultured skin
fibroblasts (complexes I-V) from the patient, but mtDNA depletion was confirmed
in muscle, thus supporting the predicted pathogenicity of the FBXL4 c.1442T > C
(p.Leu481Pro) variant. On clinical indication of mitochondrial encephalomyopathy,
sequencing of FBXL4 should be performed, even when the activity levels of the MRC
enzymes are normal.
PMID- 27182040
TI - A novel ACVR1 mutation detected by whole exome sequencing in a family with an
unusual skeletal dysplasia.
AB - "Disorganized Development of Skeletal Component" (DDSC) is a group of genetic
skeletal dysplasia, caused by mutations in 9 genes including ACVR1. The most
known ACVR1-related disorder is fibrodysplasia ossificans progressiva (FOP). FOP
variants are frequently encountered with diagnostic challenges due to overlapping
clinical manifestations and variable severity. Application of high throughput
sequencing methods can overcome these limitations by simultaneous investigation
of the entire ACVR1 gene together with other genes involved in disorders with
similar manifestations. A 33-year-old man with an unusual skeletal dysplasia and
no previous clinical diagnosis is presented in this study. Whole exome sequencing
detected a novel c.737T>A (p.Phe246Tyr) mutation in ACVR1 gene. Detailed targeted
variant analysis in 226 known genes associated with genetic skeletal disorders
together with more specific targeted analysis in 9 genes associated with DDSC
ruled out the involvement of other investigated genes. Proband's phenotypically
normal father and brother had the same mutation in whom subsequent investigations
showed subclinical radiographic findings. The clinical manifestations, the
disease course, and the molecular findings of involvement of ACVR1 gene in this
family are suggestive of "FOP variant" or an unusual ACVR1-related skeletal
dysplasia. Moreover, this report has demonstrated the critical role of the next
generation sequencing technique in characterizing such a rare disorder with
variable and even no clinical manifestations, providing the opportunity for
effective preventive measures such as preimplantation genetic diagnosis.
PMID- 27182041
TI - Urinary adiponectin and progression of diabetic nephropathy in type 1 diabetes.
AB - The complex role of adiponectin in diabetic nephropathy.
PMID- 27182042
TI - Combination therapy with liraglutide and insulin in Japanese patients with type 2
diabetes: A 36-week, randomized, double-blind, parallel-group trial.
AB - AIMS/INTRODUCTION: To assess efficacy and safety of liraglutide in combination
with insulin compared with insulin monotherapy in Japanese patients with type 2
diabetes. MATERIALS AND METHODS: This was a 36-week, multicenter, double-blind,
parallel-group trial, where patients on stable insulin therapy
(basal/premixed/basal-bolus) were randomized 1:1 to additional liraglutide 0.9
mg/day (n = 127) or placebo (n = 130). The insulin dose was fixed for 16 weeks,
and titrated based on self-measured plasma glucose thereafter. The primary end
point was change in glycosylated hemoglobin after 16 weeks. RESULTS: Superiority
of liraglutide plus insulin versus insulin monotherapy was confirmed based on
estimated mean difference in glycosylated hemoglobin after 16 weeks of -1.30% (
14 mmol/mol; 95% confidence interval -1.47 to -1.13 [-16, -12]; P < 0.0001).
Statistical significance was maintained to week 36. More patients on liraglutide
achieved a glycosylated hemoglobin target of <7.0% (<53 mmol/mol) at week 16
(estimated odds ratio 50.57; 95% confidence interval 16.59 to 154.16; P <
0.0001). Improvements in seven-point self-measured plasma glucose and fasting
plasma glucose were significantly greater with liraglutide than the placebo at
week 16. Insulin dose after 36 weeks was lower with liraglutide than the placebo
(estimated treatment ratio: 0.82 [95% confidence interval 0.76-0.90; P <
0.0001]). Occurrence of adverse events was similar in the two groups (85.8 and
81.5%, respectively); most were mild in severity. There were no significant
differences in the number of hypoglycemic episodes during the 36 weeks.
CONCLUSIONS: Adding liraglutide to insulin results in superior glycemic control
compared with insulin alone in Japanese patients with type 2 diabetes, and is
generally well tolerated.
PMID- 27182045
TI - A global portrait of the manganese industry-A socioeconomic perspective.
AB - In 2013/14, Risk & Policy Analysts Ltd undertook the first global study on the
socio-economic value of Manganese (Mn). Based on a top-down analysis of the key
supply chains for Mn, it outlined the economic importance of Mn ore and alloys in
terms of their direct and indirect economic value, as well as their effects on
employment (jobs and wages). In 2013, global production of Mn ore was worth an
estimated US$ 10.2-11.1 billion. Taking into account multiplier effects in the
supply chain, the total economic value of Mn ore production globally in 2013 is
estimated at US$ 21-23 billion. Direct employment related to Mn ore production is
estimated at 44,000-78,000 people worldwide (with total wages estimated at US$
2.7-4.6 billion per year), plus 33,000-59,000 jobs are created through indirect
and induced employment effects. Meanwhile, the production of Mn alloy contributes
around US$ 23 billion per year to the global economy (based on global production
of around 19 million mt and 2013 market prices). In total, the value of Mn alloy
production worldwide, taking into account downstream multiplier effects in the
supply chain, is estimated at around US$ 146 billion per year. Direct employment
related to Mn alloy production is estimated at 67,000-86,000 jobs worldwide (with
total wages estimated at US$ 613-796 million per year), plus 217,000-278,000 jobs
created through indirect & induced employment effects. In addition, numerous
industries/sectors, products and/or applications are heavily dependent on Mn
production and use. Mn is a critical raw material input and alloying element for
the steel industry, for which there are no known alternatives. It can be argued
that without Mn the entire steel industry (based on the current physico-chemical
properties of steel) would not exist and, as a result, the value of the steel
industry - an estimated US$ 964 billion to US$ 1446 billion in 2013 - is reliant
on the continued supply and use of Mn. Besides its use in steel, Mn is also a
critical element in the manufacture of dry cell and other batteries (notably,
those used in electric vehicles) and the production of aluminium alloys (e.g. for
beverage cans). Mn is also a micronutrient needed for plant growth and plays a
vital role in agricultural production. It is also essential for maintaining the
health and well-being of the human body and is used in food supplements and
medicines. Furthermore, its use in developing applications (such as advanced
steel products in automotive applications and batteries for electric vehicles)
has the potential to provide socio-economic and environmental benefits in the
future through enhancing vehicle safety and reducing fuel use/emissions.
PMID- 27182043
TI - Increased visceral adiposity with normal weight is associated with the prevalence
of non-alcoholic fatty liver disease in Japanese patients with type 2 diabetes.
AB - AIMS/INTRODUCTION: To investigate the impact of increased visceral adiposity with
normal weight (OB[-]VA[+]) on the prevalence of non-alcoholic fatty liver disease
in patients with type 2 diabetes. MATERIALS AND METHODS: This was a cross
sectional study of 140 Japanese patients with type 2 diabetes (mean age 65 +/- 11
year; 44.6% women). Visceral fat area (VFA; cm(2) ) and liver attenuation index
(LAI) were assessed by abdominal computed tomography. The patients were divided
into four groups by VFA and body mass index (BMI; kg/m(2) ) as follows: BMI <25
kg/m(2) and VFA <100 cm(2) (OB[-]VA[-]), BMI >=25 kg/m(2) and VFA <100 cm(2)
(OB[+]VA[-]), BMI <25 kg/m(2) and VFA >=100 cm(2) (OB[-]VA[+]), and BMI >=25
kg/m(2) and VFA >=100 cm(2) (OB[+]VA[+]). Multivariate linear regression and
logistic regression analysis were carried out to determine the impact of OB(
)VA(+) on LAI. RESULTS: In the present study, 25.0% were OB(-)VA(+) patients,
where the LAI levels were lower (1.09 +/- 0.22) than those in OB(-)VA(-) patients
(1.23 +/- 0.15), and were equivalent to those in OB(+)VA(+) patients (1.03 +/-
0.26). In multivariate linear regression analysis, OB(-)VA(+) was independently
associated with LAI (standardized beta-0.212, P = 0.014). In multivariate
logistic regression analysis, OB(-)VA(+) was a significant predictor of LAI <0.9
(odds ratio 5.88, 95% confidence interval 1.03-33.52, P = 0.046). CONCLUSIONS:
The present study provides evidence that increased visceral adiposity with normal
weight is a strong predictor for the prevalence of non-alcoholic fatty liver
disease in Japanese patients with type 2 diabetes.
PMID- 27182044
TI - MPTP neurotoxicity is highly concordant between the sexes among BXD recombinant
inbred mouse strains.
AB - Continuing our previous work in which we showed wide-ranging strain differences
in MPTP neurotoxicity in male mice among ten BXD recombinant inbred strains, we
replicated our work in females from nine of the same strains. Mice received a
single s.c. injection of 12.5mg/kg MPTP or saline. Forty-eight hours later the
striatum was dissected for neurochemical analysis. Striatal dopamine (DA) and its
metabolites, DOPAC and HVA, striatal serotonin (5-HT) and its metabolite, 5-HIAA,
were analyzed using HPLC. Tyrosine hydroxylase (TH) and glial fibrillary acidic
protein (GFAP), an astrocytic protein that increases during the astroglial
response to neural injury, were measured using ELISA. There were wide genetic
variations in the DA, DOPAC, HVA, TH and GFAP responses to MPTP. We also
performed principal component analysis (PCA) on the difference values, saline
minus MPTP, for DA, DOPAC, HVA and TH and mapped the dominant principal component
to a suggestive QTL on chromosome 1 at the same location that we observed
previously for males. Moreover, there were significant correlations between the
sexes for the effect of MPTP on DA, HVA, and TH. Our findings suggest that the
systems genetic approach as utilized here can help researchers understand the
role of sex in individual differences. The same approach can pave the way to
understand and pinpoint the genetic bases for individual differences in pathology
attributable to toxicants. Such systems genetics approach has broad implications
for elucidating gene-environment contributions to neurodegenerative diseases.
PMID- 27182046
TI - Estrogen and insulin transport through the blood-brain barrier.
AB - Obesity is associated with insulin resistance and reduced transport of insulin
through the blood-brain barrier (BBB). Reversal of high-fat diet-induced obesity
(HFD-DIO) by dietary intervention improves the transport of insulin through the
BBB and the sensitivity of insulin in the brain. Although both insulin and
estrogen (E2), when given alone, reduce food intake and body weight via the
brain, E2 actually renders the brain relatively insensitive to insulin's
catabolic action. The objective of these studies was to determine if E2
influences the ability of insulin to be transported into the brain, since the
receptors for both E2 and insulin are found in BBB endothelial cells. E2 (acute
or chronic) was systemically administered to ovariectomized (OVX) female rats and
male rats fed a chow or a high-fat diet. Food intake, body weight and other
metabolic parameters were assessed along with insulin entry into the
cerebrospinal fluid (CSF). Acute E2 treatment in OVX female and male rats reduced
body weight and food intake, and chronic E2 treatment prevented or partially
reversed high-fat diet-induced obesity. However, none of these conditions
increased insulin transport into the CNS; rather, chronic E2 treatment was
associated less-effective insulin transport into the CNS relative to weight
matched controls. Thus, the reduction of brain insulin sensitivity by E2 is
unlikely to be mediated by increasing the amount of insulin entering the CNS.
PMID- 27182048
TI - Current challenges in the surgical management of Crohn's disease: a systematic
review.
AB - BACKGROUND: Crohn's disease is a chronic inflammatory disorder, and the broad
variability in phenotypic presentations makes the treatment of this disease a
true multidisciplinary approach. We sought to review the current recommendations
regarding the surgical management of Crohn's disease. DATA SOURCE: A Systematic
literature review of surgical techniques was performed from 1979 through 2015. We
evaluated 30 articles focusing on findings over the past 5 years. CONCLUSIONS:
Crohn's is a complex disease with no surgical cure. Invasive techniques vary from
strictureplasty to resection and percutaneous drainage of penetrating disease
when indicated. There is a paucity of well-controlled randomized studies
evaluating these surgical techniques, and therefore, we continue to rely on
smaller studies and historical data. The surgical goals are to minimize
postoperative complications while preserving intestinal length and slowing the
progression to clinical recurrence. The evidence discussed is one strategy
against this complex pathology.
PMID- 27182049
TI - Non-vitamin K antagonist oral anticoagulant reversal: hope is on the horizon.
AB - BACKGROUND: Non-vitamin K oral anticoagulants have become an attractive
alternative to warfarin when patients require anticoagulation. Until recently,
one of the biggest challenges to these agents was the lack of specific reversal
of their anticoagulation when bleeding occurs or urgent/emergent procedures are
required. DATA SOURCES: This article is a narrative review of peer-reviewed
publications with particular attention to authors that are experts in the field,
society guidelines, and government publications. CONCLUSIONS: Development of
several drugs has led to agent-specific reversal. Idarucizumab has gained
approval in the United States for reversal of dabigatran. Andexanet alfa has
completed promising phase III trials for the reversal of factor Xa inhibitors.
Aripazine (PER977) has shown promise as a universal reversal agent against non
vitamin K antagonist oral anticoagulants and heparin products.
PMID- 27182050
TI - Selection of a potential diagnostic biomarker for HIV infection from a random
library of non-biological synthetic peptoid oligomers.
AB - Non-biological synthetic oligomers can serve as ligands for antibodies. We
hypothesized that a random combinatorial library of synthetic poly-N-substituted
glycine oligomers, or peptoids, could represent a random "shape library" in
antigen space, and that some of these peptoids would be recognized by the antigen
binding pocket of disease-specific antibodies. We synthesized and screened a one
bead one compound combinatorial library of peptoids, in which each bead displayed
an 8-mer peptoid with ten possible different amines at each position (10(8)
theoretical variants). By screening one million peptoid/beads we found 112
(approximately 1 in 10,000) that preferentially bound immunoglobulins from human
sera known to be positive for anti-HIV antibodies. Reactive peptoids were then re
synthesized and rigorously evaluated in plate-based ELISAs. Four peptoids showed
very good, and one showed excellent, properties for establishing a sero-diagnosis
of HIV. These results demonstrate the feasibility of constructing sero-diagnostic
assays for infectious diseases from libraries of random molecular shapes. In this
study we sought a proof-of-principle that we could identify a potential
diagnostic antibody ligand biomarker for an infectious disease in a random
combinatorial library of 100 million peptoids. We believe that this is the first
evidence that it is possible to develop sero-diagnostic assays - for any
infectious disease - based on screening random libraries of non-biological
molecular shapes.
PMID- 27182047
TI - Stress-induced alterations in estradiol sensitivity increase risk for obesity in
women.
AB - The prevalence of obesity in the United States continues to rise, increasing
individual vulnerability to an array of adverse health outcomes. One factor that
has been implicated causally in the increased accumulation of fat and excess food
intake is the activity of the limbic-hypothalamic-pituitary-adrenal (LHPA) axis
in the face of relentless stressor exposure. However, translational and clinical
research continues to understudy the effects sex and gonadal hormones and LHPA
axis dysfunction in the etiology of obesity even though women continue to be at
greater risk than men for stress-induced disorders, including depression,
emotional feeding and obesity. The current review will emphasize the need for sex
specific evaluation of the relationship between stress exposure and LHPA axis
activity on individual risk for obesity by summarizing data generated by animal
models currently being leveraged to determine the etiology of stress-induced
alterations in feeding behavior and metabolism. There exists a clear lack of
translational models that have been used to study female-specific risk. One
translational model of psychosocial stress exposure that has proven fruitful in
elucidating potential mechanisms by which females are at increased risk for
stress-induced adverse health outcomes is that of social subordination in
socially housed female macaque monkeys. Data from subordinate female monkeys
suggest that increased risk for emotional eating and the development of obesity
in females may be due to LHPA axis-induced changes in the behavioral and
physiological sensitivity of estradiol. The lack in understanding of the
mechanisms underlying these alterations necessitate the need to account for the
effects of sex and gonadal hormones in the rationale, design, implementation,
analysis and interpretation of results in our studies of stress axis function in
obesity. Doing so may lead to the identification of novel therapeutic targets
with which to combat stress-induced obesity exclusively in females.
PMID- 27182051
TI - The altered microRNA profile in andrographolide-induced inhibition of hepatoma
tumor growth.
AB - BACKGROUND: MicroRNAs (miRNAs) have been reported to play critical roles in
regulating gene expression in tumor development. Natural compound andrographolide
(Andro), isolated from medicinal herb Andrographis paniculata, was reported to
inhibit hepatoma tumor growth in our previous studies. The present study aims to
observe the altered miRNAs profile and related signaling pathways involved in
Andro-induced inhibition on hepatoma tumor growth. RESULTS: The inhibition on
hepatoma tumor growth induced by Andro (10mg/kg) was found in a xenograft mouse
tumor model in vivo. The results of miRNAs chip analysis showed that the
expression of 22 miRNAs was increased, whereas the expression of other 10 miRNAs
was decreased after Andro treatment. Further, the increased expression of miR-222
3p, miR-106b-5p, miR-30b-5p, and miR-23a-5p was confirmed in hepatoma Hep3B and
SMCC7721 cells in vitro after cells were treated with Andro (50MUM) for the
indicated time. Functional annotation of the target genes based on the
differentially expressed miRNAs demonstrated that the majority of the genes were
involved in a variety of signaling pathways, including miRNAs in cancer, mitogen
activated protein kinases (MPAKs), focal adhesion. Furthermore, the expression of
24 target genes (total 31) involved in above signaling pathways based on miRNAs
analysis was found to be consistent with the alteration of miRNAs. CONCLUSIONS:
The results demonstrate that Andro alters the expression of miRNAs profile and
downstream signals, which may contribute to its inhibition on hepatoma tumor
growth.
PMID- 27182053
TI - Transcriptome characterization and gene expression analysis related to sexual
dimorphism in the ghost moth, Thitarodes pui, a host of Ophiocordyceps sinensis.
AB - Thitarodes pui is one of the host species of the Chinese caterpillar fungus
Ophiocordyceps sinensis as a traditional Chinese medicine with economic and
medical importance. The pupal and adult stages of T. pui are sexually dimorphic.
In order to elucidate the molecular mechanisms involved in the sexually dimorphic
development of T. pui, we compared the transcriptomes of female and male pupae
and adults. We obtained 15,881,734, 16,962,086, 17,514,743, and 17,770,904 clean
reads from female pupae, male pupae, female adults, and male adults,
respectively. The reads obtained from the four samples were pooled and assembled
into 65,165 unigenes, 23,597 of which were annotated. Candidate genes involved in
sexual development were identified and analysed. Gene expression analysis
revealed that 1406 genes were differentially expressed in male and female pupae,
448 of which were up-regulated in males and 958 were up-regulated in females. A
total of 2025 genes were differentially expressed in male and females adults,
1304 of which were up-regulated in males and 721 were up-regulated in females.
The functional enrichment of the differentially expressed genes indicated that
reproduction and cuticle synthesis were regulated differently between the sexes.
The transcriptome data obtained provide significant information regarding the
genes involved in sexually dimorphic development, which will improve our
understanding of the molecular mechanisms related to sexual dimorphism and
helpful for the moth mass rearing which would provide enough host insects for the
sustainable utilization of O. sinensis.
PMID- 27182054
TI - Nuclear magnetic resonance in Kondo lattice systems.
AB - Nuclear magnetic resonance has emerged as a vital tool to explore the fundamental
physics of Kondo lattice systems. Because nuclear spins experience two different
hyperfine couplings to the itinerant conduction electrons and to the local f
moments, the Knight shift can probe multiple types of spin correlations that are
not accessible via other techniques. The Knight shift provides direct information
about the onset of heavy electron coherence and the emergence of the heavy
electron fluid.
PMID- 27182052
TI - Endocrine disrupting chemical, bisphenol-A, induces breast cancer associated gene
HOXB9 expression in vitro and in vivo.
AB - HOXB9 is a homeobox-containing gene that plays a key role in mammary gland
development and is associated with breast and other types of cancer. Here, we
demonstrate that HOXB9 expression is transcriptionally regulated by estradiol
(E2), in vitro and in vivo. We also demonstrate that the endocrine disrupting
chemical bisphenol-A (BPA) induces HOXB9 expression in cultured human breast
cancer cells (MCF7) as well as in vivo in the mammary glands of ovariectomized
(OVX) rats. Luciferase assay showed that estrogen-response-elements (EREs) in the
HOXB9 promoter are required for BPA-induced expression. Estrogen-receptors (ERs)
and ER-co-regulators such as MLL-histone methylase (MLL3), histone acetylases,
CBP/P300, bind to the HOXB9 promoter EREs in the presence of BPA, modify
chromatin (histone methylation and acetylation) and lead to gene activation. In
summary, our results demonstrate that BPA exposure, like estradiol, increases
HOXB9 expression in breast cells both in vitro and in vivo through a mechanism
that involves increased recruitment of transcription and chromatin modification
factors.
PMID- 27182055
TI - Understanding disease processes by partitioned dynamic Bayesian networks.
AB - For many clinical problems in patients the underlying pathophysiological process
changes in the course of time as a result of medical interventions. In model
building for such problems, the typical scarcity of data in a clinical setting
has been often compensated by utilizing time homogeneous models, such as dynamic
Bayesian networks. As a consequence, the specificities of the underlying process
are lost in the obtained models. In the current work, we propose the new concept
of partitioned dynamic Bayesian networks to capture distribution regime changes,
i.e. time non-homogeneity, benefiting from an intuitive and compact
representation with the solid theoretical foundation of Bayesian network models.
In order to balance specificity and simplicity in real-world scenarios, we
propose a heuristic algorithm to search and learn these non-homogeneous models
taking into account a preference for less complex models. An extensive set of
experiments were ran, in which simulating experiments show that the heuristic
algorithm was capable of constructing well-suited solutions, in terms of goodness
of fit and statistical distance to the original distributions, in consonance with
the underlying processes that generated data, whether it was homogeneous or non
homogeneous. Finally, a study case on psychotic depression was conducted using
non-homogeneous models learned by the heuristic, leading to insightful answers
for clinically relevant questions concerning the dynamics of this mental
disorder.
PMID- 27182057
TI - Letter to the Editor: The effect of autonomic nervous system on the impairment of
glucose uptake and lipid metabolism in epicardial adipose tissue.
PMID- 27182056
TI - T cell immunoglobulin and mucin domain-containing molecule 3 on CD14+ monocytes
serves as a novel biological marker for diabetes duration in type 2 diabetes
mellitus.
AB - AIMS/INTRODUCTION: Type 2 diabetes is a worldwide disease that is associated with
increased rates of obesity and reduced physical activity. Obesity-associated
insulin resistance in type 2 diabetes is a disorder in the balance between pro
inflammatory and anti-inflammatory signals. T cell immunoglobulin and mucin
domain-containing molecule 3 (Tim-3) has been reported as an important regulatory
inflammation molecule, and plays a pivotal role in several inflammation-related
diseases. MATERIALS AND METHODS: Peripheral blood mononuclear cells were obtained
from type 2 diabetes patients (n = 31) and healthy donors (n = 18), and Tim-3
expression on peripheral blood mononuclear cells was evaluated by flow cytometry.
RESULTS: We showed the downregulated expression of Tim-3 on CD14+ monocytes from
type 2 diabetes patients. In addition, the upregulated expression of Tim-3 on
peripheral CD4+ T cells and CD8+ T cells was observed in the present study. The
correlation analysis between Tim-3 expression on CD14+ monocytes and diabetes
duration showed the longer diabetes duration time, the lower Tim-3 expression on
CD14 monocytes. CONCLUSIONS: The present results suggest that Tim-3 might
participate in the progression of type 2 diabetes by its negative regulation on
these immune cells, and Tim-3 on CD14+ monocytes serves as a novel biological
marker for diabetes duration in type 2 diabetes patients.
PMID- 27182058
TI - Reply to Katlandur, Ozbek, and Keser.
PMID- 27182059
TI - Corrigendum.
PMID- 27182062
TI - Upon Wnt stimulation, Rac1 activation requires Rac1 and Vav2 binding to p120
catenin.
PMID- 27182061
TI - Expanding functions of GIT Arf GTPase-activating proteins, PIX Rho guanine
nucleotide exchange factors and GIT-PIX complexes.
AB - The GIT proteins, GIT1 and GIT2, are GTPase-activating proteins (inactivators)
for the ADP-ribosylation factor (Arf) small GTP-binding proteins, and function to
limit the activity of Arf proteins. The PIX proteins, alpha-PIX and beta-PIX
(also known as ARHGEF6 and ARHGEF7, respectively), are guanine nucleotide
exchange factors (activators) for the Rho family small GTP-binding protein family
members Rac1 and Cdc42. Through their multi-domain structures, GIT and PIX
proteins can also function as signaling scaffolds by binding to numerous protein
partners. Importantly, the constitutive association of GIT and PIX proteins into
oligomeric GIT-PIX complexes allows these two proteins to function together as
subunits of a larger structure that coordinates two distinct small GTP-binding
protein pathways and serves as multivalent scaffold for the partners of both
constituent subunits. Studies have revealed the involvement of GIT and PIX
proteins, and of the GIT-PIX complex, in numerous fundamental cellular processes
through a wide variety of mechanisms, pathways and signaling partners. In this
Commentary, we discuss recent findings in key physiological systems that
exemplify current understanding of the function of this important regulatory
complex. Further, we draw attention to gaps in crucial information that remain to
be filled to allow a better understanding of the many roles of the GIT-PIX
complex in health and disease.
PMID- 27182060
TI - Nuclear migration events throughout development.
AB - Moving the nucleus to a specific position within the cell is an important event
during many cell and developmental processes. Several different molecular
mechanisms exist to position nuclei in various cell types. In this Commentary, we
review the recent progress made in elucidating mechanisms of nuclear migration in
a variety of important developmental models. Genetic approaches to identify
mutations that disrupt nuclear migration in yeast, filamentous fungi,
Caenorhabditis elegans, Drosophila melanogaster and plants led to the
identification of microtubule motors, as well as Sad1p, UNC-84 (SUN) domain and
Klarsicht, ANC-1, Syne homology (KASH) domain proteins (LINC complex) that
function to connect nuclei to the cytoskeleton. We focus on how these proteins
and various mechanisms move nuclei during vertebrate development, including
processes related to wound healing of fibroblasts, fertilization, developing
myotubes and the developing central nervous system. We also describe how nuclear
migration is involved in cells that migrate through constricted spaces. On the
basis of these findings, it is becoming increasingly clear that defects in
nuclear positioning are associated with human diseases, syndromes and disorders.
PMID- 27182063
TI - Tocilizumab in Giant Cell Arteritis: A Multicenter Retrospective Study of 34
Patients.
AB - OBJECTIVE: To report the efficacy and safety of tocilizumab (TCZ) for giant cell
arteritis (GCA). METHODS: A retrospective multicenter study that included 34
patients receiving TCZ for GCA. RESULTS: TCZ was effective in all but 6 patients,
who still had mild symptoms. Mean glucocorticoid dose was tapered. One patient
died and 3 patients had to stop TCZ therapy because of severe adverse events.
Twenty-three patients stopped treatment; 8 of these experienced relapses after a
mean of 3.5 +/- 1.3 months. CONCLUSION: TCZ is effective in GCA. However, side
effects occur. Whether this treatment has only a suspensive effect remains to be
determined.
PMID- 27182064
TI - The Association Between Serum 25-hydroxy Vitamin D Level and Upper Leg Strength
in Patients with Knee Osteoarthritis: Results of the Amsterdam Osteoarthritis
Cohort.
AB - OBJECTIVE: Vitamin D deficiency, which is common among elderly people, has been
linked to muscle weakness. In patients with knee osteoarthritis (OA), the
association between muscle strength and serum 25-hydroxy Vitamin D [25(OH)D]
level has not been studied comprehensively. The aim of our study was to examine
the association between serum 25(OH)D level and muscle strength in patients with
knee OA. METHODS: Data of the Amsterdam Osteoarthritis cohort from 319
participants with knee OA were used in a cross-sectional study. Serum 25(OH)D
level (nmol/l) was measured by a competitive electrochemiluminescence method.
Muscle strength (nm/kg) of the upper leg was measured isokinetically. Univariable
and multivariable linear regression analyses were used to calculate the
association between serum 25(OH)D level and muscle strength. RESULTS: Serum
25(OH)D level was significantly associated with muscle strength (B = 0.036, 95%
CI 0.017-0.054, p < 0.001), adjusted for season of blood collection. After adding
body mass index (BMI) to the model, this association was no longer significant (B
= 0.011, 95% CI -0.007 to 0.029, p = 0.214). Alcohol consumption, number of
comorbidities, and sex were subsequently added and changed the model slightly.
Without BMI, this model showed a significant association between serum 25(OH)D
level and muscle strength (B = 0.029, 95% CI 0.014-0.043, p < 0.001). CONCLUSION:
The observed association between a low serum 25(OH)D level and muscle weakness in
patients with knee OA is attenuated by BMI. Further studies are needed to explain
the associations among Vitamin D level, muscle strength, and adiposity in
patients with knee OA.
PMID- 27182065
TI - Exploring Fatigue Trajectories in Early Symptomatic Knee and Hip Osteoarthritis:
6-year Results from the CHECK Study.
AB - OBJECTIVE: To examine whether different groups of fatigue trajectories can be
identified among patients with early symptomatic osteoarthritis (OA) of the knee
or hip, to describe the level of fatigue severity within each of these fatigue
groups, and to investigate the involvement of age, sex, use of medication,
comorbidity, and OA severity in relation to group membership. METHODS: Six years
of followup data on fatigue (Medical Outcomes Study Short Form-36 Vitality scale)
came from the Cohort Hip and Cohort Knee (CHECK) cohort. Growth mixture modeling
was applied to identify distinct fatigue trajectories as well as to take into
account the effects of the patient characteristics. RESULTS: Three fatigue
trajectories were identified: low fatigue, low-to-high fatigue, and high fatigue.
Latter trajectories showed considerable overlap from years 2 to 6, but differed
in some patient characteristics in comparison with each other and in comparison
with the low fatigue group. Comorbidity, medication use, and sex were
significantly associated with the identified trajectories. Women, individuals
with a comorbid disease, and those who used medication were more likely to follow
a high fatigue trajectory. CONCLUSION: These findings suggest heterogeneous
development of fatigue in the early OA population associated with varying patient
characteristics. Further, this study shows that a considerable number of patients
with OA already experience elevated levels of fatigue at an early stage of OA.
While these findings need to be replicated, the identification of these
trajectories with differing patient characteristics may warrant tailored
psychosocial interventions for patients with elevated levels of fatigue.
PMID- 27182066
TI - Differential Expression Profiles of Long Noncoding RNA and mRNA of Osteogenically
Differentiated Mesenchymal Stem Cells in Ankylosing Spondylitis.
AB - OBJECTIVE: We previously demonstrated that mesenchymal stem cells (MSC) from
patients with ankylosing spondylitis (AS; ASMSC) have a greater osteogenic
differentiation capacity than MSC from healthy donors (HDMSC) and that this
difference underlies the pathogenesis of pathological osteogenesis in AS. Here we
compared expression levels of long noncoding RNA (lncRNA) and mRNA between
osteogenically differentiated ASMSC and HDMSC and explored the precise mechanism
underlying abnormal osteogenic differentiation in ASMSC. METHODS: HDMSC and ASMSC
were induced with osteogenic differentiation medium for 10 days. Microarray
analyses were then performed to identify lncRNA and mRNA differentially expressed
between HDMSC and ASMSC, which were then subjected to bioinformatics analysis and
confirmed by quantitative real-time PCR (qRT-PCR) assays. In addition, coding-non
coding gene co-expression (CNC) networks were constructed to examine the
relationships between the lncRNA and mRNA expression patterns. RESULTS: A total
of 520 lncRNA and 665 mRNA were differentially expressed in osteogenically
differentiated ASMSC compared with HDMSC. Bioinformatics analysis revealed 64
signaling pathways with significant differences, including transforming growth
factor-beta signaling. qRT-PCR assays confirmed the reliability of the microarray
data. The CNC network indicated that 4 differentially expressed lncRNA, including
lnc-ZNF354A-1, lnc-LIN54-1, lnc-FRG2C-3, and lnc-USP50-2 may be involved in the
abnormal osteogenic differentiation of ASMSC. CONCLUSION: Our study characterized
the differential lncRNA and mRNA expression profiles of osteogenically
differentiated ASMSC and identified 4 lncRNA that may participate in the abnormal
osteogenic differentiation of ASMSC. These results provide insight into the
pathogenesis of pathological osteogenesis in AS.
PMID- 27182067
TI - Development and Validation of a Short Form of the Social Role Participation
Questionnaire in Patients with Ankylosing Spondylitis.
AB - OBJECTIVE: The Social Role Participation Questionnaire (SRPQ) assesses the
influence of health on 11 specific roles and 1 general role along 4 dimensions.
In this study, a shortened version of the SRPQ (s-SRPQ) was developed in patients
with ankylosing spondylitis (AS) to facilitate data collection in clinical
studies and practice. METHODS: Using data from 246 patients with AS and
population controls, the fit of each role to the different participation
dimensions, the contribution of each role to the measurement precision, and the
correlation between dimensions were evaluated using item response theory.
Representation of the 3 participation chapters of the International
Classification of Functioning, Disability, and Health was ensured. Reliability of
each dimension of both versions of the SRPQ was compared by correlating scores to
the Medical Outcomes Study Short Form-36 (SF-36) and the Satisfaction With Life
Scale (SWLS), and by comparing ability to discriminate between patients and
controls and between patients with low and high disease activity (Bath Ankylosing
Spondylitis Disease Activity Index >= 4). RESULTS: The s-SRPQ, which assesses
participation across 6 social roles along 2 dimensions (physical difficulty and
satisfaction with performance), was proposed. Both dimensions of the s-SRPQ were
highly reliable (r >= 0.86) and were shown to have construct validity as
indicated by a similar pattern of correlations with the SF-36 and SWLS as the
original SRPQ dimensions. Both versions discriminated well between patients and
controls and between patients with high versus low disease activity (relative
validity >= 0.72). CONCLUSION: The s-SRPQ retains the measurement properties of
the original SRPQ and seems useful for measuring the effect of AS on
participation.
PMID- 27182068
TI - The Efficacy of a Dance Intervention as Cognitive Training for the Old-Old.
AB - This research investigates the efficacy of a dance intervention of moderate
length (10 weeks, 45 min/week) on a sample of old-old adults living in a
residential care setting. The study focused on the effect of the intervention on
aspects of cognitive functioning (short-term memory, executive functioning). In
addition, changes in general self-efficacy and life satisfaction were
investigated. Twenty-four older adults (mean age M = 80.8), with no cognitive
impairments, participated in the study. Participants were randomly assigned to
two programs: the experimental group attended the dance intervention, while the
active control group was involved in an alternative (nondance) program. A
pretest, posttest, and follow-up measurement was conducted. A 2 * 3 mixed design
ANOVA revealed benefits in short-term memory and executive functioning for the
trained group, but not for the active control, and this benefit was maintained at
the 5 months follow-up. These results suggest that training procedures, based on
dance, could improve cognitive functioning in the old-old.
PMID- 27182069
TI - Penalized or Protected? Gender and the Consequences of Nonstandard and Mismatched
Employment Histories.
AB - Millions of workers are employed in positions that deviate from the full-time,
standard employment relationship or work in jobs that are mismatched with their
skills, education, or experience. Yet, little is known about how employers
evaluate workers who have experienced these employment arrangements, limiting our
knowledge about how part-time work, temporary agency employment, and skills
underutilization affect workers' labor market opportunities. Drawing on original
field and survey experiment data, I examine three questions: (1) What are the
consequences of having a nonstandard or mismatched employment history for
workers' labor market opportunities? (2) Are the effects of nonstandard or
mismatched employment histories different for men and women? and (3) What are the
mechanisms linking nonstandard or mismatched employment histories to labor market
outcomes? The field experiment shows that skills underutilization is as scarring
for workers as a year of unemployment, but that there are limited penalties for
workers with histories of temporary agency employment. Additionally, although men
are penalized for part-time employment histories, women face no penalty for part
time work. The survey experiment reveals that employers' perceptions of workers'
competence and commitment mediate these effects. These findings shed light on the
consequences of changing employment relations for the distribution of labor
market opportunities in the "new economy."
PMID- 27182070
TI - Biodiversity, ecosystem functioning, and classical biological control.
AB - Increasing concern over worldwide loss of biodiversity has led ecologists to
focus intently on how ecosystem functioning may depend on diversity. In applied
entomology, there is longstanding interest in the issue, especially as regards
the importance of natural enemy diversity for pest control. Here I review
parallels in interest, conceptual framework, and conclusions concerning
biodiversity as it affects ecosystem functioning in general and classical
biological control in particular. Whereas the former focuses on implications of
loss of diversity, the latter focuses on implications of increase in diversity as
additional species of natural enemies are introduced to novel communities in new
geographic regions for insect pest and weed control. Many field studies now
demonstrate that ecosystem functioning, e.g., as reflected in primary
productivity, is enhanced and stabilized over time by high diversity as the
community increases in its efficiency in exploiting available resources.
Similarly, there is growing field support for the generalization that increasing
species and functional diversity of natural enemies leads to increasing pest
suppression. Nonetheless a central concern of classical biological control in
particular, as it seeks to minimize non-target effects, remains as to whether one
or a few species of natural enemies can provide sufficient pest control.
PMID- 27182071
TI - An Aegilops ventricosa Translocation Confers Resistance Against Root-knot
Nematodes to Common Wheat.
AB - Root knot nematodes (RKN; Meloidogyne spp.) cause severe losses worldwide to a
wide range of crops. Crop rotations with resistant hosts can be used to control
losses, but the wide host range of RKN limits this option. In this study, we
found that the wheat cultivar Lassik is resistant to several isolates of the RKN
species M. incognita and M. javanica, including those that can reproduce on
tomato with the resistance gene Mi-1. Comparison of near-isogenic lines of wheat
showed that the wheat resistance gene(s) is localized within a segment of the
short arm of chromosome 2N from Aegilops ventricosa (Zhuk.) Chennav translocated
into common wheat (Triticum aestivum L.) chromosome arm 2AS and is associated
with a highly significant decrease in RKN eggs in the roots. This RKN resistance
gene has been assigned the name Rkn3. While wheat itself is tolerant of RKN
infection, a microplot experiment coupled with tomato bioassays showed less RKN
root galling in the tomato samples grown in soil from the previous microplots
including RKN resistant wheat varieties than in those including a susceptible
wheat isogenic line. This result suggests that rotation with Rkn3 resistant wheat
cultivars has the potential to be a valuable component of nematode management for
crops that are highly susceptible to nematode damage and for which alternative
strategies are limited.
PMID- 27182072
TI - The 'empty choice': A sociological examination of choosing medical research
participation in resource-limited Sub-Saharan Africa.
AB - This article explores the views of frontline research staff in different Sub
Saharan African contexts on the notion of choice in biomedical research. It
argues that the current emphasis on individual choice, in the conduct of
biomedical research, ignores significant structural and contextual factors in
resource-limited settings. These factors severely constrain individual options
and often make biomedical research enrolment the most amenable route to
healthcare for the world's poorest. From the position of frontline research
staff, local contextual factors and structural issues narrowly frame the
parameters within which many prospective participants are asked to choose, to
such an extent that individuals are effectively presented with an 'empty choice'.
The article draws on ethnographic and interview data and insights gained through
graphic elucidation techniques. It demonstrates that for frontline research
staff, macro-level structural factors and their bearing on everyday realities
shape what choice in biomedical research participation means in practice.
PMID- 27182073
TI - Maize Germplasm Conservation in Southern California's Urban Gardens: Introduced
Diversity Beyond ex situ and in situ Management.
AB - Contemporary germplasm conservation studies largely focus on ex situ and in situ
management of diversity within centers of genetic diversity. Transnational
migrants who transport and introduce landraces to new locations may catalyze a
third type of conservation that combines both approaches. Resulting populations
may support reduced diversity as a result of evolutionary forces such as genetic
drift, selection, and gene flow, yet they may also be more diverse as a result of
multiple introductions, selective breeding and cross pollination among multiple
introduced varietals. In this study, we measured the amount and structure of
maize molecular genetic diversity in samples collected from home gardens and
community gardens maintained by immigrant farmers in Southern California. We used
the same markers to measure the genetic diversity and structure of commercially
available maize varieties and compared our data to previously reported genetic
diversity statistics of Mesoamerican landraces. Our results reveal that
transnational dispersal creates an opportunity for the maintenance of maize
genetic diversity beyond its recognized centers of diversity.
PMID- 27182074
TI - Improving the Factor Structure of Psychological Scales: The Expanded Format as an
Alternative to the Likert Scale Format.
AB - Many psychological scales written in the Likert format include reverse worded
(RW) items in order to control acquiescence bias. However, studies have shown
that RW items often contaminate the factor structure of the scale by creating one
or more method factors. The present study examines an alternative scale format,
called the Expanded format, which replaces each response option in the Likert
scale with a full sentence. We hypothesized that this format would result in a
cleaner factor structure as compared with the Likert format. We tested this
hypothesis on three popular psychological scales: the Rosenberg Self-Esteem
scale, the Conscientiousness subscale of the Big Five Inventory, and the Beck
Depression Inventory II. Scales in both formats showed comparable reliabilities.
However, scales in the Expanded format had better (i.e., lower and more
theoretically defensible) dimensionalities than scales in the Likert format, as
assessed by both exploratory factor analyses and confirmatory factor analyses. We
encourage further study and wider use of the Expanded format, particularly when a
scale's dimensionality is of theoretical interest.
PMID- 27182075
TI - Defining Tiger Parenting in Chinese Americans.
AB - "Tiger" parenting, as described by Amy Chua [2011], has instigated scholarly
discourse on this phenomenon and its possible effects on families. Our eight-year
longitudinal study, published in the Asian American Journal of Psychology [Kim,
Wang, Orozco-Lapray, Shen, & Murtuza, 2013b], demonstrates that tiger parenting
is not a common parenting profile in a sample of 444 Chinese American families.
Tiger parenting also does not relate to superior academic performance in
children. In fact, the best developmental outcomes were found among children of
supportive parents. We examine the complexities around defining tiger parenting
by reviewing classical literature on parenting styles and scholarship on Asian
American parenting, along with Amy Chua's own description of her parenting
method, to develop, define, and categorize variability in parenting in a sample
of Chinese American families. We also provide evidence that supportive parenting
is important for the optimal development of Chinese American adolescents.
PMID- 27182076
TI - The Social Practice of Harm Reduction in Argentina: A "Latin" Kind of
Intervention.
AB - "Harm reduction" is a public health model that places emphasis on reducing the
negative effects of drug use rather than on eliminating drug use or ensuring
abstinence. Based on sixteen months of ethnographic research, this article
examines how harm reduction in Argentina is both envisioned and observed as a
social practice by analyzing how local harm reductionists position their work in
relation to "social context." My informants consider this social emphasis to be
characteristic of a "Latin" kind of intervention, which they differentiate from
an "Anglo-Saxon" approach focused on individual behavior change. Differentiating
between these "cultural" models of intervention helps Argentine harm
reductionists guide their social orientation to drug use, risk, and harm by
situating interventions in the contexts in which users live and operate. It also
allows them to distinguish their social form of harm reduction from a neoliberal
one that they associate with the global north. The construction of these distinct
cultural models of intervention is a means of critiquing neoliberal approaches to
health that advocate technical solutions to changing individual behavior.
Ultimately, this construct acts as a political commentary on the limits of an
individual-oriented harm reduction project when applied to the "Argentine
context."
PMID- 27182077
TI - Characterization of Large-Area SiPM Array for PET Applications.
AB - The performance of an 8 * 8 array of 6.0 * 6.0 mm2 (active area) SiPMs was
evaluated for PET applications using crystal arrays with different pitch sizes
(3.4 mm, 1.5 mm, 1.35 mm and 1.2 mm) and custom designed five-channel front-end
readout electronics (four channels for position information and one channel for
timing information). The total area of this SiPM array is 57.4 * 57.4 mm2, and
the pitch size is 7.2 mm. It was fabricated using enhanced blue sensitivity SiPMs
(MicroFB-60035-SMT) with peak spectral sensitivity at 420 nm. The performance of
the SiPM array was characterized by measuring flood histogram decoding quality,
energy resolution, timing resolution and saturation at several bias voltages
(from 25.0 V to 30.0 V in 0.5 V intervals) and two different temperatures (5
degrees C and 20 degrees C). Results show that the best flood histogram was
obtained at a bias voltage of 28.0 V and 5 degrees C and an array of polished
LSO crystals with a pitch as small as 1.2 mm can be resolved. No saturation was
observed up to a bias voltage of 29.5 V during the experiments, due to adequate
light sharing between SiPMs. Energy resolution and timing resolution at 5
degrees C ranged from 12.7 +/- 0.8% to 14.6 +/- 1.4 % and 1.58 +/- 0.13 ns to
2.50 +/- 0.44 ns, for crystal array pitch sizes of 3.4 mm and 1.2 mm
respectively. Superior flood histogram quality, energy resolution and timing
resolution were obtained with larger crystal array pitch sizes and at lower
temperature. Based on our findings, we conclude that this large-area SiPM array
can serve as a suitable photodetector for high-resolution small-animal PET or
dedicated human brain PET scanners.
PMID- 27182078
TI - Design of a Multi-Pinhole Collimator for I-123 DaTscan Imaging on Dual-Headed
SPECT Systems in Combination with a Fan-Beam Collimator.
AB - For the 2011 FDA approved Parkinson's Disease (PD) SPECT imaging agent I-123
labeled DaTscan, the volume of interest (VOI) is the interior portion of the
brain. However imaging of the occipital lobe is also required with PD for
calculation of the striatal binding ratio (SBR), a parameter of significance in
early diagnosis, differentiation of PD from other disorders with similar clinical
presentations, and monitoring progression. Thus we propose the usage of a
combination of a multi-pinhole (MPH) collimator on one head of the SPECT system
and a fan-beam on the other. The MPH would be designed to provide high resolution
and sensitivity for imaging of the interior portion of the brain. The fan-beam
collimator would provide lower resolution but complete sampling of the brain
addressing data sufficiency and allowing a volume-of-interest to be defined over
the occipital lobe for calculation of SBR's. Herein we focus on the design of the
MPH component of the combined system. Combined reconstruction will be addressed
in a subsequent publication. An analysis of 46 clinical DaTscan studies was
performed to provide information to define the VOI, and design of a MPH
collimator to image this VOI. The system spatial resolution for the MPH was set
to 4.7 mm, which is comparable to that of clinical PET systems, and significantly
smaller than that of fan-beam collimators employed in SPECT. With this set, we
compared system sensitivities for three aperture array designs, and selected the
3 * 3 array due to it being the highest of the three. The combined sensitivity of
the apertures for it was similar to that of an ultra-high resolution fan-beam
(LEUHRF) collimator, but smaller than that of a high-resolution fan-beam
collimator (LEHRF). On the basis of these results we propose the further
exploration of this design through simulations, and the development of combined
MPH and fan-beam reconstruction.
PMID- 27182079
TI - Modeling the respiratory motion of solitary pulmonary nodules and determining the
impact of respiratory motion on their detection in SPECT imaging.
AB - The objectives of this investigation were to model the respiratory motion of
solitary pulmonary nodules (SPN) and then use this model to determine the impact
of respiratory motion on the localization and detection of small SPN in SPECT
imaging for four reconstruction strategies. The respiratory motion of SPN was
based on that of normal anatomic structures in the lungs determined from breath
held CT images of a volunteer acquired at two different stages of respiration.
End-expiration (EE) and time-averaged (Frame Av) non-uniform-B-spline cardiac
torso (NCAT) digital-anthropomorphic phantoms were created using this information
for respiratory motion within the lungs. SPN were represented as 1 cm diameter
spheres which underwent linear motion during respiration between the EE and end
inspiration (EI) time points. The SIMIND Monte Carlo program was used to produce
SPECT projection data simulating Tc-99m depreotide (NeoTect) imaging. The
projections were reconstructed using 1) no correction (NC), 2) attenuation
correction (AC), 3) resolution compensation (RC), and 4) attenuation correction,
scatter correction, and resolution compensation (AC_SC_RC). A human-observer
localization receiver operating characteristics (LROC) study was then performed
to determine the difference in localization and detection accuracy with and
without the presence of respiratory motion. The LROC comparison determined that
respiratory motion degrades tumor detection for all four reconstruction
strategies, thus correction for SPN motion would be expected to improve detection
accuracy. The inclusion of RC in reconstruction improved detection accuracy for
both EE and Frame Av over NC and AC. Also the magnitude of the impact of motion
was least for AC_SC_RC.
PMID- 27182081
TI - Stability of the Baseline Holder in Readout Circuits For Radiation Detectors.
AB - Baseline holder (BLH) circuits are used widely to stabilize the analog output of
application-specific integrated circuits (ASICs) for high-count-rate
applications. The careful design of BLH circuits is vital to the overall
stability of the analog-signal-processing chain in ASICs. Recently, we observed
self-triggered fluctuations in an ASIC in which the shaping circuits have a BLH
circuit in the feedback loop. In fact, further investigations showed that methods
of enhancing small-signal stabilities cause an even worse situation. To resolve
this problem, we used large-signal analyses to study the circuit's stability. We
found that a relatively small gain for the error amplifier and a small current in
the non-linear stage of the BLH are required to enhance stability in large-signal
analysis, which will compromise the properties of the BLH. These findings were
verified by SPICE simulations. In this paper, we present our detailed analysis of
the BLH circuits, and propose an improved version of them that have only minimal
self-triggered fluctuations. We summarize the design considerations both for the
stability and the properties of the BLH circuits.
PMID- 27182080
TI - LROC Investigation of Three Strategies for Reducing the Impact of Respiratory
Motion on the Detection of Solitary Pulmonary Nodules in SPECT.
AB - The objective of this investigation was to determine the effectiveness of three
motion reducing strategies in diminishing the degrading impact of respiratory
motion on the detection of small solitary pulmonary nodules (SPN) in single
photon emission computed tomographic (SPECT) imaging in comparison to a standard
clinical acquisition and the ideal case of imaging in the absence of respiratory
motion. To do this non-uniform rational B-spline cardiac-torso (NCAT) phantoms
based on human-volunteer CT studies were generated spanning the respiratory cycle
for a normal background distribution of Tc-99m NeoTect. Similarly, spherical
phantoms of 1.0 cm diameter were generated to model small SPN for each of 150
uniquely located sites within the lungs whose respiratory motion was based on the
motion of normal structures in the volunteer CT studies. The SIMIND Monte Carlo
program was used to produce SPECT projection data from these. Normal and single
lesion containing SPECT projection sets with a clinically realistic Poisson noise
level were created for the cases of: 1) the end-expiration (EE) frame with all
counts, 2) respiration-averaged motion with all counts, 3) one-fourth of the 32
frames centered around EE (Quarter-Binning), 4) one-half of the 32 frames
centered around EE (Half-Binning), and 5) eight temporally binned frames spanning
the respiratory cycle. Each of the sets of combined projection data were
reconstructed with RBI-EM with system spatial-resolution compensation (RC). Based
on the known motion for each of the 150 different lesions, the reconstructed
volumes of respiratory bins were shifted so as to superimpose the locations of
the SPN onto that in the first bin (Reconstruct and Shift). Five human-observers
performed localization receiver operating characteristics (LROC) studies of SPN
detection. The observer results were analyzed for statistical significance
differences in SPN detection accuracy among the three correction strategies, the
standard acquisition, and the ideal case of the absence of respiratory motion.
Our human-observer LROC determined that Quarter-Binning and Half-Binning
strategies resulted in SPN detection accuracy statistically significantly below
(P < 0.05) that of standard clinical acquisition, whereas the Reconstruct and
Shift strategy resulted in a detection accuracy not statistically significantly
different from that of the ideal case. This investigation demonstrates that tumor
detection based on acquisitions associated with less than all the counts which
could potentially be employed may result in poorer detection despite limiting the
motion of the lesion. The Reconstruct and Shift method results in tumor detection
that is equivalent to ideal motion correction.
PMID- 27182082
TI - Probing surface recombination velocities in semiconductors using two-photon
microscopy.
AB - The determination of minority-carrier lifetimes and surface recombination
velocities is essential for the development of semiconductor technologies such as
solar cells. The recent development of two-photon time-resolved microscopy allows
for better measurements of bulk and subsurface interfaces properties. Here we
analyze the diffusion problem related to this optical technique. Our three
dimensional treatment enables us to separate lifetime (recombination) from
transport effects (diffusion) in the photoluminescence intensity. It also allows
us to consider surface recombination occurring at a variety of geometries: a
single plane (representing an isolated exposed or buried interface), two parallel
planes (representing two inequivalent interfaces), and a spherical surface
(representing the enclosing surface of a grain boundary). We provide fully
analytical results and scalings directly amenable to data fitting, and apply
those to experimental data collected on heteroepitaxial CdTe/ZnTe/Si.
PMID- 27182083
TI - Nurse going native: Language and identity in letters from Africa and the British
West Indies.
AB - Colonial nurses were ideal agents of colonial medicine's supposed beneficence:
while practising and teaching "hygiene", they also reinforced racial and cultural
separation. In some cases, however, the nurses took their role as healers and
teachers of local populations much more seriously than was authorized implicitly
by their employer. This article analyses the circulation of original life writing
materials between one nurse, CC, and the Colonial Nursing Association, in order
to chart the considerable anxiety around the concept of nurses' cross-cultural
and cross-racial sympathy during the interwar period. I draw upon colonial
language studies and women's travel writing analysis in order to demonstrate that
many of these concerns centred on issues of language and communication. By
speaking local languages, it was feared that colonial nurses' loyalty would shift
from their employer towards their indigenous patients. This essay places the
concept of "going native" within the contexts of nineteenth-century empire
literature, racial anthropology and ethnology, in order to suggest that concerns
about nurses "going native" were influenced by discourses of degeneration and
acclimatization.
PMID- 27182084
TI - The Analysis of Duocentric Social Networks: A Primer.
AB - Marriages and other intimate partnerships are facilitated or constrained by the
social networks within which they are embedded. To date, methods used to assess
the social networks of couples have been limited to global ratings of social
network characteristics or network data collected from each partner separately.
In the current article, the authors offer new tools for expanding on the existing
literature by describing methods of collecting and analyzing duocentric social
networks, that is, the combined social networks of couples. They provide an
overview of the key considerations for measuring duocentric networks, such as how
and why to combine separate network interviews with partners into one shared
duocentric network, the number of network members to assess, and the implications
of different network operationalizations. They illustrate these considerations
with analyses of social network data collected from 57 low-income married
couples, presenting visualizations and quantitative measures of network
composition and structure.
PMID- 27182085
TI - Poly(thioester) by Organocatalytic Ring-Opening Polymerization.
AB - Organocatalysts typically used for the ring-opening polymerization (ROP) of
cyclic ester monomers are applied to a thiolactone, epsilon-thiocaprolactone
(tCL). In the absence of an H-bond donor, a nucleophilic polymerization mechanism
is proposed. Despite the decreased ability of thioesters and thiols (versus
esters and alcohols) to H-bond, H-bonding organocatalysts-a thiourea in
combination with an H-bond accepting base-are also effective for the ROP of tCL.
The increased nucleophilicity of thiols (versus alcohols) is implicated in the
increased Mw/Mn of the poly(thiocaprolactone) versus poly(caprolactone), but
deleterious transesterification is suppressed in the presence of a thiourea. The
thioester monomer, tCL, is shown to be thermodynamically similar to epsilon
caprolactam but kinetically similar to epsilon-caprolactone.
PMID- 27182086
TI - Rate Accelerated Organocatalytic Ring-Opening Polymerization of L-Lactide via the
Application of a Bis(thiourea) H-bond Donating Cocatalyst.
AB - A cocatalyst system consisting of an alkylamine base and a bis(thiourea)
featuring a linear alkane tether is shown to dramatically increase the rate of
ring-opening polymerization (ROP) of L-lactide versus previously disclosed
monothiourea H-bond donors. Rate acceleration occurs regardless of the identity
of the alkylamine cocatalyst, and the ROP remains controlled yielding
poly(lactide) with narrow molecular weight distributions, predictable molecular
weights and high selectivity for monomer. This H-bond mediated ROP of L-lactide
constitutes a rare, clear example of rate acceleration with bis(thiourea) H-bond
donors versus monothioureas, and the bis(thiourea) is shown to remain highly
active for ROP at fractional percent catalyst loadings. Activation at a single
monomer ester by both thiourea moieties is implicated as the source of rate
acceleration.
PMID- 27182087
TI - Controlled Organocatalytic Ring-Opening Polymerization of epsilon
Thionocaprolactone.
AB - For the first time, the controlled ring-opening polymerization (ROP) of epsilon
thionocaprolactone (tnCL) is conducted. The organocatalytic ROP of tnCL occurs
without carbonyl scrambling, leading to homopoly(epsilon-thionocaprolactone)
(PtnCL). The ROP by base catalysts alone is proposed to proceed via a
nucleophilic mechanism, while the addition of an H-bond donating thiourea (TU) is
shown to provide excellent reaction control. The increased reaction control
provided by the TU occurs in the virtual absence of binding between tnCL and TU,
and a mechanistic account for this observation is discussed. The monomer ring
strain is measured and found to be similar to delta-valerolactone (VL).
Copolymers with VL are synthesized, and the resulting analysis of the copolymer
materials properties provides the only known physical characterizations of
poly(thio(no)ester-co-ester)s.
PMID- 27182088
TI - Contingency Enhances Sensitivity to Loss in a Gambling Task with Diminishing
Returns.
AB - This study examined whether gambling behavior under conditions of diminishing
returns differed between participants with histories of contingent (CD group) and
noncontingent (NCD group) token delivery. In Phase 1, CD participants accrued
tokens by correctly completing a discrimination task; for NCD participants, token
accrual was yoked to token delivery of CD participants. In Phase 2, participants
could choose to gamble their tokens or end the experiment and exchange their
tokens for money. During the gambling task, participants could bet one token per
trial. The probability of losses began at 10% and increased incrementally across
blocks of 10 trials up to 100%. Overall, participants in the CD group gambled on
fewer trials than participants in the NCD group. Costs of token accrual during
Phase 1, in terms of number of trials and duration, showed a positive correlation
with net tokens for the CD group but not the NCD group. Results are consistent
with previous research demonstrating the value-enhancing effects of both prior
contingent delivery and effort, and offer evidence that these histories influence
sensitivity to loss.
PMID- 27182089
TI - INTEGRATED MODELS OF SCHOOL-BASED PREVENTION: LOGIC AND THEORY.
AB - School-based prevention programs can positively impact a range of social,
emotional, and behavioral outcomes. Yet the current climate of accountability
pressures schools to restrict activities that are not perceived as part of the
core curriculum. Building on models from public health and prevention science, we
describe an integrated approach to school-based prevention. These models leverage
the most effective structural and content components of social-emotional and
behavioral health prevention interventions. Integrated interventions are expected
to have additive and synergistic effects that result in greater impacts on
multiple student outcomes. Integrated programs are also expected to be more
efficient to deliver, easier to implement with high quality and integrity, and
more sustainable. We provide a detailed example of the process through which the
PAX-Good Behavior Game and the Promoting Alternative Thinking Strategies (PATHS)
curriculum were integrated into the PATHS to PAX model. Implications for future
research are proposed.
PMID- 27182090
TI - Estimating controlled direct effects of restrictivefeeding practices in the
'Early dieting in girls' study.
AB - In this article, we examine the causal effect of parental restrictive feeding
practices on children's weight status. An important mediator is children's self
regulation status. Recent approaches interpret mediation effects based on the
potential outcomes framework. Inverse probability weighting based on propensity
scores are used to adjust for confounding and reduce the dimensionality of
confounders simultaneously. We show that combining machine learning algorithms
and logistic regression to estimate the propensity scores can be more accurate
and efficient in estimating the controlled direct effects than using logistic
regression alone. A data application shows that the causal effect of mother's
restrictive feeding differs according to whether the daughter eats in the absence
of hunger.
PMID- 27182091
TI - Optimized Synthesis of a Pentafluoro-gem-diol and Conversion to a CF2Br
Glucopyranose through Trifluoroacetate-Release and Halogenation.
AB - Pentafluoro-gem-diols are substrates that enable the synthesis of valuable
difluoromethylene-containing organic molecules through the release of
trifluoroacetate. Currently, only one synthetic strategy is available to assemble
these important precursors. Herein, two new synthetic strategies to a complex
pentafluoro-gem-diol are compared to the existing route, and an improved
synthetic route has completed. Moreover, the first synthesis of a CF2Br
glucopyranose was finished by a tandem trifluoroacetate-release
halogenation/cyclization protocol.
PMID- 27182092
TI - A mild and efficient approach to enantioenriched alpha-hydroxyethyl alpha,beta
unsaturated delta-lactams.
AB - A straightforward approach toward enantioenriched alpha-substituted alpha,beta
unsaturated delta-lactams is described. Although a considerable number of
approches toward alpha,beta-unsaturated delta-lactams have been reported, there
are relatively few examples of enantioenriched alpha,delta-disubstituted
alpha,beta-unsaturated delta-lactams formation. The delta-stereocenter was formed
by addition of allylmagnesium bromide to an N-tert-butylsulfinyl imine. The
alpha,beta-unsaturated delta-lactam was furnished by ring-closing metathesis.
Although Baylis-Hillman chemistry failed on this cyclic compound, introduction of
the hydroxyethyl group prior to ring-closing metathesis was successful. A Baylis
Hillman reaction was used to introduce the substituent at the alpha-position of
the alpha,beta-unsaturated lactam.
PMID- 27182093
TI - Panethnicity revisited: contested group boundaries in the post-9/11 era.
AB - Existing theories of panethnicity in the USA concentrate on Asian Americans and
Latinos, two umbrella groups that originally coalesced during the 1960s civil
rights era. Although the role played by the state is recognized as central to
panethnic development, we argue that the influence of this pivotal variable is
contingent on historical context. Through a case study of emerging minority
groups (Middle Eastern and South Asian Americans in the post-9/11 era), we re
examine the existing conceptualization of panethnicity at a time when the state
plays a more punitive than compensatory role. Using a methodology that draws on a
range of novel sources, we document the way that pre-existing ethnic, religious
and national-origin labels have been reinforced instead of panethnic labels for
the populations under study. Accordingly, we develop an updated conceptualization
of group formation that incorporates historical context and the role of the state
in the post-9/11 era.
PMID- 27182094
TI - Interpersonal Emotion Regulation Questionnaire (IERQ): Scale Development and
Psychometric Characteristics.
AB - Despite the popularity of emotion regulation in the contemporary literature,
research has almost exclusively focused on only intrapersonal processes, whereas
much less attention has been placed in interpersonal emotion regulation
processes. In order to encourage research on interpersonal emotion regulation, we
present a series of 4 studies to develop the Interpersonal Emotion Regulation
Questionnaire (IERQ). The final scale consists of 20 items with 4 factors
containing 5 items each. The 4 factors are: Enhancing Positive Affect;
Perspective Taking; Soothing; and Social Modeling. The scale shows excellent
psychometric characteristics. Implications for future research are discussed.
PMID- 27182095
TI - Evaluation of immunohistochemical staining for glucagon in human pancreatic
tissue.
AB - Immunohistochemistry (IHC) and immunofluorescence (IF) staining techniques are
important diagnostic tools of anatomic pathology in the clinical setting and
widely used analytical tools in research laboratories. In diabetes research, they
are routinely used for the assessment of beta- and alpha-cell mass, for
assessment of endocrine cell distribution within the pancreas, for evaluation of
islet composition and islet morphology. Here, we present the evaluation of IHC
techniques for the detection of alpha-cells in human pancreatic tissue. We
compared the Horse Radish Peroxidase (HRP)-based method utilizing DAB Peroxidase
Substrate to the Alkaline Phosphatase (AP)-based method utilizing Vector Red
substrate. We conclude that HRP-DAB staining is a robust and reliable method for
detection of alpha-cells using either rabbit polyclonal or mouse monoclonal anti
glucagon antibodies. However, AP-Vector Red staining should be used with caution,
because it is affected by the dehydration with ethanol and toluene preceding the
mounting of slides with Permount mounting medium. When AP-Vector Red is a
preferable method for alpha-cell labeling, slides should be mounted using aqueous
mounting medium or, alternatively, they could be air-dried before permanent
mounting.
PMID- 27182096
TI - Biomechanics Simulations Using Cubic Hermite Meshes with Extraordinary Nodes for
Isogeometric Cardiac Modeling.
AB - Cubic Hermite hexahedral finite element meshes have some well-known advantages
over linear tetrahedral finite element meshes in biomechanical and anatomic
modeling using isogeometric analysis. These include faster convergence rates as
well as the ability to easily model rule-based anatomic features such as cardiac
fiber directions. However, it is not possible to create closed complex objects
with only regular nodes; these objects require the presence of extraordinary
nodes (nodes with 3 or >= 5 adjacent elements in 2D) in the mesh. The presence of
extraordinary nodes requires new constraints on the derivatives of adjacent
elements to maintain continuity. We have developed a new method that uses an
ensemble coordinate frame at the nodes and a local-to-global mapping to maintain
continuity. In this paper, we make use of this mapping to create cubic Hermite
models of the human ventricles and a four-chamber heart. We also extend the
methods to the finite element equations to perform biomechanics simulations using
these meshes. The new methods are validated using simple test models and applied
to anatomically accurate ventricular meshes with valve annuli to simulate
complete cardiac cycle simulations.
PMID- 27182097
TI - Modeling sleep fragmentation in sleep hypnograms: An instance of fast, scalable
discrete-state, discrete-time analyses.
AB - Methods are introduced for the analysis of large sets of sleep study data
(hypnograms) using a 5-state 20-transition-type structure defined by the American
Academy of Sleep Medicine. Application of these methods to the hypnograms of 5598
subjects from the Sleep Heart Health Study provide: the first analysis of sleep
hypnogram data of such size and complexity in a community cohort with a range of
sleep-disordered breathing severity; introduce a novel approach to compare 5
state (20-transition-type) to 3-state (6-transition-type) sleep structures to
assess information loss from combining sleep state categories; extend current
approaches of multivariate survival data analysis to clustered, recurrent event
discrete-state discrete-time processes; and provide scalable solutions for data
analyses required by the case study. The analysis provides detailed new insights
into the association between sleep-disordered breathing and sleep architecture.
The example data and both R and SAS code are included in online supplementary
materials.
PMID- 27182098
TI - Adaptive importance sampling for network growth models.
AB - Network Growth Models such as Preferential Attachment and Duplication/Divergence
are popular generative models with which to study complex networks in biology,
sociology, and computer science. However, analyzing them within the framework of
model selection and statistical inference is often complicated and
computationally difficult, particularly when comparing models that are not
directly related or nested. In practice, ad hoc methods are often used with
uncertain results. If possible, the use of standard likelihood-based statistical
model selection techniques is desirable. With this in mind, we develop an
Adaptive Importance Sampling algorithm for estimating likelihoods of Network
Growth Models. We introduce the use of the classic Plackett-Luce model of
rankings as a family of importance distributions. Updates to importance
distributions are performed iteratively via the Cross-Entropy Method with an
additional correction for degeneracy/over-fitting inspired by the Minimum
Description Length principle. This correction can be applied to other estimation
problems using the Cross-Entropy method for integration/approximate counting, and
it provides an interpretation of Adaptive Importance Sampling as iterative model
selection. Empirical results for the Preferential Attachment model are given,
along with a comparison to an alternative established technique, Annealed
Importance Sampling.
PMID- 27182099
TI - Pyran Template Approach to the Design of Novel A3 Adenosine Receptor Antagonists.
AB - [Table: see text] A3 adenosine receptor antagonists have potential as anti
inflammatory, anti-asthmatic, and anti-ischemic agents. We previously reported
the preparation of chemical libraries of 1,4-dihydropyridine (DHP) and pyridine
derivatives and identification of members having high affinity at A3 adenosine
receptors. These derivatives were synthesized through standard three-component
condensation/oxidation reactions, which permitted versatile ring substitution at
five positions, i.e., the central ring served as a molecular scaffold for
structurally diverse substituents. We extended this template approach from the
DHP series to chemically stable pyran derivatives, in which the ring NH is
replaced by O and which is similarly derived from a stepwise reaction of three
components. Since the orientation of substituent groups may be conformationally
similar to the 1,4-DHPs, a direct comparison between the structure activity
relationships of key derivatives in binding to adenosine receptors was carried
out. Affinity at human A3 receptors expressed in CHO cells was determined vs.
binding of [125I]AB-MECA (N6-(4-amino-3-iodobenzyl)-5'-N-methyl
carbamoyladenosine). There was no potency-enhancing effect, as was observed for
DHPs, of 4-styryl, 4-phenylethynyl, or 6-phenyl substitutions. The most potent
ligands in this group in binding to human A3 receptors were 6-methyl and 6-phenyl
analogs, 3a (MRS 1704) and 4a (MRS 1705), respectively, of 3,5-diethyl 2-methyl-4
phenyl-4H-pyran-3,5-dicarboxylate, which had Ki values of 381 and 583 nM,
respectively. These two derivatives were selective for human A3 receptors vs. rat
brain A1 receptors by 57-fold and 24-fold, respectively. These derivatives were
inactive in binding at rat brain A2A receptors, and at recombinant human A2B
receptors displayed Ki values of 17.3 and 23.2 MUM, respectively. The
selectivity, but not affinity, of the pyran derivatives in binding to the A3
receptor subtype was generally enhanced vs. the corresponding DHP derivatives.
PMID- 27182100
TI - Rapid learning of associations between sound and action through observed
movement. A TMS study.
AB - Research has established that there is a cognitive link between perception and
production of the same movement. However, there has been relatively little
research into the relevance of this for non-expert perceivers, such as music
listeners who do not play instruments themselves. In two experiments we tested
whether participants can quickly learn new associations between sounds and
observed movement without performing those movements themselves. We measured
motor evoked potentials (MEPs) in the first dorsal interosseous muscle of
participants' right hands while test tones were heard and single transcranial
magnetic stimulation (TMS) pulses were used to trigger motor activity. In
Experiment 1 participants in a 'human' condition (n=4) learnt to associate the
test tone with finger movement of the experimenter, while participants in a
'computer' condition (n=4) learnt that the test tone was triggered by a computer.
Participants in the human condition showed a larger increase in MEPs compared
with those in the computer condition. In a second experiment pairing between
sounds and movement occurred without participants repeatedly observing the
movement and we found no such difference between the human (n=4) and computer
(n=4) conditions. These results suggest that observers can quickly learn to
associate sound with movement, so it should not be necessary to have played an
instrument to experience some motor resonance when hearing that instrument.
PMID- 27182101
TI - Titanocene-Gold Complexes Containing N-Heterocyclic Carbene Ligands Inhibit
Growth of Prostate, Renal, and Colon Cancers in Vitro.
AB - We report on the synthesis, characterization, and stability studies of new
titanocene complexes containing a methyl group and a carboxylate ligand (mba =
OC(O)-p-C6H4-S-) bound to gold(I)-N-heterocyclic carbene fragments through the
thiolate group: [(eta5-C5H5)2TiMe(MU-mba)Au(NHC)]. The cytotoxicities of the
heterometallic compounds along with those of novel monometallic gold-N
heterocyclic carbene precursors [(NHC)Au(mbaH)] have been evaluated against
renal, prostate, colon, and breast cancer cell lines. The highest activity and
selectivity and a synergistic effect of the resulting heterometallic species was
found for the prostate and colon cancer cell lines. The colocalization of both
titanium and gold metals (1:1 ratio) in PC3 prostate cancer cells was
demonstrated for the selected compound 5a, indicating the robustness of the
heterometallic compound in vitro. We describe here preliminary mechanistic data
involving studies on the interaction of selected mono- and bimetallic compounds
with plasmid (pBR322) used as a model nucleic acid and the inhibition of
thioredoxin reductase in PC3 prostate cancer cells. The heterometallic compounds,
which are highly apoptotic, exhibit strong antimigratory effects on the prostate
cancer cell line PC3.
PMID- 27182102
TI - Two-photon in vivo flow cytometry using a fiber probe.
AB - We have demonstrated the use of a double-clad fiber probe to conduct two-photon
excited flow cytometry in vitro and in vivo. We conducted two-channel detection
to measure fluorescence at two distinct wavelengths simultaneously. Because the
scattering and absorption problems from whole blood were circumvented by the
fiber probe, the detected signal strength from the cells were found to be similar
in PBS and in whole blood. We achieved the same detection efficiency of the
membrane-binding lipophilic dye DiD labeled cells in PBS and in whole blood. High
detection efficiency of green fluorescent protein (GFP)-expressing cells in whole
blood was demonstrated. DiD-labeled untransfected and GFP-transfected cells were
injected into live mice and the circulation dynamics of the externally injected
cells were monitored. The detection efficiency of GFP-expressing cells in vivo
was consistent with that observed in whole blood.
PMID- 27182104
TI - 'Marge': a European Elderberry for North American Producers.
AB - Elderberries are being increasingly produced and consumed in North America for
their edible and medicinal flowers and fruits. The American elderberry (Sambucus
nigra subsp. canadensis) is native to, and most often cultivated in North
America. The European elderberry (S. nigra subsp. nigra) has been developed into
an economically-important horticultural crop in Europe, but most European
cultivars do not perform well in the midwestern USA. The genotype S. nigra subsp.
nigra 'Marge' is an open-pollinated seedling of S. nigra subsp. nigra
'Haschberg', which is one of the most popular elderberry cultivars grown in
Europe. In a four-year study (one establishment year followed by 3 production
years; 2008-2011) at three Missouri (USA) locations, 'Marge' significantly out
performed and out-yielded eight American elderberry genotypes within the same
replicated field plots. Across 3 production years at all three sites, 'Marge'
achieved budbreak later, flowered earlier, suffered less Eriophyid mite damage,
was taller, produced larger berries, and yielded significantly greater amounts of
fruit compared with all eight American elderberry genotypes in the study. At one
site, 'Marge' produced three times the yield (1.89 kg/plant) compared with the
next highest-producing American elderberry genotype (0.65 kg/plant). It is an
exceptionally robust and drought-resistant elderberry. The phenotypic attributes
of 'Marge' are similar to that of European elderberry except that it performs
exceptionally well in the midwestern USA. DNA marker results, along with
phenological and morphological characteristics, indicate that 'Marge' is a
European elderberry (S. nigra subsp. nigra). As with most European genotypes,
'Marge' does not fruit on first-year wood, and will therefore require a different
pruning regimen compared with American elderberry for success in North American
production. We do not yet know how 'Marge' will perform outside the midwestern
USA, but it is so productive, unique, and mite resistant, that it merits
introduction as a cultivar.
PMID- 27182103
TI - The relationship between testosterone and long-distance calling in wild male
chimpanzees.
AB - Long-distance calling is a common behaviour in animals that has various important
social functions. At a physiological level, calling is often mediated by gonadal
hormones such as testosterone (T), particularly when its function is linked to
intra-sexual competition for mates or territory. T also plays an important role
in the development of vocal characteristics associated with dominance in humans.
However, the few available studies of T and vocal behaviour in non-human primates
suggest that in primates T has less influence on call production than in other
animals. We tested this hypothesis by studying the relationship between T
concentrations and pant hooting in wild male chimpanzees (Pan troglodytes
schweinfurthii) of the Kanyawara community in the Kibale National Park, Uganda.
We found three kinds of correlation. Hourly T averages were positively associated
with hourly rates of pant-hooting. Monthly T levels were likewise correlated with
monthly rates of pant hooting after controlling for other influences such as
fission-fusion rates. Finally, males with high T levels had higher peak frequency
at the start of the call climax. These results suggest that T affects the
production of pant-hoots in chimpanzees. This implies that the pant-hoot call
plays a role in male-male competition. We propose that even in cognitively
sophisticated species, endocrine mechanisms can contribute to regulating vocal
production.
PMID- 27182105
TI - Conditional Effects of Appearance and Performance Enhancing Drugs (APEDs) Use on
Mood in Powerlifters and Bodybuilders.
AB - Risk factors for steroid mood effects are unclear and few studies have examined
drugs used concurrently with steroids (e.g., stimulants) or emotion regulation
deficits that may have unique mood effects. We examined effects of steroid use,
stimulant use, and history of mood, trauma, or anxiety disorders on mood in 130
men via online survey. Both steroid and stimulant use had few unique effects on
mood. However, stimulant use was associated with increased negative affect and
decreased automatic positive thoughts among individuals with a history of mood,
trauma, or anxiety disorders. Results highlight the importance of patient
differences in steroid effects.
PMID- 27182106
TI - Effects of Endogenous Salicylic Acid During Calcium Deficiency-Induced Tipburn in
Chinese Cabbage (Brassica rapa L. ssp. pekinensis).
AB - By cultivating tipburn-susceptible plants in modified Hoagland's medium
containing of gradient exogenous calcium (Ca2+), we have shown that Ca2+
deficiency is one of the main causes of tipburn in Chinese cabbage (Brassica rapa
L. ssp. pekinensis). The effect of endogenous plant Ca2+ concentrations on
tipburn was also studied in a doubled haploid (DH) population consisting of 100
individuals, but no correlation was found. We then examined the expression of 12
Ca2+ transporter genes that function in cytosolic Ca2+ homeostasis in both
tipburn-susceptible and tipburn-resistant plants under normal and tipburn
inducing conditions. Expression patterns for most of these genes differed between
the two types of plants. Salicylic acid (SA) accumulated in response to
conditions of calcium deficiency in our study, and both total SA and SA beta
glucoside (SAG) in tipburn-susceptible plants was ~3-fold higher than it was in
resistant plants following Ca2+ deficiency treatment. Also, the changes observed
in SA levels correlated well with cell death patterns revealed by trypan blue
staining. Therefore, we speculate that the cytoplasmic Ca2+ fluctuation-induced
downstream signaling events, as well as SA signaling or other biological events,
are involved in the plant defense response to tipburn in Chinese cabbage.
PMID- 27182107
TI - Expression of IPT in Asakura-sanshoo (Zanthoxylum piperitum (L.) DC. f. inerme
Makino) Alters Tree Architecture, Delays Leaf Senescence, and Changes Leaf
Essential Oil Composition.
AB - The IPT gene encodes isopentenyl pyrophosphate transferase, a key enzyme in
cytokinin biosynthesis. We introduced IPT under the control of the CaMV35S
promoter into Asakura-sanshoo (Zanthoxylum piperitum (L.) DC. f. inerme Makino)
via stable Agrobacterium tumefaciens-mediated transformation. Three of 3-year-old
transgenic Asakura-sanshoo lines Y5, Y16, and Y17 were used to evaluate the
effects of IPT expression on the morphological characteristics, leaf senescence,
and essential oil composition. Introduced IPT into Asakura-sanshoo stimulated an
increase in cytokinin content and a decrease in auxin level. The increase in the
cytokinin/auxin ratio affected the tree architecture in 3-year-old transgenic
lines. The phenotypes of transgenic lines included reduced stem elongation,
decreased leaf surface area, increased branching, and delayed leaf senescence.
The expression of IPT in Asakura-sanshoo also affected the leaf essential oil
composition. The amount of oxygenated sesquiterpenoid compounds in Y5 and Y16 was
21.1 and 15.8 % higher, respectively, than that in wild type (WT). The amount of
aromatic compounds in Y5 and Y16 was 2.9 and 24.6 % lower, respectively, than
that in WT. These results show that ipt expression in Asakura-sanshoo conferred
desirable traits, including a dwarf growth habit, delayed senescence, and
increased concentrations of some sesquiterpenoid compounds.
PMID- 27182108
TI - Longitudinal Associations between Anhedonia and Internet-Related Addictive
Behaviors in Emerging Adults.
AB - Internet addiction (including online gaming) has been associated with depression.
However, most prior research relating internet addiction symptomatology to
depressive symptoms has been cross-sectional, conducted with children and
adolescents, and only examined depressive symptoms as a broad construct. The
purpose of the current study was to examine potential longitudinal associations
between anhedonia (i.e., difficulty experiencing pleasure, a key facet of
depression) and internet-related addictive behaviors in 503 at-risk emerging
adults (former attendees of alternative high schools). Participants completed
surveys at baseline and approximately one year later (9-18 months later). Results
indicated that trait anhedonia prospectively predicted greater levels of
compulsive internet use and addiction to online activities as well as a greater
likelihood of addiction to online/offline video games. These findings suggest
that anhedonia may contribute to the development of internet-related addictive
behaviors in the emerging adult population. Thus, interventions that target
anhedonia in emerging adulthood (e.g., bupropion treatment or behavioral
activation therapy) may help prevent or treat internet addiction.
PMID- 27182110
TI - 3D Magnetic Induction Maps of Nanoscale Materials Revealed by Electron
Holographic Tomography.
AB - The investigation of three-dimensional (3D) ferromagnetic nanoscale materials
constitutes one of the key research areas of the current magnetism roadmap and
carries great potential to impact areas such as data storage, sensing, and
biomagnetism. The properties of such nanostructures are closely connected with
their 3D magnetic nanostructure, making their determination highly valuable. Up
to now, quantitative 3D maps providing both the internal magnetic and electric
configuration of the same specimen with high spatial resolution are missing.
Here, we demonstrate the quantitative 3D reconstruction of the dominant axial
component of the magnetic induction and electrostatic potential within a cobalt
nanowire (NW) of 100 nm in diameter with spatial resolution below 10 nm by
applying electron holographic tomography. The tomogram was obtained using a
dedicated TEM sample holder for acquisition, in combination with advanced
alignment and tomographic reconstruction routines. The powerful approach
presented here is widely applicable to a broad range of 3D magnetic
nanostructures and may trigger the progress of novel spintronic nonplanar
nanodevices.
PMID- 27182109
TI - Emergence and Utility of Nonspherical Particles in Biomedicine.
AB - The importance of the size of targeted, spherical drug carriers has been
previously explored and reviewed. Particle shape has emerged as an equally
important parameter in determining the in vivo journey and efficiency of drug
carrier systems. Researchers have invented techniques to better control the
geometry of particles of many different materials, which have allowed for
exploration of the role of particle geometry in the phases of drug delivery. The
important biological processes include clearance by the immune system,
trafficking to the target tissue, margination to the endothelial surface,
interaction with the target cell, and controlled release of a payload. The review
of current literature herein supports that particle shape can be altered to
improve a system's targeting efficiency. Non-spherical particles can harness the
potential of targeted drug carriers by enhancing targeted site accumulation while
simultaneously decreasing side effects and mitigating some limitations faced by
spherical carriers.
PMID- 27182111
TI - Stress-reactive rats (high-avoidance female rats) have a shorter lifespan than
stress-nonreactive rats (low-avoidance female rats).
AB - Although Hatano high-avoidance and low-avoidance rats (HAA and LAA, respectively)
have been selectively bred for good versus poor avoidance learning, HAA rats are
known to be more reactive to stress than LAA rats. In this study, HAA and LAA
female rats were compared during reproductive aging by observing estrous cycles
from 8 to 11 months of age. Furthermore, these rats were allowed to live out
their natural lifespans, that is, until 24 months of age, in order to compare
their survival and to clarify the relationship between reproductive aging and
tumor development. At eight months of age, 2 of 35 HAA rats and 20 of 35 LAA rats
had abnormal estrous cycles. The median lifespan of the HAA rats (673 days) was
shorter than that of the LAA rats (733 days). The incidence of pituitary
neoplasia was higher in the HAA rats than in the LAA rats. These results suggest
that HAA female rats (i.e., stress-reactive rats) have a shorter lifespan than
LAA female rats (i.e., stress-nonreactive rats) and develop pituitary neoplasia,
which was one of the causal factors in their accelerated mortality. However, the
onset of an age-matched abnormal cycle did not correspond with their lifespan.
PMID- 27182112
TI - Evaluation of potential activity of luseogliflozin on vascular proliferation in
the mesenteric lymph node with or without vascular tumors in Sprague-Dawley rats
in a carcinogenicity study.
AB - The incidence of mesenteric lymph node vascular tumors can vary in rats, and
appropriate assessment of potential risk of tumorigenicity is needed when the
incidence is higher in treated groups than in a control group. In a 2-year rat
carcinogenicity study of luseogliflozin, a selective sodium-dependent glucose co
transporter 2 inhibitor for the treatment of type 2 diabetes mellitus, there was
a slight but statistically significant increase in the total number of
hemangiomas and hemangiosarcomas in the mesenteric lymph nodes in males at a high
dose. As part of the risk assessment for luseogliflozin, its effect on the
vascular proliferation potential in the mesenteric lymph nodes was examined in a
rat carcinogenicity study by performing an image analysis using specimens with
double immunohistochemical staining for PCNA and CD34 in control and high-dose
males. In addition, immunohistochemical staining for VEGF was performed to detect
enhanced angiogenesis. In the high-dose males that did not have a
hemangioma/hemangiosarcoma, neither an increased number of PCNA/CD34-positive
cells nor changes in the expression pattern of VEGF was observed. On the other
hand, in the high-dose males that had a hemangioma/hemangiosarcoma, the number of
PCNA-positive cells was increased in the tumor areas, and the number in the
hemangioma/hemangiosarcoma was approximately one-half of that in the
hemangiosarcoma in the control male. In conclusion, no potential change leading
to vascular proliferation/tumors was detected in the mesenteric lymph nodes of
high-dose males receiving luseogliflozin.
PMID- 27182114
TI - Keishibukuryogan is not carcinogenic in Sprague-Dawley rats.
AB - Keishibukuryogan is a traditional Japanese medicine widely administered to
patients with menopausal symptoms. Because humans use it on a long-term basis, we
believed that a carcinogenicity study was warranted. We orally administered
keishibukuryogan (TJ-25) extract powder to 6-week-old Sprague-Dawley rats
[Crl:CD(SD)], which were divided into four dosage groups-0 (water for injection),
100, 500 and 2,500 mg/kg/day for 24 months. We found that TJ-25 did not affect
the survival rate of either sex. Furthermore, it did not affect the clinical
condition of the rats, number of superficial tumors found by palpation, body
weight, food consumption, hematology, or gross pathological findings. The
severity of degeneration of muscle fiber in the femoral skeletal muscle increased
slightly in males and females in the 2,500 mg/kg/day group, but TJ-25 did not
increase the number of tumors found on histopathological examination. In our
study, oral administration of TJ-25 extract powder in rats for 24 months was not
associated with an increased incidence of tumors.
PMID- 27182115
TI - Spontaneous malignant myoepithelioma of the maxillary gland in a young adult male
BALB/c F1 hybrid mouse.
AB - A spontaneous tumor was observed in the maxillary gland of a 12-week-old male
BALB/c F1 hybrid mouse. Histopathologically, the tumor invaded both the nasal
cavity and maxillary sinus, and ethmoturbinates were destroyed. The majority of
the tumor cells had oval nuclei with eosinophilic and vacuolar cytoplasm.
Numerous mitotic figures and necrotic foci were also observed throughout the
tumor. Immunohistochemically, almost all of the tumor cells were strongly
positive for cytokeratin (WSS) and alpha-smooth muscle actin. However, tumor
cells were negative for cytokeratin (CAM 5.2), suggesting that these tumor cells
originated from myoepithelial cells. The present tumor was diagnosed as a
malignant myoepithelioma of the maxillary gland. This is the first report
describing spontaneous malignant myoepithelioma in the maxillary gland of a young
adult BALB/c F1 hybrid mouse.
PMID- 27182113
TI - Establishment of a mouse model for pulmonary inflammation and fibrosis by
intratracheal instillation of polyhexamethyleneguanidine phosphate.
AB - Although several animal models have been developed to study human pulmonary
fibrosis, lack of a perfect model has raised the need for various animal models
of pulmonary fibrosis. In this study, we evaluated the pulmonary effect of
polyhexamethyleneguanidine phosphate instillation into the lungs of mice to
determine the potential of these mice as a murine model of pulmonary fibrosis.
Intratracheal instillation of polyhexamethyleneguanidine phosphate induced severe
lung inflammation manifested by the infiltration of mononuclear cells and
neutrophils and increased production of IL-6, TNF-alpha, CCL2 and CXCL1. The lung
inflammation gradually increased until 28 days after polyhexamethyleneguanidine
phosphate exposure, and increases of collagen deposition and TGF-beta production,
which are indicators of pulmonary fibrosis, were seen. Our study showed that
intratracheal instillation of polyhexamethyleneguanidine phosphate induces
pulmonary inflammation and fibrosis in mice.
PMID- 27182116
TI - Histopathology of a wavy medaka.
AB - Wavy medakas are medakas that exhibit spinal curvature characterized by
dorsoventrally curved vertebrae. We found a spontaneous wavy medaka in our
experimental stock and subjected it to a histopathological examination.
Macroscopically, the wavy medaka's spine formed an M shape, and its vertebrae
displayed a dorsoventral curvature that started at the third vertebral bone.
Microscopically, the vertebral cavities were filled with fibrous tissue, which
was similar to that seen in the central parts of the intervertebral discs of a
normal medaka. The vertebral joints were composed of vacuolated notochord cells
without intervertebral disc formation. These changes were also observed in the
caudal region, which exhibited less curvature. In the normal medaka, the
intervertebral discs form via the regression of the notochord that plays a key
role in the development of vertebrae and disc formation. We concluded that
notochordal subinvolution had induced intervertebral disc dysplasia, leading to
lordokyphosis, in the wavy medaka.
PMID- 27182117
TI - Spontaneous cutaneous soft tissue sarcoma with differentiation into fibroblasts
in a Sprague-Dawley rat.
AB - A small mass with an ulcer was found in the skin of the dorsal cervix of a 7
month-old male Sprague-Dawley rat. Histologically, the central region of the
tumor showed a high cellular density with oval-shaped tumor cells arranged in an
alveolar pattern and thin collagen fiber bundles. The peripheral region of the
tumor had a low cellular density with short spindle- or polygonal-shaped tumor
cells surrounded by abundant collagen fiber bundles. Immunohistochemically, the
tumor cells were strongly positive for vimentin and proliferating cell nuclear
antigen, and a portion of the short spindle- or polygonal-shaped cells located in
the peripheral region of the tumor were positive for S100A4. However, the tumor
cells were negative for alpha-smooth muscle actin, desmin, S100, chromogranin A,
neurofilament, CD68, Iba-1, cytokeratin 20, von Willebrand factor, melanosome,
and anti-melanoma. Electron microscopically, the tumor cells had an abundance of
rough endoplasmic reticulum, the Golgi apparatus, and a few intracellular
collagen fibrils, showing fibroblastic features. Considering the lack of
diagnostic differentiation, the tumor was diagnosed as an undifferentiated
malignant mesenchymal tumor and classified as a soft tissue sarcoma with
differentiation into fibroblasts in a portion of the tumor cells.
PMID- 27182118
TI - Histopathological characteristics of renal changes in human renin-angiotensinogen
double transgenic rats.
AB - The human renin-angiotensinogen double transgenic rat (dTGR) is a model of
hypertension. The aim of this short report was to describe the histopathological
characteristics of the renal changes in this rat strain in detail. Seven to nine
week-old male dTGRs were euthanized, and their kidneys were histopathologically
examined. At the time of sacrifice, the average systolic blood pressure of the
dTGRs was 258 mmHg, while that of age-matched, normal Sprague-Dawley rats was 135
mmHg. In the kidney, histopathological changes were observed mainly in blood
vessels, tubules and glomeruli. In blood vessels, changes including medial
hypertrophy, intimal thickening, hyaline change and/or fibrinoid necrosis were
observed in arteries and arterioles. In tubules, changes including tubular
basophilia were observed radially, mainly around interlobular arteries with
lesions. In glomeruli, changes including hyaline droplet accumulation in
podocytes, which was accompanied by increased expression of desmin, were
observed. These changes were similar to those reported in other hypertension
models, such as the spontaneously hypertensive rat (SHR). We hope that this short
report will be helpful in histopathological examination of renal changes in this
or other hypertension models.
PMID- 27182119
TI - Increased MFG-E8 expression and its implications in the vascular pathophysiology
of cocaine abuse.
AB - The aim of this study was to examine the possible involvement of smooth muscle
cell remodeling and the induction of MFG-E8 (milk fat globule protein epidermal
growth factor-VIII) in vascular pathophysiology during cocaine administration in
cultured cells and rats. Cocaine exerts bifurcate effects on vascular cells; it
stimulates vasoconstriction through enhancement of catecholamine release at low
doses, while it suppresses cardiovascular functions through inhibition of ion
channels at high doses. Short-term exposure to a high concentration of cocaine (3
mM, 24 hr) resulted in cell death of A7r5 rat aorta-derived smooth muscle cells.
On the other hand, long-term exposure of the same cells to a low concentration
(0.3 mM, ~7 days) resulted in a transient increase in MFG-E8 expression followed
by an increased tendency toward cyclin D1, PCNA (proliferating cell nuclear
antigen), and CDK4 (cyclin-dependent protein kinase-4) expression. Interestingly,
autophagy was not induced, but rather was impaired, in cocaine-treated cells.
Increased expressions of MFG-E8, PCNA, and CDK4 were also observed in the aortic
vascular cells of rats administered cocaine (50 mg/kg, 2 days, i.v.), confirming
that cocaine induced MFG-E8 expression in vivo. Taken together, the results show
that MFG-E8 is induced in vascular cells exposed to cocaine, and that this
induction is likely to be involved in the vascular toxicity elicited by cocaine
abuse.
PMID- 27182121
TI - Erratum.
AB - [This corrects the article on p. 223 in vol. 24.].
PMID- 27182120
TI - Transient effects of empty liposomes on hepatic macrophage populations in rats.
AB - Liposomes have been used as a vehicle for encapsulating chemicals or toxins in
toxicological studies. We investigated the transient effects of empty liposomes
on hepatic macrophages by applying a single intravenous injection at a dose of 10
ml/kg body weight in 6-week-old male F344 rats. One day after injection, the
numbers of hepatic macrophages reacting to CD163, CD68, Iba-1, MHC class II, Gal
3 and CD204 were significantly increased in liposome-treated rats. CD163(+)
Kupffer cells and CD68(+) macrophages with increased phagocytic activity in
hepatic lobules were most sensitive. The histological architecture of the liver
was not changed following liposome injection; however, hepatocytes showed
increased proliferating activity, demonstrable with proliferation marker
immunostaining and by an increase in gene profiles related to the cell cycle. In
the liposome-treated rats, interestingly, AST and ALT values were significantly
decreased, and MCP-1, IL-1beta and TGF-beta1 mRNAs were significantly increased.
Collectively, the present study found that hepatic macrophages activated by
liposomes can influence liver homeostasis. This information would be useful for
background studies on liposomes.
PMID- 27182122
TI - Covariant Image Representation with Applications to Classification Problems in
Medical Imaging.
AB - Images are often considered as functions defined on the image domains, and as
functions, their (intensity) values are usually considered to be invariant under
the image domain transforms. This functional viewpoint is both influential and
prevalent, and it provides the justification for comparing images using
functional Lp -norms. However, with the advent of more advanced sensing
technologies and data processing methods, the definition and the variety of
images has been broadened considerably, and the long-cherished functional
paradigm for images is becoming inadequate and insufficient. In this paper, we
introduce the formal notion of covariant images and study two types of covariant
images that are important in medical image analysis, symmetric positive-definite
tensor fields and Gaussian mixture fields, images whose sample values covary
i.e., jointly vary with image domain transforms rather than being invariant to
them. We propose a novel similarity measure between a pair of covariant images
considered as embedded shapes (manifolds) in the ambient space, a Cartesian
product of the image and its sample-value domains. The similarity measure is
based on matching the two embedded low-dimensional shapes, and both the extrinsic
geometry of the ambient space and the intrinsic geometry of the shapes are
incorporated in computing the similarity measure. Using this similarity as an
affinity measure in a supervised learning framework, we demonstrate its
effectiveness on two challenging classification problems: classification of brain
MR images based on patients' age and (Alzheimer's) disease status and seizure
detection from high angular resolution diffusion magnetic resonance scans of rat
brains.
PMID- 27182123
TI - Silymarin content in Silybum marianum populations growing in Egypt.
AB - Chemical variation of Silybum marianum growing in the north, middle, and south of
Egypt was investigated. Variation was assessed according to the content of the
individual silymarin components in the fruits of the plant. The fruits were
distinguished according to location, plant variety, and fruit color (maturity).
Accelerated solvent extraction was used to standardize the silymarin extraction.
Quantitative analysis of the content of silymarin components was carried out
using HPLC with qNMR-controlled reference standards of taxifolin and seven major
flavonolignans including silybin A, silybin B, isosilybin A, isosilybin B,
silychristin, isosilychristin, and silydianin. The quantification method was
validated in accordance with ICH guidelines. Principal component analysis and
hierarchical clustering were carried out to create homogeneous clusters of
samples based on the content of the silymarin components. Taxifolin had the
lowest correlation relative to other silymarin components, whereas silybin A was
positively correlated with silybin B. The samples clustered into three classes:
silydianin-rich samples, samples with an average silymarin content of <18.8 mg/g,
and one class enriched in silymarin (>18.8 mg/g). S. marianum growing in the Nile
delta showed the highest silymarin content. No correlation was found between
fruit color and silymarin content, indicating that the fruit maturity stage has
no significance.
PMID- 27182124
TI - Evaluating life in foster homes for persons with serious mental illness: Resident
and caregiver perspectives.
AB - BACKGROUND: In Montreal Canada, the majority of persons with serious mental
illness discharged from psychiatric hospitals were placed into foster homes. Very
little updated information exists on life in foster homes, and the level of
autonomy allowed in this residential setting. AIMS: The purpose of the study was
to elicit the foster home residents' opinions about their lives in this setting
and their caregiver's perception of the level of autonomy allowed. METHOD: Two
questionnaires were administered to 102 foster home residents and their
caregivers: (1) Patient Attitude Questionnaire and (2) Hospital and Hostel
Practices Profile Survey. RESULTS: Consumers are satisfied living in this type of
milieu and do not desire to change their housing. The foster home provides
residents with a sense of security and well being. Foster homes rank second in
terms of autonomy when compared to hospitals and hostels. Only supported
apartments rank higher. CONCLUSION: These findings shed a new light on this type
of residential milieu. Over time the structure of foster homes has evolved. This
study points to the need to value the opinions of consumers and not force people
to move onto other types of housing.
PMID- 27182125
TI - The promises of big data and small data for travel behavior (aka human mobility)
analysis.
AB - The last decade has witnessed very active development in two broad, but separate
fields, both involving understanding and modeling of how individuals move in time
and space (hereafter called "travel behavior analysis" or "human mobility
analysis"). One field comprises transportation researchers who have been working
in the field for decades and the other involves new comers from a wide range of
disciplines, but primarily computer scientists and physicists. Researchers in
these two fields work with different datasets, apply different methodologies, and
answer different but overlapping questions. It is our view that there is much,
hidden synergy between the two fields that needs to be brought out. It is thus
the purpose of this paper to introduce datasets, concepts, knowledge and methods
used in these two fields, and most importantly raise cross-discipline ideas for
conversations and collaborations between the two. It is our hope that this paper
will stimulate many future cross-cutting studies that involve researchers from
both fields.
PMID- 27182126
TI - Pattern of induced estrus and conception rate following Ovsynch and Ovsynch based
gonadotropin-releasing hormone treatments initiated on day 6 of estrous cycle in
repeat breeding crossbred cows.
AB - AIM: The aim was to evaluate the estrus response, incidence of accessory corpus
luteum formation and fertility following different hormonal protocols in repeat
breeding crossbred cows. MATERIALS AND METHODS: This study was carried out on 24
repeat breeding crossbred cows allotted into four groups. Cows of Group I was not
given any treatment, Group II was treated with gonadotropin-releasing hormone
(GnRH) injection on day 6 post-estrus, Group III was treated with Ovsynch
protocol, and Group IV was treated with Ovsynch based GnRH treatment. Estrus
responses such as duration, onset, percentage, and intensity of estrus were
recorded during the study. The incidence of accessory corpus luteum was recorded
per rectally on day 7 after first and additional GnRH of Ovsynch treatment. The
conception rate for all groups was calculated by the absence of estrus and on day
45 after artificial insemination (AI) per rectum. Serum samples were collected at
AI and day 12 post-AI in Group I and II. Serum samples were also collected at
GnRH, Prostaglandin F2alpha (PGF2alpha), timed AI (TAI) and day 12 post-TAI in
Group III and IV. RESULTS: Ovsynch and Ovsynch based GnRH treatments are resulted
in 100.00% induction of estrus after the PGF2alpha injection. Onset of induced
estrus after the PGF2alpha injection for Group III and IV was recorded as
48.750+/-0.713 and 51.472+/-1.989 h, respectively, and it was not significant.
There was no significant difference in duration of estrus among the groups. The
incidence of intermediate estrus intensity was found to be highest. All the cows
showed the incidence of formation of accessory corpus luteum subsequent to GnRH
treatment on day 6 of the estrous cycle in Group II, III, and IV. The conception
rate was 0.00%, 16.67%, 50.00%, and 50.00% in Group I, II, III, and IV,
respectively. CONCLUSION: Ovsynch and Ovsynch based GnRH treatments initiated on
day 6 of estrous cycle capable of responding with a higher percentage of
ovulation and formation of accessory corpus luteum which helped in higher
conception rate over single post-AI GnRH treatment in repeat breeders. These
treatments responded with better estrus response but did not significantly
improve estrus intensity.
PMID- 27182127
TI - Study on development of accessory sex glands in prepubertal kids using two
dimensional ultrasonography.
AB - AIM: The present study was undertaken to study growth pattern of accessory sex
glands in prepubertal kids from 2 weeks to 6 months of age using two-dimensional
ultrasonography. MATERIALS AND METHODS: The study was conducted on six Beetal
kids. The scanning of accessory sex glands was done in standing position using
rectal probe and measurements were recorded. Data collected were statistically
analyzed using one-way ANOVA followed by Duncan multiple range test was performed
using the SPSS (16.0) system for windows. RESULTS: With the advancement of age
all the dimensions of glands increased. Both the lobes of prostate gland showed
an increase in width with advancement of age. Width of prostate above the urethra
(W1) showed a significant increase at 2, 10, and 20 weeks of age, whereas non
significant increase from 2 to 8, 10 to 19, and 20 to 24 weeks of age was
recorded. Width of prostate below the urethra (W2) showed a significant increase
at 20 weeks of age, whereas non-significant increase was recorded during rest of
period of growth. Left and right bulbourethral gland showed a similar pattern of
growth with the advancement of age. The circumference dimensions increased
significantly at 2, 16, 20, and 21 weeks of age for both glands. The increase was
non-significant from 4 to 14, 16 to 19, and 20 to 23 weeks of age. The same
pattern was observed for left and right seminal vesicular gland. CONCLUSION:
Significant growth in three accessory sex glands in prepubertal kids was not
observed at the same age. The trend observed was that the prostate was the first
gland to show significant growth at 10 weeks of age followed by a significant
increase in seminal vesicles and bulbourethral gland at 14 and 16 weeks of age,
respectively.
PMID- 27182128
TI - Genetic and environmental causes of variation in gestation length of Jersey
crossbred cattle.
AB - AIM: The objective of this study was to investigate the effect of genetic and non
genetic factors and estimate the genetic parameter for gestation length (GL) of
Jersey crossbred cattle. MATERIALS AND METHODS: The data included the 986
parturition records on Jersey crossbred cattle maintained at the Eastern Regional
Station of ICAR-National Dairy Research Institute, Kalyani, West Bengal, India
during 36 years (1978-2013). The data were analyzed applying mixed model least
square technique considering the fixed effects of genetic group, season of
calving, period of calving, parity of animal, birth weight, and sex of calf born
from animal. The effect of sire was included as a random effect in the model.
RESULTS: The genetic group of animal, season of calving, parity of animal, and
birth weight of calf born were found to be a significant source of variation in
the GL, whereas the period of calving and sex of calf did not affect this trait.
Cows with <50% and >62.5% Jersey inheritance had the shortest and longest GLs,
respectively. Cows calved in summer and rainy season had shorter GL than those
calved in the winter season. Older cows in 4(th) parity carried calves for longer
days than the cows in 1(st) parity. The increase in calf birth weight
significantly (p<0.01) contributed to a linear increase in GL value in this
study. The heritability estimate of GL was 0.24+/-0.08. CONCLUSION: It can be
concluded that selection for lower GL without distressing future growth of calf
can be used to reduce calving difficulty, but a very small standard deviation of
GL limits the benefit. Moreover, more accurate prediction of calving date will
help in better management and health care of pregnant animals.
PMID- 27182129
TI - Neonatal mortality in dogs: Prognostic value of Doppler ductus venosus waveform
evaluation - Preliminary results.
AB - AIM: To define the prognostic value of Doppler ultrasonographic morphology of
ductus venosus (DV) waveform on canine neonatal mortality. MATERIALS AND METHODS:
Fifty-four healthy pregnant bitches underwent fetal ultrasonographic assessment.
The DV waveforms were classified as diphasic (dDVw) or triphasic (tDVw) and
compared with neonatal mortality. RESULTS: Ninety-three fetuses were evaluated.
Twenty fetuses belonged to litters with neonatal mortality, in which tDVw was
observed. Seven fetuses belonged to litters without neonatal mortality, in which
tDVw was observed. Fifty-eight fetuses belonged to litters without neonatal
mortality, in which only dDVw was observed. Eight fetuses belonged to litters
with neonatal mortality, in which only dDVw was observed. The correlation between
tDVw and neonatal mortality was statistically significant (odds ratio [OR], 20.7;
p<0.0001). Considering only pregnancies with one or two fetuses with the same DV
waveform: Two fetuses with tDVw belonged to litters with neonatal mortality; 1
foetus with tDVw belonged to litter without neonatal mortality and 26 fetuses
showed dDVw without neonatal mortality. The correlation between tDVw and neonatal
mortality even in litters up to two pups was statistically significant (OR, 88.3;
p=0.01). CONCLUSION: Echo-Doppler assessment of DV is feasible in canine fetuses,
and the presence tDVw seems to be related to neonatal mortality.
PMID- 27182130
TI - Prevalence and burden of gastrointestinal parasites of Djallonke sheep in
Ayeduase, Kumasi, Ghana.
AB - AIM: This study was conducted to determine the prevalence and burden of
gastrointestinal (GIT) parasites of Djallonke sheep in Ayeduase, Kumasi from
January 2015 to July 2015. MATERIALS AND METHODS: The presence of nematodal eggs
and coccidial oocysts in fecal samples were analyzed using the saturated sodium
chloride floatation technique. Identification of eggs or oocysts was done on the
basis of morphology and size of the eggs or oocysts. RESULTS: Out of 110 fecal
samples of sheep examined, 108 were infected with GIT parasites, representing a
prevalence rate of 98.2%. The total infection rate of GIT nematodes and coccidia
oocysts were 94.5% and 51.8%, respectively. Strongyle nematode (94.5%) was the
most prevalent GIT nematode detected, followed by strongyloides (27.3%). The
average nematodal burden in g/feces was significantly higher (p<0.001) in young
rams under 1 year (3482.0) than gimmers (1539.0), lamb (825.0), ewes (420.7), and
rams over 1 year (313.3). Nematodal burden in gimmers was significantly higher
(p<0.001) than that of lambs, ewes, and rams over 1 year. Nematodal counts of
lambs, ewes, and rams did not differ significantly (p>0.05) from each other. The
average coccidia oocysts count in g/feces was significantly higher (p<0.001) in
lambs (2475.0) than rams under 1 year (286.0), gimmers (263.6), ewes (158.6), and
rams over 1 year (150.0). There was no significant difference (p>0.05) in the
coccidia oocysts count of rams under 1 year, gimmers, ewes, and rams over 1 year.
From the studied animals, 40%, 6.36%, 48.18%, and 5.45% had heavy, moderate,
light, and no infestation, respectively, with GIT nematodes. CONCLUSION:
Djallonke sheep in Ayeduase, Kumasi, were infested with varying amounts of GIT
parasites. The infestation of Djallonke sheep by GIT parasites also varies among
different age groups and sexes.
PMID- 27182131
TI - Diagnosis and prevalence of ovine pulmonary adenocarcinoma in lung tissues of
naturally infected farm sheep.
AB - AIM: This study was aimed to detect ovine pulmonary adenocarcinoma (OPA) in sheep
flocks affected with pulmonary disorders at organized farm. MATERIALS AND
METHODS: A total of 75 sheep died naturally were thoroughly examined for the
lesions of OPA during necropsy. Tissue sections from affected portion of the
lungs from each animal were collected aseptically and divided into two parts; one
each for polymerase chain reaction (PCR) and another for histopathology. RESULTS:
On PCR examination of lung tissues, six sheep (8%) were found to be positive for
JSRV. Two of them were 3-6 months of age and did not show clinical signs/gross
lesions of OPA. Four adult sheep positive on PCR revealed characteristic lesions
of OPA on gross and histopathological examination. CONCLUSION: In the absence of
known specific antibody response to the infection with JSRV, there is no
diagnostic serological test available. The PCR assay employed in this study on
lung tissues, using primers based on the U3 region of the viral long terminal
repeat for JSRV would be helpful in the screening of preclinical and clinical
cases of OPA in sheep.
PMID- 27182132
TI - Vertebral scale system to measure heart size in thoracic radiographs of Indian
Spitz, Labrador retriever and Mongrel dogs.
AB - AIM: To establish reference values of vertebral heart score (VHS) in Indian
Spitz, Labrador retriever, and Mongrel dogs; to assess applicability of VHS in
these three dog breeds; to determine if breed, recumbency side, gender, body
weight, and thoracic depth (TD) to thoracic width (TW) ratio has an influence on
the VHS measurement in these dog breeds. MATERIALS AND METHODS: A total of 60,
client owned, clinically healthy Indian Spitz (n=20, mean age = 4.25+/-2.15
years, body weight = 11.87+/-2.7 kg), Labrador retriever (n=20, mean age = 4.75+/
1.91 years, body weight = 27.31+/-5.43 kg), and Mongrel dogs (n=20, mean age =
4.25+/-1.52 years, body weight = 16.25+/-3.99 kg), having no radiological and
clinical signs of cardiovascular or pulmonary disease were included in the study.
All dogs were restrained manually and left lateral (LL) and right lateral (RL)
radiographic views were obtained. The size of heart in lateral radiographs was
calculated using VHS method. Besides, the TD, TW and TD: TW were calculated to
determine the type of thoracic conformation in the dog breeds. In addition to
this, the effect of breed, side of recumbency, gender, body weight, and TD to TW
ratio on the calculation of VHS was determined. RESULTS: VHS was calculated in
all the animals of the breeds. VHS in Spitz and Labrador retriever was
significantly (p<0.0001, p<0.0001, respectively) >9.7+/-0.5 v. RL and LL VHS in
Mongrel dog was significantly (p<0.037) >9.7+/-0.5 v. Significant (p<0.05)
differences in the VHS were observed among Spitz, Labrador retriever and Mongrel
dogs, being higher for Labrador retriever followed by Spitz and Mongrel dogs. VHS
in RL recumbency was significantly (p<0.001) greater than VHS in LL recumbency in
all three breeds. LL and RL VHS correlated significantly with each other in Spitz
(r=0.58; p=0.02), Labrador retriever (r=0.87; p<0.0001), and Mongrel dogs
(r=0.93; p<0.0001). Significant (p<0.05) differences in the TD and TW were
observed among Spitz, Labrador retriever, and Mongrel dogs. Non-significant
effect of gender, body weight, and TD to TW ratio on the VHS measurement was
observed in each dog breed. CONCLUSION: Breed-specific VHS reference ranges
should be used for the objective measurement of heart size in dogs. Furthermore,
the radiographic view should also be taken into consideration to avoid any
erroneous interpretation of cardiac enlargement in dogs.
PMID- 27182133
TI - Relative efficacy of organic acids and antibiotics as growth promoters in broiler
chicken.
AB - AIM: The objective of this study was to evaluate the effect of organic acids as
replacer to antibiotics in their various combinations on feed consumption, body
weight gain, and feed conversion ratio (FCR) in broiler chicks during different
phases of growth. MATERIALS AND METHODS: Antibiotics and organic acids were
incorporated into boiler feed in different combinations to form 10 maize based
test diets (T1 to T10). Each test diet was offered to four replicates of 10 birds
each constituting a total of 400 birds kept for 45 days. RESULTS: Significantly
better effect in terms of body weight gain from supplementation of 1% citric acid
and 1% citric acid along with antibiotic was observed throughout the entire
study, whereas the effect of tartaric acid supplementation was similar to control
group. Citric acid (1%) along with antibiotic supplementation showed highest feed
intake during the experimental period. Significantly better FCR was observed in
groups supplemented with 1% citric acid and 1% citric acid along with antibiotic
followed by antibiotic along with organic acids supplemented group. CONCLUSION:
Growth performance of birds in terms of body weight, body weight gain, and FCR
improved significantly in 1% citric acid which was significantly higher than
antibiotic supplemented group. 1% citric acid can effectively replace antibiotic
growth promoter (chlortetracycline) without affecting growth performance of
birds.
PMID- 27182134
TI - Detection of Mycobacterium tuberculosis and Mycobacterium bovis in Sahiwal cattle
from an organized farm using ante-mortem techniques.
AB - AIM: The aim of this study was to investigate the prevalence of bovine
tuberculosis (TB) and detection of Mycobacterium bovis in cattle from an
organized dairy farm. MATERIALS AND METHODS: A total of 121 animals (93 females
and 28 males) of 1 year and above were studied for the prevalence of bovine TB
using single intradermal comparative cervical tuberculin (SICCT) test, bovine
gamma-interferon (gamma-IFN) enzyme immunoassay, and polymerase chain reactions
(PCRs). RESULTS: Out of total 121 animals, 17 (14.04%) animals were positive
reactors to SICCT test while only one (0.82%) animal for gamma-IFN assay. By PCR,
Mycobacterium TB complex was detected in 19 (15.70%) animals out of which 4
(3.30%) animal were also positive for M. bovis. CONCLUSIONS: Diagnosis of bovine
TB can be done in early stage in live animals with multiple approaches like skin
test followed by a molecular technique like PCR which showed promising results.
PMID- 27182136
TI - Effect of supplemental heat on mortality rate, growth performance, and blood
biochemical profiles of Ghungroo piglets in Indian sub-tropical climate.
AB - AIM: The present study was conducted to explore the effect of supplemental heat
on mortality rate, growth performance, and blood biochemical profiles of
indigenous Ghungroo piglets in sub-tropical cold and humid climatic conditions of
Tripura, a state of the north eastern hill (NEH) region of India. MATERIALS AND
METHODS: The experiment was conducted on 38 indigenous Ghungroo piglets from
birth up to 60 days of age. Among the 38 piglets, 19 piglets were provided with
supplemental heat ranging between 17.0 degrees C and 21.1 degrees C for the
period of the first 30 days and thereafter between 24.1 degrees C and 29.9
degrees C for the next 30 days. The other 19 piglets were exposed to natural
environmental minimum temperatures ranging between 7.2 degrees C and 15.0 degrees
C during the first 30 days and then between 18.5 degrees C and 25.5 degrees C for
the next 30 days. RESULTS: The supplemental heat resulted in 10.6% reduction of
piglet mortality from the 2(nd) till the 7(th) day of age. These beneficial
effects could be related with the lower (p<0.05) plasma glutamate pyruvate
transaminase (GPT) and cortisol levels and higher (p<0.05) plasma alkaline
phosphatase (AP) concentrations in heat supplemented group compared to control
group. Plasma AP, GPT, glucose, triiodothyronine, and luteinizing hormone
concentrations decreased (p<0.05) gradually with the advancement of age in both
control and supplemental heat treated piglets. CONCLUSION: Supplemental heat
could be beneficial since it is related to a reduction of piglet mortality during
the first week of life under farm management system in the sub-tropical climate
of NEH region of India.
PMID- 27182135
TI - Advances in reproductive biotechnologies.
AB - In recent times, reproductive biotechnologies have emerged and started to replace
the conventional techniques. It is noteworthy that for sustained livestock
productivity, it is imperative to start using these techniques for facing the
increasing challenges for productivity, reproduction and health with impending
environment conditions. These recent biotechniques, both in male and female, have
revolutionized and opened avenues for studying and manipulating the reproductive
process both in vitro and in vivo in various livestock species for improving tis
efficiency. This review attempts to highlight pros and cons, on the recent
developments in reproductive biotechnologies, both in male and female in
livestock species.
PMID- 27182137
TI - Maggot debridement therapy as primary tool to treat chronic wound of animals.
AB - Maggot debridement therapy (MDT) is a safe, effective, and controlled method
ofhealing of chronic wounds by debridement and disinfection. In this therapy
live, sterile maggots of green bottle fly, Lucilia (Phaenicia) sericata are used,
as they prefernecrotic tissues over healthy for feeding. Since centuries, MDT is
used in humanbeings to treat chronic wounds. Lately, MDT came out as a potent
medical aid in animals. In animals, although, this therapy is still limited and
clinical studies are few. However, with the increasing antibiotic resistance and
chronic wound infections in veterinary medicine, maggot therapy may even become
the first line of treatment for some infections. This paper will present a brief
discussion of MDT and its role in veterinary medicine that may add one more
treatment method to utilize in non-healing wounds of animals and overcome the use
of amputation and euthanasia. The objective of this review paper is to assemble
relevant literature on maggot therapy to form a theoretical foundation from which
further steps toward clinical use of maggot therapy in animals for chronic wounds
can be taken.
PMID- 27182138
TI - Conjugation of ampicillin and enrofloxacin residues with bovine serum albumin and
raising of polyclonal antibodies against them.
AB - AIM: The aim of this study is to test the potency of bovine serum albumin (BSA)
conjugated ampicillin (AMP) and enrofloxacin (ENR) antigens in eliciting an
immune response in rats using indirect competitive enzyme-linked immunosorbent
assay (icELISA). MATERIALS AND METHODS: AMP and ENR antibiotics were conjugated
with BSA by carbodiimide reaction using 1-ethyl-3-(3-dimethylaminopropyl)
carbodiimide (EDC) as a cross-linker. The successful conjugation was confirmed by
sodium dodecyl sulfate polyacrylamide gel electrophoresis. Sprague-Dawley rats
were immunized with the conjugates and blood samples were collected serially at
15 days time interval after first immunization plus first booster, second
booster, third booster, and the fourth sampling was done 11/2 month after the
third booster. The antibody titres in the antisera of each antibiotic in all the
four immunization cycles (ICs) were determined by an icELISA at various serum
dilutions ranging from 1/100 to 1/6400. RESULTS: Analysis of antibiotic-BSA
conjugates by sodium dodecyl sulfate polyacrylamide gel electrophoresis and
coomassie blue staining revealed high molecular weight bands of 85 kDa and 74 kDa
for AMP-BSA and ENR-BSA respectively when compared to 68 kDa band of BSA. Both
the antibiotic conjugates elicited a good immune response in rats but
comparatively the response was more with AMP-BSA conjugate than ENR-BSA
conjugate. Maximum optical density 450 value of 2.577 was recorded for AMP-BSA
antisera, and 1.723 was recorded for ENR-BSA antisera at 1/100(th) antiserum
dilution in third IC. CONCLUSION: AMP and ENR antibiotics proved to be good
immunogens when conjugated to BSA by carbodiimide reaction with EDC as
crosslinker. The polyclonal antibodies produced can be employed for detecting AMP
and ENR residues in milk and urine samples.
PMID- 27182139
TI - In vitro larvicidal effects of ethanolic extract of Curcuma longa Linn. on
Haemonchus larval stage.
AB - AIM: Gastrointestinal helminthosis is a global problem in small ruminant
production. Most parasites have developed resistance to commonly available
anthelminthic compounds, and there is currently an increasing need for new
compounds with more efficacies. This study evaluated the in vitro effects of
ethanolic extract of Curcuma longa (EECL) as a biological nematicide against
third stage Haemonchus larvae (L3) isolated from sheep. MATERIALS AND METHODS:
Haemonchus L3 were cultured and harvested from the feces of naturally infected
sheep. EECL was prepared and three concentrations; 50, 100, and 200 mg/mL were
tested for their efficacies on Haemonchus L3. Levamisole at concentration 1.5 and
3 mg/mL were used as positive controls. RESULTS: EECL showed anthelmintic
activity in a dose-dependent manner with 78% worm mortality within 24 h of
exposure at the highest dose rate of 200 mg/mL. There was a 100% worm mortality
rate after 2 h of levamisole (3 mg/mL) admisntration. However, there was a
comparable larvicidal effect between when levamisole (1.5 mg/mL) and EECL (200
mg) were administered. CONCLUSION: The study shows that EECL does exhibit good
anthelmintic properties at 200 mg/mL which is comparable with levamisole at 1.5
mg/mL.
PMID- 27182140
TI - Polymorphism in spa gene of Staphylococcus aureus from bovine subclinical
mastitis.
AB - AIM: The virulence-associated protein-A of Staphylococcus aureus, encoded by spa
gene shows a variation in length in different strains. In this study, the spa
gene variation in S. aureus strains was studied which were isolated from
subclinical cases of bovine mastitis. MATERIALS AND METHODS: About 38 isolatesof
S. aureus were recovered from Holstein-Friesian (HF) crossbred (n=16) and Rathi
cattle (n=22) with subclinical mastitis as per standard procedures, and these
isolates were subjected to amplification of spa gene (X-region) by polymerase
chain reaction and calculation of number of tandem repeats were done. RESULTS: Of
the 16 isolates from H-F crossbred cattle, all with the exception of one isolate
produced spa amplicon. Seven isolates produced amplicons of 200 bp, one produced
160 bp, and other seven produced spa amplicon of 150 bp with calculated number of
6, 5, and 4 repeats, respectively, whereas nine different types of amplicons were
produced by 22 S. aureus isolates from Rathi cattle, viz., 280, 250, 240, 200,
190, 180, 170, 150, and 140 bp with 10, 8, 8, 6, 6, 6, 5, 4, and 4 repeats,
respectively. One of the isolates from Rathi cattle produced two spa amplicons
(150 and 190 bp). CONCLUSION: A greater polymorphism was observed in the S.
aureus isolates from Rathi cattle than from H-F crossbreds with subclinical
mastitis.
PMID- 27182141
TI - Comparative study on immunoglobulin Y transfer from breeding hens to egg yolk and
progeny chicks in different breeds of poultry.
AB - AIM: This study was undertaken to compare the immunoglobulin Y (IgY) level and
its efficacy in laying hens of four different breeds of poultry (viz., Vanraja,
Gramapriya, BlackRock, and KalingaBrown) and its relative transfer in egg yolk
and chick. MATERIALS AND METHODS: This study was conducted in 48 apparently
healthy laying hens vaccinated with Salmonella inactivated polyvalent vaccine,
eggs and progeny chicks; 12 each from four different breeds of poultry, viz.,
Vanraja, Gramapriya, BlackRock, and KalingaBrown. The methodology included
measurement of egg and yolk weight, total protein and IgY in egg yolk, total
serum protein and IgY in breeding hens, and progeny chicks and extent of IgY
transfer from hens to yolk then to chicks. Further, Salmonella-specific
antibodies in breeding hens, egg yolk and progeny chicks were assessed using O
and H antigen by tube agglutination test. RESULTS: The egg weight differed
nonsignificantly (p>0.05) among breeds, however, breed wise significant variation
(p<0.01) was reported in yolk weight. The weight of egg yolk significantly
affects the total protein and IgY concentration although these levels per unit of
volume did not differ. Total protein was significantly higher (p<0.01) in
KalingaBrown and Gramapriya as compared to Vanraja and BlackRock. Non-significant
(p>0.05) difference among breed was found in total protein of egg yolk and chick.
The IgY concentration in hens, egg yolk and chick was found to be in the range of
5.35+/-0.63-5.83+/-0.65, 2.3+/-0.1-2.6+/-0.2, and 1.3+/-0.11-1.7+/-0.16 mg/ml,
respectively which is uniform and independent of total protein concentration at
all the three levels. Significant breed variations were not observed in maternal
IgY transfer from breeding hens to chicks and were 25.62+/-1.42-36.06+/-4.34% of
total IgY in parent flock. Moderate to higher rate of seroprevalence with peak
titers of 1:640 against Salmonella-specific antibodies was observed in only 41.6%
of breeding hens. CONCLUSION: No significant difference in the rate of transfer
of IgY was observed in four breeds studied (viz., Vanraja, Gramapriya, BlackRock,
and KalingaBrown) and moderate seropositivity was detected for Salmonella
specific antibodies in progeny chicks.
PMID- 27182142
TI - Surgical approaches for total knee arthroplasty.
AB - There are various surgical approaches to the knee joint and its surrounding
structures and such approaches are generally designed to allow the best access to
an area of pathology whilst safeguarding important surrounding structures.
Controversy currently surrounds the optimal surgical approach for total knee
arthroplasty (TKA). The medial parapatellar arthrotomy, or anteromedial approach,
has been the most used and has been regarded as the standard approach for
exposure of the knee joint. It provides extensive exposure and is useful for open
anterior cruciate ligament reconstruction, total knee replacement, and fixation
of intra-articular fractures. Because this approach has been implicated in
compromise of the patellar circulation, some authors have advocated the
subvastus, midvastus, and trivector approaches for exposure of the knee joint.
While these approaches expose the knee from the medial side, the anterolateral
approach exposes the knee joint from the lateral side. With careful planning and
arthrotomy selection, the anterior aspect of the joint can be adequately exposed
for TKA in different clinical scenarios.
PMID- 27182143
TI - Increased risk of adverse events in management of femur and tibial shaft
fractures with plating: An analysis of NSQIP data.
AB - BACKGROUND: The management of femoral and tibial shaft fractures has long been
among the simplest in orthopaedic trauma. Little data exist on the predictors of
complications associated with these fractures. The evolving healthcare system is
creating a focus on quality metrics and changing payment models. It is critical
that traumatologists develop a better understanding of complication rates
associated with these injuries so that they may continue to improve patient care
while also reducing overall medical costs. METHODS: Using the ACS-NSQIP database,
we evaluated patient demographics, comorbidities and 30-day complications of
femoral and tibial fractures. A bivariate analysis was then used to compare rates
of minor and major post-operative complications within 30 days. A multivariate
logistic regression was performed, assessing the odds of developing a minor
and/or major complication up to 30 days post-surgery. RESULTS: 2891 patients were
identified. For femoral fractures, intramedullary nailing (IMN) demonstrated an
overall complication rate of 14.9% (n = 151) whereas open reduction and internal
fixation (ORIF) with plating showed an overall complication rate of 15.6% (n =
70). Patients undergoing plating of the femur or tibia were 2 times more likely
than the IMN patients to demonstrate postoperative complications. CONCLUSION: Our
study is the first to demonstrate that plating of femoral and tibial fractures
doubles the odds of developing a complication. As our healthcare system shifts to
bundled payment plans, it is impertinent for the orthopaedic surgeon to
understand the risk factors associated with fracture treatments in order to
assess the best treatment plan.
PMID- 27182144
TI - Prevalence of skeletal deformity due to nutritional rickets in children between 1
and 18 years in tea garden community.
AB - INTRODUCTION: The present study was undertaken to find out the prevalence of
skeletal deformity due to nutritional rickets in children aged 1-18 years in tea
garden community in Dibrugarh District of Assam. METHODOLOGY: It was a cross
sectional study and two-stage cluster sampling was used. In the selected tea
gardens, all the children aged 1-18 years were screened for skeletal deformity by
house-to-house visit. RESULTS AND OBSERVATIONS: The overall prevalence of
skeletal deformity was 2.7 per thousand. Majority of children with deformities
(57.27%) were between 7 and 12 years of age. Widening of wrists and ankle was the
most frequent symptom (53.8%). Most of the children with deformity had moderate
malnutrition (77.27%). Most of the children with skeletal deformity belong to
lower (v) (45.45%) grade of Kuppuswamy's grading scale. Bilateral genu valgus
deformity (54.54%) and bilateral genu varum (25.92%) deformity were the most
common deformities. Widening of wrists and ankle was the most frequent symptom
(61.66%). CONCLUSION: Of the 16,274 tea garden children included in our study
(male and female) in the age group 1-18 years, 44 had skeletal deformity due to
nutritional rickets. The prevalence of skeletal deformity due to nutritional
rickets was found to be 2.7 per thousand children, which are significantly higher
when compared with the only other such study, which was on general population in
Bangladesh.
PMID- 27182146
TI - Validation of thoracic injury rule out criteria as a decision instrument for
screening of chest radiography in blunt thoracic trauma.
AB - BACKGROUND: Thoracic injury rule out criteria (TIRC) were first introduced as a
decision instrument for selective chest radiography in blunt thoracic trauma in
2014. However, the validity of this model has not been assessed in other studies.
In this regard, the present survey evaluates the validity of TIRC model in a
multi-center setting. METHODS: In this cross-sectional study, clinical
presentations and chest radiograms of multiple trauma patients referring to 6
educational hospitals in Iran were evaluated. Data were gathered prospectively
during 2015. In each center, data collection and interpretation of radiograms
were conducted by two different emergency medicine specialists. Measures were
then taken for assessment of discriminatory power and calibration of the model.
RESULTS: Data from 2905 patients were gathered (73.17% were male; the mean age
was 33.53 +/- 15.42 years). Area under the receiver operating characteristics
curve of the TIRC model for detection of thoracic traumatic injuries was 0.93
(95%CI: 0.93-0.94). Sensitivity and specificity of the model were 100 (98.91-100)
and 67.65 (65.76-69.45), respectively. The intercept of TIRC calibration plot was
0.08 (95%CI: 0.07-0.09), and its slope was 1.19 (95%CI: 1.15-1.24), which are
indicative of the model being perfect in detecting presence or absence of lesions
in chest radiograms. CONCLUSION: The findings are corroborative of external
validation, good discrimination, and proper calibration of TIRC model in
screening of multiple trauma patients for obtaining chest radiograms.
PMID- 27182145
TI - The effect of vitamin D and bisphosphonate on fracture healing: An experimental
study.
AB - BACKGROUND: The aim of the study was to evaluate the effects of the using
bisphosphonate, vitamin D, and a combination of bisphosphonate and vitamin D on
fracture healing, by comparison of radiological and histological findings of the
study groups and a control group. METHODS: A total of 24 rats were randomly
divided into 4 groups. A mid-third fracture was created in the femur of all rats.
Saline was administered to Group A, bisphosphonate (Alendronate) to Group B,
bisphosphonate (Alendronate) + vitamin D (Calcitriol) to Group C and vitamin D
(Calcitriol) to Group D. All preparations were administered orally for 28 days.
RESULTS: No statistically significant difference was determined between the
groups in respect of the effect on fracture healing according to radiological
findings. The histological findings of fracture healing showed Groups B and C to
be significantly more advanced than Group A (p = 0.017, p = 0.009). However no
significant difference was found in Group D comparison with Group A (p = 0.224).
CONCLUSION: According to the histological findings, advanced fracture healing was
seen in the groups administered with bisphosphonate or combined bisphosphonate
and vitamin D compared to the use of vitamin D alone and the control group. It
was concluded that bisphosphonate treatment combined with vitamin D can be used
safely without any negative effect on fracture healing.
PMID- 27182147
TI - Computed tomographic-based morphometric study of thoracic spine and its relevance
to anaesthetic and spinal surgical procedures.
AB - BACKGROUND: To collect a baseline computer software aided normative morphometric
data of thoracic spine in the Indian population and analyze it to give pre
procedural guidelines to clinicians for safe surgical and anaesthetic procedures
in the thoracic spine. METHODS: CT scans of thoracic spine of patients free from
spinal disorders were reviewed in a total of 600 vertebrae in 50 patients.
Parameters recorded with the help of computer software were pedicle width, length
and height, transverse pedicle angles, chord length, canal dimensions, body width
and height, spinous process angle and transverse process length. RESULTS: Pedicle
width decreased from T1 (9.27 +/- 1.01) to T4 (4.5 +/- 0.93) and increased to T12
(8.31 +/- 1.83). At T4 76% and at T5 62% of the pedicles were smaller than 5 mm
and would not accept 4 mm screw with 1.0-mm clearance. However, at T1 2%, at T11
7% and at T12 8% would not accept a 4 mm screw. Chord length gradually increased
in upper thoracic vertebrae and was relatively constant in middle and decreased
in lower thoracic vertebrae. Shortest estimated chord length was at T1 (30.30 +/-
2.11). On an average, from T1 to T6 and at T11 and T12, a screw length of 25-30
mm could be accommodated and from T7 to T10, 30-35 mm screw length could be
accommodated. Transverse pedicle angle decreased from T1 (35.4 +/- 2.21) to T12 (
9.8 +/- 2.39). Canal dimensions were narrowest at T4/T5 (20.02 +/- 1.23) in
anteroposterior and 21.12 +/- 1.23 in interpedicular diameters. Spinous process
angle increased from T1 (30.11 +/- 6.74) to T6 (57.89 +/- 9.31) and decreased to
16.21 +/- 7.38 at T12. Transverse process length increased from T1 to T7 (23.54 +
2.12 to 31.21 + 1.91) and then decreased to 12.11 + 2.3 at T12. Vertebral body
dimensions showed increasing trends from T1 to T12. CONCLUSIONS: A thorough
knowledge of anatomical and radiological characteristics of the spine and their
variations is essential for the clinicians. Data collected in the present study
provides baseline normative values in Indian population and will help in guiding
safe and effective completion of both surgical and anaesthetic procedures in the
thoracic spine. Computer software aided morphometric data can help in selecting
appropriate size and optimal placement of the implant with minimal procedural
difficulties and complications during spine surgery.
PMID- 27182148
TI - Histopathological, immunohistochemical, and image analytic parameters
characterizing the stromal component in primary and recurrent giant cell tumor of
bone.
AB - Giant cell tumor (GCT) of bone is a benign locally aggressive tumor whose
biological behavior is unpredictable. Currently, there are no definitive
clinical, histological, biochemical, or immunological parameters that can predict
its behavior. This study was undertaken to examine whether delineation of
reactive and neoplastic stromal component of GCT can help in this regard. 55
cases of GCT (30 primary, 25 recurrent) were subjected to histopathological
grading, immunohistochemistry, and image analysis. Spindling of stroma was more
frequent in recurrent GCT with 64% cases having more than 50% spindled stroma (p
< 0.001). Number of mitosis/10 HPF and higher grade were more in recurrent GCT.
Mean percentage positivity for CD68 (38.36%) and alpha1-ACT (70.86%) was higher
in primary than recurrent GCT. PCNA and MiB-1 labeling indices were higher in
recurrent (42.62% and 9.18%, respectively) than in primary group (24.75% and
7.7%, respectively). A single numerical parameter encompassing stromal cell
population and its proliferation was derived as ratio of PCNA/CD68 and
PCNA/alpha1-ACT. Both ratios were higher in recurrent (0.81 +/- 0.38; 1.58 +/-
1.50) than in primary GCT (0.58 +/- 0.62; 0.34 +/- 0.29) (p = 0.002; 0.01). On
image analysis, parameters significantly different between the two groups were
nuclear area and nuclear integrated optical density. It was thus concluded that
recurrent GCT shows higher grade, increased mitosis, more spindling, fewer
reactive components, and higher proliferation than primary GCT. Delineation of
reactive component (alpha1-ACT positive) and proliferating component (PCNA
positive cells) using immunohistochemistry with calculation of the PCNA/ACT ratio
delivers more information than image analysis.
PMID- 27182149
TI - Ipsilateral hip and knee dislocation: Case report and review of literature.
AB - Hip and knee dislocations are not uncommon but simultaneous ipsilateral
dislocation of the hip and knee joint is rare; consequently, there is an
inadequate amount of literature on the subject. We identified only 11 such cases
reported in English literature. In the present report, we describe the case of a
23-year-old male patient who presented with ipsilateral hip and knee dislocation
on the right side after being involved in a road traffic accident. The hip
dislocation was associated with a posterior wall acetabular fracture. The hip as
well as the knee joints was reduced in the emergency bay. The patient underwent
an urgent fixation of the posterior wall acetabular fracture with delayed
ligament reconstruction for the knee dislocation. At one-year follow-up, he had
no pain in the hip or knee. There was grade 1 posterior sag but no symptoms of
knee instability. Radiographs revealed no evidence of avascular necrosis or
arthritis of the femoral head. The normal treatment protocol for individual
injury is affected by the simultaneous occurrence of hip and knee dislocation.
PMID- 27182150
TI - Cerebrotendinous xanthomatosis; a genetic condition: Clinical profile of three
patients from a rural Indian family and review of literature.
AB - INTRODUCTION: Cerebrotendinous xanthomatosis is a rare lipid storage disease
characterized by infantile onset diarrhea, cataract, tendon xanthomas, and
progressive neurologic dysfunction. Cerebrotendinous xanthomatosis is
exceptionally rare in Indian population with only few case reports till now. CASE
REPORT: An 18-year-old male presented to orthopedic outpatients clinic with
complaints of insidious onset swelling of both achilles over last 3 years, with
history of learning and visual difficulties. On examination, there were firm
nontender swellings along the course of both tendoachillis. Plantar response was
extensor and Romberg test was positive with eyes closed. Cranial MRI showed
diffuse cerebral and cerebellar atrophy. Family history showed history of
diarrhea, mental retardation, and visual difficulties in his two younger
siblings. They were also called upon and evaluated clinically. All three were
diagnosed as having cerebrotendinous xanthomatosis based on clinical and
radiological features. CONCLUSION: Cerebrotendinous xanthomatosis is a
progressive and preventable disorder and it benefits from therapy, so early
diagnosis is mandatory to prevent significant morbidity and mortality associated
with this disease.
PMID- 27182151
TI - Microfilarial involvement of the neck region: A case report and review of
literature.
AB - INTRODUCTION: Filariasis is caused by parasitic infections, most commonly Brugia
malayi and Wuchereria bancrofti. India is one of the endemic countries for such
disease. The usually involved organs are lower limbs and external genitalia. Neck
region is rarely involved and only a few cases have been reported in literature.
CASE REPORT: We reported such a case where filarial involvement has involved the
neck region and reached up to the level of upper cervical vertebra. A huge neck
swelling and torticollis were the main presenting features. Diagnosis was
confirmed by MRI and live presence of microfilarial parasite in FNAC. The patient
responded excellently to antihelminthic drugs. He has been under our regular
follow-up and there has been no complication till date. DISCUSSION: The purpose
of this case report was to highlight this rare presentation of microfilaria
parasite.
PMID- 27182152
TI - Forgotten intrauterine contraceptive device - A threat to total hip prosthesis: A
case report with review of the literature.
AB - Primary total hip replacement has become a routine procedure these days. With
improvement in surgical techniques and implant designs, the survival rate of
prosthesis has increased significantly but unfortunately, prosthetic infections
though uncommon continue to be a threatening complication. We present a detailed
review of the literature along with a case report of infected total hip
prosthesis in a 36-year-old female who had been operated 6 years back. The
causative organism was found to be Actinomyces israelii which was related to an
infected intrauterine device used for contraception that had been forgotten after
being implanted 8 years earlier.
PMID- 27182153
TI - Comment on "Evaluation of proximal femoral locking plate in unstable
extracapsular proximal femoral fractures: Surgical technique & mid term follow up
results" [J. Clin. Orthop. Trauma 5 (3) (2014) 137-145].
PMID- 27182155
TI - Liver transplantation: Current status and challenges.
AB - Great progress has been made in the field of liver transplantation over the past
two decades. This progress, however, also brings up the next set of challenges:
First, organ shortage remains a major limitation, and accounts for a large
proportion of wait list mortality. While living donation has successfully
increased the total number of liver transplants done in Asian countries, the
total number of such transplants has been stagnant in the western hemisphere. As
such, there has been a significant effort over the past decade to increase the
existing deceased donor pool. This effort has resulted in a greater use of liver
allografts following donation after cardiac death (DCD) along with marginal and
extended criteria donors. Improved understanding of the pathophysiology of liver
allografts procured after circulatory arrest has not only resulted in better
selection and management of DCD donors, but has also helped in the development of
mechanical perfusion strategies. Early outcomes demonstrating the clinical
applicability of both hypothermic and normothermic perfusion and its potential to
impact patient survival and allograft function have generated much interest.
Second, long-term outcomes of liver transplant recipients have not improved
significantly, as recipients continue to succumb to complications of long-term
immunosuppression, such as infection, malignancy and renal failure. Furthermore,
recent evidence suggests that chronic immune-mediated injury to the liver may
also impact graft function.
PMID- 27182154
TI - Thrombin activation and liver inflammation in advanced hepatitis C virus
infection.
AB - Hepatitis C virus (HCV) infection is associated with increased thrombotic risk.
Several mechanisms are involved including direct endothelial damage by the HCV
virus, with activation of tissue factor, altered fibrinolysis and increased
platelet aggregation and activation. In advanced stages, chronic HCV infection
may evolve to liver cirrhosis, a condition in which alterations in the portal
microcirculation may also ultimately lead to thrombin activation, platelet
aggregation, and clot formation. Therefore in advanced HCV liver disease there is
an increased prevalence of thrombotic phenomena in portal vein radicles.
Increased thrombin formation may activate hepatic stellate cells and promote
liver fibrosis. In addition, ischemic changes derived from vascular occlusion by
microthrombi favor the so called parenchymal extinction, a process that promotes
collapse of hepatocytes and the formation of gross fibrous tracts. These reasons
may explain why advanced HCV infection may evolve more rapidly to end-stage liver
disease than other forms of cirrhosis.
PMID- 27182157
TI - Combined hepatocellular cholangiocarcinoma: Controversies to be addressed.
AB - Combined hepatocellular cholangiocarcinoma (CHC) accounts for 0.4%-14.2% of
primary liver cancer cases and possesses pathological features of both
hepatocellular carcinoma and cholangiocarcinoma. Since this disease was first
described and classified in 1949, the classification of CHC has continuously
evolved. The latest definition and classification of CHC by the World Health
Organization is based on the speculation that CHC arises from hepatic progenitor
cells. However, there is no evidence demonstrating the common origin of different
components of CHC. Furthermore, the definition of CHC subtypes is still ambiguous
and the identification of CHC subtype when a single tumor contains many
components has remained unresolved. In addition, there is no summary on the newly
recognized histopathology features or the contribution of CHC components to
prognosis and outcome of this disease. Here we provide a review of the current
literature to address these questions.
PMID- 27182159
TI - Role of estrogen receptor beta selective agonist in ameliorating portal
hypertension in rats with CCl4-induced liver cirrhosis.
AB - AIM: To investigate the role of diarylpropionitrile (DPN), a selective agonist of
estrogen receptor beta (ERbeta), in liver cirrhosis with portal hypertension
(PHT) and isolated hepatic stellate cells (HSCs). METHODS: Female Sprague-Dawley
rats were ovariectomized (OVX), and liver cirrhosis with PHT was induced by CCl4
injection. DPN and PHTPP, the selective ERbeta agonist and antagonist, were used
as drug interventions. Liver fibrosis was assessed by hematoxylin and eosin (HE)
and Masson's trichrome staining and by analyzing smooth muscle actin expression.
Hemodynamic parameters were determined in vivo using colored microspheres
technique. Protein expression and phosphorylation were determined by
immunohistochemical staining and Western blot analysis. Messenger RNA levels were
analyzed by quantitative real-time polymerase chain reaction (qRT-PCR). Collagen
gel contraction assay was performed using gel lattices containing HSCs treated
with DPN, PHTPP, or Y-27632 prior to ET-1 addition. RESULTS: Treatment with DPN
in vivo greatly lowered portal pressure and improved hemodynamic parameters
without affecting mean arterial pressure, which was associated with the
attenuation of liver fibrosis and intrahepatic vascular resistance (IHVR). In
CCl4-treated rat livers, DPN significantly decreased the expression of RhoA and
ROCK II, and even suppressed ROCK II activity. Moreover, DPN remarkedly increased
the levels of endothelial nitric oxide synthase (eNOS) and phosphorylated eNOS,
and promoted the activities of protein kinase G (PKG), which is an NO effector in
the liver. Furthermore, DPN reduced the contractility of activated HSCs in the 3
dimensional stress-relaxed collagen lattices, and decreased the ROCK II activity
in activated HSCs. Finally, in vivo/in vitro experiments demonstrated that MLC
activity was inhibited by DPN. CONCLUSION: For OVX rats with liver cirrhosis, DPN
suppressed liver RhoA/ROCK signal, facilitated NO/PKG pathways, and decreased
IHVR, giving rise to reduced portal pressure. Therefore, DPN represents a
relevant treatment choice against PHT in cirrhotic patients, especially
postmenopausal women.
PMID- 27182156
TI - Advances in inducing adaptive immunity using cell-based cancer vaccines: Clinical
applications in pancreatic cancer.
AB - The incidence of pancreatic ductal adenocarcinoma (PDA) is on the rise, and the
prognosis is extremely poor because PDA is highly aggressive and notoriously
difficult to treat. Although gemcitabine- or 5-fluorouracil-based chemotherapy is
typically offered as a standard of care, most patients do not survive longer than
1 year. Therefore, the development of alternative therapeutic approaches for
patients with PDA is imperative. As PDA cells express numerous tumor-associated
antigens that are suitable vaccine targets, one promising treatment approach is
cancer vaccines. During the last few decades, cell-based cancer vaccines have
offered encouraging results in preclinical studies. Cell-based cancer vaccines
are mainly generated by presenting whole tumor cells or dendritic cells to cells
of the immune system. In particular, several clinical trials have explored cell
based cancer vaccines as a promising therapeutic approach for patients with PDA.
Moreover, chemotherapy and cancer vaccines can synergize to result in increased
efficacies in patients with PDA. In this review, we will discuss both the effect
of cell-based cancer vaccines and advances in terms of future strategies of
cancer vaccines for the treatment of PDA patients.
PMID- 27182158
TI - Epithelial-to-mesenchymal transition in pancreatic ductal adenocarcinoma:
Characterization in a 3D-cell culture model.
AB - AIM: To analyze the effect of three-dimensional (3D)-arrangement on the
expression of epithelial-to-mesenchymal transition markers in pancreatic
adenocarcinoma (PDAC) cells. METHODS: HPAF-II, HPAC, and PL45 PDAC cells were
cultured in either 2D-monolayers or 3D-spheroids. Ultrastructure was analyzed by
transmission electron microscopy. The expression of E-cadherin, beta-catenin, N
cadherin, collagen type I (COL-I), vimentin, alpha-smooth muscle actin
(alphaSMA), and podoplanin was assayed by confocal microscopy in cells cultured
on 12-mm diameter round coverslips and in 3D-spheroids. Gene expression for E
cadherin, Snail, Slug, Twist, Zeb1, and Zeb2 was quantified by real-time PCR. E
cadherin protein level and its electrophoretic pattern were studied by Western
blot in cell lysates obtained from cells grown in 2D-monolayers and 3D-spheroids.
RESULTS: The E-cadherin/beta-catenin complex was expressed in a similar way in
plasma membrane cell boundaries in both 2D-monolayers and 3D-spheroids. E
cadherin increased in lysates obtained from 3D-spheroids, while cleavage
fragments were more evident in 2D-monolayers. N-cadherin expression was observed
in very few PDAC cells grown in 2D-monolayers, but was more evident in 3D
spheroids. Some cells expressing COL-I were observed in 3D-spheroids. Podoplanin,
expressed in collectively migrating cells, and alphaSMA were similarly expressed
in both experimental conditions. The concomitant maintenance of the E
cadherin/beta-catenin complex at cell boundaries supports the hypothesis of a
collective migration for these cells, which is consistent with podoplanin
expression. CONCLUSION: We show that a 3D-cell culture model could provide deeper
insight into understanding the biology of PDAC and allow for the detection of
marked differences in the phenotype of PDAC cells grown in 3D-spheroids.
PMID- 27182160
TI - miR-30b inhibits autophagy to alleviate hepatic ischemia-reperfusion injury via
decreasing the Atg12-Atg5 conjugate.
AB - AIM: To explore the role and potential mechanism of miR-30b regulation of
autophagy in hepatic ischemia-reperfusion injury (IRI). METHODS: An animal model
of hepatic IRI was generated in C57BL/6 mice. For in vitro studies, AML12 cells
were immersed in mineral oil for 1 h and then cultured in complete Dulbecco's
Modified Eagle's Medium (DMEM)/F12 to simulate IRI. Mice and cells were
transfected with miR-30b agomir/mimics or antagomir/inhibitor to examine the
effect of miR-30b on autophagy to promote hepatic IRI. The expression of miR-30b
was measured by real-time polymerase chain reaction. Apoptotic cells were
detected by terminal uridine nick-end labeling (TUNEL) staining, and cell
viability was detected by methylthiazole tetrazolium assay. The expression of
light chain 3, autophagy-related gene (Atg)12, Atg5, P62, and caspase-3 were
detected by western blotting analysis. RESULTS: miR-30b levels were significantly
downregulated after hepatic IRI, and the numbers of autophagosomes were increased
in response to IRI both in vivo and in vitro. These findings demonstrate that low
levels of miR-30b could promote hepatic IRI. Furthermore, we found that miR-30b
interacted with Atg12-Atg5 conjugate by binding to Atg12. Overexpression of miR
30b diminished Atg12 and Atg12-Atg5 conjugate levels, which promoted autophagy in
response to IR. In contrast, downregulation of miR-30b was associated with
increased Atg12-Atg5 conjugate levels and increased autophagy. CONCLUSION: miR
30b inhibited autophagy to alleviate hepatic ischemia-reperfusion injury via
decreasing the Atg12-Atg5 conjugate.
PMID- 27182162
TI - Elevated serum interleukin-38 level at baseline predicts virological response in
telbivudine-treated patients with chronic hepatitis B.
AB - AIM: To investigate serum interleukin (IL)-38 level and its clinical role in
predicting virological response (VR) to telbivudine (LdT) in patients with
chronic hepatitis B (CHB). METHODS: The study participants were divided into two
groups; one group consisted of 43 healthy controls (HCs) and the other group
consisted of 46 patients with hepatitis B e antigen-positive CHB. All patients
were administered 600 mg of oral LdT daily for 52 wk, and they visited physicians
every 12 wk for physical examination and laboratory tests. Serum IL-38 levels
were determined using ELISA. The concentrations of serum Th1- and Th2-type
cytokines were measured using the cytometric bead array (CBA) method. RESULTS:
Serum levels of IL-38 at baseline in all patients were higher than those in HCs
[306.97 (123.26-492.79) pg/mL vs 184.50 (135.56-292.16) pg/mL, P = 0.019]; the
levels returned to normal after the first 12 wk of treatment with LdT [175.51
(103.90-331.91) pg/mL vs 184.50 (135.56-292.16) pg/mL, P > 0.05]. Serum IL-38
levels at baseline were positively associated with serum aspartate
aminotransferase levels in patients with CHB (r = 0.311, P = 0.036). Higher
levels of serum IL-38 at baseline were associated with a greater probability of
VR to LdT treatment at 24 wk (48.15% vs 15.79%, P = 0.023) and 52 wk (66.67% vs
36.84%, P = 0.044). The levels of serum IL-38 in patients with primary non
response at week 12 after treatment initiation were lower than those in patients
with primary response [64.44 (49.85-172.08) pg/mL vs 190.54 (121.35-355.28)
pg/mL, P = 0.036]. Serum IL-38 levels were correlated with serum IL-6 and IL-12
levels in patients with CHB during treatment with LdT. CONCLUSION: Elevated serum
IL-38 levels in untreated CHB patients reflect ongoing liver injury. Higher serum
IL-38 levels before treatment indicate a greater probability of VR to LdT
treatment.
PMID- 27182161
TI - Dissecting characteristics and dynamics of differentially expressed proteins
during multistage carcinogenesis of human colorectal cancer.
AB - AIM: To discover novel biomarkers for early diagnosis, prognosis or treatment of
human colorectal cancer. METHODS: iTRAQ 2D LC-MS/MS analysis was used to identify
differentially expressed proteins (DEPs) in the human colonic epithelial
carcinogenic process using laser capture microdissection-purified colonic
epithelial cells from normal colon, adenoma, carcinoma in situ and invasive
carcinoma tissues. RESULTS: A total of 326 DEPs were identified, and four DEPs
(DMBT1, S100A9, Galectin-10, and S100A8) with progressive alteration in the
carcinogenic process were further validated by immunohistochemistry. The DEPs
were involved in multiple biological processes including cell cycle, cell
adhesion, translation, mRNA processing, and protein synthesis. Some of the DEPs
involved in cellular process such as "translation" and "mRNA splicing" were
progressively up-regulated, while some DEPs involved in other processes such as
"metabolism" and "cell response to stress" was progressively down-regulated.
Other proteins with up- or down-regulation at certain stages of carcinogenesis
may play various roles at different stages of the colorectal carcinogenic
process. CONCLUSION: These findings give insights into our understanding of the
mechanisms of colorectal carcinogenesis and provide clues for further
investigation of carcinogenesis and identification of biomarkers.
PMID- 27182163
TI - Expression of B7-H4 and hepatitis B virus X in hepatitis B virus-related
hepatocellular carcinoma.
AB - AIM: To investigate the expression and clinical significance of B7-H4 and
hepatitis B virus X (HBx) protein in hepatitis B virus-related hepatocellular
carcinoma (HBV-HCC). METHODS: The expression of B7-H4 in the human HCC cell lines
HepG2 and HepG2.2.15 were detected by western blot, flow cytometry, and
immunofluorescence. The expression of B7-H4 and HBx in 83 HBV-HCC was detected by
immunohistochemistry, and the relationship with clinicopathological features was
analyzed. Paraffin sections were generated from 83 HBV-HCC patients (22 females
and 61 males) enrolled in this study. The age of these patients ranged from 35 to
77 years, with an average of 52.5 +/- 11.3 years. All experiments were approved
by the Ethics Committees of the Second Affiliated Hospital, Zhejiang University
School of Medicine. RESULTS: B7-H4 was significantly upregulated in HepG2.2.15
cells compared to HepG2 cells. Specifically, the protein expression of B7-H4 in
the lysates of HepG2 cells was more than that in HepG2.2.15 cells. In addition,
HBx was expressed only in HepG2.2.15 cells. Similar data were obtained by flow
cytometry. The positive rates of B7-H4 and HBx in the tissues of 83 HBV-HCC
patients were 68.67% (57/83) and 59.04% (49/83), respectively. The expression of
HBx was correlated with tumor node metastases (TNM) stage, and the expression of
B7-H4 was positively correlated with HBx (rs = 0.388; P < 0.01). The expression
level of B7-H4 in HBx-positive HBV-HCC tissues was substantially higher than that
in HBx-negative HBV-HCC tissues. The expression level of B7H4 was negatively
related to tumor TNM stage. CONCLUSION: Higher expression of HBx and B7-H4 was
correlated with tumor progression of HBV-HCC, suggesting that B7-H4 may be
involved in facilitating HBV-related hepatocarcinogenesis.
PMID- 27182165
TI - Video capsule endoscopy in left ventricular assist device recipients with obscure
gastrointestinal bleeding.
AB - AIM: To assess whether video capsule endoscopy (VCE) affects the outcomes of left
ventricular assist devices (LVADs) recipients with gastrointestinal bleeding.
METHODS: This is a retrospective study of LVAD recipients with obscure
gastrointestinal bleeding (OGIB) who underwent VCE at a tertiary medical center
between 2005 and 2013. All patients were admitted and monitored with telemetry
and all VCE and subsequent endoscopic procedures were performed as inpatients. A
VCE study was considered positive only when P2 lesions were found and was
regarded as negative if P1 or P0 were identified. All patients were followed
until heart transplant, death, or the end of the study. RESULTS: Between 2005 and
2013, 30 patients with LVAD underwent VCE. Completion rate of VCE was 93.3% and
there was no capsule retention. No interference of VCE recording or the function
of LVAD was found. VCE was positive in 40% of patients (n = 12). The most common
finding was active small intestinal bleeding (50%) and small intestinal
angiodysplasia (33.3%). There was no difference in the rate of recurrent bleeding
between patients with positive and negative VCE study (50.0% vs 55.6%, P = 1.00)
during an average of 11.6 +/- 9.6 mo follow up. Among patients with positive VCE,
the recurrent bleeding rate did not differ whether subsequent endoscopy was
performed (50% vs 50%, P = 1.00). CONCLUSION: VCE can be safely performed in LVAD
recipients with a diagnostic yield of 40%. VCE does not affect recurrent bleeding
in LVAD patients regardless of findings.
PMID- 27182164
TI - Predictive factors for survival and score application in liver retransplantation
for hepatitis C recurrence.
AB - AIM: To identify risk factors associated with survival in patients retransplanted
for hepatitis C virus (HCV) recurrence and to apply a survival score to this
population. METHODS: We retrospectively identified 108 patients retransplanted
for HCV recurrence in eight European liver transplantation centers (seven in
France, one in Spain). Data collection comprised clinical and laboratory
variables, including virological and antiviral treatment data. We then analyzed
the factors associated with survival in this population. A recently published
score that predicts survival in retransplantation in patients with hepatitis C
was applied. Because there are currently no uniform recommendations regarding
selection of the best candidates for retransplantation in this setting, we also
described the clinical characteristics of 164 patients not retransplanted, with
F3, F4, or fibrosing cholestatic hepatitis (FCH) post-first graft presenting with
hepatic decompensation. RESULTS: Overall retransplantation patient survival rates
were 55%, 47%, and 43% at 3, 5, and 10 years, respectively. Patients who were
retransplanted for advanced cirrhosis had survival rates of 59%, 52%, and 49% at
3, 5, and 10 years, while those retransplanted for FCH had survival rates of 34%,
29%, and 11%, respectively. Under multivariate analysis, and adjusting for the
center effect and the occurrence of FCH, factors associated with better survival
after retransplantation were: negative HCV viremia before retransplantation,
antiviral therapy after retransplantation, non-genotype 1, a Model for End-stage
Liver Disease (MELD) score < 25 when replaced on the waiting list, and a
retransplantation donor age < 60 years. Although the numbers were small, in the
context of the new antivirals era, we showed that outcomes in patients who
underwent retransplantation with undetectable HCV viremia did not depend on donor
age and MELD score. The Andres score was applied to 102 patients for whom all
score variables were available, producing a mean score of 43.4 (SD = 6.6).
Survival rates after the date of the first decompensation post-first liver
transplantation (LT1) in the liver retransplantation (reLT) group (94 patients
decompensated) at 3, 5, and 10 years were 62%, 59%, and 51%, respectively, among
78 retransplanted individuals with advanced cirrhosis, and 42%, 32%, and 16%
among 16 retransplanted individuals with FCH. In the non-reLT group with hepatic
decompensation, survival rates were 27%, 18%, and 9% at 3, 5, and 10 years,
respectively (P < 0.0001). Compared with non-retransplanted patients,
retransplanted patients were younger at LT1 (mean age 48 +/- 8 years compared to
53 +/- 9 years in the no reLT group, P < 0.0001), less likely to have human
immunodeficiency virus (HIV) co-infection (4% vs 14% among no reLT patients, P =
0.005), more likely to have received corticosteroid bolus therapy after LT1 (25%
in reLT vs 12% in the no reLT group, P = 0.01), and more likely to have presented
with sustained virological response (SVR) after the first transplantation (20% in
the reLT group vs 7% in the no reLT group, P = 0.028). CONCLUSION: Antiviral
therapy before and after retransplantation had a substantial impact on survival
in the context of retransplantation for HCV recurrence, and with the new direct
acting antivirals now available, outcomes should be even better in the future.
PMID- 27182166
TI - Methylation of DAPK and THBS1 genes in esophageal gastric-type columnar
metaplasia.
AB - AIM: To explore methylation of DAPK, THBS1, CDH-1, and p14 genes, and
Helicobacter pylori (H. pylori) status in individuals harboring esophageal
columnar metaplasia. METHODS: Distal esophageal mucosal samples obtained by
endoscopy and histologically diagnosed as gastric-type (non-specialized) columnar
metaplasia, were studied thoroughly. DNA was extracted from paraffin blocks, and
methylation status of death-associated protein kinase (DAPK), thrombospondin-1
(THBS1), cadherin-1 (CDH1), and p14 genes, was examined using a methyl-sensitive
polymerase chain reaction (MS-PCR) and sodium bisulfite modification protocol. H.
pylori cagA status was determined by PCR. RESULTS: In total, 68 subjects (33
females and 35 males), with a mean age of 52 years, were included. H. pylori cagA
positive was present in the esophageal gastric-type metaplastic mucosa of 18
individuals. DAPK, THSB1, CDH1, and p14 gene promoters were methylated by MS-PCR
in 40 (58.8%), 33 (48.5%), 46 (67.6%), and 23 (33.8%) cases of the 68 esophageal
samples. H. pylori status was associated with methylation of DAPK (P = 0.003) and
THBS1 (P = 0.019). CONCLUSION: DNA methylation occurs in cases of gastric-type
(non-specialized) columnar metaplasia of the esophagus, and this modification is
associated with H. pylori cagA positive infection.
PMID- 27182167
TI - Correlation between Helicobacter pylori-associated gastric diseases and
colorectal neoplasia.
AB - AIM: To explore the correlation between Helicobacter pylori (H. pylori)
associated gastric diseases and colorectal neoplasia. METHODS: Patients included
in this study underwent a colonoscopy and esophago-gastro-duodenoscopy (EGD)
along with histopathological measurement between March 2012 and March 2015 at Qi
Lu Hospital of Shandong University, who also had results of H. pylori detection.
A total of 233 cases were selected. Demographic data, H. pylori infection status
(including results of rapid urease tests and gastric mucosa pathological
examinations) and histopathological examination results of gastric and colorectal
mucosa were gathered and analyzed. The statistical analysis focused on the
prevalence of colorectal neoplasms among patients with various histopathological
categories of the stomach. ORs and their 95%CI were calculated to describe the
strengths of the associations. RESULTS: The incidence rates of colorectal adenoma
without high-grade intraepithelial neoplasia (HGIEN) (OR = 2.400, 95%CI: 0.969
5.941), adenoma with HGIEN (5.333, 1.025-27.758) and adenocarcinoma (1.455, 0.382
5.543) were all higher for patients with H. pylori-associated gastritis than for
those in the control group. The incidence rate of colorectal adenoma with HGIEN
(3.218, 0.767-13.509) was higher in patients with intestinal metaplasia than in
the control group, while the incidence rates of adenoma without HGIEN (0.874,
0.414-1.845) and adenocarcinoma (0.376, 0.096-1.470) were lower in the intestinal
metaplasia group than in the control group. The incidence rate of colorectal
adenoma without HGIEN (3.111, 1.248-7.753) was significantly higher in the
gastric intraepithelial neoplasia group than in the control group, while the
rates of adenoma with HGIEN (1.481, 0.138-15.941) and adenocarcinoma (2.020,
0.561-7.272) were higher in the gastric intraepithelial neoplasia group.
Incidence rates of colorectal adenoma without HGIEN (1.067, 0.264-4.314), adenoma
with HGIEN (2.667, 0.231-30.800) and adenocarcinoma (2.182, 0.450-10.585) were
all higher in the gastric adenocarcinoma group than in the control group.
CONCLUSION: H. pylori infection as well as H. pylori-associated gastric diseases
are risk factors for colorectal neoplasia.
PMID- 27182168
TI - Changes in patients' symptoms and gastric emptying after Helicobacter pylori
treatment.
AB - AIM: To investigate the changes in clinical symptoms and gastric emptying and
their association in functional dyspepsia (FD) patients. METHODS: Seventy FD
patients were enrolled and divided into 2 groups Helicobacter pylori (H. pylori)
negative group (28 patients), and H. pylori-positive group (42 patients).
Patients in the H. pylori-positive group were further randomly divided into
groups: H. pylori-treatment group (21 patients) and conventional treatment group
(21 patients). Seventy two healthy subjects were selected as the control group.
The proximal and distal stomach area was measured by ultrasound immediately after
patients took the test meal, and at 20, 40, 60 and 90 min; then, gastric half
emptying time was calculated. The incidence of symptoms and gastric half-emptying
time between the FD and control groups were compared. The H. pylori-negative and
conventional treatment groups were given conventional treatment: domperidone 0.6
mg/(kg/d) for 1 mo. The H. pylori-treatment group was given H. pylori eradication
treatment + conventional treatment: lansoprazole 30 mg once daily, clarithromycin
0.5 g twice daily and amoxicillin 1.0 g twice daily for 1 wk, then domperidone
0.6 mg/(kg/d) for 1 mo. The incidence of symptoms and gastric emptying were
compared between the FD and control groups. The relationship between dyspeptic
symptoms and gastric half-emptying time in the FD and control groups were
analyzed. Then total symptom scores before and after treatment and gastric half
emptying time were compared among the 3 groups. RESULTS: The incidence of
abdominal pain, epigastric burning sensation, abdominal distension, nausea,
belching, and early satiety symptoms in the FD group were significantly higher
than in the control group (50.0% vs 20.8%; 37.1% vs 12.5%; 78.6% vs 44.4%; 45.7%
vs 22.2%; 52.9% vs 15.3%; 57.1% vs 19.4%; all P < 0.05). The gastric half
emptying times of the proximal end, distal end, and the whole stomach in the FD
group were slower than in the control group (93.7 +/- 26.2 vs 72.0 +/- 14.3;
102.2 +/- 26.4 vs 87.5 +/- 18.2; 102.1 +/- 28.6 vs 78.3 +/- 14.1; all P < 0.05).
Abdominal distension, belching and early satiety had an effect on distal gastric
half-emptying time (P < 0.05). Abdominal distension and abdominal pain had an
effect on the gastric half-emptying time of the whole stomach (P < 0.05). All
were risk factors (odds ratio > 1). The total symptom score of the 3 groups after
treatment was lower than before treatment (P < 0.05). Total symptom scores after
treatment in the H. pylori-treatment group and H. pylori-negative group were
lower than in the conventional treatment group (5.15 +/- 2.27 vs 7.02 +/- 3.04,
4.93 +/- 3.22 vs 7.02 +/- 3.04, All P < 0.05). The gastric half-emptying times of
the proximal end, distal end, and the whole stomach in the H. pylori-negative and
H. pylori-treatment groups were shorter than in the conventional treatment group
(P < 0.05). CONCLUSION: FD patients have delayed gastric emptying. H. pylori
infection treatment helps to improve symptoms of dyspepsia and is a reasonable
choice for treatment in clinical practice.
PMID- 27182169
TI - Calcium supplementation for the prevention of colorectal adenomas: A systematic
review and meta-analysis of randomized controlled trials.
AB - AIM: To determine the efficacy of calcium supplementation in reducing the
recurrence of colorectal adenomas. METHODS: We conducted a systematic review and
meta-analysis of published studies. We searched PubMed, Scopus, the Cochrane
Library, the WHO International Clinical Trials Registry Platform, and the
ClinicalTrials.gov website, through December 2015. Randomized, placebo-controlled
trials assessing supplemental calcium intake for the prevention of recurrence of
adenomas were eligible for inclusion. Two reviewers independently selected
studies based on predefined criteria, extracted data and outcomes (recurrence of
colorectal adenomas, and advanced or "high-risk" adenomas), and rated each
trial's risk-of-bias. Between-study heterogeneity was assessed, and pooled risk
ratio (RR) estimates with their 95% confidence intervals (95%CI) were calculated
using fixed- and random-effects models. To express the treatment effect in
clinical terms, we calculated the number needed to treat (NNT) to prevent one
adenoma recurrence. We also assessed the quality of evidence using GRADE.
RESULTS: Four randomized, placebo-controlled trials met the eligibility criteria
and were included. Daily doses of elemental calcium ranged from 1200 to 2000 mg,
while the duration of treatment and follow-up of participants ranged from 36 to
60 mo. Synthesis of intention-to-treat data, for participants who had undergone
follow-up colonoscopies, indicated a modest protective effect of calcium in
prevention of adenomas (fixed-effects, RR = 0.89, 95%CI: 0.82-0.96; random
effects, RR = 0.87, 95%CI: 0.77-0.98; high quality of evidence). The NNT was 20
(95%CI: 12-61) to prevent one colorectal adenoma recurrence within a period of 3
to 5 years. On the other hand, the association between calcium treatment and
advanced adenomas did not reach statistical significance (fixed-effects, RR =
0.92, 95%CI: 0.75-1.13; random-effects, RR = 0.92, 95%CI: 0.71-1.18; moderate
quality of evidence). CONCLUSION: Our results suggest a modest chemopreventive
effect of calcium supplements against recurrent colorectal adenomas over a period
of 36 to 60 mo. Further research is warranted.
PMID- 27182172
TI - Prandial Insulin Dosing: How Long Does It Take to Go 80 Miles?
PMID- 27182171
TI - Isolated splenic metastasis from colon cancer: Case report.
AB - Isolated splenic metastases from colorectal cancer are very rare clinical
entities and when they are present, they usually manifest widely disseminated
disease. In this paper we report a case of metachronous solitary isolated splenic
metastasis from colon cancer in a 64-year-old woman who was successfully treated
by laparoscopic splenectomy. We discuss the pathological and clinical aspects of
this condition. We furthermore comment on the diagnostic and therapeutic options
of this rare entity through our observation of the case and consideration of the
31 case reports published in the literature.
PMID- 27182170
TI - Pseudo-Meigs' syndrome secondary to metachronous ovarian metastases from
transverse colon cancer.
AB - Pseudo-Meigs' syndrome associated with colorectal cancer is extremely rare. We
report here a case of pseudo-Meigs' syndrome secondary to metachronous ovarian
metastases from colon cancer. A 65-year-old female with a history of surgery for
transverse colon cancer and peritoneal dissemination suffered from metachronous
ovarian metastases during treatment with systemic chemotherapy. At first, neither
ascites nor pleural effusion was observed, but she later complained of
progressive abdominal distention and dyspnea caused by rapidly increasing ascites
and pleural effusion and rapidly enlarging ovarian metastases. Abdominocenteses
were repeated, and cytological examinations of the fluids were all negative for
malignant cells. We suspected pseudo-Meigs' syndrome, and bilateral
oophorectomies were performed after thorough informed consent. The patient's
postoperative condition improved rapidly after surgery. We conclude that pseudo
Meigs' syndrome should be included in the differential diagnosis of massive or
rapidly increasing ascites and pleural effusion associated with large or rapidly
enlarging ovarian tumors.
PMID- 27182174
TI - Diabetes Management Before and During Pregnancy: Preface.
PMID- 27182175
TI - Guest Editor.
PMID- 27182173
TI - Macronutrient Composition or Social Determinants? Impact on Infant Outcomes With
Gestational Diabetes Mellitus.
AB - The purpose of this study was to examine, through a randomized, controlled trial,
the effects of a maternal carbohydrate-restricted diet on maternal and infant
outcomes in gestational diabetes mellitus (GDM). Women diagnosed with GDM were
randomly allocated into one of two groups: an intervention group that was placed
on a lower-carbohydrate diet (35-40% of total calories) or a control group that
was placed on the usual pregnancy diet (50-55% carbohydrate). A convenience
sample of participants diagnosed with GDM (ages 18-45 years) was recruited from
two different sites: one urban and low-income and the other suburban and more
affluent. Individual face-to-face diet instruction occurred with certified
diabetes educators at both sites. Participants tested their blood glucose four
times daily. Specific socioeconomic status indicators included enrollment in the
Supplemental Nutrition Program for Women, Infants and Children or Medicaid-funded
health insurance, as well as cross-sectional census data. All analyses were based
on an intention to treat. Although there were no differences found between the
lower-carbohydrate and usual-care diets in terms of blood glucose or maternal
infant outcomes, there were significant differences noted between the two sites.
There was a lower mean postprandial blood glucose (100.59 +/- 7.3 mg/dL) at the
suburban site compared to the urban site (116.3 +/- 15 mg/dL) (P <0.01), even
though there was no difference in carbohydrate intake. There were increased
amounts of protein and fat consumed at the suburban site (P <0.01), as well as
lower infant complications (P <0.01). Further research is needed to determine
whether these disparities in outcomes were the result of macronutrient
proportions or environmental conditions.
PMID- 27182177
TI - Carbohydrate Content in the GDM Diet: Two Views: View 2: Low-Carbohydrate Diets
Should Remain the Initial Therapy for Gestational Diabetes.
AB - IN BRIEF The appropriate dietary intervention for gestational diabetes mellitus
(GDM) is not clear. Traditionally, a low-carbohydrate diet has been prescribed.
Recently, there has been a movement to prescribe a diet higher in nutrient-dense
carbohydrate as the initial treatment for GDM. At this time, there is
insufficient outcome data to support this type of diet.
PMID- 27182176
TI - Carbohydrate Content in the GDM Diet: Two Views: View 1: Nutrition Therapy in
Gestational Diabetes: The Case for Complex Carbohydrates.
AB - IN BRIEF Restriction of dietary carbohydrate has been the cornerstone for
treatment of gestational diabetes mellitus (GDM). However, there is evidence that
a balanced liberalization of complex carbohydrate as part of an overall eating
plan in GDM meets treatment goals and may mitigate maternal adipose tissue
insulin resistance, both of which may promote optimal metabolic outcomes for
mother and offspring.
PMID- 27182178
TI - Insulin Use in Pregnancy: An Update.
AB - IN BRIEF Insulin remains the standard of care for the treatment of type 1
diabetes, type 2 diabetes, and uncontrolled gestational diabetes. Tight control
maintained in the first trimester and throughout pregnancy plays a vital role in
decreasing poor fetal outcomes, including structural anomalies, macrosomia,
hypoglycemia of the newborn, adolescent and adult obesity, and diabetes.
Understanding new insulin formulations and strengths is important in assessing
risks, since no data on their use in human pregnancy exist.
PMID- 27182179
TI - Oral Medications for Diabetes in Pregnancy: Use in a Rural Population.
AB - IN BRIEF The oral agents glyburide and metformin are both recommended by many
professional societies for the treatment of gestational diabetes mellitus (GDM).
Both therapeutic modalities have published safety and efficacy data, but there
remains much debate among experts. Providers need a clear treatment plan for GDM
based on a predictable level of clinical success in obtaining treatment goals.
The proper selection of ideal candidates is paramount in achieving clinical
success with the use of these medications in the treatment of GDM. This article
presents clinical strategies for using oral agents in the management of GDM based
on a pragmatic approach taken in a group of rural Native American women.
PMID- 27182180
TI - The Challenges of Providing Diabetes Education in Resource-Limited Settings to
Women With Diabetes in Pregnancy: Perspectives of an Educator.
AB - IN BRIEF In resource-limited settings, the challenges of providing diabetes
education are magnified. To provide the best education, these challenges and
barriers need to be identified and addressed. Many times, at the "teachable
moment" of a gestational diabetes diagnosis, we are able to not only address the
immediate concerns, but also help patients adopt and continue long-term healthy
lifestyle behaviors that improve the health of their entire family.
PMID- 27182181
TI - Implementation of Preconception Care for Women With Diabetes.
AB - IN BRIEF Women with diabetes who are of reproductive age should receive
preconception risk assessment and counseling to maximize pregnancy outcomes. This
article summarizes the concept of preconception care for women with diabetes and
provides a description of an implementation of collaborative preconception care
for primary care and obstetrics and gynecology specialty providers.
PMID- 27182182
TI - Evaluation of an Education Intervention in Hispanic Women at Risk for Gestational
Diabetes Mellitus.
PMID- 27182183
TI - Augmenting Telemonitoring Interventions by Targeting Patient Needs in a Primarily
Hispanic Underserved Population.
PMID- 27182184
TI - Arithmetic, reading and writing performance has a strong genetic component: A
study in primary school children.
AB - Even children attending the same primary school and taught by the same teacher
differ greatly in their performance. In the Netherlands, performance at the end
of primary school determines the enrollment in a particular level of secondary
education. Identifying the impact of genes and the environment on individual
differences in educational achievement between children is important. The
Netherlands Twin Register has collected data on scores of tests used in primary
school (ages 6 to 12) to monitor a child's educational progress in four domains,
i.e. arithmetic, word reading, reading comprehension and spelling (1058 MZ and
1734 DZ twin pairs), and of a final test (2451 MZ and 4569 DZ twin pairs) in a
large Dutch cohort. In general, individual differences in educational achievement
were to a large extent due to genes and the influence of the family environment
was negligible. Moreover, there is no evidence for gender differences in the
underlying etiology.
PMID- 27182185
TI - Structuring Health in Colorectal Cancer Screening Conversations: An Analysis of
Intersecting Activity Systems.
AB - This study used structurating activity theory to analyze 21 conversations between
genetic counselors and individuals at increased risk for familial colorectal
cancer (CRC). The qualitative analysis revealed ways elements of family, primary
healthcare, cancer prevention and treatment, and other systems emerged in
intervention conversations as shaping CRC screening attitudes and behaviors.
Results indicate that family stories, norms, and roles are resources for enacting
health practices in families and that the authority of healthcare providers is a
resource for making screening decisions. Conclusions include practical
implications for using findings in clinical applications as well as future
research directions to build on this exploratory study.
PMID- 27182186
TI - Photoshop(r) Assisted Spectroscopy: An Economical and Non-Destructive Method for
Tracking Color Shift.
AB - Many historically and culturally significant objects from the mid-to-late 20th
century were created with media which contains light sensitive dyes that present
problems for collection custodians and conservators. The conservation staff at
the National Library of Medicine (NLM), National Institutes of Health, conducted
a multi-phase project on the aging of ballpoint pen ink in a variety of enclosure
types that ultimately culminated in the development of a new method to detect
color shift in documents with light sensitive media. This article offers
instructions on how to detect color shift in digitized materials using
Photoshop(r) Assisted Spectroscopy.
PMID- 27182188
TI - Rate-Agnostic (Causal) Structure Learning.
AB - Causal structure learning from time series data is a major scientific challenge.
Extant algorithms assume that measurements occur sufficiently quickly; more
precisely, they assume approximately equal system and measurement timescales. In
many domains, however, measurements occur at a significantly slower rate than the
underlying system changes, but the size of the timescale mismatch is often
unknown. This paper develops three causal structure learning algorithms, each of
which discovers all dynamic causal graphs that explain the observed measurement
data, perhaps given undersampling. That is, these algorithms all learn causal
structure in a "rate-agnostic" manner: they do not assume any particular relation
between the measurement and system timescales. We apply these algorithms to data
from simulations to gain insight into the challenge of undersampling.
PMID- 27182187
TI - African American patients' intent to screen for colorectal cancer: Do cultural
factors, health literacy, knowledge, age and gender matter?
AB - African Americans have higher colorectal cancer (CRC) mortality rates. Research
suggests that CRC screening interventions targeting African Americans be based
upon cultural dimensions. Secondary analysis of data from African-Americans who
were not up-to-date with CRC screening (n=817) was conducted to examine: 1)
relationships among cultural factors (i.e., provider trust, cancer fatalism,
health temporal orientation (HTO)), health literacy, and CRC knowledge; 2) age
and gender differences; and 3) relationships among the variables and CRC
screening intention. Provider trust, fatalism, HTO, health literacy and CRC
knowledge had significant relationships among study variables. The FOBT intention
model explained 43% of the variance with age and gender being significant
predictors. The colonoscopy intention model explained 41% of the variance with
gender being a significant predictor. Results suggest that when developing CRC
interventions for African Americans, addressing cultural factors remain
important, but particular attention should be given to the age and gender of the
patient.
PMID- 27182189
TI - Integrating Genetics and Medicine: Disease-Modifying Treatment Strategies for
Hypertrophic Cardiomyopathy.
PMID- 27182190
TI - Statewide CBT Training for Clinicians and Supervisors Treating Youth: The New
York State Evidence Based Treatment Dissemination Center.
AB - In recent years, several states have undertaken efforts to disseminate evidence
based treatments to agencies and clinicians in their children's service system.
In New York, the Evidence Based Treatment Dissemination Center adopted a unique
translation-based training and consultation model in which an initial 3-day
training was combined with a year of clinical consultation with specific
clinician and supervisor elements. This model has been used by the New York State
Office of Mental Health for the past 3 years to train 1,210 clinicians and
supervisors statewide. This article describes the early adoption and initial
implementation of a statewide training program in cognitive-behavioral therapy
for youth. The training and consultation model and descriptive findings are
presented; lessons learned are described. Future plans include a focus on
sustainability and measurement feedback of youth outcomes to enhance the
continuity of this program and the quality of the clinical services.
PMID- 27182191
TI - Perfectly Wetting Mixtures of Surfactants from Renewable Resources: The
Interaction and Synergistic Effects on Adsorption and Micellization.
AB - This paper presents a study of the surface properties of mixtures of surfactants
originating from renewable sources, i.e., alkylpolyglucoside (APG), ethoxylated
fatty alcohol (AE), and sodium soap (Na soap). The main objective was to optimize
the surfactant ratio which produces the highest wetting properties during the
analysis of the solution of the individual surfactants, two- and three-component
mixtures, and at different pH values. The results showed the existence of a
synergistic effect in lowering the interfacial tension, critical micelle
concentration and the formation of mixed micelles in selected solutions. We found
that best wetting properties were measured for the binary AE:APG mixtures. It has
been demonstrated that slightly lower contact angles values were observed on
Teflon and glass surfaces for the AE:APG:soap mixtures but the results were
obtained for higher concentration of the components. In addition, all studied
solutions have very good surface properties in acidic, basic and neural media.
However, the AE:soap (molar ratio of 1:2), AE:APG (2:1) and AE:APG:soap (1:1:1)
compositions improved their wetting power at pH 7 on the aluminium and glass
surfaces, as compared to solutions at other pH values tested (selected Theta
values close to zero-perfectly wetting liquids). All described effects detected
would allow less surfactant to be used to achieve the maximum capacity of
washing, wetting or solubilizing while minimizing costs and demonstrating
environmental care.
PMID- 27182192
TI - Benchmarking the Self-Assembly of Surfactin Biosurfactant at the Liquid-Air
Interface to those of Synthetic Surfactants.
AB - The adsorption of surfactin, a lipopeptide biosurfactant, at the liquid-air
interface has been investigated in this work. The maximum adsorption density and
the nature and the extent of lateral interaction between the adsorbed surfactin
molecules at the interface were estimated from surface tension data using the
Frumkin model. The quantitative information obtained using the Frumkin model was
also compared to those obtained using the Gibbs equation and the Langmuir
Szyszkowski model. Error analysis showed a better agreement between the
experimental and the calculated values using the Frumkin model relative to the
other two models. The adsorption of surfactin at the liquid-air interface was
also compared to those of synthetic anionic, sodium dodecylbenzenesulphonate
(SDBS), and nonionic, octaethylene glycol monotetradecyl ether (C14E8),
surfactants. It has been estimated that the area occupied by a surfactin molecule
at the interface is about 3- and 2.5-fold higher than those occupied by SDBS and
C14E8 molecules, respectively. The interaction between the adsorbed molecules of
the anionic biosurfactant (surfactin) was estimated to be attractive, unlike the
mild repulsive interaction between the adsorbed SDBS molecules.
PMID- 27182193
TI - Insight on the Li2S electrochemical process in a composite configuration
electrode.
AB - A novel, low cost and environmentally sustainable lithium sulfide-carbon
composite cathode, suitably prepared by combining polyethylene oxide (PEO),
LiCF3SO3 and Li2S-C powders is here presented. The cathode is characterized in
lithium-metal cell employing a solution of LiCF3SO3 salt in dioxolane
dimethylether (DOL-DME) as the electrolyte. Detailed NMR investigation of the
diffusion properties of the electrolyte is reported in order to determine its
suitability for the proposed cell. The addition of LiNO3 to the electrolyte
solution allows practical application in a lithium sulfur cell using the Li2S-C
based cathode characterized by a specific capacity of about 500 mAh g-1 (as
referenced to the Li2S mass). The cell holds its optimal performances for over 70
cycles at C/5 rate, with a steady state efficiency approaching 99%. X-ray
diffraction patterns of the cell upon operation suggest the reversibility of the
Li2S electrochemical process, while repeated electrochemical impedance
spectroscopy (EIS) measurements indicate the suitability of the electrode
electrolyte interface in terms of low and stable cell impedance. Furthermore, the
EIS study clarifies the activation process occurring at the Li2S cathode during
the first charge process, leading to the decrease of the cell polarization during
the following cycles. The data here reported shed light on important aspects to
be considered for the efficient application of the Li2S cathode in lithium
battery.
PMID- 27182195
TI - Racism: On the phenomenology of embodied desocialization.
AB - This paper addresses racism from a phenomenological viewpoint. Its main task is,
ultimately, to show that racism as a process of "negative socialization" does not
amount to a contingent deficiency that simply disappears under the conditions of
a fully integrated society. In other words, I suspect that racism does not only
indicate a lack of integration, solidarity, responsibility, recognition, etc.;
rather, that it is, in its extraordinary negativity, a socially constitutive
phenomenon per se. After suggesting phenomenology's potential to tackle the
question of racism, I will focus on the experiential oppressiveness of racism,
i.e., the ways in which it affects its victims' lived experiences, in
transforming their habitual ways of life and, finally, their subjectivities. My
major thesis is that racism works via both inter-kinaesthetically as well as
symbolically inflicted distortions of the victim's body schema. As such a process
of "negative socialization," racism, however, influences the embodied self
conception of the oppressor, who finds himself compelled to adhere to some kind
of invisible norm such as, e.g., "whiteness."
PMID- 27182194
TI - Strategies and Methods for the Synthesis of Anticancer Natural Product
Neopeltolide and its Analogs.
AB - Neopeltolide, isolated in 2007, with its novel structural features and potent
anti cancer cell proliferation activity, has attracted a tremendous amount of
synthetic efforts. This review briefly and chronologically summarizes each of the
synthesis with the main focus on the strategies and methodologies for the
construction of its cis-tetrahydropyran-containing macrolactone core.
PMID- 27182197
TI - Guest editorial: special issue on selected papers from the European conference on
genetic programming.
PMID- 27182196
TI - From the "metaphysics of the individual" to the critique of society: on the
practical significance of Michel Henry's phenomenology of life.
AB - This essay explores the practical significance of Michel Henry's "material
phenomenology." Commencing with an exposition of his most basic philosophical
intuition, i.e., his insight that transcendental affectivity is the primordial
mode of revelation of our selfhood, the essay then brings to light how this
intuition also establishes our relation to both the world and others. Animated by
a radical form of the phenomenological reduction, Henry's material phenomenology
brackets the exterior world in a bid to reach the concrete interior
transcendental experience at the base of all exteriority. The essay argues that
this "counter reduction," designed as a practical orientation to the world,
suspends all traditional parameters of onto(theo)logical individuation in order
to rethink subjectivity in terms of its transcendental corporeality, i.e., in
terms of the invisible display of "affective flesh." The development of this
"metaphysics of the individual" anchors his "practical philosophy" as he
developed it-under shifting accents-throughout his oeuvre. In particular, the
essay brings into focus Henry's reflections on modernity, the industry of mass
culture and their "barbaric" movements. The essay briefly puts these cultural and
political areas of Henry's of thinking into contact with his late "theological
turn," i.e., his Christological account of Life and the (inter)subjective self
realization to which it gives rise.
PMID- 27182198
TI - Migration and Marriage: Modeling the Joint Process.
AB - BACKGROUND: Previous research on inter-relations between migration and marriage
has relied on overly simplistic assumptions about the structure of dependency
between the two events. However, there is good reason to posit that each of the
two transitions has an impact on the likelihood of the other, and that unobserved
common factors may affect both migration and marriage, leading to a distorted
impression of the causal impact of one on the other. OBJECTIVE: We will
investigate relationships between migration and marriage in the United States
using data from the National Longitudinal Survey of Youth 1979. We allow for
inter-dependency between the two events and examine whether unobserved common
factors affect the estimates of both migration and marriage. METHODS: We estimate
a multi-process model in which migration and marriage are considered
simultaneously in regression analysis and there is allowance for correlation
between disturbances; the latter feature accounts for possible endogeneity
between shared unobserved determinants. The model also includes random effects
for persons, exploiting the fact that many people experience both events multiple
times throughout their lives. RESULTS: Unobserved factors appear to significantly
influence both migration and marriage, resulting in upward bias in estimates of
the effects of each on the other when these shared common factors are not
accounted for. Estimates from the multi-process model indicate that marriage
significantly increases the hazard of migration while migration does not affect
the hazard of marriage. CONCLUSIONS: Omitting inter-dependency between life
course events can lead to a mistaken impression of the direct effects of certain
features of each event on the other.
PMID- 27182199
TI - First- and second-hand consequences of alcohol in college: Differential
associations with later alcohol use.
AB - INTRODUCTION: College students are at increased risk of experiencing a variety of
consequences as result of their own as well as others' alcohol consumption. The
current study examined the differential associations between both first-hand
consequences (resulting from one's own drinking) and second-hand consequences
(resulting in victimization as a result of others' drinking) and subsequent
alcohol consumption across the first year of college. METHOD: First year students
(n = 253) from a large northeastern U.S. university were randomly selected to
participate and surveyed at the end of the first semester of college (time 1) and
during the first semester of the second year of college (time 2). RESULTS:
Results showed a significant, positive relationship between first-hand
consequences and subsequent weekend drinking (beta = .16, p < .05) and heavy
episodic drinking (beta = .49, p < .01), after controlling for individual and
friend drinking. A negative association between second-hand consequences and
later heavy episodic drinking was also observed (beta = -.16, p < .05).
DISCUSSION AND CONCLUSIONS: The findings provided partial support for both a
positive association hypothesis and a negative association hypothesis. The
importance of personal alcohol consumption and peers' drinking in relation to
first- and second-hand consequences are discussed.
PMID- 27182201
TI - The 'visibility' of unpaid care in England.
AB - SUMMARY: Social work practice is increasingly concerned with support not just for
service users but also for unpaid carers. A key aspect of practice is the
assessment of carers' needs. The Government has recently passed legislation that
will widen eligibility for carers' assessments and remove the requirement that
carers must be providing a substantial amount of care on a regular basis. This
article examines which carers are currently 'visible' or known to councils and
which are not, and uses the results to examine the likely effects of the new
legislation. In order to identify the characteristics of carers known to
councils, the article uses large-scale surveys, comparing the 2009/10 Personal
Social Services Survey of Adult Carers in England and the 2009/10 Survey of
Carers in Households in England. FINDINGS: Carers who are known to councils
provide extremely long hours of care. Among carers providing substantial care who
are known to councils, the majority care for 100 or more hours a week. The focus
of councils on carers providing long hours of care is associated with a number of
other carer characteristics, such as poor health. APPLICATIONS: Councils'
emphasis on the most intense carers is unlikely to be attributable solely to the
current legislation. Therefore, dropping the substantial and regular clauses
alone will not necessarily broaden access to carers' assessments and, in order to
achieve this, considerable new resources may be needed. How far these resources
are available will determine the extent to which practitioners can broaden access
to carers' assessments.
PMID- 27182200
TI - The influence of family and social problems on treatment outcomes of persons with
co-occurring substance use disorders and PTSD.
AB - OBJECTIVE: Family and social problems may contribute to negative recovery
outcomes in patients with co-occurring substance use and psychiatric disorders,
yet few studies have empirically examined this relationship. This study
investigates the impact of family and social problems on treatment outcomes among
patients with co-occurring substance use and posttraumatic stress disorder
(PTSD). METHOD: A secondary analysis was conducted using data collected from a
randomized controlled trial of an integrated therapy for patients with co
occurring substance use and PTSD. Substance use, psychiatric symptoms, and social
problems were assessed. Longitudinal outcomes were analyzed using generalized
estimating equations (GEE) and multiple linear regression. RESULTS: At baseline,
increased family and social problems were associated with more severe substance
use and psychiatric symptoms. Over time, all participants had comparable
decreases in substance use and psychiatric problem severity. However, changes in
family and social problem severity were predictive of PTSD symptom severity,
alcohol use, and psychiatric severity at follow-up. CONCLUSIONS: For patients
with co-occurring substance use and PTSD, family and social problem severity is
associated with substance use and psychiatric problem severity at baseline and
over time. Targeted treatment for social and family problems may be optimal.
PMID- 27182202
TI - Lyn, a tyrosine kinase closely linked to the differentiation status of primary
acute myeloid leukemia blasts, associates with negative regulation of all-trans
retinoic acid (ATRA) and dihydroxyvitamin D3 (VD3)-induced HL-60 cells
differentiation.
AB - BACKGROUND: Lyn, an import member of Src family kinases (SFKs), is supposed to be
implicated in acute myeloid leukemia (AML) pathogenesis and development by
participation in AML differentiation, yet the details still remain incompletely
understood. The expression status of Lyn and its correlation with multiple
clinical parameters including cell differentiation degree, different cytogenetic
risk classification, and the activity of myeloperoxidase (MPO) were thus
investigated. To address the mechanisms underlying the involvement of Lyn in
differentiation induction, the effects of dasatinib, an inhibitor for SFKs
including Lyn, on the alterations of all-trans retinoic acid (ATRA)- or
dihydroxyvitamin D3 (VD3)-induced differentiation, and c-Myc protein expression
were investigated. METHODS: Primary AML blasts were obtained from 31 newly
diagnosed AML patients with different French-American-British (FAB) subtypes. The
expression of phosphorylated and total Lyn, c-Myc, and CD11b, CD11c and CD15 was
analyzed by flow cytometry. The activation of Akt and Erk known to be involved in
the regulation of c-Myc expression was investigated using western blotting.
RESULTS: Significant higher expression levels of total Lyn were observed in AML
patients with favorable cytogenetics, higher MPO activity and FAB M2 subtype. A
clear positive correlation between the expression levels of Lyn and
differentiation status of primary AML blasts was observed. Dasatinib inhibited
the expression of phosphorylated Lyn, and further enhanced the differentiation
inducing activity of ATRA and VD3 in HL-60 cells. Augmented downregulation of c
Myc protein expression was observed in the combination treatment with ATRA, VD3
and dasatinib compared to treatment with each reagent alone in HL-60 cells. The
suppression of the activation of Akt and Erk was also observed concomitantly.
CONCLUSIONS: The expression level of total Lyn is closely linked to the
differentiation status of AML blasts. The enhancement of differentiation-inducing
activity of ATRA/VD3 by dasatinib suggested that Lyn was associated in the
negative regulation of ATRA/VD3-induced HL-60 cells differentiation. The
enhancement probably was attributed to the downregulation of c-Myc implicated
with the suppression of the activation of Akt and Erk. These results provide
novel insights into a possible combinational therapeutic approach by targeting
Lyn for AML patients, and offer new possibilities for the combination therapy
with VD3 and dasatinib.
PMID- 27182203
TI - Development of a metric for tracking and comparing population health based on the
minimal generic set of domains of functioning and health.
AB - BACKGROUND: The following minimal set of valid health domains for tracking the
health of both clinical and general populations has recently been proposed: 1)
energy and drive functions, 2) emotional functions, 3) sensation of pain, 4)
carrying out daily routine, 5) walking and moving around, and 6) remunerative
employment. This study investigates whether these domains can be integrated into
a sound psychometric measure to adequately assess, compare, and monitor the
health of populations. METHODS: Data from waves 3 and 4 of the English
Longitudinal Study of Ageing (ELSA) were analysed (N = 9779 and 11,050). From
ELSA, 12 items operationalizing the six domains of the minimal generic set were
identified. The Partial Credit Model (PCM) was applied to create a health metric
based on these items. The Item Response Theory (IRT) model assumptions of
unidimensionality, local independence, and monotonicity were evaluated, and
Differential Item Functioning (DIF) was examined for sex and age groups. The
psychometric properties of: 1) internal consistency reliability, 2) construct
validity, and 3) sensitivity to change were evaluated to establish the final
health metric. RESULTS: IRT model assumptions were found to be fulfilled. None of
the items showed DIF by sex or age group. The final health metric demonstrated
sound psychometric properties. CONCLUSIONS: The health metric developed in this
study - based on the domains of the minimal generic set - proved useful for a
wide range of health comparisons, especially for different groups of persons, and
both cross-sectionally and over time. Monitoring health over time provides
especially useful information for health care providers and health policymakers
and both in clinical settings and the general population. The developed health
metric offers a wide range of applications, including comparisons of levels of
health among different groups in the general population, clinical populations,
and even populations within and across different countries.
PMID- 27182205
TI - Absolute Configuration and Polymorphism of 2-Phenylbutyramide and alpha-Methyl
alpha-phenylsuccinimide.
AB - Crystal structures of racemic and homochiral forms of 2-phenylbutyramide (1) and
3-methyl-3-phenylpyrrolidine-2,5-dione (2) were investigated in detail by a
single crystal X-ray diffraction study. Absolute configurations of the homochiral
forms of 1 and 2, obtained by chromatographic separation of racemates, were
determined. It was revealed that racemate and homochiral forms of 1 are very
similar in terms of supramolecular organization (H-bonded ribbons) in crystal,
infrared (IR) spectral characteristics, and melting points. The presence of two
different molecular conformations in homochiral forms of 1 allowed mimicking of
crystal packing of the H-bonded ribbons in racemate 1. Two polymorph
modifications (monoclinic and orthorhombic) comprising very similar H-bonded
zigzag-like chains were found for the homochiral forms of compound 2 that were
significantly different in terms of crystal structure, IR spectra, and melting
points from the racemic form of 2. Unlike compound 1, homochiral forms of
compound 2 have a higher density than the corresponding racemate which
contradicts the Wallach rule and indicates that, in this case, homochiral forms
are more stable than racemate forms.
PMID- 27182204
TI - A Practical Approach to Quantitative Processing and Analysis of Small Biological
Structures by Fluorescent Imaging.
AB - Standards in quantitative fluorescent imaging are vaguely recognized and receive
insufficient discussion. A common best practice is to acquire images at Nyquist
rate, where highest signal frequency is assumed to be the highest obtainable
resolution of the imaging system. However, this particular standard is set to
insure that all obtainable information is being collected. The objective of the
current study was to demonstrate that for quantification purposes, these
correctly set acquisition rates can be redundant; instead, linear size of the
objects of interest can be used to calculate sufficient information density in
the image. We describe optimized image acquisition parameters and unbiased
methods for processing and quantification of medium-size cellular structures.
Sections of rabbit aortas were immunohistochemically stained to identify and
quantify sympathetic varicosities, >2 MUm in diameter. Images were processed to
reduce background noise and segment objects using free, open-access software.
Calculations of the optimal sampling rate for the experiment were based on the
size of the objects of interest. The effect of differing sampling rates and
processing techniques on object quantification was demonstrated. Oversampling led
to a substantial increase in file size, whereas undersampling hindered reliable
quantification. Quantification of raw and incorrectly processed images generated
false structures, misrepresenting the underlying data. The current study
emphasizes the importance of defining image-acquisition parameters based on the
structure(s) of interest. The proposed postacquisition processing steps
effectively removed background and noise, allowed for reliable quantification,
and eliminated user bias. This customizable, reliable method for background
subtraction and structure quantification provides a reproducible tool for
researchers across biologic disciplines.
PMID- 27182206
TI - Ingestible Biosensors for Real-Time Medical Adherence Monitoring: MyTMed.
AB - Medication nonadherence complicates the management and treatment of chronic
disease. Nonadherence to medications is associated with significant mortality,
accelerated disease progression, and increased health care costs.
My/Treatment/Medication (MyTMed) is a novel adherence monitoring system that
obtains direct measures of medication adherence/nonadherence. MyTMed consists of
1) a "digital pill" with a radiofrequency emitter that activates on contact with
gastric pH; 2) a relay Hub that captures the radiofrequency signal and transmits
it to 3) a cloud based server that connects patient and physicians via a
bidirectional interface. In our increasingly mobile world, MyTMed is able to
provide medication ingestion data and deliver interventions in real time that
support adherence. We describe the patient-centered design of MyTMed as well as
the behavioral theory supporting the interface architecture.
PMID- 27182207
TI - Renal replacement Therapy and Barriers to choice: using a Mixed Methods approach
to explore the Patient's Perspective.
AB - Alternatives to in-center hemodialysis as treatment for end-stage renal disease
have been shown to increase patient quality of life, decrease co-morbidities and
decrease financial strain on both the patient and the health care system. Focus
groups (n = 6 groups with 47 participants) and survey data (n = 113) were used to
ascertain perceived barriers and facilitators to alternative therapies and
psychosocial and educational issues that may affect a patients' choice of
modality among patients utilizing in-center dialysis, home dialysis and renal
transplantation. Fear emerged as a predominant theme, both at diagnosis and when
choosing a modality. Distrust of the medical system, denial and patient
experiences with previous modalities were seen as barriers to care. Results imply
that interventions addressing fear and providing more comprehensive pre-dialysis
education may decrease barriers.
PMID- 27182209
TI - Diagnostic Testing.
PMID- 27182208
TI - Characterizing magnetic resonance signal decay due to Gaussian diffusion: the
path integral approach and a convenient computational method.
AB - The influence of Gaussian diffusion on the magnetic resonance signal is
determined by the apparent diffusion coefficient (ADC) and tensor (ADT) of the
diffusing fluid as well as the gradient waveform applied to sensitize the signal
to diffusion. Estimations of ADC and ADT from diffusion-weighted acquisitions
necessitate computations of, respectively, the b-value and b-matrix associated
with the employed pulse sequence. We establish the relationship between these
quantities and the gradient waveform by expressing the problem as a path integral
and explicitly evaluating it. Further, we show that these important quantities
can be conveniently computed for any gradient waveform using a simple algorithm
that requires a few lines of code. With this representation, our technique
complements the multiple correlation function (MCF) method commonly used to
compute the effects of restricted diffusion, and provides a consistent and
convenient framework for studies that aim to infer the microstructural features
of the specimen.
PMID- 27182210
TI - Contemporary Understanding and Management of Overt and Covert Hepatic
Encephalopathy.
AB - Hepatic encephalopathy (HE) is a major complication of liver disease that leads
to significant morbidity and mortality. Caring for hospitalized patients with HE
is becoming more complex, and the economic burden of HE continues to rise.
Defining and diagnosing HE, particularly covert HE (CHE), remain challenging. In
this article, we review new tools and those currently under development for the
diagnosis of CHE and the latest advances in the acute and long-term management of
overt HE (OHE) and CHE. In particular, we review the latest data on the use of
lactulose and rifaximin for treatment of OHE and summarize the data on adjunctive
agents such as sodium benzoate and probiotics.
PMID- 27182212
TI - The Relationship Between Hepatocellular Carcinoma and Hepatitis B and C Virus.
PMID- 27182213
TI - European Experience of Infliximab Biosimilars for the Treatment of Inflammatory
Bowel Disease.
PMID- 27182215
TI - Liver Transplant in Patients With Primary Sclerosing Cholangitis.
PMID- 27182211
TI - Fertility and Contraception in Women With Inflammatory Bowel Disease.
AB - Inflammatory bowel disease (IBD) carries a high burden in women during their
reproductive years, and family planning issues are often a significant cause of
concern. Fertility is normal in women with nonsurgically treated ulcerative
colitis and similar or slightly reduced in women with Crohn's disease. Women who
undergo ileal pouch anastomosis have reduced fertility. Fertility is likely
worsened by disease activity but unaffected by medications used to treat IBD.
Infertile patients with IBD respond as well as non-IBD patients to in vitro
fertilization (IVF). Despite normal fertility, patients with IBD have fewer
children due to concerns regarding infertility, disease inheritance, congenital
abnormalities, and disease-related sexual dysfunction. Patients rarely discuss
these issues with a physician. When discussion does occur, it may lead to changes
in decision-making. Contraceptives are an important part of family planning,
particularly during times of high disease activity. All forms of contraceptives
are acceptable in patients with IBD, although there are specific considerations.
The risks of combined oral contraceptives outweigh the benefits in patients with
active disease and patients with prior or high risk for thromboembolism. Oral
contraceptives and IBD are independently associated with an increased risk for
thromboembolism, although it is not known whether this effect is compounding.
Depot medroxyprogesterone acetate injection should be avoided in patients with or
at risk for osteopenia. Intrauterine devices and implants are the most effective
form of contraception and should be a first-line recommendation. The use of oral
contraceptives is associated with the development of IBD, although there is no
increased risk of disease relapse with the use of any form of contraceptive.
PMID- 27182214
TI - Helicobacter pylori Infection in the Era of Antibiotic Resistance.
PMID- 27182216
TI - Management of Idiopathic and Iatrogenic Esophageal Perforations.
PMID- 27182217
TI - GASTRO-HEP News.
PMID- 27182218
TI - Evidence-Based Parenting Programs for Maltreating Parents: Views of Child
Protective Services Caseworkers.
PMID- 27182220
TI - Publish or Perish: Need to have another look?
PMID- 27182219
TI - DNA G-quadruplex and its potential as anticancer drug target.
AB - G-quadruplex secondary structures are four-stranded globular nucleic acid
structures form in the specific DNA and RNA G-rich sequences with biological
significance such as human telomeres, oncogene-promoter regions, replication
initiation sites, and 5' and 3'-untranslated (UTR) regions. The non-canonical G
quadruplex secondary structures can readily form under physiologically relevant
ionic conditions and are considered to be new molecular target for cancer
therapeutics. This review discusses the essential progress in our lab related to
the structures and functions of biologically relevant DNA G-quadruplexes in human
gene promoters and telomeres, and the opportunities presented for the development
of G-quadruplex-targeted small- molecule drugs.
PMID- 27182221
TI - How to write a Doctoral Thesis.
PMID- 27182222
TI - Hepatorenal syndrome:Response to terlipressin and albumin and its determinants.
AB - OBJECTIVE: To determine the efficacy of terlipressin and albumin in improving
renal functions in patient with hepatorenal syndrome (HRS) and to identify
factors determinant of better response. METHODS: In this quasi experimental
interventional study patients of liver cirrhosis and ascites with HRS type I were
treated with intravenous albumin and incremental dosage of terlipressin based on
response with maximum dose of 12mg/day. Decline of creatinine below 1.5mg/dl was
defined as complete response. Factors predictive of response to therapy were
determined via linear regression analysis. RESULTS: Twenty four patients were
included with male to female ratio 3.8/1(19/5) and mean age 53.3 (+/-10.06).
Complete response to terlipressin/albumin was seen in 14 (58.3%)patients, seven
(29.2%) achieved partial response with > 25% creatinine decline while three
(12.5%) had no response. Lower serum creatinine at diagnosis (P value 0.003),
absence of hyperkalemia (p value 0.005) and absence of portal vein thrombosis (p
value 0.05) are associated with response to treatment in HRS. Baseline serum
creatinine (p value 0.003) was independent predictor of response to therapy in
multivariate analysis. CONCLUSION: Terlipressin and albumin is an effective
treatment for HRS type I. Patients with lower baseline serum creatinine are more
likely to respond to this therapy.
PMID- 27182223
TI - Scientific publications in critical care medicine journals from East Asia: A 10
year survey of the literature.
AB - OBJECTIVE: The quantity and quality of publications in critical care medicine
from East Asia haven't been reported. This study aimed to investigate the
contribution of publications from East Asia. METHODS: Articles from China, Japan
and South Korea in 2005 to 2014 were retrieved from Web of Science and Pubmed.
The number of publications, impact factor, citation, and article types were
analyzed. RESULTS: There were 3076 publications from East Asia (1720 from China,
913 from Japan, and 443 from South Korea). There were a significant decrease in
publications from Japan (p = 0.024) and significant increases from China (p =
0.000) and South Korea (p = 0.009). From 2006, the number of articles from China
exceed Japan. China had the highest total impact factor (6618.48) and citation
(18416), followed by Japan (4566.03; 15440) and South Korea (1998.19; 5599).
Japan had the highest mean impact factor (5.00) and citations (16.91), followed
by South Korea (4.51; 12.64) and China (3.85; 10.71). CONCLUSIONS: China and
South Korea's contributions to critical care medicine had significant increases
during the past 10 years, while Japan had a significant decrease. China was the
most productive region in East Asia since 2006. Japan had the highest quality
research output.
PMID- 27182224
TI - Diagnostic utility of saline infusion doppler sonohysterography in endometrial
mass lesions.
AB - OBJECTIVE: To evaluate the importance of saline infusion Doppler
sonohysterography (SIS-D) in the assessment of transvaginal ultrasound (TVUSG)
suspected intrauterine mass lesions in women complaining about abnormal uterine
bleeding with respect to hysteroscopy (H/S) and pathologic diagnosis. METHODS:
This study was conducted on patients, who visited to our clinic with abnormal
uterine bleeding and whose TVUSGs indicated intrauterine masses. The study
covered a total of 100 patients. SIS-D and hysteroscopy were performed on those
100 patients. SIS-D results were compared with hysteroscopy results. The relation
between SIS-D findings and pathology results were evaluated. RESULTS: For SIS;
specificity was 96%, sensitivity was 60%, positive predictive value (PPV) was
87.8%, negative predictive value (NPV) was 83.3%, and the accuracy rate was 87%.
For TVUSG; PPV was 75%. According to SIS-D, 92.2% of the lesions that had single
vessel feeding patterns were endometrial polyps, and this was statistically
significant (p<0.0001). 57.1% of the lesions that had multiple-vessel feeding
patterns were submucous myomas, and this was statistically significant
(p<0.0001). CONCLUSION: SIS should be performed in patients before hysteroscopy
because it will protect a considerable number of patients from unnecessary
invasive procedures. SIS-D gives an idea on the histopathology of the mass.
PMID- 27182225
TI - The eating habits of Patients with Type 2 diabetes in Algeria.
AB - OBJECTIVE: To evaluate the eating habits and the practice of physical-activity of
patients with Tyhpe-2 diabetes. (DT2). METHODS: A total of 1523 patients DT2 with
average age 58+/-9.9 were recruited. A questionnaire about their eating habits,
physical activity was conducted. Data were analyzed using SPSS statistical.
RESULTS: Most of the patients were obese (64%), with irregular and weak practice
of the physical-activity. The patients based their consumption on food rich with
nutrients of high glycaemic index. Their food was mainly characterized by high
amounts of fats, the green salads and the desserts (fruits) represent only a
secondary amount. Statistically, Overweight + obese patients with diabetes had
significantly higher level of consumption of the bread. However, the normal
weight patients with diabetes had significantly higher level of the consumption
of fruit and vegetables (p=0.006 and p=0 respectively). On the other hand, there
was no significant difference in level of the consumption of the greasy
substances, milk and dairy products, meat-fish-egg two groups (p=0.53, p=0.06 and
P > 0.05). CONCLUSION: This study showed the need for an improvement in the
nutritional care of DT2 patients in the area of Ain Defla (Algeria), also, the
practice of the physical-activity, in order to plan an adequate therapeutic care.
PMID- 27182226
TI - Prevalence of anxiety and depression among doctors; the unscreened and
undiagnosed clientele in Lahore, Pakistan.
AB - OBJECTIVES: To analyze prevalence of anxiety and depression among doctors serving
in a tertiary care hospital in Lahore, with a study of impact of relevant
demographic features. METHODS: A cross sectional study was conducted at Combined
Military Hospital, Lahore, from February 2014 to Jan 2015. Participants were
doctors serving in subject hospital for at least six months duration.
Standardized Hospital Anxiety Depression Score (HADS) inventory was selected as
inventory. Formal approval from hospital ethical committee and written informed
consent from participants were obtained. Demographic characteristics of
participants were recorded as independent variables; anxiety and depression
scores being outcome variables. Data analysis was done via descriptive statistics
(SPSS-20), qualitative data expressed as frequencies, percentages; quantitative
as mean +/- standard deviation(SD). Cross tabulation was done via chi-square, p
value < 0.05 considered as significant. RESULTS: Out of 203 volunteers,
97(47.78%) responded. Score of anxiety was 7.04+/-4.470, maximum being 19, scores
of depression was 4.94+/-3.605, maximum score being 15. Mild to moderate anxiety
and depression were revealed in 33(34%) and 24(24.8%) respectively, while 7(7.2%)
and 1(1.0%) had severe anxiety and depression respectively. There was strong
positive relation between anxiety and depression (p<0.001). There was significant
impact of service years on depression (p-0.011) and gender on anxiety (p-0.002),
9(17.31%) males and 24(53.33%) females had mild to moderate anxiety while
4(7.69%) males and 3(6.66%) females revealed severe anxiety and other variables
did not reveal significant impact on HADS scores. CONCLUSION: Doctors showed high
grades of anxiety and depression. They must be promptly screened and managed at
all medical institutions.
PMID- 27182227
TI - Comparison of Laparoscopic Appendectomy with open appendectomy in Treating
Children with Appendicitis.
AB - OBJECTIVE: To analyze feasibility and curative effect of laparoscopic
appendectomy in the treatment of pediatric appendicitis and compare it with open
appendectomy. METHODS: Two hundred and sixty patients were selected for this
study and randomly divided into open appendectomy group (130 cases) and
laparoscopic appendectomy group (130 cases). Patients in open appendectomy group
underwent traditional open appendectomy, while patients in laparoscopic
appendectomy were treated with laparoscopic appendectomy. Incision length, blood
loss during operation, duration of operation, time to leave bed, anus exhausting
time, time to take food, catheter drainage time, urinary catheterization time,
time of using antibiotics, use of pain killer and incidence of complications such
as incision infection, residual abscess and intestinal obstruction were compared
between two groups. RESULTS: We found relevant indexes including length of
incision, amount of bleeding and duration of operation in laparoscopic
appendectomy group were better than open appendectomy group after surgery; and
differences were statistically significant (P<0.05). Indexes such as time to out
of bed, time to take food, exhaust time, drainage time, catheterization time and
application time and use of antibiotics in laparoscopic appendectomy group were
all superior to open appendectomy group, and differences had statistical
significance (P<0.05). Incidence of complications in laparoscopic appendectomy
group was much lower than open appendectomy group and the difference was
statistically significant (P<0.05). CONCLUSION: Laparoscopic appendectomy has
advantages of small trauma, sound curative effect, low incidence of complications
and rapid recovery and can effectively relieve pain of children suffering from
appendicitis. Hence it is worth promotion and should be preferred.
PMID- 27182228
TI - Surgical outcome of spelenectomy in Thalassemia major in children.
AB - OBJECTIVE: To determine the surgical outcome of splenectomy in children with
thalassemia major. METHODS: It is an observational and descriptive study
conducted in Department of Paediatric Surgery in collaboration with hematology,
radiology, anesthesia and paediatric intensive care department at The Children's
Hospital and the Institute of Child Health, Multan during the period of September
2007 to September 2013. A total of 50 patients suffering from thalassemia major
already diagnosed and under management reffered from haematology department for
splenectomy were included in this study. After admission, patients were assessed
on the basis of history, clinical examination, and necessary investigations
before surgery and later on follow-up. Investigations carried were CBC, PT, APTT,
Viral markers, ECG, X-ray Chest, abdominal ultrasonography and ECHO if necessary.
Splenectomy was performed after prophylactic vaccination against post splenectomy
infections. Follow up was performed for at least two years. Blood transfusion
requirements and number of hospital visits per annum before and after splenectomy
were calculated and results analyzed statistically using SPSS-20. RESULTS: Fifty
patients were included in this study. Out of these fifty, 43 (86%) male and
7(14%) were female with a mean age of 9 years. Average blood transfusion
requirement was 250 ml/kg/year, interval of blood transfusion was two weeks and
twenty five visits per year before splenectomy. After splenectomy, requirement of
blood transfusion reduced to 125ml/kg/year, interval between transfusion
increased to one month and hospital visits reduced up to twelve per year.
CONCLUSION: Blood transfusion requirement and number of hospital visits per year
are decreased and interval between transfusions is increased after splenectomy.
Splenectomy should not be delayed when indicated. Preoperative vaccination
decreases the chance of post splenectomy infection.
PMID- 27182229
TI - Oxidative stress and antioxidant parameters in neutropenic patients secondary to
chemotherapy.
AB - OBJECTIVE: Neutropenia is a serious adverse event that necessitates dosage
reduction in patients receiving chemotherapy. In this study, we evaluated the
oxidative stress and antioxidant parameters in neutropenic patients after
chemotherapy both during the neutropenic period and after successful treatment of
neutropenia with filgrastim. METHODS: We studied paraoxonase (PON1), arylesterase
(ARE), malondialdehyde (MDA), high-density lipoprotein (HDL), lactate
dehydrogenase (LDH), and alkaline phosphatase (ALP) in addition to routine
biochemical and hematologic parameters. SPSS 12.0 was used for statistical
evaluation of data (SPSS, Chicago, IL, USA). RESULTS: In our study, PON1, HDL,
and LDH levels during the period of active neutropenia were statistically
significantly higher than these levels were after resolution of neutropenia
(P<0.05); MDA and ALP levels were statistically significantly lower during the
period of active neutropenia (P<0.05). CONCLUSIONS: Overall, free oxygen radicals
(FOR) were increased and antioxidant parameters were decreased with resolution of
neutropenia. This is probably due to FOR produced by the increased number of
neutrophils rather than tumor burden.
PMID- 27182230
TI - Factors affecting mode of delivery in a nullipara at term with singleton
pregnancy and vertex presentation (NTSV).
AB - OBJECTIVE: To analyse the factors associated with Caesarean Section (CS) of
Nulliparous, Term and Singleton pregnancies with Vertex presentation (NTSV) at a
tertiary care hospital. METHODS: In this unmatched retrospective case-control
study, 212 NTSV patients were identified through computerized medical record
systems; the data was collected through predesigned Performa by reviewing medical
record charts. One hundred six CS and spontaneous vaginal deliveries (SVD) were
taken as cases and controls. RESULTS: The mean maternal age of cases (CS) was
26.64 (SD: 3.9) and of controls (SVD) was 26.7(SD: 3.9) years, whereas mean
gestational age was 38.66+/-1.12 and 38.57+/-0.9 weeks for cases and controls
respectively. Ninety per cent of women in the study group were delivered within
10 hours of active labour. Babies that weighed <=3kg were 45% and >3kg were 55%.
The possibility of being high risk was twice more among those delivered by CS.
However, it was not statistically significant (p value 0.077). Labour was induced
in 38% patients. The Odds of Induction of Labour (IOL) were two times more and
delivering at night was three times more amongst CS. The likelihood of labour
exceeding 10 hours was four times (81%) if the patient had a CS. Moreover 48% of
the babies weighing >3kg were delivered through CS. Maternal age, high risk
pregnancies, gender of baby and epidural analgesia were not statistically
significant predictors of mode of delivery (MOD) in this study. CONCLUSION:
Induction of Labour, night time delivery, prolonged labour and birth weight <3kg
were found to be associated with the increased CS rate among NTSV. Therefore
further research is required in order to address these factors and to reduce the
increasing Caesarean Section.
PMID- 27182231
TI - Gaucher disease in Iraqi children (Clinical, diagnostic & therapeutic aspects).
AB - BACKGROUND AND OBJECTIVE: Gaucher disease is the most common inherited lysosomal
storage disorder. It is a multi organ disease affecting bone marrow, liver,
spleen, lungs, and other organs contributes to pancytopenia and massive
hepatosplenomegaly. This study aimed to spotlight on clinical and laboratory
characteristics of children with Gaucher disease to raise awareness among
physicians about the disease and to evaluate the outcome of enzyme replacement
therapy (ERT). METHODS: Clinical courses were reviewed in 30 patients with age (2
22 years) with Gaucher disease. After starting (ERT), assessment of response
included serial measurements of hematological parameters, spleen and liver sizes,
symptoms and signs of bone disease, growth and severity scores were also
evaluated. RESULTS: The most presenting age group was (1 - 5) years (60%).
Abdominal distension was the most common presenting symptom, Splenomegaly
presented in all of the patients. A significant response to ERT was observed,
weight and height increased, both liver and spleen sizes decreased. Hemoglobin
level normalizedin (67%) of the anemic patients, platelet count normalized in
(53.8%)after 6 months from (ERT), the mean of severity scoring index decreased
with ERT from (10.2+/-5.8) to (7.8+/-5.7) after one year of treatment.
CONCLUSION: Using ERT was safe and effective in the reversal of hematological
complications and organomegaly in most of the patients.
PMID- 27182232
TI - PBL triggers in relation to students' generated learning issues and predetermined
faculty objectives: Study in a Malaysian public university.
AB - OBJECTIVES: Foundational elements of problem based learning (PBL) are triggers,
tutors and students. Ineffective triggers are important issues for students'
inability to generate appropriate learning issues. The objective of this study
was to evaluate PBL triggers and to determine similarities of students' generated
learning issues with predetermined faculty objectives. METHODS: It was a
retrospective study conducted in 2014 analyzing all 24 PBL-triggers used at
Centre for Foundation Studies, International Islamic University Malaysia, in four
semesters during two consecutive years 2011 and 2012. Triggers were used as
textual and illustration format equally in each semester. Total 16 PBL-triggers
with highest and lowest achieving similarities of learning issues with
predetermined faculty objectives were selected equally from each semester and
format. The trigger quality and learning issues related to predetermine faculty
objectives were analyzed and presented as mean and percent distribution. RESULTS:
Mean similarities score of students' generated learning issues were 3.4 over 5
predetermined faculty objectives which was 68%, varied from 58% to 79%. More than
70% similarities were generated from five textual and four illustrated triggers,
while <70% similarities observed from four illustrated and three textual
triggers. CONCLUSION: Whatever the trigger formats in PBL, it is the designing
considering influential variables that influence higher outcomes. Triggers should
have planned clues that lead students to generate issues correlate with faculty
objectives. Educational institution should emphasize on training needs of faculty
at regular interval to develop and re-in force teachers' skills in trigger
design, thereby to promote a sustainable educational and organizational
development.
PMID- 27182233
TI - Is open decortication superior to fibrinolytic therapy as a first line treatment
in the management of pleural empyema?
AB - OBJECTIVE: To confirm that either Fibrinolytic therapy or open decortication
which of the two is an effective First line treatment of pleural empyema.
METHODS: This prospective comparative study was conducted in the department of
surgery Sheikh Zayed Medical College and Hospital, Rahim Yaar Khan. Seventy eight
(78) patients were included in this study. There were two groups of patients;
Group I (n=35) patients treated with fibrinolytic therapy, Group II (n=43)
patients treated with open decortication. Data was entered and analyzed in SPSS
v16. Student's t-test was used for comparison of quantitative variables. Chi
square and Fisher's Exact test were used for comparison of qualitative variables.
P-value <= 0.05 was taken as significant difference. RESULTS: There was no
significant difference in base baseline characteristics of patients of Group I
and II. Incidence of comorbidities was also same between the groups. Most of the
patients in Group I and II were in empyema stage III. Fluid cultures was positive
in 33 (94.3%) patients in group I and 39 (90.7%) patients in group II. 30 (85.7%)
was successfully treated using fibrinolytic therapy but this therapy failed in
five (14.3%) patients, two of these patients expired within the hospital. There
was only one (2.3%) treatment failure in open decortication Group that patient
expired within the hospital (p-value 0.04). Overall duration of hospitalization
was significantly high in fibrinolytic group, this was 17.6+/- 1.95 days versus
12.09+/- 2.18 days in open decortication group (p-value<0.0001). There was no
significant difference regarding operative mortality within the two groups.
CONCLUSION: Open Drainage is associated with better outcomes as compared to
fibrinolytic therapy when used as a First line treatment of empyema.
PMID- 27182234
TI - Clinical effect of treating renal transplant recipients with percutaneous
coronary intervention and its safety.
AB - OBJECTIVE: To explore clinical effect of treating acute coronary syndrome (ACS)
of renal transplant recipients with percutaneous coronary intervention and its
safety. METHODS: Forty two renal transplant recipients who were diagnosed with
acute coronary syndrome and received percutaneous coronary intervention (PCI) in
our hospital were selected. Serum creatinine (Cr) and glomerular filtration rate
(GFR) were compared before surgery, 48 ~ 72 hour after surgery and one year after
surgery. All patients were followed up. RESULTS: All patients successfully
completed PCI. Contrast-induced nephropathy was not found after surgery. Cr and
GFR 48 ~ 72 hour after surgery and one year after surgery had no significant
differences with that before surgery (P>0.05). The follow up lasted for (61.2+/
32.2) months averagely. Of 42 cases, 4 cases died, 6 cases were found with
nonfatal myocardial infarction, 4 cases were observed with repeat
revascularization and 12 cases had accumulative major adverse cardiovascular
events (MACE). CONCLUSION: PCI is proved to be effective in treating renal
transplant recipients; no severe complications are found and renal function
recovers well after treatment.
PMID- 27182235
TI - Clinical predictors of anticipatory emesis in patients treated with chemotherapy
at a tertiary care cancer hospital.
AB - OBJECTIVE: To determine the clinical predictors of anticipatory emesis in
patients treated with chemotherapy at a tertiary care cancer hospital. METHODS:
This was a cross-sectional study conducted on 200 patients undergoing first line
chemotherapy with minimum of two cycles at inpatient department and chemotherapy
bay of Shaukat Khanum Memorial Cancer Hospital and Research Centre Pakistan.
Anticipatory nausea and vomiting develops before administration of chemotherapy.
Clinical signs and symptoms in patients with or without anticipatory emesis were
compared using chi square test statistics. RESULTS: The mean age of the study
participants was 36.68 years (SD+/-12.23). The mean numbers of chemotherapy
cycles administered were 3.23 (SD+/-1.2). Chemotherapy related nausea and
vomiting was experienced by 188 (94%) patients and anticipatory nausea vomiting
was reported in 90 (45%) of patients. Greater proportions of patients with
anticipatory emesis were females. Fourteen (15.5%) p-value=0.031 patients with
anticipatory emesis had history of anxiety and depression. Fifty nine (65.5%) p
value =< 0.0001 patients with anticipatory emesis had severe nausea after last
chemotherapy cycle. Forty six (51.11%) p=<0.0001 patients had motion sickness.
CONCLUSION: Female gender, history of motion sickness, anxiety and depression,
severe nausea and vomiting experienced in pervious cycle of chemotherapy were
clinical predictors of anticipatory nausea and vomiting.
PMID- 27182237
TI - Plastibell Device Circumcision versus Bone Cutter Technique in terms of Operative
Outcomes and Parent's Satisfaction.
AB - OBJECTIVE: To compare the rate of complications of Plastibell and bone cutter
circumcision technique and recognition of top worries and satisfaction rate in
the mind of parents before and after the procedure of Plastibell device (PD)
circumcision in infants less than 6 months of age. METHODS: It was a descriptive
prospective study conducted at department of surgery Sheikh Zayed Hospital, Rahim
Yar Khan. Two hundred parents of infants of less than six months of age were
recruited for this study. Infants were divided into two equal groups. Group I
included Plastibell circumcision technique and Group II included Bone Cutter
Circumcision technique. Data was analyzed using SPSS Version 17. Independent
sample t-test and chi-square test was used to compare quantitative and
qualitative variables respectively. P-value <0.05 was taken as significant
difference. RESULTS: Total number of two hundred infants were included in this
study. Most common worries of parents about Plastibell Device circumcision were;
fear of fever (42.0%). Fear of pain and bleeding (66.0%). Plastibell Device
method was associated with less operation time and bleeding as compared to bone
cutter method (P-value <0.0001 and <0.0001 respectively). Incidence of
complications other than bleeding and infection was 3.0% in bone cutter method
and 1.0% in Plastibell device method. Pain score was significantly less in
plastibell device group (p-value <0.0001). Post-operatively, 98% parents showed
complete procedural satisfaction in Plastibell group versus 87% parents in bone
cutter one month after surgery (P-value 0.003). About 4% parents in bone cutter
method group showed cosmetic displeasure versus only 1% parents in plastibell
device group. CONCLUSION: The study concluded that Plastibell Device circumcision
is a safer technique for circumcision and is associated with highest level of
parent's satisfaction.
PMID- 27182236
TI - Body mass index and other anthropometric variables in children with sickle cell
anaemia.
AB - OBJECTIVES: The objectives of this study were to determine the anthropometric
variables of children with sickle cell anaemia and comparing it with those with
normal haemoglobin genotype. METHODS: A cross sectional study of anthropometric
measurements was conducted over a period of six months. Children with sickle cell
anaemia in steady state aged between 6-20 years were recruited. Nutritional
assessment was done using anthropometrical variables. Data were analyzed using
the Statistical Package for Social Sciences program (SPSS), version 20. RESULTS:
The sickle cell patients comprised of 20 males and 20 females. There were an
equal number of controls with an equal male to female ratio of 1:1. Forty eight
percent (19) of the children with sickle cell anemia were underweight (< 5th
%ile) and this is statistically significant. chi(2)=18.02 and p=0.000. When
compared with subjects with normal haemoglobin genotype only five of them (13%)
were underweight. chi(2)=10.286 and p=0.001. The controls weighed significantly
more than the HbSS patients and also had significantly larger body surface
compared to the HbSS population (P<0.05). CONCLUSION: BMI and other
anthropometric variables among children with sickle cell anemia were low when
compared with children with normal Haemoglobin genotype.
PMID- 27182238
TI - Students' perspective of bedside teaching: A qualitative study.
AB - OBJECTIVES: To determine students' perception of bedside teaching, to find out
barriers in its effective implementation and to suggest strategies to make it an
effective learning tool. METHODS: This study was conducted in Faculty of
Medicine, Northern Border University Arar, Kingdom of Saudi Arabia between
November 2013 and January 2014. The study design was qualitative inductive
thematic analysis using transcripts from audio-recorded focus group discussions.
Four focused group discussions with medical students of 4(th) and 5(th) year MBBS
were conducted. Each 40 to 50 minutes discussion session was audio taped and
transcribed verbatim. Thematic analysis extracted key themes pertaining to
objectives of the study. RESULTS: A total 75 students of 4(th) and 5(th) year
MBBS took part in the study, 48 were female and 27 of them were male. Students
believed that bedside teaching is valuable for learning essential clinical
skills. They described many barriers in its effective implementation:
uncooperative and less number of patients and faculty attitude. Our students
suggested various strategies to address these barriers: promotion of awareness
among general public about students' learning and its benefits, free medical
treatment for expatriates and building of university hospital. CONCLUSION:
Bedside teaching is an important learning tool. Its utility can be enhanced by
orienting local patients' attitude towards importance of students' learning, by
providing free medical treatment to expatriates and by including bedside teaching
in faculty development programs.
PMID- 27182239
TI - Yield of closed pleural biopsy and cytology in exudative pleural effusion.
AB - OBJECTIVE: To determine diagnostic yield of Closed Pleural Biopsy (CPB) and
Cytology in Exudative Pleural Effusion (PE). METHODS: This prospective
comparative study was conducted at Chest Unit-II & Medical Unit-IV of Dow
University of Health Sciences, Karachi Pakistan from January 2011 till December
2014. RESULTS: Ninety-four patients with exudative PE were finally included. The
mean age (SD) was 44.0 (13.8) years. Overall Specific Diagnosis was reached in
76/94 patients; 46 Tuberculosis PE (TPE) & 30 Malignant PE (MPE). CPB diagnosed
all TPE patients alone and 28/30 of MPE. Cytology diagnosed only 10/30 patients
of MPE with 8 patients having both CPB & Cytology positive for malignancy whereas
in the remaining two cases only Cytology positive. The sensitivity of CPB in
detecting TPE and MPE was 93.9% and 82.4% respectively whereas specificity for
both was 100%. The diagnostic yield of cytology in detecting MPE is only (33.3%).
The diagnostic yield of CPB for TPE and MPE is 100% and 93.3% respectively. The
overall specific diagnostic yield of CPB is 78.7%. CONCLUSION: CPB is better than
pleural fluid cytology alone with the later adding little to diagnostic yield
when both combined in distinguishing TPE from MPE, the two main differential of
exudative PE in a TB-Endemic country.
PMID- 27182240
TI - Educational resources used by medical students in primary healthcare rotation: A
cross sectional study.
AB - OBJECTIVE: To identify what educational resources are used by medical students
for their personal study during Primary health care (PHC) clinical rotation and
the reasons for making these choices at King Saud University in Riyadh, Saudi
Arabia. METHODS: A survey of 176 male and female medical students was conducted
during PHC rotation. A self-administered questionnaire ascertained the type of
educational source with reason and preferred type of teaching method. Responses
by male and female students were compared by using Pearson's Chi-square tests.
RESULTS: Of the 176 students, 85.8% used handouts, 77.3% used the internet, and
46.6% used textbooks. Of the three types of resources, 14.8% used one, 31.8% used
all three sources, and 53.4% used two sources. Reasons for selecting a resource
were; educational materials are up to the point (88.6%), convenient (85.2%),
reliable (77.3%) fit the learning style (77.3%), exam focus (60.8%), recommended
by seniors (57.4%), recommended by department (56.8%). The preferred teaching
method was lecture (79.5%), and least preferred was student presentations
(55.1%). Female medical students used internet related material greater than the
males (86.9% versus 68.5%; p value <0.001), and tended to utilize more than one
educational resource than male students. CONCLUSION: Medical students used
multiple resources for relevance and convenience. Female students used network
resources more than male students.
PMID- 27182241
TI - Ankylosing Spondylitis: A rheumatology clinic experience.
AB - OBJECTIVE: To determine the frequency, demographics, laboratory and radiological
features in patients with Ankylosing Spondylitis. METHODS: This is a
retrospective analysis of prospectively collected data of patients with a
diagnosis of Ankylosing Spondylitis (AS), based on Modified New York criteria.
The study was conducted at the Rheumatology Clinic of Jinnah Postgraduate Medical
Centre (JPMC), from February 2004 to February 2014. Detailed history, examination
and laboratory investigations were recorded in a pre-designed structured
proforma. The frequency, demographic characteristics, extra-articular features
and associated co-morbidities were studied. RESULTS: A total of 603 patients were
registered in our Rheumatology Clinic during this period, with a definitive
diagnosis of inflammatory rheumatological disorders. Out of these, Ankylosing
Spondylitis (AS) was diagnosed in 32 (5.3%) patients. 24 were male and 8 patients
were female. The commonest affected age group was between 21-40 years. Majority
of the patients belonged to Pathan ethnicity. CONCLUSION: The demographic
features of AS are same as reported in earlier studies from other parts of the
world. The predominance of AS in specific ethnic groups is a fact that needs to
be studied. Larger studies are required for clarifying the triggers of this
disease. It often leads to severe disability, hence an early diagnosis and prompt
treatment is required for better disease control and quality of life.
PMID- 27182242
TI - Influence of different anesthetic and analgesic methods on early cognitive
function of elderly patients receiving non-cardiac surgery.
AB - OBJECTIVE: To discuss over influence of two different anesthetic and analgesic
methods on early cognitive function of elderly patients who received non-cardiac
surgery. METHODS: Two hundred and six elderly patients who underwent non-cardiac
surgery were selected as research subjects. They were randomly divided into
observation group (103 cases) and control group (103 cases). Patients in
observation group were given combined spinal and epidural anesthesia and epidural
analgesia, while patients in control group adopted general anesthesia and
intravenous analgesia. Neurological function test was carried out one day before
surgery and on the 7(th) day after surgery. Moreover, changes of postoperative
pain degree, neuropsychological function and cognitive function were observed and
compared. RESULTS: On the 7(th) day after surgery, incidence of cognition
impairment in observation group and control group was 48.50% (50/103 cases) and
44.70% (46/103 cases), and difference between groups had no statistical
significance. Visual Analogue Scale (VAS) Score of observation group was much
lower than control group in the 12(th), 24(th) and 48(th) h after surgery (p <
0.05). Logistic regression analysis suggested that, short education years and
general surgery were independent risk factors for early cognition impairment.
CONCLUSION: About 46.60% elderly patients undergoing non-cardiac surgery
developed cognition impairment, but influence of different anesthetic and
analgesic methods on incidence of postoperative cognition impairment of elderly
patients had no significant difference.
PMID- 27182243
TI - Hepatitis-C virus infection among injecting drug users in Lahore, Pakistan: A
cross sectional study.
AB - OBJECTIVES: To determine the prevalence and risk factors of hepatitis C virus
among injecting drugs users, furthermore different genotypes of HCV infection and
their effect on viral load were also found and subsequently most prevalent
subtype was predicted. METHODS: All samples were processed for Anti-HCV antibody
detection through ELISA by using third generation ELISA Kit. The Anti-HCV
positive serum samples were stored for RT-PCR to estimate the viral load and
genotypes of HCV for study. Injecting drug users selected from in and around
Lahore Metropolitan from July 2012 to August 2013 was included. The data analysis
was completed by using SPSS version 16. A p-value of < 0.05 was considered to be
significant. RESULTS: A total of 241 Injecting drug users were enrolled and
screened for Anti HCV in the study. Prevalence of HCV infection in IDUs from
Lahore was found to be 36.09%. Education (p=0.000), low socioeconomic status
(p=0.011), Blood transfusion (0.003), any tattoo on the body (p=0.002), use of
injectable drugs with reused syringes (p=0.000) and sharing of syringes (p=0.001)
in groups was significantly associated with HCV infection. Some utensils were
also significantly associated with HCV status. The most common subtype of HCV
genotype was 3a (n=65) followed by 2a (n=15) and 1a (n=6). CONCLUSION: The study
reveals that IDUs with reused syringes status and sharing of syringes in group
had more chances to get HCV infection. The viral load in IDUs infected with
different subtypes of genotype was significantly associated.
PMID- 27182244
TI - The modified Dunn procedure for slipped capital femoral epiphysis does not reduce
the length of the femoral neck.
AB - OBJECTIVE: The treatment of slipped capital femoral epiphysis (SCFE) is evolving,
with the development of new surgical techniques. |We wanted to study if modified
Dunn procedure restores the normal alignment of the proximal femur and the risk
of avascular necrosis is increased. METHODS: This is a single centre,
retrospective study, comparing the outcomes of in situ pinning and modified Dunn
procedure. Between 2001 and 2014, 7 children (7 hips) underwent the modified Dunn
procedure and 10 children (10 hips) pinning in situ for stable and unstable SCFE.
Mean age of the patients was 12.7 years with a median follow-up of 18 months.
RESULTS: The radiological parameters improved in the modified Dunn procedure
group, while the length of the femoral neck didn't change significantly (p=0.09).
Postoperative clinical outcomes were slightly better in the modified Dunn
procedure group (6 hips out of 7 had good and excellent results) compared to the
pinning in situ group (8 good and excellent results out of 10 hips) (p=0.04). No
avascular necrosis was found and there were no cases of chondrolysis. CONCLUSION:
Radiographic parameters of the proximal femur assessed in our study improved in
all hips that underwent modified Dunn procedure, without the creation of
secondary deformities.
PMID- 27182245
TI - Diagnostic Accuracy of Ultrasound B scan using 10 MHz linear probe in ocular
trauma;results from a high burden country.
AB - OBJECTIVE: To study the diagnostic accuracy of Ultrasound B scan using 10 MHz
linear probe in ocular trauma. METHODS: A total of 61 patients with 63 ocular
injuries were assessed during July 2013 to January 2014. All patients were
referred to the department of Radiology from Emergency Room since adequate
clinical assessment of the fundus was impossible because of the presence of
opaque ocular media. Based on radiological diagnosis, the patients were provided
treatment (surgical or medical). Clinical diagnosis was confirmed during surgical
procedures or clinical follow-up. RESULTS: A total of 63 ocular injuries were
examined in 61 patients. The overall sensitivity was 91.5%, Specificity was
98.87%, Positive predictive value was 87.62 and Negative predictive value was
99%. CONCLUSION: Ultrasound B-scan is a sensitive, non invasive and rapid way of
assessing intraocular damage caused by blunt or penetrating eye injuries.
PMID- 27182246
TI - Application value of magnetic resonance imaging in diagnosing central nervous
system lymphoma.
AB - OBJECTIVE: To describe the magnetic resonance imaging (MRI) appearance of central
nervous system lymphoma. METHODS: We retrospectively reviewed MRI images of 40
patients who had pathologically proven primary central nervous system lymphoma
(PCNSL) and received treatment in Binzhou People's Hospital, Shandong, China from
January to December in 2014. Location, size and form of tumor was observed and
relevant data were recorded for analysis. RESULTS: Foci of 40 cases of PCNSL all
located in brain, among which. 18 cases were single (45.0%) and 22 cases were
multiple (55.5%). Of 96 Foci, 84 were supratentorial, 12 were subtentorial.
Enhanced MRI scanning showed that, most Foci had significant homogenous
enhancement, shaping as multiple nodular or lumpy, and few had ring-enhancement.
MRI suggested that, T1 signal of most Foci concentrated on low signal segment and
T2 signal gathered on high signal segment, suggesting a significant homogeneous
enhancement; moreover, mild and medium edema surrounded the tumor. They were
pathologically confirmed as B cell derived non-hodgkin lymphoma. Except one case
of Burkitt lymphoma, the others were all diffuse large B cell lymphoma which was
observed with diffuse distribution of cancer cells (little cytoplasm, large
nucleus, rough perichromatin granule) in same size. Fifteen cases were observed
with sleeve-like infiltration of cancer cells around blood vessels. No case was
found with hemorrhage, necrosis or calcification. CONCLUSION: Pathological
foundation of PCNSL determines its characteristic MRI performance. Typical case
of PCNSL can be diagnosed accurately by MRI.
PMID- 27182247
TI - Hypovitaminosis-D: Frequency and association of clinical disease with biochemical
levels in adult patients of RMI Medical OPD.
AB - BACKGROUND AND OBJECTIVE: Vitamin D is steroid hormone essential for maintaining
many important functions in the body. Hypovitaminosis D has become worldwide
problem affecting all age groups and both genders, but its prevalence is very
high in South Asia. Therefore this study was aimed to determine frequency of
hypovitaminosis D in adult patients of RMI Medical Out Patients Department (OPD)
and find association of clinical disease with biochemical levels. METHODS: It was
a cross sectional study carried out on all patients visiting RMI Medical OPD from
1(st) Jan, 2015 to 30(th) April, 2015 with clinical indications using universal
sampling technique involving 400 subjects. Data was analyzed using SPSS 16.
RESULTS: Out of 400 subjects 85(21.3%) were males, 315(78.8%) were females,
biochemical hydroxyvitamin-D3 deficiency was present in 320 (80%) subjects with
86(21.5%) having severe deficiency, 176(44%) having moderate deficiency and
58(14.5%) having mild deficiency. There was statistically significant association
between biochemical deficiency and severity of clinical disease manifestation,
calculated using chi square test. (p < 0.001). CONCLUSION: Most of the patient
with musculoskeletal symptoms had vitamin D deficiency affecting all age groups
and mostly female gender. There is a statistically significant association
between low biochemical hydroxyvitamin-D3 levels and severity of clinical signs
and symptoms which can provide evidence for replacement therapies in rural and
far flung areas where biochemical assays are not available. Early recognition and
replacement can prevent the massive complications, which deficiency of vitamin D
makes us prone to develop.
PMID- 27182248
TI - Measurements of bone mineral density and stiffness index in young Saudi females.
AB - OBJECTIVE: To examine the ability to use Quantitative Ultrasonography (QUS)
densitometer for screening of osteoporosis and osteopenia by comparing QUS values
obtained at the calcaneus region to bone mineral density (BMD) values measured at
the spine and the neck of the femur using Dual Energy X-ray Absorbemetry (DXA).
METHODS: QUS (in the calcaneus region) and DXA (the spine and the neck of femur
respectively) measurements were performed in 101 females. RESULTS: The precision
of the QUS parameters varied from 1.77-1.78, whereas the reliability ranged from
92.2%-98.6%. For the QUS parameters variability between subjects was greater than
that within subjects. Positive correlation were found between stiffness index
(SI) and BMDspine and BMDN.femur (r= 0.29 & r=0.25 respectively, P < 0.05) and a
strong positive correlation between T-scorecalcaneus and both T-scoreSpine and T
scoreN.femur (r= 0.5 & r=0.58 respectively, P < 0.01). CONCLUSIONS: QUS is a
reliable technique to be used in combination with DXA for the investigation of
osteopenia and osteoporosis.
PMID- 27182249
TI - Ameliorating effect of Allium Sativum on high-fat diet induced fatty liver in
albino rats.
AB - OBJECTIVE: To assess the hepatoprotective effect provided by fresh garlic on
fatty liver induced by high-fat diet. METHODS: This experimental study was
carried out at BMSI, JPMC from October to November 2008. Thirty adult albino
rats, 200-240 gram weight, were divided into three groups. Group A received
control diet, Group B received high-fat diet (20 mg butter/100 gm diet) and Group
C received high-fat diet with fresh garlic (20 mg butter with 6 gm fresh
garlic/100 gm diet). The groups were further divided on the basis of duration of
treatment, four weeks and eight weeks respectively. The rats were sacrificed,
liver removed, weighed and relative liver weight calculated. Hepatic tissue was
processed and tissue slides stained with haematoxylin and eosin. RESULTS: There
was significant increase in relative liver weight in group B animals as compared
to the control animals, which decreased significantly in group C. Haematoxylin
and eosin stained sections revealed ballooned hepatocytes having vesicular
appearance with pyknotic nuclei in high-fat group which were preserved to a great
extent in group C animals. CONCLUSION: This study has shown that use of fresh
garlic along with high-fat diet prevents its damaging effects on liver to a great
extent.
PMID- 27182250
TI - Tea drinking habits and osteoporotic hip/femur fractures: A case-control study.
AB - OBJECTIVE: To explore the relationship between tea drinking habits and
osteoporotic hip/femur fractures. METHODS: Paired case-control method was used
for face-to-face interviews from January 2010 to June 2014. Patients (n=435) with
newly osteoporotic hip/femur fracture and 435 controls with the same gender and
age (+/-3) were given questionnaire survey. The survey content included general
situation, detailed tea drinking and other diet condition, health-related
behavior and family history of fractures, etc. RESULTS: Single factor logistic
analysis showed that the habit of drinking tea can significantly reduce the risk
of hip/femur fracture. Cumulative year of tea drinking, the cumulative amount of
tea and tea concentration (low dose group) have the maximum protection for
fracture, while the high dose group is weaker in protection (trend test, P<0.05).
After adjustment for age, energy, BMI, education degree, parents' history of
fracture, second hand smoke exposure, calcium supplements, and equivalent energy
consumption of physical activity, etc, the above association still showed
significant linear trend, but the associated strength was slightly reduced. But
stratified analysis found that the effect of tea drinking was only statistically
significant in men. And there were no statistically significant differences of
people with different education degree. CONCLUSIONS: Regular tea drinking can
reduce the risk of osteoporotic hip/femur fractures in middle-aged and elderly
men.
PMID- 27182251
TI - Adherence to Methotrexate therapy in Rheumatoid Arthritis.
AB - OBJECTIVE: To determine adherence to methotrexate (MTX) therapy in patients with
Rheumatoid Arthritis (RA) and to identify factors that promote either adherence
or non adherence. METHODS: One hundred Rheumatoid Arthritis patients on MTX for
at least two months were enrolled. Questionnaire was completed by direct
interview. Details recorded were, demographics (age, sex, education, monthly
income), disease duration, duration on MTX and current dose. Disease Activity
Score on 28 joint counts (DAS 28) at the current visit, concomitant drugs taken
and number of doses of MTX missed in the previous 8 weeks were noted. Non
adherence was defined as omission of any three or more prescribed doses of MTX in
previous 8 week. Patients were asked for the factors that motivated their
adherence to MTX as well as factors for non adherence. Presence of side effects
due to MTX was also recorded. RESULT: Non adherence was found among 23% of cases.
Patients of low socioeconomic group (p <0.0001) and on MTX for longer duration (p
<0.001) had higher non adherence. Non adherent patients had significantly higher
disease activity as measured by DAS 28 (p<0.001). Good counseling and education
by the doctor was a strong predictor of adherence (p <0.001). Lack of
affordability (p <0.001); lack of availability at local pharmacy (p <0.001); lack
of family support (p <0.001) and lack of awareness regarding need and importance
of MTX (p < 0.001were found as significant factors for non adherence. CONCLUSION:
MTX non adherence in RA is noted in about one fourth of study group. Various
economical and social issues lead to non adherence but good patient education and
counseling by doctor could promote adherence in this study group.
PMID- 27182252
TI - Alanine aminotransferase as a predictor of adverse perinatal outcomes in women
with intrahepatic cholestasis of pregnancy.
AB - OBJECTIVE: To evaluate the associations between adverse perinatal outcomes and
serum transaminase levels at the time of diagnosis in patients with intrahepatic
cholestasis of pregnancy. METHODS: We performed a retrospective analysis of
patients hospitalized for evaluation of intrahepatic cholestasis of pregnancy
from January 2013 to June 2014 in a tertiary center. Seventy-one patients were
divided into two groups according to the presence (Group I) or absence of adverse
perinatal outcomes (Group II). RESULTS: The mean aminotransferase levels and
conjugated bilirubin levels at the time of diagnosis were significantly higher in
Group I than in Group II. Receiver operating characteristic curve analysis
revealed that the alanine aminotransferase level could predict adverse perinatal
outcomes with 76.47% sensitivity and 78.38% specificity, and the cut-off value
was 95 IU/L. Among patients with intrahepatic cholestasis of pregnancy, those
with adverse perinatal outcomes were significantly older, had an earlier
diagnosis, and had higher alanine aminotransferase levels. Using the 95-IU/L cut
off value, patients with intrahepatic cholestasis of pregnancy had a 3.54-fold
increased risk for adverse perinatal outcomes. CONCLUSIONS: Patients with
intrahepatic cholestasis of pregnancy and high alanineaminotransferase levels
should be followed up for possible adverse perinatal outcomes.
PMID- 27182253
TI - Frequency of neck and shoulder pain and use of adjustable computer workstation
among bankers.
AB - BACKGROUND & OBJECTIVE: Neck and shoulder are the most susceptible areas for
developing musculoskeletal symptoms among computer users. The modifiable risk
factors for these work related musculoskeletal disorders include physical office
environment and psychosocial work related factors. Computer workstation layout
had been shown to be an important physical aspect of work environment that
influences the upper quadrant symptoms. Our objective was to find the frequency
of neck and shoulder pain and use of adjustable computer workstation among
bankers of Islamabad/Rawalpindi/Multan. METHODS: A cross sectional study was
conducted and 120 participants were questioned. Purposive sampling technique was
used in this study. Maastricht Upper Extremity Questionnaire (MUEQ) was remodeled
and important questions were extracted from its detailed version. The tool was
then validated by taking expert opinion. Frequencies and percentages were
calculated for categorical variables. RESULTS: Pain in the neck during working
hours was experienced by 71.67% of the respondents and 48.33% of the participants
had experienced shoulder pain during working hours. Adjustable keyboards were
used by 16.67% of respondents. Back care material was used by 40% bankers.
Adjustable chairs were used by 95.83% of the participants. Only 3% of the bankers
did not have chairs with adjustable heights. Chairs with adjustable armrests were
used by 25% bankers. CONCLUSION: Neck and shoulder pain are common occurrences
among bankers. Most of the components of workstations of bankers were adjustable
but some of them still need attention.
PMID- 27182254
TI - Effects of ischemic postconditioning on expressions of pentraxin-related protein
3 and neutrophil CD11b in the plasma of patients with acute myocardial infarction
after percutaneous coronary intervention.
AB - OBJECTIVE: To evaluate the effects of ischemic postconditioning on expressions of
pentraxin-related protein 3 (PTX3) and neutrophil CD11b in the plasma of patients
with acute myocardial infarction (AMI) after percutaneous coronary intervention
(PCI). METHODS: Fifty-six patients who had AMI with ST-segment elevation were
randomly divided into a control group and an ischemic postconditioning group
(n=28). Both groups received emergency PCI. After recanalization of infarct
related arteries, the control group did not receive intervention within three
minutes, while the ischemic postconditioning group was treated by low-pressure
filling and emptying of balloon within one minute. The plasma expressions of PTX3
before and 24 hour after PCI were detected by ELISA, and those of neutrophil
CD11b were detected by flow cytometry. RESULTS: PTX3 and neutrophil CD11b
expressions of the two groups were similar before PCI, but those of the ischemic
postconditioning group significantly decreased 24 hour after PCI (P<0.05).
CONCLUSION: Ischemic postconditioning lowered the expressions of PTX3 and
neutrophil CD11b in AMI patients after PCI, inhibited inflammatory response,
reduced the adhesion between leukocytes and endothelial cells, and protected the
ischemic-reperfused myocardium.
PMID- 27182255
TI - Psychosocial implications of blindness and low vision in students of a school for
children with blindness.
AB - OBJECTIVE: To find out the psychosocial implications of blindness and low vision
in students of blind school Bahawalpur. METHODS: A cross sectional descriptive
study was carried out in Higher Secondary School for blind, Bahawalpur after
getting approval from Institutional review board of Quaid-e-Azam Medical College,
Bahawalpur and Principal of Blind School, Bahawalpur. Forty willing students
filled a customized questionnaire, consisting of questions about logistic
variables and questions regarding areas of satisfaction. Statistical analysis was
performed using SPSS version 18. RESULTS: Out of 40, 55% (22/40) of them were
found depressed (as assessed through DSM-lV), 50% (20/40) were having difficulty
in making new contact but 52.5% (21/40) were satisfied with family care.
CONCLUSION: Sixty percent (24/40) of blind school children experienced difficulty
in their life. This study showed that blindness or low vision does have
psychological implications like feeling of guilt, anxiety, sadness & depression.
PMID- 27182256
TI - Clinical significance of quantitative and qualitative detection of BK and JC
virus in blood and urine of renal transplantation recipients.
AB - OBJECTIVE: To evaluate value of quantitative and qualitative detection of BK
virus (BKV) and JC virus (JCV) in timely diagnosing polyomavirus-associated
nephropathy (PVAN) occurring inrenal transplantation recipients. METHODS: We
collected 306 cases of urine specimen and 310 cases of blood specimen from 306
patients who underwent renal transplant. Levels of BKV and JCV in blood and urine
were detected using real-time quantitative polymerase chain reaction (PCR).
RESULTS: Detection rate of BKV DNA was 33.3% (102/306) in urine and 34.8%
(108/310); while that of JCV DNA was 30.7% (94/306) and 33.5% (104/310)
respectively. The lowest detectable limit of BCK and JCV detection for patients
who underwent renal transplant was 2*10(3) copies/ml, suggesting high specificity
and sensitivity. CONCLUSION: Real-time quantitative PCR is able to monitor BCV
and JCV in renal transplant recipients in a convenient and rapid way, thus it is
beneficial for early discovery, diagnosis and treatment of PVAN.
PMID- 27182257
TI - Corneal approach 20 Guage vitrectomy system for the management of congenital
cataract.
AB - OBJECTIVE: To evaluate the efficacy and complications of 20 gauge vitrectomy via
corneal approach for the management of congenital cataract. METHOD: We performed
anterior capsular vitreorhexis, lens matter aspiration (LMA), primary posterior
vitrectorhexis and anterior vitrectomy via corneal approach using 20 gauge
vitrectomy system in children younger than two years of age with congenital
cataract between January 2014 to December 2014. The intra and postoperative
complications were observed. RESULTS: Twenty nine eyes of 21 children were
included in this study. Congenital cataract surgery using 20 gauge vitrectomy
system via corneal approach did not reveal any intra operative complication. Post
operatively all children were able to freely open their operated eyes.
Conjunctival congestion at the incision site in four eyes and mild anterior
chamber reaction in 8 eyes were seen on 1(st) daywhich resolved at one week
follow up. Other major post operative complications such as inflammatory
membrane, irregular pupil, posterior/anterior syneache and opacification of
visual axis were not seen during follow up period. CONCLUSION: The 20-gauge
vitrectomy system via corneal approach is easy to perform, is less time
consuming, safe and effective for the management of congenital cataract in
younger children.
PMID- 27182258
TI - Application of multiplex PCR for Rapid and sensitive detection of human
papillomaviruses in cervical cancer.
AB - OBJECTIVES: Reffering to an increase in cervical cancer in the recent years,
rapid, sensitive and economical detection of human papillomaviruses (HPVs) as
causative agents of cervical cancer is important. The traditional methods for the
detection of HPVs in cervical cancer, such as pap smear, suffer from limitation
and PCR has a potential to overcome the limitaitons. The purpose of present
research work was to identify the five important strains of HPV (16, 18, 31, 33
and 45) simultaneously by Multiplex PCR application. METHODS: Study was done on
100 cervical lesions of women. DNA was extracted from specimens by a genomic DNA
purification kit. A 5-plex PCR was developed for the simultaneous detection of
major HPV. Five pair of new primers was designed for detection of HPV 16, 18, 31,
33 and 45 by Multiplex PCR. RESULTS: Among the 100 evaluated samples, 82 were
found positive to HPVs. In the meantime the highest rate of infection was for HPV
16. Also 30 of HPV positive samples had infections with two or more HPV types.
CONCLUSION: Multiplex PCR assay used in present study can provide a rapid,
sensitive and economical method for detection of viral infections and is
applicable to small volumes of vaginal samples.
PMID- 27182259
TI - Sentinel lymph node identification by blue dye in patients with breast carcinoma.
AB - OBJECTIVE: To determine the diagnostic accuracy of methylene blue dye to detect
axillary lymph node metastases in patients with breast carcinoma by taking
histopathology as gold standard. METHODS: This quasi experimental study was done
at Department of Surgery of Dow University Hospital Karachi during January 2013
to September 2015 after the approval of Hospital Ethical Committee. A total
number of 85 patients with biopsy proven carcinoma were included in the study.1%
methylene blue dye was infiltrated in the peri tumoural area of the diseased
breast. The blue stained node called sentinel lymph node (SLN) was recognized and
carefully dissected out. SLN and mastectomy with axillary clearance specimen was
sent for histopathology in two separate bottles and the report of the
histopathology was compared. RESULTS: The axillary lymph nodes were positive for
carcinoma in 61 cases out of 85(71.7%). Two of the patients had negative sentinel
lymph node but positive non sentinel lymph node (false negative), and in three
cases sentinel lymph node were involved only but not the rest of the axilla
(False positive). The sensitivity, specificity and accuracy were 96.8%,86.36% and
94.1% respectively. CONCLUSION: Methylene blue dye technique is a reliable and
safe diagnostic modality for detection of Sentinel lymph node in breast cancer
patient because of its high accuracy.
PMID- 27182260
TI - Prevalence of dental caries and associated social risk factors among preschool
children in Riyadh, Saudi Arabia.
AB - OBJECTIVES: To determine the prevalence of dental caries, and associated social
risk factors among preschool children in Riyadh, Saudi Arabia. METHODS: The study
consisted of a random sample of 3 to 5 years- old preschool children who were
examined in Riyadh, Saudi Arabia; 388 children (184 boys and 204 girls) were
examined from 10 different preschools. Each surface of their teeth was examined
for dental caries utilizing modified WHO criteria (WHO, 1997). Data information
about age, gender and social factors status were obtained by questionnaires that
had been answered by parents. RESULTS: About 69% of children had dental caries
with dmft score of 3.4 (+/- 3.6) and dmfs of 6.9 (+/- 9.9). There was no
statistically significant difference between boys and girls. Less caries was
observed among children whose parents worked and it was statistically significant
as well as whose mothers had high or low educational level. Increased number of
family members appeared to have a high incidence of dental caries which was also
statistically significant. There was no significant difference in dental caries
prevalence with birth order. CONCLUSIONS: Dental caries among preschool children
in Saudi Arabia was still very common. Improvement of preventive measure at early
age should be emphasized by parents and dental health professionals. More
attention is required for Non-working parents telling them about the risk of
dental caries affecting their children and the awareness of preventive care of
dental health.
PMID- 27182262
TI - Clinical efficacy of tiotropium in children with asthma.
AB - OBJECTIVE: To investigate the clinical efficacy of tiotropium in children with
asthma. METHODS: Eighty children with newly diagnosed moderate persistent asthma
were enrolled into this study. The children were randomly assigned to the
fluticasone propionate aerosol group or the fluticasone propionate aerosol plus
tiotropium group for 12 weeks. RESULTS: Lung function was significantly improved
in both groups at 4, 8, and 12 weeks compared with baseline (P < 0.01). Moreover,
lung function was significantly improved in the tiotropium group compared with
the control group (P < 0.05). However, there was no significant difference in the
incidence of severe asthma between the two groups (36.3% and 26.8%, respectively;
P > 0.05). Compared with the control group, the number of days and frequency of
short-acting beta2-adrenoceptor agonist use was significantly reduced in the
tiotropium group (P < 0.05). Awakenings during the night were also significantly
decreased (P < 0.00). There were no severe adverse reactions in either of the
study groups. CONCLUSION: Tiotropium could significantly improve lung function,
reduce the use of short-acting beta2-adrenoceptor agonists, and improve sleep in
children with asthma. Furthermore, few adverse reactions were reported.
PMID- 27182261
TI - Reliability of the universal goniometer for assessing active cervical range of
motion in asymptomatic healthy persons.
AB - OBJECTIVE: To determine within-rater and between-rater reliability of the
universal goniometer (UG) for measuring active cervical range of motion (ACROM)
in asymptomatic healthy subjects. METHODS: Nineteen healthy subjects were tested
in an identical seated position. Two raters used UG to measure active cervical
movements of flexion, extension, right side flexion, left side flexion, right
rotation and left rotation. Each motion was measured twice by each of the two
raters and was re-measured all over again after one week. Data analysis was
performed using the intraclass correlation coefficient (ICC). RESULTS: The
results demonstrated excellent within-session (ICC2,1 = 0.83 to 0.98) and between
session (ICC2,2 = 0.79 to 0.97) intra-rater reliability and excellent inter-rater
reliability (ICC2,2 = 0.79 to 0.92). CONCLUSION: Considering above results it is
concluded that UG is a reliable tool for assessing ACROM in a clinical setting
for healthy subjects.
PMID- 27182263
TI - Otitis media with effusion: Accuracy of tympanometry in detecting fluid in the
middle ears of children at myringotomies.
AB - OBJECTIVE: (1) The diagnostic accuracy of tympanometry in detecting fluid in the
middle ear space in children with otitis media with effusion by comparing its
findings with those of myringotomies. (2) Identify the age group most commonly
affected by OME. METHODS: This prospective study was conducted at the Department
of ENT& Head and Neck Surgery, Postgraduate Medical Institute Hayatabad Medical
complex, Peshawar from July 1, 2012 to April 30, 2015. Patients with suspicion of
OME underwent tympanometry and later myringotomies. Using Jerger's
classification, Type B tympanogram with normal canal volume was considered as
conclusive evidence of fluid in the middle ear space. Its findings were compared
with those of the respective myringotomies. From the data collected, the
accuracy, sensitivity, specificity, positive predictive value and negative
predictive values were calculated. RESULTS: A total 117 ears of 63 patients were
operated. The age range was 3 to 12 years. The commonest age group (58.7%)
affected by OME was 6-8 years. Type B tympanogram with flat curve and normal
canal volume was obtained in 71.4% of the ears. Comparison with myringotomy
findings showed TP 85, TN 13, FP 5 and FN 14. The diagnostic value of
tympanometry was; Sensitivity 85.85%, Specificity 72.22%, PPV 94.44%, NPV 48.14%
and Accuracy of 83.76%. P value calculated using chi square test showed that
there was significant difference between tympanometry and myringotomy findings in
OME (p < 0.05). CONCLUSIONS: OME is common in age group 6-8 years. Tympanogram
Type B with normal canal volume is fairly sensitive in diagnosing this condition.
However for occurrence of false positive results, final decision regarding
management should be made on clinical findings and other supportive audiological
tests.
PMID- 27182264
TI - Use of a portable monitoring device (Somnocheck Micro) for the investigation and
diagnosis of obstructive sleep apnoea in comparison with polysomnography.
AB - OBJECTIVE: Polysomnography (PSG) remains the gold standard for the diagnosis of
obstructive sleep apnoea syndrome (OSAS). While PSG is essential for OSAS, this
technique is not suitable for epidemiological investigation due to its high cost.
This study aimed to compare a portable monitoring device with PSG for the
measurement of parameters related to the diagnosis of OSAS in rural areas.
METHODS: We conducted a descriptive study of 155 patients (30 women and 125 men;
mean age, 52+/-12years) who visited to the Hendek Government Hospital Sleep
Laboratory between February 2011 and January 2013 Apnoea hypopnea index (AHI),
mean levels of O2 (meanO2), desaturation index (DI), and minimum oxygen
saturation (minO2) variations as measured using both PSG and a portable
Somnocheck Micro (SM) device were compared. RESULTS: Differences were found
between the meanO2 and DI, but not between AHI and minO2. Differences between the
methods were not desired, but the relationship between the methods was distinct
and supported our hypothesis. CONCLUSIONS: The results of our study have shown
that the SM portable device can be used as an alternative diagnostic tool in this
population either at home or in sleep clinic.
PMID- 27182265
TI - McKenzie treatment versus mulligan sustained natural apophyseal glides for
chronic mechanical low back pain.
AB - BACKGROUND AND OBJECTIVE: Chronic mechanical low back pain is common among
different age groups and genders. Different manual therapy techniques combined
with exercise therapy and electrotherapy modalities play an important role in its
management. Our objective was to compare the effects of McKenzie extension
exercisesprogram (EEP) versus Mulligan Sustained Natural Apophyseal Glides
(SNAGs) for chronic mechanical low back pain (CMLBP). METHODS: This randomized
control trial (RCT) was conducted at Riphah Physical Rehabilitation Centre,
Pakistan Railways General Hospital Rawalpindi, from 1(st) July to 31(st) December
2014. The inclusion criteria was patients of both gender and age range 30-70
years with minimum 4 weeks history of CMLBP. A total of 37 patients were screened
out as per inclusion criteria and randomly placed into two groups. Twenty
patients in group A were treated with Mulligan SNAGs and 17 patients in group B
with McKenzie EEP for four weeks at two session per week and single session per
day. Visual Analogue Scale (VAS), Oswestry Disability Scale (ODI) and lumber
Range of Motion (ROM) were used as an assessment tools and were measured at
baseline and at the completion 4 weeks intervention. The data was analyzed with
SPSS to draw the statistical and clinical significance of both interventions.
RESULTS: At the completion of 4 weeks intervention the pre and post statistical
analysis revealed that clinically the McKenzie EEP improved pain (mean 9.12 to
1.46) and disability (73.82 to 6.24) slightly more than Mulligan SNAGs (pain:
from 8.85 to 2.55, disability 73.75 to 7.05), while the Mulligan SNAGs improved
lumbar ROM more effectively than McKenzie EEP in all directions including
flexion, extension, side bending and rotation. Statistically there was no
significant difference between the effects of two interventions in managing pain
and disability, and improving Lumber ROM. CONCLUSION: McKenzie EEP is clinically
slightly more effective in the management of pain and disability as compared with
Mulligan SNAGs, while Mulligan SNAGs are more effective in the improvement of
lumbar ROM as compared with Mechanize EEP in the management of CMLBP.
PMID- 27182266
TI - Frequency of hypovitaminosis D and its associated risk factors in newly diagnosed
pulmonary tuberculosis patients.
AB - OBJECTIVE: To find out the frequency of hypovitaminosis D and its associated risk
factors in newly diagnosed pulmonary tuberculosis patients prior to
administration of standard anti tuberculosis therapy. METHODS: This cross
sectional study was carried out in Ojha Institute of Chest Diseases-DUHS. After
approval from BASR and following written informed consent eighty newly diagnosed,
as per WHO criteria, tuberculosis patients were enrolled. Prior to the initiation
of anti tuberculosis therapy, the serum vitamin D level was determined by 25-OH
Vitamin D kit using the chemiluminescent immunoassay (CLIA) method. A cut off
value of >30 ng/mL of serum vitamin D was taken as normal whereas a range between
10-30 ng/mL and <10 ng/mL were considered insufficient and deficient
respectively. Frequency of socio-demographic associated risk factors of
hypovitaminosis D was also determined. RESULTS: Out of eighty newly diagnosed
tuberculosis patients 33 (41.25%) were males and 47(58.75%) were females with
their ages ranging from 18-50 years. 54 patients (26 male and 28 female patients)
were smokers. BMI of all the patients was found to be less than the normal
ranges. Hypovitaminosis was present in all the cases. Vitamin D insufficiency was
found in 49 participants (20 male and 29 female) whereas 31 patients (13 male and
18 female) were found to be vitamin D deficient. CONCLUSION: Prevalence of serum
vitamin D level derangement is very high in newly diagnosed patients with
pulmonary tuberculosis in our local setting which necessitates administration of
adjuvant vitamin D along with standard anti tuberculosis therapy.
PMID- 27182267
TI - Ego States of nurses working in psychiatric clinics according to transactional
analysis theory.
AB - OBJECTIVE: An effective interpersonal communication is an essential nursing skill
required to help provide quality health care and meet the treatment objectives.
The aim of this study was to investigate the communication between the
psychiatric nurses and the patients in terms of Transactional Analysis Theory ego
states. METHODS: The quantitative and qualitative research methods were used. The
descriptive statistics (frequency, percentage, mean, standard deviation) were
used in the data analysis and Kendall's Tau-c coefficient was used to assess the
agreement among the observers. RESULTS: Of the psychiatric nurses, 66.7% (n = 14)
had served as a psychiatric nurse for 1-10 years. Among the nurses, 52.4% (n=11)
had received training about communication from any institution/organization. The
agreement among the opinions of the nurses, the researcher and the charge nurses
about the psychiatric nurses' ego states showed that there was a significant
relationship between the researcher's opinion of the nurses' ego states and the
charge nurses' opinion of the nurses' ego states in terms of Critical Parent,
Nurturing Parent, Adult, Adapted Child and Natural Child ego states. CONCLUSION:
It is suggested that training be offered in regards to raising awareness about
ulterior transactions that can affect communication negatively, patient autonomy
and therapeutic communication in particular, and patients requiring the use of
special communication methods.
PMID- 27182268
TI - Investigation of molecular heterogeneity of beta-thalassemia disorder in District
Charsadda of Pakistan.
AB - OBJECTIVE: Thalassemia is blood related disease which arises from the reduced
level of hemoglobin in red blood cells (RBC), a protein responsible for carrying
oxygen inside the body. Considering its widespread occurrence in developing
countries like Pakistan, this study aims to investigate the common molecular
anomalies of the beta thalassemia disease in district Charsadda, Khyber
Pakhtunkhwa. METHODS: This work was done at Abdul Wali Khan University (AWKU)
Mardan, Khyber Pakhtunkhwa, Pakistan. The work was performed on the blood samples
collected from the patients and their families with beta thalassemia major (n =
13 families) belonged to District Charsadda. The collected blood samples were
analyzed for presence of six known mutations with the help of polymerase cha in
reaction technique i.e. amplification of refractory mutation system. RESULTS: Our
Study reports six known mutations (IVS-1-5, FSC 8/9, CD 41/42, IVS-1-1, CD 15 and
FSC-5) accounting for about 90% of total beta thalassemia genes in this country.
Among the reported mutations, IVS 1-5 was the most prevalent beta thalassemia
gene in patients belonging to District Charsadda. CONCLUSION: The results and
findings of the current study may help in accessing the frequency of these common
mutations and in initiating pre-natal diagnosis programme in Pakistan.
PMID- 27182269
TI - Seroprevalence of acute dengue in a Malaysian tertiary medical centre.
AB - OBJECTIVES: The aims of this study were to determine the seroprevalence of acute
dengue in Universiti Kebangsaan Malaysia (UKM) Medical Centre and its correlation
with selected haematological and biochemical parameters. METHODS: This cross
sectional study was conducted from January to June 2015. A patient was
serologically diagnosed with acute dengue if the dengue virus IgG, IgM or NS-1
antigen was reactive. RESULTS: Out of 1,774 patients suspected to have acute
dengue, 1,153 were serologically diagnosed with the infection, resulting in a
seroprevalence of 64.9%. Dengue-positive patients had a lower mean platelet count
(89 * 10(9)/L) compared to the dengue-negative patients (171 * 10(9)/L)
(p<0.0001). The mean total white cell count was also lower in the dengue-positive
cases (4.7 * 10(9)/L vs. 7.2 * 10(9)/L; p<0.0001). The mean haematocrit was
higher in patients with acute dengue (42.5% vs. 40.0%; p<0.0001). Likewise, the
serum alanine transaminase level was also higher in patients with acute dengue
(108 U/L vs. 54 U/L; p<0.0001). CONCLUSIONS: Dengue is very prevalent in UKM
Medical Centre as most patients suspected to have acute dengue had serological
evidence of the infection. The platelet count was the single most likely
parameter to be abnormal (i.e. low) in patients with acute dengue.
PMID- 27182270
TI - Bisphosphonates can prevent recurrent hip fracture and reduce the mortality in
osteoporotic patient with hip fracture: A meta-analysis.
AB - OBJECTIVE: This meta-analysis was conducted to investigate the efficacy of
bisphosphonates for preventing recurrent hip fracture and reducing the mortality
of elderly patient with hip fracture. METHODS: The databases of Pubmed, Embase
and Cochrane Library were searched. All randomized or prospective matched
controlled trials that assessed the efficacy of bisphosphonate for elderly
patients with hip fracture were included. Two researchers independently extracted
data of the included articles and assessed the methodological quality which was
assessed based on Jadad scoring system or Newcastle-Ottawa scale. The second hip
fracture incidence, mortality and complications were compared between
bisphosphonates and control groups. RESULTS: Four studies including 3088 patients
were included. Results showed that there were significant difference of second
hip fracture (P<0.05) and mortality (P<0.05) between bisphosphonates group and
control group. While no significant intergroup difference were observed for all
complications. CONCLUSIONS: Bisphosphonates can prevent subsequent hip fracture,
reduce the mortality, and does not increase the overall complications in elderly
patients with hip fracture.
PMID- 27182271
TI - Diabetic Macular Edema.
AB - Diabetic macular edema (DME), one the most prevalent causes of visual loss in
industrialized countries, may be diagnosed at any stage of diabetic retinopathy.
The diagnosis, treatment, and follow up of DME have become straightforward with
recent developments in fundus imaging, such as optical coherence tomography.
Laser photocoagulation, intravitreal injections, and pars plana vitrectomy
surgery are the current treatment modalities; however, the positive effects of
currently available intravitreally injected agents are temporary. At this point,
further treatment choices are needed for a permanent effect. SOURCES OF DATA
SELECTION: The articles published between 1985-2015 years on major databases were
searched and most appropriate 40 papers were used to write this review article.
PMID- 27182272
TI - Quality of life for chronic psychiatric illnesses and home care.
AB - Nowadays, mental illnesses are gradually increasing and so does chronic
psychiatric patients. As a result of this increase, chronic psychiatric disorders
lead the burden of patients and their families. To reduce the burden of mental
illnesses on individuals and their families, treatment and care are given
including psychosocial, physiological and medical support and social services. To
begin with, home care enables both the patient and his or her family to stay at
their own houses and not to be bothered with residents or long-term,
institutional-based nursing homes. In addition, the home care providers deliver
services to the patient's at their own house. The other advantages of taking care
at home is that it eases financial issues in terms of reducing the cost, reduces
the patient's symptoms and improve the individual's quality of life (QoL). In
addition to these, home care also minimizes the burden on outpatient services and
provides help for the patient and the family in order to solve their problems and
give support. Home care services help patients to get their freedom back and
enhance the quality of their lives. Thus, it is necessary to procure and
implement these services and supply both the patient and his or her family a high
quality life. SOURCES OF DATA/ STUDY SELECTION: Literature review was done by
using the keywords "home care, patient with chronic mental illness, quality of
life, home care nursing" from the sources including PsychINFO, PsychARTICLES,
MEDLINE, PubMED, EBSCOHOST and The COCHRANE LIBRARY in the time period of 2005-
2015.
PMID- 27182273
TI - Delayed visual loss due to radiation retinopathy.
AB - Radiation retinopathy remains a devastating cause of visual morbidity in patients
undergoing radiation for globe, orbit, and head and neck malignancies. A 65-year
old female was admitted with the complaint of low vision in the right eye for two
months. Best corrected visual acuity was 20/32 in the right eye and 20/25 in the
left eye. Slit lamp examination was normal in both eyes. Fundoscopic examination
revealed perifoveolar hard exudates, paramacular microhemorrhages,
telangiectasias, and macular degeneration in both eyes. Fundus florescein
angiography showed enlargement of the foveal avascular zone, perifoveal capillary
telangiectasia, and widespread venous beading bilaterally. Optical coherence
tomography revealed bilateral cystoid macular edema. The prediagnosis of diabetic
retinopathy was not confirmed because of the absence of diabetes mellitus after
endocrinologic evaluation. Detailed medical history explored external beam
radiotherapy to the head and neck region for nasopharyngeal cancer 10 years ago.
The ultimate diagnosis was radiation retinopathy.
PMID- 27182274
TI - Phenotypic manifestation of congenital transverse amputation of autopod in
Pakistani subjects.
AB - Terminal transverse deficiency of forearm is a very rare limb malformation. Most
of the cases have traumatic etiology and congenital presentation is less common.
A series of six individuals with transverse deficiency through the hands is
presented in this communication. The cases were congenital, morphologically
similar and showed loss of four fingers, most often postaxial. The affected arm
was reduced in size compared to the contralateral limb and there was distortion
of palmer creases. All cases were sporadic and non-syndromic in nature. The
characteristics of these cases were concordant with the symbrachydactyly type III
or monodactylous type, when classified according to the scheme proposed by Blauth
and Gekeler (1973). The malformation resulted in permanent quality-of-life
impairment in these subjects and warrant prosthetic management. Detailed physical
and phenotypic features of the patients have been presented.
PMID- 27182276
TI - Hybridization in geese: a review.
AB - The high incidence of hybridization in waterfowl (ducks, geese and swans) makes
this bird group an excellent study system to answer questions related to the
evolution and maintenance of species boundaries. However, knowledge on waterfowl
hybridization is biased towards ducks, with a large knowledge gap in geese. In
this review, we assemble the available information on hybrid geese by focusing on
three main themes: (1) incidence and frequency, (2) behavioural mechanisms
leading to hybridization, and (3) hybrid fertility. Hybridization in geese is
common on a species-level, but rare on a per-individual level. An overview of the
different behavioural mechanisms indicates that forced extra-pair copulations and
interspecific nest parasisitm can both lead to hybridization. Other sources of
hybrids include hybridization in captivity and vagrant geese, which may both lead
to a scarcity of conspecifics. The different mechanisms are not mutually
exclusive and it is currently not possible to discriminate between the different
mechanisms without quantitative data. Most hybrid geese are fertile; only in
crosses between distantly related species do female hybrids become sterile. This
fertility pattern, which is in line with Haldane's Rule, may facilitate
interspecific gene flow between closely related species. The knowledge on hybrid
geese should be used, in combination with the information available on
hybridization in ducks, to study the process of avian speciation.
PMID- 27182278
TI - Advances in thermometry.
AB - The last 25 years have seen tremendous progress in thermometry in the moderate
temperature range (1 K to 1235 K). Various primary thermometers - based on
different physics -have uncovered errors in the International Temperature Scale
of 1990 and set the stage for the planned redefinition of the kelvin.
PMID- 27182277
TI - Impact of flavonoid-rich black tea and beetroot juice on postprandial peripheral
vascular resistance and glucose homeostasis in obese, insulin-resistant men: a
randomized controlled trial.
AB - BACKGROUND: Insulin-stimulated muscle blood flow facilitates plasma glucose
disposal after a meal, a mechanism that is impaired in obese, insulin-resistant
volunteers. Nitrate- or flavonoid-rich products, through their proposed effects
on nitric oxide, may improve postprandial blood flow and, subsequently, glucose
disposal. To investigate whether a single dose of nitrate-rich beetroot juice or
flavonoid-rich black tea lowers postprandial muscle vascular resistance in obese
volunteers and alters postprandial glucose or insulin concentrations. METHOD: In
a randomised, controlled, cross-over study, 16 obese, insulin-resistant males
consumed 75 g glucose, which was combined with 100 ml black tea, beetroot juice
or control (water). Peripheral vascular resistance (VR), calculated as mean
arterial pressure divided by blood flow, was assessed in the arm and leg conduit
arteries, resistance arteries and muscle microcirculation across 3 h (every 30
min) after the oral glucose load. RESULTS: During control, we found no
postprandial response in VR in conduit, resistance and microvessels (all P >
0.05). Black tea decreased VR compared to control in conduit, resistance and
microvessels (all P < 0.05). Beetroot juice decreased postprandial VR in
resistance vessels, but not in conduit artery and microvessels. Although
postprandial glucose response was similar after all interventions, postprandial
insulin response was attenuated by ~29 % after tea (P < 0.0005), but not beetroot
juice. CONCLUSIONS: A single dose of black tea decreased peripheral VR across
upper and lower limbs after a glucose load which was accompanied by a lower
insulin response. Future studies in insulin-resistant subjects are warranted to
confirm the observed effects and to explore whether long-term regular tea
consumption affects glucose homeostasis. TRIAL REGISTRATION: The study was
registered at clinicaltrials.gov on 30(th) November 2012 (NCT01746329).
PMID- 27182279
TI - Cardiovascular risk factors among industrial workers: a cross-sectional study
from eastern Nepal.
AB - BACKGROUND: Cardiovascular diseases (CVD) are the number one cause of death
globally, more people die annually from CVDs than from any other cause. An
estimated 17.5 million people died from CVD in 2012, representing 46.2 % of all
NCD death globally. An accurate characteristic of the cardiovascular risk factors
in a specified population group is essential for the implementation of
educational campaign. However, there are no reliable CVD risk factors burden, nor
of its awareness and treatment status in Nepal industrial settings. We aimed to
assess cardiovascular risk factors among men age 20-59 years in one of the
largest industrial corridor of Eastern Nepal. METHODS: A total of 494 industrial
workers between ages of 20-59 years, from two industries participated in the
study. Pretested semi-structured questionnaire was used to collect the
information. Primary outcome was cardiovascular risk factors based on STEPS
survey and study on non-communicable disease in Nepal. A semi-structured
questionnaire was used to interview 494 industrial workers. Lipid profile and
serum blood glucose of 406 workers and electrocardiogram of 400 workers was done.
RESULTS: The prevalence of cardiovascular disease (CVD) was 13.8 %. Those who
were >45 years were 2.72 times more likely to develop CVD. Those who smoked more
pack year, had family history of hypertension (HTN) and consumed no fruits were
4.32, 1.90.2.47 times more likely to develop CVD. Low density Lipoprotein (LDL)
level <130 was found to be protective compared to LDL level above >= 130. On
adjusted analysis those who did not consume fruits and had high LDL level were
3.32 and 3.03 more likely to develop CVD. CONCLUSION: There is high prevalence of
CVD risk factors. Although majority of them are literate there is lack of health
education and awareness among young male population in an eastern Nepal
industrial setting.
PMID- 27182275
TI - Integrated therapy for HIV and tuberculosis.
AB - Tuberculosis (TB) has been the most common opportunistic infection and cause of
mortality among HIV-infected patients, especially in resource-limited countries.
Clinical manifestations of TB vary and depend on the degree of immunodeficiency.
Sputum microscopy and culture with drug-susceptibility testing are recommended as
a standard method for diagnosing active TB. TB-related mortality in HIV-infected
patients is high especially during the first few months of treatment. Integrated
therapy of both HIV and TB is feasible and efficient to control the diseases and
yield better survival. Randomized clinical trials have shown that early
initiation of antiretroviral therapy (ART) improves survival of HIV-infected
patients with TB. A delay in initiating ART is common among patients referred
from TB to HIV separate clinics and this delay may be associated with increased
mortality risk. Integration of care for both HIV and TB using a single facility
and a single healthcare provider to deliver care for both diseases is a
successful model. For TB treatment, HIV-infected patients should receive at least
the same regimens and duration of TB treatment as HIV-uninfected patients.
Currently, a 2-month initial intensive phase of isoniazid, rifampin,
pyrazinamide, and ethambutol, followed by 4 months of continuation phase of
isoniazid and rifampin is considered as the standard treatment of drug
susceptible TB. ART should be initiated in all HIV-infected patients with TB,
irrespective of CD4 cell count. The optimal timing to initiate ART is within the
first 8 weeks of starting antituberculous treatment and within the first 2 weeks
for patients who have CD4 cell counts <50 cells/mm(3). Non-nucleoside reverse
transcriptase inhibitor (NNRTI)-based ART remains a first-line regimen for HIV
infected patients with TB in resource-limited settings. Although a standard dose
of both efavirenz and nevirapine can be used, efavirenz is preferred because of
more favorable treatment outcomes. In the settings where raltegravir is
accessible, doubling the dose to 800 mg twice daily is recommended. Adverse
reactions to either antituberculous or antiretroviral drugs, as well as immune
reconstitution inflammatory syndrome, are common in patients receiving integrated
therapy. Early recognition and appropriate management of these consequences can
reinforce the successful integrated therapy in HIV-infected patients with TB.
PMID- 27182280
TI - Breastfeeding practices on postnatal wards in urban and rural areas of the Deyang
region, Sichuan province of China.
AB - BACKGROUND: Despite the efforts that have been made to promote breastfeeding in
China since the 1990s, there is still a very low prevalence of exclusive
breastfeeding. The objective of this study was to assess the current situation of
infant feeding practices during the postpartum hospital stay in urban and rural
areas of the Deyang region. METHODS: Cross-sectional sampling was used in two
urban hospitals and five rural clinics in the Deyang region of southwestern
China. Interviews with mothers after delivery (urban n = 102, rural n = 99) were
conducted before discharge and five focus group discussions were held. RESULTS:
The prevalence of Caesarean section was high in both urban and rural areas (63.9
% urban vs. 68.4 % rural). After birth, nearly all mothers (98.0 % urban vs. 99.0
% rural) initiated breastfeeding. One week after delivery, the prevalence of
exclusive breastfeeding was 8.0 % (9.8 % urban vs. 6.1 % rural), almost exclusive
breastfeeding 34.5 % (29.4 % urban vs. 39.8 % rural), mixed feeding 56.0 % (58.8
% urban vs. 53.1 % rural), and exclusive formula feeding 1.5 % (2.0 % urban vs.
1.0 % rural). Breastfeeding initiation (<= two days after birth) was positively
associated (Odds Ratio [OR] 1.97, 95 % Confidence Interval [CI] 1.11, 3.50) with
exclusive and almost exclusive breastfeeding, whereas birth length under 50 cm
(OR 0.48, 95 % CI 0.26, 0.87), mother's education > 12 years (OR 0.46, 95 % CI
0.24, 0.88) and mother's lack of knowledge about the importance of colostrum (OR
0.35, 95 % CI 0.14, 0.86) were negatively associated with almost exclusive
breastfeeding. CONCLUSION: Although disparities between urban and rural areas
exist, the situation of infant feeding is inadequate in both settings. The high
prevalence of Caesarean section, the mothers' poor knowledge of the physiology of
breast milk production, the mothers' lack of breastfeeding confidence, the
widespread advertising of breast milk substitutes, and the changing perception of
the function of breasts, may influence the unfavorable breastfeeding behavior
observed in the study area.
PMID- 27182281
TI - Living arrangements of the elderly in China: evidence from the CHARLS national
baseline.
AB - Declining fertility in China has raised concerns about elderly support,
especially when public support is inadequate. Using rich information from the
nationally representative China Health and Retirement Longitudinal Study (CHARLS)
baseline survey, we describe the patterns of current living arrangements of the
Chinese elderly and investigate their determinants and correlation with
intergenerational transfers. We find that roughly 41% of Chinese aged 60 and over
live with an adult child; living with a male adult child being strongly
preferred. However another 34% have an adult child living in the same immediate
neighborhood and 14% in the same county; only 5% have an adult child with none of
them living in the same county. At the same time, a large fraction of the
elderly, 45% in our sample, live alone or with only a spouse. In general, women,
those from western provinces, and those from rural areas are more likely to live
with or close to their adult children than their corresponding counterparts, but
different types of intergenerational transfers play a supplementary role in the
unequal distribution of living arrangements. Among non-co-resident children,
those living close by visit their parents more frequently and have more
communications by other means. In contrast, children who live farther away are
more likely to send financial and in-kind transfers and send larger amounts.
PMID- 27182283
TI - Machine learning algorithms for mode-of-action classification in toxicity
assessment.
AB - BACKGROUND: Real Time Cell Analysis (RTCA) technology is used to monitor cellular
changes continuously over the entire exposure period. Combining with different
testing concentrations, the profiles have potential in probing the mode of action
(MOA) of the testing substances. RESULTS: In this paper, we present machine
learning approaches for MOA assessment. Computational tools based on artificial
neural network (ANN) and support vector machine (SVM) are developed to analyze
the time-concentration response curves (TCRCs) of human cell lines responding to
tested chemicals. The techniques are capable of learning data from given TCRCs
with known MOA information and then making MOA classification for the unknown
toxicity. A novel data processing step based on wavelet transform is introduced
to extract important features from the original TCRC data. From the dose response
curves, time interval leading to higher classification success rate can be
selected as input to enhance the performance of the machine learning algorithm.
This is particularly helpful when handling cases with limited and imbalanced
data. The validation of the proposed method is demonstrated by the supervised
learning algorithm applied to the exposure data of HepG2 cell line to 63
chemicals with 11 concentrations in each test case. Classification success rate
in the range of 85 to 95 % are obtained using SVM for MOA classification with two
clusters to cases up to four clusters. CONCLUSIONS: Wavelet transform is capable
of capturing important features of TCRCs for MOA classification. The proposed SVM
scheme incorporated with wavelet transform has a great potential for large scale
MOA classification and high-through output chemical screening.
PMID- 27182282
TI - Maximizing the Implementation Quality of Evidence-Based Preventive Interventions
in Schools: A Conceptual Framework.
AB - Increased availability of research-supported, school-based prevention programs,
coupled with the growing national policy emphasis on use of evidence-based
practices, has contributed to a shift in research priorities from efficacy to
implementation and dissemination. A critical issue in moving research to practice
is ensuring high-quality implementation of both the intervention model and the
support system for sustaining it. The paper describes a three-level framework for
considering the implementation quality of school-based interventions. Future
directions for research on implementation are discussed.
PMID- 27182284
TI - Achieving Depression Literacy: The Adolescent Depression Knowledge Questionnaire
(ADKQ).
AB - Mental health literacy appears to be an important target for prevention and
intervention efforts. However, limitations exist in this literature base,
including the lack of a validated measure to assess this construct. The
Adolescent Depression Knowledge Questionnaire (ADKQ) was created to assess
knowledge of depression and attitudes about seeking help (i.e., depression
literacy) for mental health issues before and after introduction of a universal,
school-based intervention, the Adolescent Depression Awareness Program (ADAP).
The ADKQ measured depression knowledge and attitudes in 8,216 high school
students immediately before ADAP was implemented and 6 weeks after. The latent
structure of the Knowledge section was examined with attention to measurement
invariance between males and females and type of instructor, as well as pre- to
post-test. Categories were developed for the open-ended questions of the
Attitudes section. A one-factor (General Knowledge) latent structure was the best
fit to the data. The latent structure of the ADKQ did not differ by student's
gender or type of instructor, nor did it differ based on pre- or post-test.
Categories for the Attitudes portion of the ADKQ were developed. Psychometric
evidence supports the ADKQ as a measure to evaluate adolescent depression
literacy pre- to post-test and within several groups of interest (e.g., gender,
facilitator). Categories for the Attitudes section of the ADKQ will allow for
easier evaluation of this measure with quantitative data.
PMID- 27182285
TI - DNA methylation mediates the effect of exposure to prenatal maternal stress on
cytokine production in children at age 131/2 years: Project Ice Storm.
AB - BACKGROUND: Prenatal maternal stress (PNMS) is an important programming factor of
postnatal immunity. We tested here the hypothesis that DNA methylation of genes
in the NF-kappaB signaling pathway in T cells mediates the effect of objective
PNMS on Th1 and Th2 cytokine production in blood from 131/2 year olds who were
exposed in utero to the 1998 Quebec ice storm. RESULTS: Bootstrapping analyses
were performed with 47 CpGs across a selection of 20 genes for Th1-type cytokines
(IFN-gamma and IL-2) and Th2-type cytokines (IL-4 and IL-13). Six CpGs in six
different NF-kappaB signaling genes (PIK3CD, PIK3R2, NFKBIA, TRAF5, TNFRSF1B, and
LTBR) remained as significant negative mediators of objective PNMS on IFN-gamma
secretion after correcting for multiple comparisons. However, no mediation
effects on IL-2, IL-4 and IL-13 survived Bonferroni correction. CONCLUSIONS: The
present study provides preliminary evidence supporting the mediating role of DNA
methylation in the association between objective aspects of PNMS and child immune
states, favoring a Th2 shift.
PMID- 27182286
TI - Rapid Identification of Major Histocompatibility Complex Class I Haplotypes Using
Deep Sequencing in an Endangered Old World Monkey.
AB - Immunogenetic data from wild primate populations have been difficult to obtain,
due to logistic and methodological constraints. We applied a well-characterized
deep sequencing method for MHC I typing, developed for macaques, to a population
of wild red colobus to assess the feasibility of identifying MHC I-A/B
haplotypes. Ten individuals produced sufficient data from blood and tissue
samples to assign haplotypes. Eighty-two sequences were classified as red colobus
MHC I alleles distributed across six MHC I loci. Individuals averaged ~13k reads
across six MHC I loci, with 83% of all alleles representing either MHC I-A or MHC
I-B loci. This study not only represents an important advance in the
identification and genotyping of MHC in the endangered red colobus but also shows
the potential for using this approach in other endangered wild primates.
PMID- 27182287
TI - Drug-micronutrient interactions: food for thought and thought for action.
AB - Micronutrients are indispensable for a variety of vital functions. Micronutrient
deficiencies are a global problem concerning two billion people. In most cases,
deficiencies are treatable with supplementation of the elements in lack. Drug
nutrient interactions can also lead to micronutrient reduce or depletion by
various pathways. Supplementation of the elements and long-term fortification
programs for populations at risk can prevent and restore the related
deficiencies. Within the context of Predictive, Preventive, and Personalized
Medicine, a multi-professional network should be developed in order to identify,
manage, and prevent drug-micronutrient interactions that can potentially result
to micronutrient deficiencies.
PMID- 27182288
TI - The bladder is not sterile: History and current discoveries on the urinary
microbiome.
AB - In the human body, there are 10 bacterial cells for every one human cell. This
fact highlights the importance of the National institutes of Health's initiative
to map the human microbiome. The Human Microbiome Project was the first large
scale mapping of the human microbiome of 5 body sites: GI tract, mouth, vagina,
skin and nasal cavity using culture-independent methods. The bladder was not
originally tested because it was considered to be sterile and there were
complexities regarding sample collection. Over the last couple years our team
along with other investigators have shown that a urinary microbiome exists and
for most individuals it plays a protective role.
PMID- 27182289
TI - ESTIMATING HETEROGENEOUS GRAPHICAL MODELS FOR DISCRETE DATA WITH AN APPLICATION
TO ROLL CALL VOTING.
AB - We consider the problem of jointly estimating a collection of graphical models
for discrete data, corresponding to several categories that share some common
structure. An example for such a setting is voting records of legislators on
different issues, such as defense, energy, and healthcare. We develop a Markov
graphical model to characterize the heterogeneous dependence structures arising
from such data. The model is fitted via a joint estimation method that preserves
the underlying common graph structure, but also allows for differences between
the networks. The method employs a group penalty that targets the common zero
interaction effects across all the networks. We apply the method to describe the
internal networks of the U.S. Senate on several important issues. Our analysis
reveals individual structure for each issue, distinct from the underlying well
known bipartisan structure common to all categories which we are able to extract
separately. We also establish consistency of the proposed method both for
parameter estimation and model selection, and evaluate its numerical performance
on a number of simulated examples.
PMID- 27182290
TI - Tunable Plasmonic Nanohole Arrays Actuated by a Thermoresponsive Hydrogel
Cushion.
AB - New plasmonic structure with actively tunable optical characteristics based on
thermoresponsive hydrogel is reported. It consists of a thin, template-stripped
Au film with arrays of nanoholes that is tethered to a transparent support by a
cross-linked poly(N-isopropylacrylamide) (pNIPAAm)-based polymer network. Upon a
contact of the porous Au surface with an aqueous environment, a rapid flow of
water through the pores enables swelling and collapsing of the underlying pNIPAAm
network. The swelling and collapsing could be triggered by small temperature
changes around the lower critical solution temperature (LCST) of the hydrogel.
The process is reversible, and it is associated with strong refractive index
changes of Deltan ~ 0.1, which characteristically alters the spectrum of surface
plasmon modes supported by the porous Au film. This approach can offer new
attractive means for optical biosensors with flow-through architecture and
actively tunable plasmonic transmission optical filters.
PMID- 27182291
TI - On a State-Sponsored Sport System in China.
AB - The gold medal success of China in recent Olympic Games can be traced to the
advancement of the state-sponsored sport system (SSSS). While the program was
developed initially through socialist ideals, it is more than a centralized
government system to monopolize resources for glorified sport performance.
Participation in competition is an inherent part of the human condition. Success
in athletics is associated with national identity and has economic, social, and
cultural implications. Because of this, it is essential that the SSSS adjust and
improve to keep pace with other facets of China's quickly changing national
reform. In association with emerging economic reform, some sports now receive
equal or more funds from private investments compared to government allocation.
The state-sponsored sport system must continue to adapt to maintain the Chinese
tradition of excellence in competition.
PMID- 27182292
TI - Dabbing the Skin Surface Dry During Ice Massage Augments Rate of Temperature
Drop.
AB - While ice massage (IM) is a rapid cooling technique used to facilitate
therapeutic movements in the rehabilitation process, evidence of its efficacy
over alternative therapeutic protocols is scarce. We determined whether dabbing
the skin surface dry during a standard IM treatment would lead to greater rate of
skin temperature reduction in comparison to without dabbing; and whether dabbing
the skin would lead to an acute change in flexibility. Sixteen healthy volunteers
received a "dabbing" and "non-dabbing" 7-minute IM treatment over the surface of
each triceps surae muscle. Minute-by-minute temperature change in skin surface
was evaluated using an infrared thermometer. Active (AROM) and passive (PROM)
range of motion were evaluated via hand-held goniometer and passive stretch force
was evaluated with an algometer. Dependent variables (reported as Mean +/- SD)
were tested with two-way analysis of variance with repeated measures. Skin
temperature ( degrees C) was reduced to with dabbing (5.8 +/- 1.1) in comparison
to without dabbing (6.8 +/- 1.4), evoking significantly greater cooling at 1-min
of ice massage (group X time interaction, p<0.01). However, after two minutes of
IM, each method of application evoked similar surface temperatures. There was no
significant difference in AROM, with dabbing (-0.63 +/- 2.55 degrees ) in
comparison to without dabbing (1.18 +/- 2.90 degrees ), and no significant
difference in passive-length tension relations (p>0.05) for either IM group. The
dabbing protocol resulted in more rapid rate of temperature drop at 1-minute,
however, both IM techniques are sufficient in cooling surface temperature after 2
minutes of IM. Further study is warranted to determine the clinical significance
of the dabbing procedure.
PMID- 27182293
TI - Do the Principles of Motor Program Editing Apply to Longer Sequences of Rapid
Aiming Movements? Part I.
AB - Prior work had shown that performing a shorter distance aiming movement prior to
a longer distance aiming movement resulted in overshooting of the short movement
and undershooting of the longer movement compared to repetition of the same
movement. The main question was whether the same interference effects would be
found in a three-movement sequence. Right-handed (N = 24) participants (aged 18
22) produced a sequence of two or three bimanual rapid lever reversals combining
short (20 degrees ) and long (60 degrees ) movements with an intermovement
interval of 2.5 s beginning with either the dominant or nondominant hand.
Participants overshot the short target and undershot the long target when short
and long movements alternated compared to same distance control conditions, but
the effects were greater for the nondominant hand. Overall, the experiment
demonstrated that parameter value switching was a major source of spatial
inaccuracy in sequential aiming movements.
PMID- 27182294
TI - Reviewing an Original Research Manuscript for the International Journal of
Exercise Science: A Guide for Students and Professionals.
AB - Considerations for the review process of manuscripts submitted to the
International Journal of Exercise Science are presented. Initial steps to
evaluation include reading the abstract to determine your ability as a reviewer,
becoming familiar with the journal requirements, and formulating an initial
impression of the manuscript. At this point an assessment is made to determine
how the reviewer should treat the manuscript. If it is determined that the
manuscript is acceptable with minor revisions, or may be acceptable with major
changes, a full review should be performed. A full review of the manuscript
requires a thorough examination of the major headings including the Introduction,
Methods, Results, and Discussion. The written review is composed of two parts, a
section with the decision that is composed of comments only for the editor, and a
section that provides feedback to the authors. Specific comments to the authors
should include an honest critique that aids in improving the manuscript.
PMID- 27182295
TI - Do the Principles of Motor Program Editing Apply to Longer Sequences of Rapid
Aiming Movements? Part II.
AB - In Part I of this study, it was shown that performing a shorter distance aiming
movement prior to a longer distance aiming movement resulted in overshooting of
the short movement and undershooting of the longer movement compared to control
conditions. However, the finding was limited, unexpectedly, to the nondominant
hand. To replicate the prior result and to determine the effect of practice
organization on movement accuracy, right-handed (n =24) participants (aged 18-22)
produced a sequence of three rapid lever reversals combining short (20 degrees )
and long (60 degrees ) movements with an intermovement interval of 2.5 s with the
dominant hand. Greater overshooting of the short movements and greater
undershooting of the long movement was shown with random practice compared to
blocked practice for both same distance and different distance sequences,
although spatial errors were greater in the different conditions compared to the
same conditions. Overall, the experiment demonstrated parameter value switching
and practice organization as two major sources of spatial inaccuracy in
sequential aiming movements.
PMID- 27182296
TI - Changes in EMG and Finger Force with Repeated Hangs from the Hands in Rock
Climbers.
AB - The nature and degree of fatigue in muscles that control finger position during
repeated sustained efforts in rock climbing have not been described. The purpose
of this study was to identify changes in maximum hang time and forearm
electromyogram (EMG) during repeated maximum duration hangs from a simulated rock
feature. A second objective was to determine the effect of different recovery
times between hangs upon changes in finger force. Five experienced rock climbers
performed 2 test sessions on different days in a randomized order. Each session
involved 8 repetitions of a maximum duration hang with either 1 min (R1) or 3 min
(R3) resting recovery between hangs. Finger force (FF) was measured for the right
hand via a piezoelectric force sensor fitted with a plate to accept the distal
digits of four fingers. Peak EMG (EMGPK) and EMG Area (EMGAREA) were recorded
from the anterior forearm for each hang and standardized as percent of maximum FF
EMG prior to statistical analysis. Hang duration progressively decreased over
repetitions but tended to plateau around repetition 5 for both R1 and R3
conditions. A significant difference was found for both recovery conditions and
repetitions (p<.05) as well as a significant interaction of the two factors
(p<.05) for hang duration. There were no significant differences for EMGPK among
repetitions or between recovery conditions (p>.05). EMGAREA decreased initially
with repeated hangs during both R1 and R3 but this trend tended to plateau at
repetition 3 for the R3 condition. A significant difference was found in EMGAREA
for both recovery conditions and trials (p<.05) as well as a significant
interaction of the two factors (p<.05). Mean FF decreased between pre- and post
hangs for both R1 and R3 however the difference was not significant. It was
concluded that the overall decline in hang time is less with 3 min recovery vs 1
min recovery between hangs. Peak EMG does not appear to change despite this
evidence of fatigue. A 3 min recovery interval between hang repetitions decreases
the magnitude of fatigue experienced and enables a greater EMGAREA per hang.
PMID- 27182297
TI - Exercise Induced Alterations in Rat Monocyte Number, Morphology, and Function.
AB - The purpose of this study was to verify the histophysiological alterations in
monocytes and macrophages induced by short periods of exercise. Male Wistar rats
(age = 2 months, body weight = 200g) were divided into seven groups (N = 6 each):
sedentary control (C), groups exercised (swimming) at low intensity for 5 (5L),
10 (10L), and 15 minutes (15L), and groups exercised at moderate intensity for 5
(5M), 10 (10M) or 15 minutes (15M). At moderate intensity the animals carried a
load of 5% of body weight on their backs. Blood monocytes were evaluated for
quantity and morphology, and peritoneal macrophages were analyzed for quantity
and phagocytic activity. Data were analyzed using ANOVA and Tukey's post hoc test
(p <= 0.05). Low intensity groups and 5M exhibited an increase in monocyte levels
when compared with the control. There was an increase in monocyte cellular area
for the 5L, 10L, 5M and 10M groups; monocyte nuclear area increased for the 10L,
5M and 10M groups in comparison with the control. There was an increase in
peritoneal macrophages for the 15L, 10M, 15M and decrease for the 5M group.
Macrophage phagocytic capacity increased for low intensity groups and for 10M
group. The exercise performed for short periods modulated macrophage levels and
function, and monocyte levels and morphology, in an intensity-dependent manner.
The sum of acute responses observed in this study may exert a protective effect
against sickness and may be used to improve health and lifespan.
PMID- 27182298
TI - ?
PMID- 27182299
TI - Undergraduate Research: Importance, Benefits, and Challenges.
AB - Developing and maintaining undergraduate research programs benefits students,
faculty mentors, and the university. Incorporating a research component along
with a sound academic foundation enables students to develop independent critical
thinking skills along with oral and written communication skills. The research
process impacts valuable learning objectives that have lasting influence as
undergraduates prepare for professional service. Faculty members at teaching
intensive institutions can enhance learning experiences for students while
benefiting from a productive research agenda. The university in turn benefits
from presentations and publications that serve to increase visibility in the
scientific community. Whether projects are derived through student-generated or
mentor-generated means, students benefit from completion of exposure to the
hypothesis-driven scientific method.
PMID- 27182300
TI - Swim Positioning and its Influence on Triathlon Outcome.
AB - Questions have been raised regarding which of the three legs of a triathlon
influences the final finishing position. Some coaches subjectively believe that
the swim and run are more important than the cycle, especially since the
introduction of drafting during the cycle. This study analysed race position
shifts between each of the three disciplines to assess the importance of the swim
finish position and final finish position during draft legal Olympic distance
triathlon events. Ten male and 10 female triathlon world cup events during one
season were analysed. The results suggested that the triathlon swim leg is
important because the winner exited the water in the first pack in 90% of elite
male and 70% of elite female races. Correlations were also derived from finishing
order for the whole triathlon and a finishing order that included the swim only,
cycle only or run only time. For men, the average correlations for final
finishing order with each of the swim, cycle and run, respectively, were 0.49,
0.67 and 0.86 and for the women; average correlations were 0.39, 0.67 and 0.85.
Hence, this indicated that it was important to exit the water in the first pack
and run well after cycling to achieve a successful final finishing position.
PMID- 27182301
TI - Test-Retest Reliability of the Digijump Machine.
AB - Repetitive jumping has been identified as a possible exercise modality able to
provide sufficient stimulus to improve bone health. However, it is necessary to
establish whether repetitive jumping can elicit a consistent physiological
response with the ablity to monitor work rate. The purpose of this investigation
was to determine the stability reliability of the Digijump device for the
commonly used laboratory measures of oxygen uptake (VO2), heart rate (HR), and
rate of perceived exertion (RPE). College-aged individuals (N = 17) completed two
3-min repetitive jumping bouts on the Digijump machine (120 jumps per minute,
jump height = 1.27 cm) at least seven days apart. Stability reliability was
calculated using the intraclass correlation coefficient derived from 1-way ANOVA.
Absolute VO2 displayed the highest test-retest reliability (0.95), while the
coefficient for relative VO2 was also acceptable (0.71). The test-retest
reliability coefficients for HR (0.89), and RPE (0.75) were determined to be
within acceptable limits. Coefficients for all variables compared well with the
stability reliability reported for other ergometers such as the Stairmaster,
treadmill, and cycle. This data represent an important step in determining the
validity of the Digijump machine for physiological testing.
PMID- 27182303
TI - Student Reflections on a Study Abroad Course to the 2008 Summer Olympics in
Beijing.
AB - The authors lead a study abroad trip to Beijing, China during the 2008 Summer
Olympic Games. Included are reflections from the students who participated.
Throughout the visit, students had the opportunity to complete learning outside
of the traditional classroom setting. In addition, various challenges were faced
and overcome which also constituted a learning experience and was the cause of
reflection. The country of China and all of its historical landmarks had a great
impression on these students, especially the day that was spent at the Great
Wall. Finally, being able to be at the Olympic Games was a life-changing
experience, from the Opening Ceremony to the events that were attended. In all,
it was a thoroughly worthwhile adventure and one that we hope to replicate every
two years.
PMID- 27182302
TI - Resulting Shifts in Percentile and Standard Placements after Comparison of the
BOD POD and DXA.
AB - The purpose of this study was to determine the validity of the BOD POD(r) when
compared to the DXA and if placement on a percentile chart and standard table is
affected by any differences between the two measures. A total of 244 (27.7 +/-
10.8 yrs, 77.3 +/- 16.1 kg, 171.4 +/- 10.1 cm, 26.31 +/- 5.42 BMI) males and
females between the ages of 18 and 52 were recruited to participate in this
study. The participant's body fat percentage (%BF) was tested in random order on
the BOD POD(r) and DXA during a 30-minute session following manufacturer's
guidelines and procedures. Dependent t-test indicated the %BF measured by the BOD
POD(r) (23.4% +/- 12.8) was significantly lower when compared to the DXA (29.5%
+/- 12.1), p = .001. The Pearson's Product moment correlation was 0.95 (p =
.001), indicating a very strong relationship between the two instruments. Using
estimates of %BF from the BOD POD(r) also resulted in more favorable shifts on a
percentile chart and standard table. Since a high correlation was evident between
the two, the BOD POD(r) can be used as an instrument to track %BF changes over
time during a diet and/or exercise intervention. However, caution should be made
when classifying %BF with percentile charts or standard tables using the BOD
POD(r) %BF estimates.
PMID- 27182304
TI - Age-Related Differences in Systolic Blood Pressure Recovery after a Maximal
Effort Exercise Test in Non-Athletic Adults.
AB - The present study investigated the rate of systolic blood pressure recovery
(SBPR) amongst three male age groups. Ninety-six apparently healthy, non-athletic
adult males (48 young [23.91+/-4.58 years], 32 middle-aged [41.78+/-5.98 years]
and 16 elderly [62.87+/-2.57 years]) participated in the study. Subjects
performed a maximal-effort ergometer exercise test. Maximal oxygen uptake
(VO2max) was measured during the exercise protocol; heart rate (HR) and SBP were
measured before exercise (after 10 and 15 minutes of rest), during exercise (at 2
minute intervals), and at the first minute of post-exercise recovery and
subsequently at 2-minute intervals until the recovery of SBP. Results showed that
third-minute SBP ratio relative to 1 minute of recovery (SBPRy) was significantly
lower (p<0.01; p<0.001) in the young (0.87+/- 0.06) when compared to middle aged
(0.91 +/- 0.05) and elderly adults (0.93 +/- 0.04). Using bivariate correlation
analysis, VO2max and %HR decline at 1 minute and 3 minutes of recovery,
correlated with SBPRy in all age groups but after controlling for their
confounders, only %HR decline in 3 min remained significantly correlated with
SBPR in all the age groups. This study therefore showed age differences in SBPR
after exercise with younger adults indicating faster recovery than older adults.
After controlling for factors influencing SBPR, %HR decline in 3 min of recovery
appeared to be a stronger contributor to age differences in SBPR than %HR decline
in 1 min and VO2max. The reported data indicate the need to take age into account
when interpreting SBPR after exercise during physical assessment of healthy
individuals.
PMID- 27182305
TI - Aerobic Capacity and Postprandial Flow Mediated Dilation.
AB - The consumption of a high-fat meal induces transient vascular dysfunction.
Aerobic exercise enhances vascular function in healthy individuals. Our purpose
was to determine if different levels of aerobic capacity impact vascular
function, as measured by flow mediated dilation, following a high-fat meal. Flow
mediated dilation of the brachial artery was determined before, two- and four
hours postprandial a high-fat meal in young males classified as highly trained (n
= 10; VO2max = 74.6 +/- 5.2 ml.kg.min-1) or moderately active (n = 10; VO2max =
47.3 +/- 7.1 ml.kg.min-1). Flow mediated dilation was reduced at two- (p < 0.001)
and four-hours (p < 0.001) compared to baseline for both groups but was not
different between groups at any time point (p = 0.108). Triglycerides and insulin
increased at two- (p < 0.001) and four-hours (p < 0.05) in both groups. LDL-C was
reduced at four-hours (p = 0.05) in highly trained subjects, and two- and four
hours (p <= 0.01) in moderately active subjects. HDL-C decreased at two- (p =
0.024) and four-hours (p = 0.014) in both groups. Glucose increased at two-hours
postprandial for both groups (p = 0.003). Our results indicate that a high-fat
meal results in reduced endothelium-dependent vasodilation in highly trained and
moderately active individuals with no difference between groups. Thus, high
aerobic capacity does not protect against transient reductions in vascular
function after the ingestion of a single high-fat meal compared to individuals
who are moderately active.
PMID- 27182306
TI - Impact of Seated and Standing Bicycle Riding Position on Subsequent Running
Performance.
AB - This study examined the effects of cycling posture on subsequent running
performance similar to the transition phase of a triathlon. Experienced, non
elite triathletes completed two trials of a cycle-run transition. During the last
three minutes of a 30 minute cycling bout, at power output equal to lactate
threshold, subjects either remained seated (SEAT), or alternated seated and
standing cycling (30 s at a time; ALT). Heart rate, RPE, minimum and maximum knee
angle, stride frequency and length, and onset and duration of quadriceps and
hamstrings activity were obtained at the end of a three-minute control run and at
minutes 0, 2, & 4, of running after cycling transition. Repeated Measures ANOVA
(condition X minute; p = 0.05) found control was significantly different than
minute 0 for stride frequency and length, but not for minimum or maximum knee
angle. EMG duration at minute 4 was less than all other time points for both
quadriceps and hamstrings. Onset of muscle activity was not different for
hamstring or quadriceps. Heart rate and RPE both increased over 15 minutes after
transition and were higher for SEAT than ALT, however, there was no interaction
(minute by position) for either variable. Results indicated changes in stride
rate and length following cycling occur, but disappear within two minutes after
the transition to running and do not differ between postures. Changes in duration
of muscle activity may be related to changes in stride. Also HR and RPE differ
between the SEAT and ALT cycling positions and over time.
PMID- 27182307
TI - From the Editors: Assessing the International Journal of Exercise Science after
One Year.
AB - Western Kentucky University (WKU) strives to be a "leading American university
with international reach." In an effort to contribute to that mission, Drs. Scott
Lyons and James Navalta of the Exercise Science program endeavored in 2007 to
start a student-focused, on-line journal. Working closely with Berkeley Press,
the platform for the International Journal of Exercise Science (IJES) was
established during the summer of 2007 and it went "live" in January 2008. The
journal has now been on-line for over one year and has enjoyed great success,
with quality research submitted from graduate and undergraduate students, as well
as professionals. We at IJES look forward to continued success in 2009.
PMID- 27182308
TI - Timing Carbohydrate Beverage Intake During Prolonged Moderate Intensity Exercise
Does Not Affect Cycling Performance.
AB - Carbohydrate beverages consumed during long-term exercise have been shown to
attenuate fatigue and improve performance; however, the optimal timing of
ingestion is unclear. Therefore, the purpose of this study was to determine if
timing the carbohydrate ingestion (continual loading (CL), front-loading (FL),
and end-loading (EL)) during prolonged exercise influenced exercise performance
in competitive cyclists. Ten well-trained cyclists completed three separate
exercise bouts on a bicycle ergometer, each lasting 2 hours at an intensity of
~67% VO2 max, followed by a 15-minute "all out" time trial. In the CL trial, a
carbohydrate beverage was ingested throughout the trial. In the FL trial,
participants ingested a carbohydrate beverage during the first hour and a placebo
beverage during the second hour. In the EL trial, a carbohydrate beverage was
ingested during the second hour and a placebo during the first hour. The amount
of carbohydrate consumed (75 g) was the same among conditions. The order of
conditions was single-blinded, counterbalanced, and determined randomly.
Performance was measured by the work output during the 15-minute performance
ride. There were no differences in work output among the three conditions during
the final time trial. In the first hour of exercise, peak venous blood glucose
was highest in the FL condition. In the second hour, peak venous blood glucose
was highest in the EL condition. Following the time trial, venous blood glucose
levels were similar among CL, FL, and EL. Overall, the timing of carbohydrate
beverage consumption during prolonged moderate intensity cycling did not alter
cycling performance.
PMID- 27182309
TI - Influence of Body Composition, Oral Contraceptive Use, and Physical Activity on
Bone Mineral Density in Premenopausal Women.
AB - In premenopausal women, low bone density may reflect attainment of a lower peak
bone mass which can increase risk of osteoporosis after menopause. The purpose of
this study was to examine the relationship between total body, lumbar spine, and
proximal femur bone mineral density (BMD) and body composition and oral
contraceptive (OC) use in 18-30 year old women. Sixty-five healthy women, split
into groups of oral contraceptive users (OC, n = 36) and non oral contraceptive
users (Non-OC, n = 29), completed Baecke physical activity, calcium intake, and
menstrual history questionnaires. Total body, AP lumbar spine, and dual proximal
femur scans were performed using Dual Energy X-Ray Absorptiometry (DXA). Body
composition measures were obtained from the total body scan analysis. No
significant differences were found for BMD in OC users and non-users. Bone free
lean body mass (BFLBM) and weight were positively correlated to all BMD sites,
and fat mass was related to total body and L1-L4 spine BMD (p < 0.05). Stepwise
regression analyses determined that weight was a significant predictor for all
BMD sites (p < 0.05). When separating the two components of body weight, BFLBM
was a significant predictor for all BMD sites, and fat mass only predicted total
body BMD. In conclusion, this study indicates that weight and BFLBM are
significant contributors to BMD in young healthy premenopausal women, and OC use
did not influence the relationship between BMD and BFLBM.
PMID- 27182310
TI - Exercise Bouts at Three Different Intensities Fail to Potentiate Concentric
Power.
AB - Postactivation potentiation (PAP) has been hypothesized previously to occur
during voluntary, concentric actions. We tested the hypothesis that one of at
least three different intensities of conditioning exercises would evoke
potentiation of power during the concentric, bench press throw (BPT). Twelve men
(age = 22.9 +/- 2.7 years, bench press 1 repetition maximum (1RM) = 1.20 +/- 0.12
kg.kg-1 body weight) completed five isotonic conditioning presses at ~55, 70, and
86% 1RM, in counterbalanced order, and on separate days. Average and peak power
of the BPT using a load of 55% 1RM along with surface electromyography (EMG) of
the triceps brachii were collected prior to and 4-minutes following each
conditioning bout. Both average and peak power and EMG values (mean +/- SD),
respectively, were evaluated using two-way analyses of variance with repeated
measures. Significant main effect decreases (p < 0.05) in average (-18.6 +/- 4.9
W) and peak power (-37.4 +/- 9.9 W) occurred across the three different
intensities evaluated. No main effects or interactions were observed with the EMG
data. Contrary to the previously reported hypothesis, we were unable to
demonstrate that conditioning exercise, with three different intensities, can
evoke potentiation of power using a load equating to that which is optimum for
power production.
PMID- 27182311
TI - Modeling Oxygen Uptake during V1 Treadmill Roller Skiing.
AB - The use of regression equations to predict oxygen uptake in relation to speed,
grade, power output, and anthropometric characteristics is common in cardiac
rehabilitation and athlete fitness testing. Research has suggested that sport
specific testing improves the reliability of the test methodology and is
appropriate for the development of effective training programs. This study
focused on the development of a cross-country skiing specific predictor of
maximal oxygen uptake based on treadmill speed, treadmill grade, gender, and body
mass. This project simulated snow skiing on a large research treadmill using
roller skis. A small sample size (N = 34) warranted the use of bootstrapping
techniques and multiple regression analysis to develop a cross-country skiing
specific model of oxygen uptake. The stability of each bootstrapped sample was
confirmed via a cross-validation procedure. The equation of best resolve was: VO2
= -4.534 + 0.223(G) + 0.061(BM) + 0.139(TG) + 0.016(TS) in which G = Gender, BM =
Body Mass, TG = Treadmill Grade, TS = Treadmill Speed. The resultant model can be
used to design training programs, develop athlete fitness testing or research
protocols, and to predict maximal oxygen uptake when sophisticated metabolic
measurement equipment is unavailable.
PMID- 27182313
TI - Exercise Science Academic Programs and Research in Brazil.
AB - The International Journal of Exercise Science has enjoyed an association with
several students and professionals from Brazil. In this invited editorial,
professors from leading institutions in Brazil, Roberto Simao from Rio de Janeiro
Federal University, and Jonato Prestes from the Federal University of Sao Carlos,
share information regarding their programs relating to Exercise Science. They
have provided information on academic components such as entrance requirements,
progression through programs, and professional opportunities available to
students following completion; as well as details regarding funding available to
students to participate in research, collaboration, and specific research
interests.
PMID- 27182312
TI - The Influence of an Environmental Cue and Exercise on Food Consumption in College
Students.
AB - The purpose of this study was to determine the effect of an environmental cue
(bowl size) on food consumption and to examine the influence of exercise on that
relationship. This cross-sectional study included 286 college students attending
a large Midwestern University. Upon arrival at an ice cream social for university
students, participants were randomly given a small (8 oz) or large (12 oz) bowl
and a 4-page survey addressing exercise and eating habits. At the social,
participants were invited to dish themselves as much ice cream as they wanted and
the amount consumed was determined by weighing the bowl with ice cream before and
after consumption using a scale that measured to the nearest tenth of a gram.
Participants who were provided the 12 oz bowl scooped and consumed significantly
more ice cream than the participants provided the 8 oz bowl. Regular exercisers
consumed more ice cream than non-regular exercisers regardless of statistical
control for bowl size and body weight. Those participants who reported exercising
previously that day also consumed significantly more ice cream than those not
previously exercising; however, the difference was no longer significant after
controlling for bowl size and body weight. Environment cues significantly
influence food consumption and exercise may also influence subsequent food
consumption but further research is needed.
PMID- 27182314
TI - Academic and Research Programs in Exercise Science, South Korea.
AB - We appreciate the opportunity to review academic curriculum and current research
focus of Exercise Science programs in South Korea. The information of this paper
was collected by several different methods, including e-mail and phone
interviews, and a discussion with Korean professors who attended the 2009 ACSM
annual conference. It was agreed that exercise science programming in South Korea
has improved over the last 60 years since being implemented. One of
distinguishable achievement is that exercise science programs after the 1980's
has been expanded to several different directions. It does not only produce
physical education teachers but also attributes more to research, sports
medicine, sports, leisure and recreation. Therefore, it has produced various jobs
in exercise-related fields. Some of exercise science departments do not require
teacher preparation course work in their curriculum which allows students to
focus more on their specialty. Secondly, we believe we South Korea has caught up
with advanced countries in terms of research quality. Many Korean researchers
have recently published and presented their investigations in international
journals and conferences. The quality and quantity of these studies introduced to
international societies indicate that Exercise Science programs in South Korea is
continuing to develop and plays an important part in the world.
PMID- 27182315
TI - Physical Activity is Associated with Percent Body Fat and Body Composition but
not Body Mass Index in White and Black College Students.
AB - The objective of this study was to examine the association of self-reported
physical activity (PA) with body composition in 290 college students (49% male,
60% White) 18-25 years of age. Outcome measures included: self-reported PA levels
calculated in MET-hrs.wk-1 from the International Physical Activity Questionnaire
(IPAQ); body mass index (BMI; in kg.m-2); and body composition variables
estimated by dual-energy X-ray absorptiometry (DXA). Mean activity levels of the
sample were 39.8 +/- 23.8 MET-hrs.wk-1. Participants were divided into quartiles
of PA levels: >=0 to <24.0, >=24.0 to <34.0, >=34.0 to <51.25, and >=51.25 MET
hrs .wk-1 and body composition variables were compared by group. Chi-square
analyses revealed a significant difference for gender by PA quartile [chi2 (3,
N=290) = 32.42, p < 0.0001], and for gender by race by PA quartile [chi2 (9,
N=290) = 37.82, p < 0.0001]. MET-hrs.wk-1 was inversely correlated with %BF (r =
0.40, p < 0.0001) but not BMI (r = 0.05, p = 0.43). When comparing body
composition variables across PA quartiles, no significant differences were
observed for BMI; however, subjects in the highest quartile of PA had a lower
percent body fat (%BF) and fat mass (FM), and a higher lean-tissue mass (LTM)
compared to subjects in the other three groups. In this cohort of young adults,
participants in the highest activity group had a more fit body composition
profile (e.g., lower %BF, lower FM, and higher LTM) which was not reflected in
BMI and was independent of gender and race.
PMID- 27182316
TI - The Effects of Tempur Insoles on Ground Reaction Forces and Loading Rates in
Running.
AB - Runners often experience over-use injuries. Ground reaction force (GRFs) patterns
have been associated with these over-use injuries; however, it is not solely the
magnitude of GRFs, but also the rate at which they are applied that lead to lower
extremity injury. Many recreational runners will use over-the-counter insoles as
a method of treating or preventing injury. Therefore, the purpose of this study
was to examine the efficacy of two insoles on peak GRFs and loading rates. It was
hypothesized that no differences in peak GRFs or loading rates would exist with
the addition of two insoles during running. Twelve subjects (7 females; 5 males)
performed seven running trials in each of the following conditions: no insoles
(NORM), over-the-counter insoles (OTC) and memory-foam insoles (TEMPUR). GRFs
were recorded using a force plate (1440Hz; AMTI) while subjects ran across a 15
meter lab. A 2 x 3 (gender x insole) repeated measures ANOVA was used to compare
the effects of insoles on loading rate and ground reaction forces. Alpha level
was set at p <0.05. The current study found no statistical differences in loading
rate or GRFs between the insole and no insole conditions. Furthermore, there was
no gender effect in any condition. The findings of the current study suggest that
insoles do not attenuate shock or decrease loading rate. The lack of shock
attenuation associated with insoles suggests they do not protect the lower
extremity from injury.
PMID- 27182317
TI - Predictors of ADL Disability in Culturally Diverse Older Adults.
AB - The purpose of this study was to utilize the disablement pathway model to examine
the contribution of physical function, dyspnea, and pain to disability in
activities-of-daily-living (ADL) in culturally diverse older adults. Participants
were 51 older adults (age = 69.0 years +/- 9.7; 76.5% African-American, 51.0% <
high school education, 52.9% < $20,000 annual income) from an urban community
center and an independent living housing facility for seniors. Participants
completed the Functional Status Index (FSI), which provides ratings of need for
assistance (FSIA) and pain (FSIP) with ADL, the Continuous Scale Physical
Functional Performance 10-item Test (CS-PFP10), and an analog dyspnea scale.
Hierarchical multiple regression analyses revealed that facility, physical
function, pain, and dyspnea accounted for 50.5% of the variance in disability and
that pain (beta = .43, p < .01) and physical function (beta = -.39, p < .01) were
the only significant predictors. In the second model, facility, dyspnea, and pain
explained 27.6% of the variance in physical function, and facility (beta = .39, p
< .01) and dyspnea (beta = -.26, p = .05) were the only significant predictors.
Based on the disablement pathway model, physical functional improvement and pain
prevention and management should be targeted when designing culturally
appropriate strategies for delaying disability and maintaining independent life.
PMID- 27182318
TI - Balance Across Boundaries: Service-Learning from an International Perspective.
AB - Service-Learning provides students, faculty and community partners an opportunity
to go beyond classroom and laboratory instruction to the application of
theoretical principles, and the development of civic responsibility by forging
meaningful relationships with community partners. Service-learning also enables
faculty to broaden the cultural sensitivity and international exposure of
students by engaging students in directed, purposeful projects aimed at
supporting the goals of both the college and the international partners. We have
a unique opportunity in Exercise Science to make lasting changes and improve
global conditions relating to student learning and the physical inactivity
crisis. The purpose of this article is to define service-learning, describe
different types of service-learning projects, and discuss service-learning
opportunities in international settings.
PMID- 27182319
TI - Evaluation of Hand-to-Hand Bioelectrical Impedance Analysis for Estimating
Percent Body Fat in Young Adults.
AB - Purposes were to (a) to examine the validity and precision of a hand-to-hand
bioelectrical impedance analyzer (HBIA) and (b) to determine the effect of an
acute sub-maximal aerobic exercise bout on HBIA percent body fat (%BF) measures.
Forty-one young adults (21 women; 20 men) visited the laboratory for body
composition assessment on two separate occasions. During the control session, %BF
was assessed by HBIA twice, before and immediately after 30 min of rest, and once
by air-displacement plethysmography (ADP), using the BOD POD, which was
considered the criterion method for comparison. During the exercise session, HBIA
%BF measurements were determined prior-to and immediately after 30 minutes of
moderate-intensity treadmill exercise. HBIA significantly underestimated %BF in
the total sample (mean difference (MD) = 1.4 +/- 4.3%) and, when examined by
gender, in the women (MD = 2.4 +/- 4.1%). The standard errors of estimate (range
4.1-4.3%) also exceeded the recommended range for accuracy (<3.5%). Following
exercise, there was minimal, but statistically significant reduction in HBIA
measured %BF pre- to post-exercise for the total sample (19.6 +/- 6.0 vs. 19.3 +/
6.0%; p = 0.011). HBIA underestimated %BF when compared to ADP and the
individual prediction error exceeded current recommendations when assessing young
adults. In addition, performing sub-maximal aerobic exercise prior to the
assessment decreased the %BF estimate. When one factors the exercise-induced
alterations with the currently observed tendency for HBIA to underestimate %BF,
it is apparent that exercise may further reduce the accuracy of this method.
PMID- 27182320
TI - The Short-Term Effect of Whole Body Vibration Training on Sprint Start
Performance in Collegiate Athletes.
AB - Whole body vibration (WBV) is characterized by a vibratory stimulus emitted
throughout the body through the use of a vibrating platform on which the subject
stands. Studies have shown over 30% increases in maximal explosive strength such
as maximal speed biceps curl as well as increases in maximum dynamic force such
as maximal sitting bench pull as the result of vibration. The purpose of this
study was to investigate the effects of short term whole-body vibration on sprint
starts among collegiate track athletes. On the first day eleven subjects were
randomly assigned to either a non-vibration or vibration group for initial
testing. The vibration group used whole body vibration along with their normal
warm-up routine while the non-vibration group did not. Force measurements were
taken where the starting blocks were placed using a force plate embedded under
the track surface following the warm up. One week later the groups alternated.
The results were then compared between vibration and non-vibration groups for
individual athletes. The vibration protocol occurred for 60 s at 26 Hz with an
amplitude of 4mm on a Galileo 2000 platform. Repeated measures analysis of the
variance showed peak resultant force was 6% greater when the vibration platform
was utilized prior to the start (p=0.013). Further research is needed to
determine whether any meaningful differences exist in sprint start velocity as a
result of WBV. There were no observed differences in the 30m sprint times.
PMID- 27182321
TI - Determination of Force Coresponding to Maximal Lactate Steady State in Tethered
Swimming.
AB - The main aim of the present investigation was to verify if the aerobic capacity
(AC) measured in tethered swimming corresponds to the maximal lactate steady
state (MLSS) and its correlation with 30 min and 400m free style swimming. Twenty
five swimmers were submitted to an incremental tethered swimming test (ITS) with
an initial load of 20N and increments of 10N each 3min. After each stage of 3min,
the athletes had 30s of interval to blood sample collections that were used to
measure blood lactate concentrations ([La-]). The ACBI was determined by the
abrupt increase in [La-] versus force (F). The points obtained between [La-]
versus force (N) were adjusted by an exponential curve model to determine AC
corresponding to 3.5mmol.l-1 (AC3.5) and 4.0mmol.l-1 (AC4.0). After these
procedures, the swimmers performed maximal efforts of 30min and 400m in free
style swimming. We used the distance performed in 30min and the time performed in
400m to calculate the median velocities (i.e. V30 and V400) of these protocols.
After one week, in order to measure the MLSS, nine athletes performed three 30
min tethered swimming efforts with intensities of 90, 100, and 110% of ACBI. The
ANOVA one-way was used to compare the ACBI, AC3.5 and AC4.0. Correlations between
ACs, and between ACs and V30 and V400 (p<0.05) were determined using the
Pearson's correlation coefficient. The intensity corresponding to 100% of ACBI
was similar to the MLSS. It was observed significant correlations of the aerobic
capacities (i.e. ACBI, AC3.5 and AC4.0) with V30 (r>0.91) and V400 (r>0.63).
According to our results, it is possible to conclude that the ACBI corresponds to
the MLSS, and both the AC - individually determined - and the AC - determined
using fixed blood lactate concentrations of 3.5 and 4.0mmol.l-1 - can be used to
predict the mean velocity of 30min and 400m in free style swimming. In addition
to that, the tethered swimming system can be used for aerobic development in
places where official sized swimming pools are not available, such as
rehabilitation clinics and health clubs.
PMID- 27182323
TI - From the Editors: Assessing the International Journal of Exercise Science after
Two Years.
AB - The popularity of the International Journal of Exercise Science continues to
rise. As a student-focused journal, we are pleased to provide these future
professionals with opportunities to gain experience with the peer review process,
both through submitting their original work and as manuscript reviewers. Our
editorial process is changing as section editors with specialties within the
field of exercise science have agreed to take on these responsibilities. In
addition, we are proud to also publish conference proceedings from various
meetings held around the world. A list of individuals who have reviewed
manuscripts throughout the last year is included.
PMID- 27182322
TI - Multiple Models Can Concurrently Explain Fatigue During Human Performance.
AB - One of the most commonly and thoroughly studied paradigms of human performance is
fatigue. However, despite volumes of research there remains considerable
controversy among scientists regarding definitive conclusions about the specific
mechanism(s) contributing to fatigue. Within the literature there are three
primary yet distinctly different governing ideas of fatigue; the traditionally
referenced central model and peripheral model as well as the emerging central
governor model (CGM). The CGM has recently been advocated by a limited number of
researchers and is suggestive of a more integrative model of fatigue when
compared the traditional peripheral and central models. However, more work is
needed to determine the specific and perhaps synergistic roles of each paradigm
during exercise or sport activity. This article contains three components; (1) a
brief overview of the problems associated with defining fatigue, (2) a
description of the models governing interpretation of fatigue and, (3) a
presentation of multiple interpretations of selected data to demonstrate that
some results can be reasonably explained using multiple models of fatigue, often
concurrently. The purposes of this paper are to reveal that a) perhaps it is not
the results that suggest a certain paradigm of regulation, yet that it may be a
product of an a priori definition that is being employed and b) an integrative
model of central and peripheral fatigue may present a plausible explanation for
fatigue vs. adherence to the notion that each paradigm is mutually exclusive.
PMID- 27182324
TI - Independent Multiple Correlates of Post-Exercise Systolic Blood Pressure Recovery
in Healthy Adults.
AB - The aim of the present study was to evaluate the independent relationships of
systolic blood pressure recovery (SBPR) with age, sex, body mass index (BMI),
waist circumference (WC), resting heart rate (HR), physical activity, and
cigarette smoking in healthy adults. Subjects performed cycle ergometer exercise
at progressive incremental workloads until subjects reached 80% of their age
predicted maximum HR. Blood pressure (BP) was measured before exercise (after 10
and 15 minutes of rest), during exercise (at 2-minute intervals), immediately
after exercise (within the first minute) and subsequently at 2-minute intervals
until recovery to baseline. The ratio of third-minute SBP relative to first
minute post-exercise SBP was used as the SBPR variable. Our results indicated
independent correlations (p<0.05) between SBPR and age, resting HR, physical
activity and cigarette smoking (r =0.473; 0.192; -0.262; 0.102 respectively in
males and r =0.113; 0.315; -0.637; 0.104 respectively in females). BMI associated
positively (r =0.106; p<0.01) with SBPR in males but not in females (r =0.092),
while WC was predictive of SBPR in females (r =0.212; p<0.01) but not in males (r
=0.005). Age in men and physical activity in females were the strongest
predictors of SBPR. The present findings in which SBPR is associated with risk
factors of cardiovascular abnormalities strengthen the previously reported
significance of SBPR after exercise test as a prognostic tool for the evaluation
of cardiovascular abnormalities. Additionally, it may help clinicians to define
and interpret the mechanisms behind changes in postexercise SBP responses in
adults in future investigations.
PMID- 27182325
TI - Acute Exercise in Vietnam Veterans is Associated with Positive Subjective
Experiences.
AB - A person's subjective experience to their first exercise session is likely to
influence their long-term adherence to regular exercise. The aim of the current
pilot study therefore is to quantify the subjective exercise experience of
previously sedentary Vietnam War Veterans undertaking an initial bout of one of
three different exercise interventions. Thirty-two Vietnam Veterans presenting
with one or more chronic diseases/conditions participated in one of three acute
exercise bouts: 1) lower-body vibration, upper-body resistance and stretching
(WBVT); 2) lower-body vibration, upper-body resistance, aerobic exercise and
stretching (WBVT+CV); and 3) full-body resistance, aerobic exercise and
stretching (R+CV). Pre and post acute exercise measures of positive well being,
psychological distress and fatigue were assessed with the Subjective Exercise
Experiences Scale (SEES). A 3(conditions) * 2(time) repeated measures ANOVA with
post-hoc Tukey HSD was used to identify any significant differences in SEES
between exercise groups and pre and post-exercise. All interventions increased
positive well being, with WBVT and R+CV reporting improvements across all areas
of the SEES. The WBVT+CV group reported slightly increased psychological distress
and the greatest increase in fatigue. An acute bout of exercise increases
positive well-being in previously sedentary War Veterans however a longer
duration exercise bout containing multiple exercise modes may be too demanding
for this population. Exercise professionals should consider commencing with a
simple program to minimise psychological distress and fatigue as this may
negatively impact on exercise adherence.
PMID- 27182326
TI - A Comparison of Three Computer-based Methods Used to Determine EMG Signal
Amplitude.
AB - Electromyography is a commonly used method to determine relative effort and
neuromuscular drive to skeletal muscle. A limitation of the interpretation of EMG
within the literature is the many methods used to determine the intensity of
muscle activation. In the current study, ten healthy young adults performed a
level walking task while EMG was recorded from the tibialis anterior, medial
gastrocnemius and fibularis longus. The EMG data were rectified and smoothed
using the root mean squared (RMS). Peak RMS (pRMS), mean RMS (mRMS) and
integrated EMG (iEMG) were normalized to the peak value within the subject and
were used to determine EMG amplitude. A 3*3 repeated measures analysis of
variance was used to determine significant differences between the methods of
determining EMG amplitude. The findings of the current study show that pRMS
produced significantly lower EMG amplitudes than mRMS or iEMG values.
Furthermore, mRMS and iEMG produced nearly identical normalized EMG amplitudes.
Based on the findings of this study and the components of each measurement of EMG
amplitude, it is suggested to use mRMS to determine EMG amplitude.
PMID- 27182327
TI - Heat Stress and Injury Prevention Practices During Summer High School Football
Training in South Texas.
AB - The purpose was to describe practice conditions influencing the risk of heat
stress to athletes in summer football training in South Texas high schools, and
to compare these conditions to ACSM recommendations for heat stress/injury risk
reduction in this population. Thirty (N=30) high school summer football practices
were observed. Wet bulb globe temperature (WBGT) was measured before/after
practices and practices were observed for: duration/structure; athlete clothing;
and rest break frequency/duration/content. Practices averaged 125+/-31 min and
WBGT (pre- to post-practice) was 29.7+/-2.1 degrees C to 31.2+/-2.2 degrees C for
morning, and 31.2+/-1.6 degrees C to 28.9+/-1.2 degrees C for evening practices.
Most practices included contact (93%), and a majority were full-contact (53%).
Most athletes wore full pads (83%) and medium/dark colored clothing (73%).
Outside of scheduled breaks athletes removed helmets (63%), sat/knelt (63%), and
had access to fluid (90%). Athletic trainers were present at 93% of the
practices. A typical practice had 3 rest breaks, each lasting approximately 5
min. During breaks, athletes were provided fluid (93%), removed helmets (89%),
and sat/knelt (76%), but were rarely provided shade (2%). While none of the
practice sessions were conducted in conditions warranting the cancellation of
outside activity (WBGT>33.1 degrees C), the environmental data confirms that this
region presents athletes with a very high risk of heat stress/injury. While a
majority of the schools were taking many of the precautionary measures
recommended by ACSM, many of the guidelines were not being followed. Governing
bodies of high school athletics need to encourage compliance with recommendations
for the reduction of heat stress/injury in this population.
PMID- 27182328
TI - Effect of the E3 Fitness Grips on Running Economy.
AB - The purpose of this study was to evaluate the effect of the E3 Fitness Grips
(BioGrip, Inc., Rancho Cordova, CA) on running economy, as measured by oxygen
uptake (VO2), and heart rate (HR) during submaximal treadmill running. Eleven
subjects, seven female and four male, completed a submaximal running test on a
treadmill while VO2 and HR were measured continuously. After achieving steady
state at a speed and grade that elicited a VO2 equivalent to 70% VO2max, the
subjects ran for five minutes holding the E3 Fitness Grips (G) and five minutes
without the grips (NG). The tests were counterbalanced so half of the subjects
held the grips first and half completed the NG condition first. The difference in
VO2 and HR between the G and NG conditions were compared to determine the effect
on running economy. The mean VO2 (33.2+/-4.6 vs. 33.2+/-4.6 ml.kg-1.min-1,
p=0.96) and mean HR (172.0+/-8.9 vs. 172.8+/-8.9 beats.min-1, p=0.38) were not
significantly different between the G and NG conditions during submaximal
running. These findings suggest that the E3 Fitness Grips do not significantly
alter running economy, as measured by VO2, or HR during submaximal treadmill
running.
PMID- 27182329
TI - Asymmetry of Force Fluctuation During Low Intensity Isometric Contraction in Leg
Muscle.
AB - The purpose of this study was to investigate the asymmetry of force fluctuation
in the leg muscles during isometric knee extension and flexion. Twenty healthy
males (21+/-2 years) performed the maximal voluntary isometric contraction (MVC)
in knee extensor and flexor. On the basis of MVC measurement, the subjects
performed sustained isometric knee extension and flexion for 15s at levels
corresponding to 10%, 20% and 30% MVC. The main findings of this study were: (1)
a greater force fluctuation was found in the stronger MVC limb than in the weaker
MVC limb at 30% MVC; (2) no difference was found in the force fluctuation between
the stronger and weaker MVC limbs at 10% and 20% MVC; and (3) significant
positive correlations were found between the target force values and the force
fluctuation at each contraction intensity. These results suggest that: (1)
asymmetry of force fluctuation increases with load, (2) asymmetry of the force
fluctuation is observed at more than 30% MVC intensity; and (3) if the
contraction intensity is same relatively loads (% MVC), force fluctuation is
increase with absolute load (target force value). Force fluctuation influence the
functional ability of an individual in controlling finger or limb movements in
daily life. Further, asymmetry of force fluctuation might influence for more than
30% MVC of daily activities.
PMID- 27182330
TI - Concurrent Visual Feedback, Practice Organization, and Spatial Aiming Accuracy in
Rapid Movement Sequences.
AB - While the availability of visual feedback is a well-known factor influencing the
accuracy of rapid aiming movements, little is known about how vision might
interact with a contextual variable like practice organization. In the current
study, the interaction of concurrent visual feedback (CVF) and practice
organization on aiming movement accuracy was investigated in the dominant limb of
40 college-aged participants. Participants performed "triplets" of rapid aiming
movements with a lightweight lever in the sagittal plane involving short (20
degrees ), medium (40 degrees ), long (60 degrees ) distances and were randomly
assigned to one of four groups (n=10) in a 2 (Group: Blocked Practice, Random
Practice) * 2 (Vision: CVF, no CVF) factorial design. Participants performed 24
triplets in acquisition and 10 triplets of a novel pattern (15 degrees -45
degrees -15 degrees ) on transfer. Movement time was controlled by a metronome
set at 1.43 cycles per second resulting in a cycle time of approximately 700 ms
per movement. The constant error and overall error in distance were calculated
for each distance and analyzed with separate 2 (Group) * 2 (Vision) * 3
(Movement) ANOVAs with repeated measures on the last factor. When CVF was
available, contextual interference effects were shown by better accuracy for the
blocked practice groups during acquisition compared to the random practice group.
Without CVF, participants tended to overshoot the targets and contextual
interference effects were minimized during acquisition and on the first transfer
trial. Random practice resulted in better transfer performance compared to
blocked practice for both vision conditions when all transfer trials were
included in the analysis. The findings contributed to the current literature by
demonstrating the importance of practice context and visual feedback to aiming
accuracy.
PMID- 27182331
TI - Prevalence of Plagiarism in Manuscript Submissions and Solutions.
PMID- 27182332
TI - Recreational cyclists: The relationship between low back pain and training
characteristics.
AB - This study investigated the relationship between low back pain (LBP) and training
characteristics in recreational cyclists. Purposive sampling was used to recruit
sixty-six recreational cyclists from nine cycling clubs. Participants completed a
survey reporting training characteristics and LBP behaviour during a usual week
of cycling. This included percent of time spent cycling in three common riding
positions, cycling terrain, average cycling pace, number of gears, days per week
cycled and number of cycling events per year. Fifty percent reported LBP during
or after cycling or smoking and LBP. Cyclists who reported LBP cycled
significantly further in a usual week of cycling than cyclists who did not report
LBP (p=0.022). An odds ratio indicated that people who cycle 160 km or more per
week are 3.6 times as likely to experience LBP compared with people who cycle
less than 160 km per week (OR=3.6, CI=1.29-10.15). Preference for riding with the
hands on the brakes approached significance with respect to LBP reports (P=0.06).
No other significant relationship between LBP and training characteristics was
identified. In order to reduce the risk of LBP recreational cyclists who report
LBP should consider decreasing cycling distance to less than 160 km per week.
PMID- 27182333
TI - Objective and subjective assessments of normal walking pace, in comparison with
that recommended for moderate intensity physical activity.
AB - Despite its common application and widely reported health benefits, walking, in
relation to pace and intensity, is under-researched. Few studies have addressed
whether people normally walk at a pace that meets the public health
recommendations for moderate intensity physical activity (1.34-1.79 ms-1) and
there is no known research on individuals' perceptions of factors which influence
walking pace. This study aimed to objectively assess if participants were
reaching the pace required for moderate intensity physical activity during normal
walking. This was examined via a Global Positioning System (GPS) over a 1 km
outdoor walk and a timed 150 m trial. In both tests participants (n=10, 3 men, 7
women, mean age 54+/-8 y) were instructed to walk at their normal pace. Through
short interviews, the study also investigated the factors that participants'
thought influenced their pace. All participants successfully walked at a pace
considered as moderate intensity (>=1.34 ms-1). Height was significantly
correlated with normal walking pace. The interviews provided an in depth insight
into factors that affect walking pace; ground surface and footwear were mentioned
frequently and the influence of the weather provided conflicting views, prompting
a need for further research in the area. The GPS device showed enormous potential
as a human locomotion measurement tool, enabling participants to walk
unobstructed and unobserved in an outdoor setting, making the results relevant to
real life situations.
PMID- 27182334
TI - Association of body surface area and body composition with heart structural
characteristics of female swimmers.
AB - In healthy nonathletic populations, some left ventricle (LV) parameters such as
LV mass (LVM) and LV end diastolic dimension (LVEDD) can be predicted by some of
body size parameters such as body surface area (BSA), fat-free mass (FFM), and
height (H). These body size parameters use to remove covariate influence of body
size from cardiac dimension variables and allow comparisons to be made between
individuals and groups of different body size. Endurance exercise has been
associated with changes in LV size and body composition of athletes. The aim of
this study was to evaluate in 30 trained female swimmers (12-17 y) possible
correlations between BSA, FFM, fat mass (FM) determined by bioelectrical
impedance analyzer(BIA); and a range of cardiac dimensions derived by
echocardiography. Univariate correlations were studied between left ventricular
and body size variables. Stepwise multiple linear regression was performed to
determine the best determinants of LV variables. LVM and LVEDD had a significant
relation with FFM (P<0.001) and BSA (p<0.05). Left ventricle posterior wall
thickness (PWT) had a significant relation with BSA (p<0.001) and FFM (p<0.005).
No relation was found between heart's structural variable (LVM, LVEDD, LVESD and
PWT) and FM. Using a multivariate regression analysis, FFM was the only
independent predictor of both LVM (R2=0.541, P<0.001) and LVEDD (R2=0.189,
P<0.05). These results suggest that Correction of LVM and LVEDD by FFM in
athletes may be more appropriate than other measures of body size for indexing
absolute amounts of LV dimension. Yet further researches in other athletes are
required to confirm these findings.
PMID- 27182335
TI - Monitoring Training Load in Indian Male Swimmers.
AB - The present study was initiated to monitor the training load with the magnitude
of impact on the hormone concentrations such as testosterone, cortisol and T/C
(Testosterone/Cortisol) ratio during the three phases of training (i.e.
preparatory, pre-competitive, and competitive phases) in Indian male swimmers
preparing for the 2010 Commonwealth Games. Blood samples were collected at the
end of each training phase and hormone concentration was determined by ELISA. Our
results reveal that testosterone concentration and the T/C ratio significantly
decreased and the cortisol concentration increased in the subsequent periodized
cycle. Change in hormone concentration was associated with the intensity and
duration of individual exercise sessions. The greatest performance enhancement
was realized with the lowest plasma cortisol, highest testosterone, and a high
T/C ratio. Monitoring of these hormones also have implications for identifying
and preventing overreaching in swimmers.
PMID- 27182336
TI - Perceived Muscle Soreness in Recreational Female Runners.
AB - : The purpose of this study was to determine if rating of perceived exertion
correlated with perceived muscle soreness during delayed onset muscle soreness
(DOMS) in female runners. This study examined the pre and post running economy
measures and perceived muscle soreness before and after a 30-min downhill run
(DHR) at -15% grade and 70% of the subjects predetermined maximum oxygen uptake
(VO2 peak). Six female recreational runners (mean age = 24.5) performed level
running at 65%, 75%, and 85% of their VO2 peak prior to DHR (baseline economy
runs), as well as, immediately following and 4 successive days after the DHR.
RESULTS: Subjective response related to perceived muscle soreness increased
significantly from a mean of 2 (pre DHR) to 62 (2 days post DHR) on a scale of 1
100. Creatine kinase levels and oxygen consumption increased post DHR compared to
pre DHR. Rating of perceived exertion did not change between the economy runs
performed prior to or at any point after the DHR. CONCLUSION: Perceived muscle
soreness is a better tool than the RPE scale to monitor exercise intensity for
recreational female runners during periods of DOMS and running economy is
adversely affected by DOMS.
PMID- 27182337
TI - Does Standing on a Cycle-ergometer, Towards the Conclusion of a Graded Exercise
Test, Yield Cardiorespiratory Values Equivalent to Treadmill Testing?
AB - Graded exercise testing (GXT), per a cycle-ergometer (CE), offers safety and
monitoring advantages over treadmill (TM) GXT. Unfortunately, CE-VO2max and some
other cardiorespiratory (CR) variables are frequently lower than TM-GXT values.
It has been difficult to compare TM and CE-GXT values. However, it was
hypothesized that standing towards the conclusion of the CE-GXT (Stand-CE) might
increase CE values to those equal to TM-GXT. If Stand-CE and TM-GXT CR values
were equal, Stand-CE-GXT could become the method of choice for GXT for the
general population. The purpose of this investigation was to investigate the
effect of Stand-CE on CR variables. An intentionally diverse sample (N = 34, 24
males and 10 females, aged 18-54 y, with VO2max values 25-76 ml/kg/min)
representing the "apparently healthy" general population participated. Volunteers
completed two GXT trials, one per TM (Bruce protocol) and the other per a MET-TM
matched CE-GXT where initially seated participants stood and pedaled after their
respiratory exchange ratio (RER) reached 1.0. Eighteen participants underwent a
third MET-TM-matched trial where they remained seated throughout GXT (Sit-CE).
Trials were counter-balanced with at least 48 h between GXT. There were
significant statistical differences (p < 0.05) between TM and Stand-CE per
matched-samples T-test (N = 34) on the following variables: VEmax (TM = 115 +/-
24.4 l/min, Stand-CE = 99.4 +/- 28.1), VCO2max (TM = 4.26 +/- 0.9 l/min, Stand-CE
= 3.56 +/- 0.84), VO2max (TM = 44.9 +/- 9.1 ml/kg/min, Stand-CE = 39.3 +/- 9.0),
METSmax (TM = 12.8 +/- 2.6 METS, Stand-CE = 11.2 +/- 2.5), and HRmax (TM = 175 +/
13 bpm, Stand-CE = 166 +/- 12). One-way repeated measures ANOVA (N = 18)
demonstrated no statistical differences among all trials: VEmax (TM = 112.8 +/-
25.3 l/min, Stand-CE = 102.3 +/- 25.2, Sit-CE = 107.3 +/- 33.1), VCO2max (TM =
4.17 +/- 0.99 l/min, Stand-CE = 3.62 +/- 0.80, Sit-CE = 3.55 +/- 0.83), VO2max
(TM = 47.1 +/- 9.8 ml/kg/min, Stand-CE = 42.0 +/- 9.0, Sit-CE = 43.3 +/- 8.9),
METSmax (TM = 13.5 +/- 2.8 METS, Stand-CE = 12.0 +/- 2.6, Sit-CE = 12.4 +/- 2.5),
and HRmax (TM = 176 +/- 13 bpm, Stand-CE = 171 +/- 12, Sit-CE = 173 +/- 11).
Results of this investigation suggest that TM-GXT CR values are larger than Stand
CE, and Stand-CE values are not different from Sit-CE. Future studies will test
validity of these findings per gender, aerobic training status, in populations
that are highly skilled with TM and CE (tri-athletes), children, the elderly, and
diseased populations.
PMID- 27182338
TI - Does a Physical Education Bout Alter Percent Body Fat and the Adiposity Health
Risk Classification When Using Leg-to-leg Bioelectrical Impedance Analysis in
Girls?
AB - The purposes of this investigation were to determine: 1) whether a structured in
school physical education exercise bout altered the leg-to-leg bioelectrical
impedance analysis (LBIA) determined percent body fat (%BF) value; and 2) whether
the potential exercise-induced %BF magnitude of change altered the health risk
classification of the child. Seventy-six girls (age: 12.2+/-1.0 yr; height:
153.9+/-7.5 cm; body mass: 51.9+/- 15.5 kg; BMI: 21.7+/-5.4 kg/m2) participated
in this investigation. LBIA measured %BF values were obtained immediately before
and within 5-min after completing a structured, in-school, physical education
class. Significant reductions (p < 0.001) in mean %BF (25.0+/-10.2 vs. 24.4+/
10.3 %) were observed for the group following the physical education class. For
the majority of the girls (88%), the %BF alteration was less than +/- 2.0 %BF.
More specifically, the %BF magnitude of change was +/-1.0 %BF in 64.5% of the
girls, between 1.1 and 2.0 %BF in 23.7% of the girls, and by more than 2.0 %BF in
11.8% of the girls. Regardless of the %BF magnitude of change, all girls remained
in the same adiposity classification category (healthy vs. unhealthy body fat)
following exercise. Adhering to the pre-test exercise guideline appears
unnecessary when using LBIA to categorize the health risk of an adolescent girl.
PMID- 27182339
TI - Longitudinal, Diet-induced Weight Gain is Associated with Increased Blood
Monocytes and Reduced TLR4 Expression.
AB - Excessive weight gain increases systemic inflammation resulting in increased
disease risk. Toll-like receptor 4 (TLR4) reportedly mediates increases in
inflammation; however, its role in obesity-induced inflammation has not been
fully evaluated. The purpose of this study was to determine the longitudinal
effect of diet-induced weight gain on blood monocyte concentration and cell
surface TLR4 expression. Male CD-1 mice were randomly assigned to high-fat (HF, n
= 12) or low-fat (LF, n = 13) groups. Non-lethal, saphenous vein blood samples
were collected at 0, 4, 8 and 12 weeks of treatment. Three-color flow cytometry
was used to measure monocyte (CD11b+/CD14+) concentration and TLR4 cell-surface
expression. Data were analyzed with a repeated measures ANOVA; significance was
set at P<0.05. Body weight at week 12 was 21% greater in HF than LF (P<0.05). At
week 12 HF had 155% more monocytes (P<0.05) with 24% less TLR4 than LF; Monocyte
concentration and body weight at week 12 was negatively correlated with TLR4 gMFI
(P<0.05). The observed effects of high-fat feeding on blood monocytes are
consistent with a phenotype, which may be associated with premature morbidity.
The observed monocyte responses may be associated with immune dysfunction and
diminished response to infection.
PMID- 27182340
TI - Resistance and Aerobic Training Sequence Effects on Energy Consumption in
Females.
AB - The objective of this study was to investigate the effect of sequence of
resistance and aerobic training on energy consumption on sedentary overweight
females. Participants were 15 sedentary overweight females (age = 28.6 +/-12 yrs;
BMI = 28.1+/-7.8) Subjects did a counterbalanced intervention: resistance
training (circuit training) first (intervention RT) or aerobic exercise first
(intervention AT), while oxygen consumption was continuously measured for 80 min.
Subjects performed a warm-up on the treadmill at 40% of their heart rate reserve
for 5 minutes, then for 30 minutes did continuous walking or jogging on the
treadmill at ~67% of their predicted maximum heart rate reserve. Immediately
following treadmill exercise, subjects performed 25 minutes of resistance
exercises including 2 sets of 12 reps at 67% of their 1RM of each exercise. Cool
down consisted of five minutes on the treadmill with a gradual decline in speed.
The energy used during the AT intervention was 431.2 +/- 90.9 kcals compared to
the RT intervention 398.3 +/- 93.9 kcals. The mean difference was significant, (p
=0.003). Based on the results of this study, aerobic exercise preceding
resistance training has a greater impact on total energy consumption in females
versus the reverse order.
PMID- 27182341
TI - The Impact of a Pilot Community Intervention on Health-Related Fitness Measures
in Overweight Children.
AB - The purpose of this study was to pilot a 5-week community-based intervention on
improving measures of health-related fitness in overweight children. Data were
obtained from 8 overweight and obese 8- to 14-year-old children. Measurements
included muscular fitness (curl-ups and modified pull-ups), aerobic capacity (20
meter progressive aerobic cardiovascular endurance run [PACER] test), body
composition (triceps and calf skinfolds), body mass index (BMI), and flexibility
(back saver sit-and-reach). A significant reduction in BMI was observed at post
test compared to baseline (P=0.03). There was a significant decrease in body fat
at post-test for boys (P=0.013).
PMID- 27182342
TI - A Phenomenological Investigation of Women's Experiences With Personal Training.
AB - Personal training is a rapidly growing industry in a country that is in dire need
of physical fitness and health improvements. The purpose of this phenomenological
study was to better understand women's experiences with personal training. To
address the research question, eight female participants ages 24 to 54 years were
interviewed using the following phenomenological question: "When you think about
your current experience with personal training what stands out for you?" The
interviews were conducted, transcribed, and qualitatively analyzed to identify
themes in participants' responses. The ground that emerged was positive
experience within which existed four figural themes: Relationships, trainer
qualities, outcomes, and motivation. Results reveal new insight for professionals
in the fitness industry and provide future directions for research in kinesiology
and exercise psychology.
PMID- 27182343
TI - Exercise Science Academic Programs and Research in the Philippines.
AB - In this invited editorial, professors from leading institutions in the
Philippines, share information regarding their programs relating to Exercise
Science. They have provided information on academic components such as entrance
requirements, progression through programs, and professional opportunities
available to students following completion; as well as details regarding funding
available to students to participate in research, collaboration, and specific
research interests.
PMID- 27182344
TI - Type of Encouragement Influences Peak Muscle Force in College-Age Women.
AB - To investigate if the type of encouragement during a maximal voluntary isometric
contraction (MVIC) had an influence on peak muscle force in strength-trained
versus untrained collegiate women. Eleven strength-trained (20+/-1 y) and twelve
untrained (21+/-1 y) women participated in three, five-second MVICs of seated
knee extension. The three trials consisted of verbal only encouragement, verbal +
visual encouragement, and verbal + pain avoidance encouragement. In all three
trials, the participants received the same verbal encouragement. Trials were
counterbalanced to minimize any possible order effects. A repeated measure ANOVA
was used to analyze data. Any significant main effects were further analyzed
using Tukey post hoc tests. There was no interaction between training status and
encouragement type for all subjects F(2,42) = 1.5474, p = 0.22). For all
subjects, a main effect for encouragement type was detected (F(2,42) = 6.616, p
<0.05) with significant differences found in MVIC between the verbal
encouragement and verbal + visual feedback (99.5+/-29 ft-lbs and 115.6+/-29 ft
lbs, p<0.01). No significant differences were found between the verbal only and
the addition of pain avoidance (99.5+/-29 ft-lbs and 109.9+/-26.3 ft-lbs,
p=0.069) or the visual and pain avoidance trials (115.6+/-29 ft-lbs and 109.9+/
26 ft-lbs, p=0.43). In this study, training status did not significantly
influence the response to type of encouragement. Individuals produced the most
force during a MVIC with verbal and visual encouragement. The incorporation of
verbal encouragement and visual feedback is an important factor in eliciting peak
force in college-aged women. This may have important implications in training and
rehabilitation models that incorporate resistive loading of the skeletal muscles.
PMID- 27182345
TI - Riding position and lumbar spine angle in recreational cyclists: A pilot study.
AB - This pilot study investigated the reliability of an inclinometer to assess lumbar
spine angle in three different cycling positions, and explored the relationship
between lumbar spine angle and riding position, anthropometry, bike measures and
low back pain (LBP). Cyclists were recruited from two cycle clubs. Anthropometric
variables and bike setup were measured before participants' bikes were secured in
a wind trainer. Cyclists then adopted three positions for riding, upright on the
handlebars, on the brake levers and on the drops, according to a random
allocation. The angle of the lumbar spine was measured; using an inclinometer, at
zero minutes and after cyclists had completed 10 minutes of cycling. Intra
measurer reliability for inclinometer use to measure lumbar spine angle in each
position was excellent (ICC=0.97). The angle of the lumbar spine changed
significantly over 10 minutes in the brake position (p=0.004). Lumbar spine angle
at 10 minutes was significantly different between the brake and drop positions
(p=0.018, p<0.05), and between upright and drop positions (p=0.012, p<0.05).
Lumbar spine angle was not related to anthropometric measures. The change in
lumbar spine angle varied from one degree of extension to 12 degrees of flexion,
with increased flexion occurring in 95% of trials. An inclinometer has excellent
intra-measurer reliability to measure lumbar spine angle in cycling positions.
Future research with a sample of 72 or more participants is required to determine
if there is a significant relationship between LBP and lumbar spine angle in
different cycling positions.
PMID- 27182346
TI - An investigation of lower-extremity functional asymmetry for non-preferred able
bodied walking speeds.
AB - Functional asymmetry is an idea that is often used to explain documented
bilateral asymmetries during able-bodied gait. Within this context, this idea
suggests that the non-dominant and dominant legs, considered as whole entities,
contribute asymmetrically to support and propulsion during walking. The degree of
functional asymmetry may depend upon walking speed. The purpose of this study was
to better understand the potential relationship between functional asymmetry and
walking speed. Bilateral ground reaction forces (GRF) were measured for 20
healthy subjects who walked at nine different speeds: preferred, +10%, +20%,
+30%, +40, -10%, -20%, -30%, and -40%. Contribution to support was determined to
be the support impulse: the time integral of the vertical GRF during stance.
Contribution to propulsion was determined to be the propulsion impulse: the time
integral of the anterior-posterior GRF, while this force was directed forward.
Repeated measures ANOVA (alpha = 0.05) revealed leg * speed interactions for
normalized support (p = 0.001) and propulsion (p = 0.001) impulse, indicating
that speed does affect the degree of functional asymmetry during gait. Post hoc
comparisons (alpha = 0.05) showed that support impulse was approximately 2%
greater for the dominant leg, relative to the non-dominant leg, for the -10%,
20%, and -40% speeds. Propulsion impulse was 12% greater for the dominant leg
than for the non-dominant leg at the +20% speed. Speed does appear to affect the
magnitude of bilateral asymmetry during walking, however, only the bilateral
difference for propulsion impulse at one fast speed (+20%) was supportive of the
functional asymmetry idea.
PMID- 27182347
TI - The Association between Soft Drink Consumption and Body Fat in Females Age 16 to
24.
AB - American soft drink consumption (SDC) has increased since the 1960's surpassing
all other kinds of beverage consumption. In recent years, the scientific
literature has suggested that SDC has been linked to the rising epidemic of
obesity in children and adolescents. However, there is lack of information in
scientific literature on the effects of SDC on body fat (BF%) in young females.
The purpose of this study was to determine the association between SDC and BF% in
young women, ages 16-24 years. Sixty-six females were asked to complete a 3-day
food record, food frequency questionnaire, and an assessment BF% by dual-energy x
ray absorptiometry (DXA). Overall, participants consumed 29.44 +/- 44.68 fl
oz/day of soft drinks. There were significant positive associations between SDC
and BF% (r = 0.24, p < 0.05). Due to the large standard deviation in SDC,
secondary analysis compared moderate SDC (MSDC: < 32 fl oz/day) to high SDC
(HSDC: >= 32 fl oz/day). Results suggested HSCD had significantly greater BF%
than MSDC. Therefore, limiting the consumption of soft drinks is suggested in
order to maintain a healthy BF%.
PMID- 27182348
TI - Racial/Ethnic Differences in Bone Mineral Density of Young Adults.
AB - An estimated 1.5 million people suffer a bone disease-related fracture every
year. Most work investigating bone mineral density (BMD) focuses on post
menopausal females but a report from the Surgeon General in 2004 stated that of
particular concern are men, racial and ethnic minorities, poor individuals,
individuals with disabilities, and individuals living in rural areas. The purpose
of this study was to examine the racial/ethnic differences in bone mineral
density of young adults and to investigate any correlations with variables
suggested to influence BMD. BMD was assessed at a younger age than most studies
based on the assumption that osteoporosis is a pediatric disorder that manifests
in old age. Whole-body BMD, percent body fat (BF), fat mass (FM), and lean mass
(LM) of 103 college-aged Blacks, Whites, and Hispanics (18 - 34 years of age)
were measured using a Lunar Prodigy Dual Energy X-ray Absorptiometry (DEXA).
Blacks and Whites were taller than Hispanics. Blacks had higher BMD than Whites
and Hispanics. Blacks and Whites had higher t-scores than Hispanics. Weight and
LM correlated with BMD for all three groups. Height correlated with BMD for
Blacks only. FM correlated with BMD for Hispanics only. In conclusion, BMD is
suggested to be higher in Blacks than Whites and Hispanics. LM is suggested to be
an important component of bone health. It is important to stress resistance
training for building and maintaining bone health throughout life.
PMID- 27182349
TI - Challenging the Accuracy of a Single-test Lactate Threshold Protocol in
Collegiate Rowers.
AB - : Elite rowers use lactate threshold (LT) estimates as a basis for training
intensity in order to achieve the greatest training volume. For convenience, LT
is usually determined in a maximal LT/VO2max test. This simultaneous test is
problematic because it requires a large power increment, which may not give the
most accurate LT. PURPOSE: To challenge the validity of a simultaneous LT/VO2max
test to estimate LT in rowers. METHODS: Collegiate rowers (n=20, 16F and 4M, age
19.3+/-1.3 years, height 171.5+/-7.1 cm, weight 70+/-14 kg, VO2max 44.6+/-5.5
ml*kg-1*min-1) performed two LT tests. Participants completed an incremental
VO2max test with 3-minute intervals increasing by 30W and 40W for women and men
respectively. The second test consisted of five 6-minute stages of 10W increments
starting from 20W below the estimated LT. For both tests, blood lactate was
measured at the end of each stage and LT was determined by the lactate deflection
point. The difference in intensity between the first deflection point and the LT
was then calculated. RESULTS: Average difference between LT1 and LT2 was 1.15 +/-
13.4W, and were not statistically different (p=0.204). Average absolute
difference was 9.95 +/- 8.80W, and was different from the average (p=0.022).
CONCLUSION: A second incremental test should be performed for the most precise
determination of LT. This is particularly important to rowers who rely on LT to
determine training intensities.
PMID- 27182351
TI - Evaluation of Metabolic Stress between Jumping at Different Cadences on the Digi
Jump Machine.
AB - The American College of Sports Medicine (ACSM) recommends that healthy adults
achieve a minimum of thirty minutes of moderate intensity aerobic exercise five
days per week. While cycling, walking, and jogging are commonly observed methods
of achieving these recommendations, another option may be repetitive jumping. The
purpose of this study was to examine the metabolic responses between repetitive
jumping at a cadence of 120 jumps per minute (JPMs) vs. 100 JPMs when utilizing
the Digi-Jump machine. Twenty-eight subjects completed two jumping trials, one at
120 JPMs and one at 100 JPMs. Subjects jumped until volitional exhaustion, or for
a maximum of fifteen minutes. Oxygen uptake (VO2), heart rate (HR), respiratory
exchange ratio (RER), and rating of perceived exertion (RPE) were assessed each
minute of each exercise trial. RPE was differentiated, in that subjects reported
perceived exertion of their total body, their upper-leg, and their lower leg.
Results of this study indicated that there was no significant difference between
the two trials for VO2, HR, or total body RPE. Differences were reported between
trials for peak and average RER, with the 120 JPM trial eliciting a lower RER for
both (peak: 1.08 +/- .087 vs. 1.17 +/- .1 p=.000; average: .99 +/- .076 vs. 1.04
+/- .098 p=.002), peak upper leg RPE (120: 15.29 +/- 3.89 vs. 100: 16.75 +/- 2.52
p=.022), and average lower leg RPE (120: 15.04 +/- 2.55 vs. 100: 13.94 +/- 2.02
p=.019). Also, there was a significant difference in exercise duration between
the trials, with subjects able to exercise longer during the 120 JPM trial (12.4
+/- 3.42 mins vs. 9.68 +/- 4.31 mins p=.000). These data indicate that while the
physiological stress may not be different between the two trials as indicated by
VO2 and HR, the 120 JPM trial appears less strenuous as evidenced by RER values
and by subjects' ability to exercise longer at that cadence.
PMID- 27182350
TI - Aerobic And Anaerobic Changes In Collegiate Male Runners Across A Cross-County
Season.
AB - The purpose of this study was to assess the physiological characteristics of
trained NCAA Division III male runners across a competitive season of cross
country. Eight male distance runners (age 20.6+/-1.4 y) were administered a
battery of aerobic and anaerobic laboratory tests at the beginning and end of an
8-10 week racing season. Aerobic testing included maximal oxygen uptake (VO2max),
running economy (RE), ventilatory threshold (VT) and the onset of blood lactate
accumulation (OBLA). Anaerobic testing consisted of the vertical jump (VJ) and
the Wingate test. Final testing revealed anaerobic Wingate peak power
significantly declined (11.8+/-1.1 to 10.7+/-1.0 W.kg-1) (P = 0.006), while no
significant changes were seen in VJ or any aerobic parameters (P > 0.05). These
results indicate that a competitive cross-country season of training and racing
diminished anaerobic peak power and failed to elicit quantifiable aerobic
adaptations in previously trained collegiate distance runners.
PMID- 27182352
TI - The International Journal of Exercise Science: 2010 Year in Review.
PMID- 27182353
TI - Analysis of Heel Raise Exercise with Three Foot Positions.
AB - Prior research revealed activation differences between the medial (MG) and
lateral (LG) gastrocnemius when performing heel raise exercise with neutral (N),
internally-rotated (IR) and externally-rotated (ER) foot positions. Studying
underlying biomechanics may help explain activation differences. The purpose was
to compare ankle (AN), knee (KN), and hip (HI) contributions (initial joint
angles) to attaining each initial foot position, ankle flexion-extension range of
motion, ankle mechanical energy expenditure, repetition time, and percent cycle
concentric-eccentric transition between N, IR, and ER foot positions. Twenty
healthy subjects (11 male, 9 female) with resistance training experience
performed twelve repetitions of free-weight (135% body mass) heel raise exercise
using N, IR and ER foot positions in a counterbalanced order. Forefeet were
elevated .05m onto separate forceplates. Electromagnetic sensors secured along
dominant lower limb recorded kinematic data. Dependent variables were averaged
across five selected repetitions. No significant differences existed for
repetition time (P=.209), percent cycle concentric-eccentric transition (P=.668),
ankle mechanical energy expenditure (P=.590), and ankle flexion-extension range
of motion (P=.129) between foot positions. Post hoc comparison of a significant
joint by foot position interaction (P<.001) demonstrated IR>N>ER for the initial
HI and KN angles, whereas for AN, ER>IR and N. Between joints: AN 0.05). In conclusion,
both interventions appear to improve function and knee stability among persons
with symptomatic knee OA. As KBA has never been studied as an independent
treatment program, our results indicate it is a promising stand-alone
intervention worthy of further study.
PMID- 27182360
TI - A Description of Variability of Pacing in Marathon Distance Running.
AB - The purpose of this study was twofold: 1) to describe variability of pacing
during a marathon and 2) to determine if there is a relationship between
variability of pacing and marathon performance. Publically available personal
global positioning system profiles from two marathons (Race 1 n = 116, Race 2 n =
169) were downloaded (http://connect.garmin.com) for analysis. The coefficient of
variation of velocity (Velcov) was calculated for each profile. Each profile was
categorized as finishing in under 3.9 hours, between 3.9 and 4.6 hours, or longer
than 4.6 hours. Linear and quadratic lines of best fit were computed to describe
the relationship between marathon finish time and Velcov. A 2 (Race) * 3 (bin)
analysis of variance (ANOVA) was used to compare the dependent variable (Velcov)
between races and the marathon bin finish times. Velcov was not influenced by the
interaction of finish time bin and Race (p>0.05) and was not different between
races (Race 1: 16.6 +/- 6.4%, Race 2: 16.8 +/- 6.6%, p>0.05). Velcov was
different between finish time categories (p<0.05) for each race such that Velcov
was lower for faster finish times. Using combined data from both races, linear
(marathon finish time = marathon finish time = 0.09Velcov + 2.9, R^2 = 0.46) and
quadratic (marathon finish time = -0.0006 Velcov 2 + 0.11 Velcov + 2.7, R^2 =
0.46) lines of best fit were significant (p<0.05). Slower marathon finishers had
greater variability of pace compared to faster marathoner finishers.
PMID- 27182361
TI - Does the Friel Anaerobic Threshold Test Accurately Detect Heart Rate Deflection
in Trained Cyclists?
AB - : The Friel Anaerobic Threshold Test (FATT) has been used to determine anaerobic
threshold (AT). The FATT suggests AT occurs near the heart rate deflection point
(HRDP) at a rating of perceived exertion (RPE) of 17. PURPOSE: The primary
purpose of this study was to determine 1) whether the HRDP could be determined
using the FATT, 2) examine differences between HRVT and HR that coincided Borg's
rating of perceived exertion (RPE) of 17, and 3) if riding position (hoods or
aero) would influence performance. METHODS: Fourteen male cyclists (30.4 +/-
7.41years of age; 151.8 +/- 60.4 cycled miles/week) participated in the study.
Each subject performed the FATT on two occasions within one week. RESULTS: The
findings of this study suggest that the FATT can determine HRDP in trained
cyclists while riding in the hoods position but not the aero position. No
significant difference was found between the hoods and aero position for HRVT as
measured by the metabolic cart. Our data suggest that HR at an RPE of 15 more
accurately reflects the HRVT than the RPE of 17. A low, non-significant
correlation was found for both the hoods and aero (0.41 and 0.44, respectively; p
> 0.20) for the HR at RPE of 17. CONCLUSION: The findings of this study suggest
that the FATT can determine HRDP in trained cyclists. However, HRDP was
identified in the cyclists preferred riding position. When performing the FATT,
HRVT at an RPE of 15 should be used to estimate VT over the suggested RPE of 17.
PMID- 27182362
TI - Presence of Observers Increases One Repetition Maximum in College-age Males and
Females.
AB - PURPOSE: To determine if the presence of observers affects muscular strength
performance in college-age males and females. METHODS: Twenty-five women and 24
men participated in the study. Two counter-balanced trials were performed in
which participants completed 1-RM tests in bench press and leg press. During one
trial, two members of the opposite gender observed the 1-RM tests. During the
other trial there were no observers, other than the tester. RESULTS: 1-RM for
females increased 3.4+/-0.8 lbs on bench press (p<.001) and increased 9.2+/-3.8
lbs on leg press (p=.025) when observers were present. 1-RM for males increased
4.2+/-1.1 lbs on bench press (p=.001) and increased 18.8+/-5.2 lbs on leg press
(p=.002) with observers present. There was no difference in the percent increase
in 1-RM between males and females for either leg press (p=.71) or bench press
(p=.08). CONCLUSION: College-age males and females lifted more weight during a 1
RM test when observers were present.
PMID- 27182364
TI - Case Study on the Development of the International Journal of Exercise Science.
PMID- 27182363
TI - Lymphocyte Apoptosis in Smokers and Non-Smokers Following Different Intensity of
Exercises and Relation with Lactate.
AB - Purposes of this study were 1) to examine the exercise intensity where lymphocyte
apoptosis index (AI) is significantly increased in smokers and non-smokers, 2) to
find out whether AI is associated with level of lactate (L). Fourteen healthy
untrained smokers (<= 1 pack year, n=7) and non-smokers (n=7) aged 18 to 26 were
recruited. Each subject conducted three treadmill runs at different intensities
randomly. Running distance for all three runs was equivalent to 30 minute run at
70% VO2max. AI and L were analyzed at rest (Pre), immediately after (Post), and 1
h following (1 h post) each run. Data was analyzed using two way repeated
measures ANOVA. Smokers showed higher AI than non-smokers at Post in 60% (12.5+/
0.62% vs. 9.97+/-0.51, p<.008) and 70% VO2max running trials (17.53+/-0.57% vs.
15.6+/-0.41, p<.018). All L values at post showed significantly higher than Pre
and 1 h post, but there was no significant difference between smokers and non
smokers. The strong positive relationship between AI and L was detected (r=.739,
smokers vs. r=.793, non-smokers). Smokers tend to have higher AI than non-smokers
following runs at 60% and 70% VO2max, but not following a run at 80% VO2max. An
increase in AI following a run at 60% VO2max indicates that lymphocyte apoptosis
can be increased following moderate intensity exercise. Since L and AI at post
were increased in dose-dependent manner to exercise intensity, it is suggested
that an increase in lactate production during exercise might contribute to the
increase in lymphocyte apoptosis.
PMID- 27182365
TI - Outcomes of a Family Based Pediatric Obesity Program - Preliminary Results.
AB - Children that are classified as obese (body mass index (BMI) > 95th percentile
for age and sex, Centers for Disease Control and Prevention) have an increased
risk for metabolic and cardiovascular complications. Family based programs that
focus on physical activity (PA) and healthy eating are recommended for treatment
of pediatric obesity. The purpose of the current study is to determine the
outcomes of Building Healthy Families (BHF), a family-based pediatric weight loss
treatment program composed of nutrition, physical activity and behavioral
modification strategies. In addition, mediating variables that are associated
with weight loss in children, in order to enhance the retention and success of
this program will be identified. Twenty-two obese (>95th percentile BMI) children
(age: 9.94 +/- 1.58 yrs) volunteered to participate. Children and their parents
(20 moms, 20 dads, 68% obese; BMI > 30 kg.m-2) participated in weekly nutrition
education, family lifestyle PA, and one-on-one meetings with a behavioral
psychologist. Overall, child participants lost an average of 2.3 +/- 2.0 kg of
body mass in 12 weeks while parents lost 6.4 +/- 4.3 kg of their body mass. There
was a significant inverse association between percentage of program goals met and
weight loss (r = - 0.67, p < 0.05). Decreases in the child participants intake of
high fat, high calorie foods significantly predicted weight change (R2=0.98,
p<0.05). In conclusion, family based pediatric obesity programs may offer
significant benefits and lead to healthier lifestyles for obese children and
their parents.
PMID- 27182366
TI - Repeated Sprint Performance in Male and Female College Athletes Matched for
VO2max Relative to Fat Free Mass.
AB - The purpose of this study was to examine gender differences in repeated sprint
exercise (RSE) performance among male and female athletes matched for VO2max
relative to FFM (VO2max FFM). Thirty nine male and female college athletes
performed a graded exercise test for VO2max and hydrostatic weighing to determine
FFM. From the results, 11 pairs of males and females matched for VO2max FFM (mean
+/- SD; 58.3 +/- 4.3 and 58.9 +/- 4.6 ml.kg FFM-1.min-1; men and women,
respectively) were identified. On a separate day, matched participants performed
a RSE protocol that consisted of five 6-sec cycle sprints with 30-sec recovery
periods, followed by 5-min active recovery and a 30-sec all-out sprint. Repeated
6-sec sprint performance did not differ between men and women; both maintained
power output (PO) until sprint 4. POFFM (W.kg-1 FFM) did not differ between men
and women during the five sprints. During the 30-sec sprint, men achieved a lower
peak POFFM than women (11.7 +/- 1.5 vs 13.2 +/- 1.2); however, the decline in
POFFM over 30 sec was greater in women. VO2 (ml.kg FFM-1.min-1) was lower in men
during recovery (24.4 +/- 3.8 vs 28.7 +/- 5.7) and at the beginning (29.2 +/- 4.0
vs 34.7 +/- 4.9) and end (49.4 +/- 5.0 vs 52.3 +/- 4.0). of the 30-sec sprint.
These data indicate that men and women with similar aerobic capacities do not
respond differently to short repeated sprints but may differ in their ability to
recover and perform sprints of longer duration.
PMID- 27182367
TI - Do exergames allow children to achieve physical activity intensity commensurate
with national guidelines?
AB - The purpose of this study was to determine if two popular exergames, Wii FitTM
and EA Sports ActiveTM, both games for the Nintendo WiiTM console, help children
achieve intensity consistent with recommended physical activity guidelines.
Thirty children (19 males and 11 females, Mean age = 9.4 +/- 1.8 years)
participated in this study by playing each game during one research session.
During the session participants wore a heart rate monitor and accelerometer to
measure exercise intensity. Perceived exertion (RPE) was measured with the
children's run/walk OMNI scale. All three measures of exercise intensity (heart
rate, accelerometer counts, and RPE) found that the EA Sports ActiveTM game
session elicited higher exercise intensity. However, heart rate data found both
games to achieve moderate intensity (65-68% age-predicted HRmax). When using
heart rate as an indicator of exercise intensity it appears that both exergames
were of sufficient intensity to achieve physical activity guidelines. Future
studies should continue to investigate the utility of exergaming in helping
children to become more physically active.
PMID- 27182368
TI - Influence of Differences in Exercise-intensity and Kilograms/Set on Energy
Expenditure During and After Maximally Explosive Resistance Exercise.
AB - With resistance exercise, greater intensity typically elicits increased energy
expenditure, but heavier loads require that the lifter perform more sets of fewer
repetitions, which alters the kilograms lifted per set. Thus, the effect of
exercise-intensity on energy expenditure has yielded varying results, especially
with explosive resistance exercise. This study was designed to examine the effect
of exercise-intensity and kilograms/set on energy expenditure during explosive
resistance exercise. Ten resistance-trained men (22+/-3.6 years; 84+/-6.4 kg,
180+/-5.1 cm, and 13+/-3.8 %fat) performed squat and bench press protocols
once/week using different exercise-intensities including 48% (LIGHT-48), 60%
(MODERATE-60), and 72% of 1-repetition-maximum (1-RM) (HEAVY-72), plus a no
exercise protocol (CONTROL). To examine the effects of kilograms/set, an
additional protocol using 72% of 1-RM was performed (HEAVY-72MATCHED) with
kilograms/set matched with LIGHT-48 and MODERATE-60. LIGHT-48 was 4 sets of 10
repetitions (4*10); MODERATE-60 4*8; HEAVY-72 5*5; and HEAVY-72MATCHED 4*6.5.
Eccentric and concentric repetition speeds, ranges-of-motion, rest-intervals, and
total kilograms were identical between protocols. Expired air was collected
continuously throughout each protocol using a metabolic cart, [Blood lactate]
using a portable analyzer, and bench press peak power were measured. Rates of
energy expenditure were significantly greater (p<=0.05) with LIGHT-48 and HEAVY
72MATCHED than HEAVY-72 during squat (7.3+/-0.7; 6.9+/-0.6 > 6.1+/-0.7 kcal/min),
bench press (4.8+/-0.3; 4.7+/-0.3 > 4.0+/-0.4 kcal/min), and +5min after (3.7+/
0.1; 3.7+/-0.2 > 3.3+/-0.3 kcal/min), but there were no significant differences
in total kcal among protocols. Therefore, exercise-intensity may not effect
energy expenditure with explosive contractions, but light loads (~50% of 1-RM)
may be preferred because of higher rates of energy expenditure, and since heavier
loading requires more sets with lower kilograms/set.
PMID- 27182370
TI - The International Journal of Exercise Science: 2011 Year in Review.
PMID- 27182369
TI - The effect of exercise mode and intensity of sub-maximal physical activities on
salivary testosterone to cortisol ratio and alpha-amylase in young active males.
AB - We examined the effect of exercise intensity and mode on the acute responses of
free testosterone to cortisol ratio and salivary alpha-amylase. We also evaluated
the relationship between cortisol and salivary alpha-amylase. Ten healthy young
active males participated voluntarily in this study in six single sessions. They
exercised on a cycle ergo meter, treadmill, and elliptical instrument at
intensities of 70% and 85% maximum heart rate for 25 minutes. Saliva samples were
collected 5 minutes before and 5 minutes after each exercise session. No
significant changes were observed for cortisol. Free testosterone to cortisol
ratio increased during each exercise session (F5, 45=3.15, P=0.02). However,
these changes are only significant after exercise on the treadmill at 70% maximum
heart rate (t=2.94, P=0.02) and 85% maximum heart rate (t=0.53, P=0.03). Salivary
alpha-amylase significantly varied among exercise sessions (F5, 45=3.97,
P=0.005), and a significant decline was observed after exercise on the elliptical
instrument (t=2.38, P=0.04) and treadmill (t=3.55, P=0.006) at 85% maximum heart
rate. We found that the free testosterone to cortisol ratio is dependent on the
exercise mode, while the salivary alpha-amylase response is dependent on the
intensity of exercise. The increase of free testosterone to cortisol ratio in
this study may indicate lower physiological stress in response to performing
these exercises. Applying muscular strength with moderate intensity weight
bearing exercises possibly activates the anabolic pathways. Although the cortisol
and salivary alpha-amylase responses were opposite in the majority of the
exercise sessions, no significant inverse relationship was observed.
PMID- 27182371
TI - FITNESSGRAM(r) Friday: A Middle School Physical Activity and Fitness
Intervention.
AB - Texas Senate Bill 530 (2007) mandated fitness assessment as part of the annual K
8 Physical Education (PE) curricula, yet no studies have reported interventions
designed to improve and quantify individual student passing rates or individual
school performance. Students (Total 2008-2010 N=1484; 729 females, 755 males;
mean age = 11.85 y; mean BMI = 22.69 or > 90%-tile, overweight) were evaluated on
individual FITNESSGRAM(r) performances in a cross-sectional analysis of 6th
graders comparing baseline scores (year 1) with outcomes of a physical activity
intervention in years 2 and 3. Students participated in regular PE classes
(including campus wellness center activities) with a once a week focus
(FITNESSGRAM(r) Friday) on improving mile run scores and other assessment scores.
Students significantly improved FITNESSGRAM(r) scores following the PE
intervention to levels similar to state reported averages. On average, boys
improved their pushups by 32.7%, trunk lift by 17.4% and mile run times by 29.5%.
Averages for girls improved by 15.4% for pushups, 6.7% for truck lift, and by
38.6% for the mile run. The percentage of boys in our study achieving all six
FITNESSGRAM(r) tests in the HFZ was 3% at baseline and 22% following
intervention. The percentage of girls meeting the criteria for the HFZ on all six
FITNESSGRAM(r) tests was 4.5% at baseline and 20% following intervention. This
study provides a potential model for fitness success in other middle school PE
interventions, in Texas and the nation.
PMID- 27182372
TI - Stability Ball Sitting versus Chair Sitting During Sub-maximal Arm Ergometry.
AB - : It was predicted that sitting on a stability ball during arm ergometry would
elevate cardiovascular parameters when compared to sitting on a chair and that
this would be associated with greater recruitment of trunk and leg skeletal
muscles. METHODS: Open-circuit spirometry, videotaping, blood pressure, heart
rate, and EMG were conducted during rest and four minute stages of 15 W, 30 W,
and 45 W using a Monark arm ergometer. Twenty-six apparently healthy adults
exercised twice, once sitting on a stability ball and the other sitting on a
chair (order randomized), with 45 to 60 minutes of rest between. ANOVA for
repeated measures and paired-t testing were used for analysis. RESULTS: Oxygen
consumption was significantly 10 to 16% higher during exercise while sitting on
the stability ball. There were no significant differences between sitting modes
for heart rate, SBP, and DBP. Also, resting and exercise rectus femoris and 45 W
external oblique EMGs were significantly higher on the stability ball. Finally,
the knee was significantly more extended with the feet farther apart and more
forward on the stability ball. CONCLUSION: The stability ball significantly
elevates oxygen consumption during sub-maximal arm cranking without significantly
increasing heart rate or blood pressure and this is associated with increased
thigh muscle activation and lower leg repositioning.
PMID- 27182373
TI - The Effects of Muscle Mass on Homocyst(e)ine Levels in Plasma and Urine.
AB - The present study was designed to examine the relationship between homocyst(e)ine
(H[e]) levels and muscle mass. Two experimental groups each of 24 Caucasian
males, one consisting of higher-muscle mass subjects (HMM) and the other of lower
muscle mass subjects (LMM) participated in this study. Muscle mass was estimated
from 24-hour urine collections of creatinine (Crt). Muscle mass was 40.3 +/- 15.9
kg in HMM and 37.2 +/- 11.4 kg in LMM (P= 0.002). Mean plasma H(e) levels in HMM
were 10.29 +/- 2.9 nmol/mL, and in LMM were 10.02 +/- 2.4 nmol/L (Not
significant, [NS]). Urinary H(e) levels (UH[e]) were 9.95 +/- 4.3 nmol/mL and
9.22 +/- 2.9 nmol/mL for HMM and LMM, respectively (NS). Plasma H(e) levels
correlated well with UH(e) (HMM: r= 0.58, P= 0.009; LMM: r= 0.66, P= 0.004).
Muscle mass and was not correlated to either plasma H(e) or UH(e). However, in
HMM trends were identified for body mass to be correlated with UH(e) (r= 0.39, P=
0.10) and UCrt (r= 0.41, P= 0.08). Surprisingly, in HMM plasma and UCrt were only
weakly correlated (r= 0.44, P= 0.06). Our results do not support a causal
relationship between the amount of muscle mass and H(e) levels in plasma or
urine.
PMID- 27182374
TI - Effects of Carbohydrate-Protein Ingestion Post-Resistance Training in Male Rugby
Players.
AB - Evidence suggests that carbohydrate-protein (CHO-PRO) drinks post-exercise are an
advantageous nutritional recovery intervention. Resistance trained (n = 14, mean
+/- SD; age 19 +/- 1 yr, mass 95 +/- 9 kg, % fat 17 +/- 4 % and BMI 28.5 +/- 1.8
kg.m-2) male rugby players participated in a study investigating effects of
carbohydrate (CHO) and CHO-PRO drinks on subsequent resistance exercise
performance. Following an initial resistance training (RT) protocol consisting of
8 circuits of 5 discrete exercises at 10 repetition maximum (RM), participants
received 10 mL.kg-1 BM of randomised sports drink (LCHO, HCHO and CHO-PRO) on
completion of the RT protocol and at 120 min into a 240 min recovery period. Post
recovery, participants completed a test to failure (TTF) protocol performing as
many circuits of the same exercises at 10-RM to failure. Individual exercise
cumulative load (?W) lifted and total work capacity (TWC) for each trial was
recorded. Both ?W and TWC were normalised for body mass (kg.kg-1 BM). Data were
analysed using repeated measures ANOVA with post-hoc Student-Neuman-Keuls pair
wise comparisons (P<0.05). Despite large intra-subject variability between
trials, TWC normalised for body mass was significantly greater following CHO-PRO
compared with HCHO and LCHO (188 +/- 26 vs. 157 +/- 21 and 150 +/- 16 kg.kg-1 BM,
respectively; P<0.05). The ?W lifted after ingestion of HCHO and LCHO were not
significantly different despite differing CHO and caloric content. The CHO-PRO
induced enhancement of recovery was possibly due to higher rates of glycogen
restoration after the initial glycogen depleting RT protocol.
PMID- 27182375
TI - Experimentally Manipulated Somatic Information and Somatization Tendencies and
their Impact on Physical Symptom Reporting and Performance in a Physically
Strenuous Task.
AB - This study attempts to determine whether the presentation of an experimentally
manipulated somatic experience during a physically strenuous task can influence
physical performance and symptom reporting. The study also compares the relative
influence of experimentally manipulated somatic information (state somatization)
with stable individual differences in the tendency to amplify physical symptoms
(trait somatization) on performance and symptom reporting. 194 participants
completed standardized measures of somatization tendencies, state anxiety,
neuroticism and conscientiousness. Participants where then given a mock physical
exam, with individuals randomly assigned to receive either favorable or
unfavorable somatic information. All participants then had their body mass index
assessed and completed a rigorous exercise task, with quantification of
performance. Physiological measures of blood pressure and pulse were also
assessed before and after the exercise task. The experimentally manipulated
presentation of somatic information predicted both performance and physical
symptoms, even after controlling for BMI, neuroticism, conscientiousness, and
state anxiety. Moreover, expected performance uniquely and significantly
predicted performance above and beyond condition, anxiety, BMI, neuroticism, and
conscientiousness. Somatosensory amplification tendencies also predicted symptom
endorsement, but not performance. Findings suggest that both state and trait
expectations with respect to somatic experiences influence symptom reporting and
to a lesser extent performance, even after controlling for variables known to
strongly influence each of these outcomes. Results are consistent with the
cognitive-perceptual and the cognitive-appraisal models of somatic
interpretation.
PMID- 27182376
TI - Self-estimation of Body Fat is More Accurate in College-age Males Compared to
Females.
AB - The objective was to determine the effect of gender on the ability to accurately
estimate one's own body fat percentage. Fifty-five college-age males and 99
college-age females participated. Participants estimated their own body fat
percent before having their body composition measured using a BOD POD.
Participants also completed a modified Social Physique Anxiety Scale (SPAS).
Estimated body fat was significantly lower compared to measured body fat percent
in females (26.8+/-5.6% vs. 30.2+/-7.0%, p<0.001) but not in males (16.8+/-6.8%
vs. 18.1+/-8.3%, p=0.09). The mean difference between estimated and measured body
fat was significantly higher for females compared to males (p<0.001). There was a
moderate, significant correlation found between measured body fat percent and
SPAS score for males (r=0.331, p=0.014) and females (r=.427, p<0.001). Males
estimated their body fat percent more accurately than females. Despite these
findings, 62% of males and 76% of females underestimated their body fat.
PMID- 27182378
TI - Research and Critical Thinking : An Important Link for Exercise Science Students
Transitioning to Physical Therapy.
AB - Critical thinking skills are increasingly necessary for success in professional
health care careers. Changes in the contemporary healthcare system in the United
States arguably make these critical thinking skills more important than they have
ever been, as clinicians are required on a daily basis to evaluate multiple bits
of information about patients with multiple-systemic health concerns and make
appropriate treatment decisions based on this information. We believe the IJES,
with its emphasis on engaging undergraduate and graduate students in research and
scholarly activity, is a valuable resource for promoting the higher-order
critical thinking skills necessary for preparing exercise science students with
an interest in professional healthcare careers such as physical therapy.
PMID- 27182377
TI - Physiological and Perceptual Responses to Nintendo(r) Wii FitTM in Young and
Older Adults.
AB - Physically active video gaming (AVG) provides a technologically-modern,
convenient means of increasing physical activity (PA). This study examined
cardiovascular, metabolic, and perceptual responses in young adult (AP) and older
adult (OP) participants engaging in Wii FitTM AVG play, and compared PA levels
during play to recommended PA levels. Heart rate (HR), percent heart rate reserve
(%HRR), oxygen consumption (VO2), energy expenditure (EE), rating of perceived
exertion (RPE), enjoyment level (EL), and step count data were obtained from 10
YP and 10 OP during 15 minutes of rest and four 15-minute bouts of Wii FitTM
activities (yoga, balance, aerobics, strength). For all participants, AVG
significantly increased HR, VO2, and EE measures above rest, with significant
between-activity differences. Responses were similar between YP and OP, except
that the activities were more intense for OP, in terms of %HRR and RPE. Most
games elicited responses consistent with light-intensity PA, though peak HR and
VO2 values for aerobic and strength games met or approached recommended PA
intensities. Wii FitTM appears to provide an enjoyable form of light PA for both
YP and OP, which can reduce inactive screen time and provide beneficial
cardiovascular, musculoskeletal, and metabolic stimulation.
PMID- 27182379
TI - Differences in Force-velocity Characteristics of Upper and Lower Limbs of Non
competitive Male Boxers.
AB - Despite the increasing popularity of boxing, only a few studies have been
conducted on the physiology or the biomechanics of this sport. The aim of the
present study is to examine the ratios of mechanical characteristics (maximal
anaerobic power, Pmax, theoretical maximal force, F0, and velocity, v0) between
upper and lower limbs of male boxers. Twelve male caucasians, all members of a
local fitness club, aged 29.5 (3.2) yr [mean (standard deviation)], stature 1.74
(.05) m, body mass 77.9 (8.1) kg, body fat 22.4 (3.9) % and somatotype 5.5-5.5
1.1, performed a force-velocity (F-v) test for both legs and arms. The F-v test
included five supramaximal pedal sprints, each lasting 7 sec, against incremental
braking force of 20-60 N for arms and 30-70 N for legs, on modified arm-cranking
and on cycle ergometer (Ergomedics 874, Monark, Sweden). The legs had higher Pmax
(910 W vs. 445 W, t11=22.9, p<.001), Pmax expressed in relative to body mass
values (rPmax, 11.8 W.kg-1 vs. 5.8 W.kg-1, t11=20.6, p<.001), F0 (168 N vs. 102
N, t11=21.7, p<.001), v0 (217 rpm vs. 177 rpm, t11=46.6, p<.001) and lower v0/F0
(1.33 rpm.N-1 vs. 1.82 rpm.N-1, t11=15.3, p<.001) than the arms. Pmax of upper
limbs was associated with Pmax of lower limbs (r=.70, p<.05) and their ratio was
.49 (.06). The respective values of rPmax was r=.76 (p<.01), F0, r=.35 (p=.26)
and .61 (.13), and of velocity, v0, r=.17 (p=.59) and .812 (.10). In spite of
moderate associations between upper and lower limbs' F0 and v0, a stronger
relationship was found with regard to Pmax. These findings emphasize the need for
separate evaluation of arms' and legs' F-v characteristics on a regular basis and
the consideration of these measures in training design.
PMID- 27182380
TI - Ground Reaction Forces Generated by Twenty-eight Hatha Yoga Postures.
AB - Adherents claim many benefits from the practice of yoga, including promotion of
bone health and prevention of osteoporosis. However, no known studies have
investigated whether yoga enhances bone mineral density. Furthermore, none have
estimated reaction forces applied by yoga practitioners. The purpose of this
study was to collect ground reaction force (GRF) data on a variety of hatha yoga
postures that would commonly be practiced in fitness centers or private studios.
Twelve female and eight male volunteers performed a sequence of 28 hatha yoga
postures while GRF data were collected with an AMTI strain-gauge force platform.
The sequence was repeated six times by each study subject. Four dependent
variables were studied: peak vertical GRF, mean vertical GRF, peak resultant GRF,
and mean resultant GRF. Univariate analysis was used to identify mean values and
standard deviations for the dependent variables. Peak vertical and resultant
values of each posture were similar for all subjects, and standard deviations
were small. Similarly, mean vertical and resultant values were similar for all
subjects. This 28 posture yoga sequence produced low impact GRF applied to upper
and lower extremities. Further research is warranted to determine whether these
forces are sufficient to promote osteogenesis or maintain current bone health in
yoga practitioners.
PMID- 27182381
TI - Physiologic Responses, Liking and Motivation for Playing the Same Video Game on
an Active Versus a Traditional, Non-Active Gaming System.
AB - Evidence suggests that individuals playing certain video games on the Nintendo
Wii(r) (Wii) exhibit increased energy expenditure versus traditional video games,
although little research examines non-Wii Sports/Fit games. The purpose of this
study is to assess physiologic responses, liking, and the relative reinforcing
value (RRV) of a popular, non-Wii sports video game for the Wii relative to the
same game played on a traditional, non-active system. Twenty-four college-aged
students participated. Heart rate and oxygen consumption (VO2) was assessed
during rest and when playing the following games: Madden NFL 2011(r) for
Playstation 2 (PS2 Madden) and the Wii (Wii Madden), and Wii Sports Boxing. The
RRV was assessed for Wii Madden versus PS2 Madden. Analysis of variance
demonstrated a main effect for condition (p <= 0.01) as VO2 (5.2 +/- 0.2 ml.kg
1.min-1 Wii, 4.1 +/- 0.1 ml.kg-1.min-1 PS2, 3.7 +/- 0.1 ml.kg-1.min-1, rest) and
heart rate (89.2 . 2.7 bpm Wii, 79.7 +/- 2.5 bpm PS2, 79.1 +/- 2.5 bpm, rest) was
greater for Wii Madden than PS2 Madden and rest. Heart Rate (105.4 +/- 5.3 bpm)
and VO2 (10.4 ml.kg-1.min-1) for Wii Sports Boxing was significantly greater than
all other conditions (p <= 0.003). The RRV was not significantly different
between Wii Madden and PS2 Madden (p = 0.50). Compared to the same game on a
traditional system, Wii Madden is more physiologically challenging and equally
reinforcing. However, Wii Madden would not be categorized as moderate-intensity
physical activity.
PMID- 27182382
TI - Actical Accelerometry Cut-Points for Quantifying Levels of Exertion: Comparing
Normal and Overweight Adults.
AB - Weight, body fatness and ambulatory pattern all have the potential to affect
accelerometer output and cause differences in output between overweight and
normal-weight adults. The purpose of this study was to determine if Actical
(Philips Respironics, Bend, OR) activity count cut-points for moderate and
vigorous intensity exercise are different for overweight adults compared to
normal-weight adults. Overweight adults with BMI >25 kg/m2 (n=29) and Normal
Weight adults (n=25) walked at 3.2 and 4.8 km.h-1 and ran at 6.4 km.h-1 on a
treadmill while simultaneously wearing an Actical accelerometer and obtaining
measurements of oxygen uptake. Counts per minute (counts.min-1) were determined
at 3 METS (moderate) and 6 METS (vigorous) using ROC curves. The counts.min-1 at
3 METs was 1726 and 1923 counts.min-1 for Overweight and Normal-Weight groups,
respectively. The cut-points at 6 METs were 4117 and 4032 counts.min-1 for
Overweight and Normal-Weight groups, respectively. The differences between groups
were not statistically significant (p>0.73 for both). Correlations between BMI
and counts.min-1 were not significant (p>0.05) at any speed for the Normal-Weight
group but were significant at 3.2 and 4.8 km.h-1 for the Overweight group.
Although there appears to be some relationship between activity counts.min-1 and
BMI, the results suggest that similar cut-points may be used for normal weight
and overweight adults. However, the greater variability in counts at each speed
and lower ROC curve areas for overweight adults suggest that it is harder to
classify the activity intensity of overweight subjects compared to normal weight
subjects.
PMID- 27182383
TI - Validation of Three Body Composition Techniques with a Comparison of Ultrasound
Abdominal Fat Depths against an Octopolar Bioelectrical Impedance Device.
AB - The aims of this study were to cross-validate three clinical-grade measures of
body composition, using an octopolar Bioelectrical Impedance (BIA), an ultrasound
analyzer (US) and Air-Displacement Plethysmography (ADP) and second to compare
the US scans of total abdominal, subcutaneous and visceral fat depths (mm)
against the trunk percent fat (%BF) from the octopolar BIA. Twenty-six college
aged (22.9 +/- 1.35 years) men (n = 18) and women (n = 8) volunteered to
participate in this study. Body composition was assessed using BIA (total and by
segments), ADP and US. In addition, total abdominal, subcutaneous and visceral
fat layers were measured using the US. All measurements were done in accordance
with manufacturers' guidelines. The %BF comparing the three clinical grade
machines were all significantly correlated and no significant differences were
found using a 1-way ANOVA. All three fat depths were significantly correlated to
the trunk fat % via BIA, while significant differences were found for the 1-way
ANOVA. A Tukey post-hoc test showed significant differences between the BIA trunk
%BF and both subcutaneous and visceral US fat depths. Having valid ways to
measure body composition and visceral fat that is accessible in terms of being
transportable, cost effective, and simple to use, should become a part of
preventive medicine.
PMID- 27182384
TI - Energy Drinks: Ergolytic or Ergogenic?
AB - Despite the growing popularity of energy drinks, many do not realize the negative
effects on the cardiovascular system. The purpose of this study was to examine
the effects of energy drink ingestion on estimated VO2max, heart rate (HR),
systolic and diastolic BP (SBP and DBP, respectively), rate pressure product
(RPP), and RPE at rest and during exercise. Seven healthy adults (age: 24.3 +/-
3.5 yrs; body mass: = 66.0 +/- 2.2 kg) participated in this randomized double
blind, crossover study. Subjects ingested a placebo (PL) or Redline (RL) energy
drink (240ml; 250 mg caffeine) 40 minutes before maximal graded exercise test
(GXT). Estimated maximal oxygen consumption (VO2max) was lower in the RL trial
(37.9+/-5.7 ml.kg-1.min-1) compared to the PL trial (39.7+/-6.5 ml.kg-1.min-1; P=
0.02). Although no significant differences were noted for the number of ectopic
beats (ETB) between the trials, a five to one ratio for the RL and PL existed (RL
= 106 total ectopic beats; PL = 21 total ectopic beats). Sub-maximal exercise
heart demand (RPP: systolic BP * HR) at the same workload was considerably higher
in the RL trial (224.9 +/- 39.9 mmHg.bts.min-1; P=0.04) compared to PL (195.8 +/-
22.9 mmHg.bts.min-1). Recovery DBP was significantly higher at one min. in the RL
trial (51.6 +/- 25.1 mmHg) compared to PL (25.4 +/- 33.8 mmHg; P=0.05). Based on
the results of this study, it was determined that energy drinks lowered estimated
VO2max while elevating RPP and recovery DBP.
PMID- 27182386
TI - Concurrent Verbal Encouragement and Wingate Anaerobic Cycle Test Performance in
Females: Athletes vs. Non-Athletes.
AB - To examine the effect of concurrent verbal encouragement on the performance of
the WAnT in female athletes vs. female non-athletes. College-age female subjects
were recruited where ten of the subjects were intercollegiate athletes (ATH, n1
=10) and the nine were non-athletes (NON, n2 =9). The WAnT was novel to all
subjects and the subjects were blinded to the study's purpose. Prior to the
experimental trials, subjects were measured for body composition and performed a
familiarity WAnT trial without verbal encouragement. Subjects then performed the
WAnT twice, once with concurrent verbal encouragement (VE) and once without
(NVE), in a balanced cross-over design. Peak (PP) and mean power (MP), and total
work (TW) were compared between ATH and NON across VE and NVE using an ANOVA (1
between, 1 within), alpha=0.05. ATH and NON did not differ (p>0.05) in age or
body composition with the exception of fat-free mass which differed significantly
(ATH=53.7+/-6.6, NON=46.1+/-5.7 kg) (p<0.05). A significant (p<0.05) main effect
for ATH/NON was observed where ATH outperformed NON when pooled across VE/NVE
trials for PP (ATH=13.0+/-1.4, NON=11.3+/-1.7 W.kg-1), MP (ATH=7.7+/-1.1,
NON=6.7+/-0.9 W.kg-1) and TW (ATH=232+/-35, NON=201+/-26 J.kg-1). When pooled
across all subjects (ATH and NON), the VE/NVE trials did not differ (p>0.05) for
PP (VE=12.4+/-1.7, NVE=12.0+/-1.9 W.kg-1), MP (VE=7.3+/-1.1, NVE=7.2+/-1.2 W.kg
1) and TW (VE=219+/-33, NVE=215+/-35 J.kg-1). The ATH/NON interaction with VE/NVE
was not significant (p>0.05). Concurrent verbal encouragement does not affect
performance on the WAnT in females, nor does it affect WAnT performance in female
athletes and non-athletes differently.
PMID- 27182385
TI - Development and comparison of two field-based body fat prediction equations:
NHANES 1999 - 2004.
AB - Clinical guidelines define obesity in terms of excess body weight adjusted for
height (i.e., bodymass index [BMI] categories) and/or gender-specific waist
circumference (WC) cut-point values. Since body composition, particularly fat
mass, is the most variable among individuals due to differences by gender, age,
and race, and total percent body fat (%BF) can be estimated accurately using dual
energy X-ray absorptiometry (DXA), the purpose of this study was to develop and
compare two field-based body fat prediction equations suitable for a nationally
representative sample of the US adult population. Data were analyzed from
subjects 20+ years of age (n = 11,907) with BMI and WC values, and that
participated in DXA scans as part of the 1999-2004 National Health and Nutrition
Examination Survey (NHANES). Multiple linear regression was used to develop and
compare DXA-estimated %BF as the dependent variable versus BMI or WC, gender,
age, and race as predictor variables. Mean values for age, BMI, WC, and %BF among
the sample were 46.84 +/- 0.30 years, 28.17 +/- 0.11 kg/m2, 96.69 +/- 0.27 cm,
and 34.19 +/- 0.14 %, respectively. Both equations were similar in terms of
explained variance, with R2 values of 0.82 for the BMI and WC equations,
respectively. Both equations are easy to use, and could easily be developed as an
application on a smartphone or other handheld device, or simply integrated into a
spreadsheet for use as an additional tool for health professionals to assess the
current health status of individuals based on predicted body fat from BMI, WC,
and demographics.
PMID- 27182388
TI - A Diabetic Adolescent Case Study: Use of a Website in Combination with an
Exercise Program to Increase Physical Activity.
AB - The purpose of this study was to report on the efficacy of a web-based technology
support to encourage physical activity in children. This program was designed to
promote physical activity and proper nutrition in the diabetic adolescent with a
weekly meeting that consisted of a 30-minute educational session followed by 60-
minutes of exercise. A specifically designed website was used as a support to
this weekly supervised exercise program. Outcomes assessment included body mass
index (BMI), muscle strength (grip strength, back and leg strength), flexibility,
exercise self-efficacy, and physical activity participation (pedometer, LEAP II
Survey). Improvements occurred in steps walked per day and exercise self-efficacy
indicating that a once a week formal exercise, when combined with a technology
support, is useful in increasing physical activity behavior.
PMID- 27182389
TI - The Effects of a Short-Term Novel Aquatic Exercise Program on Functional Strength
and Performance of Older Adults.
AB - The purpose of this study was to determine the effects of a short-term novel
multidimensional aquatic exercise program on functional abilities of healthy
older adults. Twenty-six men and women (mean age 76.33 +/- 5.55 years) were
recruited and assigned to an aquatic- (n = 15) or land-based (n = 11) training
group. The aquatic training group completed a multidimensional water exercise
program that incorporated resistance training, functional exercise movements and
rudimentary aquatic plyometric activities. The active control group participated
in a supervised land-based fitness program. Each exercise intervention was
conducted over an 8-week period (16 sessions of 30 - 40 minutes) with the
training load progression adjusted equally between groups using the 6 - 20 Rating
of Perceived Exertion Scale (RPE). Prior to and immediately following the
intervention, both groups were evaluated with select components of the Senior
Fitness Test. The 30-second chair stand, 30-second arm curl, and 8 foot up and go
were selected as measures of strength and functional abilities. The results of an
independent t-test indicated that the control and experimental groups were
matched for functional abilities prior to the intervention. A 2 (group) * 2
(time) analysis of covariance (ANCOVA) with repeated measures revealed
significant differences in the pre- to post-testing measures for the aquatic
training program for the arm curl (p < 0.01) and the 8 foot up and go (p = 0.02).
Analysis of the active control revealed no pre-post differences for any measure.
Thus, a short-term aquatic exercise program with multidimensional intervention
strategies will significantly enhance functional abilities in older adults when
compared to a functionally matched active control group.
PMID- 27182387
TI - Nitric Oxide and the Biological Cascades Underlying Increased Neurogenesis,
Enhanced Learning Ability, and Academic Ability as an Effect of Increased Bouts
of Physical Activity.
AB - The consummate principle underlying all physiological research is corporeal
adaptation at every level of the organism observed. With respect to humans, the
body learns to function based on the external stimuli from the environment,
beginning in the womb, throughout the developmental stages of life. Nitric Oxide
(NO) appears to be the governor of the plasticity of several systems in mammals
implicit in their proper development. It is the purpose of this review to
describe the physiological pathways that lead to plasticity of not only the
vasculature but also of the brain and how physical activity plays a key role in
those alterations by initiating the mechanism that triggers NO production.
Further, this review hopes to show a connection between these changes and
learning, comprising both motor learning and cognitive learning. This review will
show how NO plays a significant role in vascularization and neurogenesis,
necessary to enhance the mind-body connection and comprehensive physical
performance and adaptation. It is our belief that this review effectively
demonstrates, using a multidisciplinary approach, the causal mechanisms
underlying the increases in neurogenesis as related to improved learning and
academic performance as a result of adequate bouts of physical activity of a
vigorous nature.
PMID- 27182391
TI - Stroboscopic Training Enhances Anticipatory Timing.
AB - The dynamic aspects of sports often place heavy demands on visual processing. As
such, an important goal for sports training should be to enhance visual
abilities. Recent research has suggested that training in a stroboscopic
environment, where visual experiences alternate between visible and obscured, may
provide a means of improving attentional and visual abilities. The current study
explored whether stroboscopic training could impact anticipatory timing - the
ability to predict where a moving stimulus will be at a specific point in time.
Anticipatory timing is a critical skill for both sports and non-sports
activities, and thus finding training improvements could have broad impacts.
Participants completed a pre-training assessment that used a Bassin Anticipation
Timer to measure their abilities to accurately predict the timing of a moving
visual stimulus. Immediately after this initial assessment, the participants
completed training trials, but in one of two conditions. Those in the Control
condition proceeded as before with no change. Those in the Strobe condition
completed the training trials while wearing specialized eyewear that had lenses
that alternated between transparent and opaque (rate of 100ms visible to 150ms
opaque). Post-training assessments were administered immediately after training,
10-minutes after training, and 10-days after training. Compared to the Control
group, the Strobe group was significantly more accurate immediately after
training, was more likely to respond early than to respond late immediately after
training and 10 minutes later, and was more consistent in their timing estimates
immediately after training and 10 minutes later.
PMID- 27182390
TI - Kinematic Analysis of Four Plyometric Push-Up Variations.
AB - Plyometric research in the upper extremity is limited, with the effects of open
chain plyometric exercises being studied most. Kinematic and ground reaction
force data concerning closed-chain upper extremity plyometrics has yet to be
examined. Twenty-one recreationally active male subjects performed four
variations of plyometric push-ups in a counterbalanced order. These included box
drop push-ups from 3.8 cm, 7.6 cm, 11.4 cm heights, and clap push-ups. Kinematics
of the trunk, dominant extremity and both hands were collected to examine peak
flight, elbow flexion at ground contact, elbow displacement, and hand separation.
Additionally peak vertical ground reaction force was measured under the dominant
extremity. The 11.4 cm and clap push-ups had significantly higher peak flight
than the other variations (P<.001). At ground contact, the elbow was in
significantly greater flexion for the 3.8 cm and clap push-up compared to the
other variations (P<.001). The clap push-up had significantly more elbow
displacement than the other variations (P<.001) while hand separation was not
significantly different between variations (P=.129). Peak vertical ground
reaction force was significantly greater for the clap push-ups than for all other
variations (P< .001). Despite similar flight heights between the 11.4 cm and clap
push-ups, the greater peak vertical ground reaction force and elbow displacement
of the clap push-ups indicates the clap push-up is the most intense of the
variations examined. Understanding the kinematic variables involved will aid in
the creation of a closed chain upper-extremity plyometric progression.
PMID- 27182392
TI - Decreases in Maximal Oxygen Uptake Among Army Reserve Officers' Training Corps
Cadets Following Three Months Without Mandatory Physical Training.
AB - During the academic year, Army ROTC cadets are required to participate in
mandatory physical training; however, during summer months training is not
required. The purpose of this study was to determine if there is a change in
cadet VO2max after the summer when training is not mandatory. Participants
completed a graded exercise treadmill test to determine their VO2max in late
spring of 2010 and again in early fall of 2010. Results indicated that over a
three-month break from mandatory physical training, a significant decrease in
VO2max was seen for both genders in ROTC cadets.
PMID- 27182393
TI - Stability Ball Sitting Elevates Peak Arm Ergometry Oxygen Consumption and Heart
Rate.
AB - This study compared sitting on a stability ball (B) to sitting on a chair (C)
during arm ergometry to determine the impact on peak VO2, peak heart rate (HR),
and exercise intensity prescription. Open-circuit spirometer, blood pressure, and
HR were monitored during rest and continuous graded exercise test to exhaustion
using an arm ergometer. Twenty-seven apparently healthy adults exercised twice,
once at B and the other trial C (order randomized), with 60 minutes of rest
between trials. ANOVA for repeated measures (alpha < 0.05) and paired t testing
using Holm's-sequential Bonferroni were used to analyze results for 30 W, 45 W,
Penultimate, and Peak stages of exercise. VO2 was significantly higher (8% to
12%, P < 0.001) for all stages of exercise for B compared to C. HR was
significantly higher (P < 0.001) only at the Penultimate and Peak levels (3% and
2%, respectively) for B compared to C; all other sub-maximal HRs were not
significantly different. There were no significant main effects or interactions
(P>= 0.138) when VO2 and HR were expressed as percentage of maximum. Compared to
chair sitting, the stability ball has a greater absolute metabolic response with
little impact on HR. Prescribing exercise with absolute MET levels should
consider this; however, intensity as a percentage of maximum may not be affected
by the stability ball.
PMID- 27182394
TI - Correlation of Air Displacement Plethysmography with Alternative Body Fat
Measurement Techniques in Men and Women.
AB - Obesity has reached epidemic proportions with serious health consequences.
Techniques used to measure body fat (BF) yield variable BF estimates, and this
variability may lead to underestimation or overestimation of BF and subsequent
treatment options. The measurements that are most accurate (Dual-Energy X-ray
Absorptiometry (DEXA) and Air Displacement Plethysmography (ADP)) are expensive
and often unavailable. The purpose of this study is to find the commonly
available BF measurement that is the most accurate and practical for individual
body types in the general population and compare these measurements to ADP (BOD
POD(r)) as the standard. Field measurements include skinfolds (SKF), upper,
lower, and whole body bioelectrical impedance (BI), waist and hip circumference
ratios, body mass index calculations (BMI), and ADP. Our data indicate that BI is
the least accurate measurement of body fat in males and females (paired t-tests
of % body fat: BI vs. ADP, p0.05). However, preliminary data suggest female-
specific SKF equations more accurately predict body fat in obese males than male
specific SKF equations. Given the current obesity trends, it is imperative to
update these formulae to accurately reflect the current population.
PMID- 27182395
TI - The Evaluation of a Circumference-based Prediction Equation to Assess Body
Composition Changes in Men.
AB - This study evaluated the validity of the current U.S. Department of Defense (DOD)
circumference-based prediction equation for males to detect body composition
changes in comparison to air-displacement plethysmography (ADP). Body composition
was assessed using ADP and the DOD equation at the beginning and end of an
academic school year among 21 male (18-29 years-old) Army ROTC cadets. Body mass
significantly increased (+1.8 Kg) after 9 months. Significant method by time
interactions for percent body fat (percent body fat), fat mass (FM), and fat-free
mass were found (p = 0.022, p = 0.023, p = 0.023, respectively) as body
composition changes were not tracked equally by the two methods. Regression and
Bland-Altman analyses indicated a lack of agreement between methods as the DOD
equation underestimated percent body fat and FM changes in comparison to ADP.
Results suggest the DOD equation for males cannot adequately detect body
composition changes following a small body mass gain.
PMID- 27182396
TI - Measurements of Heart Rate and Accelerometry to Determine the Physical Activity
Level in Boys Playing Paintball.
AB - Paintball is a popular recreational sport played by 3.655 million Americans and
may be sufficient physical activity to promote health. Paintball has been played
as an organized sport since the 1980's and is essentially a game of tag, except
instead of touching an opponent by hand opponents are tagged by shooting them
with a paintball that leaves a mark indicating who has been eliminated. A
previous evaluation of paintball as physical activity had 13 subjects undergo a
VO2max test to develop a heart rate (HR) /oxygen consumption relationship, and it
was observed that heart rates during paintball were 68-73% of the measured
maximal HR. The present study used accelerometry and HR monitors to evaluate the
quantity and intensity of physical activity in boys playing paintball. Eleven
boys (12.7 +/- 1.0 y, 51.5 +/- 11.3 kg, 161.8 +/- 10.1 cm) engaged in a VO2max
test to develop a HR/oxygen consumption correlation. On a separate day the boys
played 7 games of outdoor paintball while wearing a HR monitor and accelerometer.
The boys played paintball for 11.5 +/- 6.2 minutes/game for a total of 80.6 +/-
10.0 minutes of game play. Average HR during paintball play was 129.6 +/- 6.6
beats/min, representing 39.9 +/- 12.9% VO2max. Based on accelerometry, the boys
accumulated 63.2 +/- 15.6 minutes of moderate intensity activity and 2.6 +/- 2.8
minutes of vigorous activity during paintball. These data suggest that playing
paintball may be considered as physical activity that is > 3 METs, and thus
health promoting.
PMID- 27182397
TI - Comparison of Academic and Behavioral Performance between Athletes and Non
athletes.
AB - The Toronto Charter for Physical Activity (2010) and several national physical
activity plans advocate sports participation as an important part of population
targeted physical activity for youth. Emerging research evidence also suggests
that sports participation during adolescents is linked to significant positive
correlations with academic and behavioral performance. The purpose of this study
was to compare academic and behavioral performance between male and female public
school athletes (Total N=11,139; 38% Female) and non-athletes (Total N=23,891;
52% Female) in a convenient, ethnicity diverse, sample (grades 7-12) from the
state of Texas (USA). We examined the passing rates of individual athletes and
non-athletes on standardized tests (Texas Assessment of Knowledge and Skills,
TAKS) for math, language arts, reading, writing, science, and social studies. We
also examined the percentage of athletes and non-athletes for being "at risk,"
for dropping out of school and for the total average number of disciplinary
actions. Chi-Square statistical analyses comparing athletes to non-athletes
showed that athletes scored significantly better (p<0.05) on all standardized
tests compared to non-athletes (passing rate ranges ranged from 77.1% to 92.9%
versus 27.7% to 66.5% respectively). Athletes were at lower risk for dropout
compared to non-athletes (35.6% versus 49.24%; p<0.05), and they had fewer
disciplinary actions (mean of 0.85 per athletes per year versus 1.23 for non
athletes; ANOVA, p<0.05). Our results support the research findings of others
that participation in school sports is positively correlated to better academic
and behavioral performances for athletes compared to non-athletes.
PMID- 27182398
TI - The Effects of Proprioceptive Neuromuscular Facilitation Stretching on Post
Exercise Delayed Onset Muscle Soreness in Young Adults.
AB - Until recently, the scientific community believed that post-exercise stretching
could reduce delayed onset muscle soreness (DOMS), but recent reviews of studies
on the topic have concluded that pre- or post-exercise static stretching has no
effect on mitigating DOMS. However, the effect of proprioceptive neuromuscular
facilitation (PNF) post-exercise stretching on preventing DOMS has not been
adequately studied. The purpose of this study was to determine the effect of post
exercise PNF stretching on DOMS. Young adult participants (N=57) were randomly
assigned to a PNF stretching group (n=19), a static stretching group (n=20), and
to a no-stretching control group (n=18). All participants completed exercise
designed to induce DOMS prior to post-exercise experimental stretching protocols.
Participants rated their soreness level on a pain scale 24 and 48 hours post
exercise. A 3 * 2 mixed ANOVA showed there was an effect for time (p<.01). Post
hoc testing revealed that DOMS pain significantly decreased (p<.05) from 24 to 48
hours post-exercise for the PNF and control groups, but not for the static
stretching group. Other analyses revealed a significant correlation (r=.61,
p<.01) between the pre- and post-exercise stretch scores and the 48 hour post
exercise pain score for the PNF group. Consistent with the results of previous
research on post-exercise static stretching, these results indicate that post
exercise PNF stretching also does not prevent DOMS. However, the correlation
analysis suggests it is possible the pre-stretch muscle contractions of the post
exercise PNF protocol may have placed a load on an already damaged muscle causing
more DOMS for some participants.
PMID- 27182399
TI - Wii, Kinect, and Move. Heart Rate, Oxygen Consumption, Energy Expenditure, and
Ventilation due to Different Physically Active Video Game Systems in College
Students.
AB - Nintendo Wii, Sony Playstation Move, and Microsoft XBOX Kinect are home video
gaming systems that involve player movement to control on-screen game play.
Numerous investigations have demonstrated that playing Wii is moderate physical
activity at best, but Move and Kinect have not been as thoroughly investigated.
The purpose of this study was to compare heart rate, oxygen consumption, and
ventilation while playing the games Wii Boxing, Kinect Boxing, and Move
Gladiatorial Combat. Heart rate, oxygen consumption, and ventilation were
measured at rest and during a graded exercise test in 10 males and 9 females
(19.8 +/- 0.33 y, 175.4 +/- 2.0 cm, 80.2 +/- 7.7 kg,). On another day, in a
randomized order, the participants played Wii Boxing, Kinect Boxing, and Move
Gladiatorial Combat while heart rate, ventilation, and oxygen consumption were
measured. There were no differences in heart rate (116.0 +/- 18.3 vs. 119.3 +/-
17.6 vs. 120.1 +/- 17.6 beats/min), oxygen consumption (9.2 +/- 3.0 vs. 10.6 +/-
2.4 vs. 9.6 +/- 2.4 ml/kg/min), or minute ventilation (18.9 +/- 5.7 vs. 20.8 +/-
8.0 vs. 19.7 +/- 6.4 L/min) when playing Wii boxing, Kinect boxing, or Move
Gladiatorial Combat (respectively). Playing Nintendo Wii Boxing, XBOX Kinect
Boxing, and Sony PlayStation Move Gladiatorial Combat all increase heart rate,
oxygen consumption, and ventilation above resting levels but there were no
significant differences between gaming systems. Overall, playing a "physically
active" home video game system does not meet the minimal threshold for moderate
intensity physical activity, regardless of gaming system.
PMID- 27182400
TI - Determination of Anaerobic Threshold by Heart Rate or Heart Rate Variability
using Discontinuous Cycle Ergometry.
AB - The purpose was to determine if heart rate (HR) and heart rate variability (HRV)
responses would reflect anaerobic threshold (AT) using a discontinuous,
incremental, cycle test. AT was determined by ventilatory threshold (VT).
Cyclists (30.6+/-5.9y; 7 males, 8 females) completed a discontinuous cycle test
consisting of 7 stages (6 min each with 3 min of rest between). Three stages were
performed at power outputs (W) below those corresponding to a previously
established AT, one at W corresponding to AT, and 3 at W above those
corresponding to AT. The W at the intersection of the trend lines was considered
each metric's "threshold". The averaged stage data for Ve, HR, and time- and
frequency-domain HRV metrics were plotted versus W. The W at the "threshold" for
the metrics of interest were compared using correlation analysis and paired
sample t-test. In all, several heart rate-related parameters accurately reflected
AT with significant correlations (p<=0.05) were observed between AT W and HR,
mean RR interval (MRR), low and high frequency spectral energy (LF and HR,
respectively), high frequency peak (fHF), and HFxfHF metrics' threshold W (i.e.,
MRRTW, etc.). Differences in HR or HRV metric threshold W and AT for all subjects
were less than 14 W. The steady state data from discontinuous protocols may allow
for a true indication of steady-state physiologic stress responses and
corresponding W at AT, compared to continuous protocols using 1-2 min exercise
stages.
PMID- 27182401
TI - Self-determined Engagement in Physical Activity and Sedentary Behaviors of US
College Students.
AB - Although the importance of promoting physical activity is well established,
researchers have recently considered "sedentary behaviors" as another key risk
factor for chronic disease. However, little is known about the motivational
processes regulating sedentary behavior on a daily basis. A substantial amount of
research has been based on the self-determination theory to examine the
motivational processes regulating physical activity behaviors. However, only
limited attention has been paid to sedentary behaviors from this theoretical
perspective. This study aims to identify and understand motivational aspects
related to sedentary behavior from a self-determination perspective. A
convenience sample of undergraduate students (N=875) enrolled in a university
required Lifetime Physical Activity and Fitness class completed an online survey
which inquired about physical activity and sedentary behaviors as well as about
motivational variables related to these behaviors. Physical activity variables
were inversely and only slightly related to sedentary behavior (rho = -.084 to
.146, p < .05). Psychological needs and behavioral regulations together explained
14.3% of the variance in moderate-to-vigorous physical-activity (p < .001), but
only 2.8% of the variance in sedentary behavior (p = .002). These findings
suggest physical activity and sedentary behaviors are explained by unique
motivational factors.
PMID- 27182402
TI - Cardiovascular and Metabolic Demads of the Kettlebell Swing using Tabata Interval
versus a Traditional Resistance Protocol.
AB - : Tabata (TAB) training, consisting of eight cycles of 20 seconds of maximal
exercise followed by 10 seconds of rest, is time-efficient, with aerobic and
anaerobic benefit. This study investigated the cardiovascular and metabolic
demands of a TAB versus traditional (TRAD) resistance protocol with the
kettlebell swing. Fourteen young (18-25y), non-obese (BMI 25.7+/-0.8 kg/m2)
participants reported on three occasions. All testing incorporated measurements
of HR, oxygen consumption, and blood lactate accumulation. Each participant
completed Tabata kettlebell swings (male- 8kg, female- 4.5kg; 8 intervals; 20s
maximal repetitions, 10s rest). On a subsequent visit (TRAD), the total swings
from the TAB protocol were evenly divided into 4 sets, with 90s rest between
sets. Outcome measures were compared using paired t-tests. The TAB was completed
more quickly than the TRAD protocol (240.0+/-0.0 v. 521.5+/-3.3 sec, P<0.01), at
a higher perceived exertion (Borg RPE; 15.1+/-0.7 v. 11.7+/-0.9, P<0.01). The TAB
elicited a higher average VO2 value (33.1+/-1.5 v. 27.2+/-1.6 ml/kg/min, P<0.01),
percent of VO2peak achieved (71.0+/-0.3 v. 58.4+/-0.3%, P<0.01), maximal HR
(162.4+/-4.6 v. 145.6+/-4.8 bpm, P<0.01), and post-exercise blood lactate
concentration (6.4+/-1.1 v. 3.7+/-0.5 mmol/L, P<0.01). CONCLUSION: The kettlebell
swing demonstrated significantly greater cardiovascular and metabolic responses
within a TAB vs. TRAD framework. Appropriate screening and risk stratification
are advised before implementing kettlebell swings.
PMID- 27182403
TI - The Physiologic and Behavioral Implications of Playing Active and Sedentary Video
Games in a Seated and Standing Position.
AB - Previous studies have assessed physiologic response while playing video games per
manufacturer instructions with participants standing during active video game
play and seated during sedentary game play. It is not known whether an assigned
seated or standing position affects positional preference and oxygen consumption
(VO2) while gaming. The purpose of the study was to assess VO2 and preference of
playing active and sedentary video games in a seated and standing position. VO2
was assessed in 25 participants during four, 20-minute conditions; resting,
PlayStation 2 Madden NFL Football 2011, Nintendo Wii-Sports Boxing and Nintendo
Wii Madden NFL Football 2011. Each condition was divided into two positional
conditions (10 minutes seated, 10 minutes standing) and each participant
indicated their positional preference after each 20-minute condition. Standing
VO2 (4.4 +/- 0.2 ml * kg-1 * min-1 PS2, 4.6 +/- 0.1 ml * kg-1 * min-1 Wii Madden,
6.8 +/- 0.3 ml * kg-1 * min-1Wii Boxing) was significantly (p <= 0.001) greater
than seated VO2 (4.0 +/- 0.1 ml * kg-1 * min-1 PS2, 4.2 +/- 0.1 ml * kg-1 * min-1
Wii Madden, 6.1 +/- 0.3 ml * kg-1 * min-1Wii Boxing) for each gaming condition.
Participants preferred (p <= 0.001) to sit for all gaming conditions except Wii
Boxing. Playing video games while standing increases VO2 to a greater extent than
playing the same games in a seated position. Standing was only preferred for the
most physiologically challenging game, Wii Boxing. Gaming position should be
considered when assessing the physiologic and behavioral outcomes of playing
video games.
PMID- 27182404
TI - An Acute Bout of Self-Myofascial Release in the Form of Foam Rolling Improves
Performance Testing.
AB - Recent developments in the strength and conditioning field have shown the
incorporation of foam rolling self-myofascial release in adjunct with a dynamic
warm-up. This is thought to improve overall training performance; however,
minimal research exists supporting this theory. Therefore, determining if an
acute bout of foam rolling self-myofascial release in addition to a dynamic warm
up could influence performance is of importance. In order to do so, eleven
athletically trained male subjects participated in a two condition,
counterbalanced, crossover within-subjects study comparing two particular warm-up
routines. The two warm-up routines compared were a total-body dynamic warm-up
(DYN) and a total-body dynamic warm-up in adjunct with a self-myofascial release,
total-body foam rolling session (SMR). Following each warm-up condition, subjects
performed tests of flexibility, power, agility, strength, and speed. Paired
samples T-tests were utilized to determine if there were any significant
differences in test results between conditions (DYN vs. SMR). The data indicated
that SMR was effective at improving power, agility, strength, and speed when
compared to DYN (P <= 0.024). A warm-up routine consisting of both a dynamic warm
up and a self-myofascial release, total-body foam rolling session resulted in
overall improvements in athletic performance testing.
PMID- 27182405
TI - Acute Post-Activation Potentiation Effects in NCAA Division II Female Athletes.
AB - Post-activation potentiation (PAP) is a phenomenon in which the power output of a
muscle is immediately enhanced after heavy resistance exercise. Whereas the
majority of PAP research has examined males, minimal research exists as to how
female athletes respond. Therefore, the purpose of this study was to investigate
the acute PAP response of back squats on static squat jump (SSJ) performance
among NCAA Division II female athletes. Female athletes (n=29) who were current
roster members from basketball, softball, and volleyball, performed 3 SSJ prior
to 3 repetitions of the back squat exercise at 90% 1RM. After a 5-min rest,
athletes once again performed 3 SSJ for maximal height, with peak power
calculated using the Sayers equation. There was a significant interaction effect
observed between time and team, p=0.022; post-hoc tests indicated that the
volleyball team had a significant decrease in power, p=0.008. The main effect for
time was not significant, p=0.279, indicating for the group as a whole, there was
no evidence of a PAP response. The main effect for team was also non-significant,
p=0.173, indicating no difference in power outputs by team. Strength and
conditioning coaches who work with female athletes should be aware that the
successful implementation of PAP complexes within this population appears to be
highly individualized. Therefore, the use of PAP complexes in female athletes
should consider both the absolute and relative strength of each athlete in
conjunction with the length of the rest period when attempting to optimize the
PAP response.
PMID- 27182406
TI - The Influence of Exercise Environment and Gender on Mood and Exertion.
AB - This study examined the influence of exercise environment and gender on post
exercise mood and exertion. College student participants (55 females, 49 males)
were instructed to pedal a stationary bike at a moderate pace for 20 minutes.
Participants were randomly assigned to one of three laboratory conditions: (1)
exercising in front of a mirror and posters showing ideal fit body types (i.e.,
celebrity male and female personal trainers), (2) exercising in front of a mirror
only, or (3) a control condition in which participants exercised without a mirror
or posters. The Activation-Deactivation Adjective Check List (AD-ACL), measuring
exercise-induced mood states, was administered both before and after exercise.
Average bike speed throughout the exercise session measured exertion. Mirrors and
posters of ideally fit celebrities did interact with gender on post-exercise
tension in that women felt most tense after exercising in front of the mirror and
posters while men were most tense after exercising in front of the mirror only.
Exercise exertion was also impacted by experimental condition such that
participants rode significantly faster in the mirror and posters condition. There
was no significant interaction of gender and condition on exercise exertion, but
women pedaled fastest in the mirror and poster condition relative to the other
conditions. Results suggest that exercise exertion and tension reduction are
partially a by-product of gender and exercise environment.
PMID- 27182407
TI - Occupational Sitting and Physical Activity Among University Employees.
AB - The prevalence of overweight and obese in the U.S. has been thoroughly
documented. With the advent of inactivity physiology research and the subsequent
interest in sedentary behavior, the work environment has come under closer
scrutiny as a potential opportunity to reverse inactivity. Therefore, the purpose
of this study was to determine the sitting and physical activity (PA) habits
among different classifications of university employees. University employees
(n=625) completed an online survey based on the Occupational Sitting and Physical
Activity Questionnaire (OSPAQ). Participants were instructed to describe time
spent sitting, standing, walking, and in heavy physical labor during the last
seven days, along with the number of breaks from sitting taken per hour. To
establish habitual patterns of PA outside of work, employees recalled their
participation in structured PA in the past seven days. Prior to data analysis,
employees were categorized as Administration, Faculty, Staff, or Facilities
Management. Statistically significant differences were found among employee
classifications for min sit/d, p<.001; min stand/d, p<.001; min walk/d, p<.001;
and min heavy labor/d, p<.001. No significant differences were found for breaks/h
from sitting, p=.259 or participation in structured PA, p=. 33. With the
exception of facilities management workers, university employees spent 75% of
their workday seated. In conjunction with low levels of leisure time PA,
university employees appear to be prime candidates for workplace interventions to
reduce physical inactivity.
PMID- 27182408
TI - Muscle Activity in Single- vs. Double-Leg Squats.
AB - Muscular activity, vertical displacement and ground reaction forces of back
squats (BS), rear-leg elevated split squats (RLESS) and split squats (SS) were
examined. Nine resistance-trained men reported for two sessions. The first
session consisted of the consent process, practice, and BS 1-repetition maximum
testing. In the second session, participants performed the three exercises while
EMG, displacment and ground reaction force data (one leg on plate) were
collected. EMG data were collected from the gluteus maximus (GMX), biceps femoris
(BF), semitendinosus (ST), rectus femoris (RF), vastus lateralis (VL), vastus
medialis (VM), tibialis anterior (TA), and medial gastrocnemius (MGas) of the
left leg (non-dominant, front leg for unilateral squats). Load for BS was 85% one
repetition maximum, and RLESS and SS were performed at 50% of BS load. Repeated
measures ANOVA was used to compare all variables for the three exercises, with
Bonferroni adjustments for post hoc multiple comparisons, in addition to
calculation of standardized mean differences (ES). Muscle activity was similar
between exercises except for biceps femoris, which was significantly higher
during RLESS than SS during both concentric and eccentric phases (ES = 2.11;
p=0.012 and ES= 2.19; p=0.008), and significantly higher during BS than the SS
during the concentric phase (ES = 1.78; p=0.029). Vertical displacement was
similar between all exercises. Peak vertical force was similar between BS and
RLESS and significantly greater during RLESS than SS (ES = 3.03; p=0.001). These
findings may be helpful in designing resistance training programs by using RLESS
if greater biceps femoris activity is desired.
PMID- 27182409
TI - VO2 Reserve vs. Heart Rate Reserve During Moderate Intensity Treadmill Exercise.
AB - VO2 and heart rate (HR) are widely used when determining appropriate training
intensities for clinical, healthy, and athletic populations. It has been shown
that if the % reserve (%R) is used, rather than % of max, HR and VO2 can be used
interchangeably to accurately prescribe exercise intensities. Thus, heart rate
reserve (HRR) can be prescribed if VO2 reserve (VO2R) is known. Therefore, the
purpose of this study was to compare VO2 R and HRR during moderate intensity
exercise (50%R). Physically active college students performed a maximal treadmill
test to exhaustion. During which VO2 and HR were monitored to determine max
values. Upon completion of the maximal test, calculations were made to determine
the % grade expected to yield approximately 50% of the subjects VO2R. Subjects
then returned to complete the submaximal test (50%R) at least two days later. The
%VO2R and %HRR were calculated and compared to the predicted value as well as to
each other. Statistical analysis revealed that VO2 at 50%R was significantly
greater than the actual VO2 achieved, p < .001. Conversely, the mean predicted HR
at 50%R was significantly less than the actual HR achieved, p < .001. In
conclusion, this study indicated that VO2 could be more accurately predicted than
HR during moderate intensity exercise. The weak correlation between VO2R and HRR
indicates that caution should be used when relying on a HR to determine VO2.
PMID- 27182410
TI - Actual Versus Predicted Cardiovascular Demands in Submaximal Cycle Ergometer
Testing.
AB - The Astrand-Rhyming cycle ergometer test (ARCET) is a commonly administered
submaximal test for estimating aerobic capacity. Whereas typically utilized in
clinical populations, the validity of the ARCET to predict VO2max in a non
clinical population, especially female, is less clear. Therefore, the purpose of
this study was to determine the accuracy of the ARCET in a sample of healthy and
physically active college students. Subjects (13 females, 10 males) performed a
maximal cycle ergometer test to volitional exhaustion to determine VO2max. At
least 48 hours later, subjects performed the ARCET protocol. Predicted VO2max was
calculated following the ARCET format using the age corrected factor. There was
no significant difference (p=.045) between actual (41.0+/-7.97 ml/kg/min) and
predicted VO2max (40.3+/-7.58 ml/kg/min). When split for gender there was a
significant difference between actual and predicted VO2 for males, (45.1+/-7.74
vs. 42.7+/-8.26 ml/kg/min, p=0.029) but no significant difference observed for
females, (37.9+/-6.9 vs. 38.5+/-6.77 ml/kg/min, p=0.675). The correlation between
actual and predicted VO2 was r=0.84, p<0.001 with an SEE= 4.3 ml/kg/min. When
split for gender, the correlation for males was r=0.94, p<0.001, SEE=2.72
ml/kg/min; for females, r=0.74, p=0.004, SEE=4.67 ml/kg/min. The results of this
study indicate that the ARCET accurately estimated VO2max in a healthy college
population of both male and female subjects. Implications of this study suggest
the ARCET can be used to assess aerobic capacity in both fitness and clinical
settings where measurement via open-circuit spirometry is either unavailable or
impractical.
PMID- 27182411
TI - Use of Sports Science Knowledge by Turkish Coaches.
AB - The purpose of this study is to examine the following research questions in
Turkish coaching context: a) What are coaches' perceptions on the application of
sport science research to their coaching methods? b) What sources do coaches
utilize to obtain the knowledge they need? c) What barriers do coaches encounter
when trying to access and apply the knowledge they need for their sport? In
addition, differences in research questions responses were examined based on
gender, years of coaching experience, academic educational level, coaching
certificate level, coaching team or individual sports, and being paid or unpaid
for coaching. The participants were 321 coaches (255 men, 66 women) from diverse
sports and coaching levels working in Ankara. The questionnaire "New Ideas for
Coaches" by Reade, Rodgers and Hall (2008) was translated, adapted into Turkish,
and validated for the current study. According to our findings among Turkish
coaches, there is a high prevalence of beliefs that sport science contributes to
sport (79.8%);however, there are gaps between what coaches are looking for and
the research that is being conducted. Coaches are most likely to attend seminars
or consult other coaches to get new information. Scientific publications were
ranked very low by the coaches in getting current information. The barriers to
coaches' access to sport science research are finding out the sources of
information, being able to implement the sport science knowledge into the field
of coaching, lack of monetary support in acquiring knowledge, and language
barriers. Also, differences in perceptions and preferences for obtaining new
information were identified based on coaches' gender, coaching contexts (i.e.,
professional-amateur), coaching settings (i.e., team/individual), and their other
demographic characteristics (i.e., coaching experience, coaching educational
level, and coaching certificate level). Future coach education programs should
emphasize the development of coaches' competencies in identifying and accessing
eligible sports science knowledge sources and lack of money for acquiring
information while also tailoring the messages based on differences in coaching
contexts, coaching settings, and coaches' demographic characteristics so as to
ensure successful knowledge transfer.
PMID- 27182412
TI - The Effects of a 12-Week Faculty and Staff Exercise Program on Health-Related
Variables in a University Setting.
AB - The obesity epidemic has grown in the past decade due to physical inactivity
(i.e., having a sedentary job) and an increase in caloric intake. This problem
combined with the reluctance of many faculty and staff members exercising in the
same environment as student's presents a unique challenge in an academic setting.
The purpose of this study was to examine the effectiveness of a 12-week exercise
program focused toward the faculty and staff in improving several health-related
variables such as curl-ups, push-ups, sit-and-reach, and balance. Fifty-seven
faculty and staff participated in the current study. Participants engaged in a
variety of exercise classes taught by certified instructors three days a week for
12-weeks. Paired samples t-tests illustrated a significant (p < 0.001) decrease
in body mass and significant (p <= 0.001) improvements in curl-ups, push-ups, sit
and-reach, and balance. This data demonstrates that a 12-week faculty and staff
exercise program has the potential to improve performance in several health
related variables such as curl-ups, push-ups, sit-and-reach, and balance. The
ability of this program to improve health-related variables and possibly delay or
prevent the development of overweight and/or obesity, sarcopenia, and other
chronic diseases is encouraging.
PMID- 27182413
TI - The Effects of Vascular Occlusion Training on Respiratory Exchange Ratio and
Energy Expenditure When Coupled With Cardiovascular Training.
AB - Vascular occlusion training is a novel training modality that has received
considerable attention in the exercise science literature. The current study is
the first of its kind to look at the effects of vascular occlusion training on
substrate utilization when combined with aerobic training. This study examined
the effects of pairing a vascular occlusion training protocol with a bout of
submaximal aerobic exercise on energy expenditure (EE) and fuel use during
exercise. Subjects performed a 20 minute bout of sub-maximal aerobic exercise
either exclusively, or after performing a body weight squat protocol either with
or without vascular occlusion. Peak lactate concentrations, EE and respiratory
exchange ratio (RER) were all measured. A repeated measures analysis of variance
was performed to look at differences among groups and interactions among
protocols. The results suggest no difference in peak lactate (7.29 mmol/dl vs.
10.17 mmol/dl; F=0.946; p>0.05) or EE (92.09 Kcals vs 93.48 Kcals; F=0.898
p>0.05) among protocols. However, there does appear to be a shift in substrate
utilization towards fatty acid oxidation by performing either a vascular
occlusion training protocol (mean RER= 0.836) or a protocol of body weight squats
(mean RER= 0.823) prior to aerobic training in comparison to performing aerobic
training alone (mean RER= 0.881). There were, however, no significant differences
between the occlusion protocol and the body weight squat protocol prior to the
aerobic training. These results suggest that while there are no differences in
overall caloric expenditure between protocols, performing either a resistance
training protocol or a vascular occlusion training protocol shifts substrate
utilization in favor of fatty acid oxidation over the oxidation of carbohydrates.
PMID- 27182414
TI - Reflective Blankets Do Not Effect Cooling Rates after Running in Hot, Humid
Conditions.
AB - Reflective blankets (RB) are often provided at the conclusion of endurance
events, even in extreme environments. The implications could be dangerous if
increased core body temperature (CBT) is exacerbated by RB. To evaluate the
effect of RB on cooling rate for individuals walking or sitting after intense
running. Pilot, randomized control trial experimental design. Environmental
chamber. Recreational runners (age=25+/-5y; mass=76.8+/-16.7kg; height=177+/-9cm)
completed an 8km (actual mean distance=7.5+/-1.1km). We randomly assigned
participants into one of four groups: walking with blanket (WB=5), walking
without blanket (WNB=5), sitting with blanket (SB=5), or sitting without blanket
(SNB=4). Participants ran on a treadmill at their own pace until volitional
exhaustion, achieving the 8km distance, or experiencing CBT=40 degrees C. Every
three minutes during the running (time determined by pace) and cooling protocol
(62 min in chamber), we measured CBT, HR, and Borg scale, and environmental
conditions. We evaluated cooling rate, peak physiological variables, pace, and
environment by condition using a Kruskal-Wallis non-parametric one-way ANOVAs. We
identified similar exercise sessions (df=3; CBT chi2=0.921, p=0.82; HR
chi2=7.446, p=0.06; Borg chi2= 5.732, p=0.13; pace chi2=0.747, p=0.86) and
similar environmental characteristics between conditions (df=3; Wet Bulb Globe
Temperature=26.18+/-2.78 degrees C, chi2=1.552, p=0.67). No significant
differences between conditions on cooling rate (df=3, chi2=2.301, p=0.512) were
found, suggesting RBs neither cool nor heat the body, whether seated (SB=0.021+/
0.011deg/min; SNB=0.029+/-0.002deg/min) or walking (WB=0.015+/-0.025deg/min;
WNB=0.021+/-0.011deg/min) in a hot, humid environment. CBT in distance runners is
not altered by the use of a RB during a seated or walking cool down after a
strenuous run.
PMID- 27182415
TI - TRX Suspension Training: A New Functional Training Approach for Older Adults -
Development, Training Control and Feasibility.
AB - Because of its proximity to daily activities functional training becomes more
important for older adults. Sling training, a form of functional training, was
primarily developed for therapy and rehabilitation. Due to its effects (core
muscle activation, strength and balance improvements), sling training may be
relevant for older adults. However, to our knowledge no recent sling training
program for healthy older adults included a detailed training control which is
indeed an essential component in designing and implementing this type of training
to reach positive effects. The purpose of this study was to develop a TRX
Suspension Training for healthy older adults (TRX-OldAge) and to evaluate its
feasibility. Eleven participants finished the 12 week intervention study. All
participants trained in the TRX-OldAge whole-body workout which consists of seven
exercises including 3-4 progressively advancing stages of difficulty for every
exercise. At each stage, intensity could be increased through changes in
position. Feasibility data was evaluated in terms of training compliance and a
self-developed questionnaire for rating TRX-OldAge. The training compliance was
85 %. After study period, 91 % of the participants were motivated to continue
with the program. The training intensity, duration and frequency were rated as
optimal. All participants noted positive effects whereas strength gains were the
most. On the basis of the detailed information about training control, TRX-OldAge
can be individually adapted for each older adult appropriate to its precondition,
demands and preference.
PMID- 27182416
TI - The Relationship of Gender and Self-Efficacy on Social Physique Anxiety among
College Students.
AB - The anxiety or fear associated with physique evaluation is defined as Social
Physique Anxiety (SPA). Numerous studies have examined this construct, yet a gap
exists exploring this phenomenon among current college students with SPA, self
efficacy, and gender concurrently. Therefore, the purposes of this study included
quantitatively analyzing the association between SPA, gender, and self-efficacy.
Participants included 237 students at a Southeastern university participating in
jogging, body conditioning, or weight training courses. Analysis of Variance
yielded a significant main effect for self-efficacy as well, as those with lower
self-efficacy displayed higher levels of SPA (p< 0.001). Stepwise regression
analysis indicated self-efficacy and gender were both significant predictors of
SPA. This information could aid in creating interventions designed to decrease
the prevalence of SPA and increase levels of self-efficacy among the current
college student population.
PMID- 27182417
TI - Effect of Beetroot Juice on Moderate-Intensity Exercise at a Constant Rating of
Perceived Exertion.
AB - Dietary nitrate supplementation has been shown to reduce oxygen consumption at a
fixed work rate. We questioned whether a similar effect would be observed during
variable work rate exercise at a specific rating of perceived exertion (RPE), as
is commonly prescribed for aerobic training sessions. Using a double-blind,
placebo controlled, crossover design, ten females (25 +/- 3 years; VO2peak 37.1
+/- 5.3 ml/kg/min) performed two 20-min cycle ergometer trials at a constant RPE
of 13 (somewhat hard) 2.5 hours following ingestion of 140 ml of concentrated
beetroot juice (12.9 mmol nitrate), or nitrate-depleted placebo. Performance was
measured in terms of total VO2 (L) consumed and total mechanical work (kJ)
accomplished across each trial. Following each experimental trial, subjects rode
at 75W for an additional 5 min to determine the effect of beetroot juice on fixed
work rate exercise. Coefficients of variation in total VO2 (L) and work performed
(kJ) during the RPE 13 clamp trials were 8.2 and 9.5%, respectively. Consumption
of beetroot juice did not affect total VO2 or work performed during RPE 13
exercise, but lowered resting systolic blood pressure by ~5 mmHg (P=0.041) and
oxygen consumption at 75W by ~4% (P=0.048), relative to placebo. Since the effect
of beetroot juice on oxygen consumption is small and may be masked by daily
variability during self-regulated exercise, it is unlikely to have a notable
effect on daily training.
PMID- 27182418
TI - Use and Misuse of the Likert Item Responses and Other Ordinal Measures.
AB - Likert, Likert-type, and ordinal-scale responses are very popular psychometric
item scoring schemes for attempting to quantify people's opinions, interests, or
perceived efficacy of an intervention and are used extensively in Physical
Education and Exercise Science research. However, these numbered measures are
generally considered ordinal and violate some statistical assumptions needed to
evaluate them as normally distributed, parametric data. This is an issue because
parametric statistics are generally perceived as being more statistically
powerful than non-parametric statistics. To avoid possible misinterpretation,
care must be taken in analyzing these types of data. The use of visual analog
scales may be equally efficacious and provide somewhat better data for analysis
with parametric statistics.
PMID- 27182419
TI - Validation of Maximal Heart Rate Prediction Equations Based on Sex and Physical
Activity Status.
AB - The purpose of the study was to determine if measured maximal heart rate (HRmax)
was affected by sex or aerobic training status, and to determine the accuracy of
three common clinical age-prediction maximal heart rate regression equations used
to predict HRmax: HRmax= 220 - age, HRmax= 226 - age, and HRmax= 208 - (0.7 .
age). Fifty-two participants in total, 30 of which were in the active group (15
M, 15 F) and 22 subjects in the sedentary group (9 M, 13 F), within the age range
of 18-25 years and with a normal BMI (18.5-24.9 kg.m-2) underwent a Bruce maximal
treadmill exercise protocol. The effect of sex and training status on HRmax was
analyzed through a two-way ANOVA, and the effect of sex, aerobic training status,
and regression equation on accuracy of the HRmax prediction was assessed with a
three-way ANOVA (alpha=0.05). Overall, males had a higher HRmax than females
(198.3 v. 190.4 beats * min-1, p<.001) and sedentary individuals had higher
measured HRmax than active individuals (197.3 v. 191.4 beats * min-1, p=.002).
Furthermore, HRmax= 208 - (0.7 . age)(equation 3) calculated the smallest signed
and unsigned residuals from the difference between observed HRmax and predicted
HRmax values for the significant main effects of equation (3), equation * sex
(females * 3), and equation * activity level (active * 3). Therefore, based on
our results, we conclude that HRmax= 208 - (0.7 . age) has greater accuracy than
the other two equations studied for predicting observed values of HRmax in 18-25
year olds.
PMID- 27182420
TI - Comparison of Affect and Cardiorespiratory Training Responses Between Structured
Gym Activities and Traditional Aerobic Exercise in Children.
AB - Physical activities (PA) that are pleasurable are likely to be repeated.
Structured gym activities (SGA) are defined as dodging, chasing, and fleeing
games. Traditional aerobic exercises (TAE) are defined as treadmill, cycle
ergometer, and elliptical exercise. The purpose of this investigation was to
compare affect and cardiorespiratory training responses between SGA and TAE in
children. Thirty-two participants (9.3+/-0.2) were randomized to either the SGA
or TAE group. Exercise training was seven weeks, with two sessions per week, for
35 minutes per session. Affect was measured by the (+5 (pleasurable) to -5
(displeasurable)) feelings scale. Affect was recorded at the mid-point and end of
each exercise session. The 20-meter pacer test was used to assess
cardiorespiratory fitness at baseline and post intervention. Affect responses and
heart rates were averaged across all exercise sessions. The SGA group scored
2.77+/-0.2 affect units higher than the TAE group (p < 0.0001). The TAE group
significantly increased cardiorespiratory fitness (baseline 47.8+/-3.8; post
49.1+/-3.1 ml.kg-1.min-1; p = 0.023) with no change in the SGA group (baseline
46.3+/-3.5; post 47.2+/-2.7 ml.kg-1.min-1; p = 0.127). SGA reported more positive
affect, suggesting they experienced greater pleasure during the exercise sessions
than the TAE participants. SGA activities promote more positive affect, and
therefore may increase children's PA participation.
PMID- 27182421
TI - The Effect of CardioWaves Interval Training on Resting Blood Pressure, Resting
Heart Rate, and Mind-Body Wellness.
AB - An experimental study to examine the effects of CardioWaves interval training
(CWIT) and continuous training (CT) on resting blood pressure, resting heart
rate, and mind-body wellness. Fifty-two normotensive (blood pressure <120/80
mmHg), pre-hypertensive (120-139/80-89 mmHg), and hypertensive (>140/90 mmHg)
participants were randomly assigned and equally divided between the CWIT and CT
groups. Both groups participated in the assigned exercise protocol 30 minutes per
day, four days per week for eight weeks. Resting blood pressure, resting heart
rate, and mind-body wellness were measured pre- and post-intervention. A total of
47 participants (15 females and 32 males) were included in the analysis. The CWIT
group had a non-significant trend of reduced systolic blood pressure (SBP) and
increased diastolic blood pressure (DBP) while the CT group had a statistically
significant decrease in awake SBP (p = 0.01) and total SBP (p = 0.01) and a non
significant decrease in DBP. With both groups combined, the female participants
had a statistically significant decrease in awake SBP (p = 0.002), asleep SBP (p
= 0.01), total SBP (p = 0.003), awake DBP (p = 0.02), and total DBP (p = 0.05).
The male participants had an increase in SBP and DBP with total DBP showing a
statistically significant increase (p = 0.05). Neither group had a consistent
change in resting heart rate. Both groups showed improved mind-body wellness.
CWIT and CT reduced resting blood pressure, with CT having a greater effect.
Resting heart rate did not change in either group. Additionally, both CWIT and CT
improved mind-body wellness.
PMID- 27182422
TI - Increasing Lean Mass and Strength: A Comparison of High Frequency Strength
Training to Lower Frequency Strength Training.
AB - The purpose of this study was to determine the effect strength training frequency
has on improvements in lean mass and strength. Participants were 7 women and 12
men, age (chi= 34.64 years +/- 6.91 years), with strength training experience,
training age (chi= 51.16 months +/- 39.02 months). Participants were assigned to
one of two groups to equal baseline group demographics. High frequency training
group (HFT) trained each muscle group as the agonist, 3 times per week,
exercising with 3 sets per muscle group per session (3 total body workouts). Low
frequency training group (LFT) trained each muscle group as the agonist one time
per week, completing all 9 sets during that one workout. LFT consisted of a
routine split over three days: 1) pectoralis, deltoids, and triceps; 2) upper
back and biceps; 3) quadriceps, hamstrings, calves, and abdominals. Following
eight weeks of training, HFT increased lean mass by 1.06 kg +/- 1.78 kg, (1.9%),
and LFT increased lean mass by .99 kg +/- 1.31 kg, (2.0%). HFT strength
improvements on the chest press was 9.07 kg +/- 6.33 kg, (11%), and hack squat
20.16 kg +/- 11.59 kg, (21%). LFT strength improvements on chest press was 5.80kg
+/- 4.26 kg, (7.0%), and hack squat 21.83 kg +/- 11.17 kg, (24 %). No mean
differences between groups were significant. These results suggest that HFT and
LFT of equal set totals result in similar improvements in lean mass and strength,
following 8 weeks of strength training.
PMID- 27182423
TI - Metabolic and Energy Cost of Sitting, Standing, and a Novel Sitting/Stepping
Protocol in Recreationally Active College Students.
AB - The purpose of this study was to compare the differences in metabolic and energy
cost (MEC) of college students while seated, standing, and during a
sitting/stepping protocol. Participants were assessed via indirect calorimetry
for 20 min in each of the following conditions: 1) seated in a standard office
chair, 2) standing in place, and 3) a sitting/stepping protocol in which
participants performed 1 min of stepping in place at 90 bpm, sat for 9 min, then
repeated the stepping and sitting sequence once more. Participants completed each
of the 3 trials in the aforementioned order, preceded with a 3 min acclimation
period in each condition. A significant difference in MEC was observed between
the 3 conditions, p < 0.001. Pairwise comparisons indicated that the
sitting/stepping protocol resulted in significantly greater MEC than the seated
and standing conditions (p < 0.001). Additionally, the standing protocol resulted
in significantly greater MEC than the seated protocol (p < 0.001). The
significant differences and large effect sizes between conditions indicate that
interspersing sedentary bouts with brief activity can substantially increase MEC.
Broader application of these findings may provide health promotion professionals
with novel strategies to reduce sedentary behavior and improve health.
PMID- 27182425
TI - Convergence in Healthcare: Providers, Employers, and Health Plans.
PMID- 27182424
TI - The Effect of Training Intensity on VO2max in Young Healthy Adults: A Meta
Regression and Meta-Analysis.
AB - Exercise training at a variety of intensities increases maximal oxygen uptake
(VO2max), the strongest predictor of cardiovascular and all-cause mortality. The
purpose of the present study was to perform a systematic review, meta-regression
and meta-analysis of available literature to determine if a dose-response
relationship exists between exercise intensity and training-induced increases in
VO2max in young healthy adults. Twenty-eight studies involving human participants
(Mean age: 23+/-1 yr; Mean VO2max: 3.4+/-0.8 l.min-1) were included in the meta
regression with exercise training intensity, session dose, baseline VO2max, and
total training volume used as covariates. These studies were also divided into 3
tertiles based on intensity (tertile 1: ~60-70%; 2: ~80-92.5%; 3: ~100
250%VO2max), for comparison using separate meta-analyses. The fixed and random
effects meta-regression models examining training intensity, session dose,
baseline VO2max and total training volume was non-significant (Q4=1.36; p=0.85;
R2=0.05). There was no significant difference between tertiles in mean change in
VO2max (tertile 1:+0.29+/-0.15 l/min, ES (effect size) =0.77; 2:+0.26+/-0.10
l/min, ES=0.68; 3:+0.35+/-0.17 l/min, ES=0.80), despite significant (p<0.05)
reductions in session dose and total training volume as training intensity
increased. These data suggest that exercise training intensity has no effect on
the magnitude of training-induced increases in maximal oxygen uptake in young
healthy human participants, but similar adaptations can be achieved in low
training doses at higher exercise intensities than higher training doses of lower
intensity (endurance training).
PMID- 27182426
TI - Patient Characteristics and Prescribing Patterns Associated with Sofosbuvir
Treatment for Chronic HCV Infection in a Commercially Insured Population.
AB - BACKGROUND: In December 2013, the US Food and Drug Administration (FDA) approved
sofosbuvir (Sovaldi) for the treatment of patients with chronic hepatitis C virus
(HCV) infection. Given the potential "warehousing" of patients before the launch
of sofosbuvir and the possibility that some patients and providers may have
elected to continue deferring treatment in anticipation of more promising,
interferon-free therapies in the pipeline, the early landscape for sofosbuvir
treatment is difficult to ascertain. OBJECTIVE: To describe the demographics,
clinical characteristics, and prescribing patterns associated with members
requesting treatment with sofosbuvir in a commercially insured population in the
United States. METHODS: A descriptive analysis was conducted using a randomly
selected sample of commercially insured members who were identified as having a
prior authorization request for sofosbuvir between March and June 2014. Member
and provider characteristics, as well as treatment information, were collected
using a prior authorization database from OptumRx, a national pharmacy care
services company. The results were analyzed using descriptive statistics.
RESULTS: A total of 338 members were selected for inclusion in the analysis.
Chronic HCV genotype 1 infection was present in 74.3% of the members. Chronic HCV
genotype 2, 3, or 4 was identified in 13.9%, 9.5%, and 1.2% of the members,
respectively. Gastroenterologists and hepatologists accounted for 90% of
providers. Among the 251 members with chronic HCV genotype 1, an interferon-free
regimen was requested for 59.4% (N = 149) of them; the most frequently requested
(51.4%) regimen for members with chronic HCV genotype 1 was the off-label
combination of sofosbuvir plus simeprevir. Of the members with chronic HCV
genotype 1, 19.1% had liver fibrosis equivalent to METAVIR stage F0 to F2
fibrosis, and 24.7% had liver fibrosis equivalent to METAVIR stage F3 to F4
fibrosis. For the remaining 56.2%, the degree of liver fibrosis was not known or
could not be determined. Of the members with documented liver fibrosis, 49.6%
were determined by liver biopsy. CONCLUSION: The results show that the initial
prescribing of sofosbuvir often included the off-label interferon-free regimen of
sofosbuvir plus simeprevir during the study period (of note, this combination
regimen was approved by the FDA in November 2014, after the completion of the
study period). The off-label prescribing pattern may be attributable to
"warehousing" of patients who were awaiting more tolerable therapies.
Furthermore, the limited utilization of noninvasive tests to assess liver
fibrosis suggests that providers may benefit from additional education on these
methods. Although this analysis provides insight into the treatment of patients
with chronic HCV immediately after the launch of sofosbuvir in the United States,
future research should reevaluate the treatment patterns of chronic HCV infection
to capture recent advances in the treatment of this disease.
PMID- 27182427
TI - Patient Preferences Regarding Rheumatoid Arthritis Therapies: A Conjoint
Analysis.
AB - BACKGROUND: Tofacitinib, an oral Janus kinase inhibitor approved for the
treatment of rheumatoid arthritis (RA), provides patients with an alternative to
subcutaneously or intravenously administered biologic disease-modifying
antirheumatic drugs (DMARDs). Little is known about patient preference for novel
RA treatments. OBJECTIVE: To investigate patient preferences for attributes
associated with RA treatments. METHODS: A choice-based conjoint survey was mailed
to 1400 randomly selected commercially insured patients (aged 21-80 years)
diagnosed with RA, who were continuously enrolled from May 1, 2012, through April
30, 2013, and had >=2 medical claims for International Classification of
Diseases, Ninth Revision, Clinical Modification diagnosis code 714.0 and no
previous biologic DMARD use. Treatment attributes included route of
administration; monthly out-of-pocket cost; frequency of administration; ability
to reduce daily joint pain and swelling; likelihood of serious adverse events;
improvement in the ability to perform daily tasks; and medication burden. Mean
attribute importance scores were calculated after adjusting for patient
demographics (eg, age, sex, years since diagnosis) using a hierarchical Bayes
model. Patient preferences for each treatment attribute were ranked by the
importance score. Part-worth utilities (ie, preference scores) were used to
perform a conjoint market simulation. RESULTS: A total of 380 patients (response
rate, 27.1%) returned the survey. Their mean age (+/- standard deviation) was
54.9 (+/- 9.3) years. Nonrespondents were 2 years younger (mean, 52.9 years; P =
.002) but did not differ significantly from respondents in known clinical
characteristics. After adjustment for demographic characteristics, mean patients'
ranking of treatment attribute importance, in decreasing order, was route of
administration, 34.1 (+/- 15.5); frequency of administration, 16.4 (+/- 6.8);
serious adverse events, 12.0 (+/- 9.3); cost, 10.1 (+/- 6.2); medication burden,
9.8 (+/- 8.2); joint pain reduction, 8.9 (+/- 3.8); and daily tasks improvement,
8.8 (+/- 4.7). For the route of administration attribute, the part-worth utility
was highest for the oral route. Conjoint simulation results showed that 56.4% of
respondents would prefer an oral route of administration. CONCLUSION: Based on
this survey completed by 380 patients with RA, commercially insured patients with
RA consider the route of administration to be the most important attribute of
their RA treatment. In this study, the majority (56.4%) of patients preferred the
oral route of administration over other routes. Understanding patient preferences
may help to inform provider and payer decisions in treatment selection that may
enhance patient adherence to therapy.
PMID- 27182428
TI - Inpatient Utilization and Costs for Medicare Fee-for-Service Beneficiaries with
Heart Failure.
AB - BACKGROUND: Although the medical and economic burden of heart failure in the
United States is already substantial, it will likely grow as the population ages
and life expectancy increases. Not surprisingly, most of the heart failure burden
is borne by individuals aged >=65 years, many of whom are in the Medicare
population. The population-based utilization and costs of inpatient care for
Medicare beneficiaries with heart failure are not well understood by payers and
providers. OBJECTIVE: To create a real-world view of utilization and costs
associated with inpatient admissions, readmissions, and admissions to skilled
nursing facilities among Medicare fee-for-service (FFS) beneficiaries with heart
failure. METHODS: The study used the 2011 and 2012 Medicare 5% sample limited
data set to perform a retrospective analysis of claims data. The look-back year
that was used to identify certain patient characteristics was 2011, and 2012 was
the analysis period for the study. Beneficiaries with heart failure were defined
as those who had >=1 acute inpatient, emergency department, nonacute inpatient,
or outpatient claims in 2012 containing an International Classification of
Diseases, Ninth Revision code for heart failure. To be included in the study,
beneficiaries with heart failure had to have eligibility for >=1 months in 2012
and in all 2011 months, with Part A and Part B eligibility in all the study
months, and no enrollment in an HMO (Medicare Advantage plan). Utilization of
inpatient admissions, inpatient readmissions, and skilled nursing facility
admissions in 2012 were reported for Medicare FFS beneficiaries with heart
failure and for all Medicare FFS beneficiaries. The costs for key metrics
included all allowed Medicare payments in 2012 US dollars. RESULTS: The 2012
Medicare FFS population for this study consisted of 1,461,935 patients (1,301,545
without heart failure; 160,390 with heart failure); the heart failure prevalence
was 11%. The Medicare-allowed cost per member per month (PMPM) was $3395 for a
patient with heart failure, whereas the allowed cost for the total Medicare
population was $1045 PMPM. The Medicare-allowed amounts for the population with
heart failure accounted for 34% of the total annual Medicare FFS population
allowed amounts. The heart failure population constituted 41.5%, 55.3%, and 49.5%
of total Medicare FFS inpatient admissions, readmissions, and admissions to
skilled nursing facilities, respectively. The costs of inpatient admissions,
readmissions, and admissions to skilled nursing facilities among the heart
failure population contributed $182 PMPM (17.5%), $58 PMPM (5.6%), and $46 PMPM
(4.4%), respectively, to the total Medicare FFS population-allowed cost of $1045
PMPM. CONCLUSIONS: Medicare FFS beneficiaries with heart failure have high
inpatient admission and readmission rates and generate substantial costs. Because
a substantial portion of all inpatient admissions are for Medicare beneficiaries
with heart failure, it is reasonable for hospitals in Medicare accountable care
organizations to focus on more aggressive post-acute care management, including a
focus on reducing readmissions for the population with heart failure. Our study
findings highlight areas of high service utilization and cost for Medicare
patients with heart failure that can be of value to Medicare, Medicare Advantage
plans, and providers.
PMID- 27182431
TI - Excited-state hydrogen atom abstraction initiates the photochemistry of beta-2'
deoxycytidine.
AB - Understanding the effects of ultraviolet radiation on nucleotides in solution is
an important step towards a comprehensive description of the photochemistry of
nucleic acids and their constituents. Apart from having implications for
mutagenesis and DNA photoprotection mechanisms, the photochemistry of cytidines
is a central element in UV-assisted syntheses of pyrimidine nucleotides under
prebiotically plausible conditions. In this contribution, we present UV
irradiation experiments of beta-2'-deoxycytidine in aqueous solution involving H
D exchange followed by NMR spectroscopic analysis of the photoproducts. We
further elucidate the outcome of these experiments by means of high-level quantum
chemical calculations. In particular, we show that prolonged UV-irradiation of
cytidine may lead to H-C1' hydrogen atom abstraction by the carbonyl oxygen atom
of cytosine. This process may enable photoanomerisation and nucleobase loss, two
previously unexplained photoreactions observed in pyrimidine nucleotides.
PMID- 27182430
TI - Complete genome sequence of 'Halanaeroarchaeum sulfurireducens' M27-SA2, a sulfur
reducing and acetate-oxidizing haloarchaeon from the deep-sea hypersaline anoxic
lake Medee.
AB - Strain M27-SA2 was isolated from the deep-sea salt-saturated anoxic lake Medee,
which represents one of the most hostile extreme environments on our planet. On
the basis of physiological studies and phylogenetic positioning this extremely
halophilic euryarchaeon belongs to a novel genus 'Halanaeroarchaeum' within the
family Halobacteriaceae. All members of this genus cultivated so far are strict
anaerobes using acetate as the sole carbon and energy source and elemental sulfur
as electron acceptor. Here we report the complete genome sequence of the strain
M27-SA2 which is composed of a 2,129,244-bp chromosome and a 124,256-bp plasmid.
This is the second complete genome sequence within the genus Halanaeroarchaeum.
We demonstrate that genome of 'Halanaeroarchaeum sulfurireducens' M27-SA2 harbors
complete metabolic pathways for acetate and sulfur catabolism and for de novo
biosynthesis of 19 amino acids. The genomic analysis also reveals that
'Halanaeroarchaeum sulfurireducens' M27-SA2 harbors two prophage loci and one
CRISPR locus, highly similar to that of Kulunda Steppe (Altai, Russia) isolate
'H. sulfurireducens' HSR2(T). The discovery of sulfur-respiring acetate-utilizing
haloarchaeon in deep-sea hypersaline anoxic lakes has certain significance for
understanding the biogeochemical functioning of these harsh ecosystems, which are
incompatible with life for common organisms. Moreover, isolations of
Halanaeroarchaeum members from geographically distant salt-saturated sites of
different origin suggest a high degree of evolutionary success in their
adaptation to this type of extreme biotopes around the world.
PMID- 27182429
TI - A pragmatic guide to multiphoton microscope design.
AB - Multiphoton microscopy has emerged as a ubiquitous tool for studying microscopic
structure and function across a broad range of disciplines. As such, the intent
of this paper is to present a comprehensive resource for the construction and
performance evaluation of a multiphoton microscope that will be understandable to
the broad range of scientific fields that presently exploit, or wish to begin
exploiting, this powerful technology. With this in mind, we have developed a
guide to aid in the design of a multiphoton microscope. We discuss source
selection, optical management of dispersion, image-relay systems with scan
optics, objective-lens selection, single-element light-collection theory, photon
counting detection, image rendering, and finally, an illustrated guide for
building an example microscope.
PMID- 27182432
TI - Sexual dimorphism in myocardial acylcarnitine and triglyceride metabolism.
AB - BACKGROUND: Cardiovascular disease is the leading cause of death among diabetic
patients. Importantly, recent data highlight the apparent sexual dimorphism in
the pathogenesis of cardiovascular disease in diabetics with respect to both
frequency- and age-related risk factors. The disposition to cardiovascular
disease among diabetic patients has been attributed, at least in part, to excess
lipid supply to the heart culminating in lipotoxicity of the heart and downstream
derangements. A confounding factor in obese animal models of diabetes is that
increased peripheral lipid availability to the heart can induce cardio-metabolic
remodeling independent of the underlying pathophysiology of diabetes, thus
masking the diabetic phenotype. To that end, we hypothesized that the use of non
obese diabetic (NOD) animal models will reveal metabolic signatures of diabetes
in a sex-specific manner. METHODS: To test this hypothesis, male and female NOD
Goto-Kakizaki (GK) rats were used to assess the expression profile of 84 genes
involved in lipid metabolism. In parallel, targeted lipidomics analysis was
performed to characterize sex differences in homeostasis of non-esterified fatty
acids (NEFA), acylcarnitines (AC), and triglycerides (TG). RESULTS: Our analysis
revealed significant sex differences in the expression of a broad range of genes
involved in transport, activation, and utilization of lipids. Furthermore, NOD
male rats exhibited enhanced oxidative metabolism and accumulation of TG, whereas
female NOD rats exhibited reduced TG content coupled with accumulation of AC
species. Multi-dimensional statistical analysis identified saturated AC16:0,
AC18:0, and AC20:0 as dominant metabolites in mediating sex differences in AC
metabolism. Confocal microscopy of rat cardiomyocytes exposed to AC14:0, AC16:0,
and AC18:0 confirmed induction of ROS with AC18:0 being more potent followed by
AC14:0. CONCLUSION: Overall, we demonstrate sex differences in myocardial AC and
TG metabolism with implications for therapy and diagnosis of diabetic
cardiovascular disease.
PMID- 27182433
TI - Approaches for the prevention of graft-versus-host disease following
hematopoietic cell transplantation.
AB - Allogeneic hematopoietic cell transplantation (HCT) is an important therapeutic
option for malignant and non-malignant diseases, but the more widespread
application of the therapy remains limited by the occurrence of graft versus host
disease (GVHD). GVHD results from immune-mediated injury by donor immune cells
against tissues in the HCT recipient, and can be characterized as acute or
chronic depending on the time of onset and site of organ involvement. The
majority of efforts have focused on GVHD prevention. Calcineurin inhibitors are
the most widely used agents and are included in almost all regimens. Despite
current prophylaxis strategies, 40-70% of patients remain at risk for developing
GVHD. Herein, we review standard and emerging therapies used in GVHD management.
PMID- 27182434
TI - Circulating tumor DNA in hepatocellular carcinoma: trends and challenges.
AB - Molecular characterization of individual patients' tumor cells is becoming
increasingly important in offering effective treatment for patients in clinical
practice. Recent advances in the field have indicated that circulating tumor DNA
(ctDNA) has huge potential to serve as a biomarker for early detection and
precision treatment as well as prognosis of hepatocellular carcinoma (HCC). As
ctDNA in HCC patients harbors the molecular characteristics of HCC tumor cells,
ctDNA analysis in the blood may be sufficient for convenient, non-invasive and
accurate detection, providing information for HCC diagnosis, treatment and
prognosis. In this review, we will summarize and discuss current trends and
challenges of ctDNA application in HCC.
PMID- 27182435
TI - NMR relaxation and structural elucidation of peptides in the presence and absence
of trifluoroethanol illuminates the critical molecular nature of integrin
alphavbeta6 ligand specificity.
AB - Integrin alphavbeta6 is an important emerging target for both imaging and therapy
of cancer that requires specific ligands based on Arg-Gly-Asp (RGD) peptides.
There remains little correlation between integrin-RGD ligand specificity despite
studies suggesting an RGD-turn-helix ligand motif is required. Here, we describe
the application of 15N NMR relaxation analyses and structure determination of
alphavbeta6 peptide ligands in the presence and absence of trifluoroethanol (TFE)
to identify their critical molecular nature that influences specificity,
interaction and function. Two linear peptides; one known to demonstrate
alphavbeta6 specificity (FMDV2) and the other based on a natural RGD ligand
(LAP2), were compared to two additional peptides based on FMDV2 but cyclised in
different positions using a disulphide bond (DBD1 and DBD2). The cyclic
adaptation in DBD1 produces a significant alteration in backbone dynamic
properties when compared to FMDV2; a potential driver for the loss in alphavbeta6
specificity by DBD1. The importance of ligand dynamics are highlighted through a
comprehensive reduced spectral density and ModelFree analysis of peptide 15N NMR
relaxation data and suggest alphavbeta6 specificity requires the formation of a
structurally rigid helix preceded by a RGD motif exhibiting slow internal motion.
Additional observations include the effect of TFE/water viscosity on global NMR
dynamics and the advantages of using spectral density NMR relaxation data to
estimate correlation times and motional time regimes for peptides in solution.
PMID- 27182436
TI - Optimising selective excitation pulses to maximise saturation transfer difference
NMR spectroscopy.
AB - A simple method is presented that optimizes the STD NMR Gaussian pulse to deliver
significant increases in STD amplification factors with minimal perturbation of
the ligand. This approach is practically demonstrated using the wheat-germ
agglutinin/N-acetyl-D-glucosamine protein-ligand system.
PMID- 27182437
TI - Interactive graphics for functional data analyses.
AB - Although there are established graphics that accompany the most common functional
data analyses, generating these graphics for each dataset and analysis can be
cumbersome and time consuming. Often, the barriers to visualization inhibit
useful exploratory data analyses and prevent the development of intuition for a
method and its application to a particular dataset. The refund.shiny package was
developed to address these issues for several of the most common functional data
analyses. After conducting an analysis, the plot shiny() function is used to
generate an interactive visualization environment that contains several distinct
graphics, many of which are updated in response to user input. These
visualizations reduce the burden of exploratory analyses and can serve as a
useful tool for the communication of results to non-statisticians.
PMID- 27182438
TI - Model-free methods to study membrane environmental probes: a comparison of the
spectral phasor and generalized polarization approaches.
AB - In this note, we present a discussion of the advantages and scope of model-free
analysis methods applied to the popular solvatochromic probe LAURDAN, which is
widely used as an environmental probe to study dynamics and structure in
membranes. In particular, we compare and contrast the generalized polarization
approach with the spectral phasor approach. To illustrate our points we utilize
several model membrane systems containing pure lipid phases and, in some cases,
cholesterol or surfactants. We demonstrate that the spectral phasor method offers
definitive advantages in the case of complex systems.
PMID- 27182439
TI - Combination delivery of Adjudin and Doxorubicin via integrating drug conjugation
and nanocarrier approaches for the treatment of drug-resistant cancer cells.
AB - Combination therapy has been regarded as a potent strategy to overcome multidrug
resistance (MDR). In this study, we adopt Adjudin (ADD), a mitochondria
inhibitor, and Doxorubicin (DOX), a common chemo-drug, to treat drug-resistant
cancer cells (MCF-7/ADR) in combination. Given the different physico-chemical
properties of ADD and DOX, we develop a novel drug formulation (ADD-DOX (M)) by
integrating drug conjugation and nanocarrier approaches to realize the co
delivery of the two drugs. We demonstrate the conjugation of ADD and DOX via
formation of an acid-sensitive hydrazone bond, and then the encapsulation of ADD
DOX conjugates by DSPE-PEG2000 micelles with high drug encapsulation efficiency
and well-controllable drug loading efficiency. The obtained ADD-DOX (M) micelles
are found to be stable under physiological conditions, but can rapidly release
drugs within acidic environments. Following cellular experiments confirm that ADD
DOX (M) vehicles can be internalized by MCF-7/ADR cancer cells through an
endocytic pathway and exist within the moderate acidic endolysosomes, thus
accelerating the hydrolysis of ADD-DOX and the release of free ADD and DOX. As a
result, the ADD-DOX (M) formulation exhibits an excellent anti-MDR effect. In
summary, we for the first time report the combinational use of ADD and DOX with
an effective co-delivery strategy for the treatment of MDR cancer cells.
PMID- 27182440
TI - Topography measurements and applications in ballistics and tool mark
identifications.
AB - The application of surface topography measurement methods to the field of firearm
and toolmark analysis is fairly new. The field has been boosted by the
development of a number of competing optical methods, which has improved the
speed and accuracy of surface topography acquisitions. We describe here some of
these measurement methods as well as several analytical methods for assessing
similarities and differences among pairs of surfaces. We also provide a few
examples of research results to identify cartridge cases originating from the
same firearm or tool marks produced by the same tool. Physical standards and
issues of traceability are also discussed.
PMID- 27182441
TI - Studies on the laccase-mediated decolorization, kinetic, and microtoxicity of
some synthetic azo dyes.
AB - BACKGROUND: Enzymatic elimination of synthetic dyes, one of the most
environmentally hazardous chemicals, has gained a great interest during the two
last decades. The present study was performed to evaluate the decolorization and
detoxification potential of the purified laccase of Paraconiothyrium variabile in
both non-assisted and hydroxybenzotriazole-aided form against six azo dyes.
RESULTS: The obtained results showed that Acid Orange 67, Disperse Yellow 79,
Basic Yellow 28, Basic Red 18, Direct Yellow 107, and Direct Black 166 were
decolorized up to 65.3, 53.3, 46.7, 40.7, 34, and 26.2 %, respectively, after 1 h
treatment with laccase (0.5 U/mL). Addition of HBT up to 5 mM enhanced
decolorization percent of all the investigated dyes. The results of kinetic study
introduced the monoazo dye of Acid Orange 67 as the most suitable substrate for
laccase with K m of 0.49 mM and V max of 189 mmol/min/mg. Evaluation the toxic
effect of laccase-treated dye sample based on the growth inhibition of standard
bacterial strains revealed decrease in toxicity of all applied dyes after
treatment by laccase. CONCLUSIONS: Application of the P. variabile laccase as
biocatalyst efficiently decreased the toxicity of all studied synthetic azo dyes.
PMID- 27182442
TI - Employment and occupation effects on late-life depressive symptoms among older
Koreans: a cross-sectional population survey.
AB - BACKGROUND: The present study investigated the prevalence of depressive symptoms
in older Koreans and identified associations between depressive symptoms and
occupational factors. METHODS: Data from the Korean National Health and Nutrition
Examination Survey V (2010-2012) were used to analyze 7320 participants aged 55
years or older. Complex sample logistic regression analysis was performed after
adjusting general characteristics to determine associations between depressive
symptoms and occupational factors. RESULTS: Among older Korean men, the
prevalence of depressive symptoms in the employed and the non-employed groups
were 9.9 % and 13.7 %, respectively. Employment status was significantly
associated with depressive symptoms after adjusting for general factors (OR:
0.69, 95 % CI: 0.49-0.97). Among older Korean women, the prevalence of depressive
symptoms in the employed and the non-employed groups were 17.4 % and 20.3 %,
respectively, but employment status was not significantly associated with
depressive symptoms. Second skill level occupational groups (clerks, plant and
machine operators) in particular showed significantly lower prevalence of
depressive symptoms than the non-employed group of men (9.3 % vs 13.7 %). By
occupation type, the odds ratios were 0.31 (95 % CI: 0.10-0.97, clerks) and 0.47
(95 % CI: 0.23-0.86, plant and machine operators) adjusting for general factors.
CONCLUSIONS: The employed group showed lower late-life depressive symptom
prevalence than the non-employed group among older Korean men. In addition some
second skill level occupations (clerks, plant and machine operators) were
significantly associated with a lower risk of depressive symptoms after adjusting
for general factors in older Korean men.
PMID- 27182443
TI - The association between blood cadmium level, frequency and amount of gejang
(marinated crab) intake.
AB - BACKGROUND: Gejang (marinated crab) is a favorite traditional food and a main
source of crab intake among Koreans. The present study aimed to identify the
possibility of cadmium inflow to the body through gejang; accordingly, the
relationship between gejang intake frequency and amount, and blood cadmium
concentrations was investigated. METHODS: Using data from the first Korea
National Health and Nutrition Examination Survey in the sixth period in 2013,
means and standard errors of blood cadmium concentrations in relation to gejang
intake frequency and amount, as well as the monthly intake of gejang, were
obtained from 1381 participants for whom data regarding blood cadmium
concentration measurements was available. RESULTS: After adjustment for
confounding factors, a gejang intake frequency of four or fewer times per week
and a monthly intake of 200 cm(3) or less had no significant effect on blood
cadmium concentrations. However, participants with gejang intake of at least five
times per week had a weighted mean cadmium concentration of 2.12 MUg/L (p <
0.001), and participants who had a gejang monthly intake of more than 200 cm(3)
had a weighted mean cadmium concentration of 1.76 MUg/L (p < 0.001). CONCLUSION:
These results suggest that to minimize the effect of gejang consumption on blood
cadmium level, gejang intake should be limited to four or less times per week and
200 cm(3) or less per month. Weekly intake of at least five times and monthly
intake of more than 200 cm(3) may increase blood cadmium levels.
PMID- 27182444
TI - Perspectives on optimizing care of patients in multidisciplinary chronic kidney
disease clinics.
AB - PURPOSE OF REVIEW: To summarize a jointly held symposium by the Canadian Society
of Nephrology (CSN), the Canadian Association of Nephrology Administrators
(CANA), and the Canadian Kidney Knowledge Translation and Generation Network
(CANN-NET) entitled "Perspectives on Optimizing Care of Patients in
Multidisciplinary Chronic Kidney Disease (CKD) Clinics" that was held on April
24, 2015, in Montreal, Quebec. SOURCES OF INFORMATION: The panel consisted of a
variety of members from across Canada including a multidisciplinary CKD clinic
patient (Randall Russell), nephrology fellow (Dr. David Collister), geriatrician
(Dr. Josee Verdon), and nephrologists (Dr. Monica Beaulieu, Dr. Adeera Levin).
FINDINGS: The objectives of the symposium were (1) to gain an understanding of
the goals of care for CKD patients, (2) to gain an appreciation of different
perspectives regarding optimal care for patients with CKD, (3) to examine the
components required for optimal care including education strategies, structures,
and tools, and (4) to describe a framework and metrics for CKD care which respect
patient and system needs. This article summarizes the key concepts discussed at
the symposium from a patient and physician perspectives. Key messages include (1)
understanding patient values and preferences is important as it provides a
framework as to what to prioritize in multidisciplinary CKD clinic and provincial
renal program models, (2) barriers to effective communication and education are
common in the elderly, and adaptive strategies to limit their influence are
critical to improve adherence and facilitate shared decision-making, (3) the use
of standardized operating procedures (SOPs) improves efficiency and minimizes
practice variability among health care practitioners, and (4) CKD scorecards with
standardized system processes are useful in approaching variability as well as
measuring and improving patient outcomes. LIMITATIONS: The perspectives provided
may not be applicable across centers given the differences in patient populations
including age, ethnicity, culture, language, socioeconomic status, education, and
multidisciplinary CKD clinic structure and function. IMPLICATIONS: Knowledge
transmission by collaborative interprovincial and interprofessional networks may
play a role in facilitating optimal CKD care. Validation of system and clinic
models that improve outcomes is needed prior to disseminating these best
practices.
PMID- 27182446
TI - Mesenchymal stem cell therapy for acute radiation syndrome.
AB - Acute radiation syndrome affects military personnel and civilians following the
uncontrolled dispersal of radiation, such as that caused by detonation of nuclear
devices and inappropriate medical treatments. Therefore, there is a growing need
for medical interventions that facilitate the improved recovery of victims and
patients. One promising approach may be cell therapy, which, when appropriately
implemented, may facilitate recovery from whole body injuries. This editorial
highlights the current knowledge regarding the use of mesenchymal stem cells for
the treatment of acute radiation syndrome, the benefits and limitations of which
are under investigation. Establishing successful therapies for acute radiation
syndrome may require using such a therapeutic approach in addition to
conventional approaches.
PMID- 27182447
TI - Bell's palsy at high altitude -- an unsuspected finding.
AB - BACKGROUND: Bell's palsy is a common condition seen in clinical practice. The
aetiology of this condition is not clearly defined and neuroimaging is essential
to exclude intracranial causes of infra-nuclear facial palsy. CASE PRESENTATION:
We report a young soldier, who presented with Bell's palsy and neuroimaging
revealed an unsuspected finding of multiple intracranial calcifications. Detailed
evaluation revealed the additional diagnosis of vitamin D deficiency and
secondary hyperparathyroidism due to lack of sun exposure at high altitude area.
CONCLUSION: The health care practitioners, looking after the soldiers at high
altitude areas should be aware of the measures to prevent vitamin D deficiency.
Intracranial calcifications are uncommon in hyperparathyroidism and Bell's palsy.
PMID- 27182448
TI - Determinants of undernutrition among children aged 6 to 59 months in rural
Zambezia Province, Mozambique: Results of two population-based serial cross
sectional surveys.
AB - BACKGROUND: While many countries are transitioning from epidemics of
undernutrition to overnutrition, Mozambique's very high 44% prevalence of
stunting in children under age 5 years is cause for serious concern. METHODS: We
conducted two population-based cross-sectional surveys of ~4000 female heads of
households each in Zambezia Province, Mozambique from August-September 2010
(Baseline) and April-May 2014 (Endline) as part of the USAID funded Strengthening
Communities through Integrated Programs (SCIP) grant. Anthropometric measurements
were collected on 560 children aged 6-59 months at Baseline and 912 children at
Endline and classified as: "stunted," a height-for-age z-score less than -2;
"wasted," weight-for-height z-score less than -2; and "underweight," weight-for
age z-score less than -2. Descriptive statistics and logistic regression using
Stata 13.1 were used to examine factors associated with undernutrition. RESULTS:
Of children under age five years, 43% were undernourished in 2010 and 55% in
2014. The most common form of undernutrition was stunting (39% in 2010, 51% in
2014), followed by underweight (13% in both 2010 and 2014), and wasting (7% in
2010, 5% in 2014). Child's age was found to have a non-linear association with
stunting. Vitamin A supplementation was associated with a 31% (p=0.04) decreased
odds of stunting. Children who were exclusively breastfed for at least six months
had an 80% (p=0.02) lower odds of wasting in 2014 and 57% (p=0.05) decreased odds
of being underweight in 2014. Introducing other foods after age six months was
associated with a five-fold increased odds of wasting in 2014 (p=0.02); household
food insecurity was associated with wasting (OR=2.08; p=0.03) and underweight in
2010 (OR=2.31; p=0.05). Children whose mother washed her hands with a cleaning
agent had a 40% (p=0.05) decreased odds of being underweight. Surprisingly, per
point increase in household dietary diversity score, children had 12% greater
odds of being stunted in 2010 (p=0.01) but 9% decreased odds of being underweight
in 2014 (p=0.02). CONCLUSIONS: A combination of household and individual level
factors was associated with undernutrition. As such, employment of
multidimensional interventions should be considered to decrease undernutrition in
children under five years old.
PMID- 27182450
TI - CYP-epoxygenase metabolites of docosahexaenoic acid protect HL-1 cardiac cells
against LPS-induced cytotoxicity Through SIRT1.
AB - Bacterial LPS is an environmental toxin capable of promoting various cardiac
complications. Current evidence suggests that LPS-induced myocardial dysfunction
emerges as a consequence of compromised quality of cardiac mitochondria.
Docosahexaenoic acid (DHA, 22:6n3) is an n-3 polyunsaturated fatty acid (PUFA),
which produces a broad spectrum of intrinsic physiological effects including
regulation of cell survival and death mechanisms. Although, numerous studies
revealed fundamentally beneficial effects of DHA on cardiovascular system, it
remains unknown whether these effects were produced by DHA or one of its possibly
more potent metabolites. Emerging evidence indicates that cytochrome P450 (CYP)
epoxygenase metabolites of DHA, epoxydocosapentaenoic acids (EDPs), produce more
potent biological activity compared to its precursor DHA. In this study we
investigated whether DHA and its metabolite 19,20-EDP could protect HL-1 cardiac
cells against LPS-induced cytotoxicity. We provide evidence that exogenously
added or DHA-derived EDPs promote mitochondrial biogenesis and function in HL-1
cardiac cells. Our results illustrate the CYP epoxygenase metabolite of DHA,
19,20-EDP, confers extensive protection to HL-1 cardiac cells against LPS-induced
cytotoxicity via activation of SIRT1.
PMID- 27182451
TI - A Clinical Trial of Translation of Evidence Based Interventions to Mobile Tablets
and Illness Specific Internet Sites.
AB - This article describes a method to translate an evidence based health care
intervention to the mobile environment. This translation assisted patient
participants to: avoid life threatening infections; monitor emotions and fatigue;
keep involved in healthy activities. The mobile technology also decreased costs
by reducing for example travel to visit health care providers. Testing of this
translation method and its use by comparison groups of patients adds to the
knowledge base for assessing technology for its impact on health outcome
measures. The challenges and workflow of designing materials for the mobile
format are described. Transitioning clinical trial verified interventions,
previously provided in person to patients, onto tablet and internet platforms is
an important process that must be evaluated. In this study, our evidence based
guide's intravenous (IV) homeCare interventions (IVhomeCare) were delivered via
Apple iPad miniTM tablet audiovisual instruction / discussion sessions and on a
website. Each iPad audiovisual session (n = 41), included three to five families,
a mental health specialist, and healthcare professionals. Patients and their
family caregivers readily learned to use the wireless mobile tablets, and the
IVhomeCare interventions, as described here, were successfully translated onto
these mobile technology platforms. Using Likert scale responses on a
questionnaire (1 = not helpful and 5 = very helpful) participants indicated that
they gained problem solving skills for home care through iPad group discussion (M
= 4.60, SD = 0.60). The firewall protected videoconferencing in real time with
multiple healthcare professionals effectively allowed health history taking and
visual inspection of the patient's IV insertion site for signs of infection.
Supportive interactions with peer families on videoconferencing were documented
during discussions. Discussion topics included low moods, fatigue, infection
worry, how to maintain independence, and need for support from others with their
same lifelong IV experiences. The visual family interactions, discussions with
professionals, and the iPad internet links were highly rated. Mobile distance
care delivery can result in saved time and money for both healthcare
professionals and families.
PMID- 27182449
TI - A review of 3D image-based dosimetry, technical considerations and emerging
perspectives in 90Y microsphere therapy.
AB - Yttrium-90 radioembolization (90Y-RE) is a well-established therapy for the
treatment of hepatocellular carcinoma (HCC) and also of metastatic liver deposits
from other malignancies. Nuclear Medicine and Cath Lab diagnostic imaging takes a
pivotal role in the success of the treatment, and in order to fully exploit the
efficacy of the technique and provide reliable quantitative dosimetry that are
related to clinical endpoints in the era of personalized medicine, technical
challenges in imaging need to be overcome. In this paper, the extensive
literature of current 90Y-RE techniques and challenges facing it in terms of
quantification and dosimetry are reviewed, with a focus on the current generation
of 3D dosimetry techniques. Finally, new emerging techniques are reviewed which
seek to overcome these challenges, such as high-resolution imaging, novel
surgical procedures and the use of other radiopharmaceuticals for therapy and pre
therapeutic planning.
PMID- 27182453
TI - Network of remote and local protein dynamics in dihydrofolate reductase
catalysis.
AB - Molecular dynamics calculations and bionformatic studies of dihydrofolate
reductase (DHFR) have suggested a network of coupled motions across the whole
protein that is correlated to the reaction coordinate. Experimental studies
demonstrated that distal residues G121, M42 and F125 in E. coli DHFR participate
in that network. The missing link in our understanding of DHFR catalysis is the
lack of a mechanism by which such remote residues can affect the catalyzed
chemistry at the active site. Here, we present a study of the temperature
dependence of intrinsic kinetic isotope effects (KIEs) that indicates synergism
between a remote residue in that dynamic network, G121, and the active site's
residue I14. The intrinsic KIEs for the I14A-G121V double mutant showed steeper
temperature dependence (DeltaEa(T-H)) than expected from comparison of the wild
type and two single mutants. That effect was non-additive, i.e., DeltaEa(T
H)G121V +DeltaEa(T-H) I14A < DeltaEa(T-H) double mutant, which indicates a
synergism between the two residues. This finding links the remote residues in the
network under investigation to the enzyme's active site, providing a mechanism by
which these residues can be coupled to the catalyzed chemistry. This experimental
evidence validates calculations proposing that both remote and active site
residues constitute a network of coupled promoting motions correlated to the bond
activation step (C-H->C hydride transfer in this case). Additionally, the effect
of I14A and G121V mutations on single turnover rates was additive rather than
synergistic. Although single turnover rate measurements are more readily
available and thus more popular than assessing intrinsic kinetic isotope effects,
the current finding demonstrates that for these rates, which in DHFR reflect
several microscopic rate constants, can fall short of revealing the nature of the
C-H bond activation per se.
PMID- 27182454
TI - Lower Plasma Zinc Levels in Hyperglycemic People Living with HIV in the MASH
cohort.
AB - BACKGROUND: Zinc deficiency is prevalent in HIV and hyperglycemic patients.
Antiretroviral therapy (ART) is a treatment to control HIV progression; however
it increases the risk for hyperglycemia. The objective of this study was to
assess the plasma zinc levels in hyperglycemic people living with HIV (PLWH).
METHODS: Secondary analysis was conducted on the data from the Miami Adult
Studies in HIV (MASH) cohort in Florida. Patients were categorized into
hyperglycemic group (fasting blood glucose >=100 mg/dL) and normal group (<100
mg/dL). RESULTS: Plasma zinc status and CD4 levels were lower in the
hyperglycemic group, however the difference was not significant. There was a
greater percentage of plasma zinc deficiency in the hyperglycemic group (69%)
compared to the normoglycemic group (64%). DISCUSSION: Although not statistically
significant, related biomarkers such as plasma zinc levels and CD4 levels were
lower in the hyperglycemic group. This may be due to the role zincplays in the
immune system. Due to the fact that there was a higher percentage of plasma zinc
deficiency in the hyperglycemic group (69%) compared to the normoglycemic group
(64%), it is important to monitor and manage blood glucose levels to minimize
complications. Our findings along with previous findings suggest that zinc
supplementation may benefit hyperglycemic PLWH.
PMID- 27182452
TI - A Brief Chronicle of CD4 as a Biomarker for HIV/AIDS: A Tribute to the Memory of
John L. Fahey.
AB - Foundational cellular immunology research of the 1960s and 1970s, together with
the advent of monoclonal antibodies and flow cytometry, provided the knowledge
base and the technological capability that enabled the elucidation of the role of
CD4 T cells in HIV infection. Research identifying the sources and magnitude of
variation in CD4 measurements, standardized reagents and protocols, and the
development of clinical flow cytometers all contributed to the feasibility of
widespread CD4 testing. Cohort studies and clinical trials provided the context
for establishing the utility of CD4 for prognosis in HIV-infected persons,
initial assessment of in vivo antiretroviral drug activity, and as a surrogate
marker for clinical outcome in antiretroviral therapeutic trials. Even with
sensitive HIV viral load measurement, CD4 cell counting is still utilized in
determining antiretroviral therapy eligibility and time to initiate therapy. New
point of care technologies are helping both to lower the cost of CD4 testing and
enable its use in HIV test and treat programs around the world.
PMID- 27182455
TI - Myocardial Strain and Strain Rate in Kawasaki Disease: Range, Recovery, and
Relationship to Systemic Inflammation/Coronary Artery Dilation.
AB - BACKGROUND: Kawasaki Disease (KD), a systemic vasculitis of medium sized vessels,
is the most common cause of acquired heart disease among children in the
developed world. Some KD patients demonstrate echocardiographic evidence of
depressed myocardial mechanics. However, the incidence, etiology, and
reversibility of abnormal mechanics in KD patients remain undefined. METHODS AND
RESULTS: We retrospectively studied 41 KD patients and measured myocardial strain
and strain rate by velocity vector imaging from pre-treatment and convalescent
echocardiograms. Pre-treatment procalcitonin, C-reactive protein (CRP), and
coronary artery z-scores were obtained in all patients and compared between the
groups with preserved versus depressed acute phase mechanics. The change in
mechanics between the acute and convalescent phases was also assessed. Patients
with initially low longitudinal strain improved by the convalescent period (mean
difference - 4.0%; p<0.005) with the greatest improvement occurring in patients
with the lowest initial strain (-7.3%; p<0.05). Patients with higher initial
strain did not change significantly by the convalescent period. Patients with
lower longitudinal and circumferential strain demonstrated higher median
procalcitonin levels (1.2 vs. 0.3 ng/mL; p<0.05 and 1.8 vs. 0.4 ng/mL; p<0.05
respectively) and a trend towards higher CRP, but no difference in coronary
artery z-scores. Strain rate was not associated with inflammatory markers or
coronary artery z-scores. CONCLUSIONS: The range of strain found in our cohort
was large. Improvement in mean strain was driven primarily by patients with lower
initial strain. Lower strain was associated with increased markers of systemic
inflammation, but not proximal coronary artery changes.
PMID- 27182457
TI - Using an Instructional Design Model to Teach Medical Procedures.
AB - Educators are often tasked with developing courses and curricula that teach
learners how to perform medical procedures. This instruction must provide an
optimal, uniform learning experience for all learners. If not well designed, this
instruction risks being unstructured, informal, variable amongst learners, or
incomplete. This article shows how an instructional design model can help craft
courses and curricula to optimize instruction in performing medical procedures.
Educators can use this as a guide to developing their own course instruction.
PMID- 27182458
TI - Induction of Cancer Cell Death by Hyaluronic Acid-Mediated Uptake of Cytochrome
C.
AB - Effective cancer treatment needs both, passive and active targeting approaches,
to achieve highly specific drug delivery to the target cells while avoiding
cytotoxicity to normal cells. Protein drugs are useful in this context because
they can display excellent specificity and potency. However, their use in
therapeutic formulations is limited due to their physical and chemical
instability during storage and administration. Polysaccharides have been used to
stabilize proteins during formulation and delivery. To accomplish both,
stabilization and targeting simultaneously, the apoptosis-inducing protein
cytochrome c (Cyt c) was modified with the polysaccharide hyaluronic acid (HA)
because its corresponding receptor CD44 is overexpressed in many cancers. Cyt c
HA bioconjugates were formed using low and high molecular weight HA (8 kDa and 1
MDa) with a resultant Cyt c loading percentage of 4%. Circular dichroism and a
cell-free caspase assay showed minor structural changes and high bioactivity
(more than 80% caspase activation) of Cyt c, respectively, after bioconjugate
formation. Two CD44-positive cancer cells lines, HeLa and A549 cells, and two
CD44-negative normal cell lines, Huvec and NIH-3T3 cells, were incubated with the
samples to assess selectivity and cytotoxicity. After 24 h of incubation with the
samples, cancer cell viability was reduced at least 3-fold while CD44-negative
control cell lines remained minimally affected. Fluorescence imaging confirmed
selective internalization of the Cyt c-HA construct by CD44-positive cancer cell
lines. These results demonstrate the development of a drug delivery system that
incorporates passive and active targeting which is essential for cancer
treatment.
PMID- 27182459
TI - A Qualitative Examination of Stigma Among Formerly Incarcerated Adults Living
With HIV.
AB - The over-representation of people with stigmatized characteristics in the U.S.
criminal justice population, including adults living with HIV, makes formerly
incarcerated adults susceptible to multiple stigmas. Yet, the experience of HIV
related stigma, especially among individuals who have an additional compromising
status in society (i.e., a criminal record) is understudied. This study used
qualitative data from 30 interviews with formerly incarcerated adults living with
HIV to explore the contexts within which one of these statuses becomes more
salient than another for these individuals. Anticipated stigma was the primary
barrier to disclosure of either status. The salience of anticipated stigma
depended on the context within which disclosure may occur, including social
interactions, employment, and engaging in HIV care. Communities with a high
prevalence of HIV and incarceration, and health care providers within those
communities should be targeted for stigma reduction efforts. Practitioners should
work to empower individuals living with HIV, especially in the face of multiple
potential stigmas.
PMID- 27182456
TI - Role of Serum Biomarkers in Early Detection of Non-Alcoholic Steatohepatitis and
Fibrosis in West Virginian Children.
AB - BACKGROUND: Obesity, an epidemic among West Virginia children, as well as insulin
resistance (IR), is well-established contributors to nonalcoholic steatohepatitis
(NASH). Progression of NASH can lead to hepatic fibrosis and cirrhosis, making
early detection imperative. The standard for diagnosing NASH is histologically
via liver biopsy, which is highly invasive and generally contraindicated in
children. By studying serum biomarkers associated with NASH, we aim to identify
high risk children who can benefit from a less invasive, alternative approach to
the early detection of NASH. METHODS: Seventy one children were prospectively
recruited and divided into 3 groups: normal weight without IR (control), obese
without IR, and obese with IR. Serum samples were drawn for each patient and
biomarker levels were assessed via ELISA kits. RESULTS: Obese without IR and
obese with IR patients had significantly elevated levels of lipid metabolism and
accumulation markers (FGF-21, NEFA, FATP5, ApoB), oxidative stress markers
(dysfunctional HDL, 8-Isoprostane), inflammatory markers(dysfunctional HDL, CK
18) and apoptosis markers (CK-18) compared to control patients (p<0.02).
Bilirubin (an antioxidant) was significantly decreased in the obese without IR
and obese with IR patients compared to control (p<0.02). CONCLUSION: This study
showed a correlation between obesity, IR, and biomarkers associated with NASH in
pediatrics patients from West Virginia, with obese with IR patients showing the
strongest correlation. These findings support the clinical application of these
serum biomarkers as a less invasive method for early detection of NASH and
hepatic fibrosis.
PMID- 27182460
TI - A Multivariate Timeseries Modeling Approach to Severity of Illness Assessment and
Forecasting in ICU with Sparse, Heterogeneous Clinical Data.
AB - The ability to determine patient acuity (or severity of illness) has immediate
practical use for clinicians. We evaluate the use of multivariate timeseries
modeling with the multi-task Gaussian process (GP) models using noisy,
incomplete, sparse, heterogeneous and unevenly-sampled clinical data, including
both physiological signals and clinical notes. The learned multi-task GP (MTGP)
hyperparameters are then used to assess and forecast patient acuity. Experiments
were conducted with two real clinical data sets acquired from ICU patients:
firstly, estimating cerebrovascular pressure reactivity, an important indicator
of secondary damage for traumatic brain injury patients, by learning the
interactions between intracranial pressure and mean arterial blood pressure
signals, and secondly, mortality prediction using clinical progress notes. In
both cases, MTGPs provided improved results: an MTGP model provided better
results than single-task GP models for signal interpolation and forecasting (0.91
vs 0.69 RMSE), and the use of MTGP hyperparameters obtained improved results when
used as additional classification features (0.812 vs 0.788 AUC).
PMID- 27182463
TI - Sexual Decision Making in the Absence of Choice: The African American Female
Dating Experience.
AB - Although links between low mate availability and increased HIV and STI risk for
African American women have been documented in the literature, we know little
about the impact of limited mate choices on the quality of relationships between
Black men and women and how these relationship dynamics impact risk for young
Black women. We conducted a qualitative study with African American female young
adults (N=12) to explore the perceived impact of structural forces on African
American female young adults' dating and sexual behavior. Participants reported
(1) perceptions of Black men as untrustworthy and manipulative, (2) the limited
and often negative roles for Black men in the larger Black community, and (3)
heterosexual relationships in the Black community as increasingly influenced by
economics and commerce. Recommendations for HIV prevention interventions that
include micro and macro level approaches are discussed.
PMID- 27182462
TI - Visible Red Light Emitting Diode Photobiomodulation for Skin Fibrosis: Key
Molecular Pathways.
AB - Skin fibrosis, also known as skin scarring, is an important global health problem
that affects an estimated 100 million persons per year worldwide. Current
therapies are associated with significant side effects and even with combination
therapy, progression, and recurrence is common. Our goal is to review the
available published data available on light-emitting diode-generated (LED) red
light phototherapy for treatment of skin fibrosis. A search of the published
literature from 1 January 2000 to present on the effects of visible red light on
skin fibrosis, and related pathways was performed in January 2016. A search of
PubMed and EMBASE was completed using specific keywords and MeSH terms.
"Fibrosis" OR "skin fibrosis" OR "collagen" was combined with ("light emitting
diode," "LED," "laser," or "red light"). The articles that were original research
studies investigating the use of visible red light to treat skin fibrosis or
related pathways were selected for inclusion. Our systematic search returned a
total of 1376 articles. Duplicate articles were removed resulting in 1189 unique
articles, and 133 non-English articles were excluded. From these articles, we
identified six articles related to LED effects on skin fibrosis and dermal
fibroblasts. We augmented our discussion with additional in vitro data on related
pathways. LED phototherapy is an emerging therapeutic modality for treatment of
skin fibrosis. There is a growing body of evidence demonstrating that visible LED
light, especially in the red spectrum, is capable of modulating key cellular
characteristic associated with skin fibrosis. We anticipate that as the
understanding of LED-RL's biochemical mechanisms and clinical effects continue to
advance, additional therapeutic targets in related pathways may emerge. We
believe that the use of LED-RL, in combination with existing and new therapies,
has the potential to alter the current treatment paradigm of skin fibrosis. There
is a current lack of clinical trials investigating the efficacy of LED-RL to
treat skin fibrosis. Randomized clinical trials are needed to demonstrate visible
red light's clinical efficacy on different types of skin fibrosis.
PMID- 27182464
TI - Factors Associated with Unmet Needs among African-American Dementia Care
Providers.
AB - Racial and ethnic minorities currently comprise 20% of the U.S. population; in
2050, this figure is expected to rise to 42%. As a result, Alzheimer's disease
(AD), the 5th leading cause of death for people aged 65 and older, is likely to
increase in these groups. Most dementia caregiving for these populations comes
from family and friends, especially among families with lower socioeconomic
status. A convenience sample of 30 African-American dementia caregivers was
interviewed to determine unmet needs. Participants expressed a limited desire for
formal services, such as support groups, legal advice, case management, and
homemaker services. Instead, commonly expressed needs were daytime respite care
and especially a desire for family and social support. Many caregivers expressed
a need for other family members to share responsibility in the process;
therefore, methods for caregiver support that address multiple family members in
care provision may be beneficial for this group.
PMID- 27182461
TI - Two Decades of Teledermatology: Current Status and Integration in National
Healthcare Systems.
AB - Teledermatology, originating in 1995, has been one of the first telemedicine
services to see the light of day. Two decades of teledermatology research is
summarized in this review. A literature search was conducted in PubMed. Search
terms included "teledermatology," "teledermoscopy," "tele wound care,"
"telederm*," "(dermatology OR dermoscopy OR wound care OR skin) AND (telemedicine
OR ehealth or mhealth OR telecare OR teledermatology OR teledermoscopy)."
Inclusion criteria were (i) Dutch or English written papers and (ii) publication
year from 2011 to present or (iii) (systematic) reviews with publication year
before 2011. One hundred fourteen publications and 14 (systematic) reviews were
included for full text reading. Focus of this review is on the following
outcomes: (i) actors (primary, secondary, tertiary), (ii) purposes (consultation,
triage, follow-up, education) and subspecialties (tele-wound care, burn care,
teledermoscopy (teledermatoscopy), teledermatopathology, and mobile
teledermatology), (iii) delivery modalities and technologies (store and forward,
real-time interactive, and hybrid modalities using web-based systems, email,
mobile phones, tablets, or videoconferencing equipment), (iv) business models,
(v) integration of teledermatology into national healthcare systems, (vi)
preconditions and requirements for implementation (security, ethical issues,
responsibility, reimbursement, user satisfaction, technique, and technology
standards), and (vii) added value. To conclude, teledermatology is an efficient
and effective healthcare service compared to in-person care. Teledermatology
reduces patients' travel time and waiting time, avoids (unnecessary) dermatologic
visits, and improves access of care to underserved patients.
PMID- 27182465
TI - Brain Metastases as Presenting Feature in 'Burned Out' Testicular Germ Cell
Tumor.
AB - Testicular germ cell tumors (TGCTs) are the most common malignancy in males aged
20 to 39, and the incidence is increasing. TGCTs have a tendency to grow rapidly
with a high risk of metastatic spread. TGCTs generally present with a palpable
testicular mass, yet may present less commonly with symptoms arising from
metastatic disease. A 24-year-old otherwise healthy male presented with
progressive headaches. Initial imaging reported a single mass in the right
frontal lobe. Complete surgical resection revealed suspicion for metastatic
poorly differentiated carcinoma with an inconclusive immunohistochemical profile.
Further staging scans revealed pulmonary and pelvic tumor deposits. Tumor markers
with alpha-fetoprotein, beta-human chorionic gonadotropin, and lactate
dehydrogenase were not elevated. Follow-up cranial magnetic resonance imaging
revealed intracranial disease progression and he underwent whole brain radiation
therapy. Additional outside pathology consultation for chromosomal analysis
revealed features consistent with a TGCT. A scrotal ultrasound revealed a
minimally atrophic right testicle. With evidence supporting the potential for
response to chemotherapeutic treatment in TGCT, the patient was started on
cisplatin and etoposide. Bleomycin was planned for the second cycle of
chemotherapy if his pulmonary function improved. A salient feature of all
invasive TGCTs is a gain in material in the short arm of chromosome 12, and is
diagnostic if present. Although the initial pathology revealed a non-diagnostic
metastatic tumor, further testing revealed amplification of chromosome 12p. The
examination of poorly differentiated carcinomas of an unknown primary site using
light microscopy and immunohistochemical profiling alone may be inadequate, and
should undergo molecular chromosomal analysis. This case is presented for its
unconventional presentation and rarity of occurrence. It brings forward the
discussion of both the commonality of TGCT in young male adults, as well as the
anomaly of a 'burned out' phenomenon. With unreliable tumor markers, nonspecific
symptoms, and pathological findings, 'burned out' TGCTs may account for a
challenging diagnosis in a variety of cases, especially with the presenting
symptom arising from a less common metastatic site. This case adds to the
increasing literature on a rare entity of the 'burned out' TGCT, and upon
literature review, presents itself as the first reported case presenting with
brain metastasis.
PMID- 27182466
TI - A Case of Purple Urine Bag Syndrome in a Spastic Partial Quadriplegic Male.
AB - Purple bag urine syndrome (PUBS) is a benign and unique phenomenon of the urine
turning a deep violet color within the urinary catheter tubing and bag. This
phenomenon is commonly encountered in patients indicated with long-term catheter
placement or, in certain conditions like chronic constipation, alkaline urine,
limited ambulation, and, in terms of gender distribution, the female sex,
predominates. PUBS gets its name from a unique phenomenon that takes places
inside the gut where tryptophan (an amino acid) is metabolized, producing blue
and red hues which together emanate a deep violet color. Here, the case of a
middle-aged male patient with a suprapubic catheter in situ, following trauma
causing spastic partial quadriplegia, is being presented with PUBS due to UTI
secondary to Proteus vulgaris. The risk factors, in this case, include chronic
constipation and recurrent urinary tract infections (UTIs)..
PMID- 27182467
TI - Treatment of Nystagmus in Brainstem Cavernous Malformation with Botulinum Toxin.
AB - We report a long-term eye movement study of a 68-year-old female with
pontomedullary junction cavernous malformation whose dysconjugate nystagmus was
treated with retrobulbar botulinum toxin A injections. Sequential, bilateral
retrobulbar injections of botulinum toxin A were performed. Injections
immediately decreased oscillopsia and nystagmus, and improved visual acuities.
One to three months following injection, three-dimensional infrared oculography
measured a significant 39-100% (P = 0.001) decrease in nystagmus amplitudes at
multiple dimensions. This improvement diminished by six months in the right eye
but sustained for about one year in the left eye. Over two years, botulinum toxin
A injections were performed twice in the left eye and five times in the right
eye. Our study supported the safe and effective use of repetitive retrobulbar
botulinum toxin A injections in symptomatic nystagmus that failed medical
therapy.
PMID- 27182468
TI - Stereotactic Radiosurgery for Trigeminal Neuralgia: A Retrospective Multi
Institutional Examination of Treatment Outcomes.
AB - Objectives The purposes of this study are to assess the effectiveness of
CyberKnife(r) stereotactic radiosurgery (SRS) in providing both initial and
sustained pain relief for patients with both forms of trigeminal neuralgia (TN),
assess potential prognostic factors, and examine treatment-related toxicities.
Methods The RSSearch((r)) Patient Registry was screened for TN cases from July
2007 to June 2015. We evaluated initial pain relief achieved by examining changes
in the Visual Analog Scale (VAS) scores following SRS. Prognostic factors
relating to initial pain relief and the relationship between maximum dose (Dmax)
and toxicity incidence were analyzed via univariate logistic regressions. We
evaluated prognostic factors relating to sustained pain relief using the Kaplan
Meier method and log-rank analysis. Results Our analysis included 125 TN1
patients and 38 TN2 patients with initial VAS scores >= 3 treated at 16 community
radiotherapy centers. Median Dmax for both cohorts was 75 Gy with a larger range
for TN1 cases (67.42 Gy - 110.29 Gy) as compared to TN2 cases (70.00 Gy - 78.48
Gy). At initial follow-up, mean VAS scores after SRS were significantly lower for
TN1 and TN2 patients (p < 0.0001). The vast majority of TN1 (87.2%) and TN2
(86.8%) patients experienced initial pain relief. Higher initial VAS scores (p =
0.015) were correlated with a greater likelihood of initial treatment success for
TN1 patients. We did not identify any treatment or patient characteristics that
had significant effects on initial pain relief for TN2 patients. Of the TN1
cohort, 28 of 125 patients reported follow-ups one year or greater after SRS.
Twenty-three of 28 TN1 patients (82%) reported VAS scores of 1 or less at one
year follow-up, and eight of 11 patients (72%) had VAS scores of 1 or less at the
two-year follow-up. No potential prognostic factors for long-term pain relief
were significant. Roughly 18% and 11% of TN1 and TN2 patients, respectively,
experienced acute toxicities (all RTOG Grade 1 or 2), with the most common being
sensory neuropathy, generalized pain, and nausea. Dmax > 75 Gy was not a
predictor of toxicity incidence in TN1 cases (p = 0.597) but was significant for
TN2 patients (p = 0.0009 following Fisher's exact test). Conclusions SRS is an
effective treatment option for TN patients in community settings. Initial pain
relief following SRS was achieved in a vast majority of TN patients with
associated minor toxicities observed in less than 20% of all patients.
PMID- 27182470
TI - Bilateral Osteonecrosis of the Femoral Head During Pregnancy Following Two
Corticosteroid Injections: A Case Report and Review of the Literature.
AB - Osteonecrosis of the femoral head during pregnancy, or shortly thereafter, is a
rare clinical problem. Little is known about pregnancy as an etiological factor
for femoral head osteonecrosis with only 40 reported cases in the literature.
Furthermore, single or dual dose steroid-induced multifocal osteonecrosis is a
controversial topic with only a handful of published cases. We present a case of
a 34-year-old female with bilateral femoral head osteonecrosis that developed
during the peripartum period. She received two large intramuscular injections of
steroids for fetal lung maturity because early delivery was required as a result
of eclampsia. She underwent total arthroplasty of the left hip due to unremitting
pain and functional disability, which achieved good clinical results--relieving
her pain and improving her range of motion. Literature is scarce with regard to
single or dual dose steroid-induced osteonecrosis of the hip as well as pregnancy
as a general etiologic factor. This case highlights the need for high clinical
suspicion of osteonecrosis as a cause of postpartum hip pain.
PMID- 27182469
TI - A Single Institution Consensus on the Use of Sequential or Concurrent Hormonal
Therapy for Breast Cancer Patients Receiving Radiation Therapy.
AB - BACKGROUND AND OBJECTIVES: For hormone-sensitive breast cancers, treatment with
breast-conserving surgery, tamoxifen, or aromatase inhibitors, along with
adjuvant radiation, is the mainstay of therapy. The ideal timing of hormonal and
radiation treatment is not well defined, and there is a significant degree of
practice variability between concurrent and sequential treatment regimes. This
variability can cause confusion amongst the clinical team resulting in
contradictory recommendations, loss of patient trust, and the potential for
missed initiation of hormonal therapy. METHODS: To address this question, a
systematic review of the literature was conducted and presented to the breast
cancer multidisciplinary team at the London Regional Cancer Center. A three-round
modified Delphi method was used to obtain a consensus on a series of a priori
determined statements. RESULTS: With the currently available evidence, the
consensus was that hormonal therapy should be given sequentially after radiation.
This will limit potential overlapping adverse effects between hormonal therapy
and radiation that may decrease completion of treatment. The sequential approach
has not been associated with any harm in clinical outcomes, and there is some
suggestion of increased toxicity with concurrent use. However, in patients at
high risk of distant recurrence, they felt it would be reasonable to consider
concurrent treatment to avoid any delay in therapy. CONCLUSION: The consensus of
our institution to utilize a sequential approach will standardize the treatment
decisions and reduce the risk of failing to initiate hormonal therapy. Despite
the lack of level 1 evidence, the Delphi methodology did provide a high level of
confidence for our group to choose the sequential approach. The consensus was
developed after a review of the literature revealed that there was no clear
superiority of one schedule over the other and evidence that concurrent treatment
may increase adverse events.
PMID- 27182471
TI - The Effects of the 80-hour Workweek on Occupational Hazards.
AB - BACKGROUND : The most recent work-hour restrictions were implemented in July 2011
for training physicians. The impact of these regulations on workplace injuries is
not yet fully understood. Our goal is to determine the effect of the work-hour
limitation on the rates of needlestick and eyesplash injuries. METHODS :
Approximately 1200 neurosurgery residents and fellows in the United States were
emailed a survey, several times, Sept 2013-February 2014. There were 212
responses across postgraduate years 1-7 and fellowship regarding the rate of
needlestick and eyesplash injuries experienced or witnessed before and after July
2011. RESULTS : Regarding witnessing a needlestick/eyesplash accident: 89.33% of
respondents claimed witnessing an injury. Specifically regarding percutaneous
injuries (PCIs): before July 2011, 21.77% claimed never witnessing; after July
2011, only 8.9% indicated never witnessing. Specifically regarding eyesplash
injuries: comparing the injuries (40.94%) before July 2011 to those (51.94%)
after July 2011, the survey indicated an increase in eyesplash injuries.
CONCLUSION : The results of this survey document that neurosurgery
residents/fellows observed (or personally sustained) an increased number of
needlestick and eyesplash injuries after implementation of the July 2011 work
hour limitations. Although the last set of reduced-hour regulations have been in
place for more than three years, there does not therefore seem to be a safety
advantage associated with them regarding a reduction in PCI or eyesplash
accidents. This may be due to other confounding factors, not yet affirmatively
identified, which warrant additional investigation and identification, directed
at preventing future injuries.
PMID- 27182472
TI - Factors Predictive of Protracted Course of Radiation Therapy in Patients Treated
with Definitive Chemoradiation for Cervical Cancer.
AB - BACKGROUND: There is a benefit to completing definitive chemoradiotherapy (CRT)
for cervical cancer within 56 days. However, many patients experience delays due
to missed radiation treatments that prolong the overall course of therapy. In
order to improve patient care, we performed a quality improvement project to
determine factors predictive of protracted treatment and develop strategies to
enable timely treatment completion. METHODS : Seventy-one patients treated for
cervical cancer with CRT were identified. Medical records were reviewed to gather
demographic, clinical, and treatment data. Prolonged treatment was defined as >56
days per the American Brachytherapy Society guidelines. The following variables
were evaluated using paired t-tests and univariate logistic regression:
demographics, Intensity Modulated Radiotherapy (IMRT) versus conventional
radiation technique, use of a boost, time to stent placement, time to first
brachytherapy (BT), and genitourinary (GU) or gastrointestinal (GI) toxicity.
RESULTS: The median treatment length for all patients was 59 days. Factors
associated with prolonged treatment were time to cervical stent placement
(p=0.001), delay >=2 days between final external beam radiation therapy (EBRT)
and initial BT (p=0.0195), any grade GU toxicity (p=0.0007), or GI toxicity
(p=0.0002), and the presence of a boost (p=0.0006). Age, stage, and IMRT versus
conventional technique were not associated with protracted treatment. CONCLUSION
: In this series of patients, acute toxicity, increased time to cervical stent
placement, and time to first BT treatment were associated with prolonged
treatment time. The patients who completed treatment in <=56 days had a lower
average time to cervical stent placement, 27 versus 31 days. Our results suggest
that cervical stent placement during week four of treatment can enhance patient
care and improve outcomes.
PMID- 27182473
TI - Increasing Efficacy of Thrombectomy by Using Digital Subtraction Angiography to
Confirm Stent Retriever Clot Integration.
AB - Physicians performing thrombectomy for acute stroke have had increasing success
as thrombectomy-specific devices have continued to evolve. As the devices evolve,
so too must the techniques. The current generation of stent retriever
thrombectomy devices requires five minutes of dwell time, regardless of the
particularities of the case. We have noticed the presence of flow through the
stent immediately prior to removal portends a lower chance of successful thrombus
retrieval than when no flow is seen, regardless of dwell time. We hypothesize
that interventionalists can use the presence or absence of flow to predict
adequacy of seating time and decrease the number of deployments per case. This
could significantly decrease time to recanalization by avoiding time-consuming,
unsuccessful pulls. This is a technical report of a few cases of stent retriever
thrombectomy. We propose using post-deployment digital subtraction angiography to
confirm thrombus-device integration and increase the chance of thrombus removal.
PMID- 27182474
TI - When Benign Tumors Mimic Malignancies: A Case of Lymphangiomatosis Masquerading
as Metastatic Disease.
AB - Lymphangiomatosis, a rare disorder of the lymphatic system characterized by the
abnormal proliferation of lymphatic vessels, is a typically benign disorder that
at times can exhibit invasive or malignant behavior. While generally considered a
diagnosis of childhood, in adults the majority of cases are asymptomatic and
found incidentally. Rarely, lymphatic overgrowth can occur, causing growth of
lesions on imaging mimicking a metastatic process and occasionally, resulting in
substantial morbidity and mortality. Here, we present such a case of
lymphangiomatosis with multi-organ system involvement in liver, bone, and spleen.
In addition to details of the clinical presentation and the pathologic review
which led to the diagnosis, we describe our use of the tyrosine kinase inhibitor
pazopanib, which may cause stabilization of lymphangiomatosis through blockade of
vascular endothelial growth factor (VEGF) signaling, for systemic treatment in
this unusual case.
PMID- 27182475
TI - Acute Myeloid Leukemia in the Elderly Patient: New Strategies.
AB - Although selected older adults with acute myeloid leukemia can benefit from
intensive therapies, recent evidences support the use of lower-intensity
therapies (hypomethylating agents or low-dose cytarabine) in most of these
patients and emphasize the importance of tolerability and quality of life.
Individualized approaches to treatment decision-making beyond consideration of
chronologic age alone should therefore be considered. One promising strategy is
to combine low-intensity treatments with novel agents.
PMID- 27182476
TI - An Overview on the Sequential Treatment of Pancreatic Neuroendocrine Tumors
(pNETs).
AB - Patients suffering from pancreatic neuroendocrine tumors (pNETs) are now
candidates to receive novel approved drugs that have demonstrated benefit in
disease control rate and delay the time taken for tumor progression in Phase III
clinical trials; for example, sunitinib, everolimus and lanreotide. Though pNETs
represent a rare and heterogeneous disease, recent approaches are being taken to
better understand the molecular pathways involved in carcinogenesis.
Consequently, new treatment strategies are now available and others still under
investigation show promising results. However, some questions around how to
approach patients with pNETs are still unresolved, such as what the best sequence
of treatments we can offer to each of our patients in the clinic at any time of
their disease would be. Therapeutic decisions are, at the moment, guided by
clinical judgment, based on different parameters coming from retrospective
analysis and non-randomized clinical trials. However, advances in genomic
research would lead to a more precise approach using therapeutic targets that
would also allow the development of new agents, prognostic or predictive
biomarkers and a better understanding of resistance mechanisms. The following
article is a comprehensive review of the approved and investigational drugs in
pNET, and highlights the current concerns about treatment sequencing, but also
provides an update of some of the present and future efforts for an improvement
in the therapeutic algorithm of the disease.
PMID- 27182477
TI - Bosutinib for Chronic Myeloid Leukemia.
AB - In recent years the availability of several tyrosine kinase inhibitors (TKI) in
the therapeutic armamentarium for chronic myeloid leukemia has dramatically
changed the objectives and expectations of healthcare providers and patients. For
many, but not all, patients the forerunner of TKI, imatinib, is still an
excellent treatment option. Unfortunately, nearly 30-40% of imatinib-treated
patients discontinue therapy in the long-term, because of failure and/or
intolerance. Second-generation tyrosine kinase inhibitors are more potent drugs
which are suitable for treatment of approximately 50% of patents for whom
imatinib is unsuitable, and with high success and rapid responses. Bosutinib, an
orally bioavailable Src/Abl tyrosine kinase inhibitor, has proved to be effective
in vitro against resistant chronic myeloid leukemia cells that do not harbor the
T315I or V299L ABL kinase domain mutations. During clinical development the
manageable safety profile of bosutinib have become evident for both simple and
more advanced treatment. In this review we summarize preclinical and clinical
data for bosutinib and discuss its ideal field of action in comparison with other
TKI.
PMID- 27182479
TI - Treatment of Adult Soft Tissue Sarcomas: An Overview.
AB - Sarcomas are uncommon malignancies accounting for about 1% of all adult
malignancies. Sarcomas are a heterogeneous group of tumors which includes more
than 100 different subtypes. Surgery is the mainstay therapy for localized
disease. In selected patients the combination of surgery with radiotherapy
achieves better local control and offers the best chance of cure. Systemic
treatment including cytotoxic chemotherapy or targeted therapies remains the
mainstay therapy for most patients with advanced disease. There are a wide
variety of clinical situations, such that an individualized treatment plan must
be defined by a multidisciplinary tumor board. Treatment decisions should take
into consideration the histology, site of disease, stage, performance status,
treatment goals, and the patient's wishes. The management of patients should be
carried out in a center with expertise in the treatment of sarcomas for optimal
outcome. This review will cover the different treatment modalities of adult soft
tissue sarcomas.
PMID- 27182478
TI - Evolving Paradigms in the Management of Multiple Myeloma: Novel Agents and
Targeted Therapies.
AB - Multiple myeloma (MM) is a clonal plasma cell disorder defined by bone marrow
infiltration and osteolytic bone lesions and is the second most common
hematologic malignancy after non-Hodgkin lymphoma. The landscape of MM treatment
was transformed at the dawn of the twenty-first century by the introduction of
novel agents including proteasome inhibitors (bortezomib) and immunomodulatory
drugs (thalidomide, lenalidomide), which have prolonged the survival of MM
patients. The recently revised International Myeloma Working Group diagnostic
criteria for MM added validated biomarkers (clonal bone marrow plasma cell >=60%,
involved:uninvolved serum free light chain ratio >=100, or >1 focal lesion on
magnetic resonance imaging) to identify near inevitable progression to
symptomatic MM requiring therapy. In addition, the definition of myeloma-defining
CRAB features (hypercalcemia, renal failure, anemia, and bone lesions) has been
refined based on advances in imaging and laboratory techniques since the 2003
IMWG consensus. Despite expanded treatment options, MM remains an incurable
disease. Drug resistance and clonal evolution remain problematic, and novel
therapeutic agents are needed. New approaches to myeloma treatment include anti
CD38 antibodies, next generation proteasome inhibitors, epigenetic modulation
with histone deacetylase inhibitors, and targeting the tumor microenvironment. In
this article, the diagnosis, staging, and prognostic stratification of newly
diagnosed MM will be reviewed. Clinical data pertaining to the emerging targeted
agents will be discussed, and a suggested framework for integration of these new
therapeutic options will be provided.
PMID- 27182480
TI - Targeted Therapy in Oropharyngeal Squamous Cell Carcinoma: The Implications of
HPV for Therapy.
AB - Oropharyngeal cancers caused by human papillomaviruses (HPV) have a different
epidemiology, prognosis, genetic mutational landscape, response to treatment, and
outcome when compared to HPV-negative cancers. In this review, a summary of our
current understanding of HPV in head and neck cancer and the important advances
that have shown HPV to be an etiological agent are discussed. HPV-positive and
HPV-negative tumors are compared discussing clinicopathological factors,
prognosis, outcome following treatment, and the molecular and genetic
differences. Currently, the standard of care for oropharyngeal cancer is both
surgery and post-operative radiotherapy with or without cisplatin or concurrent
chemo-radiotherapy. The latter is used more often, especially in cancers of
tonsil and base of tongue. However, there is increased interest in trying to de
intensify treatment and in the development of new treatments to target the
underlying different molecular pathways of HPV-positive cancers. The current
clinical trials involving surgery, chemotherapy, and radiation therapy are
discussed. The new targeted treatments are also summarized. Although there is
currently is no evidence from prospective studies to support a change in the
treatment algorithm, the treatment options for patients with HPV-positive disease
are likely to change in the future.
PMID- 27182481
TI - Molecular Monitoring as a Path to Cure Acute Promyelocytic Leukemia.
AB - Acute promyelocytic leukemia (APL) is a molecularly well-defined disease,
characterized by a specific chromosomal translocation; the improvement in
biologic and clinical knowledge and subsequent introduction of molecularly
targeted therapies have transformed the management of APL, with survival rates
now exceeding 80%. Minimal residual disease (MRD) assessment in APL is the most
important tool for its treatment; the prognostic role of the molecular detection
of promyelocytic leukemia retinoic acid receptor alpha (PML-RARalpha) transcript
after consolidation therapy in the early identification of the following
hematologic relapse is now well established and guides preemptive therapy. First
experiences performed with a qualitative polymerase chain reaction (PCR) approach
were replaced with more accurate real-time quantitative PCR (RQ-PCR), which
guarantees a numeric quantification of MRD. The identification of arsenic
trioxide (ATO) as a valid therapy not only in relapsed patients but also as an
alternative to standard therapy alone or in association with all-trans-retinoic
acid enlarges the setting of validation of MRD evaluation in APL patients,
considering a possible different clearance of PML-RARalpha with innovative
therapy different from the standard ones. MRD monitoring demonstrated its
validity also in the setting of relapsed patients with interesting results in the
autologous and allogeneic stem cell transplantation setting or with the use of
other biological agents. The aim of this review is to report and discuss the
actual state of the art of MRD in APL.
PMID- 27182482
TI - Reviewing Challenges in the Diagnosis and Treatment of Lentigo Maligna and
Lentigo-Maligna Melanoma.
AB - Lentigo maligna (LM) and lentigo-maligna melanoma (LMM) are pigmented skin
lesions that may exist on a continuous clinical and pathological spectrum of
melanocytic skin cancer. LM is often described as a "benign" lesion and is
accepted as a melanoma in situ; LM can undergo malignant transformation to
particularly aggressive melanoma. LMM is an invasive melanoma that shares
properties of LM, as well as exhibiting the metastatic potential of malignant
melanoma. Unfortunately, LM/LMM diagnosis based on dermoscopy is rather
ambiguous, and these lesions are often mistaken for junctional dysplastic nevi
over sun-damaged skin, pigmented actinic keratosis, solar lentigo, or seborrheic
keratosis. Diagnosis must be made on biopsy using distinct dermatopathologic
features. These include a pagetoid appearance of melanocytes, melanocyte atypia,
non-uniform pigmentation/distribution of melanocytes, and increased melanocyte
density in a background of extensive photodamage. Advancements in
immunohistochemical staining techniques, including soluble adenylyl cyclase
(antibody R21), makes diagnosis easier and allows the definition of borders down
to a single cell. After a pathologic diagnosis, there are a variety of treatment
options, both surgical and non-surgical. Although surgical removal with a wide
excision border is the preferred treatment due to decreased recurrence rates,
experimental combination therapies are gaining popularity. However, no matter the
treatment, LM/LMM carries a high recurrence rate, and patients must be monitored
rigorously for recurrence as well as the appearance of additional skin
lesions/cancers.
PMID- 27182483
TI - Scedosporium apiospermum infections and the role of combination antifungal
therapy and GM-CSF: A case report and review of the literature.
AB - Scedosporium apiospermum, a ubiquitous environmental mold, is increasingly
reported as causing invasive fungal disease in immunocompromised hosts. It poses
a therapeutic challenge due to its intrinsic resistance to traditional
antifungals and ability to recur despite demonstrating susceptibility. We present
an immunocompromised patient with a cutaneous S. apiospermum infection that
disseminated despite treatment with voriconazole, the drug of choice. Adding
echinocandins and GM-CSF provided partial recovery, indicating a potential
synergistic role of dual-antifungal and immunotherapeutic agents.
PMID- 27182484
TI - Subcutaneous infection by Ochroconis mirabilis in an immunocompetent patient.
AB - Recently, the taxonomy of Ochroconis (Ascomycota, Pezizomycotina, Venturiales,
Sympoventuriaceae) has been revised with the recognition of an additional genus,
Verruconis. Ochroconis comprises mesophilic saprobes that occasionally infect
vertebrates which mostly are cold-blooded, while Verruconis contains thermophilic
species which is a neurotrope in humans and birds. On the basis of molecular data
it is noted that only a single Ochroconis species regularly infects
immunocompetent human hosts. Here we report a subcutaneous infection due to
Ochroconis mirabilis in a 50-year-old immunocompetent female patient. In vitro
antifungal susceptibility tests revealed that terbinafine was the most effective
drug. The patient was successfully cured with oral administration of terbinafine
250 mg daily in combination with 3 times of topical ALA-photodynamic therapy for
9 months.
PMID- 27182485
TI - A protracted course of Pneumocystis pneumonia in the setting of an
immunosuppressed child with GMS-negative bronchoalveolar lavage.
AB - We report a case of Pneumocystis pneumonia in a 5-year-old male with Trisomy 21
and acute lymphoblastic leukemia. The lack of response to trimethoprim
sulfamethoxazole raised concerns for antimicrobial resistance. Further, diagnosis
of Pneumocystis in this patient was complicated by a GMS-negative bronchoalveolar
lavage despite molecular evidence of Pneumocystis infection.
PMID- 27182486
TI - Erythroid blast crisis in chronic myelogenous leukemia: Case report and review of
literature.
AB - Chronic myelogenous leukemia (CML) is a myeloproliferative disorder where over a
period of time 15-20% of patients show blastic transformation with majority
transforming into acute myeloid leukemia, most of which are of granulocytic
lineage. Erythroid blast phase of CML is relatively rare with the incidence
ranging from 0-10%. Further the incidence of acute erythroid leukemia by itself
is fairly low amongst all acute leukemias. We report a case of 41-year-old
patient with CML who failed to achieve cytogenetic remission, transformed to
acute erythroid leukemia and eventually succumbed to the disease over a short
period of time. Related literature is also reviewed.
PMID- 27182488
TI - White matter microstructural changes in adolescent anorexia nervosa including an
exploratory longitudinal study.
AB - BACKGROUND: Anorexia nervosa (AN) often begins in adolescence, however, the
understanding of the underlying pathophysiology at this developmentally important
age is scarce, impeding early interventions. We used diffusion tensor imaging
(DTI) to investigate microstructural white matter (WM) brain changes including an
experimental longitudinal follow-up. METHODS: We acquired whole brain diffusion
weighted brain scans of 22 adolescent female hospitalized patients with AN at
admission and nine patients longitudinally at discharge after weight
rehabilitation. Patients (10-18 years) were compared to 21 typically developing
controls (TD). Tract-based spatial statistics (TBSS) were applied to compare
fractional anisotropy (FA) across groups and time points. Associations between
average FA values of the global WM skeleton and weight as well as illness
duration parameters were analyzed by multiple linear regression. RESULTS: We
observed increased FA in bilateral frontal, parietal and temporal areas in AN
patients at admission compared to TD. Higher FA of the global WM skeleton at
admission was associated with faster weight loss prior to admission. Exploratory
longitudinal analysis showed this FA increase to be partially normalized after
weight rehabilitation. CONCLUSIONS: Our findings reveal a markedly different
pattern of WM microstructural changes in adolescent AN compared to most previous
results in adult AN. This could signify a different susceptibility and reaction
to semi-starvation in the still developing brain of adolescents or a time
dependent pathomechanism differing with extend of chronicity. Higher FA at
admission in adolescents with AN could point to WM fibers being packed together
more closely.
PMID- 27182487
TI - Neural correlates of somatoform disorders from a meta-analytic perspective on
neuroimaging studies.
AB - Somatoform disorders (SD) are common medical disorders with prevalence rates
between 3.5% and 18.4%, depending on country and medical setting. SD as outlined
in the ICD-10 exhibits various biological, social, and psychological pathogenic
factors. Little is known about the neural correlates of SD. The aims of this meta
analysis are to identify neuronal areas that are involved in SD and consistently
differ between patients and healthy controls. We conducted a systematic
literature research on neuroimaging studies of SD. Ten out of 686 studies
fulfilled the inclusion criteria and were analyzed using activation likelihood
estimation. Five neuronal areas differ between patients with SD and healthy
controls namely the premotor and supplementary motor cortexes, the middle frontal
gyrus, the anterior cingulate cortex, the insula, and the posterior cingulate
cortex. These areas seem to have a particular importance for the occurrence of
SD. Out of the ten studies two did not contribute to any of the clusters. Our
results seem to largely overlap with the circuit network model of somatosensory
amplification for SD. It is conceivable that functional disorders, independent of
the clinical impression, show similar neurobiological processes. While overlaps
do occur it is necessary to understand single functional somatic syndromes and
their aetiology for future research, terminology, and treatment guidelines.
PMID- 27182490
TI - A case of pancreatitis, panniculitis and polyarthritis syndrome: Elucidating the
pathophysiologic mechanisms of a rare condition.
AB - Pancreatitis-Panniculitis-Polyarthritis (PPP) syndrome is rare and its
physiopathology unclear. A 6-year old boy suffered of traumatic pancreatitis
complicated by PPP syndrome. Extensive investigations demonstrated high levels of
pancreatic lipase and fatty acids in the affected peripheral tissues. These
findings support the sequence of peripheral lipolysis and fatty acid accumulation
inducing tissue inflammation.
PMID- 27182491
TI - Candida costochondritis associated with recent intravenous drug use.
AB - Candida osteoarticular infections are being reported with increasing frequency,
possibly due to an expanding population at risk. However, Candida costochondritis
is uncommon. We report two cases of Candida costochondritis in patients who
presented with subacute-onset chest wall swelling and whose only identifiable
risk factor was a history of recent intravenous drug use.
PMID- 27182489
TI - Lesion-symptom mapping of a complex figure copy task: A large-scale PCA study of
the BCoS trial.
AB - Complex figure copying is a commonly used neuropsychological test. Here we
explored the neural basis of the factors underlying complex figure copying (CFC),
using data from the Birmingham Cognitive Screen (BCoS) in a large group of sub
acute, ischemic stroke patients (239). We computed two analyses: in the first we
assessed the contribution of co-morbid deficits (i.e. in gesture processing,
object use, visual neglect, pictures naming and sustained attention) to the
lesions associated with CFC. In a second analysis a Principle Component Analysis
(PCA) was used to isolate different underlying task components and to link to
clinical neuroimaging scans. A voxel-based morphometry (VBM) analysis showed that
poor CFC performance was associated with lesions to bi-lateral thalamus, lingual,
right fusiform and right inferior parietal cortices (rIPC). The latter
association with the posterior parietal cortex was diminished after controlling
for neglect. Follow up analysis showed the neglect partially mediated the
correlation of CFC and rIPC. The PCA revealed three main underlying components:
(1) a component associated with high-level motor control common to different
measures of apraxia and linked to the left postcentral gyrus, the right thalamus
and middle frontal gyrus; (2) a visuo-motor transformation component unique to
the CFC and associated with lesions to the posterior occipital and sensory
cortices; (3) a component associated with multistep object use tasks which was
correlated with lesions to the left inferior frontal orbital gyrus, the right
fusiform and cerebellum. Using clinical symptoms, cognitive profiles and lesion
mapping we showed that beyond visual perception, CFC performance is supported by
three functional networks: one for high-level motor control, a visuo-motor
transformation component, and multistep object use network.
PMID- 27182494
TI - A Review of Sport-Related Head Injuries.
AB - We review current topics in sport-related head injuries including acute subdural
hematoma (ASDH), traumatic cerebrovascular disease, cerebral concussion, and
chronic traumatic encephalopathy (CTE). Sports-related ASDH is a leading cause of
death and severe morbidity in popular contact sports like American football and
Japanese judo. Rotational acceleration can cause either cerebral concussion or
ASDH due to rupture of a parasagittal bridging vein. Although rare, approximately
80% of patients with cerebral infarction due to sport participation are diagnosed
with ischemia or infarction due to arterial dissection. Computed tomography
angiography, magnetic resonance angiography, and ultrasound are useful for
diagnosing arterial dissection; ultrasound is particularly useful for detecting
dissection of the common and internal carotid arteries. Repeated sports head
injuries increase the risks of future concussion, cerebral swelling, ASDH, and
CTE. To avoid fatal consequences of CTE, it is essential to understand the
criteria for safe post-concussion sports participation. Once diagnosed with a
concussion, an athlete should not be allowed to return to play on the same day
and should not resume sports before the concussion symptoms have completely
resolved. Information about the risks and management of head injuries in
different sports should be widely disseminated in educational institutions and by
sport organization public relations campaigns.
PMID- 27182495
TI - Symptomatic Epidural Fluid Collection Following Cranioplasty after Decompressive
Craniectomy for Traumatic Brain Injury.
AB - OBJECTIVE: Symptomatic epidural fluid collection (EFC) arising as a complication
of cranioplasty is underestimated and poorly described. The purpose of this study
was to investigate the risk factors for development of symptomatic EFC after
cranioplasty following traumatic brain injury (TBI). METHODS: From January 2010
to December 2014, 82 cranioplasties following decompressive hemicraniectomy for
TBI were performed by a single surgeon. Of these 82 patients, 17 were excluded
from this study due to complications including postoperative hematoma,
hydrocephalus, or infection. Sixty-five patients were divided into 2 groups based
on whether they had developed symptomatic EFC: 13 patients required an evacuation
operation due to symptomatic EFC after cranioplasty (Group I), and 52 obtained
good outcome without development of symptomatic EFC (Group II). We compared the 2
groups to identify the risk factors for symptomatic EFC according to sex, age,
initial diagnosis, timing of cranioplasty, cerebrospinal fluid (CSF) leakage
during cranioplasty, size of bone flap, and bone material. RESULTS: A large bone
flap and CSF leakage during cranioplasty were identified as the statistically
significant risk factors (p<0.05) for development of symptomatic EFC. In Group I,
11 patients were treated successfully with 5 L catheter drainage, but 2 patients
showed recurrent EFC, eventually necessitating bone flap removal. CONCLUSION: A
larger skull defect and intraoperative CSF leakage are proposed to be the
significant risk factors for development of symptomatic EFC. Careful attention to
avoid CSF leakage during cranioplasty is needed to minimize the occurrence of
EFC, especially in cases featuring a large cranial defect.
PMID- 27182493
TI - Changes in plasma metabolites and glucose homeostasis during omega-3
polyunsaturated fatty acid supplementation in women with polycystic ovary
syndrome.
AB - BACKGROUND: Both fish (FO) and flaxseed oils (FLX) are n-3 polyunsaturated fatty
acids (PUFA). Fish oil contains long chain while FLX contains essential n-3 PUFA.
We demonstrated that FO altered insulin secretion and resistance in polycystic
ovary syndrome (PCOS) women but FLX did not. Surprisingly, the effects of FO were
similar to those of the n-6 PUFA-rich soybean oil (SBO). Since increased branched
chain (BCAA) and aromatic amino acids (AA) affect insulin secretion and
resistance, we investigated whether FO, FLX and /or SBO affect plasma
metabolites, especially AA. METHODS AND FINDINGS: In this six-week, randomized, 3
parallel arm, double-blinded study, 54 women received 3.5 g/day FO, FLX or SBO.
In 51 completers (17 from each arm), fasting plasma metabolites were measured at
the beginning and at the end. As compared to FLX, FO and SBO increased insulin
response and resistance as well as several BCAA and aromatic AA. Pathway analysis
indicated that FO exerted the largest biochemical impact, affecting AA
degradation and biosynthesis, amine, polyamine degradation and alanine, glycine,
l-carnitine biosynthesis and TCA cycle, while FLX had minimal impact affecting
only alanine biosynthesis and l-cysteine degradation. CONCLUSION: Effects of FO
and SBO on plasma AA were similar and differed significantly from those of the
FLX. The primary target of dietary PUFA is not known. Dietary PUFA may influence
insulin secretion and resistance directly and alter plasma AA indirectly.
Alternatively, as a novel concept, dietary PUFA may directly affect AA metabolism
and the changes in insulin secretion and resistance may be secondary.
PMID- 27182492
TI - The Correlation of Skeletal and Cardiac Muscle Dysfunction in Duchenne Muscular
Dystrophy.
AB - BACKGROUND: Duchenne muscular dystrophy (DMD) is characterized by progressive
skeletal muscle and cardiac dysfunction. While skeletal muscle dysfunction
precedes cardiomyopathy, the relationship between the progressive decline in
skeletal and cardiac muscle function is unclear. This relationship is especially
important given that the myocardial effects of many developing DMD therapies are
largely unknown. OBJECTIVE: Our objective was to assess the relationship between
progression of skeletal muscle weakness and onset of cardiac dysfunction in DMD.
METHODS: A total of 77 DMD subjects treated at a single referral center were
included. Demographic information, quantitative muscle testing (QMT), subjective
muscle strength, cardiac function, and current and retrospective medications were
collected. A Spearman rank correlation was used to evaluate for an association
between subjective strength and fractional shortening. The effects of total QMT
and arm QMT on fractional shortening were examined in generalized least square
with and without adjustments for age, ambulatory status, and duration of
corticosteroids and cardiac specific medications. RESULTS: We found a significant
correlation between maintained subjective skeletal muscle arm and leg strength
and maintained cardiac function as defined by fractional shortening (rho=0.47,
p=0.004 and rho=0.48, p=0.003, respectively). We also found a significant
association between QMT and fractional shortening among non-ambulatory DMD
subjects (p=0.03), while this association was not significant in ambulatory
subjects. CONCLUSIONS: Our findings allow us to conclude that in this population,
there exists a significant relationship between skeletal muscle and cardiac
function in non-ambulatory DMD patients. While this does not imply a causal
relationship, a possible association between skeletal and cardiac muscle function
suggests that researchers should carefully monitor cardiac function, even when
the primary outcome measures are not cardiac in nature.
PMID- 27182497
TI - Gradual Height Decrease of Augmented Vertebrae after Vertebroplasty at the
Thoracolumbar Junction.
AB - OBJECTIVE: Vertebroplasty is an effective treatment for vertebral compression
fracture, but may progress gradual vertebral height decrease in spite of
vertebroplasty. Gradual vertebral height decrease also may induce aggravation of
kyphotic change without severe pain. The purpose of this study was to evaluate
risk factors for gradual vertebral height decrease in the absence of recurrent
severe back pain. METHODS: A retrospective analysis was performed on 44 patients
who were diagnosed with a first osteoporotic compression fracture at a single
level at the thoracolumbar junction. All patients were taken vertebroplasty.
Possible risk factors for gradual vertebral height decrease, such as sex, age,
bone mineral density, body mass index, level of compression fracture, volume of
injected cement, cement leakage into disc space, and air clefts within fractured
vertebrae, were analyzed. RESULTS: Gradual vertebral height decrease of augmented
vertebrae occurred commonly when more than 4 cc of injected cement was used, and
when air clefts within fractured vertebrae were seen on admission. In addition,
the sagittal Cobb angle more commonly increased during the follow-up period in
such cases. CONCLUSION: Injection of more than 4 cc of cement during
vertebroplasty and air cleft within fractured vertebrae on admission induced
gradual vertebral height decrease in augmented vertebrae. Thus, longer follow-up
will be needed in such cases, even when patients do not complain of recurrent
severe back pain.
PMID- 27182496
TI - Early Decompression of Acute Subdural Hematoma for Postoperative Neurological
Improvement: A Single Center Retrospective Review of 10 Years.
AB - OBJECTIVE: This study was conducted to investigate survival related factors, as
well as to evaluate the effects of early decompression on acute subdural hematoma
(ASDH). METHODS: We retrospectively reviewed cases of decompressive craniectomy
(DC) for decade. In total, 198 cases of DC involved ASDH were available for
review, and 65 cases were excluded due to missing data on onset time and a
delayed operation after closed observation with medical care. Finally, 133 cases
of DC with ASDH were included in this study, and various factors including the
time interval between trauma onset and operation were evaluated. RESULTS: In the
present study, survival rate after DC in patients with ASDH was shown to be
related to patient age (50 years old, p=0.012), brain compression ratio (p=0.042)
and brain stem compression (p=0.020). Sex, preoperative mental status, and time
interval between trauma onset and operation were not related with survival rate.
Among those that survived (n=78), improvements in Glasgow Coma Scale (GCS) score
of more than three points, compared to preoperative measurement, were more
frequently observed among the early (less than 3 hours between trauma onset and
operation) decompressed cases (p=0.013). However, improvements of more than 4 or
5 points on the GCS were not affected by early decompression. CONCLUSION: Early
decompression of ASDH was not correlated with survival rate, but was related with
neurological improvement (more than three points on the GCS). Accordingly, early
decompression in ASDH, if indicated, may be of particular benefit.
PMID- 27182498
TI - Craniotomy or Decompressive Craniectomy for Acute Subdural Hematomas: Surgical
Selection and Clinical Outcome.
AB - OBJECTIVE: Craniotomy (CO) and decompressive craniectomy (DC) are two main
surgical options for acute subdural hematomas (ASDH). However, optimal selection
of surgical modality is unclear and decision may vary with surgeon's experience.
To clarify this point, we analyzed preoperative findings and surgical outcome of
patients with ASDH treated with CO or DC. METHODS: From January 2010 to December
2014, data for 46 patients with ASDH who underwent CO or DC were retrospectively
reviewed. The demographic, clinical, imaging and clinical outcomes were analyzed
and statistically compared. RESULTS: Twenty (43%) patients underwent CO and 26
(57%) patients received DC. In DC group, preoperative Glascow Coma Scale was
lower (p=0.034), and more patient had non-reactive pupil (p=0.004). Computed
tomography findings of DC group showed more frequent subarachnoid hemorrhage
(p=0.003). Six month modified Rankin Scale showed favorable outcome in 60% of CO
group and 23% of DC group (p=0.004). DC was done in patient with more unfavorable
preoperative features (p=0.017). Patients with few unfavorable preoperative
features (<6) had good outcome with CO (p<0.001). CONCLUSION: In selective cases
of few unfavorable clinical findings, CO may also be an effective surgical option
for ASDH. Although DC remains to be standard of surgical modality for patients
with poor clinical status, CO can be an alternative considering the possible
complications of DC.
PMID- 27182499
TI - Early Experience of Automated Intraventricular Type Intracranial Pressure
Monitoring (LiquoGuard(r)) for Severe Traumatic Brain Injury Patients.
AB - OBJECTIVE: The LiquoGuard(r) system is a new ventricular-type monitoring device
that facilitates intracranial pressure (ICP)-controlled or volume-controlled
drainage of cerebrospinal fluid (CSF). The purpose of this study is to report the
authors' experience with the LiquoGuard(r) ICP monitoring system, as well as the
clinical safety, usefulness, and limitations of this device in the management of
patients with traumatic brain injury (TBI). METHODS: Intraventricular ICP
monitoring was performed on 10 patients with TBI using the LiquoGuard(r)
monitoring system. ICP measurements, volume of drained CSF, and clinical outcomes
were analyzed and discussed. RESULTS: ICP monitoring was performed on 10 patients
for a mean duration of 6.9 days. With a mean 82,718 records per patient, the mean
initial ICP was 16.4 mm Hg and the average ICP across the total duration of
monitoring was 15.5 mm Hg. The mean volume of drained CSF was 29.2 cc/day, with
no CSF drained in 4 patients. Seven of 10 patients showed 1 or 2 episodes of
abnormal ICP measurements. No patient exhibited complications associated with ICP
monitoring. CONCLUSION: The LiquoGuard(r) system is a versatile tool in the
management of TBI patients. Its use is both reliable and feasible for ICP
monitoring and therapeutic drainage of CSF. However, episodes of abnormal ICP
measurements were frequently observed in patients with slit ventricles, and
further study may be needed to overcome this issue.
PMID- 27182500
TI - Multiple Cerebral Infarctions due to Unilateral Traumatic Vertebral Artery
Dissection after Cervical Fractures.
AB - We report a case of multiple symptomatic cerebral infarctions from a traumatic
vertebral artery dissection (VAD) after cervical fractures. A 73-year-old man was
admitted with stuporous mentality and left hemiparesis after a motor-vehicle
accident. A brain computed tomography (CT) scan at admission showed a traumatic
subarachnoid hemorrhage on the left parietal lobe. A cervical CT scan showed left
lateral mass fractures on C2, C5, and C6, involving the transverse foramen.
Cervical spine magnetic resonance imaging (MRI) revealed loss of signal void on
the left vertebral artery. Neck CT angiography showed left VAD starting at the C5
level. Brain MRI revealed acute, multiple cerebral infarctions involving the
pons, midbrain, thalamus, corpus callosum, and parietal and frontal lobes on
diffusion weighted images. The patient was treated conservatively at the
intensive care unit in the acute stage to prevent extent of stroke. Aspirin was
started for antiplatelet therapy in the chronic stage. The possibility of
symptomatic cerebral infarctions due to traumatic VAD following cervical fracture
should be considered.
PMID- 27182503
TI - FAREWELL, PROFESSOR VICTOR ANOMAH NGU (19(th) February 1926 - 14(th) June 2011).
PMID- 27182502
TI - MISCONCEPTIONS, MISCONSTRUCTIONS AND MISCONNECTIONS: THE VISAGE AND FATE OF
MIDDLE LEVEL SURGICAL MANPOWER IN WEST AFRICA.
PMID- 27182501
TI - DONOR SITE MORBIDITY FOLLOWING HARVEST OF SPLIT-THICKNESS SKIN GRAFTS IN SOUTH
EASTERN NIGERIA.
AB - BACKGROUND: Split-thickness skin grafting is a very common procedure. Few
documentation of its complications exist in the literatures especially in our sub
region where excessive scarring is a major issue. OBJECTIVE: The objective of the
study was to document the common complications observed at the donor site for
split thickness skin grafts. METHODS: This is a prospective study carried out at
the National Orthopaedic Hospital, Enugu, Nigeria over a six month period. All
patients with wounds requiring split-thickness skin grafts, who gave consent,
were recruited. An observer administered questionnaire was opened for each
patient. Any donor site complication was noted on inspection and documented.
Analysis of the results was done using SPSS with frequencies, mean and test of
significance. RESULTS: A total of 120 patients were recruited for the study.
Males accounted for 59.2% of the patients while females made up 40.8%. Donor site
complications noted were pain which was exaggerated after 24 hours, itching,
infection, dyschromia, hypo-pigmentation, hyper-pigmentation, and hypertrophic
scars. At 1 month post-operative period, dyschromia was the commonest
complication (39.2%), followed by itching (22.5%), hypo-pigmentation (19.2%),
infection (17.5%), and exaggerated pain (1.7%). However, by 3 months, hyper
pigmentation was most common (55.4%), followed by dyschromia (37.5%),
hypertrophic scar (3.6%) and itching (3.6%). Whereas by 6 months most patients
had hyper-pigmentation (96.0%) while 4.0% had hypertrophic scars. The exaggerated
pain was treated successfully with analgesics and was no longer present in any
patient after the first month. While infection was also treated successfully
following wound swab culture and sensitivity, appropriate antibiotic therapy and
local wound care. Pigmentation changes were in keeping with previous studies as
hyper-pigmentation was an invariable event following healing of a partial
thickness wound. CONCLUSION: Donor site morbidity can be a big problem especially
with regard to infection which increases duration of admission and therefore cost
of management.
PMID- 27182504
TI - THESIS/DISSERTATION AS A PART OF SURGERY FELLOWSHIP EXAMINATION: IS THIS
NECESSARY?
PMID- 27182505
TI - The Intersection of Research and Surgical Training.
PMID- 27182506
TI - CARDIOPULMONARY RESUSCITATION - KNOWLEDGE, ATTITUDE & PRACTICES IN OSUN STATE,
NIGERIA.
AB - BACKGROUND: Cardiopulmonary arrest is not an uncommon event in the medical
practice with the causes ranging from reversible to irreversible causes.
Therefore the skill in the performance of effective cardiopulmonary resuscitation
is an essential part of successful medical practice. In some developed countries
the CPR Training programmes were mandatory for all health care givers and even
for non medical workers. However in the setting of ours, the situation is not so
as most heath workers go for CPR Training programmes by wish except in some few
centres were it is mandatory. AIM & OBJECTIVES: To assess the knowledge,
attitudes and practices of medical practitioners in relation to cardiopulmonary
resuscitation and defibrillation. SETTING: The study was carried out among
medical practitioners in Osun State, Southwest Nigeria. METHODS: The study was
conducted through a survey of medical practitioners in Osun state during an
annual general meeting using a self administered questionnaire. RESULTS: The
response rate was 65% with 65 out of 100 physicians returning the completed
questionnaire. Only 40% of respondents had attended a basic and an advanced life
support training programme while 30% knew how to operate an automated external
defribellator (AED), seventy percent knew the meaning of AED. Most of the
respondents that had attended a basic and an advanced life support programme were
residents (80%) while 16% were consultants and the remaining 4% were general
practitioners. More males (67%) among the respondents that knew how to operate an
AED and majority (56%) were in the age range of 30-40years. Eighty two percent of
the respondents would prefer to do a chest compression only resuscitation of
which 44% were. CONCLUSION: Most of the medical practitioners in Osun State were
not knowledgeable about cardiopulmonary resuscitation and defibrillation . The
few with the knowledge were from the tertiary institutions. There is therefore
the need for the creation of more awareness among medical practitioners,
especially among those outside tertiary health facilities.
PMID- 27182507
TI - Accreditation of Training Programmes by the West African College of Surgeons.
AB - The establishment of surgical training programmes of the West African College of
Surgeons started in six teaching hospitals the early 1970s in Nigeria and Ghana;
it was championed by College Fellows, surgeons who had returned to the sub-region
after having trained in Europe and America. Surgical programmes accreditation,
captured in the College's constitution and mission statement, uses objective
guidelines in the identification and encouragement of suitable institutions for
surgical training through periodic peer-review audits of installed manpower,
facilities, clinical services and academic programmes. This pan-regional model
adopted by the five Anglophone countries of West Africa has standardized and
nurtured surgical training in the disciplines of surgery, obstetrics and
gynaecology, ophthalmology, otorhinolaryngology, dental surgery, radiology and
anaesthesia. It has also proven to be a useful template in the current drive for
the ongoing harmonization of surgical training programmes with Francophone West
African as a prelude to an integrated sub-regional accreditation body. This paper
details the evolution of surgical programmes accreditation in West Africa, its
progress, challenges, opportunities and future trends.
PMID- 27182508
TI - FACTORS DETERMINING THE RESULTS OF THE EXAMINATION OF THE WEST AFRICAN COLLEGE OF
SURGEONS IN GENERAL SURGERY.
AB - BACKGROUND: The general surgery results of the West African College of Surgeons
(WACS) post-graduate fellowship examination could not be regarded as satisfactory
when compared with the results of similar post-graduate examinations in some
developed countries. For example the pass rate of the West African College of
Surgeons examination was usually under 40% whereas the pass rate in oral
examination in a similar post-graduate examination, the American Board of Surgery
was 84% in 2006, 73% in 2012. The first time pass rate in general surgery of
final year general surgery residents at the American Board of Surgery qualifying
and certifying examinations were 74% - 78% between 2000 and 2007. AIM &
OBJECTIVES: To identify the factors responsible for the high failure rate at the
general surgery fellowship examinations of the West African College of Surgeons.
STUDY DESIGN: Descriptive study .We studied and analyzed the West African College
of Surgeons examination results for April 2012, October 2012, April 2013 and
October 2013 with emphasis on the results, the conduct of the examination and the
opinion from fellows about the examiners. Well structured questionnaires were
sent to fellows who had passed all the various fellowship examinations of the
West African College of Surgeons in general surgery to indicate their opinion
about the examination, and the examiners. SETTING: University College Hospital,
Ibadan, and Jos University Teaching Hospital, Jos, Nigeria. METHODOLOGY: The
first part of the study dealt with an analysis of each section of the examination
prospectively studied over a 2-year period. This consisted of four sets of
examination results. The second part was a questionnaire-based study administered
to Fellows who had passed the WACS final fellowship examination in general
surgery. The questionnaire had three sections: primary, part 1 and part 2 and
included basic demographics, date at attempts in each grade of the examinations
and the outcome. It also included the views of the respondents on the conduct of
the examination and outcome. The data were analyzed using Microsoft Excel.
RESULTS: A total of 720 candidates with age range of 28 - 39 years and a mean of
33.2 years sat for the Part 1 Fellowship examinations in 2012 and 2013 with an
average of 180 candidate per examination. At the Part 2 fellowship examination,
84 candidates with the age range of 31 - 42 year and a mean of 36.5 years sat the
Part 2 Fellowship examination with an average of 21 candidates for each Part 2
examination in general surgery during the same period. The examinations held in
April and October of each year. While an average of 28.8% of the candidates
passed, an average of 71.2% of the candidates failed the Part 1 Fellowship
examinations in 2012 and 2013. The aggregate clinical score was responsible for
failure in 59.5% of the candidates. In the Part 2 Fellowship examination in
general surgery during the same period, 31.5% of the candidates passed while an
average of 68.5% of the candidates failed per examination. The aggregate clinical
score was responsible for 53.3% of the candidates who failed the Part 2
examination. Furthermore, 60 - 69.7% of the candidates had a favourable opinion
about the conduct of the examination, 54.5 - 63.6% rated the professionalism of
the examiners high, even though the pass rate at the first attempts of the
various grades of the examination by the respondents was about 50 percent.
CONCLUSION: The clinical part of the examination is a major factor responsible
for the high failure rate in the general surgery fellowship examinations of the
West African College of Surgeons. In order to mitigate this, residents in
training should be exposed to the clinical management of a wide range of cases in
the discipline with majority of the operations performed by them under the direct
supervision of their consultants.
PMID- 27182509
TI - SPECTRUM OF SPINAL ABNORMALITIES ON MAGNETIC RESONANCE IMAGING OF PATIENTS WITH
CLINICAL SUSPICION OF SPINAL LESIONS IN KANO, NIGERIA.
AB - BACKGROUND: Magnetic resonance imaging (MRI) of the spine is a powerful tool for
evaluation, assessment of severity, and follow up of diseases of the spine. It is
one of the most sensitive diagnostic tests for detecting anatomic abnormalities
of the spine and the adjacent structures. AIM: To determine the pattern of spinal
abnormalities on MRI in Kano, Nigeria. MATERIALS AND METHOD: Patients aged
between 2 and 95 years who had spinal MRI with AIRIS II TOSHIBA (0.5T) Tesla
machine from January to December 2013 were reviewed. Information concerning age,
gender, region and findings were recorded. RESULTS: Two hundred and sixty one
patients made up of187 males and 74 females were reviewed. Their ages ranged from
2 to 95 years with the mean of 46.43 +/- 15.7 years. Lumbo-sacral MRI was the
most commonly performed (46.4 %) followed by cervical (44.1%), thoraco-lumbar
spine (4.2%), thoracic spine (3.8%) and cervical and lumbar (1.5%). The most
common indication of MRI was low back pain in 211 (80.8% ) patients followed by
trauma in 36 (17.8% ) patients. About 19.6% of the MRI examinations were normal
while spondylosis and moderate disc prolapse was seen in 31.5% and compressive
fracture comprised 7.3% of cases. CONCLUSION: Lumbo-sacral MRI was the commonest
MRI in this study and the commonest indications for MRI were low back pain and
trauma while the commonest findings were spondylosis, disc prolapse, compressive
fracture and spinal metastases.
PMID- 27182510
TI - TREATMENT OF BREAST CANCER: IMO STATE NIGERIA VERSUS INDIANA, USA WOMEN -
COMPARATIVE ANALYTIC STUDY.
AB - BACKGROUND: Women with breast cancer undergo multimodal treatment for best
outcome. This study seeks to identify the treatment challenges for such women in
Imo State, Nigeria vis-a-vis similar women in Indiana USA. We compared the
treatment modalities of both groups; noting predictors of compliance for
subsequent action. SETTING: Federal Medical Centre, Owerri; Imo State, Imo State
University, Orlu, Nigeria and Indiana University Hospital, Indiana, USA. DESIGN:
A retrospective study. METHODOLOGY: From 2000-2013, 100 randomly pulled charts of
patients treated for pathologically confirmed breast cancer in Imo, Nigeria
Federal Medical Centre Owerri, Imo State University Hospital; and Indiana
University Hospital U.S. respectively were reviewed. The demographics, clinical
and pathological data of the patients with confirmed breast cancer were obtained.
The data were formatted and analyzed with SPSS version 16.0. The clinical
features, management options, outcomes and specific features were compared for
both groups using Wilcoxon Rank Sum tests (age, parity) and chi-square tests for
all other variables. A 5% significance level was used for all tests. RESULTS: One
hundred patients were included for each group. The mean/minimum ages; Imo,
Nigeria 41.7/21 (SD/SE 15.3/1.5) vs. Indiana, U.S.56.4/29 (SD 12.4/SE 1.2)
p<0.0001. Histology for Indiana USA women was predominantly ductal carcinoma in
situ (DCIS) P<0.0001 while that of Imo, Nigeria was invasive ductal carcinoma
inflammatory cancer P<0.0326. Women in both locations received chemotherapy and
surgery. Imo women received less radiotherapy. Toxicity from chemotherapy
remained constant features for both groups, P<0.0001. In Indiana USA, the 5year
survival exceeded 85%; In Imo Nigeria it was 10%. This study showed that Women on
both locations who were likely to be compliant were those receiving mastectomy;
Imo, Nigeria 44(56%) <0.013 vs. Indiana, U.S. 74(80%) p<0.0186; women with
cosmesis given; Imo, Nigeria 41(42%) vs. Indiana, U.S. 91 (94%) p<0.0001. Sample
sizes were inadequate to perform multivariable models. CONCLUSION: The multimodal
treatment regimen implied that there was need for an algorithm protocol for
breast cancer women. Thus the need to improve the quality of treatment
particularly in Nigeria by improved treatment documentation to overcome key
barriers involving information exchange.
PMID- 27182511
TI - CLINICO-PATHOLOGICAL FEATURES OF PROSTATE CANCER AT THE UNIVERSITY HOSPITAL
YALGADO OUEDRAOGO, OUAGADOUGOU, BURKINA FASO.
AB - BACKGROUND: Prostate cancer is not uncommon in Burkina Faso and presents late,
often advanced at presentation as is the case in most countries of West Africa.
AIM: To describe the clinical and histopathological characteristics of prostate
cancer at the University Hospital Yalgado Ouedraogo, Burkina Faso. PATIENTS &
METHODS: We conducted a cross-sectional descriptive study of patients treated at
the Urology Department of the University Hospital Yalgado Ouedraogo, Burkina Faso
for prostate cancer from March 2012 to May 2013. The parameters studied were
patients' demographics, clinical features, PSA, histological diagnosis, tumour
grading, management and outcome. RESULTS: In this study, 82 patients satisfied
the inclusion criteria within the 15 months period of the study. The mean age was
68.9 years (standard deviation: 9.52) with a range of 49-95 years. They presented
with symptoms of lower urinary tract obstruction in 57 (69.5%) patients, and
irritative symptoms in 59 (72%) patients. At diagnosis 33 (40.2%) of patients had
metastases and the most common metastatic sites were the spine in 18(21. 95%),
the ribs in 6 (7.31%) and the pelvis in 5 (6.09%) patients. The mean PSA level
was 746 ng/ml with a range of 13 - 9224ng /ml. Advanced T3 and T4 tumors
accounted for 25.4% and 73.2% respectively. Adenocarcinoma was the only
histological form. Gleason score was less than 7 in 41(49.4 %) cases. Androgen
deprivation therapy (ADT) was the treatment for 79 (96.34%) patients. In all, 51
(62.19%) patients received medical ADT while 20 (24.39%) patients underwent
bilateral orchiectomy. One patient underwent radical prostatectomy. CONCLUSION:
In this environment, prostate cancer is diagnosed at an advanced stage with
distant metastasis. It is therefore useful to develop effective screening policy
for early detection and better outcome of management.
PMID- 27182512
TI - THE STANDARD OF PLAYGROUNDS AND SAFETY MEASURES IN PREVENTION OF TRAUMATIC DENTAL
INJURIES IN NIGERIAN PRIMARY SCHOOLS.
AB - BACKGROUND: Playgrounds provide a recreational refuge for children and play a
role in the development of their cognitive, psychosocial, and physical
coordination skills. Unfortunately, it may also be a source of traumatic dental
injuries (TDI). AIM: To assess the standards of playgrounds in primary schools in
Southern Nigeria. SETTING: Selected public and private primary schools in Lagos
(Southwest), Enugu (Southeast) and Rivers (Southsouth) states of Nigeria.
MATERIALS & METHODS: Multi-stage sampling was used to select 180 schools (30
private and 30 public schools in each state) spread across the 3 study states of
Lagos, Enugu and Rivers of Nigeria. A structured questionnaire which was
interview administered was used to obtain information from the head teachers of
180 selected schools in Southern geo-political zones of Nigeria. The presence or
absence of playgrounds & play equipment; quality of playgrounds and equipment;
and supervision of the pupils during play were assessed. Statistical comparison
of public and private schools, as well as comparison between the three
geopolitical zones was by chi square statistics and one way ANOVA respectively.
RESULTS: Playgrounds were present in 147(81.7%) schools; 83 (56.5%) and 64
(43.5%) were public and private schools respectively. Ninety three (51.7%)
schools had teachers or minders at the play grounds during recreation. Most of
the public schools had no minders. Majority (69.7%) of the playgrounds surfaces
were bare earth. Ninety three (55.4%) schools had play equipment with about 7.5%
of them padded. The maintenance of the play equipment was not regular in 54.3% of
the schools. The impact absorbing surfaces under the play equipment were majorly
(66.6%) pits filled with sand in the three zones while rubber matting was found
only in 7.7% of schools which were exclusively in the Southwestern zone of the
country. CONCLUSION: Most of the schools had playgrounds but inadequate
consideration was given to safety measures in terms of playground size, surfaces,
equipment type, height and supervisions by teachers. We therefore recommended
that playgrounds should be standardized in Nigerian primary schools and more
awareness about the occurrence and prevention of TDI during recreation should be
created in schools.
PMID- 27182513
TI - EXPERIENCE WITH MANAGING SOLID KIDNEY TUMOURS IN COTONOU, BENIN REPUBLIC.
AB - BACKGROUND: Kidney cancer is the third most common urological tumour. Diagnosis
is made in most cases at an advanced stage of the disease in our setting. AIM &
OBJECTIVES: The aim of this work was to describe the management of solid tumors
of the kidney and the outcome at the National University Hospital, Cotonou, Benin
Republic, from January 2008 to December 2014. PATIENTS & METHODS: This is a
retrospective, descriptive study conducted at the Clinic of Urology and Andrology
at the National University Hospital CNHU-Hubert Koutoukou MAGA in Cotonou, Benin
Republic. We included patients managed for solid kidney tumours from January 2008
to December 2014. The demographics, clinical presentations, management and
outcome were recorded and the data obtained were analyzed using Epi info 3.2.2.
RESULTS: Hospital frequency of solid renal mass was 1.9% with a mean age of 54
years and sex ratio of men to women of 1.6. Hematuria, back pain and lumbar mass
were the most frequent clinical presentation found in 79.5% of cases. In all, 5%
presented at an early stage while 95% presented at a late stage. Diagnosis was
based on imaging; histopathological examination was requested in all the case
though some could not afford the cost. Radical nephrectomy was performed in 51.5%
of cases.The non- operated cases were those whose poor general condition as well
as those who could not afford the procedure. Undifferentiated adenocarcinoma was
the predominant pathological type found in 78% of operated cases. No patients
underwent other treatments such as radiation therapy, immunotherapy or
chemotherapy. Mortality occured in 10 cases including 1 intra operative death, 3
patients died in the early post operative period due to respiratory distress and
7 patients died from metatstasis discovered post operatively. CONCLUSION: This
study has shown that majority of patients with solid renal tumours in this
environment present late with advanced stages of the disease. The absence of the
necessary investigative equipment, poverty and absence of adjuvant and adjunct
therapeutic facilities make the treatment outcome poor.
PMID- 27182514
TI - PATTERN OF OROFACIAL BACTERIAL INFECTIONS IN A TERTIARY HOSPITAL IN SOUTHWEST,
NIGERIA.
AB - BACKGROUND: Orofacial bacterial infections present in diverse patterns due to the
anatomical complexity of the area. The likelihood of spread from the initial area
of infection is also high because of the presence of contiguous spaces in the
head and neck region. AIM: To determine the pattern and management outcome of
orofacial bacterial infections in Southwest Nigeria. STUDY DESIGN: A prospective
study. SETTING: University College Hospital, Ibadan, Nigeria. MATERIALS AND
METHODS: A prospective study on 102 patients with orofacial bacterial infections
seen between June and December, 2011 was carried out. The information collected
with data sheet included socio- demographic data, clinical history and
examination, treatment and outcome. Frequencies, means, medians and diagrams of
relevant variable generated. Chi square test was used to test association between
categorical variables at p<0.05. RESULTS: Male to female ratio was 1.1: 1 with
age range 10 months to 83 years and mean age 33.0 (+/-20.3) years. The median
number of days between onset of orofacial bacterial infection and presentation
for treatment was 7 (range 3-90) days. Sixty seven (65.7%) patients presented to
hospital within 7 days of the onset of symptoms of infection. Pain was the common
symptom 100 (98.04%), followed by sleep disturbance 71 (69.6%) while the least
common symptom was dyspnea 18 (17.7%). Most of the early presenters had complaint
of sleep disturbance (67.6%) while most of the late presenters had dyspnea
(44.4%). Positive medical history including diabetes mellitus was reported by 28
patients (27.6%). Sixty seven (65.7%) had fascial space involvement, of which the
majority 38 (56.7%) had multiple space involvement. Eight (21%) of those with
multiple space involvement had submental, bilateral sublingual and submandibular
spaces (Ludwig's angina).The majority 12 (41.4%) of those with single space
infection had it in submandibular space. The majority 35 (45%) of the patients
with odontogenic infections had it in right posterior mandible. All the patients
had antibiotics prescribed for them and also had one form of surgical treatment
or the other which included extraction, incision and draina cge with extraction,
decompression, exploration and debridement and sequestrectomy. The majority
(90.5%) of the participants whose orofacial bacterial infection resulted into
swelling had regression of the swelling and majority (90.0%) of those who had
inadequate mouth opening had adequate mouth opening after treatment. Three
mortalities were recorded in the course of the study. CONCLUSION: Odontogenic
aetiology is commoner than non odontogenic. Multiple fascial space involvement
occurred more than single space. Outcome was good with adequate treatment
although few cases of mortalities were recorded.
PMID- 27182515
TI - PERCEPTION OF EPIDURAL ANALGESIA FOR LABOUR AMONG PREGNANT WOMEN IN A NIGERIAN
TERTIARY HOSPITAL SETTING.
AB - BACKGROUND: Epidural anaesthesia is the preferred technique and gold standard for
labour analgesia. However this technique is poorly utilized in our setting .This
study is therefore aimed to assess the perception of this technique for labour
analgesia among pregnant women in a Nigerian tertiary hospital setting in order
to suggest ways of improving the utilization of same. AIM & OBJECTIVES: To assess
the knowledge and percerption of women regarding epidural analgesia for labour in
the antenatal clinics. SETTING: University of Benin Teaching Hospital, Benin,
Southsouth Nigeria. METHODS: A total of 420 women attending the antenatal clinics
of the University of Benin Teaching Hospital in South-south Nigeria were
interviewed using semi-structured researcher-administered questionnaires.
Respondents' socio-demographic characteristics, knowledge of epidural analgesia
and its acceptance were obtained, documented and analyzed. RESULTS: Four hundred
and five (94.6%) out of 420 gave complete responses to the questions asked. A
majority of the respondents 322(79.5%) were not aware of epidural analgesia. Of
the 83(20.9%) who were aware, 22.9% knew it was used to relieve labour pain and
20(24.1%) had first knowledge about epidural analgesia from health workers. A
majority of the respondents 310(76.5%) would accept epidural analgesia if offered
to them. The level of education and previous labour experiences were
statistically significantly associated with awareness and acceptance of epidural
analgesia respectively (p = 0.000). CONCLUSION: This study has shown that there
is poor awareness and acceptance of epidural analgesia in labour in this
environment which would be greatly improved by public enlightenment and
counselling during ante-natal visits.
PMID- 27182516
TI - WEST AFRICAN COLLEGE OF SURGEONS FELLOWSHIP EXAMINATIONS.
PMID- 27182517
TI - MODE OF TRANSMISSION OF HIV/AIDS: PERCEPTION OF DENTAL PATIENTS IN A NIGERIAN
TEACHING HOSPITAL.
AB - BACKGROUND: Despite the fact that the possible modes of transmission of Human
Immunodeficiency Virus (HIV) are well established, there still abound many
misconceptions, which contribute to the persistence of social stigmatization of
those living with the virus. AIM: To assess the perception of some dental
patients on the possible modes of transmission of HIV in order to identify areas
of misconceptions. DESIGN OF THE STUDY: A prospective study. SETTING: A dental
centre located within a teaching hospital in Nigeria. MATERIALS & METHODS: A
prospective study of 212 dental patients was undertaken using a structured
questionnaire to assess the perception of respondents on possible mode of
transmission of HIV. RESULTS: Majority of the respondents agreed that HIV could
be transmitted through sexual means (96.2%), blood transfusion (96.7%) and
sharing of sharp objects (92.5%). A few of the respondents believed that HIV can
be transmitted through sharing of drinking cups (9.4%) and mosquito bites
(13.6%). A greater percentage of those with higher educational qualifications
agreed that the use of unsterilized dental instruments may transmit the virus,
which was statistically significant (p< .002) and a lower percentage of the more
educated respondents agreed that sharing of drinking cup can transmit the virus
(p<.000). CONCLUSION: Despite the generally good knowledge of the possible modes
of transmission of HIV, there are still some misconceptions, which may encourage
stigmatization of those living with HIV, which could lead to people unwilling to
consent and go for voluntary counseling and testing.
PMID- 27182518
TI - THE PATTERN OF DENTAL CARIES IN CHILDREN IN PORT HARCOURT, NIGERIA.
AB - BACK GROUND: Dental caries is a preventable oral disease in children and its
prevention can only be effective when the pattern is known. There is paucity of
this information in South - South Nigeria. AIM & OBJECTIVES: To describe the
pattern of dental caries in Nigerian children in Port Harcourt, Rivers State,
Nigeria. DESIGN OF THE STUDY: A Retrospective study. SETTING: Paediatric Dental
clinic, University of Port Harcourt Teaching Hospital, Port Harcourt, Rivers
State, Nigeria. MATERIALS AND METHODS: The dental records of 334 patients that
attended the dental clinic in 2013 were reviewed for the following information:
socio demography, oral hygiene practices, dietary habits and presence and pattern
of dental caries. RESULTS: One hundred and forty two (42.5%) children had dental
caries during the study period. They consisted of 65 (45.8%) males and 77 (54.2%)
females with a mean age of 9.14 (+ 3.94) years and a range of 1to16years.
Majority (78.5%) cleaned their teeth once a day and 83.3% of the children below
seven years of age cleaned their teeth by themselves. All the children ingested
refined carbohydrates in varying frequencies. The 5 to 9 year age cohort was 43%
of those who had dental caries. Most of the carious lesions were located on the
occlusal surfaces (61.2%) while the least sites (1.2%) were the lingual surfaces
in both dentitions. The carious lesions were more in the posterior teeth of the
lower jaw. There were no anterior teeth affected in the permanent dentition.
There was an association between the age and the severity of caries (p<0.05).
CONCLUSION: The occlusal surface was the commonest occurrence site of the dental
caries, therefore the children at risk of developing caries will benefit more
from dental sealants placement.
PMID- 27182519
TI - ASSESSMENT OF OXIDATIVE STRESS IN EARLY AND LATE ONSET PRE-ECLAMPSIA AMONG
GHANAIAN WOMEN.
AB - BACKGROUND: Pre-eclampsia is a multisystem pregnancy-related disorder with
multiple theories regarding its aetiology resulting in lack of reliable screening
tests and well-established measures for primary prevention. However, oxidative
stress is increasingly being implicated in the pathogenesi of pre-eclampsia
although conflicting findings have been reported. AIM: To determine and compare
the levels of oxidative stress in early and late onset pre-eclampsia by measuring
urinary excretion of isoprostane and total antioxidant power (TAP) in a cohort of
pre-eclamptic women at Korle Bu Teaching Hospital. METHODOLOGY: This was a cross
sectional study conducted at Korle-Bu Teaching Hospital, Accra, Ghana involving
pre-eclamptic women between the ages 18 and 45 years who gave written informed
consent. Urinary isoprostane levels were determined using an enzyme-linked
immunosorbent assay (ELISA) kit whereas the Total Anti-oxidant Power in urine
samples was determined using Total Antioxidant Power Colorimetric Microplate
Assay kit. The data obtained were analyzed using MEGASTAT statistical software
package. RESULTS: We included 102 pre-eclamptic women comprising 68 (66.7%) and
34 (33.3%) with early-onset and late-onset pre-eclampsia respectively. There were
no statistically significant differences between the mean maternal age,
haematological indices, serum ALT, AST, ALT, albumin, urea, creatinine uric acid
and total protein at the time of diagnosis. The mean gestational age at diagnosis
of early and late onset pre-eclampsia were 31.65 +/- 0.41 and 38.03 +/- 0.21
respectively (p ? 0.001). Also, there were statistically significant differences
between the diastolic blood pressure (BP), systolic BP and mean arterial pressure
(MAP) at diagnosis of pre-eclampsia in the two categories. The mean urinary
Isoprostane excretion was significantly higher in the early onset pre-eclamptic
group (3.04 +/- 0.34 ng/mg Cr) compared to that of the late onset pre-eclamptic
group (2.36 +/- 0.45 ng/mg Cr), (p=0.019). Urinary total antioxidant power (TAP)
in early onset PE (1.64 +/- 0.06) was lower but not significantly different from
that of late onset PE (1.74 +/- 0.09) with p = 0.369. CONCLUSION: Significantly
increased urinary isoprostane excretion was detected in early onset pre-eclampsia
compared to late onset pre-eclampsia, suggestive of increased oxidative stress in
the former. However, there was no significant difference in total anti-oxidant
power between the two categories of pre-eclampsia women although there was a
tendency of reduced total antioxidant power in the women with early onset pre
ecalmpsia.
PMID- 27182520
TI - PERIODONTAL SYSTEMIC INTERACTION: PERCEPTION, ATTITUDES AND PRACTICES AMONG
MEDICAL DOCTORS IN NIGERIA.
AB - BACKGROUND: Periodontal diseases (PD) impact the outcome of some systemic
illnesses. Medical doctors' knowledge and practices regarding this association
may influence the effective management of their patients. This has been
understudied among Nigerian doctors. AIM: The study aimed to determine the
knowledge, attitudes and practices regarding periodontal-systemic disease
interactions among medical doctors in Nigeria. METHODOLOGY: This was a
descriptive, cross sectional study by design, while the study setting was at the
National Postgraduate Medical College of Nigeria (NPMCN), Ijanikin, Lagos. The
study participants were senior resident doctors attending compulsory Research
Methodology and Management courses organized by the NPMCN in 2014. Self
administered questionnaires were distributed among the participants to obtain
information on their socio-demography, PD knowledge, association between PD and
systemic illnesses, attitudes to periodontal health and oral hygiene practices
questionnaires. Student t test and ANOVA were used to test associations between
variables. The level of significance was set at p < 0.05. RESULTS: A total of 236
doctors participated in the study with a mean age of 35.8 (+/- 4.5) years, males
being predominant (62.7%). Few doctors (42.1%) knew dental plaque as the main
cause of PD, while 16.5% were aware of gum bleeding as earliest sign. Female
doctors displayed better knowledge than males (p= 0.044). Majority were aware of
an association between PD and chronic kidney disease (88.6%) and diabetes
(86.5%). Knowledge of PD as a risk factor for stroke was 33.1%, poor glycemic
control (25.4%), and pre-term low birthweight (14.8%). Most doctors had positive
attitudes towards patients' periodontal health, while 33.5% assessed their
patients' oral cavity regularly. All the doctors used tooth brush and paste to
clean their teeth, while 43.2% cleaned twice daily. Only 16.5% used dental floss
frequently and was associated with higher PD knowledge (p<0.001) and higher
attitude scores (p=0.005). CONCLUSION: Senior resident doctors in Nigeria have
positive attitudes regarding periodontal health. However, poor knowledge of PD
and PD as risk factor for some systemic illnesses, coupled with unsatisfactory
oral hygiene and dental examination practices are evident among the doctors.
PMID- 27182521
TI - BRACHIAL PLEXUS BLOCK FOR UPPER LIMB PROCEDURES: EXPERIENCE AT THE UNIVERSITY OF
UYO TEACHING HOSPITAL, UYO, AKWA IBOM STATE, NIGERIA.
AB - BACKGROUND: Brachial plexus block (BPB) has many benefits over general
anaesthesia in upper limb procedures. However, its utilization in Nigeria is not
as high as expected. AIM: To evaluate BPB in upper limb surgeries, its
utilization, outcome and complication profile. MATERIALS AND METHODS: Approval
for the study was obtained from the institution's research ethical committee.
This was a retrospective study of all patients who underwent upper limb surgeries
from May 2011 to December 2014. Those who had BPB were further analysed. Data was
obtained from the anaesthesia register and records, as well as the patients'
folders. Information obtained included: age, gender, ASA class, type of BPB and
nerve localization technique. The primary outcome was the adequacy of the block
for surgery and complications. Data analysis was done using SPSS, version 16.
RESULTS: Ninety two patients underwent upper limb surgeries, out of which
42(45.65%) were performed under BPB, the rest were done under GA-45(48.91%),
local infiltration-4(4.35%) or wrist block-1(1.09%). Combined interscalene and
axillary blocks were performed in 35(83.3%) patients, interscalene block only
5(11.9%), combined interscalene and supraclavicular blocks, and axillary block
only in 1(2.4%) patient each. Paraesthesia technique-40(95.2%) was the dominant
nerve localization technique, while nerve stimulator was used in 2(4.8%) patients
only. BPB was adequate in 37(88.1%) patients, while it failed in only 5(11.9%)
patients, and were converted to GA. No major complication was observed except
dysthesia reported in 1(2.4%) patient postoperatively. CONCLUSION: The use of
brachial plexus block for upper limb procedure in our centre is rising.
Paraesthesia technique is predominant, and it is associated with a high success
rate and low complications.
PMID- 27182522
TI - Comming of Age! : Inaugural Address of Professor Akinyinka O. Omigbodun, 28(th)
President of the West African College of Surgeons.
PMID- 27182523
TI - 55(th) ANNUAL CONFERENCE OF THE WEST AFRICAN COLLEGE OF SURGEONS (WACS) AT
ABIDJAN, COTE D'IVOIRE.
PMID- 27182524
TI - PEER-REVIEWED ABSTRACTS OF SCIENTIFIC PAPER PRESENTATION AT THE 55th ANNUAL
CONFERENCE OF THE WEST AFRICAN COLLEGE OF SURGEONS AT ABIDJAN, COTE D'IVOIRE 2nd
6th MARCH 2015.
PMID- 27182525
TI - THE WEST AFRICAN COLLEGE OF SURGEONS ANNUAL CONFERENCES 1960-2015.
PMID- 27182526
TI - Sleep-disordered breathing and stroke: A relation to be considered.
AB - Stroke is a leading cause of death and disability. Despite expensive and
elaborative research in finding out mechanisms of interrelation between sleep
disordered breathing (SDB) and stroke, there is yet much attention to be given in
stroke units worldwide to the prompt diagnosis and treatment of SDB in order to
improve morbidity and mortality rates related with stroke. The preventive
diagnosis and treatment of SDB reduce stroke rate and improves penumbra area in
case of ischemic stroke. Stroke itself predispose to SDB, making the
interrelationship more complicated. The review by Parra O and Arboix A reflects
the results from carefully selected reviews reported in the literature so far.
This review of the literature and presentation of the original study of the
Authors based on their patients' data, enhances the conviction that there exists
a direct relation between SDB and stroke. Diagnosis of SDB in new stroke cases
should be sought and treated carefully whenever present.
PMID- 27182527
TI - Cardiac resynchronisation therapy after percutaneous mitral annuloplasty.
AB - Percutaneous approaches to reduce mitral regurgitation in ischemic cardiomyopathy
have stirred interest recently. Patients with ischemic cardiomyopathy and
functional mitral regurgitation often meet criteria for cardiac resynchronisation
therapy to improve left ventricular function as well as mitral regurgitation, and
alleviate symptoms. This case shows that implantation of a pacing lead in the
coronary sinus to restore synchronous left and right ventricular contraction is
feasible, despite the presence of a remodeling device in the coronary sinus.
PMID- 27182528
TI - Sepsis associated delirium mimicking postoperative delirium as the initial
presenting symptom of urosepsis in a patient who underwent nephrolithotomy.
AB - We report a case of 70 years old male who underwent percutaneous nephrolithotomy
for renal calculi. After an uneventful recovery from anaesthesia, the patient
developed delirium which manifested as restlessness, agitation, irritability and
combative behavior. All other clinical parameters including arterial blood gas,
chest X-ray and core temperature were normal and the patient remained
haemodynamically stable. But 45 min later the patient developed florid
manifestations of septic shock. He was aggressively managed in a protocolized
manner as per the Surviving Sepsis Guidelines in the Critical Care Unit and
recovered completely. There are no case reports showing postoperative delirium as
the only initial presentation of severe sepsis, with other clinical parameters
remaining normal. Both urosepsis and sepsis associated delirium have very high
mortality. High index of suspicion and a protocolized approach in the management
of sepsis can save lives.
PMID- 27182529
TI - Removal of a large foreign body in the rectosigmoid colon by colonoscopy using
gastrolith forceps.
AB - Rectal foreign bodies are man-made injury that occurs occasionally. The
management depends on its depth and the consequence it caused. We here report a
case of rectal foreign body (a glass bottle measuring about 38 mm * 75 mm) which
was located 13-15 cm from the anus. The patient had no sign of perforation, and
we managed to remove it using endoscopy with gastrolith forceps.
PMID- 27182531
TI - A Coaxial Dielectric Probe Technique for Distinguishing Tooth Enamel from Dental
Resin.
AB - For purposes of biodosimetry in the event of a large scale radiation disaster,
one major and very promising point-of contact device is assessing dose using
tooth enamel. This technique utilizes the capabilities of electron paramagnetic
resonance to measure free radicals and other unpaired electron species, and the
fact that the deposition of energy from ionizing radiation produces free radicals
in most materials. An important stipulation for this strategy is that the
measurements, need to be performed on a central incisor that is basically intact,
i.e. which has an area of enamel surface that is as large as the probing tip of
the resonator that is without decay or restorative care that replaces the enamel.
Therefore, an important consideration is how to quickly assess whether the tooth
has sufficient enamel to be measured for dose and whether there is resin present
on the tooth being measured and to be able to characterize the amount of surface
that is impacted. While there is a relatively small commercially available
dielectric probe which could be used in this context, it has several
disadvantages for the intended use. Therefore, a smaller, 1.19mm diameter 50 ohm,
open-ended, coaxial dielectric probe has been developed as an alternative. The
performance of the custom probe was validated against measurement results of
known standards. Measurements were taken of multiple teeth enamel and dental
resin samples using both probes. While the probe contact with the teeth samples
was imperfect and added to measurement variability, the inherent dielectric
contrast between the enamel and resin was sufficient that the probe measurements
could be used as a robust means of distinguishing the two material types. The
smaller diameter probe produced markedly more definitive results in terms of
distinguishing the two materials.
PMID- 27182532
TI - Engineering Near-Field SEIRA Enhancements in Plasmonic Resonators.
AB - Engineering of the optical resonances in plasmonic resonators arrays is achieved
by virtue of the intrinsic properties to the constituent structures such as
composition, size and shape and by controlling the inter-resonator interactions
by of virtue the array geometrical arrangement. The nanoscale confinement of the
plasmonic field enhances light-matter interactions enabling, for instance, the
surface enhanced infrared absorption (SEIRA) effect. However, the subwavelength
confinement also poses an experimental challenge for discriminating the response
stemming from the individual resonators and from the collective response in
densely packed arrays. In this work, the photothermal induced resonance (PTIR)
technique is leveraged to obtain nanoscale images and spectra of near-field SEIRA
hot spots observed in isolated plasmonic resonators of different shapes and in
selected resonators within closely packed plasmonic arrays informing on whether
the interactions with neighboring resonators are beneficial or otherwise. Results
are correlated with far-field spectra and theoretical calculations.
PMID- 27182533
TI - A translational consideration of intercellular adhesion molecule-1 biology in the
perioperative setting.
AB - Intercellular adhesion molecule-1 (ICAM-1) is a critical adhesion molecule
involved in leukocyte recruitment. Since its discovery in 1986, a large number of
studies have been performed to elucidate its role in vitro and in vivo. Here, we
review its role in leukocyte recruitment and consider future steps to take that
will enhance our understanding of ICAM-1 biology and its translational
application in the perioperative setting.
PMID- 27182534
TI - Electron Paramagnetic Resonance (EPR) Spectroscopy to Detect Reactive Oxygen
Species in Staphylococcus aureus.
AB - Under aerobic conditions, Staphylococcus aureus (S. aureus) primarily metabolizes
glucose to acetic acid. Although normally S. aureus is able to re-utilize acetate
as a carbon source following glucose exhaustion, significantly high levels of
acetate in the culture media may not only be growth inhibitory but also
potentiates cell death in stationary phase cultures by a mechanism dependent on
cytoplasmic acidification. One consequence of acetic acid toxicity is the
production of reactive oxygen species (ROS). The present protocol describes the
detection of ROS in S. aureus undergoing cell death by electron paramagnetic
resonance (EPR) spectroscopy. Using 1-hydroxy-3-methoxycarbonyl-2,2,5,5
tetramethylpyrrolidine (CMH) as a cell permeable spin probe, we demonstrate the
detection of various oxygen radicals generated by bacteria. Although standardized
for S. aureus, the methods described here should be easily adapted for other
bacterial species. This protocol is adapted from Thomas et al. (2014) and Thomas
et al. (2010).
PMID- 27182535
TI - Telomerase Repeated Amplification Protocol (TRAP).
AB - Telomeres are found at the end of eukaryotic linear chromosomes, and proteins
that bind to telomeres protect DNA from being recognized as double-strand breaks
thus preventing end-to-end fusions (Griffith et al., 1999). However, due to the
end replication problem and other factors such as oxidative damage, the limited
life span of cultured cells (Hayflick limit) results in progressive shortening of
these protective structures (Hayflick and Moorhead, 1961; Olovnikov, 1973). The
ribonucleoprotein enzyme complex telomerase-consisting of a protein catalytic
component hTERT and a functional RNA component hTR or hTERC- counteracts telomere
shortening by adding telomeric repeats to the end of chromosomes in ~90% of
primary human tumors and in some transiently proliferating stem-like cells (Shay
and Wright, 1996; Shay and Wright, 2001). This results in continuous
proliferation of cells which is a hallmark of cancer. Therefore, telomere biology
has a central role in aging, cancer progression/metastasis as well as targeted
cancer therapies. There are commonly used methods in telomere biology such as
Telomere Restriction Fragment (TRF) (Mender and Shay, 2015b), Telomere Repeat
Amplification Protocol (TRAP) and Telomere dysfunction Induced Foci (TIF)
analysis (Mender and Shay, 2015a). In this detailed protocol we describe Telomere
Repeat Amplification Protocol (TRAP). The TRAP assay is a popular method to
determine telomerase activity in mammalian cells and tissue samples (Kim et al.,
1994). The TRAP assay includes three steps: extension, amplification, and
detection of telomerase products. In the extension step, telomeric repeats are
added to the telomerase substrate (which is actually a non telomeric
oligonucleotide, TS) by telomerase. In the amplification step, the extension
products are amplified by the polymerase chain reaction (PCR) using specific
primers (TS upstream primer and ACX downstream primer) and in the detection step,
the presence or absence of telomerase is analyzed by electrophoresis. TSNT is, an
internal standard control, amplified by TS primer. NT is its own reverse primer,
which is not a substrate for telomerase. These primers are used to identify false
negative results by if the gel lacks internal control bands.
PMID- 27182530
TI - Can you hear me yet? An intracranial investigation of speech and non-speech
audiovisual interactions in human cortex.
AB - In everyday conversation, viewing a talker's face can provide information about
the timing and content of an upcoming speech signal, resulting in improved
intelligibility. Using electrocorticography, we tested whether human auditory
cortex in Heschl's gyrus (HG) and on superior temporal gyrus (STG) and motor
cortex on precentral gyrus (PreC) were responsive to visual/gestural information
prior to the onset of sound and whether early stages of auditory processing were
sensitive to the visual content (speech syllable versus non-speech motion). Event
related band power (ERBP) in the high gamma band was content-specific prior to
acoustic onset on STG and PreC, and ERBP in the beta band differed in all three
areas. Following sound onset, we found with no evidence for content-specificity
in HG, evidence for visual specificity in PreC, and specificity for both
modalities in STG. These results support models of audio-visual processing in
which sensory information is integrated in non-primary cortical areas.
PMID- 27182536
TI - Preparation of Synaptosomes from the Motor Cortex of Motor Skill Trained Mice.
AB - Learning and memory are thought to occur due to changes in synaptic strength.
Strengthening of synapses due to Long Term Potentiation mechanisms are mediated
by increases in synaptic alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid
receptor (AMPAR) levels. Here we describe a protocol to isolate and quantify
synaptic AMPAR subunit GluA1 levels from the motor cortex of mice which have
undergone motor skill training.
PMID- 27182538
TI - Upcycling potato peel waste - Data of the pre-screening of the acid-catalyzed
liquefaction.
AB - Herein, the data acquired regarding the preliminary and exploratory experiments
conducted with potato peel as a biomass source for the direct thermochemical
liquefaction is disclosed. The procedure was carried out in a 2-ethylhexanol/DEG
solvent mixture at 160 degrees C in the presence of p-Toluenesulfonic acid. The
adopted procedure afforded a bio-oil in high yield (up to 93%) after only 30 min.
For longer reaction times, higher amounts of solid residues were obtained
leading, consequently, to lower yields.
PMID- 27182539
TI - Retrospective analysis of cohort database: Phenotypic variability in a large
dataset of patients confirmed to have homozygous familial hypercholesterolemia.
AB - These data describe the phenotypic variability in a large cohort of patients
confirmed to have homozygous familial hypercholesterolemia. Herein, we describe
the observed relationship of treated low-density lipoprotein cholesterol with
age. We also overlay the low-density lipoprotein receptor gene (LDLR) functional
status with these phenotypic data. A full description of these data is available
in our recent study published in Atherosclerosis, "Phenotype Diversity Among
Patients With Homozygous Familial Hypercholesterolemia: A Cohort Study" (Raal et
al., 2016) [1].
PMID- 27182537
TI - Neural Markers of the Development of Executive Function: Relevance for Education.
AB - Executive functions are involved in the development of academic skills and are
critical for functioning in school settings. The relevance of executive functions
to education begins early and continues throughout development, with clear impact
on achievement. Diverse efforts increasingly suggest ways in which facilitating
development of executive function may be used to improve academic performance.
Such interventions seek to alter the trajectory of executive development, which
exhibits a protracted course of maturation that stretches into young adulthood.
As such, it may be useful to understand how the executive system develops
normally and abnormally in order to tailor interventions within educational
settings. Here we review recent work investigating the neural basis for executive
development during childhood and adolescence.
PMID- 27182541
TI - Data on litter quality of host grass plants with and without fungal endophytes.
AB - Certain Pooideae species form persistent symbiosis with fungal endophytes of
Epichloe genus. Although endophytes are known to impact the ecology and evolution
of host species, their effects on parameters related with quality of plant
biomass has been elusive. This article provides information about parameters
related with the quality of plant litter biomass of two important grass species
(Schedonorus phoenix and Schedonorus pratensis) affected by the symbiosis with
fungal endophytes (Epichloe coenophiala and Epichloe uncinata, respectively).
Four population origins of S. phoenix and one of S. pratensis were included.
Mineral, biochemical and structural parameters were obtained from three samples
per factors combination [species (and population origin)*endophyte]. This data
can be potentially used in other studies which, by means of 'data reanalyzing' or
meta-analysis, attempt to find generalizations about endophyte effects on host
plant litter biomass. The present data is associated with the research article
"Role of foliar fungal endophytes on litter decomposition among species and
population origins" (Gundel et al., In preparation) [1].
PMID- 27182540
TI - Time-resolved visible and infrared absorption spectroscopy data obtained using
photosystem I particles with non-native quinones incorporated into the A1 binding
site.
AB - Time-resolved visible and infrared absorption difference spectroscopy data at
both 298 and 77 K were obtained using cyanobacterial menB (-) mutant photosystem
I particles with several non-native quinones incorporated into the A1 binding
site. Data was obtained for photosystem I particles with phylloquinone (2-methyl
3-phytyl-1,4-naphthoquinone), 2-bromo-1,4-naphthoquinone, 2-chloro-1,4
naphthoquinone, 2-methyl-1,4-naphthoquinone, 2,3-dibromo-1,4-naphthoquinone, 2,3
dichloro-1,4-naphthoquinone, and 9,10-anthraquinone incorporated. Transient
absorption data were obtained at 487 and 703 nm in the visible spectral range,
and 1950-1100 cm(-1) in the infrared region. Time constants obtained from fitting
the time-resolved infrared and visible data are in good agreement. The measured
time constants are crucial for the development of appropriate kinetic models that
can describe electron transfer processes in photosystem I, "Modeling Electron
Transfer in Photosystem I" Makita and Hastings (2016) [1].
PMID- 27182542
TI - Innovation in urban agriculture: Evaluation data of a participatory approach
(ROIR).
AB - The data in this article represent an evaluation of a participatory process
called Regional Open Innovation Roadmapping (ROIR). The approach aims at the
promotion of regional development. In this case, it was carried out to develop a
specific innovation in the field of 'Zero-acreage farming' (ZFarming), which is a
building-related subtype of urban agriculture. For the evaluation of the process,
an online survey was sent to the 58 participants of the ROIR on March 4, 2014.
The survey ended on April 8, 2014, and a response rate of 53.54% resulted in a
sample size of 31 respondents. The survey was divided into seven different
blocks. We analyzed the ROIR process's contribution to knowledge generation, the
establishment of networks among the participants, the implementation of new
projects related to ZFarming, and the increase of acceptance of ZFarming and the
selected ZFarming innovation. Furthermore, other remarks, and personal
information were collected. Hence, the objective of the survey was to assess
whether ROIR is a useful tool to promote the aforementioned innovation drivers,
and thereby, the selected innovation, which was developed throughout the process.
The data were used in the research article "Application and evaluation of a
participatory "open innovation" approach (ROIR): the case of introducing zero
acreage farming in Berlin" (Specht et al., 2016) [1].
PMID- 27182543
TI - Dataset of UV induced changes in nuclear proteome obtained by GeLC-Orbitrap/MS in
Pinus radiata needles.
AB - Although responses to UV stress have been characterised at system and cellular
levels, the dynamics of the nuclear proteome triggered in this situation are
still unknown, despite its essential role in regulating gene expression and in
last term plant physiology. To fill this gap, we characterised the variations in
the nuclear proteome after 2 h and 16 h (8 h/day) of UV irradiation by using
state-of-the-art mass spectrometry-based shotgun proteomics methods combined with
novel bioinformatics workflows that were employed in the manuscript entitled "The
variations in the nuclear proteome reveal new transcription factors and
mechanisms involved in UV stress response in Pinus radiata" (Pascual et al.,
2016) [1]. We employed in-gel digestion followed by a 120 min gradient prior to
MS analysis. Data was processed following two approaches: a database dependent
employing the SEQUEST algorithm and custom databases, and a database independent
by mass accuracy precursor alignment (MAPA). 388 proteins were identified by
SEQUEST search and 9094 m/z were quantified by MAPA. Significant m/z were de novo
sequenced using the Novor algorithm. We present here the complete datasets and
the analysis workflow.
PMID- 27182544
TI - Spectral reflectance data of a high temperature stable solar selective coating
based on MoSi2 -Si3N4.
AB - Data of optical performance, thermal stability and ageing are given for solar
selective coatings (SSC) based on a novel MoSi2-Si3N4 absorbing composite. SSC
have been prepared as multilayer stacks formed by silver as metallic infrared
reflector, a double layer composite and an antireflective layer (doi:
10.1016/j.solmat.2016.04.001 [1]). Spectroscopic reflectance data corresponding
to the optical performance of samples after moderate vacuum annealing at
temperatures up to 600 degrees C and after ageing test of more than 200 h with
several heating-cooling cycles are shown here.
PMID- 27182545
TI - Data in support of the bone analysis of NOD-SCID mice treated with zoledronic
acid and prednisolone.
AB - This paper reports data on the bone, specifically the tibia and mandible, of
nonobese diabetic mice with severe combined immunodeficiency disease (NOD-SCID
mice) treated with zoledronic acid (ZA) and prednisolone (PSL). The data
described here are related to the research article titled "Zoledronic acid
basically increases circulating soluble RANKL level in mice, and in
glucocorticoid-administrated mice, more increases lymphocytes derived sRANKL by
bacterial endotoxic stimuli" [1]. The present data and the NOD-SCID mice
experiments described contain insights into the role of bone-remodeling factors
induced by ZA treatment.
PMID- 27182546
TI - RNA-sequencing data analysis of uterus in ovariectomized rats fed with soy
protein isolate, 17beta-estradiol and casein.
AB - This data file describes the bioinformatics analysis of uterine RNA-seq data
comparing genome wide effects of feeding soy protein isolate compared to casein
to ovariectomized female rats age 64 days relative to treatment of casein fed
rats with 5 MUg/kg/d estradiol and relative to rats treated with estradiol and
also fed soy protein isolate. Complete raw data files were deposited in the gene
Expression Omnibus (GEO) at NCBI (http:/www.ncbi.nlm.nih.gov.geo/) under the GEO
accession number GEO: GSE69819. Data presented here incudes a summary of the
differential expression analysis with top 30 genes up- and down-regulated by soy
protein isolate (SPI), estradiol (E2) and SPI+E2. Additional functional
annotation analysis of KEGG pathways is also presented for each treatment,
together with networks of interaction between those pathways. Further
interpretation and discussion of this data can be found in the article "Uterine
responses to feeding soy protein isolate and treatment with 17beta-estradiol
differ in ovariectomized female rats" Ronis et al. (2016) [1].
PMID- 27182547
TI - Proteomic dataset of the sea urchin Paracentrotus lividus adhesive organs and
secreted adhesive.
AB - Sea urchins have specialized adhesive organs called tube feet, which mediate
strong but reversible adhesion. Tube feet are composed by a disc, producing
adhesive and de-adhesive secretions for substratum attachment, and a stem for
movement. After detachment the secreted adhesive remains bound to the substratum
as a footprint. Recently, a label-free quantitative proteomic approach coupled
with the latest mass-spectrometry technology was used to analyze the differential
proteome of Paracentrotus lividus adhesive organ, comparing protein expression
levels in the tube feet adhesive part (the disc) versus the non-adhesive part
(the stem), and also to profile the proteome of the secreted adhesive (glue).
This data article contains complementary figures and results related to the
research article "Deciphering the molecular mechanisms underlying sea urchin
reversible adhesion: a quantitative proteomics approach" (Lebesgue et al., 2016)
[1]. Here we provide a dataset of 1384 non-redundant proteins, their fragmented
peptides and expression levels, resultant from the analysis of the tube feet
differential proteome. Of these, 163 highly over-expressed tube feet disc
proteins (>3-fold), likely representing the most relevant proteins for sea urchin
reversible adhesion, were further annotated in order to determine the potential
functions. In addition, we provide a dataset of 611 non-redundant proteins
identified in the secreted adhesive proteome, as well as their functional
annotation and grouping in 5 major protein groups related with adhesive
exocytosis, and microbial protection. This list was further analyzed to identify
the most abundant protein groups and pinpoint putative adhesive proteins, such as
Nectin, the most abundant adhesive protein in sea urchin glue. The obtained data
uncover the key proteins involved in sea urchins reversible adhesion,
representing a step forward to the development of new wet-effective bio-inspired
adhesives.
PMID- 27182548
TI - Cytotoxic data of 14-deoxy-11, 12-didehydroandrographolide (14-DDA), double
transfection and DDIT3 silencing data in T-47D breast carcinoma cells.
AB - The data presented in this article are related to the research article entitled
"14-deoxy-11,12-didehydroandrographolide induces DDIT3-dependent endoplasmic
reticulum stress-mediated autophagy in T-47D breast carcinoma cells", which the
mechanistic toxicology properties of 14-deoxy-11,12-didehydroandrographolide (14
DDA) were investigated (Tan et al., 2016 [1]). This article describes the
derivation of cytotoxic parameters of 14-DDA, cell viability data after double
transfection and DDIT3 silencing in T-47D cells.
PMID- 27182549
TI - Bioinformatics data supporting revelatory diversity of cultivable thermophiles
isolated and identified from two terrestrial hot springs, Unkeshwar, India.
AB - A total of 21 thermophilic bacteria were isolated and identified using 16S rRNA
gene sequencing method. Sequences were submitted to NCBI website. Short DNA
sequences JN392966-JN392972; KC120909-KC120919; KM998072-KM998074 and KP053645
strains were downloaded from NCBI BioSample database. ENDMEMO GC calculating tool
was used for calculation of maximum, minimum and average GC percentage and
graphical representation of GC content. Data generated indicate 20 short DNA
sequences have maximum GC content ranged from 60% to 100% with an average GC
content 52.5-59.8%. It is recorded that Bacillus sp. W7, Escherichia coli strain
NW1 and Geobacillus thermoleovorans strain rekadwadsis strains showed GC content
maximum up to 70%; Actinobacterium EF_NAK1-7 up to 85.7%, while Bacillus
megaterium and E. coli strain NW2 showed GC content maximum to 100%. Digital data
on thermophilic bacteria isolated from Unkeshwar hot springs would be useful for
interpretation of presence of biodiversity in addition to phenotypic,
physiological characteristics and data generated through 16S rRNA gene sequencing
technology.
PMID- 27182550
TI - Proteome dataset of pre-ovulatory follicular fluids from less fertile dairy cows.
AB - This article contains raw and processed data related to research published in
Zachut et al. (2016) [1]. Proteomics data from preovulatory follicles in cows was
obtained by liquid chromatography-mass spectrometry following protein extraction.
Differential expression between controls and less fertile cows (LFC) was
quantified using MS1 intensity based label-free. The only previous proteomic
analysis of bovine FF detected merely 40 proteins in follicular cysts obtained
from the slaughterhouse (Maniwa et al., 2005) [2], and the abundance of proteins
in the bovine preovulatory FF remains unknown. Therefore, the objectives were to
establish the first dataset of FF proteome in preovulatory follicles of cows, and
to examine differentially expressed proteins in FF obtained in-vivo from
preovulatory follicles of less fertile cows (also termed "repeat breeder") and
control (CTL) cows. The proteome of FF from 10 preovulatory follicles that were
aspirated in vivo (estradiol/progesterone>1) was analyzed. This novel dataset
contains 219 identified and quantified proteins in FF, consisting mainly of
binding proteins, proteases, receptor ligands, enzymes and transporters. In
addition, differential abundance of 8 proteins relevant to follicular function
was found in LFC compared to CTL; these findings are discussed in our recent
research article Zachut et al. (2016) [1]. The present dataset of bovine FF
proteome can be used as a reference for any study involving disorders of
follicular development in dairy cows or in comparative studies between species.
PMID- 27182551
TI - EnABLing microprocessor for apoptosis.
AB - The Microprocessor complex consisting of DROSHA (a type III ribonuclease) and
DGCR8 (DiGeorge syndrome critical region gene 8-encoded RNA binding protein)
recognizes and cleaves the precursor microRNA hairpin (pre-miRNA) from the
primary microRNA transcript (pri-miRNA). The Abelson tyrosine kinase 1 (ABL)
phosphorylates DGCR8 to stimulate the cleavage of a subset of pro-apoptotic pri
miRNAs, thus expanding the nuclear functions of ABL to include regulation of RNA
processing.
PMID- 27182553
TI - Genomic mechanisms underlying PARK2 large deletions identified in a cohort of
patients with PD.
AB - OBJECTIVES: To identify the genomic mechanisms that result in PARK2 large gene
deletions. METHODS: We conducted mutation screening using PCR amplification of
PARK2-coding regions and exon-intron boundaries, followed by sequencing to
evaluate a large series of 244 unrelated Portuguese patients with symptoms of
Parkinson disease. For the detection of large gene rearrangements, we performed
multiplex ligation-dependent probe amplification, followed by long-range PCR and
sequencing to map deletion breakpoints. RESULTS: We identified biallelic
pathogenic parkin mutations in 40 of the 244 patients. There were 18 different
mutations, some of them novel. This study included mapping of 17 deletion
breakpoints showing that nonhomologous end joining is the most common mechanism
responsible for these gene rearrangements. None of these deletion breakpoints
were previously described, and only one was present in 2 unrelated families,
indicating that most of the deletions result from independent events.
CONCLUSIONS: The c.155delA mutation is highly prevalent in the Portuguese
population (62.5% of the cases). Large deletions were present in 42.5% of the
patients. We present the largest study on the molecular mechanisms that mediate
PARK2 deletions in a homogeneous population.
PMID- 27182552
TI - Neuronal and Astrocytic Monoacylglycerol Lipase Limit the Spread of
Endocannabinoid Signaling in the Cerebellum.
AB - Endocannabinoids are diffusible lipophilic molecules that may spread to
neighboring synapses. Monoacylglycerol lipase (MAGL) is the principal enzyme that
degrades the endocannabinoid 2-arachidonoylglycerol (2-AG). Using knock-out mice
in which MAGL is deleted globally or selectively in neurons and astrocytes, we
investigated the extent to which neuronal and astrocytic MAGL limit the spread of
2-AG-mediated retrograde synaptic depression in cerebellar slices. A brief
tetanic stimulation of parallel fibers in the molecular layer induced
synaptically evoked suppression of excitation (SSE) in Purkinje cells, and both
neuronal and astrocytic MAGL contribute to the termination of this form of
endocannabinoid-mediated synaptic depression. The spread of SSE among Purkinje
cells occurred only after global knock-out of MAGL or pharmacological blockade of
either MAGL or glutamate uptake, but no spread was detected following neuron- or
astrocyte-specific deletion of MAGL. The spread of endocannabinoid signaling was
also influenced by the spatial pattern of synaptic stimulation, because it did
not occur at spatially dispersed parallel fiber synapses induced by stimulating
the granular layer. The tetanic stimulation of parallel fibers did not induce
endocannabinoid-mediated synaptic suppression in Golgi cells even after
disruption of MAGL and glutamate uptake, suggesting that heightened release of 2
AG by Purkinje cells does not spread the retrograde signal to parallel fibers
that innervate Golgi cells. These results suggest that both neuronal and
astrocytic MAGL limit the spatial diffusion of 2-AG and confer synapse
specificity of endocannabinoid signaling.
PMID- 27182554
TI - Integrated expression analysis of muscle hypertrophy identifies Asb2 as a
negative regulator of muscle mass.
AB - The transforming growth factor-beta (TGF-beta) signaling network is a critical
regulator of skeletal muscle mass and function and, thus, is an attractive
therapeutic target for combating muscle disease, but the underlying mechanisms of
action remain undetermined. We report that follistatin-based interventions (which
modulate TGF-beta network activity) can promote muscle hypertrophy that
ameliorates aging-associated muscle wasting. However, the muscles of old
sarcopenic mice demonstrate reduced response to follistatin compared with healthy
young-adult musculature. Quantitative proteomic and transcriptomic analyses of
young-adult muscles identified a transcription/translation signature elicited by
follistatin exposure, which included repression of ankyrin repeat and SOCS box
protein 2 (Asb2). Increasing expression of ASB2 reduced muscle mass, thereby
demonstrating that Asb2 is a TGF-beta network-responsive negative regulator of
muscle mass. In contrast to young-adult muscles, sarcopenic muscles do not
exhibit reduced ASB2 abundance with follistatin exposure. Moreover, preventing
repression of ASB2 in young-adult muscles diminished follistatin-induced muscle
hypertrophy. These findings provide insight into the program of transcription and
translation events governing follistatin-mediated adaptation of skeletal muscle
attributes and identify Asb2 as a regulator of muscle mass implicated in the
potential mechanistic dysfunction between follistatin-mediated muscle growth in
young and old muscles.
PMID- 27182555
TI - PD-1 marks dysfunctional regulatory T cells in malignant gliomas.
AB - Immunotherapies targeting the immune checkpoint receptor programmed cell death
protein 1 (PD-1) have shown remarkable efficacy in treating cancer.
CD4+CD25hiFoxP3+ Tregs are critical regulators of immune responses in
autoimmunity and malignancies, but the functional status of human Tregs
expressing PD-1 remains unclear. We examined functional and molecular features of
PD-1hi Tregs in healthy subjects and patients with glioblastoma multiforme (GBM),
combining functional assays, RNA sequencing, and cytometry by time of flight
(CyTOF). In both patients with GBM and healthy subjects, circulating PD-1hi Tregs
displayed reduced suppression of CD4+ effector T cells, production of IFN-gamma,
and molecular signatures of exhaustion. Transcriptional profiling of tumor
resident Tregs revealed that several genes coexpressed with PD-1 and associated
with IFN-gamma production and exhaustion as well as enrichment in exhaustion
signatures compared with circulating PD-1hi Tregs. CyTOF analysis of circulating
and tumor-infiltrating Tregs from patients with GBM treated with PD-1-blocking
antibodies revealed that treatment shifts the profile of circulating Tregs toward
a more exhausted phenotype reminiscent of that of tumor-infiltrating Tregs,
further increasing IFN-gamma production. Thus, high PD-1 expression on human
Tregs identifies dysfunctional, exhausted Tregs secreting IFN-gamma that exist in
healthy individuals and are enriched in tumor infiltrates, possibly losing
function as they attempt to modulate the antitumoral immune responses.
PMID- 27182556
TI - T cell Bim levels reflect responses to anti-PD-1 cancer therapy.
AB - Immune checkpoint therapy with PD-1 blockade has emerged as an effective therapy
for many advanced cancers; however, only a small fraction of patients achieve
durable responses. To date, there is no validated blood-based means of predicting
the response to PD-1 blockade. We report that Bim is a downstream signaling
molecule of the PD-1 pathway, and its detection in T cells is significantly
associated with expression of PD-1 and effector T cell markers. High levels of
Bim in circulating tumor-reactive (PD-1+CD11ahiCD8+) T cells were prognostic of
poor survival in patients with metastatic melanoma who did not receive anti-PD-1
therapy and were also predictive of clinical benefit in patients with metastatic
melanoma who were treated with anti-PD-1 therapy. Moreover, this circulating
tumor-reactive T cell population significantly decreased after successful anti-PD
1 therapy. Our study supports a crucial role of Bim in both T cell activation and
apoptosis as regulated by PD-1 and PD-L1 interactions in effector CD8+ T cells.
Measurement of Bim levels in circulating T cells of patients with cancer may
provide a less invasive strategy to predict and monitor responses to anti-PD-1
therapy, although future prospective analyses are needed to validate its utility.
PMID- 27182557
TI - Multiplexed immunofluorescence delineates proteomic cancer cell states associated
with metabolism.
AB - The phenotypic diversity of cancer results from genetic and nongenetic factors.
Most studies of cancer heterogeneity have focused on DNA alterations, as
technologies for proteomic measurements in clinical specimen are currently less
advanced. Here, we used a multiplexed immunofluorescence staining platform to
measure the expression of 27 proteins at the single-cell level in formalin-fixed
and paraffin-embedded samples from treatment-naive stage II/III human breast
cancer. Unsupervised clustering of protein expression data from 638,577 tumor
cells in 26 breast cancers identified 8 clusters of protein coexpression. In
about one-third of breast cancers, over 95% of all neoplastic cells expressed a
single protein coexpression cluster. The remaining tumors harbored tumor cells
representing multiple protein coexpression clusters, either in a regional
distribution or intermingled throughout the tumor. Tumor uptake of the
radiotracer 18F-fluorodeoxyglucose was associated with protein expression
clusters characterized by hormone receptor loss, PTEN alteration, and HER2 gene
amplification. Our study demonstrates an approach to generate cellular
heterogeneity metrics in routinely collected solid tumor specimens and integrate
them with in vivo cancer phenotypes.
PMID- 27182558
TI - Alk5 inhibition increases delivery of macromolecular and protein-bound contrast
agents to tumors.
AB - Limited transendothelial permeability across tumor microvessels represents a
significant bottleneck in the development of tumor-specific diagnostic agents and
theranostic drugs. Here, we show an approach to increase transendothelial
permeability of macromolecular and nanoparticle-based contrast agents via
inhibition of the type I TGF-beta receptor, activin-like kinase 5 (Alk5), in
tumors. Alk5 inhibition significantly increased tumor contrast agent delivery and
enhancement on imaging studies, while healthy organs remained relatively
unaffected. Imaging data correlated with significantly decreased tumor
interstitial fluid pressure, while tumor vascular density remained unchanged.
This immediately clinically translatable concept involving Alk5 inhibitor
pretreatment prior to an imaging study could be leveraged for improved tumor
delivery of macromolecular and nanoparticle-based imaging probes and, thereby,
facilitate development of more sensitive imaging tests for cancer diagnosis,
enhanced tumor characterization, and personalized, image-guided therapies.
PMID- 27182560
TI - Exenatide Effects on Cardiometabolic Risk and Weight in Adolescents with Morbid
Obesity and Type 2 Diabetes Mellitus: Two Case Reports.
AB - OBJECTIVE: Glucagon-like peptide-1 (GLP-1) receptor agonists improve glycemic
control and cardiometabolic risk factors in adults with type 2 diabetes mellitus,
but pediatric data is sparse. RESEARCH DESIGN AND METHODS: This is the first
report to describe the effects of GLP-1 receptor agonist Exenatide on metabolic
risk and weight in adolescents with morbid obesity (BMI>35kg/m2) and type 2
diabetes in the first 6 months after treatment initiation. Two patients with
morbid obesity who failed conventional therapy with insulin glargine and
Metformin were treated with the addition of Exenatide. Metabolic parameters were
obtained at 3 and 6 months post intervention. RESULTS: Improvements in
cardiometabolic risk factors, such as fasting glucose and triglyceride levels, as
well as HbA1c, were reached at 6 months compared to baseline. CONCLUSIONS: Our
cases highlight the therapeutic potential of exenatide for adolescent patients
with morbid obesity and type 2 diabetes.
PMID- 27182559
TI - T cell ignorance is bliss: T cells are not tolerized by Langerhans cells
presenting human papillomavirus antigens in the absence of costimulation.
AB - Human papillomavirus type 16 (HPV16) infections are intra-epithelial, and thus,
HPV16 is known to interact with Langerhans cells (LCs), the resident epithelial
antigen-presenting cells (APCs). The current paradigm for APC-mediated induction
of T cell anergy is through delivery of T cell receptor signals via peptides on
MHC molecules (signal 1), but without costimulation (signal 2). We previously
demonstrated that LCs exposed to HPV16 in vitro present HPV antigens to T cells
without costimulation, but it remained uncertain if such T cells would remain
ignorant, become anergic, or in the case of CD4+ T cells, differentiate into
Tregs. Here we demonstrate that Tregs were not induced by LCs presenting only
signal 1, and through a series of in vitro immunizations show that CD8+ T cells
receiving signal 1 + 2 from LCs weeks after consistently receiving signal 1 are
capable of robust effector functions. Importantly, this indicates that T cells
are not tolerized but instead remain ignorant to HPV, and are activated given the
proper signals.
PMID- 27182562
TI - Human Touch in Digital Experiences.
PMID- 27182561
TI - Brief Interventions for Adolescents.
AB - Public health concerns regarding adolescent alcohol and other drug involvement
emphasize the need for continuing research to develop and evaluate preventive
interventions for use in a variety of settings. This focus includes research on
brief interventions. This short commentary piece provides an overview of the
brief intervention literature and highlights future directions.
PMID- 27182563
TI - [Peculiarities of oral health of organized collectives and professional
communities].
AB - The analysis of scientific literature on the peculiarities of the dental status
of organized groups and professional associations. After reviewing the
literature, it becomes clear that the studies carried out in organized groups and
professional societies are very relevant today, because the prevalence of dental
diseases among them remains high, fueled by a number of factors: habitat, diet,
lifestyle, occupational hazards, particularly hygienic oral care. Nowadays there
is no information about the features of the dental status among the clergy, whose
professional activity is connected with direct close contact with people. This
profession covers a large number of our population. The research of certain
groups is of special interest, since a number of different factors affect dental
health.
PMID- 27182564
TI - [Clinical and pathogenetic aspects of various forms of cheilitis].
PMID- 27182565
TI - [On the question of dental implantation follow-up and results assessment in
patients with risk factors].
PMID- 27182566
TI - Author Response: Alex B. Munster, Angelo J. Franchini, Mahim I. Qureshi, Ankur
Thapar, Alun H. Davies, London.
PMID- 27182567
TI - Mitchell P. Fink, Associate Editor: A Remembrance.
PMID- 27182568
TI - A New Collaboration Between the Canadian Association for Neuroscience and CJNS.
PMID- 27182569
TI - Wear Your Bicycle Helmet!
PMID- 27182570
TI - Everything Old is New Again.
PMID- 27182571
TI - A CONVERSATION WITH MARILYN TAVENNER. New AHIP Chief Explains What Challenges Lie
Ahead.
PMID- 27182572
TI - Three Breakthroughs Usher In New Era of Cholesterol Control.
PMID- 27182573
TI - [Coalition agrees on flexible management of the mentally ill. Treatment should be
in accordance with the latest knowledge].
PMID- 27182574
TI - [White list informs about patient safety and health. Anyone seeking advice should
recognize how active individual hospitals are].
PMID- 27182575
TI - [Better assistive devices supply. Coalition plans a "common approach"].
PMID- 27182576
TI - [Moratorium wants to suspend nursing profession law. Reform of nursing
professions further heats tempers].
PMID- 27182577
TI - [More independence thanks to technical assistance. Interest in nursing robots is
great].
PMID- 27182578
TI - [Baden-Wurttemberg curtails specialist quota. Requests for personnel will be
revised].
PMID- 27182579
TI - [Physician must make the patient record completely available. Health insurance
complaint against physician].
PMID- 27182580
TI - [Psychiatric nursing: continuing education starts in June].
PMID- 27182581
TI - [Berlin and Brandenburg: uniform regulations for intensive care].
PMID- 27182582
TI - SEQUELAE OF PATIENTS TREATED FOR PULMONARY TUBERCULOSIS IN CHEST CLINIC, TIKUR
ANBESSA SPECIALIZED HOSPITAL (TASH), ADDIS ABABA, ETHIOPIA.
AB - BACKGROUND: Pulmonary tuberculosis (PTB) is one of the most common infectious
diseases worldwide, and contributes significantly to morbidity and mortality in
developing countries. Despite availability of effective treatment, a significant
number of patients suffer from permanent lung damage, which predisposes patients
to numerous pulmonary complications. OBJECTIVE: To assess chronic sequelae of
patients treated for PTB in a chest clinic at Tikur Anbessa Hospital. METHODS:
This was a retrospective, cross-sectional analysis of patients registered in a
clinical database at the chest clinic of Tikur Anbessa specialized Hospital
between January and December 2013. Patients with a history of pulmonary
tuberculosis treatment were identified and included in the analysis. RESULTS:
Among all patients having follow-up at the chest clinic of TASH during the study
period, 134 (18.5%) presented with chronic pulmonary complications of TB. Seventy
two patients (54%) were male, and the mean and median ages were 40 and 37 years,
respectively. Of the study population, 83 (61.9%) patients had clinically
significant parenchymal scarring and fibrosis, 40 (29.9%) had bronchiectasis,
5(3.7%) had Aspergilloma, 4(3%) had granuloma/calcification, one patient (0.7%)
had pleural thickening, and one patient (0.7%) underwent pneumonectomy during the
study period. CONCLUSIONS: Fibrosis and bronchiectasis were the most common
pulmonary complications of tuberculosis among patients encountered at the TASH
chest clinic during the study period. This demonstrates the impact of pulmonary
tuberculosis is beyond management of active disease.
PMID- 27182583
TI - HEPATITIS C VIRUS (HCV) SEROPREVALENCE, ANTIGENAEMIA AND ASSOCIATED RISK FACTORS
AMONG PREGNANT WOMEN IN NIGERIA.
AB - BACKGROUND & AIMS: Hepatitis C viral infection is a significant public health
challenge with potential risk of progressing to liver cirrhosis and
hepatocellular carcinoma (HCC). Actively infected mothers can transmit the virus
to their babies who may develop liver cirrhosis and HCC as young adults. We
determined the seroprevalence of HCV, its antigenaemia and associated risk
factors among pregnant women. METHODS: We recruited 400 pregnant women and tested
their serum for HCV antibodies using immune-chromatographic test and determined
the HCV core antigenaemia among HCV sero-positives by enzyme-immunoassay (EIA).
The bio-socio-demographic variables of the participants were statistically
correlated to the test results. RESULTS: Seroprevalence of HCV was 5.8% (23/400)
and the prevalence of HCV core antigenaemia was 73.9% (17/23). None of the bio
socio-demographic variables of the participants and other known risk factors
evaluated had. significant influence on either seroprevalence of HCV or its
antigenaemia. Only the employment status of the participants' husbands (p = 0.01)
significantly affected seropositivity of HCV. CONCLUSION: HCV core antigenaemia
is high among pregnant women who have antibodies to HCV in our environment and
this signifies an active hepatitis C virus infection.
PMID- 27182584
TI - PERCEPTION OF FINAL YEAR MEDICAL STUDENTS ABOUT OBJECTIVE STRUCTURED CLINICAL
EXAMINATION IN THE DEPARTMENT OF GENERAL SURGERY.
AB - Background -Assessment of clinical skills of medical students has a central role
in medical education yet the suit- able evaluation methods have persistently
debated by educators and students. OBJECTIVES: To assess perceptions of final
year medical students about the Organized Structured Clinical Exam (OSCE) METHODS
AND MATERIALS: A cross sectional study was performed to assess views of final
year medical students who had taken the OSCE in the Department of Surgery of
Mekelle University College of Health Sciences, as well as other traditional exam
formats in other departments in the medical school. RESULTS: Of the 154 students
who took the final qualifying exam, 127 (82.5%) responded to the survey. Eighty
four (66%) of the respondents were males. The OSCE was considered as the best
assessment method of practical exams by 70 (55.1%) of the respondents, with the
conventional long exam next in preference, by 47 (37%) students. For questions
addressing the advantages of the OSCE, the average favorability score was between
4.2 - 4.6 out of Likert's type 5-point scale rating. Coverage of common and
relevant topics, uniform student assessment, and communication skill assessment
were items receiving high favorability scores by a particularly high percentage
of students, (96.9%, 95.3% and 70.9% of all students, respectively). CONCLUSIONS:
Most of the students favored the OSCE compared to conventional exam styles.
Though the study should be confirmed. and extended in other settings, we
recommend OSCE to be the main exam type for clinical year surgery examinations.
PMID- 27182585
TI - BACTERIOLOGY AND RISK FACTORS OF BACTERIAL KERATITIS IN JIMMA, SOUTHWEST
ETHIOPIA.
AB - BACKGROUND: In East Africa, particularly in Ethiopia, bacterial keratitis is a
major cause of blindness. OBJECTIVE: The aims of this study were to identify risk
factors of bacterial keratitis and the spectrum of bacterial etiologies, and to
assess the in-vitro antimicrobial susceptibility of these bacterial isolates at
Jimma University Specialized Hospital, Southwest Ethiopia. METHODS: A prospective
study was employed from January 2012 to June 2012 from which a total of 24
patients with bacterial keratitis were included in the study. Corneal scrapings
were collected, transported and microbiologically processed using standard
operating procedures. RESULTS: Four different predisposing factors for bacterial
keratitis were identified: corneal trauma 9 (37.5%), blepharitis 7 (29.2%),
herpetic keratitis, and use of contaminated medications 4. Bilateral corneal
infection was found in 5 (20.8%) of the cases. A total of 24 corneal scrapings
were collected for microbiological evaluation, of which 20 (83%) had bacterial
growth. The isolated bacterial pathogens were Pseudomonas aeruginosa 10 (41.7%),
Staphyloccus aureus 5 (20.8%), Serratia marcescens 3 (12.5%), followed by
Streptococcus pneumoniae 2 (8.3%). Antimicrobial susceptibility testing revealed
that 85% of Gram-negative bacilli were susceptible to gentamicin and
ciprofloxacin, while 86% of Gram-positive cocci were susceptible to vancomycin
and Ciprofloxacin. CONCLUSIONS: Corneal trauma was the most common risk factor
for bacterial keratitis followed by blepharitis. Bacteriological analysis of
corneal scrapings also revealed that P. aeruginosa was the most common isolate
followed by S. aureus; the antibiotic with the highest susceptibility was
ciprofloxacin. As drug resistance among bacterial pathogens is an evolving
process, routine surveillance and monitoring studies should be conducted to
provide an update and most effective empirical treatment for bacterial keratitis.
PMID- 27182586
TI - PATTERNS OF ULTRASOUND FINDINGS IN ABDOMINAL LYMPHOMA PATIENTS AT TIKUR ANBESSA
SPECIALIZED HOSPITAL, ADDIS ABABA, ETHIOPIA.
AB - BACKGROUND: Malignant lymphoma is a common primary hematopoietic malignancy.
Cross sectional imaging is used commonly. The wide spread availability and
diagnostic potential of ultrasound (US) place it at the forefront in the setting
of resource limited countries that do not have access to the full range of
imaging modalities and in which patients frequently present in the late stages of
diseases. OBJECTIVE: Assess the patterns of abdominal organ involvement and
ultrasound findings in patients with abdominal lymphoma. MATERIAL AND METHODS: 69
consecutive patients who came to the US unit of Tikur Anbessa Specialized
Hospital (TASH) with the diagnosis of lymphoma were scanned. All examinations
were performed by the authors based on standard scanning techniques. Cine and
spot images were stored for analysis and finding recorded on a preprepared and
pretested format. RESULTS: Eighty nine percent of the patients were below the age
of 60 and 75% were males. Chest x-ray and US were the modalities commonly used,
while only 6 of 69 had computed tomography (CT) scans. Non-Hodgkin's lymphoma
account for 81% of the cases. Lymph nodes were the most common organ involved and
abdominal nodes accounted for 61% of the cases. Homogenous and hypoechoic echo
appearances were seen in 52% and their pattern of involvement comprised multiple
discrete nodes. Comparable overall patterns of involvement were seen in both
pathologically confirmed and unconfirmed lymphoma cases. Liver followed by spleen
was the most common abdominal organ involved, and organ enlargement and/or
multiple variable sized hypoechoic lesions were the most common US findings.
CONCLUSION: Our study emphasizes the continued role of US for lymphoma patients.
The US findings and pattern of organ involvement was found to be comparable with
previous studies.
PMID- 27182587
TI - FOREIGN BODY INDUCED TRACHEOESOPHAGEAL FISTULA IN A PEDIATRIC PATIENT WITH A
MISDIAGNOSIS: CASE REPORT AND REVIEW OF LITERATURE.
AB - Acquired tracheoesophageal fistulae can be diagnosed clinically by features such
as cough elicited by swallowing but symptoms can be mild. Here, we report a case
of a foreign body induced trachea-esophageal fistula in a 2 year and 6 months old
male child with a chronic cough who had long been misdiagnosed and mismanaged.
PMID- 27182588
TI - DYSKERATOSIS CONGENITA IN TWO ETHIOPIAN BROTHERS.
AB - Dyskeratosis congenita (DKC) is a rare, progressive bone marrow failure syndrome
that is characterized by the triad of reticulated skin pigmentation, nail
dystrophy and oral leukoplakia. Current evidence indicates that DKC is a disease
of defective telomere maintenance, ribosome deficiency and protein synthesis
dysfunction. Mortality is often associated with bone marrow failure (BMF),
development of malignancy and other multisystem complications of the disease.
Here we report two Ethiopian brothers with classical signs and symptoms of DKC.
The characteristic clinical features, laboratory findings, and clinical course of
the two brothers are discussed along with relevant literature review.
PMID- 27182589
TI - Publisher's Note: Phenomenological Blasius-type friction equation for turbulent
power-law fluid flows [Phys. Rev. E 92, 063006 (2015)].
AB - This corrects the article DOI: 10.1103/PhysRevE.92.063006.
PMID- 27182590
TI - Erratum: Torque correlation length and stochastic twist dynamics of DNA [Phys.
Rev. E 89, 062706 (2014)].
AB - This corrects the article DOI: 10.1103/PhysRevE.89.062706.
PMID- 27182591
TI - Publisher's Note: Translocation of a semiflexible polymer through a nanopore in
the presence of attractive binding particles [Phys. Rev. E 92, 032711 (2015)].
AB - This corrects the article DOI: 10.1103/PhysRevE.92.032711.
PMID- 27182592
TI - Publisher's Note: Loading-unloading hysteresis loop of randomly rough adhesive
contacts [Phys. Rev. E 92, 062404 (2015)].
AB - This corrects the article DOI: 10.1103/PhysRevE.92.062404.
PMID- 27182593
TI - Publisher's Note: Defining the free-energy landscape of curvature-inducing
proteins on membrane bilayers [Phys. Rev. E 90, 022717 (2014)].
AB - This corrects the article DOI: 10.1103/PhysRevE.90.022717.
PMID- 27182595
TI - Effects of Electrodeposition Mode and Deposition Cycle on the Electrochemical
Performance of MnO2-NiO Composite Electrodes for High-Energy-Density
Supercapacitors.
AB - Nanostructured network-like MnO2-NiO composite electrodes were electrodeposited
onto stainless steel substrates via different electrodeposition modes, such as
chronopotentiometry, chronoamperometry, and cyclic voltammetry, and then
subjected to heat treatment at 300 degrees C for metal oxide conversion. X-ray
diffraction, field emission scanning electron microscopy, and transmission
electron microscopy were used to study the crystalline natures and morphologies
of the deposited films. The electrochemical properties were investigated using
cyclic voltammetry and charge/discharge tests. The results revealed that the
electrochemical performance of the as-obtained composite electrodes depended on
the electrodeposition mode. The electrochemical properties of MnO2-NiO composite
electrodes prepared using cyclic voltammetry exhibited the highest capacitance
values and were most influenced by the deposition cycle number. The optimum
specific capacitance was 3509 Fg-1 with energy and power densities of 1322 Wh kg
1 and 110.5 kW kg-1, respectively, at a current density of 20 Ag-1 in a mixed
KOH/K3Fe(CN)6 electrolyte.
PMID- 27182594
TI - Transcriptome Sequencing of Chemically Induced Aquilaria sinensis to Identify
Genes Related to Agarwood Formation.
AB - BACKGROUND: Agarwood is a traditional Chinese medicine used as a clinical
sedative, carminative, and antiemetic drug. Agarwood is formed in Aquilaria
sinensis when A. sinensis trees are threatened by external physical, chemical
injury or endophytic fungal irritation. However, the mechanism of agarwood
formation via chemical induction remains unclear. In this study, we characterized
the transcriptome of different parts of a chemically induced A. sinensis trunk
sample with agarwood. The Illumina sequencing platform was used to identify the
genes involved in agarwood formation. METHODOLOGY/PRINCIPAL FINDINGS: A five-year
old Aquilaria sinensis treated by formic acid was selected. The white wood part
(B1 sample), the transition part between agarwood and white wood (W2 sample), the
agarwood part (J3 sample), and the rotten wood part (F5 sample) were collected
for transcriptome sequencing. Accordingly, 54,685,634 clean reads, which were
assembled into 83,467 unigenes, were obtained with a Q20 value of 97.5%. A total
of 50,565 unigenes were annotated using the Nr, Nt, SWISS-PROT, KEGG, COG, and GO
databases. In particular, 171,331,352 unigenes were annotated by various
pathways, including the sesquiterpenoid (ko00909) and plant-pathogen interaction
(ko03040) pathways. These pathways were related to sesquiterpenoid biosynthesis
and defensive responses to chemical stimulation. CONCLUSIONS/SIGNIFICANCE: The
transcriptome data of the different parts of the chemically induced A. sinensis
trunk provide a rich source of materials for discovering and identifying the
genes involved in sesquiterpenoid production and in defensive responses to
chemical stimulation. This study is the first to use de novo sequencing and
transcriptome assembly for different parts of chemically induced A. sinensis.
Results demonstrate that the sesquiterpenoid biosynthesis pathway and WRKY
transcription factor play important roles in agarwood formation via chemical
induction. The comparative analysis of the transcriptome data of agarwood and A.
sinensis lays the foundation for elucidating the mechanism of agarwood formation
via chemical induction, and thus, enables future improvements in agarwood quality
while protecting endangered wild A. sinensis.
PMID- 27182596
TI - Effects of Dispersal and Initial Diversity on the Composition and Functional
Performance of Bacterial Communities.
AB - Natural communities are open systems and consequently dispersal can play an
important role for the diversity, composition and functioning of communities at
the local scale. It is, however, still unclear how effects of dispersal differ
depending on the initial diversity of local communities. Here we implemented an
experiment where we manipulated the initial diversity of natural freshwater
bacterioplankton communities using a dilution-to-extinction approach as well as
dispersal from a regional species pool. The aim was further to test whether
dispersal effects on bacterial abundance and functional parameters (average
community growth rates, respiration rates, substrate utilisation ability) differ
in dependence of the initial diversity of the communities. First of all, we found
that both initial diversity and dispersal rates had an effect on the recruitment
of taxa from a regional source, which was higher in communities with low initial
diversity and at higher rates of dispersal. Higher initial diversity and
dispersal also promoted higher levels of richness and evenness in local
communities and affected, both, separately or interactively, the functional
performance of communities. Our study therefore suggests that dispersal can
influence the diversity, composition and functioning of bacterial communities and
that this effect may be enhanced if the initial diversity of communities is
depleted.
PMID- 27182598
TI - Herbivore Diet Breadth and Host Plant Defense Mediate the Tri-Trophic Effects of
Plant Toxins on Multiple Coccinellid Predators.
AB - Host plant defenses are known to cascade up food chains to influence herbivores
and their natural enemies, but how herbivore and predator traits and identity
mediate such tri-trophic dynamics is largely unknown. We assessed the influence
of plant defense on aphid and coccinellid performance in laboratory trials with
low- vs. high-glucosinolate varieties of Brassica napus, a dietary specialist
(Brevicoryne brassicae) and generalist (Myzus persicae) aphid, and five species
of aphidophagous coccinellids. The performance of the specialist and generalist
aphids was similar and unaffected by variation in plant defense. Aphid
glucosinolate concentration and resistance to predators differed by aphid species
and host plant defense, and these effects acted independently. With respect to
aphid species, the dietary generalist aphid (vs. specialist) had 14% lower
glucosinolate concentration and coccinellid predators ate three-fold more aphids.
With respect to host plant variety, the high-glucosinolate plants (vs. low)
increased aphid glucosinolate concentration by 21%, but had relatively weak
effects on predation by coccinellids and these effects varied among coccinellid
species. In turn, coccinellid performance was influenced by the interactive
effects of plant defense and aphid species, as the cascading, indirect effect of
plant defense was greater when feeding upon the specialist than generalist aphid.
When feeding upon specialist aphids, low- (vs. high-) glucosinolate plants
increased coccinellid mass gain by 78% and accelerated development by 14%. In
contrast, when feeding upon generalist aphids, low- (vs. high-) glucosinolate
plants increased coccinellid mass gain by only 11% and had no detectable effect
on development time. These interactive effects of plant defense and aphid diet
breadth on predator performance also varied among coccinellid species; the
indirect negative effects of plant defenses on predator performance was
consistent among the five predators when transmitted via the dietary specialist
aphid, but these effects varied substantially among predators-in both the
magnitude and direction-when transmitted via the dietary generalist aphid.
Accordingly, the cascading effect of plant defense on predators was stronger in
magnitude and more consistent among predator taxa when transmitted by the
specialist than generalist herbivore. Overall, these findings support a central
role of herbivore diet breadth in mediating both the strength and contingency of
tri-trophic interactions.
PMID- 27182597
TI - An Antibody Screen of a Plasmodium vivax Antigen Library Identifies Novel
Merozoite Proteins Associated with Clinical Protection.
AB - BACKGROUND: Elimination of Plasmodium vivax malaria would be greatly facilitated
by the development of an effective vaccine. A comprehensive and systematic
characterization of antibodies to P. vivax antigens in exposed populations is
useful in guiding rational vaccine design. METHODOLOGY/PRINCIPAL FINDINGS: In
this study, we investigated antibodies to a large library of P. vivax entire
ectodomain merozoite proteins in 2 Asia-Pacific populations, analysing the
relationship of antibody levels with markers of current and cumulative malaria
exposure, and socioeconomic and clinical indicators. 29 antigenic targets of
natural immunity were identified. Of these, 12 highly-immunogenic proteins were
strongly associated with age and thus cumulative lifetime exposure in Solomon
Islanders (P<0.001-0.027). A subset of 6 proteins, selected on the basis of
immunogenicity and expression levels, were used to examine antibody levels in
plasma samples from a population of young Papua New Guinean children with well
characterized individual differences in exposure. This analysis identified a
strong association between reduced risk of clinical disease and antibody levels
to P12, P41, and a novel hypothetical protein that has not previously been
studied, PVX_081550 (IRR 0.46-0.74; P<0.001-0.041). CONCLUSION/SIGNIFICANCE:
These data emphasize the benefits of an unbiased screening approach in
identifying novel vaccine candidate antigens. Functional studies are now required
to establish whether PVX_081550 is a key component of the naturally-acquired
protective immune response, a biomarker of immune status, or both.
PMID- 27182599
TI - Missing Rings, Synchronous Growth, and Ecological Disturbance in a 36-Year Pitch
Pine (Pinus rigida) Provenance Study.
AB - Provenance studies are an increasingly important analog for understanding how
trees adapted to particular climatic conditions might respond to climate change.
Dendrochronological analysis can illuminate differences among trees from
different seed sources in terms of absolute annual growth and sensitivity to
external growth factors. We analyzed annual radial growth of 567 36-year-old
pitch pine (Pinus rigida Mill.) trees from 27 seed sources to evaluate their
performance in a New Jersey Pine Barrens provenance experiment. Unexpectedly,
missing rings were prevalent in most trees, and some years-1992, 1999, and 2006
had a particularly high frequency of missing rings across the plantation. Trees
from local seed sources (<55 km away from the plantation) had a significantly
smaller percentage of missing rings from 1980-2009 (mean: 5.0%), relative to
northernmost and southernmost sources (mean: 9.3% and 7.9%, respectively). Some
years with a high frequency of missing rings coincide with outbreaks of
defoliating insects or dry growing season conditions. The propensity for missing
rings synchronized annual variations in growth across all trees and might have
complicated the detection of potential differences in interannual variability
among seed sources. Average ring width was significantly larger in seed sources
from both the southernmost and warmest origins compared to the northernmost and
coldest seed sources in most years. Local seed sources had the highest average
radial growth. Adaptation to local environmental conditions and disturbances
might have influenced the higher growth rate found in local seed sources. These
findings underscore the need to understand the integrative impact of multiple
environmental drivers, such as disturbance agents and climate change, on tree
growth, forest dynamics, and the carbon cycle.
PMID- 27182600
TI - Cyclic AMP Receptor Protein Acts as a Transcription Regulator in Response to
Stresses in Deinococcus radiodurans.
AB - The cyclic AMP receptor protein family of transcription factors regulates various
metabolic pathways in bacteria, and also play roles in response to environmental
changes. Here, we identify four homologs of the CRP family in Deinococcus
radiodurans, one of which tolerates extremely high levels of oxidative stress and
DNA-damaging reagents. Transcriptional levels of CRP were increased under
hydrogen peroxide (H2O2) treatment during the stationary growth phase, indicating
that CRPs function in response to oxidative stress. By constructing all CRP
single knockout mutants, we found that the dr0997 mutant showed the lowest
tolerance toward H2O2, ultraviolet radiation, ionizing radiation, and mitomycin
C, while the phenotypes of the dr2362, dr0834, and dr1646 mutants showed slight
or no significant differences from those of the wild-type strain. Taking
advantage of the conservation of the CRP-binding site in many bacteria, we found
that transcription of 18 genes, including genes encoding chromosome-partitioning
protein (dr0998), Lon proteases (dr0349 and dr1974), NADH-quinone oxidoreductase
(dr1506), thiosulfate sulfurtransferase (dr2531), the DNA repair protein UvsE
(dr1819), PprA (dra0346), and RecN (dr1447), are directly regulated by DR0997.
Quantitative real-time polymerase chain reaction (qRT-PCR) analyses showed that
certain genes involved in anti-oxidative responses, DNA repair, and various
cellular pathways are transcriptionally attenuated in the dr0997 mutant.
Interestingly, DR0997 also regulate the transcriptional levels of all CRP genes
in this bacterium. These data suggest that DR0997 contributes to the extreme
stress resistance of D. radiodurans via its regulatory role in multiple cellular
pathways, such as anti-oxidation and DNA repair pathways.
PMID- 27182602
TI - A New Bioinspired Perchlorate Reduction Catalyst with Significantly Enhanced
Stability via Rational Tuning of Rhenium Coordination Chemistry and Heterogeneous
Reaction Pathway.
AB - Rapid reduction of aqueous ClO4(-) to Cl(-) by H2 has been realized by a
heterogeneous Re(hoz)2-Pd/C catalyst integrating Re(O)(hoz)2Cl complex (hoz =
oxazolinyl-phenolato bidentate ligand) and Pd nanoparticles on carbon support,
but ClOx(-) intermediates formed during reactions with concentrated ClO4(-)
promote irreversible Re complex decomposition and catalyst deactivation. The
original catalyst design mimics the microbial ClO4(-) reductase, which integrates
Mo(MGD)2 complex (MGD = molybdopterin guanine dinucleotide) for oxygen atom
transfer (OAT). Perchlorate-reducing microorganisms employ a separate enzyme,
chlorite dismutase, to prevent accumulation of the destructive ClO2(-)
intermediate. The structural intricacy of MGD ligand and the two-enzyme mechanism
for microbial ClO4(-) reduction inspired us to improve catalyst stability by
rationally tuning Re ligand structure and adding a ClOx(-) scavenger. Two new Re
complexes, Re(O)(htz)2Cl and Re(O)(hoz)(htz)Cl (htz = thiazolinyl-phenolato
bidentate ligand), significantly mitigate Re complex decomposition by slightly
lowering the OAT activity when immobilized in Pd/C. Further stability enhancement
is then obtained by switching the nanoparticles from Pd to Rh, which exhibits
high reactivity with ClOx(-) intermediates and thus prevents their deactivating
reaction with the Re complex. Compared to Re(hoz)2-Pd/C, the new Re(hoz)(htz)
Rh/C catalyst exhibits similar ClO4(-) reduction activity but superior stability,
evidenced by a decrease of Re leaching from 37% to 0.25% and stability of surface
Re speciation following the treatment of a concentrated "challenge" solution
containing 1000 ppm of ClO4(-). This work demonstrates the pivotal roles of
coordination chemistry control and tuning of individual catalyst components for
achieving both high activity and stability in environmental catalyst
applications.
PMID- 27182603
TI - Serum Folate Shows an Inverse Association with Blood Pressure in a Cohort of
Chinese Women of Childbearing Age: A Cross-Sectional Study.
AB - BACKGROUND: It has been reported that higher folate intake from food and
supplementation is associated with decreased blood pressure (BP). The association
between serum folate concentration and BP has been examined in few studies. We
aim to examine the association between serum folate and BP levels in a cohort of
young Chinese women. METHODS: We used the baseline data from a pre-conception
cohort of women of childbearing age in Liuyang, China, for this study.
Demographic data were collected by structured interview. Serum folate
concentration was measured by immunoassay, and homocysteine, blood glucose,
triglyceride and total cholesterol were measured through standardized clinical
procedures. Multiple linear regression and principal component regression model
were applied in the analysis. RESULTS: A total of 1,532 healthy normotensive non
pregnant women were included in the final analysis. The mean concentration of
serum folate was 7.5 +/- 5.4 nmol/L and 55% of the women presented with folate
deficiency (< 6.8 nmol/L). Multiple linear regression and principal component
regression showed that serum folate levels were inversely associated with
systolic and diastolic BP, after adjusting for demographic, anthropometric, and
biochemical factors. CONCLUSIONS: Serum folate is inversely associated with BP in
non-pregnant women of childbearing age with high prevalence of folate deficiency.
PMID- 27182601
TI - Utilizing a TLR5-Adjuvanted Cytomegalovirus as a Lentiviral Vaccine in the
Nonhuman Primate Model for AIDS.
AB - Despite tremendous progress in our understanding of human immunodeficiency virus
(HIV) natural history and advances in HIV treatment, there is neither an approved
vaccine nor a cure for infection. Here, we describe the development and
characterization of a novel replicating vaccine vector utilizing Cytomegalovirus
(CMV) and a TLR5 adjuvant. After partial truncation of the central,
immunodominant hypervariable domain, flagellin (fliC) from Salmonella was cloned
downstream of a codon optimized gag gene from simian immunodeficiency virus (SIV)
and transiently expressed in telomerized rhesus fibroblast (TeloRF) cells in
culture. Lysates generated from these transfected cells induced the tumor
necrosis factor alpha (TNF-alpha), in a mouse macrophage cell line, in a TLR5
dependent manner. The Gag/FliC expression construct was cloned into a bacterial
artificial chromosome encoding the rhesus CMV (RhCMV) genome, and infectious
RhCMV was generated following transfection of TeloRF cells. This virus stably
expressed an SIV Gag/FliC fusion protein through four serial passages. Lysates
generated from infected cells induced TNF-alpha in a TLR5-dependent manner.
Western blot analysis of infected cell lysates verified expression of a Gag/FliC
fusion protein using a SIV p27 capsid monoclonal antibody. Lastly, rhesus
macaques inoculated with this novel RhCMV virus demonstrated increased
inflammatory responses at the site of inoculation seven days post-infection when
compared to the parental RhCMV. These results demonstrate that an artificially
constructed replicating RhCMV expressing an SIV Gag/FliC fusion protein is
capable of activating TLR5 in a macrophage cell line in vitro and induction of an
altered inflammatory response in vivo. Ongoing animals studies are aimed at
determining vaccine efficacy, including subsequent challenge with pathogenic SIV.
PMID- 27182604
TI - The Status of Honey Bee Health in Italy: Results from the Nationwide Bee
Monitoring Network.
AB - In Italy a nation-wide monitoring network was established in 2009 in response to
significant honey bee colony mortality reported during 2008. The network
comprised of approximately 100 apiaries located across Italy. Colonies were
sampled four times per year, in order to assess the health status and to collect
samples for pathogen, chemical and pollen analyses. The prevalence of Nosema
ceranae ranged, on average, from 47-69% in 2009 and from 30-60% in 2010, with
strong seasonal variation. Virus prevalence was higher in 2010 than in 2009. The
most widespread viruses were BQCV, DWV and SBV. The most frequent pesticides in
all hive contents were organophosphates and pyrethroids such as coumaphos and tau
fluvalinate. Beeswax was the most frequently contaminated hive product, with 40%
of samples positive and 13% having multiple residues, while 27% of bee-bread and
12% of honey bee samples were contaminated. Colony losses in 2009/10 were on
average 19%, with no major differences between regions of Italy. In 2009, the
presence of DWV in autumn was positively correlated with colony losses.
Similarly, hive mortality was higher in BQCV infected colonies in the first and
second visits of the year. In 2010, colony losses were significantly related to
the presence of pesticides in honey bees during the second sampling period. Honey
bee exposure to poisons in spring could have a negative impact at the colony
level, contributing to increase colony mortality during the beekeeping season. In
both 2009 and 2010, colony mortality rates were positively related to the
percentage of agricultural land surrounding apiaries, supporting the importance
of land use for honey bee health.
PMID- 27182606
TI - Solution-Processable BODIPY-Based Small Molecules for Semiconducting Microfibers
in Organic Thin-Film Transistors.
AB - Electron-deficient pi-conjugated small molecules can function as electron
transporting semiconductors in various optoelectronic applications. Despite their
unique structural, optical, and electronic properties, the development of BODIPY
based organic semiconductors has lagged behind that of other pi-deficient units.
Here, we report the design and synthesis of two novel solution-proccessable
BODIPY-based small molecules (BDY-3T-BDY and BDY-4T-BDY) for organic thin-film
transistors (OTFTs). The new semiconductors were fully characterized by
(1)H/(13)C NMR, mass spectrometry, cyclic voltammetry, UV-vis spectroscopy,
photoluminescence, differential scanning calorimetry, and thermogravimetric
analysis. The single-crystal X-ray diffraction (XRD) characterization of a key
intermediate reveals crucial structural properties. Solution-sheared top
contact/bottom-gate OTFTs exhibited electron mobilities up to 0.01 cm(2)/V.s and
current on/off ratios of >10(8). Film microstructural and morphological
characterizations indicate the formation of relatively long (~0.1 mm) and
micrometer-sized (1-2 MUm) crystalline fibers for BDY-4T-BDY-based films along
the shearing direction. Fiber-alignment-induced charge-transport anisotropy
(MU?/MU? ~ 10) was observed, and higher mobilities were achieved when the
microfibers were aligned along the conduction channel, which allows for efficient
long-range charge-transport between source and drain electrodes. These OTFT
performances are the highest reported to date for a BODIPY-based molecular
semiconductor, and demonstrate that BODIPY is a promising building block for
enabling solution-processed, electron-transporting semiconductor films.
PMID- 27182605
TI - Human RPE Stem Cell-Derived RPE Preserves Photoreceptors in the Royal College of
Surgeons Rat: Method for Quantifying the Area of Photoreceptor Sparing.
AB - PURPOSE: Numerous preclinical studies have shown that transplantation of stem
cell-derived retinal pigment epithelial cell (RPE) preserves photoreceptor cell
anatomy in the dystrophic Royal College of Surgeons (RCS) rat. How rescue is
spatially distributed over the eye, relative to the transplantation site, is less
clear. To understand spatial variations in transplant efficacy, we have developed
a method to measure the spatial distribution of rescued photoreceptor cells.
METHODS: Human RPE Stem Cell-derived RPE (RPESC-RPE) cells were subretinally
injected into RCS rat eyes. After tissue recovery and orientating the globe, a
series of retinal sections were cut through the injected area. Sections were
stained with DAPI (4',6-diamidino-2-phenylindole) and a number of photoreceptor
nuclei were counted across the nasal-temporal and superior-inferior axes. These
data were used to construct 2D maps of the area of photoreceptor cell saving.
RESULTS: Photoreceptor cell preservation was detected in the injected temporal
hemisphere and occupied areas greater than 4 mm(2) centered near the injection
sites. Rescue was directed toward the central retina and superior and inferior
poles, with maximal number of rescued photoreceptor cells proximal to the
injection sites. CONCLUSIONS: RPESC-RPE transplantation preserves RCS
photoreceptor cells. The photoreceptor cell contour maps readily convey the
extent of rescue across the eye. The consistent alignment and quantification of
results using this method allow the application of other downstream statistical
analyses and comparisons to better understand transplantation therapy in the eye.
PMID- 27182607
TI - Validation of a Food Frequency Questionnaire for Bone Nutrients in Pregnant
Women.
AB - PURPOSE: The aim was to validate a food frequency questionnaire (FFQ) against a 3
day food record (3DFR) for pregnant women with a focus on nutrients important for
bone health from food and supplements. METHODS: The FFQ and 3DFR were
administered to pregnant women (n = 42) aged 18-45 years in their third trimester
of pregnancy in Hamilton, Ontario. Nutrient analysis of intakes was conducted
using an FFQ calculator and Nutritionist-Pro software. The average daily serving
consumption of Milk and alternatives group and Vegetable subgroup from Canada's
Food Guide were also compared. RESULTS: There was a high positive correlation
between methods for total dietary vitamin D (r = 0.83). Low positive associations
were observed for total protein (r = 0.37), calcium (r = 0.36), vitamin K (r =
0.41), and servings of Milk and alternatives (r = 0.36). A cross-classification
analysis using participants' intake quartiles revealed no major
misclassifications. Bland-Altman analysis showed that the FFQ mildly
underestimated the intake for protein, whereas it grossly overestimated the
intake of vitamin K, and daily servings of Milk and alternatives and Vegetable.
CONCLUSIONS: This FFQ can serve as a useful tool in clinical and research
settings to assess key bone nutrients from foods and supplement sources in
pregnant women.
PMID- 27182608
TI - Citrus flavanones prevent systemic inflammation and ameliorate oxidative stress
in C57BL/6J mice fed high-fat diet.
AB - The flavanones hesperidin, eriocitrin and eriodictyol were investigated for their
prevention of the oxidative stress and systemic inflammation caused by high-fat
diet in C57BL/6J mice. The mice received a standard diet (9.5% kcal from fat),
high-fat diet (45% kcal from fat) or high-fat diet supplemented with hesperidin,
eriocitrin or eriodictyol for a period of four weeks. Hesperidin, eriocitrin and
eriodictyol increased the serum total antioxidant capacity, and restrained the
elevation of interleukin-6 (IL-6), macrophage chemoattractant protein-1 (MCP-1),
and C-reactive protein (hs-CRP). In addition, the liver TBARS levels and spleen
mass (g per kg body weight) were lower for the flavanone-treated mice than in the
unsupplemented mice. Eriocitrin and eriodictyol reduced TBARS levels in the blood
serum, and hesperidin and eriodictyol also reduced fat accumulation and liver
damage. The results showed that hesperidin, eriocitrin and eriodictyol had
protective effects against inflammation and oxidative stress caused by high-fat
diet in mice, and may therefore prevent metabolic alterations associated with the
development of cardiovascular diseases in other animals.
PMID- 27182609
TI - Synthesis and Structure of Corona[6](het)arenes Containing Mixed Bridge Units.
AB - A one-pot nucleophilic aromatic substitution reaction of 3,6-dichlorotetrazine
with various diphenols and dibenzenethiols produced corona[4]arene[2]tetrazines
that contain mixed oxygen, sulfide, methylene, and sulfone linkages. Macrocyclic
ring transformations employing an inverse-electron-demand Diels-Alder reaction of
tetrazine moieties with enamines and the subsequent sulfide oxidation reaction
afforded diverse corona[4]arene[2]pyridazines. The acquired corona[6]arenes
adopted three types of conformational structures in the crystalline state.
PMID- 27182610
TI - Serum cystatin C and neutrophil gelatinase-associated lipocalin in predicting the
severity of coronary artery disease in diabetic patients.
AB - OBJECTIVE: Cystatin C and neutrophil gelatinase-associated lipocalin (NGAL) are
biomarkers of renal functions. We evaluated their roles in predicting the
severity of coronary artery disease (CAD). METHODS: Fifty-two consecutive type 2
diabetic patients (32 males, 65.7+/-8.6 years) who underwent coronary angiography
(CAG) for stable CAD were included in this single-center, prospective, cross
sectional study. Patients with an estimated glomerular filtration rate <60
mL/min/1.73 m2 and with a history of by-pass surgery and/or coronary stent
implantation were excluded. The vessel score and Gensini score were calculated to
assess the presence and severity of CAD. Mann-Whitney U test, Spearman test, and
multiple linear regression analysis were used for the main statistical analyses.
RESULTS: Serum cystatin C levels were higher in patients with multivessel disease
than in those with single vessel disease [1260 ng/mL (953-1640) vs. 977 ng/mL
(599-1114), p=0.017]. According to the median Gensini score, the higher score
group also had higher cystatin C levels than the lower score group [1114 ng/mL
(948-1567) vs. 929 ng/mL (569-1156), p=0.009]. However, serum NGAL levels were
similar between these subgroups. There was a positive correlation between
cystatin C and Gensini score (r=0.334, p=0.016). Multiple linear regression
analysis revealed serum cystatin C as an independent predictor of the Gensini
score (beta=0.360, t=2.311, p=0.026). These results may aid in defining cystatin
C as a surrogate marker of the extent of CAD in further clinical trials.
CONCLUSION: Serum Cystatin C, but not NGAL levels, could predict the severity of
CAD in diabetic patients.
PMID- 27182611
TI - Association between central aortic pulsatility and glomerular filtration rate in
patients with coronary artery disease.
AB - OBJECTIVE: Aortic stiffness and chronic kidney disease share common risk factors.
Increased aortic stiffness is a predictor of lower estimated glomerular
filtration rate (eGFR) at lower levels of renal functions. We aimed to
investigate the association between invasively measured central aortic
pulsatility (AP) as an indicator of aortic stiffness and eGFR in a population
with coronary artery disease and without overt renal disease. METHODS: This study
had a cross-sectional design. Data were retrospectively collected. We evaluated
72 patients (44 males and 28 females; mean age 59.0+/-10.3 years) with coronary
artery disease. eGFR was calculated with dividing the Cockcroft-Gault formula by
body surface area. Direct measurements of aortic blood pressures were utilized to
calculate pulse pressure and AP. Multiple linear regression analysis was
performed to test the relationship between eGFR and AP, independent from
potential confounders. RESULTS: eGFR was significantly correlated with age
(r=0.489, p<0.001), body surface area (r=0.324, p=0.006), weight (r=0.323,
p=0.006), aortic pulse pressure (r=-0.371, p=0.001), and AP (r=-0.469, p<0.001).
In multiple linear regression analysis, AP was independently associated with eGFR
(p=0.035), beside the age and body surface area. An AP cut-off level of >0.71 had
84% sensitivity and 72% specificity in predicting eGFR of <90 mL/min per 1.72 m2
(receiver-operating characteristic area under curve: 0.851, 95% CI: 0.760-0.942,
p<0.001). CONCLUSION: We found an independent relationship between invasively
measured AP and eGFR in patients with coronary artery disease. Moreover, a higher
AP may predict lower eGFR. These results may be utilized to predict eGFR from AP
during invasive procedures.
PMID- 27182612
TI - Matrix metalloproteinases are possible targets in monocrotaline-induced pulmonary
hypertension: investigation of anti-remodeling effects of alagebrium and
everolimus.
AB - OBJECTIVE: In our study, sildenafil alone and everolimus or alagebrium in
combination with sildenafil were investigated in terms of their additional
therapeutic and anti-remodeling activity in monocrotaline-induced pulmonary
hypertension (PH) model in rats. In particular, the inter-relationships between
PH and matrix metalloproteinases (MMPs) were investigated. METHODS: The pulmonary
artery responses of male Sprague Dawley rats were recorded using myography, and
the quantities and activities of MMPs were analyzed in homogenates of the
pulmonary arteries and lungs by enzyme-linked immunosorbent assays, activity
assays, and gelatin zymography techniques. RESULTS: Our results indicated that
the therapeutic effects of sildenafil were accompanied by its suppressor effects
on MMP activity. It was also shown that everolimus or alagebrium in combination
with sildenafil showed additional regulatory effects on MMPs as well as
functional responses on pulmonary artery pressure. Therefore, the enzymes in the
MMP superfamily are likely to be target molecules for the treatment of PH.
CONCLUSION: In conclusion, MMPs were involved in the pathogenesis of PH, and our
results suggested that the addition of everolimus or alagebrium to sildenafil
therapy may be beneficial in PH. Our results indicated that agents that limit
pulmonary vascular hypertrophy and inflammation via their anti-remodeling effects
significantly ameliorate mortality and morbidity in PH.
PMID- 27182613
TI - The role of oxidative DNA damage and GSTM1, GSTT1, and hOGG1 gene polymorphisms
in coronary artery disease risk.
AB - OBJECTIVE: Atherosclerotic coronary artery disease (CAD) appears to be a
multifactorial process caused by the interaction of environmental risk factors
with multiple predisposing genes. Therefore, in this study we aimed to determine
the role of oxidative DNA damage and some variations in glutathione S-transferase
(GSTM1 and GSTT1) and DNA repair (hOGG1) genes in CAD risk. METHODS: A case
control study was conducted on 59 individuals who had undergone coronary
angiographic evaluation. Of these, 29 were patients diagnosed with CAD (mean age
=61.5+/-10.3) and 30 were controls examined for reasons other than suspected CAD
and who had angiographically documented normal coronary arteries (mean age
=60.4+/-11.6). Basal DNA damage as well as pyrimidine and purine base damage were
evaluated in peripheral blood lymphocytes using the modified comet assay.
Polymerase chain reaction-restriction length polymorphism (PCR-RFLP)-based assay
was used for genotyping. RESULTS: Basal DNA damage levels in patients [9.16
(3.26)] were significantly higher than those in controls [7.59 (3.23); p=0.017],
and basal DNA and pyrimidine base damage levels were significantly correlated
with disease severity based on Gensini scoring (r=0.352, p=0.006; r=0.318,
p=0.014, respectively). However, no significant differences were observed in
terms of oxidized DNA bases between patients and controls. The frequencies of
studied genotypes (GSTM1, GSTT1, and hOGG1) were similar between groups.
CONCLUSION: The results of this study pointed out the role of DNA damage in CAD
and its severity. However, GSTM1, GSTT1, and hOGG1 gene polymorphisms seemed to
have no effect on individual susceptibility for disease progression.
PMID- 27182614
TI - High fructose diet suppresses exercise-induced increase in AQP7 expression in the
in vivo rat heart.
AB - OBJECTIVE: Cardiac uptake of fructose is thought to be mediated by glucose
transporter 5 (GLUT5), whereas the uptake of glycerol is facilitated by aquaporin
7 (AQP7). We aimed to investigate the effect of a high-fructose diet (HFD) on
GLUT5 and AQP7 levels in the rat heart subjected to exercise. METHODS: Male
Sprague-Dawley rats were allocated to control (C; n=11), exercise (E; n=10), HFD
(n=12), and HFD plus exercise (HFD-E; n=12) groups. HFD was started 28 days
before euthanasia. From day 24 to 27, rats were subjected to moderate exercise,
followed by vigorous exercise on day 28 (groups E and HFD-E). Cardiac GLUT5 and
AQP7 mRNA levels were determined using RT-PCR. The protein contents of GLUT5 and
AQP7 were immunohistochemically assessed. Paired-t, ANOVA with Bonferroni,
Kruskal-Wallis, and Bonferroni-corrected Mann-Whitney U tests were used for
statistical analysis. RESULTS: GLUT5 mRNA expression and protein content did not
differ between the groups. AQP7 mRNA levels significantly increased (4.8-fold) in
group E compared with in group C (p<0.001). Compared with group C, no significant
change was observed in AQP7 mRNA levels in groups HFD and HFD-E. The AQP7
staining score in group E was significantly higher than that in groups C
(p<0.001), E (p<0.001), and HFD-E (p<0.001). CONCLUSION: Our study indicates that
exercise enhances cardiac AQP7 mRNA expression and protein content. However, HFD
prevents the exercise-induced increase in cardiac AQP7 expression. This
inhibitory effect may be related to the competition between fructose and glycerol
as energy substrates in the rat heart subjected to 5 days of physical exercise.
PMID- 27182615
TI - Myocardial infarction as a thrombotic complication of essential thrombocythemia
and polycythemia vera.
AB - OBJECTIVE: Detailed analyses of clinical characteristics of myocardial infarction
(MI) as an essential thrombocythemia (ET)- and polycythemia vera (PV)-related
complication have been so far presented mostly as case reports. Therefore, the
aim of this retrospective analysis was to evaluate the main cardiological and
hematological characteristics for better understanding myocardial complications
in ET/PV. METHODS: A retrospective analysis was carried out involving 263
patients diagnosed with ET or PV (155/108) between 1998 and 2014. Fourteen
patients suffered MI during the hematological follow-up. Their clinical
characteristics were compared to 162 patients (97 ET and 65 PV patients) who did
not exhibit any major thrombotic complications (MI, stroke/transient ischemic
attack, and venous events) before or after hematological diagnosis of ET/PV.
RESULTS: Fourteen MI events occurred among the 263 patients (5.3%). Vascular risk
factors were found in 92.9% (13/14) of analyzed cases. In all, 71.4% of the MI
complications developed within 12 months after the diagnosis of ET/PV. The
coronary angiography findings revealed ST-elevation MI in four cases and non-ST
elevation MI in 10. Significant stenosis of coronary arteries requiring
percutaneous coronary intervention with a stent implantation was present in seven
cases, while three had complex stenoses or previous grafts/stents. All of them
had undergone coronary artery bypass graft operations. CONCLUSION: The results of
the present study suggest that early detection and consideration of individual
management of vascular risk factors in ET/PV patients are also important.
Furthermore, a better theoretic understanding of platelet activation and role of
leukocytes in myeloproliferative neoplasm-related thrombosis could open new
perspectives in thrombosis prediction and prevention.
PMID- 27182616
TI - The effect of CYP2C9 and VKORC1 genetic polymorphisms on warfarin dose
requirements in a pediatric population.
AB - OBJECTIVE: The aim was to investigate the frequency of genetic polymorphisms of
cytochrome P4502C9 (CYP2C9) and vitamin K epoxide reductase complex subunit1
(VKORC1) and determine the effect of these polymorphisms on warfarin dose
requirements in pediatric patients. METHODS: Fifty-eight pediatric patients with
cardiac disease, thrombophilia, or other conditions, taking a stable warfarin
dose, aged 0.2-18 years, and with international normalized ratio (INR) between 2
and 3 and 149 healthy children as a control group were included in this
prospective, observational study. Patients receiving drugs that interact with
warfarin, having chronic liver or renal disease, obesity, or thyroid dysfunctions
were excluded. Polymerase chain reaction (real time and restriction fragment
length polymorphism) was used to analyze the CYP2C9*2, CYP2C9*3, and VKORC1
polymorphisms. The ideal warfarin dose was calculated according to the patient's
age, height, and the presence of CYP2C9*2, CYP2C9*3, and VKORC1 genetic
polymorphisms. The mean daily administered doses and ideal doses were compared.
Analysis of variance, Student's t-test, logistic regression analysis, and
Pearson's correlation analysis were used for statistical analyses. RESULTS: The
frequency of the CYP2C9 and VKORC1 genetic polymorphisms was determined as
CYP2C9*1/*1 (54.6%), *1/*2 (16.4%), *1/*3 (24.2%), *2/*3 (2.9%), *3/*3 (1.9%),
wild-type VKORC1 (26.6%), heterozygote alleles (52.7%), and mutant alleles
(20.8%). Patients with allelic variants were found to require lower warfarin
doses, and a 64.5% correlation was found between the calculated ideal doses and
the administered warfarin doses. CONCLUSION: Considering CYP2C9 and VKORC1
genetic polymorphisms prior to commencing warfarin treatment will make it easier
to reach target INRs and reduce the rate of complications.
PMID- 27182618
TI - Use of strain and strain rate echocardiographic imaging to predict the
progression of mitral stenosis: a 5-year follow-up study the progression of
mitral stenosis: a 5-year follow-up study.
AB - OBJECTIVE: Little information is available about echocardiographic progression of
mitral stenosis (MS). The aim of this study was to investigate whether the left
ventricular (LV) strain is a favorable method predicting the progression of MS.
METHODS: Forty-eight patients with isolated mild-to-moderate MS were enrolled in
this prospective cohort study. LV global longitudinal strain (GLS) and strain
rate (GLSR) were measured by two-dimensional echocardiography (2-DE) at the
baseline. Mitral valve area (MVA) was evaluated during the 5-year follow-up. The
change in MVA from the beginning to the end of the surveillance period was
determined as an indicator of progression. Pearson's correlation test was used,
and significant differences between the groups were analyzed using the Student's
t-test or the Mann-Whitney U test. At the end of follow-up, we evaluated the
correlation between the change in MVA and both GLS-GLSR. GLS and GLSR are
predictive factors for MS progression, whether or not it has been tested
according to the receiver operating characteristics curve analysis. RESULTS: A
meaningful correlation was detected between the change in MVA with both GLS and
GLSR (r=0.924 and r=0.980, respectively, p<0.001). The cut-off value for GLS was
identified as -16.98 (sensitivity 81%, specificity 96%, p<0.001) and for GLSR as
1.45 (sensitivity 95%, specificity 100%, p<0.001). Patients with MS having a
value under (mathematically above) these cut-off values showed more rapid
progression. CONCLUSION: The progression of MS can be predicted by GLS and GLSR
measurements, which are evaluated via strain echocardiography.
PMID- 27182619
TI - A Bayesian analysis on the (dis)utility of iterative-showup procedures: The
moderating impact of prior probabilities.
AB - A showup is an identification procedure in which a lone suspect is presented to
the eyewitness for an identification attempt. Showups are commonly used when law
enforcement personnel locate a suspect near the scene of a crime in both time and
space but lack probable cause to make an arrest. If an eyewitness rejects a
suspect from a showup, law enforcement personnel might find another suspect and
run another showup. Indeed, law enforcement personnel might go through several
iterations of finding suspects and running showups with the same eyewitness. We
label this phenomenon the iterative-showup procedure. The consequence of this
procedure is that innocent suspect identifications increase disproportionately to
culprit identifications. This happens because there is only one culprit, but a
seemingly endless supply of innocent suspects. We apply Bayesian modeling to
single- and iterative-showup procedures to demonstrate that iterative showups are
almost always associated with lower probative value. We demonstrate that the
prior probabilities that later suspects are the culprit are greatly constrained
by the posterior probabilities that earlier suspects were the culprit.
Identifications from iterative-showup procedures are of questionable reliability.
We review alternative investigative strategies that police might consider in
order to limit the use of iterative-showup procedures. (PsycINFO Database Record
PMID- 27182617
TI - Comparison of left atrial volume and function in non-dipper versus dipper
hypertensives: A real-time three-dimensional echocardiography study.
AB - OBJECTIVE: Non-dipper hypertension is associated with an increased cardiovascular
morbidity and mortality. Besides this, the left atrial (LA) size and functions
are accepted to be prognostic factors in various cardiovascular diseases. In this
study, we aimed to evaluate the effect of nondipper hypertension on LA volume and
functions using real-time three-dimensional echocardiography (RT3-DE). METHODS:
Forty dipper and 52 non-dipper hypertensives enrolled in this prospective cross
sectional study. Patients with any comorbidities that have a potential for
causing structural cardiac alterations were excluded. Two-dimensional
echocardiography (2-DE) and RT3-DE were performed to assess LA volumes and
functions. The statistical tests used in this study were Shapiro-Wilk's test,
Student's t-test, Mann-Whitney U test, chi-square test, Spearman's test, and
Pearson's correlation test. RESULTS: LA minimal volume, LA volume before LA
contraction, and LA total systolic volume were higher in non-dipper hypertensives
than in dipper hypertensives (p<0.001, p=0.003, and p=0.03, respectively). Only,
the 2-DE measurements of interventricular septal thickness and E/Em ratio were
higher in non-dipper hypertensives (p=0.001 and p=0.03, respectively). There was
a moderate correlation between LA minimal volume and LA volume before LA
contraction with E/Em (r=0.31, p=0.007 and r=0.32, p=0.005, respectively).
CONCLUSION: Although LA volume and passive LA systolic functions measured by RT3
DE are significantly increased in non-dipper hypertensives, the alterations in
active LA systolic functions are not prominent. RT-3DE may be used to define LA
volume and function alterations in conditions that have capabilities of adverse
cardiac remodeling such as systemic hypertension.
PMID- 27182620
TI - Does learning about race prevent substance abuse? Racial discrimination, racial
socialization and substance use among African Americans.
PMID- 27182621
TI - The Genomic Context and Corecruitment of SP1 Affect ERRalpha Coactivation by PGC
1alpha in Muscle Cells.
AB - The peroxisome proliferator-activated receptor-gamma coactivator 1alpha (PGC
1alpha) coordinates the transcriptional network response to promote an improved
endurance capacity in skeletal muscle, eg, by coactivating the estrogen-related
receptor-alpha (ERRalpha) in the regulation of oxidative substrate metabolism.
Despite a close functional relationship, the interaction between these 2 proteins
has not been studied on a genomic level. We now mapped the genome-wide binding of
ERRalpha to DNA in a skeletal muscle cell line with elevated PGC-1alpha and
linked the DNA recruitment to global PGC-1alpha target gene regulation. We found
that, surprisingly, ERRalpha coactivation by PGC-1alpha is only observed in the
minority of all PGC-1alpha recruitment sites. Nevertheless, a majority of PGC
1alpha target gene expression is dependent on ERRalpha. Intriguingly, the
interaction between these 2 proteins is controlled by the genomic context of
response elements, in particular the relative GC and CpG content, monomeric and
dimeric repeat-binding site configuration for ERRalpha, and adjacent recruitment
of the transcription factor specificity protein 1. These findings thus not only
reveal a novel insight into the regulatory network underlying muscle cell
plasticity but also strongly link the genomic context of DNA-response elements to
control transcription factor-coregulator interactions.
PMID- 27182623
TI - Palladium-Catalyzed Oxidative N-Dealkylation/Carbonylation of Tertiary Amines
with Alkynes to alpha,beta-Alkynylamides.
AB - The first highly effective Pd/C-catalyzed oxidative N-dealkylation/carbonylation
of various aliphatic as well as cyclic tertiary amines with alkynes has been
described. The selective sp(3) C-N bond activation of tertiary amines at the less
steric side using O2 as a sole oxidant and a plausible reaction pathway for the
reaction are discussed. The general and operationally simple methodology provides
an alternative for the synthesis of a wide range of alk-2-ynamide derivatives
under mild conditions. The present protocol is ecofriendly and practical, and it
shows significant recyclability.
PMID- 27182622
TI - KRAS and TP53 mutations in bronchoscopy samples from former lung cancer patients.
AB - Mutations in the KRAS and TP53 genes have been found frequently in lung tumors
and specimens from individuals at high risk for lung cancer and have been
suggested as predictive markers for lung cancer. In order to assess the
prognostic value of these two genes' mutations in lung cancer recurrence, we
analyzed mutations in codon 12 of the KRAS gene and in hotspot codons of the TP53
gene in 176 bronchial biopsies obtained from 77 former lung cancer patients.
Forty-seven patients (61.0%) showed mutations, including 35/77 (45.5%) in the
KRAS gene and 25/77 (32.5%) in the TP53 gene, among them 13/77 (16.9%) had
mutations in both genes. When grouped according to past or current smoking
status, a higher proportion of current smokers showed mutations, in particular
those in the TP53 gene (P = 0.07), compared with ex-smokers. These mutations were
found in both abnormal lesions (8/20 or 40%) and histologically normal tissues
(70/156 or 44.9%) (P = 0.812). They consisted primarily of G to A transition and
G to T transversion in both the KRAS (41/56 or 73.2%) and TP53 (24/34 or 70.6%)
genes, consistent with mutations found in lung tumors of smoking lung cancer
patients. Overall, recurrence-free survival (RFS) among all subjects could be
explained by age at diagnosis, tumor stage, tumor subtype, and smoking (P < 0.05,
Cox proportional hazard). Therefore, KRAS and TP53 mutations were frequently
detected in bronchial tissues of former lung cancer patients. However, the
presence of mutation of bronchial biopsies was not significantly associated with
a shorter RFS time. (c) 2016 Wiley Periodicals, Inc.
PMID- 27182624
TI - Erratum: A meta-analysis on age-associated changes in blood DNA methylation:
results from an original analysis pipeline for Infinium 450k data.
PMID- 27182625
TI - Time to Diagnostic Evaluation After Mammographic Screening in an Urban Setting.
AB - BACKGROUND: The Capital Breast Care Center (CBCC), a screening facility
established to serve minority women, developed a culturally sensitive patient
care paradigm that would address concerns of adherence to follow-up of abnormal
results after initial mammogram. Women with abnormal mammograms are assigned a
Black or Latina navigator who facilitates the additional workup needed by
scheduling follow-up, arranging transportation, providing counsel/emotional
support, and even accompanying them to diagnostic imaging or biopsy appointment.
We present data on follow-up rates after breast cancer screening. METHODS: All
patients seen at CBCC are entered into a prospectively collected database. We
calculated intervals (in days) between the screening and diagnostic visits.
Descriptive statistics and median time to follow-up are reported. Differences
between Black and Hispanic women on time interval were tested by t-test. RESULTS:
From January 2010 to December 2012, 4605 digital screening mammograms were
performed. Fifty-two percent of the women self-identified as Black, 41% as
Hispanic, 4% White, 2% Asian, and 1% as "other." Of the screening studies, 451
(9.8%) required additional workup, out of which 362 (80%) of the women returned
for the recommended diagnostic imaging. The median interval between screening and
diagnostic imaging was 39 days (range: 6-400). Of the 162 women recommended to
have a core needle biopsy, 81.5% underwent biopsy within a median of 21 days
(range: 0-221 days). CONCLUSION: At the CBCC, time to patient follow-up after
initial mammographic screening is within the CDC-recommended performance standard
of less than 60 days. For a population that historically has low rates of
clinical follow-up, we attribute this reduction in delays to breast cancer
diagnostic resolution to a culturally sensitive patient navigation program.
Additional studies are needed to assess how the existing navigation program can
be individualized/tailored to target the remaining 20% of women who did not
adhere to the recommended workup.
PMID- 27182626
TI - Clostridium Difficile Infection in Children: A Review.
AB - Clostridium difficile is a sporogenic, anaerobic, Gram-positive, emerging enteric
pathogen. It represents the most common cause of health care-associated diarrhoea
in the United States, with significantly associated morbidity, mortality, and
health care costs. Historically regarded as a little more than an innocent
coloniser bystander of the gastrointestinal tract of children, C difficile has
increasingly demonstrated its behaviour as a true pathogen in the paediatric age
groups. This organism may be responsible for a broad spectrum of diseases in
children, ranging from self-limiting secretory diarrhoea to life-threatening
conditions, such as pseudomembranous colitis, toxic megacolon, intestinal
perforation, and septic shock. The incidence and severity of C difficile
infection are, however, not completely understood in this population. In
particular, although asymptomatic carriage remains high among infants, the
clinical significance of detecting C difficile in children aged 1 to 3 years is
not fully understood. Moreover, recent epidemiological surveillance has
demonstrated a rise in the incidence of C difficile infection, particularly in
the community and in low-risk settings. Interestingly, such cases may not show
the disease pattern to be associated with typical risk factors, such as recent
exposure to antimicrobial drugs or on-going contacts with the health care
system.The purpose of the present review is to present the features of C
difficile infection that are unique to paediatric patients and to update
paediatricians on information and recommendations regarding C difficile infection
in children.
PMID- 27182627
TI - Empirical Studies About Attendance at Religious Services and Health.
PMID- 27182628
TI - Whole Teflon valves for handling droplets.
AB - We propose and test a new whole-Teflon gate valve for handling droplets. The
valve allows droplet plugs to pass through without disturbing them. This is
possible due to the geometric design, the choice of material and lack of any
pulses of flow generated by closing or opening the valve. The duct through the
valve resembles a simple segment of tubing, without constrictions, change in
lumen or side pockets. There are no extra sealing materials with different
wettability or chemical resistance. The only material exposed to liquids is FEP
Teflon, which is resistant to aggressive chemicals and fully biocompatible. The
valve can be integrated into microfluidic systems: we demonstrate a complex
system for culturing bacteria in hundreds of microliter droplet chemostats. The
valve effectively isolates modules of the system to increase precision of
operations on droplets. We verified that the valve allowed millions of droplet
plugs to safely pass through, without any cross-contamination with bacteria
between the droplets. The valve can be used in automating complex microfluidic
systems for experiments in biochemistry, biology and organic chemistry.
PMID- 27182630
TI - Chemical Dynamics Simulations of Intermolecular Energy Transfer: Azulene + N2
Collisions.
AB - Chemical dynamics simulations were performed to investigate collisional energy
transfer from highly vibrationally excited azulene (Az*) in a N2 bath. The
intermolecular potential between Az and N2, used for the simulations, was
determined from MP2/6-31+G* ab initio calculations. Az* is prepared with an 87.5
kcal/mol excitation energy by using quantum microcanonical sampling, including
its 95.7 kcal/mol zero-point energy. The average energy of Az* versus time,
obtained from the simulations, shows different rates of Az* deactivation
depending on the N2 bath density. Using the N2 bath density and Lennard-Jones
collision number, the average energy transfer per collision ?DeltaEc? was
obtained for Az* as it is collisionally relaxed. By comparing ?DeltaEc? versus
the bath density, the single collision limiting density was found for energy
transfer. The resulting ?DeltaEc?, for an 87.5 kcal/mol excitation energy, is
0.30 +/- 0.01 and 0.32 +/- 0.01 kcal/mol for harmonic and anharmonic Az
potentials, respectively. For comparison, the experimental value is 0.57 +/- 0.11
kcal/mol. During Az* relaxation there is no appreciable energy transfer to Az
translation and rotation, and the energy transfer is to the N2 bath.
PMID- 27182641
TI - Does Segregation Lead to Lower Birth Weight?: An Instrumental Variable Approach.
AB - BACKGROUND: Racial residential segregation in the United States has been linked
to racial differences in birth outcomes, with studies reporting associations
between segregation and birth weight. However, this relationship is likely
confounded, and many individual and neighborhood-level covariates included in
previous models are likely mediators, potentially obscuring any causal impact of
segregation on birth weight. METHODS: We compiled a record of non-Hispanic black
and white singleton births to US-born/resident mothers in 2000, linked to
segregation indices at the metropolitan statistical area (MSA) level in the non
Southern US. Segregation was measured via the dissimilarity index. The outcomes
were individual-level birth weight and the metropolitan statistical area-level
black/white gap in birth weight. We instrumented for segregation using the
railroad division index. We compared race-stratified ordinary least squares
models to two-stage least squares models, with cluster robust standard errors.
RESULTS: We estimated a 1.2 g decrease in black birth weight for every one
percentage point increase in segregation (95% confidence interval [CI]: -1.9,
0.50) via ordinary least squares but a 2.8 g decrease (95% CI: -6.0, 0.48) using
two-stage least squares. For white infants, our ordinary least squares estimate
was 0.53 (95% CI: -0.23, 1.3), and our two-stage least squares estimate was in
the opposite direction (-0.68, 95% CI: -3.5, 2.1). CONCLUSIONS: Ordinary least
squares estimates may understate the effect of segregation on birth weight in
blacks. Evidence from instrumental variable models was consistent with a causal
impact of segregation on black birth outcomes, but estimates were imprecise and
may be affected by weak instrument bias.
PMID- 27182642
TI - Brief Report: Negative Controls to Detect Selection Bias and Measurement Bias in
Epidemiologic Studies.
AB - Biomedical laboratory experiments routinely use negative controls to identify
possible sources of bias, but epidemiologic studies have infrequently used this
type of control in their design or measurement approach. Recently,
epidemiologists proposed the routine use of negative controls in observational
studies and defined the structure of negative controls to detect bias due to
unmeasured confounding. We extend this previous study and define the structure of
negative controls to detect selection bias and measurement bias in both
observational studies and randomized trials. We illustrate the strengths and
limitations of negative controls in this context using examples from the
epidemiologic literature. Given their demonstrated utility and broad
generalizability, the routine use of prespecified negative controls will
strengthen the evidence from epidemiologic studies.
PMID- 27182629
TI - BDDCS, the Rule of 5 and drugability.
AB - The Rule of 5 methodology appears to be as useful today in defining drugability
as when it was proposed, but recognizing that the database that we used includes
only drugs that successfully reached the market. We do not view additional
criteria necessary nor did we find significant deficiencies in the four Rule of 5
criteria originally proposed by Lipinski and coworkers. BDDCS builds upon the
Rule of 5 and can quite successfully predict drug disposition characteristics for
drugs both meeting and not meeting Rule of 5 criteria. More recent expansions of
classification systems have been proposed and do provide useful qualitative and
quantitative predictions for clearance relationships. However, the broad range of
applicability of BDDCS beyond just clearance predictions gives a great deal of
further usefulness for the combined Rule of 5/BDDCS system.
PMID- 27182643
TI - alpha3 Integrin of Cell-Cell Contact Mediates Kidney Fibrosis by Integrin-Linked
Kinase in Proximal Tubular E-Cadherin Deficient Mice.
AB - Loss of E-cadherin marks a defect in epithelial integrity and polarity during
tissue injury and fibrosis. Whether loss of E-cadherin plays a causal role in
fibrosis is uncertain. alpha3beta1 Integrin has been identified to complex with E
cadherin in cell-cell adhesion, but little is known about the details of their
cross talk. Herein, E-cadherin gene (Cdh1) was selectively deleted from proximal
tubules of murine kidney by Sglt2Cre. Ablation of E-cadherin up-regulated
alpha3beta1 integrin at cell-cell adhesion. E-cadherin-deficient proximal tubular
epithelial cell displayed enhanced transforming growth factor-beta1-induced alpha
smooth muscle actin (alpha-SMA) and vimentin expression, which was suppressed by
siRNA silencing of alpha3 integrin, but not beta1 integrin. Up-regulation of
transforming growth factor-beta1-induced alpha-SMA was mediated by an alpha3
integrin-dependent increase in integrin-linked kinase (ILK). Src phosphorylation
of beta-catenin and consequent p-beta-catenin-Y654/p-Smad2 transcriptional
complex underlies the transcriptional up-regulation of ILK. Kidney fibrosis after
unilateral ureteric obstruction or ischemia reperfusion was increased in proximal
tubule E-cadherin-deficient mice in comparison to that of E-cadherin intact
control mice. The exacerbation of fibrosis was explained by the alpha3 integrin
dependent increase of ILK, beta-catenin nuclear translocation, and alpha
SMA/proximal tubular-specific Cre double positive staining in proximal tubular
epithelial cell. These studies delineate a nonconventional integrin/ILK signaling
by alpha3 integrin-dependent Src/p-beta-catenin-Y654/p-Smad2-mediated up
regulation of ILK through which loss of E-cadherin leads to kidney fibrosis.
PMID- 27182645
TI - DNA Methylation Leads to DNA Repair Gene Down-Regulation and Trinucleotide Repeat
Expansion in Patient-Derived Huntington Disease Cells.
AB - Huntington disease (HD) is an autosomal dominantly inherited disease that
exhibits genetic anticipation of affected progeny due to expansions of a
trinucleotide repeat (TNR) region within the HTT gene. DNA repair machinery is a
known effector of TNR instability; however, the specific defects in HD cells that
lead to TNR expansion are unknown. We hypothesized that HD cells would be
deficient in DNA repair gene expression. To test this hypothesis, we analyzed
expression of select DNA repair genes involved in mismatch/loop-out repair
(APEX1, BRCA1, RPA1, and RPA3) in patient-derived HD cells and found each was
consistently down-regulated relative to wild-type samples taken from unaffected
individuals in the same family. Rescue of DNA repair gene expression by 5
azacytidine treatment identified DNA methylation as a mediator of DNA repair gene
expression deficiency. Bisulfite sequencing confirmed hypermethylation of the
APEX1 promoter region in HD cells relative to control, as well as 5-azacytidine
induced hypomethylation. 5-Azacytidine treatments also resulted in stabilization
of TNR expansion within the mutant HTT allele during long-term culture of HD
cells. Our findings indicate that DNA methylation leads to DNA repair down
regulation and TNR instability in mitotically active HD cells and offer a proof
of principle that epigenetic interventions can curb TNR expansions.
PMID- 27182646
TI - Conjugation of Docetaxel with Multiwalled Carbon Nanotubes and Codelivery with
Piperine: Implications on Pharmacokinetic Profile and Anticancer Activity.
AB - Nanotechnology-based drug products are emerging as promising agents to enhance
the safety and efficacy of established chemotherapeutic molecules. Carbon
nanotubes (CNTs), especially multiwalled CNTs (MWCNTs), have been explored for
this potential owing to their safety and other desired attributes. Docetaxel
(DTX) is an indispensable anticancer agent, which has wide applicability in
variety of cancers. However, the potential of DTX is still not completely
harvested due to problems like poor aqueous solubility, low tissue permeability,
poor bioavailability, high first pass metabolism, and dose-related toxicity.
Hence, it was proposed to attach DTX to MWCNTs and coadminister it along with
piperine with an aim to enhance the tissue permeation, anticancer activity, and
bioavailability. The Fourier transform infrared, UV, and NMR spectroscopic data
confirmed successful conjugation of DTX to MWCNTs and adsorption of piperine onto
MWCNTs. The codelivery MWCNT-based system offered drug release moderation and
better cancer cell toxicity than that of plain DTX as well as DTX-CNT conjugate.
The pharmacokinetic profile of DTX was exceptionally improved by the conjugation,
in general, and coadministration with piperine, in specific vis-a-vis plain drug.
Hence, the dual approach of MWCNTs conjugation and piperine coadministration can
serve as a beneficial option for enhancement of the performance of DTX in cancer
chemotherapy.
PMID- 27182647
TI - Domperidone is Commonly Prescribed With QT-Interacting Drugs: Review of a
Community-based Practice and a Postmarketing Adverse Drug Event Reporting
Database.
AB - INTRODUCTION: Domperidone, a peripheral D2 dopamine receptor antagonist, has
efficacy for treatment of nausea, dyspepsia, and gastroparesis. Domperidone
prolongs the QT interval (QTc), and may cause life-threatening arrhythmias.
METHODS: Electronic medical records for all patients receiving domperidone in the
NorthShore University HealthSystem from January 1, 2008 to December 1, 2013 were
reviewed. All concomitant medications were noted. The coadministration of QT
interacting medications was determined. Electrocardiogram (EKG) evaluation before
and during domperidone therapy was noted. A query of the FDA Adverse Event
Reporting System (FAERS) database was also performed. Individual reports from the
FAERS Web site from January 2008 to June 2014 were downloaded and analyzed. The
database was queried for all reports of adverse events with domperidone.
Coadministration of QT-interacting medications was noted. Cardiac events that
potentially were related to prolongation of the QTc were examined. RESULTS: In
total, 108 of 155 patients (69.7%) were coprescribed QT-interacting drugs along
with domperidone. Fifty-nine of 155 patients (38.1%) underwent a baseline EKG and
9 (15.3%) had prolongation of the QTc at initiation. Forty patients (25.8%) had a
follow-up EKG and 13 (32.5%) had prolongation of the QTc. All 13 were
coprescribed QT-interacting medications. On the FAERS, 221 nonfatal cardiac
events were reported in domperidone patients; of these, 162 (73.3%) occurred in
patients receiving QT-interacting medications. Coprescription occurred in 53 of
151 deaths (35.1%) and in 16 of 61 cardiac arrests (26.2%). CONCLUSIONS:
Coprescribing of QT-prolonging medications and inconsistent EKG monitoring occur
in patients receiving domperidone, placing these patients at risk for
arrhythmias.
PMID- 27182644
TI - Cytoplasmic Cyclin E and Phospho-Cyclin-Dependent Kinase 2 Are Biomarkers of
Aggressive Breast Cancer.
AB - Cyclin E and its co-activator, phospho-cyclin-dependent kinase 2 (p-CDK2),
regulate G1 to S phase transition and their deregulation induces oncogenesis.
Immunohistochemical assessments of these proteins in cancer have been reported
but were based only on their nuclear expression. However, the oncogenic forms of
cyclin E (low molecular weight cyclin E or LMW-E) in complex with CDK2 are
preferentially mislocalized to the cytoplasm. Here, we used separate nuclear and
cytoplasmic scoring systems for both cyclin E and p-CDK2 expression to
demonstrate altered cellular accumulation of these proteins using
immunohistochemical analysis. We examined the specificity of different cyclin E
antibodies and evaluated their concordance between immunohistochemical and
Western blot analyses in a panel of 14 breast cell lines. Nuclear versus
cytoplasmic staining of cyclin E readily differentiated full-length from LMW-E,
respectively. We also evaluated the expression of cyclin E and p-CDK2 in 1676
breast carcinoma patients by immunohistochemistry. Cytoplasmic cyclin E
correlated strongly with cytoplasmic p-CDK2 (P < 0.0001), high tumor grade,
negative estrogen/progesterone receptor status, and human epidermal growth factor
receptor 2 positivity (all P < 0.0001). In multivariable analysis, cytoplasmic
cyclin E plus phosphorylated CDK2 (as one variable) predicted breast cancer
recurrence-free and overall survival. These results suggest that cytoplasmic
cyclin E and p-CDK2 can be readily detected with immunohistochemistry and used as
clinical biomarkers for aggressive breast cancer.
PMID- 27182648
TI - Histologic Disease in Chinese Chronic Hepatitis B Patients With Low Viral Loads
and Persistently Normal Alanine Aminotransferase Levels.
AB - BACKGROUND/AIM: We aimed to evaluate the histopathologic characteristics of HBeAg
negative chronic hepatitis B patients with low hepatitis B virus (HBV) DNA levels
(<2000 IU/mL) and persistently normal ALT levels and to determine indicators of
significant liver disease. METHODS: We examined 102 consecutive subjects who
underwent percutaneous liver biopsy. Significant predictors of liver disease
(stage >=2 fibrosis or stage 1 fibrosis plus grade >=2 inflammation), including
demographic, clinical, and laboratory variables, were evaluated by means of
univariate and multivariate logistic regression analyses. RESULTS: Among the
patients, 75.5% (77/102) had grade 0-1 inflammation and 77.5% (79/102) had stage
0-1 fibrosis. However, 38.2% (39/102) had significant liver disease. There were
no statistically significant differences in clinical parameters such as age,
biochemical profile, HBV DNA levels, HBsAg levels, and platelet count between
patients with significant and those with nonsignificant liver disease. Patients
with significant liver disease had higher values of aspartate transferase-to
platelet ratio index (APRI) and FIB-4 index compared with those with
nonsignificant liver disease (0.35+/-0.21 vs. 0.27+/-0.12, P=0.02; 1.58+/-0.97
vs. 1.13+/-0.54, P=0.009, respectively). The area under the receiver operating
characteristic (AUROC) curve of APRI for identifying active liver histology was
0.64 (95% CI, 0.53-0.75; P=0.019); the cutoff value was 0.24 with a sensitivity
of 74% and specificity of 55%. In comparison, FIB-4 had equal power (the AUROC
was 0.66) in predicting active liver histology. CONCLUSION: Among patients
presenting with low HBV DNA levels and normal ALT levels, about 38.2% had
significant liver disease. Neither serum HBsAg nor HBV DNA levels correlate with
liver histology. However, APRI>=0.24 might be considered an indicator of liver
biopsy.
PMID- 27182649
TI - Polystyrene latex particles containing europium complexes prepared by
miniemulsion polymerization using bovine serum albumin as a surfactant for
biochemical diagnosis.
AB - Luminescent particles have been attracting significant attention because they can
be used in biochemical applications, such as detecting and imaging biomolecules.
In this study, luminescent polystyrene latex particles were prepared through
miniemulsion polymerization of styrene with dissolved europium complexes in the
presence of bovine serum albumin (BSA) and poly(ethylene glycol) monomethoxy
methacrylate as surfactants. The solubility of the europium complex in styrene
has a strong effect on the yield of the particle. Europium tris(2-thenoyl
trifluoroacetonate) di(tri-n-octyl phosphine oxide), which has a high solubility
in styrene, was sufficiently incorporated into the polystyrene particles compared
to europium tris(2-thenoyl trifluoroacetonate), which has a low solubility in
styrene. The luminescence property of the europium complex could remain intact
even after its incorporation through the miniemulsion polymerization. In the
aqueous dispersion, the resulting particles could emit strong luminescence, which
is a characteristic of the europium complex. The antibody fragments were
covalently attached to BSA-covered particles after a reaction with a bifunctional
linker, N-(6-maleimidocaproyloxy)succinimide. The time-resolved fluoroimmunoassay
technique showed that 3.3pg/mL of human alpha-fetoproteins (AFP) can be detected
by using the resulting luminescent particles. An immunochromatographic assay
using the resulting particles was also performed as a convenient method to
qualitatively detect biomolecules. The detection limit of AFP measured by the
immunochromatographic assay was determined to be 2000pg/mL. These results
revealed that the luminescent particles obtained in this study can be utilized
for the highly sensitive detection of biomolecules and in vitro biochemical
diagnosis.
PMID- 27182650
TI - A label-free electrochemical aptasensor based on graphene oxide/double-stranded
DNA nanocomposite.
AB - A novel label-free electrochemical impedance aptasensor based on a gold
nanoparticles/double-stranded DNA-graphene (AuNPs/dsDNA-GO) nanocomposite
modified glassy carbon electrode was presented for quantitative determination of
thrombin. GO was covalently functionalized with dsDNA via a facile amidation
process, and then AuNPs were electrodeposited onto the surface of dsDNA-GO. The
morphology, conductivity and interaction of the as-prepared nanocomposites were
characterized by scanning electron microscopy, cyclic voltammetry,
electrochemical impedance spectroscopy (EIS), Raman and Fourier transform
infrared spectroscopy. The thrombin-binding aptamer (TBA) was conjugated to AuNPs
via gold-thiol chemistry to construct electrochemical aptasensing platform, and
the specific recognition between TBA and thrombin was monitored by EIS. Under
optimum conditions, thrombin could be quantified in a wide range of 0.1-100nM
(R(2)=0.9960) with low detection limit of 0.06nM (S/N=3).
PMID- 27182651
TI - Pulmonary surfactant mitigates silver nanoparticle toxicity in human alveolar
type-I-like epithelial cells.
AB - Accompanying increased commercial applications and production of silver
nanomaterials is an increased probability of human exposure, with inhalation a
key route. Nanomaterials that deposit in the pulmonary alveolar region following
inhalation will interact firstly with pulmonary surfactant before they interact
with the alveolar epithelium. It is therefore critical to understand the effects
of human pulmonary surfactant when evaluating the inhalation toxicity of silver
nanoparticles. In this study, we evaluated the toxicity of AgNPs on human
alveolar type-I-like epithelial (TT1) cells in the absence and presence of
Curosurf((r)) (a natural pulmonary surfactant substitute), hypothesising that the
pulmonary surfactant would act to modify toxicity. We demonstrated that 20nm
citrate-capped AgNPs induce toxicity in human alveolar type I-like epithelial
cells and, in agreement with our hypothesis, that pulmonary surfactant acts to
mitigate this toxicity, possibly through reducing AgNP dissolution into cytotoxic
Ag(+) ions. For example, IL-6 and IL-8 release by TT1 cells significantly
increased 10.7- and 35-fold, respectively (P<0.01), 24h after treatment with
25MUg/ml AgNPs. In contrast, following pre-incubation of AgNPs with
Curosurf((r)), this effect was almost completely abolished. We further determined
that the mechanism of this toxicity is likely associated with Ag(+) ion release
and lysosomal disruption, but not with increased reactive oxygen species
generation. This study provides a critical understanding of the toxicity of AgNPs
in target human alveolar type-I-like epithelial cells and the role of pulmonary
surfactant in mitigating this toxicity. The observations reported have important
implications for the manufacture and application of AgNPs, in particular for
applications involving use of aerosolised AgNPs.
PMID- 27182652
TI - Effect of proteins on the surface microstructure evolution of a CoCrMo alloy in
bio-tribocorrosion processes.
AB - Under tribological contact, the subsurface microstructure of CoCrMo alloys for
artificial joint implants can be changed and affect the life and safety of such
devices. As one of the most important and abundant components in the synovial
fluid, proteins play a key role in affecting the bio-tribocorrosion behaviors of
metal implants. The effect of proteins on the subsurface microstructure evolution
of a CoCrMo alloy was investigated using a transmission electron microscope (TEM)
in this study. The result shows that proteins have two main effects on the
subsurface's evolution: forming a multilayered structure and causing severer
subsurface deformation. The tribo-film can protect the passive film from
scrapping, and then the passive film can reduce or even suppress the stacking
fault annihilation by blocking the access to the metal surface. It leads to the
stacking fault being diffused towards the deeper area and a strain accumulation
in the subsurface, before inducing a severer deformation. On the other hand, the
effect of proteins results in the location changing from the top surface to be
underneath the top surface, where the maximum frictional shear stress occurs.
This can cause a deeper deformation.
PMID- 27182653
TI - Thermoresponsive poly[tri(ethylene glycol) monoethyl ether methacrylate]-peptide
surfaces obtained by radiation grafting-synthesis and characterisation.
AB - This report demonstrates the feasibility of radiation grafting for the
preparation of polymer layers functionalised with short peptide ligands which
promote cell adhesion. Thermoresponsive poly [tri(ethylene glycol) monoethyl
ether methacrylate] (PTEGMA) layers were synthesised on a polypropylene substrate
by post-irradiation grafting. A cell adhesion moiety, the CF-IKVAVK peptide
modified with a methacrylamide function and a fluorescent label were introduced
to the surface during the polymerisation process. The amount of CF-IKVAVK was
easily controlled by changing its concentration in the reaction mixture. The
changes in the surface composition, morphology, philicity and thickness at each
step of the polypropylene functionalisation confirmed that the surface
modification procedures were successful. The increase in environmental
temperature above the cloud point temperature of PTEGMA caused a decrease in
surface philicity. The obtained PTEGMA and PTEGMA-peptide surfaces above TCP were
tested as scaffolds for fibroblast sheet culture and temperature induced
detachment.
PMID- 27182654
TI - Unfolding and inactivation of proteins by counterions in protein-nanoparticles
interaction.
AB - In this work, the structure and activity of proteins; such as, hen egg lysozyme
(HEWL) and calf intestine alkaline phosphatase (CIAP); have been investigated
after incubation with surface coated iron oxide nanoparticles (IONPs) in water.
IONPs were coated with counterions bound charge-ligands and were named as the
charge-ligand counterions iron oxide nanoparticles (CLC-IONPs). The coating was
done with tri-lithium citrate (TLC) and tri-potassium citrate (TKC) to have
negative surface charge of CLC-IONPs and Li(+) and K(+), respectively, as
counterions. To have positive surface charge, IONPs were coated with
cetylpyridinium chloride (CPC) and cetylpyridinium iodide (CPI) having Cl(-) and
I(-), respectively, as counterions. The secondary structure of proteins was
measured using far ultraviolet circular dichroism (CD) spectroscopy which showed
that both proteins were irreversibly unfolded after incubation with CLC-IONPs.
The unfolded proteins were seen to be functionally inactive, as confirmed through
their activity assays, i.e., HEWL with Escherichia coli (E. coli) and CIAP with
para-nitrophenyl phosphate (pNPP). Additionally, we have observed that monomeric
hemoglobin (Hb) from radio-resistant insect Chironomus ramosus (ChHb) was also
partially unfolded upon interaction with CLC-IONPs. This work clearly shows the
role of counterions in protein inactivation via protein-nanoparticles interaction
and, therefore, CLC-IONPs could be used for therapeutic purpose.
PMID- 27182655
TI - Experimental evidence for the mode of action based on electrostatic and
hydrophobic forces to explain interaction between chitosans and phospholipid
Langmuir monolayers.
AB - The interaction between chitosans and Langmuir monolayers mimicking cell
membranes has been explained with an empirical scheme based on electrostatic and
hydrophobic forces, but so far this has been tested only for dimyristoyl
phosphatidic acid (DMPA). In this paper, we show that the mode of action in such
a scheme is also valid for dipalmitoyl phosphatidyl choline (DPPC) and
dipalmitoyl phosphatidyl glycerol (DPPG), whose monolayers were expanded and
their compressibility modulus decreased by interacting with chitosans. In
general, the effects were stronger for the negatively charged DPPG in comparison
to DPPC, and for the low molecular weight chitosan (LMWChi) which was better able
to penetrate into the hydrophobic chains than the high molecular weight chitosan
(Chi). Penetration into the hydrophobic chains was confirmed with polarization
modulated infrared reflection absorption spectroscopy (PM-IRRAS) and sum
frequency generation (SFG) spectroscopy. A slight reduction in conformational
order of the lipid chains induced by the chitosans was quantitatively estimated
by measuring the ratio between the intensities of the methyl (r(+)) and methylene
(d(+)) peaks in the SFG spectra for DPPG. The ratio decreased from 35.6 for the
closely packed DPPG monolayer to 7.0 and 6.6 for monolayers containing Chi and
LMWChi, respectively. Since in both cases there was a significant phospholipid
monolayer expansion, the incorporation of chitosans led to chitosan-rich and
lipid-rich condensed domains, which mantained conformational order for their
hydrophobic tails. The stronger effects from LMWChi are ascribed to an easier
access to the hydrophobic tails, as corroborated by measuring aggregation in
solution with dynamic light scattering, where the hydrodynamic radius for LMWChi
was close to half of that for Chi. Taken together, the results presented here
confirm that the same mode of action applies to different phospholipids that are
important constituents of mammalian (DPPC) and bacterial (DPPG) cell membranes.
PMID- 27182656
TI - One-pot fabricating Fe3O4/graphene nanocomposite with excellent biocompatibility
and non-toxicity as a negative MR contrast agent.
AB - We report a facile approach to synthesize water-dispersible nanocomposite with
Fe3O4 nanoparticles (NPs) attached to graphene (G), which combines the growth of
Fe3O4NPs and the reduction of graphene oxide (GO) in one single step. The unique
hydrophilic surface structure of Fe3O4/G nanocomposite leads to it being
colloidally stable, non-cytotoxic, well-dispersible and biocompatible in aqueous
solution verified via bio-experiments. In vivo tests also prove that Fe3O4/G
nanocomposite, which can be cleared from the body through the metabolic
processes, is harmless to the living body. Most importantly, the Fe3O4/G
nanocomposite showed T2relaxivity (123.04mM(-1)s(-1)) indicating its potential as
a sensitive T2 contrast agent.
PMID- 27182658
TI - Thermodynamics of Anharmonic Systems: Uncoupled Mode Approximations for
Molecules.
AB - The partition functions, heat capacities, entropies, and enthalpies of selected
molecules were calculated using uncoupled mode (UM) approximations, where the
full-dimensional potential energy surface for internal motions was modeled as a
sum of independent one-dimensional potentials for each mode. The computational
cost of such approaches scales the same with molecular size as standard harmonic
oscillator vibrational analysis using harmonic frequencies (HO(hf)). To compute
thermodynamic properties, a computational protocol for obtaining the energy
levels of each mode was established. The accuracy of the UM approximation depends
strongly on how the one-dimensional potentials of each modes are defined. If the
potentials are determined by the energy as a function of displacement along each
normal mode (UM-N), the accuracies of the calculated thermodynamic properties are
not significantly improved versus the HO(hf) model. Significant improvements can
be achieved by constructing potentials for internal rotations and vibrations
using the energy surfaces along the torsional coordinates and the remaining
vibrational normal modes, respectively (UM-VT). For hydrogen peroxide and its
isotopologs at 300 K, UM-VT captures more than 70% of the partition functions on
average. By contrast, the HO(hf) model and UM-N can capture no more than 50%. For
a selected test set of C2 to C8 linear and branched alkanes and species with
different moieties, the enthalpies calculated using the HO(hf) model, UM-N, and
UM-VT are all quite accurate comparing with reference values though the RMS
errors of the HO model and UM-N are slightly higher than UM-VT. However, the
accuracies in entropy calculations differ significantly between these three
models. For the same test set, the RMS error of the standard entropies calculated
by UM-VT is 2.18 cal mol(-1) K(-1) at 1000 K. By contrast, the RMS error obtained
using the HO model and UM-N are 6.42 and 5.73 cal mol(-1) K(-1), respectively.
For a test set composed of nine alkanes ranging from C5 to C8, the heat
capacities calculated with the UM-VT model agree with the experimental values to
within a RMS error of 0.78 cal mol(-1) K(-1), which is less than one-third of the
RMS error of the HO(hf) (2.69 cal mol(-1) K(-1)) and UM-N (2.41 cal mol(-1) K(
1)) models.
PMID- 27182657
TI - Functional mesoporous silica nanoparticles (MSNs) for highly controllable drug
release and synergistic therapy.
AB - Synergistic therapy involving two or more therapeutic agents with different
anticancer mechanisms represents a promising approach to eradicate chemotherapy
refractory cancers. However, the preparation of a synergistic therapy platform
generally involves complicated procedures to encapsulate different therapeutic
agents and thereby increases the purification difficulty. In this work, we
reported a simple but robust strategy to prepare a highly controllable drug
delivery system (DDS) for synergistic cancer therapy. To construct this robust
DDS, mesoporous silica nanoparticles (MSNs) were employed as a nanoplatform to
encapsulate anticancer drug doxorubicin (DOX). After using a tumor-targeting
cellular membrane-penetrating peptide (TCPP) and a mitochondria-targeting
therapeutic peptide (TPP) to seal the surface pores via disulfide bonds, these
newly developed MSNs can target cancer cells, penetrate cell membrane and rapidly
release anticancer drug and mitochondria-targeted peptide in cytoplasm, inducing
a remarkable synergistic anticancer effect. The new design concept reported here
will promote the development of targeted and smart DDSs for synergistic cancer
therapy.
PMID- 27182659
TI - Expansion of the Known Host Range of the Microsporidium, Pseudoloma neurophilia.
AB - The microsporidium, Pseudoloma neurophilia, is the most common infectious
organism found in laboratory zebrafish colonies. Many currently used zebrafish
lines originally came from pet store fish, and the initial description of P.
neurophilia came from zebrafish obtained from a retail pet store. However, as P.
neurophilia has not been described from wild-caught zebrafish, whether P.
neurophilia is a natural pathogen of zebrafish is an open question. The pooling
of fish of different species in the aquarium fish trade is common and a
generalist parasite could be transmitted to novel hosts in this scenario. We
determined that P. neurophilia can infect seven species of fishes from five
families by cohabitation with infected zebrafish: Betta splendens, Xiphophorus
maculatus, Devario aequipinnatus, Pimephales promelas, Oryzias latipes, Carassius
auratus and Paracheirodon innesi. Infections in these fishes were histologically
similar to those of zebrafish. We include a case report of a laboratory
population of fathead minnows with naturally acquired P. neurophilia infections.
With such a broad host range, including several fish families, other laboratory
fishes should be screened routinely for this and other microsporidian parasites.
PMID- 27182660
TI - A two-stage method to correct aberrations induced by slide slant in bright-field
microscopy.
AB - To achieve optimal image quality in bright field microscopy, the slide surface
should be perpendicular to the optical axis of the microscope. However, in the
recently proposed "slanted scan" slide acquisition technique, scan speed is
increased by purposely slanting the slide by a small angle (of 3-5 degrees ) so
that multiple focal depths can be imaged simultaneously. In this case, the
slanted slide introduces a bend in the point spread function (PSF), resulting in
a coma and other aberrations that degrade image quality. In this paper, we
propose a two-stage deconvolution method specifically designed to correct the
aberrations induced by a slanted scan, but with general applicability to high
resolution bright-field microscopy. Specifically, we initially apply phase
deconvolution to correct the dominating coma aberration, before applying a
conventional semi-blind deconvolution method to further improve image resolution
and contrast. We also propose a novel method to estimate the degree of coma
aberration and the PSF of the optics utilising actual cytology specimens. The
efficacy of the proposed algorithm is demonstrated quantitatively on simulated
data, against a ground-truth (object) image, and qualitatively on cervical
cytology specimens. Results demonstrate both improved convergence speed of the
two-stage approach, especially when correcting the bend in the PSF, and a
resultant image quality that is comparable to a conventionally (flat) scanned
specimen.
PMID- 27182661
TI - Structural determination of the polysaccharide isolated from biofilms produced by
a clinical strain of Klebsiella pneumoniae.
AB - Klebsiella pneumoniae are Gram negative opportunistic pathogens producing
capsular (K) polysaccharides. Seventy-seven different K antigens have been
described and they are the basis for K serotyping. Capsular polysaccharides are
important virulence factors and have a relevant role for the structure of biofilm
communities. Nevertheless, little information is available on the polysaccharides
produced in biofilm matrices by Klebsiella spp. In the present study, a clinical
isolate of Klebsiella pneumoniae was grown both on cellulose membranes deposited
on agar plates, where it formed an adherent biofilm, and in liquid medium, where
it formed floating biofilms (flocs). Extraction and purification of the
polysaccharide fraction showed that only one main carbohydrate polymer was
present in both adherent biofilms and flocs. Composition and linkage analysis,
Smith degradation followed by ESI-MS, 1D and 2D NMR spectroscopy revealed that
the polysaccharide belong to the type K24 and has the following structure.
PMID- 27182662
TI - Membrane anchoring stabilizes and favors secretion of New Delhi metallo-beta
lactamase.
AB - Carbapenems, 'last-resort' beta-lactam antibiotics, are inactivated by zinc
dependent metallo-beta-lactamases (MBLs). The host innate immune response
withholds nutrient metal ions from microbial pathogens by releasing metal
chelating proteins such as calprotectin. We show that metal sequestration is
detrimental for the accumulation of MBLs in the bacterial periplasm, because
those enzymes are readily degraded in their nonmetallated form. However, the New
Delhi metallo-beta-lactamase (NDM-1) can persist under conditions of metal
depletion. NDM-1 is a lipidated protein that anchors to the outer membrane of
Gram-negative bacteria. Membrane anchoring contributes to the unusual stability
of NDM-1 and favors secretion of this enzyme in outer-membrane vesicles (OMVs).
OMVs containing NDM-1 can protect nearby populations of bacteria from otherwise
lethal antibiotic levels, and OMVs from clinical pathogens expressing NDM-1 can
carry this MBL and the blaNDM gene. We show that protein export into OMVs can be
targeted, providing possibilities of new antibacterial therapeutic strategies.
PMID- 27182665
TI - Cysteine containing dipeptides show a metal specificity that matches the
composition of seawater.
AB - Model prebiotic dipeptide sequences were identified by bioinformatics and DFT and
molecular dynamics calculations. The peptides were then synthesized and evaluated
for metal affinity and specificity. Cysteine containing dipeptides were not
associated with metal affinities that followed the Irving-Williams series but did
follow the concentration trends found in seawater.
PMID- 27182663
TI - The antitumor toxin CD437 is a direct inhibitor of DNA polymerase alpha.
AB - CD437 is a retinoid-like small molecule that selectively induces apoptosis in
cancer cells, but not in normal cells, through an unknown mechanism. We used a
forward-genetic strategy to discover mutations in POLA1 that coincide with CD437
resistance (POLA1(R)). Introduction of one of these mutations into cancer cells
by CRISPR-Cas9 genome editing conferred CD437 resistance, demonstrating
causality. POLA1 encodes DNA polymerase alpha, the enzyme responsible for
initiating DNA synthesis during the S phase of the cell cycle. CD437 inhibits DNA
replication in cells and recombinant POLA1 activity in vitro. Both effects are
abrogated by the identified POLA1 mutations, supporting POLA1 as the direct
antitumor target of CD437. In addition, we detected an increase in the total
fluorescence intensity and anisotropy of CD437 in the presence of increasing
concentrations of POLA1 that is consistent with a direct binding interaction. The
discovery of POLA1 as the direct anticancer target for CD437 has the potential to
catalyze the development of CD437 into an anticancer therapeutic.
PMID- 27182666
TI - Recent government regulations in the United States seek to ensure the
effectiveness of antibiotics by limiting their agricultural use.
AB - The development of bacteria resistant to antibiotics is viewed as a medical
health threat. Because thousands of people die every year due to antibiotic
resistant bacteria, efforts are underway to reduce antibiotic usage which in turn
will reduce the development of antibiotic-resistant bacteria. In the United
States, the use of antibiotics in the production of food animals to enhance
animal growth has been identified as contributing to resistance. In 2015, a
veterinary feed directive was adopted by the U.S. federal government prohibiting
nontherapeutic uses of antibiotics in food animals that should reduce usage. The
continued usage of antibiotics by producers for preventing disease may mean the
directive is insufficient to reduce nontherapeutic antibiotic administration.
This may lead some consumers to seek meat products from animals raised without
antibiotics. A governmentally-sponsored labeling program could encourage
reduction in antibiotic usage.
PMID- 27182664
TI - A cascading activity-based probe sequentially targets E1-E2-E3 ubiquitin enzymes.
AB - Post-translational modifications of proteins with ubiquitin (Ub) and ubiquitin
like modifiers (Ubls), orchestrated by a cascade of specialized E1, E2 and E3
enzymes, control a wide range of cellular processes. To monitor catalysis along
these complex reaction pathways, we developed a cascading activity-based probe,
UbDha. Similarly to the native Ub, upon ATP-dependent activation by the E1, UbDha
can travel downstream to the E2 (and subsequently E3) enzymes through sequential
trans-thioesterifications. Unlike the native Ub, at each step along the cascade,
UbDha has the option to react irreversibly with active site cysteine residues of
target enzymes, thus enabling their detection. We show that our cascading probe
'hops' and 'traps' catalytically active Ub-modifying enzymes (but not their
substrates) by a mechanism diversifiable to Ubls. Our founder methodology,
amenable to structural studies, proteome-wide profiling and monitoring of
enzymatic activity in living cells, presents novel and versatile tools to
interrogate Ub and Ubl cascades.
PMID- 27182667
TI - The role of companions in outpatient seizure clinic interactions: A pilot study.
AB - PURPOSE: This study explored contributions that patients' companions (seizure
witnesses) make to interactions in the seizure clinic and whether the nature of
the companions' interactional contributions can help with the differentiation of
epilepsy and psychogenic nonepileptic seizures (PNES). METHODS: Conversation
analysis methods were used to examine video recordings and transcripts of
neurologists' interactions with patients referred to a specialist seizure clinic
and their companions. RESULTS: The companions' behavior correlated with
interactional features previously observed to distinguish patients with epilepsy
from patients with PNES. Patients with PNES, but not those with epilepsy, tended
to exhibit interactional resistance to the doctor's efforts to find out more
about their seizure experiences and, thereby, encouraged greater interactional
contribution from companions. CONCLUSION: The contributions that companions make
(in part, prompted by patient's interactional behavior) may provide additional
diagnostic pointers in this clinical setting, and a number of candidate features
that may help clinicians distinguish between epilepsy and PNES when the patient
is accompanied by a seizure witness are described. However, companion
contributions may limit the doctor's ability to identify linguistic and
interactional features with previously demonstrated diagnostic potential in the
conversational contributions made by patients themselves. To help offset
potential diagnostic losses, doctors may need to explicitly discuss the role of
the companion in the consultation when a seizure witness (or another companion)
accompanies the patient.
PMID- 27182668
TI - Online reconstruction of 3D magnetic particle imaging data.
AB - Magnetic particle imaging is a quantitative functional imaging technique that
allows imaging of the spatial distribution of super-paramagnetic iron oxide
particles at high temporal resolution. The raw data acquisition can be performed
at frame rates of more than 40 volumes s(-1). However, to date image
reconstruction is performed in an offline step and thus no direct feedback is
available during the experiment. Considering potential interventional
applications such direct feedback would be mandatory. In this work, an online
reconstruction framework is implemented that allows direct visualization of the
particle distribution on the screen of the acquisition computer with a latency of
about 2 s. The reconstruction process is adaptive and performs block-averaging in
order to optimize the signal quality for a given amount of reconstruction time.
PMID- 27182669
TI - The rolling evolution of biomedical science as an essential tool in modern
clinical practice.
AB - The British Journal of Biomedical Science is committed to publishing high-quality
original research that represents a clear advance in the practice of biomedical
science, and reviews that summarise recent advances in the field of biomedical
science. The overall aim of the Journal is to provide a platform for the
dissemination of new and innovative information on the diagnosis and management
of disease that is valuable to the practicing laboratory scientist. The Editorial
that follows describes the Journal and provides a perspective of its aims and
objectives.
PMID- 27182670
TI - British Journal of Biomedical Science in 2015: what have we learned?
AB - In 2015, the British Journal of Biomedical Science published 47 reports on topics
relating to the various disciplines within biomedical science. Of these, the
majority were in infection science (15 in microbiology and two in virology) and
blood science (seven in biochemistry, four in haematology, three in immunology
and one in transplantation), with a smaller number in cellular sciences (four
reports) and with one review across disciplines. The present report will
summarise key aspects of these publications that are of greatest relevance to
laboratory scientists.
PMID- 27182671
TI - Platelet function testing: from routine to specialist testing.
AB - Platelets have many functions within the haemostatic system, and when these
actions are diminished for whatever reason, a bleeding tendency can manifest.
Unravelling the reason(s) for this bleeding can be complex due to the multiple
roles platelets perform. This review seeks to explain each level of platelet
testing moving from those performed at local hospital laboratories to those
performed by specialist centres and university research departments. It will
examine the testing available and discuss when to move on to additional testing.
PMID- 27182672
TI - Red blood cell distribution width and haemoglobin are associated with hospital
admission in patients with acute allergic reactions.
AB - INTRODUCTION: Red blood cell distribution width (RDW) is significantly associated
with a variety of human disorders. This study aimed to investigate whether RDW
value at admission may predict the need of hospitalisation in patients presenting
to the emergency department (ED) with acute allergic reactions. MATERIALS AND
METHODS: The study population consisted of adult patients (aged > 17) admitted to
the ED for acute allergic reactions. RESULTS: One hundred and thirty-two subjects
were included, 12 of whom (9%) required hospital admission for severity of
symptoms. Patients who needed hospital admission displayed significantly lower
values of haemoglobin and significantly higher values of RDW-coefficient of
variation (RDW-CV). In multivariate analysis, haemoglobin and RDW-CV were found
to be independent predictors of hospital admission. The area under the curve
(AUC), sensitivity and specificity for predicting hospital admission were 0.72,
0.88 and 0.42 for haemoglobin and 0.73, 0.88 and 0.50 for RDW-CV, respectively.
The combination of these tests (both positive) was characterised by 0.76 AUC,
0.83 sensitivity, 0.67 specificity, 0.96 negative predictive value and 0.30
positive predictive. DISCUSSION: The results of this study suggest that two
common and inexpensive parameters such as haemoglobin and RDW are independent
predictors of hospital admission in patients presenting to the ED with acute
allergic reactions.
PMID- 27182673
TI - Reduced penicillin susceptibility of group B Streptococcus: an assessment of
emergence in Grampian, Scotland.
PMID- 27182674
TI - Fine needle aspiration cytology for lymph nodes: a three-year study.
AB - BACKGROUND AND AIMS: The cytologic patterns of lymph node fine needle aspirations
(FNAs) exhibit a wide variation in different diseases and in different ethnic
groups in various geographical locations. Knowledge of lymphadenopathy patterns
in a given geographical region is essential for making a confident diagnosis of
suspected disease in that location. In the present study, we assessed the
cytologic patterns of lymph node aspirations in patients in the Huangdao region
of China. METHODS: A three-year retrospective study design was conducted on FNA
cytology samples from the lymph nodes of patients in our hospital between January
2011 and December 2014. RESULTS: A total of 2136 lymph nodes were aspirated
during the study period. Cytologic analysis of the lymph nodes revealed the
following: malignancy, 53.6%; chronic non-specific lymphadenitis, 15.2%; reactive
lymph node, 7.5%; pyogenic abscess, 2.9%; tuberculosis lymphadenitis, 8.7%;
Hodgkin lymphoma, 4.8%; and non-Hodgkin lymphoma, 7.16%. The 30-50 year age group
was the most affected age group, while lymphadenopathy in the >60 year age group
was less frequent. Cervical lymph nodes were the most frequent site for
lymphadenopathy in women (31.4%, p < 0.001) and men (49.1%, p < 0.001).
CONCLUSIONS: Lymphadenopathy is associated with a wide range of disorders;
however, metastatic lymph nodes of malignancies are the most common cause for
enlarged lymph nodes.
PMID- 27182675
TI - Value of Ki-67 and computed tomography in the assessment of peripheral lung
adenocarcinoma.
AB - PURPOSE: This study was designed to determine whether proliferation antigen Ki-67
and/or a computed tomography (CT) value could be used to evaluate the clinical
pathological features of peripheral lung adenocarcinoma. MATERIALS AND METHODS: A
total of 116 eligible lung cancer patients were enrolled. Nodule size, lymph node
metastasis, differentiation, Ki-67 expression and CT findings were assessed. The
relationship between clinic parameters and the CT feature was analysed
statistically. RESULTS: The percentage of lesions that had ground-glass opacity
or localised air bronchogram was significantly greater in low CT value group
(<30, p < 0.05). No significant association was observed between CT value and
size in the subgroup with CT value > 0 (p = 0.66). As a proliferative marker of
lung cancer, Ki-67 was present in a total of 115 (99.9%) of the 116 evaluable
primary lung cancers. There was a statistically significant correlation between
the Ki-67 index and CT value (p < 0.05). Compared to CT value, Ki-67 index
possessed higher sensitivity to predict the differentiation and lymph node
metastasis of peripheral lung adenocarcinoma, adding of CT value would enhance
its specificity. CONCLUSION: Combination of Ki-67 expression and CT value
determination was useful for the classification of differentiation and metastatic
or proliferative potential of peripheral lung adenocarcinoma.
PMID- 27182676
TI - Intrafamilial transmission of Helicobacter pylori: genotyping of faecal samples.
AB - BACKGROUND: After more than 20 years of research, there is a little information
about the detailed routes of Helicobacter pylori transmission. The aim of this
study was to explore intrafamilial transmission of H. pylori in children who had
indication for upper gastrointestinal endoscopy and their parents. METHODS:
Children (aged up to 15 years) were studied during September 2012 to October
2013. The parents of those with positive urea breath test results were asked to
provide faecal and blood samples after giving informed consent. Non-invasive
tests such as immunoassay for serological antibodies against H. pylori and
detection of its antigen in faeces were measured. The genetic similarity of the
family strains was investigated by the random amplification of polymorphic DNA
(RAPD-PCR) genotyping method. RESULTS: According to the genotyping results of 30
families, in 10 (33.3%) children related H. pylori genotypes to their mothers
were found, while only 2 children (6.7%) had similar genotypes to their fathers.
Interestingly, children with similar H. pylori genotype with their mothers had
higher IgA (35.7 +/- 10.8) and IgM antibody titres (87.23 +/- 19.15) than other
children. In addition, in these children, lower titres of IgG antibodies (9.93 +/
3.31) were found rather than children who had no H. pylori in their faeces or
had no similarities with their parents (30.28 +/- 6.15). CONCLUSIONS: In
conclusion, mother-to-child transmission is the main route of intrafamilial
transmission of H. pylori in Iranian families. Molecular typing of H. pylori can
be useful in identifying a high-risk population.
PMID- 27182678
TI - Letter to the Editor: Antimicrobial properties of mucus from the brown garden
snail Helix aspersa.
PMID- 27182677
TI - Antifungal effect of Echinophora platyloba on expression of CDR1 and CDR2 genes
in fluconazole-resistant Candida albicans.
AB - BACKGROUND: Several studies examined the effect of the Echinophora platyloba
extract in treatment of azole-resistant Candida albicans clinical isolates.
OBJECTIVE: We investigated the effect of E. platyloba extract on expression of
CDR1 and CDR2 genes in fluconazole-resistant clinical isolates of C. albicans
using real-time PCR. MATERIALS AND METHODS: The crude extract of E. platyloba was
obtained using percolation method. Using serial dilution method, different
concentrations of extract were achieved. Two hundred microlitres of fungal
suspension (10(6) CFU/ml) was added to the media and cultured with different
concentrations and then incubated at 37 degrees C for 48 h. The concentration of
extract in the first tube, which inhibited the growth of C. albicans, was
recorded as the Minimal Inhibitory Concentration (MIC). In order to analyse the
expression of CDR1 and CDR2 genes, RNA was extracted from C. albicans isolates
before and after treatment with MIC of E. platyloba using glass beads and the
denaturing buffer agents in an RNase-free environment and then the cDNA was
synthesised and used for real-time PCR assay. RESULTS: Twenty of total of 148
isolates were resistant to fluconazole. The MIC and MFC for the alcoholic extract
of E. Platyloba were 64 mg/ml and 128 mg/ml, respectively. Real-time PCR results
revealed that the mRNA levels of CDR1 and CDR2 genes significantly declined after
incubation with E. Platyloba (both p values < 0.001). CONCLUSION: E. Platyloba is
effective in reducing CDR1 and CDR2 expression which in turn plays an important
role in fluconazole resistance in Candida species.
PMID- 27182680
TI - High-Speed Resistance Training in Older Women: The Role of Supervision.
AB - Older women participated in a 12-week high-speed resistance training program
under two supervisor-to-subject ratio methods (i.e., high versus low supervision)
to assess its effects on muscle strength, power, functional performance, and
quality of life assessed before (T1) and after (T2) intervention. Women were
divided into either the control group (CG, n = 15), high supervision group (HSG,
n = 30), or low supervision group (LSG, n = 28). The training program included
exercises requiring high-speed concentric muscle actions. No differences were
observed among groups at T1. Between T1 and T2, the HSG showed a higher (p < .05)
improvement in muscle strength (ES = 0.36-1.26), power (ES = 0.5-0.88),
functional performance (ES = 0.52-0.78), and quality of life (ES = 0.44-0.82)
compared with LSG and CG. High-speed resistance training under closer supervision
is more effective for improving muscle strength, power, functional performance,
and quality of life in older women.
PMID- 27182681
TI - The Discrepancy between Performance-Based Measures and Questionnaires when
Assessing Clinical Outcomes and Quality of Life in Pediatric Patients with
Neurological Disorders.
AB - In clinical outcome assessment, the relation between performance-based measures
and questionnaire ratings of the same domain is weak, but correlations between
questionnaires proposed for the evaluation of different domains are strong. The
present study aims to illustrate these phenomena in a group of patients with
neurofibromatosis type 1 (NF1) and to propose an explanatory hypothesis. A single
neuropsychologist interviewed the parents about the child's situation and current
difficulties and then assessed this parental view as overall positive or overall
negative. The same assessor then administered the Wechsler Intelligence Scales
and neuropsychological tests to 78 children and adolescents with NF1 (5-18
years). Parents then completed the Child Behavioral Checklist (CBCL), the
Conners' Parent Rating Scale, the Behavior Rating Inventory of Executive Function
(BRIEF), as well as questionnaires assessing quality of life, impact of the
medical disorder, and their own difficulties. All questionnaires were inter
correlated (r = 0.29 - 0.84) and associated with the overall positive or negative
parental view of the child's progress (effect size = 0.41-1.46). Conversely,
questionnaires were weakly or not significantly related to intelligence,
cognitive measures, or clinical severity. In conclusion, the parental view of the
child's progress was related to the answers to questionnaires assessing quality
of life or strengths and difficulties of patients with a neurological disorder.
This factor should be assessed independently and taken into account when
assessing clinical outcome.
PMID- 27182679
TI - Hemodiafiltration With Endogenous Reinfusion Improved Microinflammation and
Endothelial Damage Compared With Online-Hemodiafiltration: A Hypothesis
Generating Study.
AB - Hemodiafiltration with endogenous reinfusion (HFR) after ultrafiltrate passage
through a resin cartridge combines adsorption, convection, and diffusion. Our
prospective single-center crossover study compared HFR and online
hemodiafiltration (OLHDF) effects on two uremic toxins and 13 inflammatory,
endothelial status, or oxidative stress markers. After an 8-week run-in period of
high-flux hemodialysis, 17 eligible stable dialysis patients (median age 65
years, 10 male) without overt clinical inflammation were scheduled for four 8
week periods in the sequence: HFR/OLHDF/HFR/OLHDF. Relative to OLHDF, HFR was
associated with greater indoxyl sulfate removal and lesser abnormalities in all
other study variables, namely circulating interleukin-6, tumor necrosis factor
alpha, proportions of activated proinflammatory (CD14+CD16+, CD14++CD16+)
monocytes, endothelial progenitor cells, apoptotic endothelial microparticles,
vascular endothelial growth factor, vascular cellular adhesion molecule,
angiopoietins 2 and 1, annexin V, and superoxide dismutase. Differences were
significant (P < 0.05) in median values of 13/15 variables. Study period
comparisons were generally consistent with dialysis technique comparisons, as
were data from the subgroup completing all study periods (n = 9). Our
investigation provides hypothesis-generating results suggesting that compared
with OLHDF, HFR improves protein-bound toxin removal, inflammatory and
endothelial status, and oxidative stress.
PMID- 27182682
TI - Pharmacokinetics and tissue distribution of ginkgolide A, ginkgolide B, and
ginkgolide K after intravenous infusion of ginkgo diterpene lactones in a rat
model.
AB - Ginkgo diterpene lactones are compounds that are extracted from the Ginkgo biloba
leaf and possess pharmacologic activities with neuroprotective effects. To
address the poor bioavailability of ginkgo diterpene lactones, ginkgo diterpene
lactone meglumine injection (GDLI) was formulated and is commercially available.
In this study, a simple, sensitive and reliable liquid chromatography-tandem mass
spectrometry (LC-MS/MS) method was developed and validated for assessing the
total amount and the amount of the prototype forms of ginkgolides A (GA), B (GB)
and K (GK) in rat plasma and tissues. This method was used to calculate the
concentrations of the hydrolysed carboxylic forms and assess the pharmacokinetics
of the ginkgolides after intravenous (i.v.) GDLI administration in rats.
Generally, all three ginkgolide forms showed dose-dependent plasma
concentrations, and no obvious differences in pharmacokinetic parameters, i.e.,
area under the curve (AUC) of plasma concentration versus time and half-life,
were observed after GDLI administration on 7 consecutive days. These ginkgolides
primarily existed in the carboxylic form in the plasma, and the systemic
concentrations of the carboxylic forms of GA and GB were 11- to 17- and 3- to 4
fold higher than those of their prototype forms, respectively. In contrast,
dramatically increased levels of the GA and GB prototype lactones were detected
in the liver and heart. GA, GB, and GK were extensively distributed in various
organs/tissues; the highest levels were found in the kidneys, liver, and
intestine, and the lowest levels were found in the brain. These data suggest that
ginkgolides have difficulty crossing the blood-brain barrier and that their
targets for protecting against cerebral ischaemia are located outside the central
system.
PMID- 27182683
TI - Anionic Lipid Content Presents a Barrier to the Activity of ROMP-Based Synthetic
Mimics of Protein Transduction Domains (PTDMs).
AB - Many biophysical studies of protein transduction domains (PTDs) and their
synthetic mimics (PTDMs) focus on the interaction between the polycationic PTD(M)
and anionic phospholipid surfaces. Most, but not all, of these studies suggest
that these cation-anion interactions are vital for membrane activity. In this
study, the effect of anionic lipid content on PTDM performance was examined for
three ring-opening metathesis (ROMP)-based PTDMs with varying hydrophobicity.
Using a series of dye-loaded vesicles with gradually increasing anionic lipid
content, we saw that increased anionic lipid content inhibited dye release caused
by these PTDMs. This result is the opposite of what was found in studies with
poly- and oligo-arginine. While the effect is reduced for more hydrophobic PTDMs,
it is observable even with the most hydrophobic PTDMs of our test panel.
Additional experiments included dynamic light scattering and zeta potential
measurements to measure size as a function of vesicle surface charge in the
presence of increasing PTDM concentration and surface plasmon resonance
spectroscopy to quantify binding between PTDMs and surface-bound lipid layers
with varying anion content. The results from these measurements suggested that
PTDM hydrophobicity, not cation-anion interactions, is the main driving force of
the interaction between our PTDMs and the model membranes investigated. This
suggests a model of interaction where surface association and membrane insertion
are driven by PTDM hydrophobicity, while anionic lipid content serves primarily
to "pin" the PTDM to the membrane surface and limit insertion.
PMID- 27182684
TI - Thermodynamic Integration Networks and Their Application to Charge Transfer
Reactions within the AauDyPI Fungal Peroxidase.
AB - We present a computer simulation study of the thermodynamics and kinetics of
charge transfer reactions within the fungal peroxidase AauDyPI from Auricularia
auriculae-judae. Driving forces and reorganization energies are obtained from a
thermodynamic integration scheme based upon molecular dynamics simulations. To
enhance the numerical accuracy, the free energies are analyzed within a least
squares scheme of a closely knit thermodynamic network. We identify Tyr147,
Tyr229, and Trp105 as oxidative agents, and find Trp377 to be a long-lived
reaction intermediate. The results are compared to recent experimental findings.
PMID- 27182685
TI - Cancer heterogeneity and drug metabolism: what we know and what we need to know.
PMID- 27182686
TI - Impact of the activity calculation method used in transarterial
radioembolization: a dosimetric comparison between 90Y-SIRSphere and 90Y
TheraSphere therapy.
AB - PURPOSE: Transarterial radioembolization is used to treat primary and secondary
liver malignancies. Two commercially available drugs are utilized for the
purpose. The aim of our study is to compare the radiation dose delivered to the
tumor by these drugs. MATERIALS AND METHODS: This study included 86 patients (M :
F - 7.6 : 1, median age=50.5 years), 46 patients were treated by Y-TheraSphere
and 42 patients were treated by Y-SIRSphere. Activity administered in Y
TheraSphere and Y-SIRSphere was calculated using a modified partition model and a
modified body surface area model, respectively. The radiation dose delivered by
two drugs was calculated and compared in our study. RESULT: Activity administered
in Y-TheraSphere was significantly higher than that of Y-SIRSphere. Hence, the
radiation dose delivered to the tumor by Y-SIRSphere was significantly lower
(58.4%) than that of Y-TheraSphere (P=0.000). CONCLUSION: As the radiation dose
delivered by Y-SIRSphere was lower than Y-TheraSphere, we believe that the
formula for Y-SIRSphere activity calculation needs to be modified so that the
optimal dose can be delivered to the tumor.
PMID- 27182687
TI - D-Dimer predicts prognosis and non-resectability in patients with pancreatic
cancer: a prospective cohort study.
AB - To examine the impact of plasma D-dimer levels in predicting 3-year survival and
nonresectability in pancreatic cancer patients. Ninety-five patients were divided
into three groups according to plasma D-dimer levels. Kaplan-Meier survival
curves and hazard ratios were computed, and diagnostic indices of D-dimer in the
prediction of resectability were assessed. The median survival among patients
with low, medium and high D-dimer levels was 13.7 [95% confidence interval (CI):
10.2-19.6], 6.2 (95% CI: 2.0-15.1) and 2.4 months (95% CI: 1.4-3.3),
respectively. The adjusted hazard ratio of death in the group of patients with
high D-dimer levels was 2.2 (95% CI: 1.1-4.2). The positive and negative
predictive values of D-dimer in the prediction of nonresectability were 89% (95%
CI: 77-96%) and 48% (95% CI: 33- 63%), respectively. An elevated D-dimer level is
associated with reduced survival in pancreatic cancer and predicts
nonresectability.
PMID- 27182688
TI - Haemostatic balance in cirrhosis.
AB - Despite the prolongation of coagulation tests, recent studies reported an
increased frequency of thromboembolic events in patients with cirrhosis. The aim
of this study was to evaluate the haemostatic balance in cirrhotic patients
through assessing the variation of pro- and anticoagulant factors and evaluating
the in-vitro thrombin generation in patients with cirrhosis and in healthy
patients. Fifty-one cirrhotic patients with or without thromboembolic events and
50 controls matched by age and sex were enrolled. Procoagulant (factors VII, II,
V, VIII, and XII) and inhibitor (protein C, protein S and antithrombin) factor
activities were determined. Thrombin generation was measured as endogenous
thrombin potential (ETP). Haemostatic balance was assessed by means of both
procoagulant to inhibitor coagulation factor ratios and ETP with to without
protein C activation ratios. There were 24 males and 27 females. The mean age was
57.8 years [16-91 years]. Pro and anticoagulant factors were significantly lower
in patients than in controls (P < 0.001) except for factor VIII and protein S. In
fact factor VIII level was significantly higher in patients than in controls and
protein S levels were not significantly different between patients and controls.
Almost all the pro to anticoagulant factor ratios were higher in cirrhotics than
in controls, especially the factor VIII to protein C ratios which increased
significantly from Child Pugh A to C (P < 0.001), the ratio of ETP with to
without protein C activator was higher in patients than in controls, but did not
reach a significant level (0.8 vs. 0.52) There was no statistically significant
difference between Child classes. When comparing patients with history of
thrombosis (n = 7) to those matched by age and sex and without history of
thrombosis (n = 14), the ratios were not statistically different between the two
groups. Haemostatic changes in cirrhosis tend to rebalance the haemostatic
system. This state often results in a hypercoagulable state attested by increased
pro- to anticoagulant factor ratios and a normal thrombin generation.
PMID- 27182689
TI - Prognostic factors for seizure outcome in patients with MRI-negative temporal
lobe epilepsy: A meta-analysis and systematic review.
AB - PURPOSE: To perform a systematic review and meta-analysis to identify predictors
of postoperative seizure freedom in patients with magnetic resonance imaging
(MRI)-negative temporal lobe epilepsy. METHOD: Publications were screened from
electronic databases (MEDLINE, EMBASE), epilepsy archives, and bibliographies of
relevant articles that were written in English. We recorded all possible risk
factors that might predict seizure outcome after surgery. We calculated odds
ratio (OR) with corresponding 95% confidence intervals (95% CI) of predictors for
postoperative seizure freedom. Heterogeneity was assessed with I(2). All meta
analyses were performed using Review Manager. RESULTS: Epilepsy duration
(OR=2.57, 95% CI=1.21-5.47, p<0.05, I(2)=1%) and ictal or interictal
electroencephalographic anomalies precisely localized in the ipsilateral temporal
lobe (OR=3.89, 95% CI=1.66-9.08, p<0.01, I(2)=0 and OR=3.38, 95% CI=1.57-7.25,
p<0.05, I(2)=0, respectively) were significantly associated with a higher rate of
seizure freedom after surgery. However, the positron emission tomography (PET)
results were not predictive of postoperative seizure freedom (OR=2.11, 95%
CI=0.95-4.65, p=0.06, I(2)=0). No significant difference in seizure freedom was
observed between the positive and negative pathology groups (OR=1.36, 95% CI=0.70
2.63, p=0.36, I(2)=0). CONCLUSIONS: A shorter epilepsy duration and scalp
electroencephalogram (EEG) signals localized precisely in the temporal lobe
predicted a better seizure outcome in patients with MRI-negative temporal lobe
epilepsy.
PMID- 27182690
TI - Ultrafast Exciton Hopping Observed in Bare Semiconducting Carbon Nanotube Thin
Films with Two-Dimensional White-Light Spectroscopy.
AB - We observe ultrafast energy transfer between bare carbon nanotubes in a thin film
using two-dimensional (2D) white-light spectroscopy. Using aqueous two-phase
separation, semiconducting carbon nanotubes are purified from their metallic
counterparts and condensed into a 10 nm thin film with no residual surfactant.
Cross peak intensities put the time scale for energy transfer at <60 fs, and 2D
anisotropy measurements determine that energy transfer is most efficient between
parallel nanotubes, thus favoring directional energy flow. Lifetimes are about
300 fs. Thus, these results are in sharp contrast to thin films prepared from
nanotubes that are wrapped by polymers, which exhibit picosecond energy transfer
and randomize the direction of energy flow. Ultrafast energy flow and
directionality are exciting properties for next-generation photovoltaics,
photodetectors, and other devices.
PMID- 27182691
TI - Changes in Corneal Thickness and Specular Microscopic Indices in Acute Unilateral
Anterior Uveitis.
AB - PURPOSE: To compare central corneal thickness (CCT) and specular microscopic
indices in active unilateral anterior uveitis with the same indices 1 week after
the initiation of treatment. METHODS: This study included 30 eyes with active
unilateral idiopathic anterior uveitis. CCT and specular microscopic indices were
compared before and after 1 week of the treatment with topical medications.
RESULTS: At the first visit, mean CCT was significantly greater in affected eyes
(mean +/- standard deviation: 514.0 +/- 23.0) than in fellow eyes (493.2 +/-
10.6) (p < 05). There was a significant decrease in CCT of the involved eye 1
week after start of treatment to 494.1 +/- 23.1 MUm (p < 05). No significant
differences were observed in the specular microscopic indices between the
affected and fellow eyes before treatment and 1 week after the treatment.
CONCLUSION: We found that eyes with unilateral active anterior uveitis had
increased CCT in comparison to their healthy fellow eyes without a change in the
specular microscopic indices.
PMID- 27182692
TI - Pancreatic duct guidewire placement for biliary cannulation for the prevention of
post-endoscopic retrograde cholangiopancreatography (ERCP) pancreatitis.
AB - BACKGROUND: Difficult cannulation is a risk factor for post-endoscopic retrograde
cholangiopancreatography (ERCP) pancreatitis (PEP). It has been postulated that
the pancreatic duct guidewire (PGW) technique may improve biliary cannulation
success and reduce the risk of PEP in people with difficult cannulation.
OBJECTIVES: To systematically review evidence from randomised controlled trials
(RCTs) assessing the effectiveness and safety of the PGW technique compared to
persistent conventional cannulation (CC) (contrast- or guidewire-assisted
cannulation) or other advanced techniques in people with difficult biliary
cannulation for the prevention of PEP. SEARCH METHODS: We searched the Cochrane
Central Register of Controlled Trials (CENTRAL), MEDLINE, EMBASE, and CINAHL
databases, major conference proceedings, and for ongoing trials on the
ClinicalTrials.gov and World Health Organization International Clinical Trials
Registry Platform (WHO ICTRP) up to March 2016, using the Cochrane Upper
Gastrointestinal and Pancreatic Diseases model with no language restrictions.
SELECTION CRITERIA: RCTs comparing the PGW technique versus persistent CC or
other advanced techniques in people undergoing ERCP with difficult biliary
cannulation. DATA COLLECTION AND ANALYSIS: Two review authors independently
conducted study selection, data extraction, and methodological quality
assessment. Using intention-to-treat analysis with random-effects models, we
combined dichotomous data to obtain risk ratios (RR) with 95% confidence
intervals (CI). We assessed heterogeneity using the Chi(2) test (P < 0.15) and
I(2) test (> 25%). To explore sources of heterogeneity, we conducted a priori
subgroup analyses according to trial design, use of pancreatic duct (PD) stent,
involvement of trainees in cannulation, publication type, and risk of bias. To
assess the robustness of our results, we carried out sensitivity analyses using
different summary statistics (RR versus odds ratio (OR)) and meta-analytic models
(fixed-effect versus random-effects). MAIN RESULTS: We included seven RCTs
comprising 577 participants. There was no significant heterogeneity among trials
for the outcome of PEP (P = 0.32; I(2) = 15%). The PGW technique significantly
increased PEP compared to other endoscopic techniques (RR 1.98, 95% CI 1.14 to
3.42; low-quality evidence). The number needed to treat for an additional harmful
outcome was 13 (95% CI 5 to 89). Among the three studies that compared the PGW
technique with persistent CC, the incidence of PEP was 13.5% for the PGW
technique and 8.7% for persistent CC (RR 1.58, 95% CI 0.83 to 3.01; low-quality
evidence). Among the two studies that compared the PGW technique with precut
sphincterotomy, the incidence of PEP was 29.8% in the PGW group versus 10.3% in
the precut group (RR 2.92, 95% CI 1.24 to 6.88; low-quality evidence). Among the
two studies that compared the PGW technique with PD stent placement, the
incidence of PEP was 11.7% for the PGW technique and 5.0% for PD stent placement
(RR 1.75, 95% CI 0.08 to 37.50; very low-quality evidence). There was no
significant difference in common bile duct (CBD) cannulation success with the
randomised technique (RR 1.04, 95% CI 0.87 to 1.24; low-quality evidence) or
overall CBD cannulation success (RR 1.04, 95% CI 0.91 to 1.18; low-quality
evidence) between the PGW technique and other endoscopic techniques. There was
also no statistically significant difference in the risk of other ERCP-related
complications (bleeding, perforation, cholangitis, and mortality). The results
were robust in sensitivity analyses. The overall quality of evidence for the
outcome of PEP was low or very low because of study limitations and imprecision.
AUTHORS' CONCLUSIONS: In people with difficult CBD cannulation, sole use of the
PGW technique appears to be associated with an increased risk of PEP.
Prophylactic PD stenting after use of the PGW technique may reduce the risk of
PEP. However, the PGW technique is not superior to persistent attempts with CC,
precut sphincterotomy, or PD stent in achieving CBD cannulation. The influence of
co-intervention in the form of rectal peri-procedural nonsteroidal anti
inflammatory drug administration is unclear.
PMID- 27182693
TI - Different types of implants for reconstructive breast surgery.
AB - BACKGROUND: Breast cancer is the most common cancer in women worldwide, and is a
leading cause of cancer death among women. Prophylactic or curative mastectomy is
often followed by breast reconstruction for which there are several surgical
approaches that use breast implants with which surgeons can restore the natural
feel, size and shape of the breast. OBJECTIVES: To assess the effects of
different types of breast implants on capsular contracture, surgical short- and
long-term complications, postoperative satisfaction level and quality of life in
women who have undergone reconstructive breast surgery after mastectomy. SEARCH
METHODS: We searched the Cochrane Breast Cancer Group's Specialised Register on
20 July 2015, MEDLINE (1985 to 20 July 2015), EMBASE (1985 to 20 July 2015) and
the Cochrane Central Register of Controlled Trials (CENTRAL; Issue 8, 2015). We
also searched the World Health Organization's International Clinical Trials
Registry Platform (WHO ICTRP) and ClinicalTrials.gov on 16 July 2015. SELECTION
CRITERIA: We included randomised controlled trials (RCTs) and quasi-RCTs that
compared different types of breast implants for reconstructive surgery. We
considered the following types of intervention: implant envelope surfaces -
texturised versus smooth; implant filler material - silicone versus saline, PVP
Hydrogel versus saline; implant shape - anatomical versus round; implant volume -
variable versus fixed; brands - different implant manufacturing companies and
implant generation (fifth versus previous generations). DATA COLLECTION AND
ANALYSIS: Two review authors independently assessed methodological quality and
extracted data. We used standard Cochrane methodological procedures. The quality
of the evidence was assessed using the Grading of Recommendations Assessment,
Development and Evaluation (GRADE) system. MAIN RESULTS: Five RCTs with 202
participants met the inclusion criteria. The women participants were typically in
their 50s, and the majority of them (about 82%) received reconstructive surgery
following breast cancer, while the others had reconstructive surgery after
prophylactic mastectomy. The studies were heterogenous in terms of implant
comparisons, which prevented us from pooling the data.The studies were judged as
being at an unclear risk of bias for most risk of bias items owing to poor
quality of reporting in the trial publications. Three of the five RCTs were
judged to be at high risk of attrition bias, and one at high risk of detection
bias.Textured silicone versus smooth silicone implants: textured implants were
associated with worse outcomes when compared to smooth implants (capsular
contracture: risk ratio (RR) 0.82, 95% CI 0.14 to 4.71; 1 study, 20 participants;
very low quality evidence; reintervention: RR 0.82, 95% CI 0.14 to 4.71; 1 study,
20 participants; very low quality evidence). No results in this comparison were
statistically significant.Silicone versus saline implants: saline-filled implants
performed better than silicone-filled implants for some outcomes; specifically,
they produced less severe capsular contracture (RR 3.25, 95% CI 1.24 to 8.51; 1
study, 60 participants; very low quality evidence) and increased patient
satisfaction (RR 0.60, 95% CI 0.41 to 0.88; 1 study, 58 participants; very low
quality evidence). However reintervention was significantly more frequent in the
saline-filled implant group than in the silicone-filled group (OR 0.08, 95% CI
0.01 to 0.43; 1 study, 60 participants; very low quality evidence).Poly(N-vinyl-2
pyrrolidone) hydrogel-filled (PVP-hydrogel) versus saline-filled implants: PVP
hydrogel-filled implants were associated with worse outcomes when compared to
saline-filled implants (capsular contracture: RR 3.50, 95% CI 0.83 to 14.83; 1
study, 40 participants; very low quality evidence; short-term complications: RR
2.10, 95% CI 0.21 to 21.39; 1 study, 41 participants; very low quality
evidence).Anatomical versus round implants: anatomical implants were associated
with worse outcomes than round implants (capsular contracture: RR 2.00, 95% CI
0.20 to 20.15; 1 study, 36 participants; very low quality evidence; short-term
complications: RR 2.00, 95% CI 0.42 to 9.58; 1 study, 36 participants; very low
quality evidence; reintervention: RR 1.50, 95% CI 0.51 to 4.43; 1 study, 36
participants; very low quality evidence). No results in this comparison were
statistically significant.Variable-volume versus fixed-volume implants: data
about one-stage reconstruction using variable-volume implants were compared with
data about fixed-volume implants positioned during the second surgical procedure
of two-stage reconstructions. Fixed-volume implant reconstructions were possibly
associated with a greater number of women reporting that their reconstruction
corresponded with expected results (RR 0.25, 95% CI 0.10 to 0.62; 1 study, 40
participants; very low quality evidence) and fewer reinterventions (RR 7.00, 95%
CI 1.82 to 26.89; 1 study, 40 participants; very low quality evidence) when
compared to variable-volume implants. A higher patient satisfaction level (rated
from 1 to 6, with 1 being very bad and 6 being very good) was found with the
fixed-volume implants for overall aesthetic result (mean difference (MD) -1.10,
95% CI -1.59 to -0.61; 1 study, 40 participants; very low quality evidence).There
were no studies that examined the effects of recent (fifth) generation silicone
implants versus previous generations or different implant manufacturing
companies. AUTHORS' CONCLUSIONS: Despite the central role of breast
reconstruction in women with breast cancer, the best implants to use in
reconstructive surgery have been studied rarely in the context of RCTs.
Furthermore the quality of these studies and the overall evidence they provide is
largely unsatisfactory. Some of our results can be interpreted as early evidence
of potentially large differences between different surgical approaches, which
should be confirmed in new high-quality RCTs that include a larger number of
women. These days - even after a few million women have had breasts reconstructed
- surgeons cannot inform women about the risks and complications of different
implant-based breast reconstructive options on the basis of results derived from
RCTs.
PMID- 27182695
TI - PADI4 Polymorphisms in Iranian Patients with Rheumatoid Arthritis.
AB - AIM: Rheumatoid arthritis (RA) is a chronic autoimmune disease which affects many
tissues and organs, but majorly attacks synovial joints. Beyond the major
histocompatibility complex (MHC) genes, Peptidyl arginine deiminase type IV
(PADI4) has been suggested to be associated with RA susceptibility. Evidence
regarding the association of PADI4 single nucleotide polymorphisms (SNP) and RA
is controversial, thus we conducted this large-scale case-control study to assess
the association of rs874881 and rs11203367 PADI4 SNPs with susceptibility to RA.
MATERIALS AND METHODS: Study population (including 665 RA patients and 392 sex-,
age-, and ethnicity-matched healthy controls) were enrolled from Rheumatology
Research Center of Tehran University of Medical Sciences, Shariati hospital.
RESULTS: Allele or genotype frequencies of the investigated PADI4 SNPs were not
different between RA patients and healthy subjects; genotypes (expressed as odds
ratios) of rs11203367 [TT 0.98 (0.68-1.4), CT 0.93 (0.71-1.24), P value > 0.05]
and rs874881 [CC 1.02 (0.71-1.46), CG (0.70-1.39), p value > 0.05] did not affect
RA risk. Disease severity score DAS28, RF and anti-CCP antibodies of RA patients
were not different between various genotypes of PADI4 SNPs. CONCLUSIONS: These
findings were similar for haplotypes and diplotypes of rs11203367 and rs874881
PADI4 SNPs. In conclusion, in this case-control study with sufficient sample size
to detect associations, we observed that PADI4 SNPS rs11203367 and rs874881 do
not significantly determine RA susceptibility; which is in line with studies of
some European populations. It seems RA pathogenesis might be different among
various ethnicities, which encourage us to consider these differences in
developing therapeutic interventions for management of patients.
PMID- 27182694
TI - Health-related quality of life before and after head and neck squamous cell
carcinoma: Analysis of the Surveillance, Epidemiology, and End Results-Medicare
Health Outcomes Survey linkage.
AB - BACKGROUND: Understanding health-related quality of life (HRQOL) is crucial to
providing high-quality survivorship care for patients with head and neck squamous
cell carcinoma (HNSCC). Trends in and prognostic significance of HRQOL before and
after HNSCC have not been well described. METHODS: HRQOL for older individuals
with HNSCC was examined using the linked Surveillance, Epidemiology, and End
Results-Medicare Health Outcomes Survey database. Surveys assessing HRQOL from 5
years prediagnosis to 10 years postdiagnosis were included. HRQOL over time was
modeled using multilevel linear regression with restricted cubic splines and was
reported as either total HRQOL or change in HRQOL (denoted Delta). The
association of prediagnosis HRQOL with survival was examined. RESULTS: In total,
1653 individuals were included; of these, 61% completed 1 survey, and 39%
completed multiple surveys. Overall HRQOL decreased progressively until 13 months
postdiagnosis, then recovered toward baseline between 2 and 5 years. However,
after stratification by survival group, the postdiagnosis recovery was not
observed. Individuals with shorter survival had lower HRQOL prediagnosis (<2-year
survivors, 87.3; > 5-year survivors, 96.4; P = .004) with a steeper decline in
HRQOL during diagnosis and treatment (<2-year survivors: Delta, -16.6; 95%
confidence interval [CI], -23.8, -9.4; > 5-year survivors: Delta, -0.9; 95% CI,
1.8, 0.08). Radiotherapy and advanced stage were associated with greater declines
in HRQOL during diagnosis and treatment (P < .001). Higher prediagnosis HRQOL was
independently associated with improved overall survival (adjusted hazard ratio
for 10-point increase, 0.91; 95% CI, 0.85-0.97). CONCLUSIONS: HRQOL declines
before and after HNSCC, whereas any observed posttreatment recovery is likely an
artifact of shorter survival among individuals with the lowest HRQOL. The
prognostic implication of prediagnosis HRQOL may inform patient counseling.
Cancer 2016;122:1861-70. (c) 2016 American Cancer Society.
PMID- 27182696
TI - Wells Rule and d-Dimer Testing to Rule Out Pulmonary Embolism: A Systematic
Review and Individual-Patient Data Meta-analysis.
AB - BACKGROUND: The performance of different diagnostic strategies for pulmonary
embolism (PE) in patient subgroups is unclear. PURPOSE: To evaluate and compare
the efficiency and safety of the Wells rule with fixed or age-adjusted d-dimer
testing overall and in inpatients and persons with cancer, chronic obstructive
pulmonary disease, previous venous thromboembolism, delayed presentation, and age
75 years or older. DATA SOURCES: MEDLINE and EMBASE from 1 January 1988 to 13
February 2016. STUDY SELECTION: 6 prospective studies in which the diagnostic
management of PE was guided by the dichotomized Wells rule and quantitative d
dimer testing. DATA EXTRACTION: Individual data of 7268 patients; risk of bias
assessed by 2 investigators with the QUADAS-2 (Quality Assessment of Diagnostic
Accuracy Studies 2) tool. DATA SYNTHESIS: The proportion of patients in whom
imaging could be withheld based on a "PE-unlikely" Wells score and a negative d
dimer test result (efficiency) was estimated using fixed (<=500 ug/L) and age
adjusted (age * 10 ug/L in patients aged >50 years) d-dimer thresholds; their 3
month incidence of symptomatic venous thromboembolism (failure rate) was also
estimated. Overall, efficiency increased from 28% to 33% when the age-adjusted
(instead of the fixed) d-dimer threshold was applied. This increase was more
prominent in elderly patients (12%) but less so in inpatients (2.6%). The failure
rate of age-adjusted d-dimer testing was less than 3% in all examined subgroups.
LIMITATION: Post hoc analysis, between-study differences in patient
characteristics, use of various d-dimer assays, and limited statistical power to
assess failure rate. CONCLUSION: Age-adjusted d-dimer testing is associated with
a 5% absolute increase in the proportion of patients with suspected PE in whom
imaging can be safely withheld compared with fixed d-dimer testing. This strategy
seems safe across different high-risk subgroups, but its efficiency varies.
PRIMARY FUNDING SOURCE: None.
PMID- 27182697
TI - Epidemiology of Clostridium difficile Infection-Associated Reactive Arthritis in
Children: An Underdiagnosed, Potentially Morbid Condition.
AB - IMPORTANCE: The incidence of Clostridium difficile infection has increased among
children. The epidemiology of pediatric C difficile infection-associated reactive
arthritis is poorly understood. OBJECTIVE: To characterize the incidence,
recognition, and distinguishing clinical features of pediatric C difficile
infection-associated reactive arthritis among children with C difficile
infection. DESIGN, SETTING, AND PARTICIPANTS: In this cohort and nested case
control study using electronic health records from January 1, 2004, to December
31, 2013, across 3 geographically diverse pediatric health care networks, we
screened for reactive arthritis among 148 children between ages 2 and 21 years
with diagnostic or procedural codes suggesting musculoskeletal disease associated
with C difficile diagnosis or positive testing. We identified 26 cases with acute
arthritis or tenosynovitis within 4 weeks before to 12 weeks after confirmed C
difficile infection with (1) no alternative explanation for arthritis and (2)
negative synovial cultures (if obtained). Network-matched C difficile-infected
controls without arthritis were randomly selected at the time of cohort member C
difficile infections. MAIN OUTCOMES AND MEASURES: Incidence of C difficile
infection-associated reactive arthritis was calculated based on (1) pediatric
source population and (2) children with C difficile infection. Characteristics of
cases and controls were compared using conditional logistic regression. RESULTS:
Based on the cases identified within the source population of the 3 hospital
networks, we estimated that C difficile infection-associated reactive arthritis
incidence was 5.0 cases per million person-years (95% CI, 3.0-7.8). Reactive
arthritis affected 1.4% of children with C difficile infection yearly (95% CI
0.8%-2.3%). Joint symptoms began a median of 10.5 days after initial
gastrointestinal symptoms, often accompanied by fever (n = 15 [58%]) or rash (n =
14 [54%]). Only 35% of cases of C difficile infection-associated reactive
arthritis were correctly diagnosed by treating health care professionals (range
across centers, 0%-64%). Five affected children (19%) were treated for presumed
culture-negative septic hip arthritis despite having prior postantibiotic
diarrhea and/or other involved joints. Compared with controls, cases of C
difficile infection-associated reactive arthritis were less likely to have
underlying chronic conditions (odds ratio [OR], 0.3; 95% CI, 0.1-0.8). Although
all cases had community-onset C difficile infection and fewer comorbidities, they
were more likely to be treated in emergency departments and/or hospitalized (OR,
7.1; 95% CI, 1.6-31.7). CONCLUSIONS AND RELEVANCE: C difficile infection
associated reactive arthritis is an underdiagnosed, potentially morbid reactive
arthritis associated with C difficile infection occasionally misdiagnosed as
septic arthritis. Given the rising incidence of pediatric C difficile infections,
better recognition of its associated reactive arthritis is needed.
PMID- 27182698
TI - Degradation Parameters from Pulse-Chase Experiments.
AB - Pulse-chase experiments are often used to study the degradation of macromolecules
such as proteins or mRNA. Considerations for the choice of pulse length include
the toxicity of the pulse to the cell and maximization of labeling. In the
general case of non-exponential decay, varying the length of the pulse results in
decay patterns that look different. Analysis of these patterns without
consideration to pulse length would yield incorrect degradation parameters. Here
we propose a method that constructively includes pulse length in the analysis of
decay patterns and extracts the parameters of the underlying degradation process.
We also show how to extract decay parameters reliably from measurements taken
during the pulse phase.
PMID- 27182699
TI - A Toolbox for Quantitative Gene Expression in Varroa destructor: RNA Degradation
in Field Samples and Systematic Analysis of Reference Gene Stability.
AB - Varroa destructor is the major pest of Apis mellifera and contributes to the
global honey bee health crisis threatening food security. Developing new control
strategies to combat Varroa will require the application of molecular biology,
including gene expression studies by quantitative real-time reverse transcription
polymerase chain reaction (qRT-PCR). Both high quality RNA samples and suitable
stable internal reference genes are required for accurate gene expression
studies. In this study, ten candidate genes (succinate dehydrogenase (SDHA), NADH
dehydrogenase (NADH), large ribsosmal subunit, TATA-binding protein,
glyceraldehyde-3-phosphate dehydrogenase, 18S rRNA (18S), heat-shock protein 90
(HSP90), cyclophilin, alpha-tubulin, actin), were evaluated for their suitability
as normalization genes using the geNorm, Normfinder, BestKeeper, and comparative
DeltaCq algorithims. Our study proposes the use of no more than two of the four
most stable reference genes (NADH, 18S, SDHA and HSP90) in Varroa gene expression
studies. These four genes remain stable in phoretic and reproductive stage Varroa
and are unaffected by Deformed wing virus load. When used for determining changes
in vitellogenin gene expression, the signal-to-noise ratio (SNR) for the
relatively unstable genes actin and alpha-tubulin was much lower than for the
stable gene combinations (NADH + HSP90 +18S; NADH + HSP90; or NADH). Using both
electropherograms and RT-qPCR for short and long amplicons as quality controls,
we demonstrate that high quality RNA can be recovered from Varroa up to 10 days
later stored at ambient temperature if collected into RNAlater and provided the
body is pierced. This protocol allows the exchange of Varroa samples between
international collaborators and field sample collectors without requiring frozen
collection or shipping. Our results make important contributions to gene
expression studies in Varroa by proposing a validated sampling protocol to obtain
high quality Varroa RNA and the validation of suitable reference genes for
expression studies in this globally important pest.
PMID- 27182701
TI - The Power of Heterogeneity: Parameter Relationships from Distributions.
AB - Complex scientific data is becoming the norm, many disciplines are growing
immensely data-rich, and higher-dimensional measurements are performed to resolve
complex relationships between parameters. Inherently multi-dimensional
measurements can directly provide information on both the distributions of
individual parameters and the relationships between them, such as in nuclear
magnetic resonance and optical spectroscopy. However, when data originates from
different measurements and comes in different forms, resolving parameter
relationships is a matter of data analysis rather than experiment. We present a
method for resolving relationships between parameters that are distributed
individually and also correlated. In two case studies, we model the relationships
between diameter and luminescence properties of quantum dots and the relationship
between molecular weight and diffusion coefficient for polymers. Although it is
expected that resolving complicated correlated relationships require inherently
multi-dimensional measurements, our method constitutes a useful contribution to
the modelling of quantitative relationships between correlated parameters and
measurements. We emphasise the general applicability of the method in fields
where heterogeneity and complex distributions of parameters are obstacles to
scientific insight.
PMID- 27182700
TI - Rapid Acquisition of Linezolid Resistance in Methicillin-Resistant Staphylococcus
aureus: Role of Hypermutation and Homologous Recombination.
AB - BACKGROUND: We previously reported the case of a 64-year-old man with
mediastinitis caused by Staphylococcus aureus in which the infecting bacterium
acquired linezolid resistance after only 14 days treatment with linezolid. We
therefore investigated relevant clinical isolates for possible mechanisms of this
rapid acquisition of linezolid resistance. METHODS: Using clinical S. aureus
isolates, we assessed the in vitro mutation rate and performed stepwise selection
for linezolid resistance. To investigate homologous recombination, sequences were
determined for each of the 23S ribosomal RNA (23S rRNA) loci; analyzed sequences
spanned the entirety of each 23S rRNA gene, including domain V, as well as the
16S-23S intergenic spacer regions. We additionally performed next-generation
sequencing on clinical strains to identify single-nucleotide polymorphisms
compared to the N315 genome. RESULTS: Strains isolated from the patient prior to
linezolid exposure (M5-M7) showed higher-level linezolid resistance than N315,
and the pre-exposure strain (M2) exhibited more rapid acquisition of linezolid
resistance than did N315. However, the mutation rates of these and
contemporaneous clinical isolates were similar to those of N315, and the isolates
did not exhibit any mutations in hypermutation-related genes. Sequences of the
23S rRNA genes and 16S-23S intergenic spacer regions were identical among the pre
and post-exposure clinical strains. Notably, all of the pre-exposure isolates
harbored a recQ missense mutation (Glu69Asp) with respect to N315; such a lesion
may have affected short sequence recombination (facilitating, for example,
recombination among rrn loci). We hypothesize that this mechanism contributed to
rapid acquisition of linezolid resistance. CONCLUSIONS: Hypermutation and
homologous recombination of the ribosomal RNA genes, including 23S rRNA genes,
appear not to have been sources of the accelerated acquisition of linezolid
resistance observed in our clinical case. Increased frequency of short sequence
recombination may have resulted from a recQ variant in the infecting organism.
PMID- 27182702
TI - Rethinking Dosing Regimen Selection of Piperaquine for Malaria Chemoprevention: A
Simulation Study.
AB - BACKGROUND: The combination of short-acting dihydroartemisinin and long-acting
piperaquine (DP) is among the first-line therapies for the treatment of
uncomplicated Plasmodium falciparum malaria. Population pharmacokinetic models of
piperaquine (PQ) based on data from acute treatment of young children can be used
to predict exposure profiles of piperaquine under different DP chemoprevention
regimens. The purpose of our study was to make such predictions in young
children. METHODS: Based on a prior population pharmacokinetic model of PQ in
young Ugandan children, we simulated capillary plasma concentration-time profiles
(including their variability) of candidate chemoprevention regimens for a
reference population of 1-2 year olds weighing at least 11 kg. Candidate regimens
that were tested included monthly administration of standard therapeutic doses,
bimonthly dosing, and weekly dosing (with and without a loading dose). RESULTS:
Once daily doses of 320 mg for three days (960 mg total) at the beginning of each
month are predicted to achieve an average steady-state trough capillary
piperaquine concentration of 35 ng/mL, with 60% achieving a level of 30 ng/mL or
higher. In contrast, weekly dosing of 320 mg (i.e., 33% higher amount per month)
is predicted to approximately double the average steady-state trough
concentration, increase the percent of children predicted to achieve 30 ng/mL or
higher (94%), while at the same time lowering peak concentrations. Exposure at
steady-state, reached at approximately 3 months of multiple dosing, is expected
to be approximately 2-fold higher than exposure following initial dosing, due to
accumulation. A loading dose improves early exposure, thereby reducing the risk
of breakthrough infections at the initiation of chemoprevention. CONCLUSIONS:
Once weekly chemoprevention of DP predicts favourable exposures with respect to
both trough and peak concentrations. These predictions need to be verified, as
well as safety evaluated, in field-based clinical studies of young children.
Simulations based on prior knowledge provide a systematic information-driven
approach to evaluate candidate DP chemopreventive regimens for future trial
designs.
PMID- 27182703
TI - Cathepsin B-Deficient Mice Resolve Leishmania major Inflammation Faster in a T
Cell-Dependent Manner.
AB - A critical role for intracellular TLR9 has been described in recognition and host
resistance to Leishmania parasites. As TLR9 requires endolysosomal proteolytic
cleavage to achieve signaling functionality, we investigated the contribution of
different proteases like asparagine endopeptidase (AEP) or cysteine protease
cathepsins B (CatB), L (CatL) and S (CatS) to host resistance during Leishmania
major (L. major) infection in C57BL/6 (WT) mice and whether they would impact on
TLR9 signaling. Unlike TLR9-/-, which are more susceptible to infection, AEP-/-,
CatL-/- and CatS-/- mice are as resistant to L. major infection as WT mice,
suggesting that these proteases are not individually involved in TLR9 processing.
Interestingly, we observed that CatB-/- mice resolve L. major lesions
significantly faster than WT mice, however we did not find evidence for an
involvement of CatB on either TLR9-dependent or independent cytokine responses of
dendritic cells and macrophages or in the innate immune response to L. major
infection. We also found no difference in antigen presenting capacity. We
observed a more precocious development of T helper 1 responses accompanied by a
faster decline of inflammation, resulting in resolution of footpad inflammation,
reduced IFNgamma levels and decreased parasite burden. Adoptive transfer
experiments into alymphoid RAG2-/-gammac-/- mice allowed us to identify CD3+ T
cells as responsible for the immune advantage of CatB-/- mice towards L. major.
In vitro data confirmed the T cell intrinsic differences between CatB-/- mice and
WT. Our study brings forth a yet unappreciated role for CatB in regulating T cell
responses during L. major infection.
PMID- 27182704
TI - Effect of Antiplatelet Therapy on Acute Respiratory Distress Syndrome and
Mortality in Critically Ill Patients: A Meta-Analysis.
AB - BACKGROUND: Antiplatelet agents are commonly used for cardiovascular diseases,
but their pleiotropic effects in critically ill patients are controversial. We
therefore performed a meta-analysis of cohort studies to investigate the effect
of antiplatelet therapy in the critically ill. METHODS: Nine cohort studies,
retrieved from PubMed and Embase before November 2015, involving 14,612
critically ill patients and 4765 cases of antiplatelet users, were meta-analysed.
The main outcome was hospital or 30-day mortality. Secondary outcome was acute
respiratory distress syndrome (ARDS) or acute lung injury (ALI). Random- or fixed
effect models were taken for quantitative synthesis of the data. RESULTS:
Antiplatelet therapy was associated with decreased mortality (odds ratio (OR)
0.61; 95% confidence interval (CI), 0.52-0.71; I2 = 0%; P <0. 001) and ARDS/ALI
(OR 0.64; 95% CI, 0.50-0.82; I2 = 0%; P <0. 001). In every stratum of subgroups,
similar findings on mortality reduction were consistently observed in critically
ill patients. CONCLUSIONS: Antiplatelet therapy is associated with reduced
mortality and lower incidence of ARDS/ALI in critically ill patients,
particularly those with predisposing conditions such as high-risk surgery,
trauma, pneumonia, and sepsis. However, it remains unclear whether similar
findings can be observed in the unselected and broad population with critical
illness.
PMID- 27182705
TI - Impact of Wild Loci on the Allergenic Potential of Cultivated Tomato Fruits.
AB - Tomato (Solanum lycopersicum) is one of the most extensively consumed vegetables
but, unfortunately, it is also able to induce allergic reactions. In the past, it
has been shown that the choice of tomato cultivar significantly influenced the
allergic reaction of tomato allergic subjects. In this study we investigated the
allergenic potential of the cultivated tomato line M82 and of two selected lines
carrying small chromosome regions from the wild species Solanum pennellii (i.e.
IL7-3 and IL12-4). We evaluated the positive interactions of IgEs of allergic
subjects in order to investigate the different allergenic potential of the lines
under investigation. We used proteomic analyses in order to identify putative
tomato allergens. In addition, bioinformatic and transcriptomic approaches were
applied in order to analyse the structure and the expression profiles of the
identified allergen-encoding genes. These analyses demonstrated that fruits
harvested from the two selected introgression lines harbour a different
allergenic potential as those from the cultivated genotype M82. The different
allergenicity found within the three lines was mostly due to differences in the
IgE recognition of a polygalacturonase enzyme (46 kDa), one of the major tomato
allergens, and of a pectin methylesterase (34 kDa); both the proteins were more
immunoreactive in IL7-3 compared to IL12-4 and M82. The observed differences in
the allergenic potential were mostly due to line-dependent translational control
or post-translational modifications of the allergens. We demonstrated, for the
first time, that the introgression from a wild species (S. pennellii) in the
genomic background of a cultivated tomato line influences the allergenic
properties of the fruits. Our findings could support the isolation of favorable
wild loci promoting low allergenic potential in tomato.
PMID- 27182707
TI - My memories are important to me: Changes in autobiographical memory in
amyotrophic lateral sclerosis.
AB - OBJECTIVE: The loss of autobiographical memories (ABM) is a pervasive feature of
neurodegenerative diseases. Studies to date have not investigated ABM retrieval
in amyotrophic lateral sclerosis (ALS), a multisystem disorder that may be
associated with cognitive dysfunction and dementia. METHOD: The integrity of
autobiographical memory was evaluated in 22 ALS patients compared with 28 age
matched controls using the Autobiographical Interview (AI), a semistructured
interview assessing autobiographical events from discrete time periods across the
life span. RESULTS: ABM retrieval was preserved in ALS and remained rich in
detail for personal events in recent (last 12-months) and remote (teenage years)
time epochs. ABM retrieval was positively correlated with months since ALS
symptom onset, with a greater number of contextual details being recalled as ALS
progressed. A shift in how ABMs were perceived in ALS patients became apparent,
with more recurrent reflection of recent life, which was also weighted with
greater personal importance. CONCLUSION: The preservation of ABM in ALS has
clinical implications for the use of life review as a therapeutic tool in a
multidisciplinary care setting. (PsycINFO Database Record
PMID- 27182706
TI - Exome Sequencing Identifies a Novel LMNA Splice-Site Mutation and Multigenic
Heterozygosity of Potential Modifiers in a Family with Sick Sinus Syndrome,
Dilated Cardiomyopathy, and Sudden Cardiac Death.
AB - The goals are to understand the primary genetic mechanisms that cause Sick Sinus
Syndrome and to identify potential modifiers that may result in intrafamilial
variability within a multigenerational family. The proband is a 63-year-old male
with a family history of individuals (>10) with sinus node dysfunction,
ventricular arrhythmia, cardiomyopathy, heart failure, and sudden death. We used
exome sequencing of a single individual to identify a novel LMNA mutation and
demonstrated the importance of Sanger validation and family studies when
evaluating candidates. After initial single-gene studies were negative, we
conducted exome sequencing for the proband which produced 9 gigabases of
sequencing data. Bioinformatics analysis showed 94% of the reads mapped to the
reference and identified 128,563 unique variants with 108,795 (85%) located in
16,319 genes of 19,056 target genes. We discovered multiple variants in known
arrhythmia, cardiomyopathy, or ion channel associated genes that may serve as
potential modifiers in disease expression. To identify candidate mutations, we
focused on ~2,000 variants located in 237 genes of 283 known arrhythmia,
cardiomyopathy, or ion channel associated genes. We filtered the candidates to 41
variants in 33 genes using zygosity, protein impact, database searches, and
clinical association. Only 21 of 41 (51%) variants were validated by Sanger
sequencing. We selected nine confirmed variants with minor allele frequencies <1%
for family studies. The results identified LMNA c.357-2A>G, a novel heterozygous
splice-site mutation as the primary mutation with rare or novel variants in HCN4,
MYBPC3, PKP4, TMPO, TTN, DMPK and KCNJ10 as potential modifiers and a mechanism
consistent with haploinsufficiency.
PMID- 27182709
TI - Neuronal correlates of serial position performance in amnestic mild cognitive
impairment.
AB - OBJECTIVES: Delayed recall of the first words of a list-the primacy position-is
thought to be particularly dependent on intact memory consolidation. Hippocampal
volume has been suggested as the primary neuronal correlate of delayed primacy
recall in cognitively normal elderly individuals. Here, we studied the
association of hippocampal volume with primacy recall in individuals with
amnestic mild cognitive impairment (aMCI). METHOD: We investigated serial
position performance in 88 subjects with aMCI using a 16-word list (the
California Verbal Learning Test [CVLT]). Primacy and recency performance were
measured during learning and delayed recall. Hippocampal volumes were
automatically determined from structural MRI scans. We conducted regression
analyses with bilateral hippocampal volumes as predictors and serial position
indices as outcomes. RESULTS: After controlling for age, gender, and total
intracranial volume, bilateral hippocampal volume was not associated with primacy
recall either during learning or delayed recall. Primacy performance during
learning was associated with the right inferior and middle temporal gyrus as well
as the right inferior parietal cortex and supramerginal gyrus. During delayed
recall, primacy performance was related to the bilateral supramarginal gyri.
CONCLUSIONS: Our findings suggest a reduced primacy effect in aMCI already during
learning, contrasting previous findings in normal cognitive aging. This might
indicate impaired encoding and consolidation processes at an early stage of
episodic memory acquisition. Furthermore, our data indicate that hippocampal
volume may not be a relevant determinant of residual primacy performance in the
stage of aMCI, which may rather depend on temporal and parietal neocortical
networks. (PsycINFO Database Record
PMID- 27182708
TI - Adaptive functioning following pediatric traumatic brain injury: Relationship to
executive function and processing speed.
AB - OBJECTIVE: Pediatric traumatic brain injury (TBI) may affect children's ability
to perform everyday tasks (i.e., adaptive functioning). Guided by the American
Association for Intellectual and Developmental Disabilities (AAIDD) model, we
explored the association between TBI and adaptive functioning at increasing
levels of specificity (global, AAIDD domains, and subscales). We also examined
the contributions of executive function and processing speed as mediators of
TBI's effects on adaptive functioning. METHOD: Children (ages 8-13) with severe
TBI (STBI; n = 19), mild-moderate TBI (MTBI; n = 50), or orthopedic injury (OI; n
= 60) completed measures of executive function (TEA-Ch) and processing speed
(WISC-IV) an average of 2.7 years postinjury (SD = 1.2; range: 1-5.3). Parents
rated children's adaptive functioning (ABAS-II, BASC-2, CASP). RESULTS: STBI had
lower global adaptive functioning (eta2 = .04-.08) than the MTBI and OI groups,
which typically did not differ. Deficits in the STBI group were particularly
evident in the social domain, with specific deficits in social participation,
leisure, and social adjustment (eta2 = .06-.09). Jointly, executive function and
processing speed were mediators of STBI's effects on global adaptive functioning
and in conceptual and social domains. In the STBI group, executive function
mediated social functioning, and processing speed mediated social participation.
CONCLUSIONS: Children with STBI experience deficits in adaptive functioning,
particularly in social adjustment, with less pronounced deficits in conceptual
and practical skills. Executive function and processing speed may mediate the
effects of STBI on adaptive functioning. Targeting adaptive functioning and
associated cognitive deficits for intervention may enhance quality of life for
pediatric TBI survivors. (PsycINFO Database Record
PMID- 27182710
TI - A meta-analysis of working memory impairments in survivors of moderate-to-severe
traumatic brain injury.
AB - OBJECTIVES: To establish the magnitude of deficits in working memory (WM) and
short-term memory (STM) in those with moderate-to-severe traumatic brain injury
(TBI) relative to age-matched, healthy controls and to explore the moderating
effects of time since injury and age at injury on these impairments. METHOD:
Twenty-one studies that compared the WM and/or STM abilities of individuals with
at least a moderate TBI relative to healthy controls were included in a random
effects meta-analysis. Measures used to examine memory performance were
categorized by modality (visuospatial, verbal) and memory system (WM, STM).
RESULTS: Individuals with TBI had significant deficits in verbal STM (Cohen's d =
.41), visuospatial WM (Cohen's d = .69), and verbal WM (Cohen's d = .37) relative
to controls. Greater decrements in verbal STM and verbal WM skills were
associated with longer time postinjury. Larger deficits were observed in verbal
WM abilities in individuals with older age at injury. CONCLUSION: Evidence for WM
impairments following TBI is consistent with previous research. Larger verbal STM
and verbal WM deficits were related to a longer time postinjury, suggesting that
these aspects of memory do not "recover" over time and instead, individuals might
show increased rates of cognitive decline. Age at injury was associated with the
severity of verbal WM impairments, with larger deficits evident for injuries that
occurred later in life. Further research needs to chart the long-term effects of
TBI on WM and to compare the effects of injury on verbal relative to visuospatial
memory. (PsycINFO Database Record
PMID- 27182711
TI - Recent Shift in Climate Relationship Enables Prediction of the Timing of Bird
Breeding.
AB - Large-scale climate processes influence many aspects of ecology including
breeding phenology, reproductive success and survival across a wide range of
taxa. Some effects are direct, for example, in temperate-zone birds, ambient
temperature is an important cue enabling breeding effort to coincide with maximum
food availability, and earlier breeding in response to warmer springs has been
documented in many species. In other cases, time-lags of up to several years in
ecological responses have been reported, with effects mediated through biotic
mechanisms such as growth rates or abundance of food supplies. Here we use 23
years of data for a temperate woodland bird species, the great tit (Parus major),
breeding in deciduous woodland in eastern England to demonstrate a time-lagged
linear relationship between the on-set of egg laying and the winter index of the
North Atlantic Oscillation such that timing can be predicted from the winter
index for the previous year. Thus the timing of bird breeding (and, by inference,
the timing of spring events in general) can be predicted one year in advance. We
also show that the relationship with the winter index appears to arise through an
abiotic time-lag with local spring warmth in our study area. Examining this link
between local conditions and larger-scale processes in the longer-term showed
that, in the past, significant relationships with the immediately preceding
winter index were more common than those with the time-lagged index, and
especially so from the late 1930s to the early 1970s. However, from the mid 1970s
onwards, the time-lagged relationship has become the most significant, suggesting
a recent change in climate patterns. The strength of the current time-lagged
relationship suggests that it might have relevance for other temperature
dependent ecological relationships.
PMID- 27182712
TI - Chronic Foot Pain due to Pachyonychia Congenita in a Pediatric Patient: A
Successful Management Strategy.
AB - We report the case of an 11-year-old girl who presented to our multidisciplinary
pain center with the chief complaint of chronic bilateral foot pain because of a
rare congenital keratin disorder. This patient had been diagnosed with
pachyonychia congenita, an extremely rare genetic disorder primarily affecting
the skin and nails. The child had bilateral foot pain for years because of the
characteristic blisters and calluses on the soles of her feet. Chronic pain was
negatively impacting her quality of life; she was severely limited in her
activities of daily living secondary to pain. Furthermore, she reported
absenteeism from school, lack of social activities, and frequent nighttime
awakenings. We discuss the successful management of her chronic foot pain using a
multimodal, multidisciplinary approach.
PMID- 27182713
TI - Nanoparticles Encapsulated with LL37 and Serpin A1 Promotes Wound Healing and
Synergistically Enhances Antibacterial Activity.
AB - Wound care is a serious healthcare concern, often complicated by prolonged
inflammation and bacterial infection, which contributes significantly to
mortality and morbidity. Agents commonly used to treat chronic wound infections
are limited due to toxicity of the therapy, multifactorial etiology of chronic
wounds, deep skin infections, lack of sustained controlled delivery of drugs, and
development of drug resistance. LL37 is an endogenous host defense peptide
possessing antimicrobial activity and is involved in the modulation of wound
healing. Serpin A1 (A1) is an elastase inhibitor and has been shown to
demonstrate wound-healing properties. Hence, our goal was to develop a topical
combination nanomedicine for the controlled sustained delivery of LL37 and A1 at
precise synergistic ratio combinations that will significantly promote wound
closure, reduce bacterial contamination, and enhance anti-inflammatory activity.
We have successfully developed the first solid lipid nanoparticle (SLN)
formulation that can simultaneously deliver LL37 and A1 at specific ratios
resulting in accelerated wound healing by promoting wound closure in BJ
fibroblast cells and keratinocytes as well as synergistically enhancing
antibacterial activity against S. aureus and E. coli in comparison to LL37 or A1
alone.
PMID- 27182714
TI - Highly Reversible Zinc-Ion Intercalation into Chevrel Phase Mo6S8 Nanocubes and
Applications for Advanced Zinc-Ion Batteries.
AB - This work describes the synthesis of Chevrel phase Mo6S8 nanocubes and its
application as the anode material for rechargeable Zn-ion batteries. Mo6S8 can
host Zn(2+) ions reversibly in both aqueous and nonaqueous electrolytes with
specific capacities around 90 mAh/g, and exhibited remarkable intercalation
kinetics and cyclic stability. In addition, we assembled full cells by
integrating Mo6S8 anodes with zinc-polyiodide (I(-)/I3(-))-based catholytes, and
demonstrated that such full cells were also able to deliver outstanding rate
performance and cyclic stability. This first demonstration of a zinc
intercalating anode could inspire the design of advanced Zn-ion batteries.
PMID- 27182715
TI - Reporter Immobilization Assay (REIA) for Bioconjugating Reactions.
AB - Enzymes able to ligate biomolecules are emerging tools to generate site-specific
bioconjugates. In this study we present a detection and screening method for
bioconjugating enzymes which overcomes limitations of analytical methods such as
HPLC or MS. These techniques are experimentally demanding and often limited in
sensitivity and throughput compared to enzymatic assays. The principle of this
Reporter Immobilization Assay (REIA) is the ligation of a reporter enzyme to a
peptide carrying an affinity handle, which can be utilized for its isolation. The
REIA system exhibits a high sensitivity with a linear range down to 1 MUg/mL (55
nM), a variation coefficient of 6.5%, and can be performed cost-efficiently in 96
well microtiter plate format. The application of this assay allowed the
characterization of a thiol transpeptidase sortase from S. aureus which is an
important drug target and a biotechnological tool for ligation and modification
of proteins. Thereby, yet-undetectable promiscuous activity of sortase could be
detected, e.g., the acceptance of alanine as nucleophile. In addition, we were
able to provide evidence that the REIA is suitable for high throughput screening
of enzyme libraries using crude cellular extract with a throughput of 600 samples
per hour.
PMID- 27182716
TI - A Shock-Tube Study of the CO + OH Reaction Near the Low-Pressure Limit.
AB - Rate coefficients for the reaction between carbon monoxide and hydroxyl radical
were measured behind reflected shock waves over 700-1230 K and 1.2-9.8 bar. The
temperature/pressure conditions correspond to the predicted low-pressure limit of
this reaction, where the channel leading to carbon dioxide formation is dominant.
The reaction rate coefficients were inferred by measuring the formation of carbon
dioxide using quantum cascade laser absorption near 4.2 MUm. Experiments were
performed under pseudo-first-order conditions with tert-butyl hydroperoxide
(TBHP) as the OH precursor. Using ultraviolet laser absorption by OH radicals,
the TBHP decomposition rate was measured to quantify potential facility effects
under extremely dilute conditions used here. The measured CO + OH rate
coefficients are provided in Arrhenius form for three different pressure ranges:
kCO+OH(1.2-1.6 bar) = (9.14 +/- 2.17) * 10(-13) exp(-(1265 +/- 190)/T) cm(3)
molecule(-1) s(-1); kCO+OH(4.3-5.1 bar) = (8.70 +/- 0.84) * 10(-13) exp(-(1156 +/
83)/T) cm(3) molecule(-1) s(-1); and kCO+OH(9.6-9.8 bar) = (7.48 +/- 1.92) * 10(
13) exp(-(929 +/- 192)/T) cm(3) molecule(-1) s(-1). The measured rate
coefficients are found to be lower than the master equation modeling results by
Weston et al. [J. Phys. Chem. A, 2013, 117, 821] at 819 K and in closer agreement
with the expression provided by Joshi and Wang [Int. J. Chem. Kinet., 2006, 38,
57].
PMID- 27182717
TI - Cross-Coupling of Acrylamides and Maleimides under Rhodium Catalysis: Controlled
Olefin Migration.
AB - The rhodium(III)-catalyzed direct cross-coupling reaction of electron-deficient
acrylamides with maleimides is described. This protocol displays broad functional
group tolerance and high efficiency, which offers a new opportunity to access
highly substituted succinimides. Dependent on the substituent positions of
acrylamides and reaction conditions, olefin migrated products were obtained with
high regio- and stereoselectivity.
PMID- 27182718
TI - Resting Heart Rate Variability, Inflammation, and Insulin Resistance in
Overweight and Obese Adolescents.
AB - BACKGROUND: The prevalence of obesity is increasing in young people in the United
States. Lately, low-grade inflammation is recognized as accompanying obesity, a
known risk factor for type 2 diabetes. Autonomic dysfunction is a prominent
feature of adult diabetes, but its prevalence in adolescents in prediabetic
states or with diabetes risk factors is unknown. We undertook to study obesity,
inflammation, insulin resistance, and autonomic dysfunction together in a
population of adolescents aged 13-18 years. METHODS: Subjects gave a blood sample
for cytokine analysis and a 5-min resting EKG for analysis of resting heart rate
variability. TNF-alpha, IL-6, c-reactive protein (CRP), MCP-1, and IL-10 were
analyzed by enzyme-linked immunosorbent assay, and Fourier Transform was applied
to electrocardiographic recordings of R-R intervals for analysis in frequency
space. The HOMA calculation was used as an index of insulin sensitivity. RESULTS:
TNF-alpha, IL-6, CRP, MCP-1, HOMA, and insulin levels, but not serum glucose or
IL-10, were higher in the obese subjects. Low-frequency (0.04-0.15 Hz) and high
frequency (0.15-0.4 Hz) fluctuations of R-R intervals were lower in the obese
subjects, but the normalized low-frequency power was not different, suggesting
proportional reduction in variability in both ranges and impairment of both
sympathetic and parasympathetic systems. CONCLUSIONS: Chronic low-grade
inflammation, insulin resistance, and autonomic dysfunction are present at an
early age in obese youths; early detection of inflammation may facilitate
meaningful lifestyle changes in this high-risk group.
PMID- 27182726
TI - Participation in School Food and Nutrition Activities among Grade 6-8 Students in
Vancouver.
AB - This study examined student-reported participation in school food and nutrition
activities in Vancouver, British Columbia (BC), and whether engagement differed
by gender and between elementary and secondary school students. A cross-sectional
survey of grade 6-8 public school students (n = 937) from 20 elementary and 6
secondary schools assessed student-reported participation in a range of food and
nutrition activities. Statistical analyses included descriptive statistics and
multilevel logistic regression to examine associations between participation with
gender and school type. Overall, <50% of students reported engaging in most of
the food and nutrition activities examined in the 2011-2012 school year,
including: food preparation (36%), choosing/tasting healthy foods (27%), learning
about Canada's Food Guide (CFG) (45%), learning about foods grown in BC (35%),
gardening (21%), composting (32%), and recycling (51%). Females were more likely
to report recycling and learning about CFG and BC-grown foods (P < 0.05).
Secondary students were more likely to report activities focused on working with
or learning about food/nutrition (P < 0.05). Despite local and provincial efforts
to engage students in food and nutrition experiences, participation in most
activities remains relatively low, with few students exposed to multiple
activities. Continued advocacy is needed from the dietetics community to improve
student engagement in food and nutrition activities.
PMID- 27182727
TI - Exotic Plant Infestation Is Associated with Decreased Modularity and Increased
Numbers of Connectors in Mixed-Grass Prairie Pollination Networks.
AB - The majority of pollinating insects are generalists whose lifetimes overlap
flowering periods of many potentially suitable plant species. Such generality is
instrumental in allowing exotic plant species to invade pollination networks. The
particulars of how existing networks change in response to an invasive plant over
the course of its phenology are not well characterized, but may shed light on the
probability of long-term effects on plant-pollinator interactions and the
stability of network structure. Here we describe changes in network topology and
modular structure of infested and non-infested networks during the flowering
season of the generalist non-native flowering plant, Cirsium arvense in mixed
grass prairie at Badlands National Park, South Dakota, USA. Objectives were to
compare network-level effects of infestation as they propagate over the season in
infested and non-infested (with respect to C. arvense) networks. We characterized
plant-pollinator networks on 5 non-infested and 7 infested 1-ha plots during 4
sample periods that collectively covered the length of C. arvense flowering
period. Two other abundantly-flowering invasive plants were present during this
time: Melilotus officinalis had highly variable floral abundance in both C.
arvense-infested and non-infested plots and Convolvulus arvensis, which occurred
almost exclusively in infested plots and peaked early in the season. Modularity,
including roles of individual species, and network topology were assessed for
each sample period as well as in pooled infested and non-infested networks.
Differences in modularity and network metrics between infested and non-infested
networks were limited to the third and fourth sample periods, during flower
senescence of C. arvense and the other invasive species; generality of
pollinators rose concurrently, suggesting rewiring of the network and a lag
effect of earlier floral abundance. Modularity was lower and number of connectors
higher in infested networks, whether they were assessed in individual sample
periods or pooled into infested and non-infested networks over the entire
blooming period of C. arvense. Connectors typically did not reside within the
same modules as C. arvense, suggesting that effects of the other invasive plants
may also influence the modularity results, and that effects of infestation extend
to co-flowering native plants. We conclude that the presence of abundantly
flowering invasive species is associated with greater network stability due to
decreased modularity, but whether this is advantageous for the associated native
plant-pollinator communities depends on the nature of perturbations they
experience.
PMID- 27182728
TI - Risk of premature cerebrovascular disease in patients with ankylosing
spondylitis.
AB - OBJECTIVES: Patients with ankylosing spondylitis (AS) are at an elevated risk for
the development of coronary artery disease, but the risk cerebrovascular disease
among these patients remains incompletely understood. We investigated the
cerebrovascular risk profiles of patients with a cerebrovascular disease and AS
and compared these profiles to those of cerebrovascular disease patients without
AS. METHODS: We retrospectively analyzed 34 patients with ischemic
cerebrovascular disease also diagnosed with AS and 597 controls without AS with
respect to patient age, gender, cerebrovascular risk factors, and laboratory test
results. RESULTS: AS patients were significantly younger than control patients in
this study (56.2+/-13.5 years vs. 63.0+/-13.4 years, respectively; p=0.004).
Logistic regression analysis did not indicate significant relationships between
gender, cerebrovascular risk factors, and biochemical risk factors in AS
patients, nor were any significant relationships found between erythrocyte
sedimentation rate or C-reactive protein and biochemical risk factors. A low
frequency of large-artery atherosclerosis and high frequency of small-vessel
occlusion according to TOAST classification were found in AS patients with
stroke. CONCLUSIONS: Among the patients included in this study, patients with AS
sought treatment for cerebrovascular disease were at a younger age compared to
control patients without AS. Thus, our results indicate that AS patients have an
increased risk for the premature onset of cerebrovascular disease. And the
premature atherosclerosis may associate with the patients with AS. Furthermore,
the high frequency of the small-vessel stroke subtype in AS patients indicates
that small-vessel inflammation may be involved in the pathogenesis of vascular
diseases in AS patients. Further prospective study with more samples will be
needed to confirm this point of view.
PMID- 27182729
TI - Low-energy excited states of divanadium: a matrix isolation and MRCI study.
AB - The ground and excited electronic states of the vanadium dimer (V2) have been
studied using Ne matrix isolation experiments and quantum chemical calculations
(multireference configuration interaction based on complete active space self
consistent orbitals). In the near infrared absorption spectrum, two vibrational
progressions of a new electronic term with a large number of members have been
observed with the origin at 1.08 eV and a fundamental vibrational quantum of 475
cm(-1). With the aid of calculations, it has been assigned to a (3)Piu electronic
term. The calculations yield potential energy curves for a large number of
singlet, triplet, and quintet electronic terms.
PMID- 27182730
TI - Predictive Value of C-Reactive Protein (CRP) in Identifying Fatal Outcome and
Deep Infections in Staphylococcus aureus Bacteremia.
AB - INTRODUCTION: Clear cut-off levels could aid clinicians in identifying patients
with a risk of fatal outcomes or complications such as deep infection foci in
Staphylococcus aureus bacteremia (SAB). Cut-off levels for widely used clinical
follow-up parameters including serum C-reactive protein (CRP) levels and white
blood cell counts (WBC) have not been previously studied. METHODS: 430 adult SAB
patients in Finland took part in prospective multicentre study in which their CRP
levels and WBC counts were measured on the day of the positive blood culture,
every other day during the first week, twice a week during hospitalization and at
30 days. Receiver operating characteristic (ROC) analysis was used to evaluate
the prognostic value of CRP and WBC on the day of the positive blood culture and
at days 4, 7, and 14 in predicting mortality and the presence of deep infections
at 30 days. Adjusted hazard ratios (HR) for CRP level and WBC count cut-off
values for mortality were calculated by the Cox regression analysis and adjusted
odds ratios (OR) for cut-off values to predict the presence of deep infection by
the binary logistic regression analysis. RESULTS: The succumbing patients could
be distinguished from the survivors, starting on day 4 after the positive blood
culture, by higher CRP levels. Cut-off values of CRP for day 30 mortality in
adjusted analysis, that significantly predicted fatal outcome were at day 4 CRP
>103 mg/L with sensitivity of 77%, specificity of 55%, and HR of 3.5 (95% CI, 1.2
10.3; p = 0.024), at day 14 CRP >61 mg/L with a sensitivity of 82%, specificity
of 80% and HR of 3.6 (95% CI, 1.1-10.3; p<0.039) and cut-off value of WBC at day
14 >8.6 x109/L was prognostic with sensitivity of 77%, specificity of 78% and HR
of 8.2 (95% CI, 2.9-23.1; p<0.0001). Cut-off values for deep infection in
adjusted analysis were on the day of the positive blood culture CRP >108 mg/L
with sensitivity of 77%, specificity of 60%, and HR of 2.6 (95% CI, 1.3-4.9; p =
0.005) and at day 14 CRP >22 mg/L with sensitivity of 59%, specificity of 68%,
and HR of 3.9 (95% CI, 1.6-9.5; p = 0.003). The lack of decline of CRP in 14 days
or during the second week were neither prognostic nor markers of deep infection
focus. CONCLUSIONS: CRP levels have potential for the early identification of SAB
patients with a greater risk for death and deep infections.
PMID- 27182731
TI - Success Factors of European Syndromic Surveillance Systems: A Worked Example of
Applying Qualitative Comparative Analysis.
AB - INTRODUCTION: Syndromic surveillance aims at augmenting traditional public health
surveillance with timely information. To gain a head start, it mainly analyses
existing data such as from web searches or patient records. Despite the setup of
many syndromic surveillance systems, there is still much doubt about the benefit
of the approach. There are diverse interactions between performance indicators
such as timeliness and various system characteristics. This makes the performance
assessment of syndromic surveillance systems a complex endeavour. We assessed if
the comparison of several syndromic surveillance systems through Qualitative
Comparative Analysis helps to evaluate performance and identify key success
factors. MATERIALS AND METHODS: We compiled case-based, mixed data on performance
and characteristics of 19 syndromic surveillance systems in Europe from
scientific and grey literature and from site visits. We identified success
factors by applying crisp-set Qualitative Comparative Analysis. We focused on two
main areas of syndromic surveillance application: seasonal influenza surveillance
and situational awareness during different types of potentially health
threatening events. RESULTS: We found that syndromic surveillance systems might
detect the onset or peak of seasonal influenza earlier if they analyse non
clinical data sources. Timely situational awareness during different types of
events is supported by an automated syndromic surveillance system capable of
analysing multiple syndromes. To our surprise, the analysis of multiple data
sources was no key success factor for situational awareness. CONCLUSIONS: We
suggest to consider these key success factors when designing or further
developing syndromic surveillance systems. Qualitative Comparative Analysis
helped interpreting complex, mixed data on small-N cases and resulted in concrete
and practically relevant findings.
PMID- 27182732
TI - The Effectiveness of Mindfulness-Based Interventions in the Perinatal Period: A
Systematic Review and Meta-Analysis.
AB - Perinatal mental health difficulties are associated with adverse consequences for
parents and infants. However, the potential risks associated with the use of
psychotropic medication for pregnant and breastfeeding women and the preferences
expressed by women for non-pharmacological interventions mean it is important to
ensure that effective psychological interventions are available. It has been
argued that mindfulness-based interventions may offer a novel approach to
treating perinatal mental health difficulties, but relatively little is known
about their effectiveness with perinatal populations. This paper therefore
presents a systematic review and meta-analysis of the effectiveness of
mindfulness-based interventions for reducing depression, anxiety and stress and
improving mindfulness skills in the perinatal period. A systematic review
identified seventeen studies of mindfulness-based interventions in the perinatal
period, including both controlled trials (n = 9) and pre-post uncontrolled
studies (n = 8). Eight of these studies also included qualitative data. Hedge's g
was used to assess uncontrolled and controlled effect sizes in separate meta
analyses, and a narrative synthesis of qualitative data was produced. Pre- to
post-analyses showed significant reductions in depression, anxiety and stress and
significant increases in mindfulness skills post intervention, each with small to
medium effect sizes. Completion of the mindfulness-based interventions was
reasonable with around three quarters of participants meeting study-defined
criteria for engagement or completion where this was recorded. Qualitative data
suggested that participants viewed mindfulness interventions positively. However,
between-group analyses failed to find any significant post-intervention benefits
for depression, anxiety or stress of mindfulness-based interventions in
comparison to control conditions: effect sizes were negligible and it was
conspicuous that intervention group participants did not appear to improve
significantly more than controls in their mindfulness skills. The interventions
offered often deviated from traditional mindfulness-based cognitive therapy or
mindfulness-based stress reduction programmes, and there was also a tendency for
studies to focus on healthy rather than clinical populations, and on antenatal
rather than postnatal populations. It is argued that these and other limitations
with the included studies and their interventions may have been partly
responsible for the lack of significant between-group effects. The implications
of the findings and recommendations for future research are discussed.
PMID- 27182735
TI - Sequential Measurement of Intermodal Variability in Public Transportation PM2.5
and CO Exposure Concentrations.
AB - A sequential measurement method is demonstrated for quantifying the variability
in exposure concentration during public transportation. This method was applied
in Hong Kong by measuring PM2.5 and CO concentrations along a route connecting 13
transportation-related microenvironments within 3-4 h. The study design takes
into account ventilation, proximity to local sources, area-wide air quality, and
meteorological conditions. Portable instruments were compacted into a backpack to
facilitate measurement under crowded transportation conditions and to quantify
personal exposure by sampling at nose level. The route included stops next to
three roadside monitors to enable comparison of fixed site and exposure
concentrations. PM2.5 exposure concentrations were correlated with the roadside
monitors, despite differences in averaging time, detection method, and sampling
location. Although highly correlated in temporal trend, PM2.5 concentrations
varied significantly among microenvironments, with mean concentration ratios
versus roadside monitor ranging from 0.5 for MTR train to 1.3 for bus terminal.
Measured inter-run variability provides insight regarding the sample size needed
to discriminate between microenvironments with increased statistical
significance. The study results illustrate the utility of sequential measurement
of microenvironments and policy-relevant insights for exposure mitigation and
management.
PMID- 27182733
TI - Lipidomic Profiling of Adipose Tissue Reveals an Inflammatory Signature in Cancer
Related and Primary Lymphedema.
AB - Cancer-related and primary lymphedema (LE) are associated with the production of
adipose tissue (AT). Nothing is known, however, about the lipid-based molecules
that comprise LE AT. We therefore analyzed lipid molecules in lipoaspirates and
serum obtained from LE patients, and compared them to lipoaspirates from cosmetic
surgery patients and healthy control cohort serum. LE patient serum analysis
demonstrated that triglycerides, HDL- and LDL-cholesterol and lipid transport
molecules remained within the normal range, with no alterations in individual
fatty acids. The lipidomic analysis also identified 275 lipid-based molecules,
including triacylglycerides, diacylglycerides, fatty acids and phospholipids in
AT oil and fat. Although the majority of lipid molecules were present in a
similar abundance in LE and non-LE samples, there were several small changes:
increased C20:5-containing triacylglycerides, reduced C10:0 caprinic and C24:1
nervonic acids. LE AT oil also contained a signature of increased cyclopropane
type fatty acids and inflammatory mediators arachidonic acid and ceramides.
Interestingly C20:5 and C22:6 omega-3-type lipids are increased in LE AT,
correlating with LE years. Hence, LE AT has a normal lipid profile containing a
signature of inflammation and omega-3-lipids. It remains unclear, however,
whether these differences reflect a small-scale global metabolic disturbance or
effects within localised inflammatory foci.
PMID- 27182734
TI - Genetic Variability of West Nile Virus in U.S. Blood Donors from the 2012
Epidemic Season.
AB - West Nile virus (WNV) is an arbovirus maintained in nature in a bird-mosquito
enzootic cycle which can also infect other vertebrates including humans. WNV is
now endemic in the United States (U.S.), causing yearly outbreaks that have
resulted in an estimated total of 4-5 million human infections. Over 41,700 cases
of West Nile disease, including 18,810 neuroinvasive cases and 1,765 deaths, were
reported to the CDC between 1999 and 2014. In 2012, the second largest West Nile
outbreak in the U.S. was reported, which caused 5,674 cases and 286 deaths. WNV
continues to evolve, and three major WNV lineage I genotypes (NY99, WN02, and
SW/WN03) have been described in the U.S. since introduction of the virus in 1999.
We report here the WNV sequences obtained from 19 human samples acquired during
the 2012 U.S. outbreak and our examination of the evolutionary dynamics in WNV
isolates sequenced from 1999-2012. Maximum-likelihood and Bayesian methods were
used to perform the phylogenetic analyses. Selection pressure analyses were
performed with the HyPhy package using the Datamonkey web-server. Using different
codon-based and branch-site selection models, we detected a number of codons
subjected to positive pressure in WNV genes. Thirteen of the 19 completely
sequenced isolates from 10 U.S. states were genetically similar, sharing up to 55
nucleotide mutations and 4 amino acid substitutions when compared with the
prototype isolate WN-NY99. Overall, these analyses showed that following a brief
contraction in 2008-2009, WNV genetic divergence in the U.S. continued to
increase in 2012, and that closely related variants were found across a broad
geographic range of the U.S., coincident with the second-largest WNV outbreak in
U.S.
PMID- 27182737
TI - N-Alpha-Acetyltransferases and Regulation of CFTR Expression.
AB - The majority of cystic fibrosis (CF)-causing mutations in the cystic fibrosis
transmembrane conductance regulator (CFTR) lead to the misfolding,
mistrafficking, and degradation of the mutant protein. Inhibition of degradation
does not effectively increase the amount of trafficking competent CFTR, but
typically leads to increased ER retention of misfolded forms. Thus, the initial
off pathway steps occur early in the processing of the protein. To identify
proteins that interact with these early forms of CFTR, in vitro crosslink
experiments identified cotranslational partners of the nascent chain of the
severe misfolded mutant, G85E CFTR. The mutant preferentially interacts with a
subunit of an N-alpha-acetyltransferase A. Based on recent reports that
acetylation of the N-termini of some N-end rule substrates control their
ubiquitination and subsequent degradation, a potential role for this modification
in regulation of CFTR expression was assessed. Knockdown experiments identified
two complexes, which affect G85E CFTR proteins levels, NatA and NatB. Effects of
the knockdowns on mRNA levels, translation rates, and degradation rates
established that the two complexes regulate G85E CFTR through two separate
mechanisms. NatA acts indirectly by regulating transcription levels and NatB acts
through a previously identified, but incompletely understood posttranslational
mechanism. This regulation did not effect trafficking of G85E CFTR, which remains
retained in the ER, nor did it alter the degradation rate of CFTR. A mutation
predicted to inhibit N-terminal acetylation of CFTR, Q2P, was without effect,
suggesting neither system acts directly on CFTR. These results contradict the
prediction that N-terminal acetylation of CFTR determines its fitness as a
proteasome substrate, but rather NatB plays a role in the conformational
maturation of CFTR in the ER through actions on an unidentified protein.
PMID- 27182736
TI - Universal Coverage without Universal Access: Institutional Barriers to Health
Care among Women Sex Workers in Vancouver, Canada.
AB - BACKGROUND: Access to health care is a crucial determinant of health. Yet, even
within settings that purport to provide universal health coverage (UHC), sex
workers' experiences reveal systematic, institutionally ingrained barriers to
appropriate quality health care. The aim of this study was to assess prevalence
and correlates of institutional barriers to care among sex workers in a setting
with UHC. METHODS: Data was drawn from an ongoing community-based, prospective
cohort of women sex workers in Vancouver, Canada (An Evaluation of Sex Workers'
Health Access). Multivariable logistic regression analyses, using generalized
estimating equations (GEE), were employed to longitudinally investigate
correlates of institutional barriers to care over a 44-month follow-up period
(January 2010-August 2013). RESULTS: In total, 723 sex workers were included,
contributing to 2506 observations. Over the study period, 509 (70.4%) women
reported one or more institutional barriers to care. The most commonly reported
institutional barriers to care were long wait times (54.6%), limited hours of
operation (36.5%), and perceived disrespect by health care providers (26.1%). In
multivariable GEE analyses, recent partner- (adjusted odds ratio [AOR] = 1.46, %
95% Confidence Interval [CI] 1.10-1.94), workplace- (AOR = 1.31, 95% CI 1.05
1.63), and community-level violence (AOR = 1.41, 95% CI 1.04-1.92), as well as
other markers of vulnerability, such as self-identification as a gender/sexual
minority (AOR = 1.32, 95% CI 1.03-1.69), a mental illness diagnosis (AOR = 1.66,
95% CI 1.34-2.06), and lack of provincial health insurance card (AOR = 3.47, 95%
CI 1.59-7.57) emerged as independent correlates of institutional barriers to
health services. DISCUSSION: Despite Canada's UHC, women sex workers in Vancouver
face high prevalence of institutional barriers to care, with highest burden among
most marginalized women. These findings underscore the need to explore new models
of care, alongside broader policy changes to fulfill sex workers' health and
human rights.
PMID- 27182738
TI - Enantioselective Formal Synthesis of Nectrisine Using a Palladium-Catalyzed
Asymmetric Allylic Amination and Cross-Metathesis as Key Steps.
AB - A formal enantioselective synthesis of nectrisine, a potent alpha-glucosidase
inhibitor, was carried out starting from butadiene monoepoxide through a
synthetic sequence involving enantioselective allylic substitution, cross
metathesis, dihydroxylation, and cyclization.
PMID- 27182741
TI - A gold nanocluster-based fluorescent probe for simultaneous pH and temperature
sensing and its application to cellular imaging and logic gates.
AB - Metal nanocluster-based nanomaterials for the simultaneous determination of
temperature and pH variations in micro-environments are still a challenge. In
this study, we develop a dual-emission fluorescent probe consisting of bovine
serum albumin-stabilized gold nanoclusters (BSA-AuNCs) and fluorescein-5
isothiocyanate (FITC) as temperature- and pH-responsive fluorescence signals.
Under single wavelength excitation the FITC/BSA-AuNCs exhibited well-separated
dual emission bands at 525 and 670 nm. When FITC was used as a reference
fluorophore, FITC/BSA-AuNCs showed a good linear response over the temperature
range 1-71 degrees C and offered temperature-independent spectral shifts,
temperature accuracy, activation energy, and reusability. The possible mechanism
for high temperature-induced fluorescence quenching of FITC/BSA-AuNCs could be
attributed to a weakening of the Au-S bond, thereby lowering the charge transfer
from BSA to AuNCs. Additionally, the pH- and temperature-responsive properties of
FITC/BSA-AuNCs allow simultaneous temperature sensing from 21 to 41 degrees C
(at intervals of 5 degrees C) and pH from 6.0 to 8.0 (at intervals of 0.5 pH
unit), facilitating the construction of two-input AND logic gates. Three-input
AND logic gates were also designed using temperature, pH, and trypsin as inputs.
The practicality of using FITC/BSA-AuNCs to determine the temperature and pH
changes in HeLa cells is also validated.
PMID- 27182739
TI - Axl Expression Stratifies Patients with Poor Prognosis after Hepatectomy for
Hepatocellular Carcinoma.
AB - BACKGROUND: Axl is a receptor tyrosine kinase which plays an important role in
multiple human malignancies. DESIGN: The Axl expression was examined in several
hepatocellular carcinoma(HCC) cell lines, paired tumor and nontumorous samples.
Then, we examined cell growth curve, cell apoptosis and cell migration in SMMC
7721 cells over-expressed with Axl or siRNA against Axl, respectively. Finally,
the prognostic value of Axl was investigated in a prospective cohort of 246
consecutive HCC patients undergoing curative hepatoectomy. RESULTS: We found Axl
was positive in 22% of examined tumor tissues and all four cell lines. Over
expressing Axl in SMMC-7721 cells accelerated cell growth, cell migration and
inhibited cell apoptosis, while knock-down of Axl exerted opposite effect. Axl
expression was closely associated with serum AFP, multiple tumors, absence of
encapsulation, microvascular invasion, and advanced BCLC or TNM stage. Patients
with positive Axl staining had a higher 5-year recurrence rate (92% vs. 71%,
P<0.001) and a lower 5-year survival rate (9% vs. 48%, P<0.001) than those with
negative staining. The multivariate analyses showed that Axl expression was an
independent factor for both tumor recurrence (HR: 1.725; 95% CI: 1.219-2.441) and
survival (1.847; 1.291-2.642). CONCLUSION: Axl expression suggests more
aggressive tumor invasiveness and predicts worse prognosis for HCC patients
undergoing resection.
PMID- 27182742
TI - Research to Improve Urological Health for Women and Girls: Focus on Prevention.
PMID- 27182740
TI - Novel Lesions of Bones and Joints Associated with Chikungunya Virus Infection in
Two Mouse Models of Disease: New Insights into Disease Pathogenesis.
AB - Chikungunya virus is an arbovirus spread predominantly by Aedes aegypti and Ae.
albopictus mosquitoes, and causes debilitating arthralgia and arthritis. While
these are common manifestations during acute infection and it has been suggested
they can recur in patients chronically, gaps in knowledge regarding the
pathogenesis still exist. Two established mouse models were utilized (adult IRF
3/7 -/- -/- and wild-type C57BL/6J mice) to evaluate disease manifestations in
bones and joints at various timepoints. Novel lesions in C57BL/6J mice consisted
of periostitis (91%) and foci of cartilage of necrosis (50% of mice at 21 DPI).
Additionally, at 21 DPI, 50% and 75% of mice exhibited periosteal bone
proliferation affecting the metatarsal bones, apparent via histology and MUCT,
respectively. MUCT analysis did not reveal any alterations in trabecular bone
volume measurements in C57BL/6J mice. Novel lesions demonstrated in IRF 3/7 -/-
/- mice at 5 DPI included focal regions of cartilage necrosis (20%), periosteal
necrosis (66%), and multifocal ischemic bone marrow necrosis (100%).
Contralateral feet in 100% of mice of both strains had similar, though milder
lesions. Additionally, comparison of control IRF 3/7 -/- -/- and wild-type
C57BL/6J mice demonstrated differences in cortical bone. These experiments
demonstrate novel manifestations of disease similar to those occurring in humans,
adding insight into disease pathogenesis, and representing new potential targets
for therapeutic interventions. Additionally, results demonstrate the utility of
MUCT in studies of bone and joint pathology and illustrate differences in bone
dynamics between mouse strains.
PMID- 27182744
TI - Rational Design of Methodology-Independent Metal Parameters Using a Nonbonded
Dummy Model.
AB - A nonbonded dummy model for metal ions is highly imperative for the computation
of complex biological systems with for instance multiple metal centers. Here we
present nonbonded dummy parameters of 11 divalent metallic cations, namely,
Mg(2+), V(2+), Cr(2+), Mn(2+), Fe(2+), Co(2+), Ni(2+), Zn(2+), Cd(2+), Sn(2+),
and Hg(2+), that are optimized to be compatible with three widely used water
models (TIP3P, SPC/E, and TIP4P-EW). The three sets of metal parameters reproduce
simultaneously the solvation free energies (DeltaGsol), the ion-oxygen distance
in the first solvation shell (IOD), and coordination numbers (CN) in explicit
water with a relative error less than 1%. The main sources of errors to DeltaGsol
that arise from the boundary conditions and treatment of electrostatic
interactions are corrected rationally, which ensures the independence of the
proposed parameters on the methodology used in the calculation. This work will be
of great value for the computational study of metal-containing biological
systems.
PMID- 27182745
TI - Erratum: PGC-1alpha controls mitochondrial biogenesis and dynamics in lead
induced neurotoxicity.
PMID- 27182743
TI - Induced Pluripotent Stem Cell-Derived Retinal Pigmented Epithelium: A Comparative
Study Between Cell Lines and Differentiation Methods.
AB - PURPOSE: The application of induced pluripotent stem cell-derived retinal
pigmented epithelium (iPSC-RPE) in patients with retinal degenerative disease is
making headway toward the clinic, with clinical trials already underway. Multiple
groups have developed methods for RPE differentiation from pluripotent cells, but
previous studies have shown variability in iPSC propensity to differentiate into
RPE. METHODS: This study provides a comparison between 2 different methods for
RPE differentiation: (1) a commonly used spontaneous continuously adherent
culture (SCAC) protocol and (2) a more rapid, directed differentiation using
growth factors. Integration-free iPSC lines were differentiated to RPE, which
were characterized with respect to global gene expression, expression of RPE
markers, and cellular function. RESULTS: We found that all 5 iPSC lines (iPSC-1,
iPSC-2, iPSC-3, iPSC-4, and iPSC-12) generated RPE using the directed
differentiation protocol; however, 2 of the 5 iPSC lines (iPSC-4 and iPSC-12) did
not yield RPE using the SCAC method. Both methods can yield bona fide RPE that
expresses signature RPE genes and carry out RPE functions, and are similar, but
not identical to fetal RPE. No differences between methods were detected in
transcript levels, protein localization, or functional analyses between iPSC-1
RPE, iPSC-2-RPE, and iPSC-3-RPE. Directed iPSC-3-RPE showed enhanced transcript
levels of RPE65 compared to directed iPSC-2-RPE and increased BEST1 expression
and pigment epithelium-derived factor (PEDF) secretion compared to directed iPSC
1-RPE. In addition, SCAC iPSC-3-RPE secreted more PEDF than SCAC iPSC-1-RPE.
CONCLUSIONS: The directed protocol is a more reliable method for differentiating
RPE from various pluripotent sources and some iPSC lines are more amenable to RPE
differentiation.
PMID- 27182747
TI - Comparative Clinical Outcomes of Pediatric Patients Presenting With Eyelid
Nodules of Idiopathic Facial Aseptic Granuloma, Hordeola, and Chalazia.
AB - PURPOSE: To present the clinical features of the eyelid nodules observed in a
newly determined dermatologic disorder, idiopathic facial aseptic granuloma
(IFAG), and to compare them with children having acute hordeolum and chalazion.
METHODS: Duration of the lesion, localization, presence of coexisting facial
nodules, management strategies, and response time to topical/oral antibiotics
were retrospectively reviewed in 50 children with IFAG, acute hordeolum, or
chalazion. RESULTS: Fourteen children with one or more IFAG nodules on their
eyelids, 28 children with one or more acute hordeolum, and 8 children with one or
more chalazion were examined. Children with IFAG on their eyelids and face
presented earlier than children with acute hordeolum (P = .006). The duration of
this lesion was similar among patients with IFAG on their eyelids and acute
hordeolum (P = .53). Duration of the lesion and treatment response time were
shorter in children with IFAG on their eyelids and face (P = .004) than in those
with IFAG on their eyelids (P = .013). The lesions of patients with chalazion had
a longer duration compared to those with IFAG on their eyelids (P = .005), IFAG
on their eyelids and face (P < .001), and acute hordeolum (P = .04). Twenty
patients with acute hordeolum recovered after topical antibiotics and had a
similar treatment response time to those with IFAG on their eyelids and face (P =
.06) and those with IFAG on their eyelids (P = .16). CONCLUSIONS: IFAG should be
considered in the differential diagnosis of painless eyelid nodules in children.
Because IFAG on the eyelids has many overlapping features with hordeola/chalazia,
its differentiation may be difficult in the absence of accompanying facial
granulomas. Chronic subepidermal eyelid nodules resembling skin abscess should
alert clinicians for IFAG. Because IFAG responds well to oral clarithromycin,
unnecessary surgical interventions should be avoided in these cases. [J Pediatr
Ophthalmol Strabismus. 2016;53(4):206-211.].
PMID- 27182746
TI - Specialty Practice and Cost Considerations in the Management of Uveitis
Associated With Juvenile Idiopathic Arthritis.
AB - PURPOSE: To evaluate whether cost, prior insurance authorization concerns, and
subspecialty practice influence therapeutic decisions in the treatment of uveitis
associated with juvenile idiopathic arthritis. METHODS: A total of 2,965
pediatric ophthalmologists, uveitis specialists, retina specialists, and
rheumatologists across the United States were surveyed via e-mail regarding their
choice in long-term therapy for a hypothetical patient with uveitis associated
with juvenile idiopathic arthritis. Outcomes of interest were differences in
therapy choice based on cost/prior authorization and specialty practice. RESULTS:
There were significant differences in the use of methotrexate and biologics among
specialists, both with and without consideration for cost and prior
authorization. CONCLUSIONS: Physicians in four different specialties who treat
uveitis associated with juvenile idiopathic arthritis agree on methotrexate as a
first-line treatment choice and a biologic immunosuppressive medication as a
second choice, but there are significant differences between the specialties in
their use of these medications. Cost and insurance considerations did not affect
therapy selection. [J Pediatr Ophthalmol Strabismus. 2016;53(4):246-251.].
PMID- 27182749
TI - Comparison of Anterior Segment Parameters Obtained by Dual-Scheimpflug Analyzer
Before and After Cycloplegia in Children.
AB - PURPOSE: To assess the changes of anterior segment parameters with cycloplegia.
METHODS: A cross-sectional study of healthy pediatric patients was performed.
Anterior segment parameters were obtained by the Galilei Dual-Scheimpflug
analyzer (Ziemer Group, Port, Switzerland) before and 40 minutes after the
instillation of cyclopentolate. The effect of gender was evaluated. RESULTS:
There were 43 boys and 50 girls with a mean age of 7.76 +/- 2.7 years. There was
a significant increase in anterior chamber depth, anterior chamber volume, and
pupil diameter after the cycloplegia (P < .05). The anterior chamber angle
increased after cycloplegia in the nasal, temporal, and inferior quadrants (P <
.05), but not in the superior quadrant (P > .05). The mean values of anterior
segment parameters were similar in both genders. CONCLUSIONS: The values of
anterior chamber depth, anterior chamber volume, anterior chamber angle, and
pupil diameter measured with the Galilei Dual-Scheimpflug analyzer increased
significantly after cycloplegia. Gender did not have an effect on anterior
segment parameters. [J Pediatr Ophthalmol Strabismus. 2016;53(4):234-237.].
PMID- 27182748
TI - Ocular Findings in Children With 22q11.2 Deletion Syndrome.
AB - PURPOSE: To identify the ocular features of children diagnosed as having 22q11.2
deletion syndrome in a Turkish population, which is the most common microdeletion
syndrome with a wide range of facial and ocular abnormalities. METHODS: Sixteen
children aged between 4 months and 18 years with a microdeletion in chromosome
22q11.2 underwent a detailed ophthalmological examination including uncorrected
and best corrected visual acuity testing, stereoscopic vision examination,
biomicroscopic and indirect fundus examination, and ocular motility testing.
RESULTS: All patients had at least one ocular abnormality. The major
abnormalities were eyelid abnormalities (eye hooding, narrow palpebral fissure,
telecanthus, hypertelorism, sparse and thin eyebrows and eyelashes, blepharitis,
and distichiasis), posterior embryotoxon, and tortuous retinal vessels in at
least half of the patients. Other ophthalmological disorders were refractive
errors, iris remnants, and strabismus. CONCLUSIONS: The chromosome 22q11.2
deletion syndrome is associated with a wide range of ocular disorders, which
necessitates a comprehensive eye examination for appropriate treatment and follow
up. Ocular findings sometimes can provide a clue to the diagnosis of 22q11.2
deletion. [J Pediatr Ophthalmol Strabismus. 2016;53(4):218-222].
PMID- 27182750
TI - Three-Dimensional Screen: A Comprehensive Approach to the Health Monitoring of
Zebrafish.
AB - Considering the numbers of zebrafish held in the laboratories, it is relevant to
develop some tools to monitor the health of the animals, as well as their
biotope. Environmental samples can be used to detect aquatic pathogens.
Comprehensive health monitoring would thus seek pathogens in three dimensions of
the animals and microbes' habitat: the fish, the sludge, and the water. This
three-dimensional approach is called the 3D screen and it introduces some
complementary tools to routine sentinel screening. For example, sludge and sump
swabs analyses allow an efficient detection of pathogens at a low cost and with a
fast turnover. These assays are particularly useful in cases of Pseudocapillaria
tomentosa infestation or Mycobacterium haemophilum outbreak. Indeed, such a
broader choice of diagnostic tests gives flexibility for the veterinarian to
investigate Mycobacterium spp. presence in the water systems and fish colonies.
Some other robust additional analysis, like the mortality rate monitoring,
quickens the decision-making process. The 3D screen describes how this new
toolbox can be used efficiently to monitor laboratory fish health.
PMID- 27182751
TI - Negative Pressures and Spallation in Water Drops Subjected to Nanosecond Shock
Waves.
AB - Most experimental studies of cavitation in liquid water at negative pressures
reported cavitation at tensions significantly smaller than those expected for
homogeneous nucleation, suggesting that achievable tensions are limited by
heterogeneous cavitation. We generated tension pulses with nanosecond rise times
in water by reflecting cylindrical shock waves, produced by X-ray laser pulses,
at the internal surface of drops of water. Depending on the X-ray pulse energy, a
range of cavitation phenomena occurred, including the rupture and detachment, or
spallation, of thin liquid layers at the surface of the drop. When spallation
occurred, we evaluated that negative pressures below -100 MPa were reached in the
drops. We model the negative pressures from shock reflection experiments using a
nucleation-and-growth model that explains how rapid decompression could outrun
heterogeneous cavitation in water, and enable the study of stretched water close
to homogeneous cavitation pressures.
PMID- 27182753
TI - Cozen's phenomenon revisited.
AB - We retrospectively examined Cozen's fractures in children treated with a cast in
extension with a varus mold applied as described by Rang. To our knowledge, no
study has examined the effectiveness of this treatment. Our review included 14
patients with an average age of 3 years. The average time to valgus deformity was
8.8 months (range 5-15 months). Follow-up was 16.2 months (range 6.7-42.8
months). Seven (50%) patients developed a 5 degrees valgus deformity in addition
to the normal valgus of the contralateral side. In conclusion, treatment with a
long leg cast with the knee in extension and a varus mold minimized the valgus
deformity compared with previous reports in the literature.
PMID- 27182752
TI - Calcifying aponeurotic fibroma in children: our experience and a literature
review.
AB - Calcified aponeurotic fibroma is a rare benign fibrous tumour with characteristic
histopathological features commonly observed in children and adolescents. It
usually involves the most distal part of the extremities. Despite being a benign
tumour, it has a high risk of local recurrence. We report our experience treating
four children with calcifying aponeurotic fibroma who underwent surgical excision
of the tumour. Two of them developed a local recurrence: one required a surgical
revision, whereas the other currently continues to be under observation. The
incidence found over a 5-year period (2010-2015) may lead us to consider that
this condition is not as rare as reported and may often be misdiagnosed.
PMID- 27182754
TI - Psychometric evaluation of the Scoliosis Research Society-22 Revised
questionnaire among adolescents with idiopathic scoliosis.
AB - This cross-sectional descriptive study was carried out to develop and evaluate
the reliability and validity of the Korean version of the Scoliosis Research
Society-22 Revised (SRS-22r) questionnaire among adolescents with idiopathic
scoliosis. Of the initial 122 adolescents surveyed, 87 adolescents returned the
second questionnaire, which assessed test-retest reliability between SRS-22r and
the pediatric quality of life inventory questionnaire (PedsQL 4.0). The results
were found to be reliable and valid, with acceptable internal consistency,
intraclass correlation, and moderate correlation with a similar questionnaire.
The SRS-22r can be used to assess health-related quality of life internationally
among adolescents with scoliosis.
PMID- 27182755
TI - Specific Single-Cell Isolation of Escherichia coli O157 from Environmental Water
Samples by Using Flow Cytometry and Fluorescence-Activated Cell Sorting.
AB - Contamination of food and water with pathogenic bacteria is of concern. Although
culture-independent detection and quantification of pathogens is useful,
isolation of pathogenic bacteria is still important when identifying the sources
of pathogens. Here, we report the use of flow cytometry (FCM) and fluorescence
activated cell sorting (FACS) to specifically detect and isolate individual
Escherichia coli O157:H7 cells from water samples. When present at >10 cells/mL
water, target pathogen was specifically detected and isolated. The FACS-sorted E.
coli O157:H7 population reflected the original population diversity, in contrast
to the populations obtained by immunomagnetic separation. Relative abundance of
multiple pathogenic strains is important when performing source-tracking studies;
therefore, single-cell isolation with FCM-FACS can be a useful tool to obtain
pathogenic bacteria for source tracking purpose.
PMID- 27182757
TI - Range prediction for tissue mixtures based on dual-energy CT.
AB - The use of dual-energy CT (DECT) potentially decreases range uncertainties in
proton and ion therapy treatment planning via determination of the involved
physical target quantities. For eventual clinical application, the correct
treatment of tissue mixtures and heterogeneities is an essential feature, as they
naturally occur within a patient's CT. Here, we present how existing methods for
DECT-based ion-range prediction can be modified in order to incorporate proper
mixing behavior on several structural levels. Our approach is based on the
factorization of the stopping-power ratio into the relative electron density and
the relative stopping number. The latter is confined for tissue between about
0.95 and 1.02 at a therapeutic beam energy of 200 MeV u(-1) and depends on the I
value. We show that convenient mixing and averaging properties arise by relating
the relative stopping number to the relative cross section obtained by DECT. From
this, a maximum uncertainty of the stopping-power ratio prediction below
[Formula: see text] is suggested for arbitrary mixtures of human body tissues.
PMID- 27182756
TI - The effect of different implant biomaterials on the behavior of canine bone
marrow stromal cells during their differentiation into osteoblasts.
AB - We investigated the effects of different implant biomaterials on cultured canine
bone marrow stromal cells (BMSC) undergoing differentiation into osteoblasts
(dBMSC). BMSC were isolated from canine humerus by marrow aspiration, cultured
and differentiated on calcium phosphate scaffold (CPS), hydroxyapatite,
hydroxyapatite in gel form and titanium mesh. We used the MTT method to determine
the effects of osteogenic media on proliferation. The characteristics of dBMSC
were assessed using alizarin red (AR), immunocytochemistry and osteoblastic
markers including alkaline phosphatase/von Kossa (ALP/VK), osteocalcin (OC) and
osteonectin (ON), and ELISA. The morphology of dBMSC on the biomaterials was
investigated using inverted phase contrast microscopy and scanning electron
microscopy. We detected expression of ALP/VK, AR, OC and ON by day 7 of culture;
expression increased from day 14 until day 21. CPS supported the best adhesion,
cell spreading, proliferation and differentiation of BMSCs. The effects of the
biomaterials depended on their surface properties. Expression of osteoblastic
markers showed that canine dBMSCs became functional osteoblasts. Tissue
engineered stem cells can be useful clinically for autologous implants for
treating bone wounds.
PMID- 27182758
TI - Lower Experiential Avoidance Is Associated With Psychological Well-being and
Improved Cardiopulmonary Endurance Among Patients in Cardiac Rehabilitation.
AB - PURPOSE: Patients with a diagnosis of cardiac disease are often asked to make
significant lifestyle changes, but they may experience difficulty initiating and
maintaining lifestyle changes, especially when engaging in experiential avoidance
(EA), the tendency to push away unpleasant emotions and sensations. This study
examined the relationship between EA, psychological functioning, cardiac-quality
of life (cardiac-QOL), program adherence, and cardiopulmonary endurance among
patients entering an outpatient cardiac rehabilitation (CR) program. METHODS:
Patients completed study questionnaires pre-CR, including 2 measures of EA
(general and cardiac-specific), and assessments of psychological functioning and
cardiac-QOL. Adherence was calculated as the proportion of insurance-approved CR
sessions attended. Thirty participants completed stress testing pre-CR and post
CR. RESULTS: Forty-seven patients completed the questionnaires. General EA was
associated with greater depression (r = 0.73, P < .001), anxiety (r = 0.56, P <
.001), and stress (r = 0.65, P < .001) and lower cardiac-QOL (r =-0.69, P <
.001). In addition, when controlling for the influence of general EA, greater
cardiac-specific EA was associated with depression ([INCREMENT]R = 0.05, P =
.01), anxiety ([INCREMENT]R = 0.18, P < .001), and poorer cardiac-QOL
([INCREMENT]R = 0.04, P = .04). However, lower cardiac-specific EA predicted
greater increases in cardiopulmonary endurance ((Equation is included in full
text article.)O2peak) during the CR program (b =-0.18, P = .002;
[INCREMENT]adjusted R = 0.07). Experiential avoidance was not associated with
adherence. CONCLUSIONS: Lower EA is associated with better psychological well
being among patients entering a CR program and with better exercise outcomes.
Strategies for reducing EA may be important to consider in future clinical
studies.
PMID- 27182759
TI - Severity of Depressive Symptoms Pre- and Postcardiac Rehabilitation: A COMPARISON
AMONG PATIENTS IN BRAZIL, CANADA, COLOMBIA, THE UNITED STATES, AND VENEZUELA.
AB - PURPOSE: Depression is 3 times more prevalent in the cardiac than the general
population in high-income countries and is particularly high in middle-income
countries. Comorbid depression is associated with twice the mortality after a
cardiovascular event. The objectives of this study were to describe and compare
depressive symptoms pre- and postcardiac rehabilitation (CR) among patients in
high-income countries and middle-income countries in the Americas. METHODS: The
study design was prospective and observational. A convenience sample of CR
participants completed the Patient Health Questionnaire-9 (PHQ-9) at CR intake
and again at program discharge. Clinical data were extracted from medical charts.
RESULTS: There were 779 participants: 45 Brazilian (5.8% of sample), 214 Canadian
(27.5%), 126 Colombian (16.2%), 309 American (39.7%), and 85 Venezuelan (10.9%).
Pre-CR depressive symptoms significantly differed between countries (P < .05),
with Colombian participants reporting higher scores than Canadians and
Venezuelans. Total PHQ-9 scores significantly decreased during CR in Colombia
(mean change =-2.33; P < .001), the United States (mean change =-1.12; P < .001),
and Venezuela (mean change =-2.14; P < .001), but not in Brazil (where less
psychosocial intervention was offered) or Canada (where pre-CR scores were low).
Among the 102 (13.1%) participants with scores in the elevated range pre-CR, the
mean change in PHQ-9 scores was -6.57 +/- 1.09 and 40 (39.2%) participants no
longer had elevated symptoms postprogram. CONCLUSIONS: Depressive symptoms are
variable among patients with CR in South and North American countries. CR
programs incorporating psychosocial components can reduce these symptoms.
PMID- 27182760
TI - Utility of Screening for Obstructive Sleep Apnea in Cardiac Rehabilitation.
AB - PURPOSE: Obstructive sleep apnea (OSA) is prevalent in patients with
cardiovascular disease and is often undiagnosed. The purpose of this study was to
determine the utility of communicating OSA risk with the patients in a diabetes
and cardiac rehabilitation program (CRP) and primary care physicians. METHODS:
Following an OSA education session, 295 patients in diabetes and CRPs were
screened for OSA and daytime sleepiness by STOP-BANG and Epworth Sleepiness Scale
questionnaires. Letters were sent to patients at high risk or noncompliant with
continuous positive airway pressure (CPAP) treatment and their physicians. Follow
up questionnaires were sent to patients 6 months later. RESULTS: Of the 295
patients screened, 16.6% (n = 49) had an OSA diagnosis and had been prescribed
CPAP. A smaller proportion patients in the diabetes program than in the CRP had
discontinued CPAP (22.2% vs 45.2%; P = .03) with discomfort being the greatest
barrier. Three of the 7 patients (42.9%) who had contact with their physician
resumed CPAP. Of the remaining 246 patients who scored high on >=1 questionnaire
(77.6%; n = 191) and returned the 6-month questionnaire (53.9%; n = 103),
communication of risk assessment resulted in 49.5% (n = 51) of patients
consulting with their physician. Of those, half were referred for
polysomnography. All were diagnosed with OSA. CONCLUSION: More than three
quarters of patients in diabetes and CRPs scored high on >=1 questionnaire
suggesting signs/symptoms of OSA. However, only 16.6% were prescribed CPAP and
compliance to treatment was poor (63.3%). Education and communication of OSA risk
with patients and physicians resulted in a de novo diagnosis in at least 1 of 10
patients screened and 16.7% restarted CPAP.
PMID- 27182761
TI - Physiological Responses of the Modified Shuttle Test in Adults With Cystic
Fibrosis.
AB - PURPOSE: The modified shuttle test (MST) is increasingly used in clinical
practice to assess functional capacity in patients with cystic fibrosis (CF). The
purpose of this study was to evaluate the physiological responses of the MST in
adults with CF as compared with the gold standard cardiopulmonary exercise test
(CPET). METHODS: Participants performed an MST and a CPET on a cycle ergometer in
random order. Oxygen (O2) uptake ((Equation is included in full-text
article.)O2), carbon dioxide (CO2) retention (end-tidal PCO2; PETCO2), minute
ventilation, heart rate, and peripheral O2 saturation (SpO2) were continuously
monitored. Whole blood lactate, dyspnea, and leg discomfort were recorded
immediately after both exercises. RESULTS: Twenty patients with CF (aged 33 +/- 8
years; forced expiratory volume in 1 second = 48% +/- 17%) completed both tests.
Peak values for (Equation is included in full-text article.)O2 (27 +/- 7 vs 24 +/
6 mL/kg/min), heart rate (169 +/- 19 vs 163 +/- 16 bpm), end PETCO2 (42 +/- 7 vs
39 +/- 8 mm Hg), and O2 desaturation (end SpO2, 86% +/- 7% vs 90% +/- 6%) were
significantly higher during the MST than during the CPET (all Ps < .05). Leg
discomfort and whole blood lactate were significantly higher after the CPET (both
Ps < .05). Thirty-five percent and 40% of patients showed significant O2
desaturation and CO2 retention, respectively, during the MST, which was not
detected during the CPET. A strong relationship was found between MST peak
(Equation is included in full-text article.)O2 and body weight walking distance
product (r = 0.90; P < .01). CONCLUSIONS: The MST may provide a strong indicator
of exercise tolerance in adults with CF as indicated by high peak (Equation is
included in full-text article.)O2 values. In clinical practice, body weight
walking distance should be considered as the primary outcome. This test is also
better than cycle ergometry CPET for detecting O2 desaturation and CO2 retention,
further emphasizing its clinical interest.
PMID- 27182763
TI - Combined Exercise and Inspiratory Muscle Training in Patients With Heart Failure:
A SYSTEMATIC REVIEW AND META-ANALYSIS.
AB - PURPOSE: Studies have reported the benefits of exercise and inspiratory muscle
training (IMT) in patients with heart failure (HF); however, there is no meta
analysis on the effects of the combination of exercise and IMT in patients with
HF. The objective of this study was to determine whether combined exercise/IMT
was more effective than conventional exercise on exercise capacity, respiratory
muscle strength, and quality of life in patients with HF. METHODS: This is a
systematic review and meta-analysis. We searched MEDLINE, LILACS, CINAHL, EMBASE,
PEDro, and the Cochrane Central Register of Controlled Trials (from the earliest
date available to April 2015) for randomized controlled trials, examining effects
of combined exercise/IMT versus conventional exercise on exercise capacity,
respiratory muscle strength, and quality of life measurements in patients with
HF. Two reviewers selected studies independently. Weighted mean differences and
95% CIs were calculated. RESULTS: Three studies met the study criteria. Combined
exercise/IMT resulted in improvement in maximal inspiratory pressure weighted
mean differences (20.89 cm H2O; 95% CI, 14.0-27.78) and Minnesota Living with
Heart Failure Questionnaire weighted mean differences (4.43; 95% CI, 0.72-8.14).
Nonsignificant difference was observed in peak (Equation is included in full-text
article.)O2 for participants in the combined exercise/IMT group compared with the
conventional exercise group. No serious adverse events were reported.
CONCLUSIONS: Combined exercise/IMT may improve maximal inspiratory pressure and
quality of life in patients with HF and should be considered for inclusion in
cardiac rehabilitation programs.
PMID- 27182762
TI - Insulin Resistance and Diabetes Mellitus in Contemporary Cardiac Rehabilitation.
AB - PURPOSE: The goal of this study was to determine the prevalence of insulin
resistance (IR) and type 2 diabetes mellitus (T2DM) in contemporary cardiac
rehabilitation (CR) and to compare clinical responses in CR between these subsets
of patients with coronary heart disease (CHD). METHODS: The study cohort included
818 patients enrolled in CR and separated into 3 groups: (1) individuals with
normal hemoglobin A1c (HbA1c) (NoIR: HbA1c < 5.7%); (2) individuals with IR (IR:
HbA1c >= 5.7 to <6.5%); (3) and individuals with T2DM (HbA1c >= 6.5%). RESULTS:
The combined prevalence of IR (44%) and T2DM (23%) was 67%, which paralleled the
prevalence of metabolic syndrome (MetSyn), present in 65% of patients. Women had
a higher prevalence of IR and MetSyn than men (73% vs 64%, 72% vs. 63%,
respectively) and a greater percentage with an elevated waist circumference (71%
vs 60%) (all P < .05). All 3 groups experienced decreases in body weight (NoIR =
2.3 +/- 4.0, IR = -1.7 +/- 4.0, T2DM = -1.0 +/- 4.2 kg) and increases in maximal
metabolic equivalents (METs) at exercise testing (NoIR = +2.2 +/- 2.5 vs IR =
+2.1 +/- 2.8 vs T2DM = +1.3 +/- 2.3) (all P < .05). Individuals with NoIR
achieved greater improvements in weight, body mass index, and METs than patients
with T2DM (all P < .05). Selected individuals who participated in a 4-session
behavioral weight-loss program lost more than twice the weight as
nonparticipants. CONCLUSIONS: The combined prevalence of IR and T2DM in patients
with CHD enrolled in CR was remarkably high (67%). To reverse the deleterious
consequences of IR and T2DM, targeted interventions involving exercise and weight
loss need to be a central focus of CR programming.
PMID- 27182765
TI - Cultivating teacher mindfulness: Effects of a randomized controlled trial on
work, home, and sleep outcomes.
AB - The effects of randomization to a workplace mindfulness training (WMT) or a
waitlist control condition on teachers' well-being (moods and satisfaction at
work and home), quantity of sleep, quality of sleep, and sleepiness during the
day were examined in 2 randomized, waitlist controlled trials (RCTs). The
combined sample of the 2 RCTs, conducted in Canada and the United States,
included 113 elementary and secondary school teachers (89% female). Measures were
collected at baseline, postprogram, and 3-month follow-up; teachers were randomly
assigned to condition after baseline assessment. Results showed that teachers
randomized to WMT reported less frequent bad moods at work and home, greater
satisfaction at work and home, more sleep on weekday nights, better quality
sleep, and decreased insomnia symptoms and daytime sleepiness. Training-related
group differences in mindfulness and rumination on work at home at postprogram
partially mediated the reductions in negative moods at home and increases in
sleep quality at follow-up. (PsycINFO Database Record
PMID- 27182764
TI - Drawbacks of proactivity: Effects of daily proactivity on daily salivary cortisol
and subjective well-being.
AB - The benefit of proactive work behaviors for performance-related outcomes has been
well established. However, this approach to studying proactivity has not yet
acknowledged its potential implications for the actor's well-being. Drawing on
the fact that resources at work are limited and that the workplace is a social
system characterized by interdependencies, we proposed that daily proactivity
could have a negative effect on daily well-being. We furthermore proposed that
this effect should be mediated by work overload and negative affect. We conducted
a daily diary study (N = 72) to test the potential negative effects of
proactivity on daily well-being. Data was collected across 3 consecutive work
days. During several daily measurement occasions, participants reported
proactivity, work overload, negative affect, and fatigue. They also provided 4
saliva samples per day, from which cortisol was assayed. Based on the 4 samples,
a measure of daily cortisol output was produced. Multilevel analyses showed that
daily proactivity was positively associated with higher daily cortisol output.
The positive association of daily proactivity with bedtime fatigue was marginally
significant. There was no support for a mediating effect of work overload and
negative affect. Implications for theory-building on the proactivity-well-being
link are discussed. (PsycINFO Database Record
PMID- 27182766
TI - Attachment of Algal Cells to Zwitterionic Self-Assembled Monolayers Comprised of
Different Anionic Compounds.
AB - The influence of zwitterionic self-assembled monolayers on settlement and removal
of algae was studied. The monolayers were constructed either from zwitterionic
thiols or from solutions of positively and negatively charged thiols. The
cationic component was composed of quaternary ammonium terminated thiols and the
anionic component contained sulfate or carboxylate termination. During assembly,
all surfaces showed a strong tendency for equilibration of the surface charge.
Settlement and adhesion assays with zoospores of Ulva linza and the diatom
Navicula incerta, and field tests of the initial surface colonization revealed
the relevance of charge equilibration for the biological inertness of the
prepared surfaces.
PMID- 27182767
TI - One year test-retest reliability of neurocognitive baseline scores in 10- to 12
year olds.
AB - How often youth athletes 10-12 years of age should undergo neurocognitive
baseline testing remains an unanswered question. We sought to examine the test
retest reliability of annual ImPACT data in a sample of middle school athletes.
Participants were 30 youth athletes, ages 10-12 years (Mean = 11.6, SD = 0.6)
selected from a larger database of 10-18 year old athletes, who completed two
consecutive annual baseline evaluations using the online version of ImPACT.
Athlete assent and parental consent were obtained for all participants.
Assessments were conducted either individually or in small groups of 2 to 3
athletes, under the supervision of a neuropsychologist or post-doctoral fellow.
Test-retest coefficients were as follows: Verbal Memory .71, Visual Memory .35,
Visual Motor Speed .69, Reaction Time .34. Intra-class Correlation Coefficients
(single/average) were as follows: Verbal Memory .70/.83, Visual Memory .35/.52,
Visual Motor Speed .69/.82, Reaction Time .34/.50. Regression-based measures to
correct for practice effects revealed that only a small percentage of cases fell
outside 90 and 95% confidence intervals, reflecting stability across assessments.
Findings indicate that test-retest reliability of Verbal Memory and Visual Motor
Speed are generally stable in 10-12 year old athletes. Nevertheless, Visual
Memory Index, Reaction Time Index, and Symptom Checklist scores appear to be less
reliable over time, especially compared to published data on high school
athletes, suggesting the utility of re-testing on an annual basis in this younger
age group.
PMID- 27182768
TI - ADAMTS4 and Oxidative/Antioxidative Status in Preterm Premature Rupture of
Membranes.
AB - AIM: To determine the function of a disintegrin and metalloproteinase with
thrombospondin motifs-4 (ADAMTS4), total oxidant status (TOS), total antioxidant
status (TAS), and aryl esterase (ARES) in preterm premature rupture of membranes
(PPROM) and to investigate the association with premature rupture of membranes
(PROMs). MATERIAL AND METHODS: 58 pregnant women were included in this
prospective study which comprised 29 PPROM patients as the study group and 29
patients, having healthy amniotic membranes, as the control group. ADAMTS4, TAS,
TOS, and ARES levels were studied in the amniotic membrane homogenates of the
patients. RESULTS: ADAMTS4, TAS TOS, and ARES levels of amniotic membrane lysates
were significantly different between PPROM and control groups (p < 0.001, p <
0.001, p = 0.008 and p = 0.002, respectively). Increased amniotic membrane
ADAMTS4 (OR: 1.051 95% CI 1.006-1.098, p = 0.024) and TOS (OR: 12.777 95% CI
1.595-102.323, p = 0.016) were found to be significantly associated with the
increased risk of PPROM. CONCLUSION: ADAMTS4, TOS, and ARES levels were higher
and TAS level was lower in PPROM patients than the normal healthy control group
which had healthy amniotic membranes at term. As a result, ADAMTS4 may have a
role in the pathogenesis by causing increased oxidative and inflammatory
environment in PPROM.
PMID- 27182770
TI - Determination of Aroma Compound Partition Coefficients in Aqueous,
Polysaccharide, and Dairy Matrices Using the Phase Ratio Variation Method: A
Review and Modeling Approach.
AB - The partition of aroma compounds between a matrix and a gas phase describes an
individual compound's specific affinity toward the matrix constituents affecting
orthonasal sensory perception. The static headspace phase ratio variation (PRV)
method has been increasingly applied by various authors to determine the
equilibrium partition coefficient K in aqueous, polysaccharide, and dairy
matrices. However, reported partition coefficients are difficult to relate and
compare due to different experimental conditions, e.g., aroma compound selection,
matrix composition, equilibration temperature. Due to its specific advantages,
the PRV method is supposed to find more frequent application in the future, this
Review aims to summarize, evaluate, compare, and relate the currently available
data on PRV-determined partition coefficients. This process was designed to
specify the potentials and the limitations as well as the consistency of the PRV
method, and to identify open fields of research in aroma compound partitioning in
food-related, especially dairy matrices.
PMID- 27182771
TI - IBD LIVE Case Series-Case 4: Worms in IBD: Friend or Foe.
PMID- 27182769
TI - Clozapine administration in clinical practice: once-daily versus divided dosing.
AB - OBJECTIVE: While it is recommended that clozapine be administered in a divided
dosing regimen, it is unclear whether this recommendation is followed in real
world clinical practice. In two large datasets, we examined clozapine dosing
frequency and patient characteristics across different dosing regimens. METHOD:
We conducted a cross-sectional survey, collecting data on patients receiving
clozapine in August/September 2015 from the Centre for Addiction and Mental
Health (CAMH) in Toronto, Canada, and The Zucker Hillside Hospital (ZHH) in New
York, United States. RESULTS: Of 676 and 308 patients included in CAMH and ZHH
datasets, clozapine was prescribed once daily in 75.1% and 74.4%, even though
doses exceeding 200 mg/day were administered in 88.6% and 84.4% of the respective
samples. No significant difference was found in the rates of positive symptom
remission between once-daily vs. divided dosing (79.7% vs. 80.5%, P = 1.00).
Higher clozapine dose and use of anticholinergic medications were significantly
associated with divided dosing in both datasets. Older age or male gender was
related to divided dosing in CAMH or ZHH dataset respectively. CONCLUSION:
Despite the product monograph recommendation, clozapine is frequently prescribed
once daily in North America. Further studies are needed to compare clinical
outcomes between once-daily vs. divided clozapine dosing.
PMID- 27182772
TI - Coronary artery disease in outpatients with nonvalvular atrial fibrillation:
results from the multicenter RAMSES study.
AB - BACKGROUND: Nonvalvular atrial fibrillation (NVAF) frequently coexists with
coronary artery disease (CAD). However, the optimal antithrombotic strategy for
patients with concomitant CAD and NVAF is controversial. The aim of this study is
to assess the prevalence of CAD with NVAF and to determine antithrombotic
treatment options in patients with or without a history of CAD. METHODS: ReAl
life Multicenter Survey Evaluating Stroke prevention strategies in Turkey (RAMSES
study) was a cross-sectional, multicenter, nationwide observational study carried
out in NVAF patients. We studied the clinical data of 6264 Turkish patients
participating in the RAMSES study. Demographic properties and antithrombotic
therapies were recorded and compared between patients with and without CAD.
RESULTS: CAD was present in 1828 (29.2%) of patients with NVAF. Most of the NVAF
patients with CAD were men (58%) and comorbid diseases were significantly more
common in patients with CAD. Although patients with CAD had higher CHA2DS2VASc
scores than those without disease (4.1+/-1.5 vs. 2.9+/-1.5; P=0.001), they had
lower use of oral anticoagulant (OAC) therapy (66.1 vs. 74.4%, P=0.01). Among OAC
drugs, warfarin was the preferred medication in patients with CAD (35.4 vs.
30.6%, P=0.01), whereas nonvitamin K antagonist oral anticoagulants were
preferred in patients without CAD (40 vs. 34.3%, P=0.01). Patients with CAD
required nearly three-fold higher antiplatelet treatment compared with patients
without CAD (57.8 vs. 21.4%, P<0.001). CONCLUSION: CAD affects nearly one-third
of patients with NVAF in a real-world setting. Although they merit more
aggressive therapy, patients with CAD and NVAF were less likely to receive OAC
therapy.
PMID- 27182773
TI - Epidemic and Non-Epidemic Hot Spots of Malaria Transmission Occur in Indigenous
Comarcas of Panama.
AB - From 2002-2005, Panama experienced a malaria epidemic that has been associated
with El Nino Southern Oscillation weather patterns, decreased funding for malaria
control, and landscape modification. Case numbers quickly decreased afterward,
and Panama is now in the pre-elimination stage of malaria eradication. To achieve
this new goal, the characterization of epidemiological risk factors, foci of
transmission, and important anopheline vectors is needed. Of the 24,681 reported
cases in these analyses (2000-2014), ~62% occurred in epidemic years and ~44% in
indigenous comarcas (5.9% of Panama's population). Sub-analyses comparing overall
numbers of cases in epidemic and non-epidemic years identified females, comarcas
and some 5-year age categories as those disproportionately affected by malaria
during epidemic years. Annual parasites indices (APIs; number of cases per 1,000
persons) for Plasmodium vivax were higher in comarcas compared to provinces for
all study years, though P. falciparum APIs were only higher in comarcas during
epidemic years. Interestingly, two comarcas report increasing numbers of cases
annually, despite national annual decreases. Inclusion of these comarcas within
identified foci of malaria transmission confirmed their roles in continued
transmission. Comparison of species distribution models for two important
anophelines with Plasmodium case distribution suggest An. albimanus is the
primary malaria vector in Panama, confirmed by identification of nine P. vivax
infected specimen pools. Future malaria eradication strategies in Panama should
focus on indigenous comarcas and include both active surveillance for cases and
comprehensive anopheline vector surveys.
PMID- 27182774
TI - Improved Detection of Circulating Tumor Cells in Metastatic Colorectal Cancer by
the Combination of the CellSearch(r) System and the AdnaTest(r).
AB - Colorectal cancer (CRC) is one of the major causes of cancer-related death and
reliable blood-based prognostic biomarkers are urgently needed. The enumeration
and molecular characterization of circulating tumor cells (CTCs) has gained
increasing interest in clinical practice. CTC detection by CellSearch(r) has
already been correlated to an unfavorable outcome in metastatic CRC. However, the
CTC detection rate in mCRC disease is low compared to other tumor entities. Thus,
the use of alternative (or supplementary) assays might help to itemize the
prognostic use of CTCs as blood-based biomarkers. In this study, blood samples
from 47 mCRC patients were screened for CTCs using the FDA-cleared CellSearch(r)
technology and / or the AdnaTest(r). 38 samples could be processed in parallel.
We demonstrate that a combined analysis of CellSearch(r) and the AdnaTest(r)
leads to an improved detection of CTCs in our mCRC patient cohort (positivity
rate CellSearch(r) 33%, AdnaTest(r) 30%, combined 50%). While CTCs detected with
the CellSearch(r) system were significantly associated with progression-free
survival (p = 0.046), a significant correlation regarding overall survival could
be only seen when both assays were combined (p = 0.013). These findings could
help to establish improved tools to detect CTCs as on-treatment biomarkers for
clinical routine in future studies.
PMID- 27182776
TI - Cold-Water Corals and Anthropogenic Impacts in La Fonera Submarine Canyon Head,
Northwestern Mediterranean Sea.
AB - We assess the occurrence and extent of cold-water coral (CWC) species Madrepora
oculata and Dendrophyllia cornigera, as well as gorgonian red coral Corallium
rubrum, in La Fonera canyon head (Northwestern Mediterranean Sea), as well as
human impacts taking place in their habitats. Occurrence is assessed based on
Remotely Operated Vehicle (ROV) video imaging. Terrain classification techniques
are applied to high-resolution swath bathymetric data to obtain semi-automatic
interpretative maps to identify the relationship between coral distribution
patterns and canyon environments. A total of 21 ROV immersions were carried out
in different canyon environments at depths ranging between 79 and 401 m. Large,
healthy colonies of M. oculata occur on abrupt, protected, often overhanging,
rocky sections of the canyon walls, especially in Illa Negra branch. D. cornigera
is sparser and evenly distributed at depth, on relatively low sloping areas, in
rocky but also partially sedimented areas. C. rubrum is most frequent between 100
and 160 m on highly sloping rocky areas. The probable extent of CWC habitats is
quantified by applying a maximum entropy model to predict habitat suitability:
0.36 km2 yield M. oculata occurrence probabilities over 70%. Similar predictive
models have been produced for D. cornigera and C. rubrum. All ROV transects
document either the presence of litter on the seafloor or pervasive trawling
marks. Nets and longlines are imaged entangled on coral colonies. Coral rubble is
observed at the foot of impacted colonies. Some colonies are partially covered by
sediment that could be the result of the resuspension generated by bottom
trawling on neighbouring fishing grounds, which has been demonstrated to be
responsible of daily increases in sediment fluxes within the canyon. The
characteristics of the CWC community in La Fonera canyon are indicative that it
withstands high environmental stress of both natural and human origin.
PMID- 27182775
TI - Characterization of Novel Hepatitis B Virus PreS/S-Gene Mutations in a Patient
with Occult Hepatitis B Virus Infection.
AB - OBJECTIVE: The impact of hepatitis B virus (HBV) preS/S-gene mutations on occult
HBV infection (OBI) is not fully understood. This study characterized multiple
novel HBV preS/S-gene mutants obtained from an OBI patient. METHODS: PreS/S-gene
mutants were analyzed by clonal sequencing. Viral replication and expression were
analyzed by transfecting HBV genomic recombinants into HepG2 cells. RESULTS:
Twenty-one preS/S-gene mutants were cloned from four sequential serum samples,
including 13 mutants that were not previously documented: (1) sI/T126V+sG145R;
(2) preS1 nt 3014-3198 deletion; (3) preS1 nt 3046-3177 deletion; (4) preS1 nt
3046-3177 deletion+s115-116 "INGTST" insertion; (5) preS1 nt 3046-3177
deletion+s115-116 "INGTST" insertion+sG145R; (6) preS1 nt 3115-3123
deletion+sQ129N; (7) preS1 nt 3115-3123 deletion+s126-127 "RPCMNCTI" insertion;
(8) s115-116 "INGTST" insertion; (9) s115-116 "INGTST" insertion+sG145R; (10)
s126-127 "RPCMNCTI" insertion; (11) preS1 nt 2848-2862 deletion+preS2 initiation
codon M->I; (12) s122-123 "KSTGLCK" insertion+sQ129N; and (13) preS2 initiation
codon M->I+s131-133TSM->NST. The proportion of preS1 nt 3046-3177 deletion and
preS2 initiation codon M->I+s131-133TSM->NST mutants increased in the viral pool
with prolonged disease. The 13 novel OBI-related mutants showed a 51.2-99.9%
decrease in HBsAg levels compared with that of the wild type. Additional N
glycosylation-associated mutations, sQ129N and s131-133TSM->NST, but not s126-127
"RPCMNCTI," greatly attenuated anti-HBs binding to HBsAg. Compared with the wild
type, replication and surface antigen promoter II activity of the preS1 nt 3046
3177 deletion mutant decreased by 43.3% and 97.0%, respectively. CONCLUSION:
PreS/S-gene mutations may play coordinated roles in the presentation of OBI and
might be associated with disease progression. This has implications for HBV
diagnosis and vaccine improvement.
PMID- 27182777
TI - Multifunctional and Continuous Gradients of Biointerfaces Based on Dual Reverse
Click Reactions.
AB - Chemical or biological gradients that are composed of multifunctional and/or
multidirectional guidance cues are of fundamental importance for prospective
biomaterials and biointerfaces. As a proof of concept, a general modification
approach for generating multifunctional and continuous gradients was realized via
two controlled and reversed click reactions, namely, thermo-activated thiol-yne
and copper-free alkyne and azide click reactions. The cell adhesion property of
fibroblasts was guided in a gradient with an enhancement, showing that the PEG
molecule and RGD peptide were countercurrently immobilized to form such reversed
gradients (with negating of the cell adhesion property). Using the gradient
modification protocol to also create countercurrent distributions of FGF-2 and
BMP-2 gradients, the demonstration of not only multifunctional but also gradient
biointerfacial properties was resolved in time latencies on one surface by
showing the manipulation in gradients toward proliferation and osteogenic
differentiation for adipose-derived stem cells.
PMID- 27182778
TI - Novel Luminex Assay for Telomere Repeat Mass Does Not Show Well Position Effects
Like qPCR.
AB - Telomere length is a potential biomarker of aging and risk for age-related
diseases. For measurement of relative telomere repeat mass (TRM), qPCR is
typically used primarily due to its low cost and low DNA input. But the position
of the sample on a plate often impacts the qPCR-based TRM measurement. Recently
we developed a novel, probe-based Luminex assay for TRM that requires ~50ng DNA
and involves no DNA amplification. Here we report, for the first time, a
comparison among TRM measurements obtained from (a) two singleplex qPCR assays
(using two different primer sets), (b) a multiplex qPCR assay, and (c) our novel
Luminex assay. Our comparison is focused on characterizing the effects of sample
positioning on TRM measurement. For qPCR, DNA samples from two individuals (K and
F) were placed in 48 wells of a 96-well plate. For each singleplex qPCR assay, we
used two plates (one for Telomere and one for Reference gene). For the multiplex
qPCR and the Luminex assay, the telomere and the reference genes were assayed
from the same well. The coefficient of variation (CV) of the TRM for Luminex (7.2
to 8.4%) was consistently lower than singleplex qPCR (11.4 to 14.9%) and
multiplex qPCR (19.7 to 24.3%). In all three qPCR assays the DNA samples in the
left- and right-most columns showed significantly lower TRM than the samples
towards the center, which was not the case for the Luminex assay (p = 0.83). For
singleplex qPCR, 30.5% of the variation in TL was explained by column-to-column
variation and 0.82 to 27.9% was explained by sample-to-sample variation. In
contrast, only 5.8% of the variation in TRM for the Luminex assay was explained
by column-to column variation and 50.4% was explained by sample-to-sample
variation. Our novel Luminex assay for TRM had good precision and did not show
the well position effects of the sample that were seen in all three of the qPCR
assays that were tested.
PMID- 27182779
TI - Microleakage under ceramic flash-free orthodontic brackets after thermal cycling.
AB - OBJECTIVE: To compare microleakage under 3M Unitek's APC Flash-Free Adhesive
Coated System bracket and the APC PLUS Adhesive Coated System bracket after
thermal cycling. MATERIALS AND METHODS: Forty freshly extracted human maxillary
premolars were randomly divided into two groups and bonded with either a Flash
Free bracket or a PLUS bracket. After bonding, the samples were incubated in a
water bath at 37 degrees C for 24 hours and thermocycled for 5000 cycles between
5 degrees C and 50 degrees C. All teeth were immersed in a 2% methylene blue
solution for 24 hours, embedded in acrylic and sectioned in a buccolingual
direction at approximately the center of the bracket. Microleakage was observed
at the enamel-adhesive interface from the occlusal and gingival margins of the
bracket base. Statistical analysis was conducted using the Mann-Whitney U-test.
RESULTS: The median microleakage was higher in the Flash-Free group, but the
difference between the two groups was not statistically significant (P > .05).
CONCLUSION: In a laboratory setting, there is no significant difference between
the extent of microleakage under the APC Flash-Free Adhesive Coated System
bracket and the APC PLUS Adhesive Coated System bracket after thermal cycling.
PMID- 27182780
TI - Assessment of vertical changes during maxillary expansion using quad helix or
bonded rapid maxillary expander.
AB - OBJECTIVE: To determine if there is a significantly different effect on vertical
changes during phase I palatal expansion treatment using a quad helix and a
bonded rapid maxillary expander in growing skeletal Class I and Class II
patients. MATERIALS AND METHODS: This retrospective study looked at 2 treatment
groups, a quad helix group and a bonded rapid maxillary expander group, before
treatment (T1) and at the completion of phase I treatment (T2). Each treatment
group was compared to an untreated predicted growth model. Lateral cephalograms
at T1 and T2 were traced and analyzed for changes in vertical dimension. RESULTS:
No differences were found between the treatment groups at T1, but significant
differences at T2 were found for convexity, lower facial height, total facial
height, facial axis, and Frankfort Mandibular Plane Angle (FMA) variables. A
comparison of treatment groups at T2 to their respective untreated predicted
growth models found a significant difference for the lower facial height variable
in the quad helix group and for the upper first molar to palatal plane (U6-PP)
variable in the bonded expander group. CONCLUSION: Overall, both the quad helix
expander and the bonded rapid maxillary expander showed minimal vertical changes
during palatal expansion treatment. The differences at T2 suggested that the quad
helix expander had more control over skeletal vertical measurements. When
comparing treatment results to untreated predicted growth values, the quad helix
expander appeared to better maintain lower facial height and the bonded rapid
maxillary expander appeared to better maintain the maxillary first molar vertical
height.
PMID- 27182781
TI - Dynamic smile evaluation in different skeletal patterns.
AB - OBJECTIVE: To evaluate dynamic smile in different skeletal patterns and to
correlate vertical smile parameters with the underlying causative factors.
MATERIALS AND METHODS: A total of 150 participants ranging in age from 16-25
years were selected and divided into one of three groups-horizontal, average, and
vertical skeletal pattern-using the following three cephalometric parameters: SN
MP, FMA, and Jarabak ratio. Videographic records of smile were obtained, and
measurements were recorded and analyzed at rest, including upper lip length, and
during smile, including maxillary incisal display, interlabial gap,
intercommisural width, change in upper lip length, and smile arc. Differences
among the three groups were subjected to two-way analysis of variance and post
hoc and chi-square tests for smile arc. Correlations between vertical smile
variables and vertical skeletal (N-ANS, N-Me) and dental cephalometric
measurements (U1 to palatal plane) were also investigated. RESULTS: Vertical
parameters were significantly increased in the vertical pattern when compared
with the horizontal pattern, ie, upper lip length (P < .01), maxillary incisal
display (P < .001), interlabial gap (P < .001), and change in upper lip length (P
< .001), whereas intercommisural width was significantly decreased in vertical
pattern when compared with the horizontal pattern (P < .001). Flat smile arc was
seen more frequently in the horizontal pattern. Positive correlations were found
between the N-Me, U1-PP, and change in upper lip length with vertical smile
parameters. CONCLUSIONS: Different skeletal patterns exhibit their characteristic
smile features. Upper lip length is not responsible for increased incisal display
during smile. Increased incisal display during smile is more closely associated
with upper lip elevation than vertical skeletal and dental factors.
PMID- 27182782
TI - High-Dose Desflurane for Tocolysis During Intrapartum Myelomeningocele Repair in
a Patient With Post-Adriamycin Cardiomyopathy.
AB - High-dose desflurane is an uncommon need during general anesthesia. At our
institution, open fetal surgery cases are managed with high desflurane
concentrations to ensure uterine relaxation. We present a case of a 32-year-old
parturient with a history of mild cardiomyopathy undergoing open fetal
myelomeningocele repair. Phenylephrine and dopamine infusions helped maintain
hemodynamic stability at 18% desflurane, while cardiac function was monitored
with transthoracic echocardiography. This case is notable for the unknown risk of
using high-dose desflurane with a preexisting cardiomyopathy and raises the
question of the acceptable maternal risk in the setting of fetal surgery.
PMID- 27182783
TI - Normative influences on the nonmedical use of prescription stimulants among
college students.
AB - Recent epidemiological data indicate an increasing trend in the nonmedical use of
prescription drugs among college students. The nonmedical use of prescription
drugs involves using a prescription medication without a prescription, or using
the medication in a manner inconsistent with a health care provider's
instructions. Among college students, prescription stimulants have the highest
ratio of nonmedical to medical use and the highest rate of illicit selling and
trading on college campuses. The increased prevalence is accompanied by increased
risk, as 18-25-year-olds have the highest rate of emergency department visits for
nonmedical use of prescription stimulants (NMUPS). To date, no study has examined
the impact of social norms on NMUPS. The social norm theory has accounted for
other health related behaviors (e.g., alcohol and marijuana use) and has been
utilized in brief interventions targeting risky health behaviors. The current
study examined the prevalence of self-reported NMUPS among college students and
the impact of perceived social norms on NMUPS. The current study included 959
participants who completed an online survey that assessed for NMUPS, self
approval for NMUPS, and perceived descriptive and injunctive norms for NMUPS.
Similar to previous research on alcohol and marijuana use, students overestimated
the prevalence of NMUPS and this overestimation was related to past-year NMUPS.
Perceived close friend and parental approval of NMUPS was positively related to
self-approval and past-year NMUPS. Overall, the study broadens the existing
literature on NMUPS and provides a platform for developing brief interventions
that target this risky behavior. (PsycINFO Database Record
PMID- 27182784
TI - A Qualitative Study Exploring Moral Distress Among Pediatric Resuscitation Team
Clinicians: Challenges to Professional Integrity.
AB - OBJECTIVE: Our study objectives were to explore moral distress among pediatric
team clinicians within the context of resuscitation experiences, and determine
whether there were any distinctively ethical perspectives on moral distress that
could be conceptualized as challenges to professional integrity, rather than to
previously described psychological responses of clinicians. DESIGN: Descriptive,
exploratory qualitative study. SETTING: A large tertiary pediatric academic
hospital in Houston, TX. SUBJECTS: Twenty-five PICU resuscitation team clinicians
were interviewed from December 2012 to April 2013. INTERVENTIONS: None.
MEASUREMENTS AND MAIN RESULTS: All clinicians reported experiencing moral
distress during certain resuscitations. Twenty-one of 25 clinicians reflected and
acknowledged that their sense of professional integrity had been challenged
during those resuscitation events. Four main components of resuscitation
experience that induced moral distress were identified: 1) experiences where
there was lack of understanding of the big picture; 2) experiences where there
was suboptimal team leadership; 3) experiences where there was variable meanings
to the word "resuscitation"; and 4) experiences were there was uncertainty of
role responsibility. CONCLUSIONS: The perception of moral distress exists among
pediatric clinicians during resuscitations and could be conceptualized as
challenges to professional integrity. This ethical framework offers an
alternative approach to understanding and investigating the complex layers of
moral distress.
PMID- 27182785
TI - HPV vaccination in Southern Africa: A jab of hope in the fight against cervical
cancer.
PMID- 27182786
TI - Socioecological correlates of clinical signs in two communities of wild
chimpanzees (Pan troglodytes) at Gombe National Park, Tanzania.
AB - Disease and other health hazards pose serious threats to the persistence of wild
ape populations. The total chimpanzee population at Gombe National Park,
Tanzania, has declined from an estimated 120 to 150 individuals in the 1960's to
around 100 individuals by the end of 2013, with death associated with observable
signs of disease as the leading cause of mortality. In 2004, we began a non
invasive health-monitoring program in the two habituated communities in the park
(Kasekela and Mitumba) with the aim of understanding the prevalence of health
issues in the population, and identifying the presence and impacts of various
pathogens. Here we present prospectively collected data on clinical signs
(observable changes in health) in the chimpanzees of the Kasekela (n = 81) and
Mitumba (n = 32) communities over an 8-year period (2005-2012). First, we take a
population approach and analyze prevalence of clinical signs in five different
categories: gastrointestinal system (diarrhea), body condition (estimated weight
loss), respiratory system (coughing, sneezing etc.), wounds/lameness, and
dermatologic issues by year, month, and community membership. Mean monthly
prevalence of each clinical sign per community varied, but typically affected
<10% of observed individuals. Secondly, we analyze the presence of clinical signs
in these categories as they relate to individual demographic and social factors
(age, sex, and dominance rank) and simian immunodeficiency virus (SIVcpz)
infection status. Adults have higher odds of being observed with diarrhea, loss
of body condition, and wounds or lameness when compared to immatures, while males
have a higher probability of being observed with wounds or lameness than females.
In contrast, signs of respiratory illness appear not to be related to chimpanzee
specific factors and skin abnormalities are very rare. For a subset of known-rank
individuals, dominance rank predicts the probability of wounding/lameness in
adult males, but does not predict any adverse clinical signs in adult females.
Instead, adult females with SIVcpz infection are more likely to be observed with
diarrhea, a finding that warrants further investigation. Comparable data are
needed from other sites to determine whether the prevalence of clinical signs we
observe are relatively high or low, as well as to more fully understand the
factors influencing health of wild apes at both the population and individual
level. Am. J. Primatol. 80:e22562, 2018. (c) 2016 Wiley Periodicals, Inc.
PMID- 27182787
TI - Proton Conduction in a Phosphonate-Based Metal-Organic Framework Mediated by
Intrinsic "Free Diffusion inside a Sphere".
AB - Understanding the molecular mechanism of proton conduction is crucial for the
design of new materials with improved conductivity. Quasi-elastic neutron
scattering (QENS) has been used to probe the mechanism of proton diffusion within
a new phosphonate-based metal-organic framework (MOF) material, MFM-500(Ni). QENS
suggests that the proton conductivity (4.5 * 10(-4) S/cm at 98% relative humidity
and 25 degrees C) of MFM-500(Ni) is mediated by intrinsic "free diffusion inside
a sphere", representing the first example of such a mechanism observed in MOFs.
PMID- 27182789
TI - Cluster Evolution at Early Stages of 1,3,5-Triamino-2,4,6-trinitrobenzene under
Various Heating Conditions: A Molecular Reactive Force Field Study.
AB - We carried out reactive molecular dynamics simulations by ReaxFF to study the
initial events of an insensitive high explosive 1,3,5-triamino-2,4,6
trinitrobenzene (TATB) against various thermal stimuli including constant
temperature heating, programmed heating, and adiabatic heating to simulate TATB
suffering from accidental heating in reality. Cluster evolution at the early
stage of the thermal decomposition of condensed TATB was the main focus as
cluster formation primarily occurs when TATB is heated. The results show that
cluster formation is the balance of the competition of intermolecular collision
and molecular decomposition of TATB, that is, an appropriate temperature and
certain duration are required for cluster formation and preservation. The
temperature in the range of 2000-3000 K was found to be optimum for fast
formation and a period of preservation. Besides, the intra- and intermolecular H
transfers are always favorable, whereas the C-NO2 partition was favorable at high
temperature. The simulation results are helpful to deepen the insight into the
thermal properties of condensed TATB.
PMID- 27182788
TI - Adherence to ARRIVE Guidelines in Chinese Journal Reports on Neoplasms in
Animals.
AB - BACKGROUND: The Animals in Research: Reporting In Vivo Experiments (ARRIVE)
guidelines were published in 2010 with the aim of improving the quality of
studies involving animals. However, how well Chinese studies involving animal
neoplasms adhere to these guidelines has not been assessed. OBJECTIVE: To
evaluate the reporting quality of such experiments published between 2010 and
2012 in Chinese journals with support from the National Natural Science
Foundation of China. METHODS: We searched the Chinese Science Citation and
Chinese Journal Full-Text Databases for articles published between 2010 and 2012
involving neoplasms in animals. The data were extracted into pre-prepared forms.
Reporting quality was assessed using the ARRIVE checklist-39 items plus
information on blinding. RESULTS: Three hundred and ninety-six animal studies
were included in the analysis: 127 studies published in 2010, 140 studies
published in 2011, and 129 studies published in 2012. The range of ARRIVE score
is from 12 to 27 with a maximum possible score of 40. Studies published in 2012
(P = 0.012), 2011 (P = 0.015), 2010, July~Dec (P<0.017) had a significantly
larger ARRIVE checklist score than those published in Jan.~June, 2010,
respectively. CONCLUSIONS: Experiments involving neoplasms in animals published
in Chinese journals generally have not comprehensively reported the information
recommended by the ARRIVE guidelines. We strongly recommend that researchers
conducting such studies report this information.
PMID- 27182790
TI - Medicaid Coverage and Access to Care for American Indians and Alaska Natives
Under the Affordable Care Act.
PMID- 27182791
TI - Global sensitivity analysis and Bayesian parameter inference for solute transport
in porous media colonized by biofilms.
AB - The concept of dual flowing continuum is a promising approach for modeling solute
transport in porous media that includes biofilm phases. The highly dispersed
transit time distributions often generated by these media are taken into
consideration by simply stipulating that advection-dispersion transport occurs
through both the porous and the biofilm phases. Both phases are coupled but
assigned with contrasting hydrodynamic properties. However, the dual flowing
continuum suffers from intrinsic equifinality in the sense that the outlet solute
concentration can be the result of several parameter sets of the two flowing
phases. To assess the applicability of the dual flowing continuum, we investigate
how the model behaves with respect to its parameters. For the purpose of this
study, a Global Sensitivity Analysis (GSA) and a Statistical Calibration (SC) of
model parameters are performed for two transport scenarios that differ by the
strength of interaction between the flowing phases. The GSA is shown to be a
valuable tool to understand how the complex system behaves. The results indicate
that the rate of mass transfer between the two phases is a key parameter of the
model behavior and influences the identifiability of the other parameters. For
weak mass exchanges, the output concentration is mainly controlled by the
velocity in the porous medium and by the porosity of both flowing phases. In the
case of large mass exchanges, the kinetics of this exchange also controls the
output concentration. The SC results show that transport with large mass exchange
between the flowing phases is more likely affected by equifinality than transport
with weak exchange. The SC also indicates that weakly sensitive parameters, such
as the dispersion in each phase, can be accurately identified. Removing them from
calibration procedures is not recommended because it might result in biased
estimations of the highly sensitive parameters.
PMID- 27182792
TI - Predicting groundwater redox status on a regional scale using linear discriminant
analysis.
AB - Reducing conditions are necessary for denitrification, thus the groundwater redox
status can be used to identify subsurface zones where potentially significant
nitrate reduction can occur. Groundwater chemistry in two contrasting regions of
New Zealand was classified with respect to redox status and related to mappable
factors, such as geology, topography and soil characteristics using discriminant
analysis. Redox assignment was carried out for water sampled from 568 and 2223
wells in the Waikato and Canterbury regions, respectively. For the Waikato region
64% of wells sampled indicated oxic conditions in the water; 18% indicated
reduced conditions and 18% had attributes indicating both reducing and oxic
conditions termed "mixed". In Canterbury 84% of wells indicated oxic conditions;
10% were mixed; and only 5% indicated reduced conditions. The analysis was
performed over three different well depths, <25m, 25 to 100 and >100m. For both
regions, the percentage of oxidised groundwater decreased with increasing well
depth. Linear discriminant analysis was used to develop models to differentiate
between the three redox states. Models were derived for each depth and region
using 67% of the data, and then subsequently validated on the remaining 33%. The
average agreement between predicted and measured redox status was 63% and 70% for
the Waikato and Canterbury regions, respectively. The models were incorporated
into GIS and the prediction of redox status was extended over the whole region,
excluding mountainous land. This knowledge improves spatial prediction of reduced
groundwater zones, and therefore, when combined with groundwater flow paths,
improves estimates of denitrification.
PMID- 27182793
TI - Explaining Racial Disparities in Child Asthma Readmission Using a Causal
Inference Approach.
AB - IMPORTANCE: Childhood asthma is characterized by disparities in the experience of
morbidity, including the risk for readmission to the hospital after an initial
hospitalization. African American children have been shown to have more than 2
times the hazard of readmission when compared with their white counterparts.
OBJECTIVE: To explain why African American children are at greater risk for
asthma-related readmissions than white children. DESIGN, SETTING, AND
PARTICIPANTS: This study was completed as part of the Greater Cincinnati Asthma
Risks Study, a population-based, prospective, observational cohort. From August
2010 to October 2011, it enrolled 695 children, aged 1 to 16 years, admitted for
asthma or wheezing who identified as African American (n = 441) or white (n =
254) in an inpatient setting of an urban, tertiary care children's hospital. MAIN
OUTCOMES AND MEASURES: The main outcome was time to asthma-related readmission
and race was the predictor. Biologic, environmental, disease management, access,
and socioeconomic hardship variables were measured; their roles in understanding
racial readmission disparities were conceptualized using a directed acyclic
graphic. Inverse probability of treatment weighting balanced African American and
white children with respect to key measured variables. Racial differences in
readmission hazard were assessed using weighted Cox proportional hazards
regression and Kaplan-Meier curves. RESULTS: The sample was 65% male (n = 450),
and the median age was 5.4 years. African American children were 2.26 times more
likely to be readmitted than white children (95% CI, 1.56-3.26). African American
children significantly differed with respect to nearly every measured biologic,
environmental, disease management, access, and socioeconomic hardship variable.
Socioeconomic hardship variables explained 53% of the observed disparity (hazard
ratio, 1.47; 95% CI, 1.05-2.05). The addition of biologic, environmental, disease
management, and access variables resulted in 80% of the readmission disparity
being explained. The difference between African American and white children with
respect to readmission hazard no longer reached the level of significance (hazard
ratio, 1.18; 95% CI, 0.87-1.60; Cox P = .30 and log-rank P = .39). CONCLUSIONS
AND RELEVANCE: A total of 80% of the observed readmission disparity between
African American and white children could be explained after statistically
balancing available biologic, environmental, disease management, access to care,
and socioeconomic and hardship variables across racial groups. Such a
comprehensive, well-framed approach to exposures that are associated with
morbidity is critical as we attempt to better understand and lessen persistent
child asthma disparities.
PMID- 27182795
TI - Intergenerational educational trajectories and lower back pain in young women and
men.
AB - We examined the association between intergenerational educational trajectories
and lower back pain (LBP) outcomes in young women and men from the general
population. Participants were part of the 21 years old follow-up of the EPITeen
cohort study, which was set up during the 2003/2004 school year and recruited
subjects born in 1990 attending schools in Porto, Portugal (n=1657, 51.6% women).
Parental and individual educational levels were used to define intergenerational
educational trajectories as stable-high, upward, stable-low, and downward. Data
on the presence, severity and chronicity of LBP were also assessed. Gender
specific adjusted odds ratios (OR) with 95% confidence intervals (95% CI) were
computed to estimate the associations between educational trajectories and LBP
outcomes. When compared to women with stable-high educational trajectories, those
with stable-low educational trajectories were significantly more likely to report
moderate/severe (adjusted OR=1.76, 95% CI: 1.21-2.57) and chronic (adjusted
OR=1.82, 95% CI: 1.02-3.22) LBP. The magnitude of these associations was even
stronger among females with downward educational trajectories (moderate/severe:
adjusted OR=2.58, 95% CI: 1.49-4.46; chronic: adjusted OR=2.42, 95% CI: 1.12
5.27). Educational trajectories were not significantly associated to LBP outcomes
among men. In conclusion, intergenerational educational trajectories may
contribute to LBP as reported in early adulthood, particularly in women.
PMID- 27182796
TI - Solid State Collapse of a High-Spin Square-Planar Fe(II) Complex, Solution Phase
Dynamics, and Electronic Structure Characterization of an Fe(II)2 Dimer.
AB - Square-planar high-spin Fe(II) molecular compounds are rare, and until recently,
the only four examples of non-macrocyclic or sterically driven molecular
compounds of this kind shared a common FeO4 core. The trianionic pincer-type
ligand [CF3-ONO]H3 (1) supports the high-spin square-planar Fe(II) complex {[CF3
ONO]FeCl}{Li(Sv)2}2 (2). In the solid state, 2 forms the dimer complex {[CF3
ONO]Fe}2{(MU-Cl)2(MU-LiTHF)4} (3) in 96% yield by simply applying a vacuum or
stirring it with pentane for 2 h. A detailed high-frequency electron paramagnetic
resonance and field-dependent (57)Fe Mossbauer investigation of 3 revealed a weak
antiferromagnetic exchange interaction between the local iron spins which exhibit
a zero-field splitting tensor characterized by negative D parameter. In solution,
2 is in equilibrium with the solvento complex {[CF3-ONO]FeCl(THF)}{Li2(Sv)4}
(2.Sv) and the dimer 3. A combination of frozen solution (57)Fe Mossbauer
spectroscopy and single crystal X-ray crystallography helped elucidate the
solvent dependent equilibrium between these three species. The oxidation
chemistry of 2.Sv was investigated. Complex 2 reacts readily with the one
electron oxidizing agent CuCl2 to give the Fe(III) complex {[CF3
ONO]FeCl2}{Li(THF)2}2 (4). Also, 2.Sv reacts with 2 equiv of TlPF6 to form the
Fe(III) complex [CF3-ONO]Fe(THF)3 (5).
PMID- 27182794
TI - Abrus Agglutinin, a type II ribosome inactivating protein inhibits Akt/PH domain
to induce endoplasmic reticulum stress mediated autophagy-dependent cell death.
AB - Abrus agglutinin (AGG), a type II ribosome-inactivating protein has been found to
induce mitochondrial apoptosis. In the present study, we documented that AGG
mediated Akt dephosphorylation led to ER stress resulting the induction of
autophagy-dependent cell death through the canonical pathway in cervical cancer
cells. Inhibition of autophagic death with 3-methyladenine (3-MA) and siRNA of
Beclin-1 and ATG5 increased AGG-induced apoptosis. Further, inhibiting apoptosis
by Z-DEVD-FMK and N-acetyl cysteine (NAC) increased autophagic cell death after
AGG treatment, suggesting that AGG simultaneously induced autophagic and
apoptotic death in HeLa cells. Additionally, it observed that AGG-induced
autophagic cell death in Bax knock down (Bax-KD) and 5-FU resistant HeLa cells,
confirming as an alternate cell killing pathway to apoptosis. At the molecular
level, AGG-induced ER stress in PERK dependent pathway and inhibition of ER
stress by salubrinal, eIF2alpha phosphatase inhibitor as well as siPERK reduced
autophagic death in the presence of AGG. Further, our in silico and
colocalization study showed that AGG interacted with pleckstrin homology (PH)
domain of Akt to suppress its phosphorylation and consequent downstream mTOR
dephosphorylation in HeLa cells. We showed that Akt overexpression could not
augment GRP78 expression and reduced autophagic cell death by AGG as compared to
pcDNA control, indicating Akt modulation was the upstream signal during AGG's ER
stress mediated autophagic cell death. In conclusion, we established that AGG
stimulated cell death by autophagy might be used as an alternative tumor
suppressor mechanism in human cervical cancer. (c) 2016 Wiley Periodicals, Inc.
PMID- 27182797
TI - Synthesis and Structure of Cyclic Trisaccharide with Expanded Glycosidic
Linkages.
AB - A new cyclic trisaccharide is synthesized by cycloglycosylation of a linear
trisaccharide, modified with hydroxymethyl moiety at C4 of glucopyranose moiety.
The cyclic trisaccharide possesses a rarely observed perfect trigonal symmetry in
the P3 space group, in a narrow cone shape, and a brick-wall type arrangement of
molecules in the solid state, and exhibits a significantly enhanced binding
affinity to 1-aminoadamantane in aqueous solution.
PMID- 27182798
TI - Authors' Response to Cao and Hu Re: "Metabolic Syndrome and Cognitive Performance
Among Chinese >= 50 Years: A Cross-Sectional Study with 3988 Participants".
PMID- 27182808
TI - Pathological Diagnosis During Life in Patients With Primary Progressive Aphasia:
Seeking the Holy Grail.
PMID- 27182809
TI - Correction: Correction: Naturally-Acquired Immune Response against Plasmodium
vivax Rhoptry-Associated Membrane Antigen.
AB - [This corrects the article DOI: 10.1371/journal.pone.0148723.].
PMID- 27182810
TI - Resting state functional connectivity of the hippocampus along the anterior
posterior axis and its association with glutamatergic metabolism.
AB - Animal and human studies suggest differing anatomical and functional connectivity
patterns of the anterior and posterior hippocampus. The biochemical underpinnings
of the hippocampal resting state connectivity along this anterior-posterior axis
remain unclear. We investigated twenty-five healthy male subjects in a multimodal
study. We aimed to examine the relationship between resting state functional
connectivity (RSFC) of the left and right hippocampus separated along the
anterior-posterior axis and the corresponding glutamatergic function assessed by
proton magnetic resonance spectroscopy ((1)H-MRS) of the glutamate-glutamine
(Glx) complex. We observed a clear functional differentiation of the hippocampal
RSFC along this axis. Moreover, a highly significant correlation was observed
between the concentration of Glx in the right anterior hippocampus and its
corresponding functional connectivity, but not with the amplitude of local low
frequency fluctuations. Lower Glx levels were associated with a higher functional
connectivity to the medial prefrontal cortex, perigenual anterior cingulate
cortex (pACC) and the left ventrolateral prefrontal cortex (VLPFC). In addition,
the Glx concentration in the posterior hippocampus predicted the verbal memory
performance, i.e., the degree of retroactive interference. The present findings
demonstrate for the first time a modulation of the anterior hippocampal RSFC by
Glx concentration.
PMID- 27182811
TI - Synthesis of recurrent neural networks for dynamical system simulation.
AB - We review several of the most widely used techniques for training recurrent
neural networks to approximate dynamical systems, then describe a novel algorithm
for this task. The algorithm is based on an earlier theoretical result that
guarantees the quality of the network approximation. We show that a feedforward
neural network can be trained on the vector-field representation of a given
dynamical system using backpropagation, then recast it as a recurrent network
that replicates the original system's dynamics. After detailing this algorithm
and its relation to earlier approaches, we present numerical examples that
demonstrate its capabilities. One of the distinguishing features of our approach
is that both the original dynamical systems and the recurrent networks that
simulate them operate in continuous time.
PMID- 27182812
TI - Elastic hydrogel as a sensor for detection of mechanical stress generated by
single cells grown in three-dimensional environment.
AB - Cell volume growth occurs in all living tissues. The growth exerts mechanical
stresses on surrounding tissues that may alter tissue microenvironment, and have
significant implications in health and diseases. However, the level of growth
stress generated by single cells in three-dimensional (3D) environment remains to
be determined. To this end, we developed a growth force microscopy technique to
determine 3D distribution of the stress. The technique was based on encapsulation
of cells in elastic hydrogels, and involved 3D particle tracking and mechanical
analysis of gel deformation. Data from the study demonstrated that the growth
stress was dynamic, and the stress distribution at the gel-cell interface was
correlated inversely to the mean surface curvature or the distance to the
geometric center of the cell. The stress averaged over the cell surface increased
with increasing gel stiffness, suggesting that cells could alter growth stress in
response to stiffness change in microenvironment. These findings suggested that
the elastic hydrogel-based microscopy technique had a potential to provide new
insights into mechanisms of mechanical interactions between cell and its
microenvironment.
PMID- 27182814
TI - Evaluation of drug penetration with cationic micelles and their penetration
mechanism using an in vitro tumor model.
AB - Elevated interstitial fluid pressure (IFP) and abnormal extracellular matrix
(ECM) are major factors causing significant barriers to penetration of
nanomedicines in solid tumors. To better understand the barriers, various in
vitro tumor models including multicellular spheroids and multilayered cell
cultures (MCCs) have been developing. Recently, we have established a unique in
vitro tumor model composed of a MCC and an Ussing chamber system which is
modified to add a hydraulic pressure gradient through the MCC. In this study, we
evaluated the drug penetration ability of cationic micelles using the unique in
vitro tumor model. The doxorubicin (DOX)-loaded cationic micelles, which are
formed from a triblock copolymer of poly(d,l-lactide-co-glycolide)-block-branched
polyethyleneimine-block-poly(d,l-lactide-co-glycolide), deeply penetrated and
released the DOX throughout the MCC against convectional flow caused by a
hydraulic pressure gradient. The studies using endocytosis markers and inhibitors
showed that the micelles utilized mainly macropinocytosis as an internalization
pathway. Furthermore, the penetration was apparently inhibited by treatment with
exocytosis inhibitor Exo1. These results suggest that the active penetration of
the cationic micelles is induced by iterative transcytosis via macropinocytosis
and exocytosis. Our findings could be beneficial information to improve
intratumoral penetration in new nanomedicines for solid tumors.
PMID- 27182815
TI - The application of inelastic neutron scattering to investigate the interaction of
methyl propanoate with silica.
AB - A modern industrial route for the manufacture of methyl methacrylate involves the
reaction of methyl propanoate and formaldehyde over a silica-supported Cs
catalyst. Although the process has been successfully commercialised, little is
known about the surface interactions responsible for the forward chemistry. This
work concentrates upon the interaction of methyl propanoate over a representative
silica. A combination of infrared spectroscopy, inelastic neutron scattering, DFT
calculations, X-ray diffraction and temperature-programmed desorption is used to
deduce how the ester interacts with the silica surface.
PMID- 27182813
TI - Treatment of hind limb ischemia using angiogenic peptide nanofibers.
AB - For a proangiogenic therapy to be successful, it must promote the development of
mature vasculature for rapid reperfusion of ischemic tissue. Whole growth factor,
stem cell, and gene therapies have yet to achieve the clinical success needed to
become FDA-approved revascularization therapies. Herein, we characterize a
biodegradable peptide-based scaffold engineered to mimic VEGF and self-assemble
into a nanofibrous, thixotropic hydrogel, SLanc. We found that this injectable
hydrogel was rapidly infiltrated by host cells and could be degraded while
promoting the generation of neovessels. In mice with induced hind limb ischemia,
this synthetic peptide scaffold promoted angiogenesis and ischemic tissue
recovery, as shown by Doppler-quantified limb perfusion and a treadmill endurance
test. Thirteen-month-old mice showed significant recovery within 7 days of
treatment. Biodistribution studies in healthy mice showed that the hydrogel is
safe when administered intramuscularly, subcutaneously, or intravenously. These
preclinical studies help establish the efficacy of this treatment for peripheral
artery disease due to diminished microvascular perfusion, a necessary step before
clinical translation. This peptide-based approach eliminates the need for cell
transplantation or viral gene transfection (therapies currently being assessed in
clinical trials) and could be a more effective regenerative medicine approach to
microvascular tissue engineering.
PMID- 27182816
TI - Factors Associated with the Intention of Registered Dietitians to Discuss
Nutrigenetics with their Patients/Clients.
AB - PURPOSE: The objective of this study was to investigate factors affecting the
intention of Registered Dietitians (RDs) to discuss nutrigenetics with their
patients/clients. METHODS: A survey based on the theory of planned behaviour
(TPB; attitude, subjective norm, and perceived behavioural control) was developed
and sent by email to RD members of the Ordre professionnel des dietetistes du
Quebec. Multiple regression analyses were performed to examine the determinants
of intention and behaviour. RESULTS: A total of 141 RDs completed the
questionnaire (5.8% response rate). On a scale from -2 to 2 (from strongly
disagree to strongly agree), the intention of discussing nutrigenetics with
patients/clients was neutral (mean of -0.07 +/- 0.92). The TPB construct of
attitude was the most strongly associated with intention (beta = 0.66, P <
0.0001) followed by perceived behavioural control (beta = 0.33, P < 0.0001) and
subjective norm (beta = 0.21, P = 0.03). Finally, 13 out of 141 RDs (~9%)
actually practiced the behaviour, which was to have discussed nutrigenetics with
their patients/clients in the last 3 months. Only perceived behavioural control
contributed to explain the behaviour (beta = 0.17, P < 0.0001). CONCLUSIONS: Main
determinants of the intention of RDs to discuss nutrigenetics with their
patients/clients were determined. This knowledge will help inform the design of
future educational content about nutrigenetics.
PMID- 27182817
TI - Spatially segregated feedforward and feedback neurons support differential odor
processing in the lateral entorhinal cortex.
AB - The lateral entorhinal cortex (LEC) computes and transfers olfactory information
from the olfactory bulb to the hippocampus. Here we established LEC connectivity
to upstream and downstream brain regions to understand how the LEC processes
olfactory information. We report that, in layer II (LII), reelin- and calbindin
positive (RE(+) and CB(+)) neurons constitute two major excitatory cell types
that are electrophysiologically distinct and differentially connected. RE(+)
neurons convey information to the hippocampus, while CB(+) neurons project to the
olfactory cortex and the olfactory bulb. In vivo calcium imaging revealed that
RE(+) neurons responded with higher selectivity to specific odors than CB(+)
neurons and GABAergic neurons. At the population level, odor discrimination was
significantly better for RE(+) than CB(+) neurons, and was lowest for GABAergic
neurons. Thus, we identified in LII of the LEC anatomically and functionally
distinct neuronal subpopulations that engage differentially in feedforward and
feedback signaling during odor processing.
PMID- 27182818
TI - Hippocampo-cortical coupling mediates memory consolidation during sleep.
AB - Memory consolidation is thought to involve a hippocampo-cortical dialog during
sleep to stabilize labile memory traces for long-term storage. However, direct
evidence supporting this hypothesis is lacking. We dynamically manipulated the
temporal coordination between the two structures during sleep following training
on a spatial memory task specifically designed to trigger encoding, but not
memory consolidation. Reinforcing the endogenous coordination between hippocampal
sharp wave-ripples, cortical delta waves and spindles by timed electrical
stimulation resulted in a reorganization of prefrontal cortical networks, along
with subsequent increased prefrontal responsivity to the task and high recall
performance on the next day, contrary to control rats, which performed at chance
levels. Our results provide, to the best of our knowledge, the first direct
evidence for a causal role of a hippocampo-cortical dialog during sleep in memory
consolidation, and indicate that the underlying mechanism involves a fine-tuned
coordination between sharp wave-ripples, delta waves and spindles.
PMID- 27182819
TI - Vaccination evokes gender-dependent protection against tularemia infection in
C57BL/6Tac mice.
AB - Francisella tularensis (Ft) is a Category A biothreat agent for which there
currently is no FDA-approved vaccine. Thus, there is a substantial effort
underway to develop an effective tularemia vaccine. While it is well established
that gender can significantly impact susceptibility to primary infection, the
impact of gender on vaccine efficacy is not well established. Thus, development
of a successful vaccine against tularemia will require an understanding of the
impact gender has on vaccine-induced protection against this organism. In this
study, a role for gender in vaccine-induced protection following Ft challenge is
identified for the first time. In the present study, mucosal vaccination with
inactivated Ft (iFt) LVS elicited gender-based protection in C57BL/6Tac mice
against respiratory challenge with Ft LVS. Specifically, vaccinated male mice
were more susceptible to subsequent Ft LVS challenge. This increased
susceptibility in male mice correlated with increased bacterial burden, increased
tissue inflammation, and increased proinflammatory cytokine production late in
post-challenge infection. In contrast, improved survival of iFt-vaccinated female
mice correlated with reduced bacterial burden and enhanced levels of Ft-specific
Abs in serum and broncho-alveolar lavage (BAL) fluid post-challenge. Furthermore,
vaccination with a live attenuated vaccine consisting of an Ft LVS superoxide
dismutase (SodB) mutant, which has proven efficacious against the highly virulent
Ft SchuS4 strain, demonstrated similar gender bias in protection post-Ft SchuS4
challenge. Of particular significance is the fact that these are the first
studies to demonstrate that gender differences impact disease outcome in the case
of lethal respiratory tularemia following mucosal vaccination. In addition, these
studies further emphasize the fact that gender differences must be a serious
consideration in any future tularemia vaccine development studies.
PMID- 27182820
TI - Vaccines against respiratory syncytial virus: The time has finally come.
AB - Respiratory syncytial virus causes a significant public health burden,
particularly in very young infants and the frail elderly. The legacy of enhanced
RSV disease (ERD) from a whole formalin-inactivated RSV vaccine, and the complex
biology of the virus and the neonate have delayed the development of effective
vaccines. However, new insights into factors associated with ERD and
breakthroughs in understanding the antigenic structure of the fusion (F)
glycoprotein have increased optimism that vaccine development is possible. This
has led to investment of time and resources by industry, regulatory authorities,
governments, and nonprofit organizations to develop the infrastructure needed to
make the advanced clinical development of RSV vaccine candidates a reality.
PMID- 27182822
TI - Jejunal Diverticulitis.
AB - BACKGROUND: Jejunal diverticulitis is a rare clinical entity often overlooked by
physicians as a cause for abdominal pain. Although diagnostic capabilities
improved in recent years, there is little data about diverticular disease in the
proximal small bowel. The aim of this study is to present the clinical course and
management in a series of eight cases of jejunal diverticulitis and possible
therapeutic interventions. METHODS: A cohort retrospective analysis of all
patients admitted for acute jejunal diverticulitis between January 2010 and June
2015 was conducted. Patient demographics, clinical, and surgical outcome were
recorded and analyzed. RESULTS: Eight patients were admitted for acute jejunal
diverticulitis with a mean age of 72.1 (range 55-87) years. Clinical presentation
included six patients (75%) with a sealed perforation and only one patient
demonstrated distant pneumoperitoneum. All patients were treated initially
without surgery and only one patient required surgery because of diverticular
complications. Recurrent episodes occurred in two patients (25%). Colonoscopy was
performed in all patients after hospitalization that revealed large bowel
diverticulosis in all patients (100%). Median follow-up was 8.2 months (3-15
months). CONCLUSION: Jejunal diverticulitis can be initially treated
conservatively but complicated disease should be considered for surgical
management. Further study is required on the relationship between small and large
bowel diverticulosis.
PMID- 27182821
TI - Screening for Depression in the General Population with the Center for
Epidemiologic Studies Depression (CES-D): A Systematic Review with Meta-Analysis.
AB - OBJECTIVE: We aimed to collect and meta-analyse the existing evidence regarding
the performance of the Center for Epidemiologic Studies Depression (CES-D) for
detecting depression in general population and primary care settings. METHOD:
Systematic literature search in PubMed and PsychINFO. Eligible studies were: a)
validation studies of screening questionnaires with information on the accuracy
of the CES-D; b) samples from general populations or primary care settings; c)
standardized diagnostic interviews following standard classification systems used
as gold standard; and d) English or Spanish language of publication. Pooled
sensitivity, specificity, likelihood ratios and diagnostic odds ratio were
estimated for several cut-off points using bivariate mixed effects models for
each threshold. The summary receiver operating characteristic curve was estimated
with Rutter and Gatsonis mixed effects models; area under the curve was
calculated. Quality of the studies was assessed with the QUADAS tool. Causes of
heterogeneity were evaluated with the Rutter and Gatsonis mixed effects model
including each covariate at a time. RESULTS: 28 studies (10,617 participants) met
eligibility criteria. The median prevalence of Major Depression was 8.8% (IQ
range from 3.8% to 12.6%). The overall area under the curve was 0.87. At the cut
off 16, sensitivity was 0.87 (95% CI: 0.82-0.92), specificity 0.70 (95% CI: 0.65
0.75), and DOR 16.2 (95% CI: 10.49-25.10). Better trade-offs between sensitivity
and specificity were observed (Sensitivity = 0.83, Specificity = 0.78, diagnostic
odds ratio = 16.64) for cut-off 20. None of the variables assessed as possible
sources of heterogeneity was found to be statistically significant. CONCLUSION:
The CES-D has acceptable screening accuracy in the general population or primary
care settings, but it should not be used as an isolated diagnostic measure of
depression. Depending on the test objectives, the cut-off 20 may be more adequate
than the value of 16, which is typically recommended.
PMID- 27182823
TI - Death Pronouncements: Recommendations Based on a Survey of Bereaved Family
Members.
AB - PURPOSE: To clarify the family-perceived necessity of improvement in death
pronouncement and explore the potential association between behaviors of
physicians and the family-perceived necessity of improvement. SUBJECTS AND
METHODS: A questionnaire survey was conducted involving 226 bereaved family
members of patients who had died at home while receiving hospice service. A total
of 91 responses were analyzed (response rate, 47%). RESULTS: All pronouncements
were performed by physicians. A total of 89% (n = 81, 95% confidence interval,
81%-94%) of family members reported that they felt no necessity of improvement at
all or that almost no improvement was needed. Behaviors of physicians
significantly positively correlated with the family-perceived necessity of
improvement were that physicians acted calmly, and were not rushed. Those
negatively associated were that physicians did not verify the time of death
clearly, left the patient's clothes disheveled, and touched the family members'
backs or shoulders as an expression of empathy. More than 90% of family members
recommended that physicians act calmly, have a suitable appearance for the
situation, introduce themselves to family members, explain the cause of death
explicitly, and conduct a check using a light and stethoscope for death
pronouncement. CONCLUSION: Most of the family members who had experienced a
patient's death at home were satisfied with the death pronouncement. Several
factors were associated with family satisfaction, and further large studies are
needed to confirm the results.
PMID- 27182824
TI - Ubiquinol and plastoquinol triphenylphosphonium conjugates can carry electrons
through phospholipid membranes.
AB - Many mitochondria-targeted antioxidants (MTAs) that comprise a quinol moiety
covalently attached through an aliphatic carbon chain to the lipophilic
triphenylphosphonium cation are widely used for evaluating the role of
mitochondria in pathological processes involving oxidative stress. The potency of
MTAs to carry electrons across biological membranes and thereby mediate
transmembrane redox processes was unknown. To assess this, we measured the rate
of ferricyanide reduction inside liposomes by external ascorbate. Here, we show
that MTAs containing ubiquinone (MitoQ series) or plastoquinone (SkQ series) can
carry electrons through lipid membranes, with the rate being inversely
proportional to the length of the hydrocarbon linker group. Furthermore, this
process was stimulated by the hydrophobic anion tetraphenylborate suggesting that
permeation of the cationic MTA through the membrane was the rate-limiting step of
the process. This conclusion was supported by the observation that the rate of
MTA-induced electron transfer was insensitive to nigericin, in contrast to
electron transfer mediated by neutral quinone derivatives. These findings
indicate that MTAs can be utilized to transfer electrons across lipid membranes
and this may be applicable to the study of the electron-transport chain in
mitochondria and other natural membranes exhibiting redox processes.
PMID- 27182826
TI - Management Considerations for Ongoing Pregnancies Complicated by Trisomy 13 and
18.
AB - Pregnancies complicated by trisomy 13 (T13) or trisomy 18 (T18) present unique
challenges for obstetric management. From the initial diagnosis, the task of
counseling these women and families is difficult because fetal and neonatal
outcomes vary depending on the phenotype and degree of intervention chosen by the
family. A literature review was performed using PubMed to gather information
regarding obstetric management and outcomes of pregnancies complicated by T13 and
T18. Spontaneous abortion and in uterofetal demise occur at rates well above
those seen in chromosomally normal pregnancies. In addition, infants with T13 or
T18 frequently have structural anomalies, which lead to worse prognoses and long
term survival. In cases in which a woman and her family desire to continue the
pregnancy, multidisciplinary consultation with obstetrics, social work, genetics,
and pediatrics can optimize care of both the fetus and the mother. Most commonly,
prenatal care does not differ from routine. A detailed delivery plan should be
generated, specifically discussing interventions for the patient and her fetus.
When managing pregnancies complicated by T13 and T18, active, open, and frequent
communication between the patient, her family, and a multidisciplinary health
care team throughout the pregnancy is crucial.
PMID- 27182825
TI - Skill transfer specificity shapes perception and action under varying
environmental constraints.
AB - Using an ecological dynamics framework, this study investigated the generality
and specificity of skill transfer processes in organisation of perception and
action using climbing as a task vehicle. Fluency of hip trajectory and
orientation was assessed using normalized jerk coefficients exhibited by
participants as they adapted perception and action under varying environmental
constraints. Twelve recreational climbers were divided into two groups: one
completing a 10-m high route on an indoor climbing wall; a second undertaking a
10-m high route on an icefall in a top-rope condition. We maintained the same
level of difficulty between these two performance environments. An inertial
measurement unit was attached each climber's hips to collect 3D acceleration and
3D orientation data to compute jerk coefficient values. Video footage was used to
record the ratio of exploratory/performatory movements. Results showed higher
jerk coefficient values and number of exploratory movements for performance on
the icefall route, perhaps due to greater functional complexity in perception and
action required when climbing icefalls, which involves use of specific tools for
anchorage. Findings demonstrated how individuals solve different motor problems,
exploiting positive general transfer processes enabling participants to explore
the pick-up of information for the perception of affordances specific to icefall
climbing.
PMID- 27182827
TI - The Effect of Paternal Age on Oocyte Donation Outcomes.
AB - IMPORTANCE: In recent decades, a trend toward delayed childbearing is noted in
developed countries. Whereas the effects of maternal age on fertility, pregnancy
complications, and postnatal outcomes have been thoroughly explored, consequences
of advanced paternal age are less well known. Oocyte donation cycles can be used
as an optimal model to analyze the association between male ageing and
reproductive outcomes with minimal confounding. OBJECTIVE: The purpose of this
work was to summarize the updated and relevant literature dealing with the effect
of paternal age on oocyte donation outcomes. RESULTS: According to the available
evidence from oocyte donation cycles, it seems that no significant association
exists between advanced paternal age and fertility. However, this evidence is
based on few studies, many of which are of low quality, yielding conflicting
results. In addition, the emerging evidence clearly indicates an increased risk
of adverse postnatal manifestations of pregnancies conceived by older fathers,
including de novo autosomal dominant disorders, impaired neurocognitive
development, and increased risk of malignancy. CONCLUSIONS AND RELEVANCE: This
review may be of aid to medical practitioners in counseling couples on the risks
of delayed childbearing.
PMID- 27182828
TI - Role of Metformin in Women's Health: Review of Its Current Place in Clinical
Practice and Emerging Indications for Future.
AB - IMPORTANCE: Metformin, an oral antihyperglycemic drug, acts as an insulin
sensitizer in the treatment of type 2 diabetes mellitus. It has also been widely
used in the treatment of polycystic ovary syndrome (PCOS) and gestational
diabetes mellitus. Although randomized clinical trials have failed to establish
its superiority over other forms of treatment, metformin continues to be a
treatment option in specific subgroups of women either alone or as an adjunct
with other therapies in management of PCOS. OBJECTIVE AND EVIDENCE ACQUISITION:
This narrative review was conducted to assess the present role of metformin in
management of clinical conditions related to women's health. A PubMed search was
conducted using the following terms: "metformin," "polycystic," "ovary,"
"Women's," "health," "gestational," "diabetes," "cancer," and "fertility," and
all relevant studies were evaluated for accumulation of evidence. RESULTS AND
CONCLUSIONS: Metformin is used as a second-line drug for ovulation induction in
women with PCOS who are resistant to clomiphene citrate. Metformin also forms one
of the management options in women who need antiandrogen therapy without the need
for contraception at the same time. Women with PCOS undergoing in vitro
fertilization are likely to benefit from metformin therapy to reduce their risk
of ovarian hyperstimulation syndrome. Metformin alone or in combination with
insulin has been reported to have similar safety and efficacy to insulin for the
treatment of GDM. There is growing evidence that metformin may be associated with
a decreased risk of developing cancers and improved response to chemotherapy.
PMID- 27182829
TI - Near-Edge X-ray Absorption Fine Structure within Multilevel Coupled Cluster
Theory.
AB - Core excited states are challenging to calculate, mainly because they are
embedded in a manifold of high-energy valence-excited states. However, their
locality makes their determination ideal for local correlation methods. In this
paper, we demonstrate the performance of multilevel coupled cluster theory in
computing core spectra both within the core-valence separated and the asymmetric
Lanczos implementations of coupled cluster linear response theory. We also
propose a visualization tool to analyze the excitations using the difference
between the ground-state and excited-state electron densities.
PMID- 27182830
TI - PIZZARO: Forensic analysis and restoration of image and video data.
AB - This paper introduces a set of methods for image and video forensic analysis.
They were designed to help to assess image and video credibility and origin and
to restore and increase image quality by diminishing unwanted blur, noise, and
other possible artifacts. The motivation came from the best practices used in the
criminal investigation utilizing images and/or videos. The determination of the
image source, the verification of the image content, and image restoration were
identified as the most important issues of which automation can facilitate
criminalists work. Novel theoretical results complemented with existing
approaches (LCD re-capture detection and denoising) were implemented in the
PIZZARO software tool, which consists of the image processing functionality as
well as of reporting and archiving functions to ensure the repeatability of image
analysis procedures and thus fulfills formal aspects of the image/video analysis
work. Comparison of new proposed methods with the state of the art approaches is
shown. Real use cases are presented, which illustrate the functionality of the
developed methods and demonstrate their applicability in different situations.
The use cases as well as the method design were solved in tight cooperation of
scientists from the Institute of Criminalistics, National Drug Headquarters of
the Criminal Police and Investigation Service of the Police of the Czech
Republic, and image processing experts from the Czech Academy of Sciences.
PMID- 27182831
TI - Influence of the treatment facility volume on the survival of patients with non
Hodgkin lymphoma.
AB - BACKGROUND: Prior studies have shown that a higher hospital volume or physician
caseload is associated with better outcomes for complex and uncommon surgical
procedures. Similar studies in the medical management of rare diseases such as
hematologic cancers are limited. This retrospective, observational study using
the US National Cancer Data Base determined the extent to which the number of new
non-Hodgkin lymphoma (NHL) patients treated annually at a treatment facility
affected overall survival (OS). METHODS: There were 278,985 patients treated at
1151 facilities from 1998 to 2006. Treatment facilities were classified by
quartiles based on the average number of new NHL patients seen annually: quartile
1 (Q1), 2 to 13 patients; quartile 2 (Q2), 14 to 20 patients; quartile 3 (Q3), 21
to 32 patients; and quartile 4 (Q4), 33 or more patients. The outcome of interest
was OS according to facility volume. RESULTS: The unadjusted median OS was 61.8
months for Q1, 65.9 months for Q2, 71.4 months for Q3, and 83.6 months for Q4. A
multivariate analysis that was adjusted for demographic (sex, age, race, and
ethnicity), socioeconomic (income and insurance type), geographic (area of
residence), disease-specific (NHL subtype and stage), and facility-specific
factors (type and location) showed that the facility volume was associated with
OS. Compared with patients at Q4 facilities, patients at lower quartile
facilities had higher mortality (hazard ratio for Q3, 1.05 [95% confidence
interval, 1.04-1.06]; hazard ratio for Q2, 1.08 [95% confidence interval, 1.07
1.10]; hazard ratio for Q1, 1.14 [95% confidence interval, 1.11-1.17]).
CONCLUSIONS: NHL patients treated at higher volume facilities may survive longer
than those treated at lower volume facilities. Further work is needed to
understand the mechanisms of these differences and whether volume should be
considered in the determination of referrals for NHL patients. Cancer
2016;122:2552-9. (c) 2016 American Cancer Society.
PMID- 27182832
TI - Evaluation and optimization of occupational eye lens dosimetry during positron
emission tomography (PET) procedures.
AB - The last recommendations of the International Commission on Radiological
Protection for eye lens dose suggest an important reduction on the radiation
limits associated with early and late tissue reactions. The aim of this work is
to quantify and optimize the eye lens dose associated to nurse staff during
positron emission tomography (PET) procedures. PET is one of the most important
diagnostic methods of oncological and neurological cancer disease involving an
important number of workers exposed to the high energy isotope F-18. We
characterize the relevant stages as preparation and administration of monodose
syringes in terms of occupational dose. A direct reading silicon dosimeter was
used to measure the lens dose to staff. The highest dose of radiation was
observed during preparation of the fluorodesoxyglucose (FDG) syringes. By
optimizing a suitable vials' distribution of FDG we find an important reduction
in occupational doses. Extrapolation of our data to other clinical scenarios
indicates that, depending on the work load and/or syringes activity, safety
limits of the dose might be exceeded.
PMID- 27182833
TI - Improving Loop Modeling of the Antibody Complementarity-Determining Region 3
Using Knowledge-Based Restraints.
AB - Structural restrictions are present even in the most sequence diverse portions of
antibodies, the complementary determining region (CDR) loops. Previous studies
identified robust rules that define canonical structures for five of the six CDR
loops, however the heavy chain CDR 3 (HCDR3) defies standard classification
attempts. The HCDR3 loop can be subdivided into two domains referred to as the
"torso" and the "head" domains and two major families of canonical torso
structures have been identified; the more prevalent "bulged" and less frequent
"non-bulged" torsos. In the present study, we found that Rosetta loop modeling of
28 benchmark bulged HCDR3 loops is improved with knowledge-based structural
restraints developed from available antibody crystal structures in the PDB. These
restraints restrict the sampling space Rosetta searches in the torso domain,
limiting the phi and psi angles of these residues to conformations that have been
experimentally observed. The application of these restraints in Rosetta result in
more native-like structure sampling and improved score-based differentiation of
native-like HCDR3 models, significantly improving our ability to model antibody
HCDR3 loops.
PMID- 27182835
TI - The impact of a tax on sugar-sweetened beverages according to socio-economic
position: a systematic review of the evidence.
AB - OBJECTIVE: A tax on sugar-sweetened beverages (SSB) has been proposed to address
population weight gain but the effect across socio-economic position (SEP) is
unclear. The current study aimed to clarify the differential impact(s) of SSB
taxes on beverage purchases and consumption, weight outcomes and the amount paid
in SSB taxes according to SEP. DESIGN: Databases (OVID and EMBASE) and grey
literature were systematically searched in June 2015 to identify studies that
examined effects of an SSB price increase on beverage purchases or consumption,
weight outcomes or the amount paid in tax across SEP, within high-income
countries. RESULTS: Of the eleven included articles, three study types were
identified: (i) those that examined the association between variation in SSB
taxes and SSB consumption and/or body weight (n 3); (ii) price elasticity
estimation of SSB demand (n 1); and (iii) modelling of hypothetical SSB taxes by
combining price elasticity estimates with population SEP-specific beverage
consumption, energy intake or body weight (n 7). Few studies statistically tested
differences in outcomes between SEP groups. Nevertheless, of the seven studies
that reported on changes in weight outcomes for the total population following an
increase in SSB price, all reported either similar reductions in weight across
SEP groups or greater reductions for lower compared with higher SEP groups. All
studies that examined the average household amount paid in tax (n 5) reported
that an SSB tax would be regressive, but with small differences between higher-
and lower-income households (0.10-1.0 % and 0.03 %-0.60 % of annual household
income paid in SSB tax for low- and high-income households, respectively).
CONCLUSIONS: Based on the available evidence, a tax on SSB will deliver similar
population weight benefits across socio-economic strata or greater benefits for
lower SEP groups. An SSB tax is shown to be consistently financially regressive,
but to a small degree.
PMID- 27182834
TI - The Presence and Anti-HIV-1 Function of Tenascin C in Breast Milk and Genital
Fluids.
AB - Tenascin-C (TNC) is a newly identified innate HIV-1-neutralizing protein present
in breast milk, yet its presence and potential HIV-inhibitory function in other
mucosal fluids is unknown. In this study, we identified TNC as a component of
semen and cervical fluid of HIV-1-infected and uninfected individuals, although
it is present at a significantly lower concentration and frequency compared to
that of colostrum and mature breast milk, potentially due to genital fluid
protease degradation. However, TNC was able to neutralize HIV-1 after exposure to
low pH, suggesting that TNC could be active at low pH in the vaginal compartment.
As mucosal fluids are complex and contain a number of proteins known to interact
with the HIV-1 envelope, we further studied the relationship between the
concentration of TNC and neutralizing activity in breast milk. The amount of TNC
correlated only weakly with the overall innate HIV-1-neutralizing activity of
breast milk of uninfected women and negatively correlated with neutralizing
activity in milk of HIV-1 infected women, indicating that the amount of TNC in
mucosal fluids is not adequate to impede HIV-1 transmission. Moreover, the
presence of polyclonal IgG from milk of HIV-1 infected women, but not other HIV-1
envelope-binding milk proteins or monoclonal antibodies, blocked the neutralizing
activity of TNC. Finally, as exogenous administration of TNC would be necessary
for it to mediate measurable HIV-1 neutralizing activity in mucosal compartments,
we established that recombinantly produced TNC has neutralizing activity against
transmitted/founder HIV-1 strains that mimic that of purified TNC. Thus, we
conclude that endogenous TNC concentration in mucosal fluids is likely inadequate
to block HIV-1 transmission to uninfected individuals.
PMID- 27182837
TI - Preliminary Results of Magnetically Controlled Growing Rods for Early Onset
Scoliosis.
AB - BACKGROUND: Growth-sparing techniques for the treatment of early onset scoliosis
(EOS) have developed significantly over the last years. Traditional growing rods
(GRs) require repeated surgical lengthening under anesthesia. Since June 2011 we
have been using the magnetically controlled growing rods (MCGR) to treat patients
with progressive EOS. METHODS: Thirty-five patients with EOS of different
etiologies underwent treatment with MCGR. We record about our preliminary results
of 24 patients who fulfilled the inclusion criteria of a minimum follow-up (FU)
of 12 month and >3 lengthenings. The mean age at surgery was 8.9+/-2.5 years.
Correction of the primary curve after the index surgery and after lengthenings
was measured on standing radiographs using the Cobb technique. T1-T12 and T1-S1
spinal length were also measured. Intraoperative and postoperative complications
were recorded. RESULTS: The mean FU was 21.1+/-7.3 months. All patients had a
minimum of 3 outpatient lengthenings [mean, 4.6+/-1.5 (range, 3 to 8)]. The mean
primary curve was 63+/-15 degrees (range, 40 to 96 degrees) and improved to 29+/
11 degrees (range, 11 to 53 degrees; P<0.001) after MCGR. The mean major curve
after most recent lengthening was 26 degrees (range, 8 to 60 degrees; P<0.07).
The T1-T12 as well as the T1-S1 length increased significantly (P<0.001). The
mean preoperative thoracic kyphosis decreased from 43+/-24 degrees (range, -32 to
86 degrees) to 27+/-12 degrees (range, 9 to 50 degrees; P<0.001) after surgery,
respectively, and measured 32+/-12 degrees (range, 12 to 64 degrees; P<0.05) at
last FU. In 1 patient a loss of distraction occurred making rod exchange
necessary. Three patients developed a proximal junctional kyphosis and in another
patient a screw pull out occurred that required revision surgery. CONCLUSIONS:
Our results demonstrate that MCGR is a safe and effective nonfusion technique in
the treatment of progressive EOS avoiding repeated surgical lengthening
procedures. It provides adequate distraction similar to standard GR. The
magnetically induced transcutaneous lengthening allows noninvasive distraction
achieving spinal growth comparable to conventional GR techniques. LEVEL OF
EVIDENCE: Level IV-retrospective nonrandomized objective study.
PMID- 27182836
TI - Feasibility of a Supportive Other Intervention for Opiate-Dependent Patients
Entering Inpatient Detoxification.
AB - Involvement of supportive others (SOs; defined as patient's most important
person) in substance use treatment is widely accepted as a valuable addition, but
is not routinely implemented during inpatient detoxification for opioid use
disorders. The current study explores the feasibility of a brief SO intervention
for individuals detoxing from opioids. Data regarding ability to identify an SO,
the nature of the SO relationship, and willingness to invite an SO to help with
long-term abstinence were collected from 369 patients attending inpatient opioid
detoxification. For those unwilling to participate in an SO intervention,
possible barriers to participation were examined. Results indicated that the
majority (71.8%) of patients were willing to involve SOs, and that they believed
that their SO was supportive of their treatment (88.1%). Those unwilling to
invite an SO reported that their identified SO was a young child or that they
wanted to make life changes independently. We conclude that SO involvement in
substance use treatment at the time of opioid detoxification is feasible and
could be engaged in future interventions.
PMID- 27182839
TI - Design and application of 3D-printed stepless beam modulators in proton therapy.
AB - A new method for the design of stepless beam modulators for proton therapy is
described and verified. Simulations of the classic designs are compared against
the stepless method for various modulation widths which are clinically applicable
in proton eye therapy. Three modulator wheels were printed using a Stratasys
Objet30 3D printer. The resulting depth dose distributions showed improved
uniformity over the classic stepped designs. Simulated results imply a possible
improvement in distal penumbra width; however, more accurate measurements are
needed to fully verify this effect. Lastly, simulations were done to model bio
equivalence to Co-60 cell kill. A wheel was successfully designed to flatten this
metric.
PMID- 27182838
TI - CTX-M-15-Type Extended-Spectrum Beta-Lactamase-Producing Escherichia coli as
Causative Agent of Bovine Mastitis.
AB - In the present study, 3 quarter milk samples from 3 cows showing clinical signs
of mastitis were investigated. Three Escherichia coli were isolated and were
found resistant to ceftiofur and cefquinome. The isolates were detected as
extended-spectrum beta-lactamase (ESBL) producers by the Clinical and Laboratory
Standards Institute (CLSI) ESBL screening test and combined disc method. CTX-M-
and TEM-type beta-lactamase genes (blaCTX-M blaTEM) were detected by polymerase
chain reaction in the isolates. Sequencing of blaCTX-M and blaTEM genes showed
that the isolates were both TEM-1- and CTX-M-15-type beta-lactamase producers.
The isolates were tested by agar disc diffusion test for susceptibility to
various classes of antibiotics (aminoglycosides, quinolones, phenicols, folate
pathway inhibitors, and tetracyclines), and they were determined as multidrug
resistant. Therefore, this is the first report indicating the involvement of
multidrug-resistant CTX-M-15-type ESBL-producing E. coli as a cause of bovine
mastitis in Turkey.
PMID- 27182840
TI - Emerging Hyperkalemia Following Valproic Acid Use in an Elderly Patient With Late
Onset Mania.
PMID- 27182842
TI - "Calculating The Toll Of Trauma" in the headlines: Portrayals of posttraumatic
stress disorder in the New York Times (1980-2015).
AB - Public awareness about traumatic stress is needed to address trauma as a public
health issue. News media influence public awareness, but little is known about
how traumatic-related disorders are portrayed in the news. A content analysis was
conducted of all articles that mentioned posttraumatic stress disorder (PTSD) in
The New York Times between 1980-2015. There were 871 articles analyzed. The
number of PTSD articles published annually increased dramatically, from 2 in 1980
to 70 in 2014. Overall, 50.6% of articles were focused on military populations.
Combat was identified as the trauma exposure in 38.0% of articles, while sexual
assault was identified in 8.7%. Negative themes such as crimes perpetrated by
people with possible PTSD (18.0%) and substance abuse (11.5%) were prominent,
substance abuse being more prevalent in articles focused on military populations
(16.4% vs. 6.3%, p = <.001). Only 9.1% of articles mentioned PTSD treatment
options and this theme became less prevalent over time-ranging from 19.4% of
articles published between 1980-1995 to just 5.7% of articles published between
2005-2015 (p = <.001). Results suggest that public awareness of PTSD has
increased, but may be incomplete, inaccurate, and perpetuate PTSD stigma at
individual- and institutional-levels. These findings can inform advocacy
strategies that enhance public awareness about PTSD and traumatic stress.
(PsycINFO Database Record
PMID- 27182843
TI - Influence of Divalent Cations on Deformation and Rupture of Adsorbed Lipid
Vesicles.
AB - The fate of adsorbed lipid vesicles on solid supports depends on numerous
experimental parameters and typically results in the formation of a supported
lipid bilayer (SLB) or an adsorbed vesicle layer. One of the poorly understood
questions relates to how divalent cations appear to promote SLB formation in some
cases. The complexity arises from the multiple ways in which divalent cations
affect vesicle-substrate and vesicle-vesicle interactions as well as vesicle
properties. These interactions are reflected, e.g., in the degree of deformation
of adsorbed vesicles (if they do not rupture). It is, however, experimentally
challenging to measure the extent of vesicle deformation in real-time. Herein, we
investigated the effect of divalent cations (Mg(2+), Ca(2+), Sr(2+)) on the
adsorption of zwitterionic 1,2-dioleoyl-sn-glycero-3-phosphocholine (DOPC) lipid
vesicles onto silicon oxide- and titanium oxide-coated substrates. The vesicle
adsorption process was tracked using the quartz crystal microbalance-dissipation
(QCM-D) and localized surface plasmon resonance (LSPR) measurement techniques. On
silicon oxide, vesicle adsorption led to SLB formation in all cases, while
vesicles adsorbed but did not rupture on titanium oxide. It was identified that
divalent cations promote increased deformation of adsorbed vesicles on both
substrates and enhanced rupture on silicon oxide in the order Ca(2+) > Mg(2+) >
Sr(2+). The influence of divalent cations on different factors in these systems
is discussed, clarifying experimental observations on both substrates. Taken
together, the findings in this work offer insight into how divalent cations
modulate the interfacial science of supported membrane systems.
PMID- 27182841
TI - Metabolic drift in the aging brain.
AB - Brain function is highly dependent upon controlled energy metabolism whose loss
heralds cognitive impairments. This is particularly notable in the aged
individuals and in age-related neurodegenerative diseases. However, how metabolic
homeostasis is disrupted in the aging brain is still poorly understood. Here we
performed global, metabolomic and proteomic analyses across different anatomical
regions of mouse brain at different stages of its adult lifespan. Interestingly,
while severe proteomic imbalance was absent, global-untargeted metabolomics
revealed an energymetabolic drift or significant imbalance in core metabolite
levels in aged mouse brains. Metabolic imbalance was characterized by compromised
cellular energy status (NAD decline, increased AMP/ATP, purine/pyrimidine
accumulation) and significantly altered oxidative phosphorylation and nucleotide
biosynthesis and degradation. The central energy metabolic drift suggests a
failure of the cellular machinery to restore metabostasis (metabolite
homeostasis) in the aged brain and therefore an inability to respond properly to
external stimuli, likely driving the alterations in signaling activity and thus
in neuronal function and communication.
PMID- 27182844
TI - Performance on the Defense Automated Neurobehavioral Assessment across controlled
environmental conditions.
AB - Neurocognitive assessment tools (NCAT) are commonly used to screen for changes in
cognitive functioning following a mild traumatic brain injury and to assist with
a return to duty decision. As such, it is critical to determine if performance on
the Defense Automated Neurobehavioral Assessment (DANA) is adversely affected by
operationally-relevant field environments. Differences in DANA performance
between a thermoneutral environment and three simulated operationally-relevant
field environments across the thermal stress continuum were calculated for 16
healthy U.S. Navy service members. Practice effects associated with brief test
retest intervals were calculated within each environmental condition. There were
no significant differences between the simulated environmental conditions
suggesting that performance on the DANA Brief is not impacted by thermal stress.
Additionally, there were no significant differences in performance within each
simulated environmental condition associated with repeated administrations.
PMID- 27182845
TI - Primary Renal Rhabdomyosarcoma: An Unusual Bone Metastasizing Tumor of Kidney.
AB - Rhabdomyosarcoma (RMS) is one of the common malignant tumors in the pediatric age
group. There is only a single case report of primary renal alveolar RMS. Fine
needle aspiration (FNA) findings of primary renal RMS has not been reported so
far. Hence we present an unusual case of primary alveolar RMS of the kidney. An
11 year old boy presented with an abdominal mass. On FNA a diagnosis of
undifferentiated sarcoma and anaplastic Wilms tumor were considered. The tumor
was resected and showed histopathological features of alveolar rhabdomyosarcoma.
He developed multiple bony metastases and succumbed to the illness despite
aggressive chemotherapy. RMS of the kidney should be considered in the
differential diagnosis of children with a renal mass, and may have an aggressive
clinical course with bone metastases.
PMID- 27182846
TI - Metabolic evolution and (13) C flux analysis of a succinate dehydrogenase
deficient strain of Yarrowia lipolytica.
AB - Bio-based succinic acid production can redirect industrial chemistry processes
from using limited hydrocarbons to renewable carbohydrates. A fermentation
process that does not require pH-titrating agents will be advantageous to the
industry. Previously, a Yarrowia lipolytica strain that was defective for
succinate dehydrogenase was constructed and was found to accumulate up to 17.5 g
L(-1) of succinic acid when grown on glycerol without buffering. Here, a
derivative mutant was isolated that produced 40.5 g L(-1) of succinic acid in 36
h with a yield of 0.32 g g(-1) glycerol. A combination approach of induced
mutagenesis and metabolic evolution allowed isolation of another derivative that
could utilize glucose efficiently and accumulated 50.2 g L(-1) succinic acid in
54 h with a yield of 0.43 g g(-1) . The parent strain of these isolated mutants
was used for [1,6-(13) C2 ]glucose assimilation analysis. At least 35% glucose
was estimated to be utilized through the pentose phosphate pathway, while >=84%
succinic acid was formed through the oxidative branch of the tricarboxylic acid
cycle. Biotechnol. Bioeng. 2016;113: 2425-2432. (c) 2016 Wiley Periodicals, Inc.
PMID- 27182847
TI - The effectiveness of a self-management occupational therapy intervention on
activity performance in individuals with multiple sclerosis-related fatigue: a
randomized-controlled trial.
AB - Purpose to evaluate the effectiveness of an individual self-management
occupational therapy intervention program (SMOoTh) versus relaxation on the
performance of and satisfaction with relevant daily activities in individuals
with multiple sclerosis (MS)-related fatigue. in a single-blind randomized
controlled trial, 31 patients with MS (SMOoTh: n=17, relaxation: n=14) were
randomly allocated to three individual sessions focusing on pacing, prioritizing,
ergonomics, and self-management (SMOoTh) or on stress management and relaxation
(relaxation). Outcomes (blind assessor): Canadian Occupational Performance
Measure (COPM) (primary), Modified Fatigue Impact Scale, Checklist Individual
Strength and Short-Form Health Measure. COPM improved in the SMOoTh and
relaxation group after the intervention and 3 months later (COPM performance:
F=13.1, P=0.001 and COPM satisfaction: F=10.4, P=0.001); nonsignificant group
differences showed a trend in favor of SMOoTh. Modified Fatigue Impact Scale,
Checklist Individual Strength, and most of the Short-Form Health Measure
subscales did not change. Clinically relevant changes in COPM performance scores
were found in 71 and 27% of patients in the SMOoTh versus the relaxation group.
Both interventions seem to be feasible approaches to improve performance of and
satisfaction with relevant daily activities in people with MS, with a sustained
effect after 3 months. Neither program altered change fatigue (impact) or quality
of life. Future studies with larger sample sizes are needed.
PMID- 27182848
TI - Society of Critical Care Medicine Presidential Address-45th Annual Congress,
February 2016, Orlando, Florida.
PMID- 27182849
TI - Guidelines for the Appropriate Use of Bedside General and Cardiac Ultrasonography
in the Evaluation of Critically Ill Patients-Part II: Cardiac Ultrasonography.
AB - OBJECTIVE: To establish evidence-based guidelines for the use of bedside cardiac
ultrasound, echocardiography, in the ICU and equivalent care sites. METHODS:
Grading of Recommendations, Assessment, Development and Evaluation system was
used to rank the "levels" of quality of evidence into high (A), moderate (B), or
low (C) and to determine the "strength" of recommendations as either strong
(strength class 1) or conditional/weak (strength class 2), thus generating six
"grades" of recommendations (1A-1B-1C-2A-2B-2C). Grading of Recommendations,
Assessment, Development and Evaluation was used for all questions with clinically
relevant outcomes. RAND Appropriateness Method, incorporating the modified Delphi
technique, was used in formulating recommendations related to terminology or
definitions or in those based purely on expert consensus. The process was
conducted by teleconference and electronic-based discussion, following clear
rules for establishing consensus and agreement/disagreement. Individual panel
members provided full disclosure and were judged to be free of any commercial
bias. RESULTS: Forty-five statements were considered. Among these statements, six
did not achieve agreement based on RAND appropriateness method rules (majority of
at least 70%). Fifteen statements were approved as conditional recommendations
(strength class 2). The rest (24 statements) were approved as strong
recommendations (strength class 1). Each recommendation was also linked to its
level of quality of evidence and the required level of echo expertise of the
intensivist. Key recommendations, listed by category, included the use of cardiac
ultrasonography to assess preload responsiveness in mechanically ventilated (1B)
patients, left ventricular (LV) systolic (1C) and diastolic (2C) function, acute
cor pulmonale (ACP) (1C), pulmonary hypertension (1B), symptomatic pulmonary
embolism (PE) (1C), right ventricular (RV) infarct (1C), the efficacy of fluid
resuscitation (1C) and inotropic therapy (2C), presence of RV dysfunction (2C) in
septic shock, the reason for cardiac arrest to assist in cardiopulmonary
resuscitation (1B-2C depending on rhythm), status in acute coronary syndromes
(ACS) (1C), the presence of pericardial effusion (1C), cardiac tamponade (1B),
valvular dysfunction (1C), endocarditis in native (2C) or mechanical valves (1B),
great vessel disease and injury (2C), penetrating chest trauma (1C) and for use
of contrast (1B-2C depending on indication). Finally, several recommendations
were made regarding the use of bedside cardiac ultrasound in pediatric patients
ranging from 1B for preload responsiveness to no recommendation for RV
dysfunction. CONCLUSIONS: There was strong agreement among a large cohort of
international experts regarding several class 1 recommendations for the use of
bedside cardiac ultrasound, echocardiography, in the ICU. Evidence-based
recommendations regarding the appropriate use of this technology are a step
toward improving patient outcomes in relevant patients and guiding appropriate
integration of ultrasound into critical care practice.
PMID- 27182850
TI - "Vitamin S" (Steroids) and Vitamin C for the Treatment of Severe Sepsis and
Septic Shock!
PMID- 27182851
TI - Measuring Clinical Performance: Make Sure the Ruler Is Accurate.
PMID- 27182852
TI - Impact of Alteration of Iron Homeostasis in ICU Patients.
PMID- 27182853
TI - Outcomes Following Sepsis and Cardiopulmonary Resuscitation: Fare Thee Well or
Worse for Wear?
PMID- 27182854
TI - I Miss the Sound of Your Voice: Earlier Speech in Tracheostomy Patients.
PMID- 27182855
TI - Blood, Sweat, and teARDS.
PMID- 27182856
TI - Looking for the Grail, Finding Traces on the Way.
PMID- 27182857
TI - Survival Without Transfusion Is Possible but Not Recommended for All.
PMID- 27182858
TI - Early Mobilization in the ICU: Help or Hype?
PMID- 27182859
TI - Reducing the Angst Associated With Withdrawal of Life-Sustaining Therapy.
PMID- 27182860
TI - Neurocognitive Deficits in Adolescent Survivors of Neonatal Extracorporeal
Membrane Oxygenation: The Evidence Unfolds.
PMID- 27182861
TI - Pharmacologic Therapies for ICU-Acquired Weakness: A Long Road Ahead.
PMID- 27182862
TI - Corticosteroids for Influenza Pneumonia: Hold Off for Now!
PMID- 27182863
TI - Learning Critical Care Echocardiography: The Start of an Evidence-Based Approach?
PMID- 27182865
TI - Technology is Great, Except When It Isn't!
PMID- 27182864
TI - Predicting Large Vessel Occlusion in Acute Ischemic Stroke: Less is More.
PMID- 27182866
TI - Better Measures, Better Trials, Better Outcomes in Survivors of Critical Illness.
PMID- 27182867
TI - Selenium and Niacin for Sepsis Therapy: The Sum Is Greater Than Its Parts.
PMID- 27182868
TI - Is Helium Eclipsing Current Thromboembolic Stroke Therapy?
PMID- 27182869
TI - Something Old, Something New...Something Else to Consider in Blood Utilization.
PMID- 27182870
TI - Treating Sepsis: Nearest Neighbors and Predicting Beginnings.
PMID- 27182871
TI - Sepsis-The Final Common Pathway to Death From Multiple Organ Failure in
Infection.
PMID- 27182872
TI - The authors reply.
PMID- 27182873
TI - Misclassification of Acute Kidney Injury and Its Impact on Hospital Survival.
PMID- 27182874
TI - The authors reply.
PMID- 27182875
TI - Three Cases of Severe Pulmonary Embolism After Dual-Lumen Extracorporeal Membrane
Oxygenation Catheter Removal.
PMID- 27182876
TI - The authors reply.
PMID- 27182877
TI - The Richmond Agitation-Sedation Scale Should Not Be Used to Evaluate Neurologic
Function.
PMID- 27182878
TI - The authors reply.
PMID- 27182879
TI - The Barriers and Enablers to Single-Specialty Status in Critical Care.
PMID- 27182880
TI - The author replies.
PMID- 27182881
TI - Trials and Tribulations of Therapies for the Acute Respiratory Distress Syndrome.
PMID- 27182882
TI - The authors reply.
PMID- 27182883
TI - Risk Stratification of Infected Patients in Emergency Department.
PMID- 27182884
TI - Magnetic Driving Flowerlike Soft Platform: Biomimetic Fabrication and External
Regulation.
AB - Nature-inspired actuators that can be driven by various stimuli are an emerging
application in mobile microrobotics and microfluidics. In this study, a soft and
multiple-environment-adaptive robotic platform with ferromagnetic particles
impregnated in silicon-based polymer is adopted to fabricate microrobots for
minimally invasive locomotion and control interaction with their environment. As
an intelligent structure of platform, the change of its bending, deformation, and
flapping displacement is rapid, reversible, and continuously controllable with
sweeping and multicycle magnetic actuation. The bending angle of the soft
platform (0.2 mm in thickness and 8.5 mm in length) can be deflected up to almost
90 degrees within 2.7 s. Experiments demonstrated that the flexible platform of
human skin-like material in various shapes, that is, flowerlike shapes, can
transport a cargo to targeted area in air and a variety of liquids. It indicates
excellent magnetic-actuation ability and good controllability. The results may be
helpful in developing a magnetic-driven carrying platform, which can be operated
like a human finger to manipulate biological objects such as single cells,
microbeads, or embryos. Especially, it is likely to be used in harsh chemical and
physical circumstances.
PMID- 27182885
TI - Genomic African and Native American Ancestry and Chagas Disease: The Bambui
(Brazil) Epigen Cohort Study of Aging.
AB - BACKGROUND: The influence of genetic ancestry on Trypanosoma cruzi infection and
Chagas disease outcomes is unknown. METHODOLOGY/PRINCIPAL FINDINGS: We used
370,539 Single Nucleotide Polymorphisms (SNPs) to examine the association between
individual proportions of African, European and Native American genomic ancestry
with T. cruzi infection and related outcomes in 1,341 participants (aged >= 60
years) of the Bambui (Brazil) population-based cohort study of aging. Potential
confounding variables included sociodemographic characteristics and an array of
health measures. The prevalence of T. cruzi infection was 37.5% and 56.3% of
those infected had a major ECG abnormality. Baseline T. cruzi infection was
correlated with higher levels of African and Native American ancestry, which in
turn were strongly associated with poor socioeconomic circumstances.
Cardiomyopathy in infected persons was not significantly associated with African
or Native American ancestry levels. Infected persons with a major ECG abnormality
were at increased risk of 15-year mortality relative to their counterparts with
no such abnormalities (adjusted hazard ratio = 1.80; 95% 1.41, 2.32). African and
Native American ancestry levels had no significant effect modifying this
association. CONCLUSIONS/SIGNIFICANCE: Our findings indicate that African and
Native American ancestry have no influence on the presence of major ECG
abnormalities and had no influence on the ability of an ECG abnormality to
predict mortality in older people infected with T. cruzi. In contrast, our
results revealed a strong and independent association between prevalent T. cruzi
infection and higher levels of African and Native American ancestry. Whether this
association is a consequence of genetic background or differential exposure to
infection remains to be determined.
PMID- 27182886
TI - Effects of Arachidonic Acid Supplementation on Acute Anabolic Signaling and
Chronic Functional Performance and Body Composition Adaptations.
AB - BACKGROUND: The primary purpose of this investigation was to examine the effects
of arachidonic acid (ARA) supplementation on functional performance and body
composition in trained males. In addition, we performed a secondary study looking
at molecular responses of ARA supplementation following an acute exercise bout in
rodents. METHODS: Thirty strength-trained males (age: 20.4 +/- 2.1 yrs) were
randomly divided into two groups: ARA or placebo (i.e. CTL). Then, both groups
underwent an 8-week, 3-day per week, non-periodized training protocol. Quadriceps
muscle thickness, whole-body composition scan (DEXA), muscle strength, and power
were assessed at baseline and post-test. In the rodent model, male Wistar rats
(~250 g, ~8 weeks old) were pre-fed with either ARA or water (CTL) for 8 days and
were fed the final dose of ARA prior to being acutely strength trained via
electrical stimulation on unilateral plantar flexions. A mixed muscle sample was
removed from the exercised and non-exercised leg 3 hours post-exercise. RESULTS:
Lean body mass (2.9%, p<0.0005), upper-body strength (8.7%, p<0.0001), and peak
power (12.7%, p<0.0001) increased only in the ARA group. For the animal trial,
GSK-beta (Ser9) phosphorylation (p<0.001) independent of exercise and AMPK
phosphorylation after exercise (p-AMPK less in ARA, p = 0.041) were different in
ARA-fed versus CTL rats. CONCLUSIONS: Our findings suggest that ARA
supplementation can positively augment strength-training induced adaptations in
resistance-trained males. However, chronic studies at the molecular level are
required to further elucidate how ARA combined with strength training affect
muscle adaptation.
PMID- 27182888
TI - Correction: Long-Term Low Carbohydrate Diet Leads to Deleterious Metabolic
Manifestations in Diabetic Mice.
AB - [This corrects the article DOI: 10.1371/journal.pone.0104948.].
PMID- 27182887
TI - First Description of Hepacivirus and Pegivirus Infection in Domestic Horses in
China: A Study in Guangdong Province, Heilongjiang Province and Hong Kong
District.
AB - Since 2012, three viruses, known as equine hepacivirus (EqHV), equine pegivirus
(EPgV) and Theiler's disease-associated virus (TDAV), have been discovered in
equines. Given that these viruses are the newest members of the Flaviviridae
family, genomic information concerning circulating EqHV, EPgV and TDAV strains
around the world is limited. To date, no genetic surveillance studies have been
performed on these three viruses in the equine population of China. Here, a total
of 177 serum samples were collected from equines across China between 2014 and
2015. Using PCR, we detected viral RNA in the serum samples, six of which were
EqHV positive and two of which were EPgV positive. Co-infection with the two
viruses was not observed among the Chinese equines studied, and TDAV RNA was not
detected in the equine serum samples collected for this study. Phylogenetic
analysis of partial NS5B open reading frame (ORF), NS3 ORF, and 5' untranslated
region nucleotide sequences from EqHV as well as partial NS3 ORF sequence from
EPgV indicated that EqHV and EPgV have evolved into two main clades by
themselves, both of which are circulating in China. Based on the partial NS5B and
NS3 ORF sequences of EqHV, the sequences of one clade were also split into two
subclades. This study enriches our knowledge of the geographic distribution of
these three equine viruses.
PMID- 27182889
TI - "Even if I Don't Remember, I Feel Better". A Qualitative Study of Patients with
Early-Stage Non-Small Cell Lung Cancer Undergoing Stereotactic Body Radiotherapy
or Surgery.
AB - RATIONALE: While surgical resection is recommended for most patients with early
stage lung cancer according to the National Comprehensive Cancer Network
guidelines, stereotactic body radiotherapy is increasingly being used. Provider
patient communication regarding the risks and benefits of each approach may be a
modifiable factor leading to improved patient-centered outcomes. OBJECTIVES: To
qualitatively describe the experiences of patients undergoing either surgery or
stereotactic body radiotherapy for early stage non-small cell lung cancer.
METHODS: We qualitatively evaluated and used content analysis to describe the
experiences of 13 patients with early clinical stage non-small cell lung cancer
before undergoing treatment in three health care systems in the Pacific
Northwest, with a focus on knowledge obtained, communication, and feelings of
distress. MEASUREMENTS AND MAIN RESULTS: Although most participants reported
rarely having been told about other options for treatment and could not readily
recall many details about specific risks of recommended treatment, they were
satisfied with their care. The patients paradoxically described clinicians as
displaying caring and empathy despite not explicitly addressing their concerns
and worries. We found that the communication domains that underlie shared
decision making occurred infrequently, but that participants were still pleased
with their role in the decision-making process. We did not find substantially
different themes based on where the participant received care or the treatment
selected. CONCLUSIONS: Patients were satisfied with all aspects of their care,
despite reporting little knowledge about risks or other treatment options, no
direct elicitation of worries from providers, and a lack of shared decision
making. While the development of effective communication strategies to address
these gaps is warranted, their effect on patient-centered outcomes, such as
distress and decisional conflict, is unclear.
PMID- 27182890
TI - Dual-Modal Imaging-Guided Theranostic Nanocarriers Based on Indocyanine Green and
mTOR Inhibitor Rapamycin.
AB - The development of treatment protocols that resulted in a complete response to
photothermal therapy (PTT) was usually hampered by uneven heat distribution and
low effectiveness. Here, we reported an NIR fluorescence and photoacoustic dual
modal imaging-guided active targeted thermal sensitive liposomes (TSLs) based on
the photothermal therapy agent Indocyanine green (ICG) and antiangiogenesis agent
Rapamycin (RAPA) to realize enhanced therapeutic and diagnostic functions. As
expected, the in vitro drug release studies exhibited the satisfactory result of
drug released from the TSLs under hyperthermia conditions induced by NIR
stimulation. The in vitro cellular studies confirmed that the FA-ICG/RAPA-TSLs
plus NIR laser exhibited efficient drug accumulation and cytotoxicity in tumor
cells and epithelial cells. After 24 h intravenous injection of FA-ICG/RAPA-TSLs,
the margins of tumor and normal tissue were accurately identified via the in vivo
NIR fluorescence and photoacoustic dual-modal imaging. In addition, FA-ICG/RAPA
TSLs combined with NIR irradiation treated tumor-bearing nude mice inhibited
tumor growth to a great extent and possessed much lower side effects to normal
organs. All detailed evidence suggested that the theranostic TSLs which were
capable of enhancing the therapeutic index might be a suitable drug delivery
system for dual-modal imaging-guided therapeutic tools for diagnostics as well as
the treatment of tumors.
PMID- 27182891
TI - Deterministic and Stochastic Study for a Microscopic Angiogenesis Model:
Applications to the Lewis Lung Carcinoma.
AB - Angiogenesis modelling is an important tool to understand the underlying
mechanisms yielding tumour growth. Nevertheless, there is usually a gap between
models and experimental data. We propose a model based on the intrinsic
microscopic reactions defining the angiogenesis process to link experimental data
with previous macroscopic models. The microscopic characterisation can describe
the macroscopic behaviour of the tumour, which stability analysis reveals a set
of predicted tumour states involving different morphologies. Additionally, the
microscopic description also gives a framework to study the intrinsic
stochasticity of the reactive system through the resulting Langevin equation. To
follow the goal of the paper, we use available experimental information on the
Lewis lung carcinoma to infer meaningful parameters for the model that are able
to describe the different stages of the tumour growth. Finally we explore the
predictive capabilities of the fitted model by showing that fluctuations are
determinant for the survival of the tumour during the first week and that
available treatments can give raise to new stable tumour dormant states with a
reduced vascular network.
PMID- 27182893
TI - Polychlorinated Biphenyls in Tree Bark near Former Manufacturing and Incineration
Facilities in Sauget, Illinois, United States.
AB - We collected 27 tree bark samples near Sauget, IL, where 373 000 mt of
polychlorinated biphenyls (PCB) was produced between 1936 and 1977 and 10 245 mt
was incinerated from 1971 to 1977. Our goal was observe PCB distribution and
apparent movement to residential sites, where 24 of 27 samples were collected.
Only one of several waste sites was accessible for sampling. We analyzed for 209
PCB congeners, and 85 peaks are reported (other congeners either coeluted or were
near or less than the detection limit). Concentrations of ?PCB ranged from 190
952 to 2 383 988 pg g lipid(-1); 24 of 27 samples had less than 50% of the
maximum concentration. Two samples with the highest ?PCB concentrations were
downwind from the plant site in residential areas, but both were among the
farthest away from the production facility. One high-concentration sample was
near the waste site. The three highest concentrations were in trees that were
less than 20 years old, showing recent atmospheric PCB mobility. The percentage
of ?PCB distributions showed a consistent but variable pattern of diCB to nonaCB
congeners. DecaCB was inconsistent, because PCB-209, which was manufactured at
the site in Aroclor 1270 and 1271, was the most abundant congener in 10 of the
samples but lower in others.
PMID- 27182892
TI - Single-Molecule Force Spectroscopy Studies of APOBEC3A-Single-Stranded DNA
Complexes.
AB - APOBEC3A (A3A) inhibits the replication of a range of viruses and transposons and
might also play a role in carcinogenesis. It is a single-domain deaminase enzyme
that interacts with single-stranded DNA (ssDNA) and converts cytidines to
uridines within specific trinucleotide contexts. Although there is abundant
information that describes the potential biological activities of A3A, the
interplay between binding ssDNA and sequence-specific deaminase activity remains
controversial. Using a single-molecule atomic force microscopy spectroscopy
approach developed by Shlyakhtenko et al. [(2015) Sci. Rep. 5, 15648], we
determine the stability of A3A in complex with different ssDNA sequences. We
found that the strength of the complex is sequence-dependent, with more stable
complexes formed with deaminase-specific sequences. A correlation between the
deaminase activity of A3A and the complex strength was identified. The ssDNA
binding properties of A3A and those for A3G are also compared and discussed.
PMID- 27182894
TI - Therapeutic alliance and binge-eating outcomes in a group therapy context.
AB - The therapeutic alliance in individual and group psychotherapy is associated with
treatment outcomes for a variety of disorders. However, debate persists about the
centrality of the alliance in determining positive outcomes. We examined the
alliance-outcome relationship across 20 sessions of emotionally focused group
therapy (EFGT) for binge-eating disorder (BED). We hypothesized that (1) previous
session alliance increase will predict lower subsequent session binge eating
level while controlling for previous session binge eating level; and (2) previous
session binge eating decline will predict higher subsequent session alliance
level while controlling previous session alliance level. Participants were 118
individuals with BED who received 20 sessions of EFGT in 8 groups. Levels of
binge eating and therapeutic alliance to the therapist were measured weekly.
Linear growth in alliance during group therapy was associated with reduced binge
eating at 6 months' posttreatment. We also found that the group's and the
individual's alliance scores and binge-eating episodes were significantly
associated across treatment, suggesting a mutual influence of the group's and
individual's experience of the alliance with the therapist. Regarding the first
hypothesis, previous session alliance increase was significantly associated with
lower subsequent session binge eating. Regarding the second hypothesis, previous
session binge-eating decline was not significantly related to higher subsequent
session alliance. The findings provide evidence in a group therapy context for a
model in which alliance change influences subsequent symptom levels, but not the
other way around. (PsycINFO Database Record
PMID- 27182896
TI - Vertebral Osteomyelitis and Acinetobacter Spp. Paravertebral Soft Tissue
Infection in a 4-Year-Old Boy With X-Linked Chronic Granulomatous Disease.
AB - Vertebral osteomyelitis is known to occur in chronic granulomatous disease, a
phagocytic disorder and the etiology is usually a fungus. Indolent spread of
fungal infection from lungs to adjacent ribs and vertebra often results in
persistent pneumonia and vertebral deformities. We report a 4-year-old boy with
chronic cough and kyphosis, who had a fungal vertebral osteomyelitis and
Acinetobacter spp. paravertebral soft tissue infection related to X-linked
chronic granulomatous disease.
PMID- 27182897
TI - Pediatric Latent Tuberculosis: Should Travel and Foreign Birth Testing Criteria
Be Reassessed?
PMID- 27182895
TI - Fine-needle aspiration and core needle biopsy: An update on 2 common minimally
invasive tissue sampling modalities.
AB - Fine-needle aspiration (FNA) and core needle biopsy (CNB) represent 2 of the most
common minimally invasive tissue sampling modalities. Although similar in many
ways, there are significant differences in the collection, processing,
interpretation, and suitability for ancillary testing that exist between FNA and
CNB. This review provides a brief overview of the strengths and weaknesses of FNA
compared with CNB, as well as an update regarding the landscape of recently
published studies that investigate the organ-specific comparative performance
metrics of FNA and CNB. A current understanding of the benefits and limitations
of FNA and CNB will help the cytopathologist and the clinician alike to select
the right procedure for the right patient at the right time. Cancer Cytopathol
2016;124:862-870. (c) 2016 American Cancer Society.
PMID- 27182898
TI - Ten-Year Study of Acute Otitis Media in Rochester, NY.
AB - This review summarizes a prospective, longitudinal 10-year study in Rochester,
NY, involving 760 children where virtually all clinically diagnosed acute otitis
media (AOM) was confirmed by bacterial culture of middle ear fluid. This review
describes detection of otopathogens in middle ear fluid, nasopharyngeal (NP)
otopathogen colonization patterns, AOM risk factor analysis, biomarkers of AOM
and antibody responses to NP colonization by otopathogens. After licensure of
PCV13, there was an immediate drop in AOM caused by Streptococcus pneumoniae
(Spn) vaccine serotypes and shortly thereafer an increase in nonvaccine types 16,
21 and 35B. When NP co-colonization occurred, nontypeable Haemophilus influenzae
(NTHi) predominated over Spn to cause AOM, and NTHi and Spn both predominated
over Moraxella catarrhalis. Transcriptome analysis of peripheral blood
mononuclear cells identified unique signatures for NTHi AOM compared with Spn
AOM. Elevation of 3 cytokines in serum (S100A12, intercellular adhesion molecule
1 and interleukin 10) accurately predicted the presence and recovery from AOM and
the likely otopathogen. NP colonization was an immunizing event.
PMID- 27182899
TI - Isolable Diphosphorus-Centered Radical Anion and Diradical Dianion.
AB - Two salts containing diphosphorus-centered radical anion 1(*-) and diradical
dianion 1(2-**) were obtained by one- and two-electron reductions of an
indenofluorene-bridging diphosphaalkene (1) with K and KC8, respectively. The
salts have been characterized by electron paramagnetic resonance (EPR)
spectroscopy, UV-vis absorption spectroscopy, and single-crystal X-ray
diffraction analysis. EPR spectroscopy and theoretical calculations reveal that
the spin density of the radicals mainly resides on the phosphorus atoms, and 1(2
**) has an open-shell singlet ground state. 1(*-) and 1(2-**) represent the first
isolable and structurally characterized diphosphorus-centered radical anion and
dianion.
PMID- 27182901
TI - Health Reform and Coverage Changes Among Native Americans.
PMID- 27182902
TI - Parenting as Primary Prevention.
PMID- 27182903
TI - He Says You Are Finished.
PMID- 27182904
TI - -gnosis.
PMID- 27182905
TI - The Firearm for Protection? A Risky Bet.
PMID- 27182906
TI - The Reality of ICD-10 in the Office.
PMID- 27182900
TI - Historical and contemporary stable isotope tracer approaches to studying
mammalian protein metabolism.
AB - Over a century ago, Frederick Soddy provided the first evidence for the existence
of isotopes; elements that occupy the same position in the periodic table are
essentially chemically identical but differ in mass due to a different number of
neutrons within the atomic nucleus. Allied to the discovery of isotopes was the
development of some of the first forms of mass spectrometers, driven forward by
the Nobel laureates JJ Thomson and FW Aston, enabling the accurate separation,
identification, and quantification of the relative abundance of these isotopes.
As a result, within a few years, the number of known isotopes both stable and
radioactive had greatly increased and there are now over 300 stable or
radioisotopes presently known. Unknown at the time, however, was the potential
utility of these isotopes within biological disciplines, it was soon discovered
that these stable isotopes, particularly those of carbon (13 C), nitrogen (15 N),
oxygen (18 O), and hydrogen (2 H) could be chemically introduced into organic
compounds, such as fatty acids, amino acids, and sugars, and used to "trace" the
metabolic fate of these compounds within biological systems. From this important
breakthrough, the age of the isotope tracer was born. Over the following 80 yrs,
stable isotopes would become a vital tool in not only the biological sciences,
but also areas as diverse as forensics, geology, and art. This progress has been
almost exclusively driven through the development of new and innovative mass
spectrometry equipment from IRMS to GC-MS to LC-MS, which has allowed for the
accurate quantitation of isotopic abundance within samples of complex matrices.
This historical review details the development of stable isotope tracers as
metabolic tools, with particular reference to their use in monitoring protein
metabolism, highlighting the unique array of tools that are now available for the
investigation of protein metabolism in vivo at a whole body down to a single
protein level. Importantly, it will detail how this development has been closely
aligned to the technological development within the area of mass spectrometry.
Without the dedicated development provided by these mass spectrometrists over the
past century, the use of stable isotope tracers within the field of protein
metabolism would not be as widely applied as it is today, this relationship will
no doubt continue to flourish in the future and stable isotope tracers will
maintain their importance as a tool within the biological sciences for many years
to come. (c) 2016 The Authors. Mass Spectrometry Reviews Published by Wiley
Periodicals, Inc. Mass Spec Rev.
PMID- 27182907
TI - The Reality of ICD-10 in the Office.
PMID- 27182908
TI - Principles for Disclosure of Interests and Management of Conflicts in Guidelines:
Desirable and Undesirable Action and Consequences.
PMID- 27182909
TI - Principles for Disclosure of Interests and Management of Conflicts in Guidelines:
Desirable and Undesirable Action and Consequences.
PMID- 27182910
TI - Patient-Initiated Prostate Cancer Screening Among Older U.S. Men.
PMID- 27182911
TI - Principles for Disclosure of Interests and Management of Conflicts in Guidelines:
Desirable and Undesirable Action and Consequences.
PMID- 27182913
TI - Metaplasia.
PMID- 27182912
TI - Patient-Initiated Prostate Cancer Screening Among Older U.S. Men.
PMID- 27182914
TI - Web Exclusives. The Consult Guys - An MI, a Stent, Bleeding, and Surgery! What Do
I Do?
PMID- 27182916
TI - Delayed antibiotics reduced antibiotic use in acute respiratory infection without
increasing symptom duration.
PMID- 27182917
TI - Prednisolone was equivalent to indomethacin for pain reduction in acute gout.
PMID- 27182918
TI - Evidence-Based Guideline: CHEST made 20 strong recommendations about
antithrombotic therapy for VTE.
PMID- 27182919
TI - Review: After lower-extremity DVT, compression stockings do not reduce
postthrombotic syndrome or recurrence.
PMID- 27182920
TI - Varenicline, combination NRT, and nicotine patch did not differ for smoking
cessation at 6 mo.
PMID- 27182921
TI - Review: Exercise therapy reduces fatigue in chronic fatigue syndrome.
PMID- 27182922
TI - Testosterone gel improved sexual function, but not walk distance or fatigue, in
older men with low testosterone.
PMID- 27182923
TI - In large-vessel ischemic stroke, adding endovascular thrombectomy to usual care
reduced 90-day disability.
PMID- 27182924
TI - In patients >= 80 y with NSTEMI or unstable angina, an invasive strategy reduced
CV outcomes.
PMID- 27182925
TI - Transition care with telemonitoring did not reduce readmission after
hospitalization for heart failure.
PMID- 27182927
TI - Role of Wasp and the small GTPases RhoA, RhoB, and Cdc42 during capacitation and
acrosome reaction in spermatozoa of English guinea pigs.
AB - Cytoskeleton remodeling is necessary for capacitation and the acrosome reaction
in spermatozoa. F-actin is located in the acrosome and equatorial region during
capacitation, but is relocated in the post-acrosomal region during the acrosome
reaction in spermatozoa from bull, rat, mice, and guinea pig. Actin
polymerization and relocalization are generally regulated by small GTPases that
activate Wasp protein, which coordinates with Arp2/3, profilin I, and profilin II
to complete cytoskeletal remodeling. This sequence of events is not completely
described in spermatozoa, though. Therefore, the aim of this study was to
determine if Wasp interacts with small GTPases (RhoA, RhoB, and Cdc42) and
proteins (Arp2/3, profilin I, and profilin II) that co-localize with F-actin
during capacitation and the acrosome reaction in English guinea pig spermatozoa
obtained from the vas deferens. The spermatozoa were capacitated in calcium-free
medium, incubated with an activator or an inhibitor of GTPases, and then induced
to acrosome react using calcium. The distribution patterns of F-actin were
compared to the patterns of Wasp and its putative interaction partners: Wasp and
RhoB, but not RhoA or Cdc42, localization overlap with F-actin during
capacitation and the acrosome reaction. Activation of small GTPases localized
RhoB to the post-acrosomal region whereas their inhibition prevented acrosome
exocytosis. Arp2/3 and profilin II appear to interact with Wasp in the post
acrosomal region and flagellum, while profilin I and Wasp could be found in the
equatorial region. Thus, Wasp and F-actin distribution overlap during
capacitation and acrosome reaction, and small GTPases play an important role in
cytoskeleton remodeling during these processes in spermatozoa. Mol. Reprod. Dev.
83: 927-937, 2016 (c) 2016 Wiley Periodicals, Inc.
PMID- 27182926
TI - Antibiotic Prescriptions and Prophylaxis in Italian Children. Is It Time to
Change? Data from the ARPEC Project.
AB - BACKGROUND: Antimicrobials are the most commonly prescribed drugs. Many studies
have evaluated antibiotic prescriptions in the paediatric outpatient but few
studies describing the real antibiotic consumption in Italian children's
hospitals have been published. Point-prevalence survey (PPS) has been shown to be
a simple, feasible and reliable standardized method for antimicrobials
surveillance in children and neonates admitted to the hospital. In this paper, we
presented data from a PPS on antimicrobial prescriptions carried out in 7 large
Italian paediatric institutions. METHODS: A 1-day PPS on antibiotic use in
hospitalized neonates and children was performed in Italy between October and
December 2012 as part of the Antibiotic Resistance and Prescribing in European
Children project (ARPEC). Seven institutions in seven Italian cities were
involved. The survey included all admitted patients less than 18 years of age
present in the ward at 8:00 am on the day of the survey, who had at least one on
going antibiotic prescription. For all patients data about age, weight,
underlying disease, antimicrobial agent, dose and indication for treatment were
collected. RESULTS: The PPS was performed in 61 wards within 7 Italian
institutions. A total of 899 patients were eligible and 349 (38.9%) had an on
going prescription for one or more antibiotics, with variable rates among the
hospitals (25.7% - 53.8%). We describe antibiotic prescriptions separately in
neonates (<30 days old) and children (> = 30 days to <18 years old). In the
neonatal cohort, 62.8% received antibiotics for prophylaxis and only 37.2% on
those on antibiotics were treated for infection. Penicillins and aminoglycosides
were the most prescribed antibiotic classes. In the paediatric cohort, 64.4% of
patients were receiving antibiotics for treatment of infections and 35.5% for
prophylaxis. Third generation cephalosporins and penicillin plus inhibitors were
the top two antibiotic classes. The main reason for prescribing antibiotic
therapy in children was lower respiratory tract infections (LRTI), followed by
febrile neutropenia/fever in oncologic patients, while, in neonates, sepsis was
the most common indication for treatment. Focusing on prescriptions for LRTI,
43.3% of patients were treated with 3rd generation cephalosporins, followed by
macrolides (26.9%), quinolones (16.4%) and carbapenems (14.9%) and 50.1% of LRTI
cases were receiving more than one antibiotic. For neutropenic fever/fever in
oncologic patients, the preferred antibiotics were penicillins with inhibitors
(47.8%), followed by carbapenems (34.8%), aminoglycosides (26.1%) and
glycopeptides (26.1%). Overall, the 60.9% of patients were treated with a
combination therapy. CONCLUSIONS: Our study provides insight on the Italian
situation in terms of antibiotic prescriptions in hospitalized neonates and
children. An over-use of third generation cephalosporins both for prophylaxis and
treatment was the most worrisome finding. A misuse and abuse of carbapenems and
quinolones was also noted. Antibiotic stewardship programs should immediately
identify feasible targets to monitor and modify the prescription patterns in
children's hospital, also considering the continuous and alarming emergence of
MDR bacteria.
PMID- 27182928
TI - Efficacy of Cognitive-Behavioral Therapy in Pediatric Obsessive-Compulsive
Disorder: A Meta-Analysis.
AB - BACKGROUND Pediatric obsessive-compulsive disorder (OCD) is a debilitating
psychological anxiety disorder. Cognitive-behavioral therapy (CBT) has been shown
to be an effective therapy for OCD, but the evaluation results from various
studies are inconsistent and incomprehensive. This meta-analysis examined the
efficacy of CBT in treatment of OCD. MATERIAL AND METHODS A literature search
identified 13 studies that met the inclusion criteria. The efficacy of CBT on OCD
was evaluated by comparing post-treatment and pre-treatment Children's Yale-Brown
Obsessive Compulsive Scale (CY-BOCS) scores. Weighted mean difference (WMD) was
generated for the statistical evaluation. Heterogeneity was evaluated by I2
index. RESULTS A decrease in WMD and a statistical significance (p<0.0001) in
both CY-BOCS and CGI scores between pre- and post-CBT treatment were observed in
both overall database (-11.73) and USA subgroup (-11.371), which indicates a
dramatic relief of OCD symptoms after CBT treatment. Heterogeneity was detected
in overall database and USA subgroup, which resulted in an application of the
random-effects model to both groups. Publication bias was examined by both Begg's
funnel plot and Egger's test and no publication bias was detected. CONCLUSIONS We
concluded that CBT is efficacious in treating children's OCD.
PMID- 27182930
TI - Cs3W3PO13: A Tungsten Phosphate with One-Dimensional Zigzag Tunnels Exhibiting
Strongly Anisotropic Thermal Expansion.
AB - A new tungsten phosphate, Cs3W3PO13, is synthesized using the high-temperature
flux method. Cs3W3PO13 crystallizes in the space group Pnma and contains one
dimensional zigzag tunnels, which are found for the first time in tungsten
phosphate. This highly anisotropic structural feature results in a very strong
anisotropic thermal expansion, with thermal expansion coefficients of 14.15 +/-
1.11 and 0.72 +/- 0.22 M K(-1) along the a and b axes, respectively, over the
temperature range from 13 to 270 K. In addition, thermal analysis, UV-vis-near-IR
diffuse reflectance, and first-principles electronic structure calculations on
Cs3W3PO13 are performed.
PMID- 27182929
TI - Macropinosomes are Key Players in Early Shigella Invasion and Vacuolar Escape in
Epithelial Cells.
AB - Intracellular pathogens include all viruses, many bacteria and parasites capable
of invading and surviving within host cells. Key to survival is the subversion of
host cell pathways by the pathogen for the purpose of propagation and evading the
immune system. The intracellular bacterium Shigella flexneri, the causative agent
of bacillary dysentery, invades host cells in a vacuole that is subsequently
ruptured to allow growth of the pathogen within the host cytoplasm. S. flexneri
invasion has been classically described as a macropinocytosis-like process,
however the underlying details and the role of macropinosomes in the
intracellular bacterial lifestyle have remained elusive. We applied dynamic
imaging and advanced large volume correlative light electron microscopy (CLEM) to
study the highly transient events of S. flexneri's early invasion into host
epithelial cells and elucidate some of its fundamental features. First, we
demonstrate a clear distinction between two compartments formed during the first
step of invasion: the bacterial containing vacuole and surrounding
macropinosomes, often considered identical. Next, we report a functional link
between macropinosomes and the process of vacuolar rupture, demonstrating that
rupture timing is dependent on the availability of macropinosomes as well as the
activity of the small GTPase Rab11 recruited directly to macropinosomes. We go on
to reveal that the bacterial containing vacuole and macropinosomes come into
direct contact at the onset of vacuolar rupture. Finally, we demonstrate that S.
flexneri does not subvert pre-existing host endocytic vesicles during the
invasion steps leading to vacuolar rupture, and propose that macropinosomes are
the major compartment involved in these events. These results provide the basis
for a new model of the early steps of S. flexneri epithelial cell invasion,
establishing a different view of the enigmatic process of cytoplasmic access by
invasive bacterial pathogens.
PMID- 27182931
TI - A Metal and Base-Free Chemoselective Primary Amination of Boronic Acids Using
Cyanamidyl/Arylcyanamidyl Radical as Aminating Species: Synthesis and Mechanistic
Studies by Density Functional Theory.
AB - An efficient, metal and base-free, chemoselective synthesis of aryl-, heteroaryl
, and alkyl primary amines from the corresponding boronic acids has been achieved
at ambient temperature mediated by [bis(trifluoroacetoxy)iodo]benzene (PIFA) and
N-bromosuccinimide (NBS) using cyanamidyl/arylcyanamidyl radicals as the
aminating species. The primary amine compounds were initially obtained as their
corresponding ammonium trifluoroacetate salts which, on treatment with aq NaOH,
provide the free amines. Finally, the primary amines were isolated through column
chromatography over silica-gel using hexane-EtOAc solvent system as the eluent.
The reactions are sufficiently fast, completing within 1 h. Quantum chemical
calculations in combination with experimental observations validate that the ipso
amination of substituted boronic acids involves the formation of
cyanamidyl/arylcyanamidyl radical, followed by regiospecific interaction of its
nitrile-N center with boron atom of the boronic acids, leading to chemoselective
primary amination.
PMID- 27182942
TI - Quantitative evaluation of ABC transporter-mediated drug resistance based on the
determination of the anticancer activity of camptothecin against breast cancer
stem cells using TIRF.
AB - Elevated expression of drug efflux pumps such as multidrug resistant protein-1
(MDR1/ABCB1) and multidrug resistance associated protein-1 (MRP1/ABCC1) in cancer
stem cells (CSCs) among a bulky tumor cell population was attributed to drug
resistance. For the first time, we have quantitatively evaluated the cytotoxic
profile of camptothecin (CPT) against the CSC. In the present study, a Qdot based
total internal reflection fluorescence (TIRF) detection system effectively
interpreted that drug resistance to CPT was reduced in the CSC under ABCB1
inhibited conditions. This study revealed that quantitative finding of the EC50
value for apoptosis and necrosis in correlation with the ABC inhibitor and CSC
population using TIRF could provide more details of the anti-cancer efficacy of
chemotherapeutic agents.
PMID- 27182944
TI - A Novel Strategy for Exploitation of Host RNase E Activity by a Marine
Cyanophage.
AB - Previous studies have shown that infection of Prochlorococcus MED4 by the
cyanophage P-SSP7 leads to increased transcript levels of host endoribonuclease
(RNase) E. However, it has remained enigmatic whether this is part of a host
defense mechanism to degrade phage messenger RNA (mRNA) or whether this single
strand RNA-specific RNase is utilized by the phage. Here we describe a hitherto
unknown means through which this cyanophage increases expression of RNase E
during phage infection and concomitantly protects its own RNA from degradation.
We identified two functionally different RNase E mRNA variants, one of which is
significantly induced during phage infection. This transcript lacks the 5' UTR,
is considerably more stable than the other transcript, and is likely responsible
for increased RNase E protein levels during infection. Furthermore, selective
enrichment and in vivo analysis of double-stranded RNA (dsRNA) during infection
revealed that phage antisense RNAs (asRNAs) sequester complementary mRNAs to form
dsRNAs, such that the phage protein-coding transcriptome is nearly completely
covered by asRNAs. In contrast, the host protein-coding transcriptome is only
partially covered by asRNAs. These data suggest that P-SSP7 orchestrates
degradation of host RNA by increasing RNase E expression while masking its own
transcriptome from RNase E degradation in dsRNA complexes. We propose that this
combination of strategies contributes significantly to phage progeny production.
PMID- 27182943
TI - Long-Term Memory in Drosophila Is Influenced by Histone Deacetylase HDAC4
Interacting with SUMO-Conjugating Enzyme Ubc9.
AB - HDAC4 is a potent memory repressor with overexpression of wild type or a nuclear
restricted mutant resulting in memory deficits. Interestingly, reduction of HDAC4
also impairs memory via an as yet unknown mechanism. Although histone deacetylase
family members are important mediators of epigenetic mechanisms in neurons, HDAC4
is predominantly cytoplasmic in the brain and there is increasing evidence for
interactions with nonhistone proteins, suggesting HDAC4 has roles beyond
transcriptional regulation. To that end, we performed a genetic interaction
screen in Drosophila and identified 26 genes that interacted with HDAC4,
including Ubc9, the sole SUMO E2-conjugating enzyme. RNA interference-induced
reduction of Ubc9 in the adult brain impaired long-term memory in the courtship
suppression assay, a Drosophila model of associative memory. We also demonstrate
that HDAC4 and Ubc9 interact genetically during memory formation, opening new
avenues for investigating the mechanisms through which HDAC4 regulates memory
formation and other neurological processes.
PMID- 27182945
TI - Genomic Conflicts that Cause Pollen Mortality and Raise Reproductive Barriers in
Arabidopsis thaliana.
AB - Species differentiation and the underlying genetics of reproductive isolation are
central topics in evolutionary biology. Hybrid sterility is one kind of
reproductive barrier that can lead to differentiation between species. Here, we
analyze the complex genetic basis of the intraspecific hybrid male sterility that
occurs in the offspring of two distant natural strains of Arabidopsis thaliana,
Shahdara and Mr-0, with Shahdara as the female parent. Using both classical and
quantitative genetic approaches as well as cytological observation of pollen
viability, we demonstrate that this particular hybrid sterility results from two
causes of pollen mortality. First, the Shahdara cytoplasm induces gametophytic
cytoplasmic male sterility (CMS) controlled by several nuclear loci. Second,
several segregation distorters leading to allele-specific pollen abortion (pollen
killers) operate in hybrids with either cytoplasm. The complete sterility of the
hybrid with the Shahdara cytoplasm results from the genetic linkage of the two
causes of pollen mortality, i.e., CMS nuclear determinants and pollen killers.
Furthermore, natural variation at these loci in A. thaliana is associated with
different male-sterility phenotypes in intraspecific hybrids. Our results suggest
that the genomic conflicts that underlie segregation distorters and CMS can
concurrently lead to reproductive barriers between distant strains within a
species. This study provides a new framework for identifying molecular mechanisms
and the evolutionary history of loci that contribute to reproductive isolation,
and possibly to speciation. It also suggests that two types of genomic conflicts,
CMS and segregation distorters, may coevolve in natural populations.
PMID- 27182946
TI - Hybrid Sterility in Rice (Oryza sativa L.) Involves the Tetratricopeptide Repeat
Domain Containing Protein.
AB - Intersubspecific hybrid sterility is a common form of reproductive isolation in
rice (Oryza sativa L.), which significantly hampers the utilization of heterosis
between indica and japonica varieties. Here, we elucidated the mechanism of S7,
which specially causes Aus-japonica/indica hybrid female sterility, through
cytological and genetic analysis, map-based cloning, and transformation
experiments. Abnormal positioning of polar nuclei and smaller embryo sac were
observed in F1 compared with male and female parents. Female gametes carrying
S7(cp) and S7(i) were aborted in S7(ai)/S7(cp) and S7(ai)/S7(i), respectively,
whereas they were normal in both N22 and Dular possessing a neutral allele, S7(n)
S7 was fine mapped to a 139-kb region in the centromere region on chromosome 7,
where the recombination was remarkably suppressed due to aggregation of
retrotransposons. Among 16 putative open reading frames (ORFs) localized in the
mapping region, ORF3 encoding a tetratricopeptide repeat domain containing
protein was highly expressed in the pistil. Transformation experiments
demonstrated that ORF3 is the candidate gene: downregulated expression of ORF3
restored spikelet fertility and eliminated absolutely preferential transmission
of S7(ai) in heterozygote S7(ai)/S7(cp); sterility occurred in the transformants
Cpslo17-S7(ai) Our results may provide implications for overcoming hybrid embryo
sac sterility in intersubspecific hybrid rice and utilization of hybrid heterosis
for cultivated rice improvement.
PMID- 27182948
TI - Two-Locus Likelihoods Under Variable Population Size and Fine-Scale Recombination
Rate Estimation.
AB - Two-locus sampling probabilities have played a central role in devising an
efficient composite-likelihood method for estimating fine-scale recombination
rates. Due to mathematical and computational challenges, these sampling
probabilities are typically computed under the unrealistic assumption of a
constant population size, and simulation studies have shown that resulting
recombination rate estimates can be severely biased in certain cases of
historical population size changes. To alleviate this problem, we develop here
new methods to compute the sampling probability for variable population size
functions that are piecewise constant. Our main theoretical result, implemented
in a new software package called LDpop, is a novel formula for the sampling
probability that can be evaluated by numerically exponentiating a large but
sparse matrix. This formula can handle moderate sample sizes ([Formula: see
text]) and demographic size histories with a large number of epochs ([Formula:
see text]). In addition, LDpop implements an approximate formula for the sampling
probability that is reasonably accurate and scales to hundreds in sample size
([Formula: see text]). Finally, LDpop includes an importance sampler for the
posterior distribution of two-locus genealogies, based on a new result for the
optimal proposal distribution in the variable-size setting. Using our methods, we
study how a sharp population bottleneck followed by rapid growth affects the
correlation between partially linked sites. Then, through an extensive simulation
study, we show that accounting for population size changes under such a
demographic model leads to substantial improvements in fine-scale recombination
rate estimation.
PMID- 27182947
TI - Timely Closure of the Prospore Membrane Requires SPS1 and SPO77 in Saccharomyces
cerevisiae.
AB - During sporulation in Saccharomyces cerevisiae, a double lipid bilayer called the
prospore membrane is formed de novo, growing around each meiotic nucleus and
ultimately closing to create four new cells within the mother cell. Here we show
that SPS1, which encodes a kinase belonging to the germinal center kinase III
family, is involved in prospore membrane development and is required for prospore
membrane closure. We find that SPS1 genetically interacts with SPO77 and see that
loss of either gene disrupts prospore membrane closure in a similar fashion.
Specifically, cells lacking SPS1 and SPO77 produce hyperelongated prospore
membranes from which the leading edge protein complex is not removed from the
prospore membrane in a timely fashion. The SPS1/SPO77 pathway is required for the
proper phosphorylation and stability of Ssp1, a member of the leading edge
protein complex that is removed and degraded when the prospore membrane closes.
Genetic dissection of prospore membrane closure finds SPS1 and SPO77 act in
parallel to a previously described pathway of prospore membrane closure that
involves AMA1, an activator of the meiotic anaphase promoting complex.
PMID- 27182949
TI - Determinants of Genetic Diversity of Spontaneous Drug Resistance in Bacteria.
AB - Any pathogen population sufficiently large is expected to harbor spontaneous drug
resistant mutants, often responsible for disease relapse after antibiotic
therapy. It is seldom appreciated, however, that while larger populations harbor
more mutants, the abundance distribution of these mutants is expected to be
markedly uneven. This is because a larger population size allows early mutants to
expand for longer, exacerbating their predominance in the final mutant
subpopulation. Here, we investigate the extent to which this reduction in
evenness can constrain the genetic diversity of spontaneous drug resistance in
bacteria. Combining theory and experiments, we show that even small variations in
growth rate between resistant mutants and the wild type result in orders-of
magnitude differences in genetic diversity. Indeed, only a slight fitness
advantage for the mutant is enough to keep diversity low and independent of
population size. These results have important clinical implications. Genetic
diversity at antibiotic resistance loci can determine a population's capacity to
cope with future challenges (i.e., second-line therapy). We thus revealed an
unanticipated way in which the fitness effects of antibiotic resistance can
affect the evolvability of pathogens surviving a drug-induced bottleneck. This
insight will assist in the fight against multidrug-resistant microbes, as well as
contribute to theories aimed at predicting cancer evolution.
PMID- 27182951
TI - Local Joint Testing Improves Power and Identifies Hidden Heritability in
Association Studies.
AB - There is mounting evidence that complex human phenotypes are highly polygenic,
with many loci harboring multiple causal variants, yet most genetic association
studies examine each SNP in isolation. While this has led to the discovery of
thousands of disease associations, discovered variants account for only a small
fraction of disease heritability. Alternative multi-SNP methods have been
proposed, but issues such as multiple-testing correction, sensitivity to
genotyping error, and optimization for the underlying genetic architectures
remain. Here we describe a local joint-testing procedure, complete with multiple
testing correction, that leverages a genetic phenomenon we call linkage masking
wherein linkage disequilibrium between SNPs hides their signal under standard
association methods. We show that local joint testing on the original Wellcome
Trust Case Control Consortium (WTCCC) data set leads to the discovery of 22
associated loci, 5 more than the marginal approach. These loci were later found
in follow-up studies containing thousands of additional individuals. We find that
these loci significantly increase the heritability explained by genome-wide
significant associations in the WTCCC data set. Furthermore, we show that local
joint testing in a cis-expression QTL (eQTL) study of the gEUVADIS data set
increases the number of genes containing significant eQTL by 10.7% over marginal
analyses. Our multiple-hypothesis correction and joint-testing framework are
available in a python software package called Jester, available at
github.com/brielin/Jester.
PMID- 27182950
TI - The Activity-Dependent Regulation of Protein Kinase Stability by the Localization
to P-Bodies.
AB - The eukaryotic cytoplasm contains a variety of ribonucleoprotein (RNP) granules
in addition to the better-understood membrane-bound organelles. These granules
form in response to specific stress conditions and contain a number of signaling
molecules important for the control of cell growth and survival. However,
relatively little is known about the mechanisms responsible for, and the ultimate
consequences of, this protein localization. Here, we show that the Hrr25/CK1delta
protein kinase is recruited to cytoplasmic processing bodies (P-bodies) in an
evolutionarily conserved manner. This recruitment requires Hrr25 kinase activity
and the Dcp2 decapping enzyme, a core constituent of these RNP granules.
Interestingly, the data indicate that this localization sequesters active Hrr25
away from the remainder of the cytoplasm and thereby shields this enzyme from the
degradation machinery during these periods of stress. Altogether, this work
illustrates how the presence within an RNP granule can alter the ultimate fate of
the localized protein.
PMID- 27182952
TI - Resolving the Conflict Between Associative Overdominance and Background
Selection.
AB - In small populations, genetic linkage between a polymorphic neutral locus and
loci subject to selection, either against partially recessive mutations or in
favor of heterozygotes, may result in an apparent selective advantage to
heterozygotes at the neutral locus (associative overdominance) and a retardation
of the rate of loss of variability by genetic drift at this locus. In large
populations, selection against deleterious mutations has previously been shown to
reduce variability at linked neutral loci (background selection). We describe
analytical, numerical, and simulation studies that shed light on the conditions
under which retardation vs. acceleration of loss of variability occurs at a
neutral locus linked to a locus under selection. We consider a finite, randomly
mating population initiated from an infinite population in equilibrium at a locus
under selection. With mutation and selection, retardation occurs only when S, the
product of twice the effective population size and the selection coefficient, is
of order 1. With S >> 1, background selection always causes an acceleration of
loss of variability. Apparent heterozygote advantage at the neutral locus is,
however, always observed when mutations are partially recessive, even if there is
an accelerated rate of loss of variability. With heterozygote advantage at the
selected locus, loss of variability is nearly always retarded. The results shed
light on experiments on the loss of variability at marker loci in laboratory
populations and on the results of computer simulations of the effects of multiple
selected loci on neutral variability.
PMID- 27182954
TI - Assessment of Registered Dietitians' Beliefs and Practices for a Nutrition
Counselling Approach.
AB - PURPOSE: This study describes registered dietitians' (RDs) perceptions and use of
a nutrition counselling approach (NCA) as defined by the American Academy of
Nutrition and Dietetics Nutrition Care Process terminology (NCPT). METHODS: A
cross-sectional online survey was administered to approximately 500 RDs in
Alberta, Canada. Items included demographics, job characteristics, perceived
knowledge, competence, use of an NCA, training experience, and theory of planned
behaviour salient beliefs. Descriptive analyses compared RDs who counselled "all
or most" of their clients with those who counselled "some or none." Salient
beliefs were analyzed using content analysis. RESULTS: Of the 349 RDs who
completed the survey, 64.2% provided an NCA to "all or most" of their patients.
RDs were supportive of using an NCA (mean = 8.7/10, SD = 1.9) and most RDs
(84.5%) had received training on an NCA. Salient beliefs included advantages
(e.g., improved behaviour change in patients) and disadvantages (e.g., inadequate
time). Normative referents included colleagues (e.g., multidisciplinary team),
managers, patients/clients, College of RDs of Alberta, and counsellors. The
barriers and enablers were related to patients' situations, infrastructure, and
RD supports. CONCLUSIONS: These results may enable decision makers to more
effectively design and target training and implementation programs to improve
RDs' NCA.
PMID- 27182953
TI - Development and Genetic Characterization of an Advanced Backcross-Nested
Association Mapping (AB-NAM) Population of Wild * Cultivated Barley.
AB - The ability to access alleles from unadapted germplasm collections is a long
standing problem for geneticists and breeders. Here we developed, characterized,
and demonstrated the utility of a wild barley advanced backcross-nested
association mapping (AB-NAM) population. We developed this population by
backcrossing 25 wild barley accessions to the six-rowed malting barley cultivar
Rasmusson. The 25 wild barley parents were selected from the 318 accession Wild
Barley Diversity Collection (WBDC) to maximize allelic diversity. The resulting
796 BC2F4:6 lines were genotyped with 384 SNP markers, and an additional 4022
SNPs and 263,531 sequence variants were imputed onto the population using 9K
iSelect SNP genotypes and exome capture sequence of the parents, respectively. On
average, 96% of each wild parent was introgressed into the Rasmusson background,
and the population exhibited low population structure. While linkage
disequilibrium (LD) decay (r(2) = 0.2) was lowest in the WBDC (0.36 cM), the AB
NAM (9.2 cM) exhibited more rapid LD decay than comparable advanced backcross
(28.6 cM) and recombinant inbred line (32.3 cM) populations. Three qualitative
traits: glossy spike, glossy sheath, and black hull color were mapped with high
resolution to loci corresponding to known barley mutants for these traits.
Additionally, a total of 10 QTL were identified for grain protein content. The
combination of low LD, negligible population structure, and high diversity in an
adapted background make the AB-NAM an important tool for high-resolution gene
mapping and discovery of novel allelic variation using wild barley germplasm.
PMID- 27182955
TI - Performance evaluation of an automated electrochemiluminescent calcitonin (CT)
immunoassay in diagnosis of medullary thyroid carcinoma.
AB - OBJECTIVES: The aim was to evaluate the Elecsys(r) hCT electrochemiluminescence
immunoassay on the immunoanalyser Cobas e411. DESIGN AND METHODS: Within-run,
between-run imprecision, linearity, recovery after dilution, the high dose hook
effect, as well as the stability of calcitonin were examined. A method comparison
to an established immunoradiometric assay was executed in 135 routine plasma
samples. RESULTS: Within- and between-run imprecision CVs were <=1.4% and <=2.5%,
respectively. The Elecsys(r) hCT assay was linear over the measuring range of 0.5
2000ng/L. Recoveries after dilution of a high sample in Diluent MultiAssay were
within the range of 95% to 97%. The high dose hook point occurred at an hCT
concentration of 56,000ng/L. Calcitonin was found to be stable up to 5h at RT, up
to 24h at 2-8 degrees C and up to one month at -20 degrees and -80 degrees C.
Passing Bablok regression analysis showed no significant deviation from linearity
(p=0.10) with a slope of 1.00 (95% CI 0.95-1.06) and an intercept of -0.81 (95%CI
-1.01 to -0.46). CONCLUSIONS: The Elecsys(r) hCT immunoassay provides precision
and reliability in combination with reduced turnaround time as compared to the
hCT IRMA.
PMID- 27182956
TI - Disseminated Mycobacterium chelonae infection in a patient with T-cell lymphoma.
AB - Infections with rapidly growing mycobacteria are rare and most often seen in
immunocompromised patients. We herein present the case of a 69-year-old man with
a T-cell lymphoma treated by chemotherapy and mogamulizumab with a 6-month
history of febrile episodes and subcutaneous nodules in both arms and arthritis
of metacarpophalangeal joints. Blood cultures and DNA sequencing results
demonstrated the growth of Mycobacterium chelonae. The patient was successfully
treated with clarithromycin, moxifloxacin, and tobramycin, but died shortly after
due to lymphoma progression.
PMID- 27182957
TI - Superoxide generated from the glutathione-mediated reduction of selenite damages
the iron-sulfur cluster of chloroplastic ferredoxin.
AB - Selenium assimilation in plants is facilitated by several enzymes that
participate in the transport and assimilation of sulfate. Manipulation of genes
that function in sulfur metabolism dramatically affects selenium toxicity and
accumulation. However, it has been proposed that selenite is not reduced by
sulfite reductase. Instead, selenite can be non-enzymatically reduced by
glutathione, generating selenodiglutathione and superoxide. The damaging effects
of superoxide on iron-sulfur clusters in cytosolic and mitochondrial proteins are
well known. However, it is unknown if superoxide damages chloroplastic iron
sulfur proteins. The goals of this study were twofold: to determine whether
decreased activity of sulfite reductase impacts selenium tolerance in
Arabidopsis, and to determine if superoxide generated from the glutathione
mediated reduction of selenite damages the iron-sulfur cluster of ferredoxin. Our
data demonstrate that knockdown of sulfite reductase in Arabidopsis does not
affect selenite tolerance or selenium accumulation. Additionally, we provide in
vitro evidence that the non-enzymatic reduction of selenite damages the iron
sulfur cluster of ferredoxin, a plastidial protein that is an essential component
of the photosynthetic light reactions. Damage to ferredoxin's iron-sulfur cluster
was associated with formation of apo-ferredoxin and impaired activity. We
conclude that if superoxide damages iron-sulfur clusters of ferredoxin in planta,
then it might contribute to photosynthetic impairment often associated with
abiotic stress, including toxic levels of selenium.
PMID- 27182958
TI - Seed-borne endophytic Bacillus amyloliquefaciens RWL-1 produces gibberellins and
regulates endogenous phytohormones of Oryza sativa.
AB - Some microorganisms are adapted to an endophytic mode, living symbiotically with
plants through vertical transmission in seeds. The role of plant growth-promoting
endophytes has been well studied, but those of seed-associated endophytic
bacteria are less understood. The current study aimed to isolate and identify
bacterial endophytes associated with rice (Oryza sativa L. 'Jin so mi') seeds,
their potential to produce gibberellins (GAs), and role in improving host-plant
physiology. The isolated bacterial endophyte RWL-1 was identified as Bacillus
amyloliquefaciens by using 16S rRNA sequencing and phylogenetic analysis. The
pure culture of B. amyloliquefaciens RWL-1, supplied with deuterated internal
standards, was subjected to gas chromatography and mass spectrometric selected
ion monitoring (GC-MS/SIM) for quantification of GAs. Results showed the presence
of GAs in various quantities (ng/mL) viz., GA20 (17.88 +/- 4.04), GA36 (5.75 +/-
2.36), GA24 (5.64 +/- 2.46), GA4 (1.02 +/- 0.16), GA53 (0.772 +/- 0.20), GA9
(0.12 +/- 0.09), GA19 (0.093 +/- 0.13), GA5 (0.08 +/- 0.04), GA12 (0.014 +/-
0.34), and GA8 (0.013 +/- 0.01). Since endogenous seed GAs are essential for
prolonged seed growth and subsequent plant development, we used exogenous GA3 as
a positive control and water as a negative control for comparative analysis of
the application of B. amyloliquefaciens RWL-1 to rice plants. The growth
parameters of rice plants treated with endophytic bacterial cell application was
significantly increased compared to the plants treated with exogenous GA3 and
water. This was also revealed by the significant up-regulation of endogenous GA1
(17.54 +/- 2.40 ng), GA4 (310 +/- 5.41 ng), GA7 (192.60 +/- 3.32 ng), and GA9
(19.04 +/- 2.49 ng) as compared to results of the positive and negative control
treatments. Rice plants inoculated with B. amyloliquefaciens RWL-1 exhibited
significantly higher endogenous salicylic acid (1615.06 +/- 10.81 MUg), whereas
endogenous abscisic acid (23.31 +/- 2.76 ng) and jasmonic acid (25.51 +/- 4.20
ng) were observed to be significantly lower in these inoculated plants than in
those treated with exogenous GA3 and water. Results of the present study suggest
that B. amyloliquefaciens RWL-1 has the ability to produce GAs and that its
inoculation in seedlings can be beneficial to rice plants. Broader field trials
should be conducted to determine its use as an alternative biofertilizer.
PMID- 27182959
TI - Targeted exonic sequencing of GWAS loci in the high extremes of the plasma lipids
distribution.
AB - OBJECTIVE: Genome-wide association studies (GWAS) for plasma lipid levels have
mapped numerous genomic loci, with each region often containing many protein
coding genes. Targeted re-sequencing of exons is a strategy to pinpoint causal
variants and genes. METHODS: We performed solution-based hybrid selection of 9008
exons at 939 genes within 95 GWAS loci for plasma lipid levels and sequenced
using next-generation sequencing technology individuals with extremely high as
well as low to normal levels of low-density lipoprotein cholesterol (LDL-C, n =
311; mean low = 71 mg/dl versus high = 241 mg/dl), triglycerides (TG, n = 308;
mean low = 75 mg/dl versus high = 1938 mg/dl), and high-density lipoprotein
cholesterol (HDL-C, n = 684; mean low = 32 mg/dl versus high = 102 mg/dl). We
identified 15,002 missense, nonsense, or splice site variants with a frequency
<5%. We tested whether coding sequence variants, individually or aggregated
within a gene, were associated with plasma lipid levels. To replicate findings,
we performed sequencing in independent participants (n = 6424). RESULTS: Across
discovery and replication sequencing, we found 6 variants with significant
associations with plasma lipids. Of these, one was a novel association:
p.Ser147Asn variant in APOA4 (14.3% frequency, TG OR = 0.49, P = 7.1 * 10(-4))
with TG. In gene-level association analyses where rare variants within each gene
are collapsed, APOC3 (P = 2.1 * 10(-5)) and LDLR (P = 5.0 * 10(-12)) were
associated with plasma lipids. CONCLUSIONS: After sequencing genes from 95 GWAS
loci in participants with extremely high plasma lipid levels, we identified one
new coding variant associated with TG. These results provide insight regarding
design of similar sequencing studies with respect to sample size, follow-up, and
analysis methodology.
PMID- 27182961
TI - Role of endothelial permeability hotspots and endothelial mitosis in determining
age-related patterns of macromolecule uptake by the rabbit aortic wall near
branch points.
AB - BACKGROUND AND AIMS: Transport of macromolecules between plasma and the arterial
wall plays a key role in atherogenesis. Scattered hotspots of elevated
endothelial permeability to macromolecules occur in the aorta; a fraction of them
are associated with dividing cells. Hotspots occur particularly frequently
downstream of branch points, where lesions develop in young rabbits and children.
However, the pattern of lesions varies with age, and can be explained by similar
variation in the pattern of macromolecule uptake. We investigated whether
patterns of hotspots and mitosis also change with age. METHODS: Evans' Blue dye
labeled albumin was injected intravenously into immature or mature rabbits and
its subsequent distribution in the aortic wall around intercostal branch ostia
examined by confocal microscopy and automated image analysis. Mitosis was
detected by immunofluorescence after adding 5-bromo-2-deoxiuridine to drinking
water. RESULTS: Hotspots were most frequent downstream of branches in immature
rabbits, but a novel distribution was observed in mature rabbits. Neither pattern
was explained by mitosis. Hotspot uptake correlated spatially with the much
greater non-hotspot uptake (p < 0.05), and the same pattern was seen when only
the largest hotspots were considered. CONCLUSIONS: The pattern of hotspots
changes with age. The data are consistent with there being a continuum of local
permeabilities rather than two distinct mechanisms. The distribution of the dye,
which binds to elastin and collagen, was similar to that of non-binding tracers
and to lesions apart from a paucity at the lateral margins of branches that can
be explained by lower levels of fibrous proteins in those regions.
PMID- 27182960
TI - The predictive value of the borderline ankle-brachial index for long-term
clinical outcomes: An observational cohort study.
AB - BACKGROUND AND AIMS: Low ankle-brachial index (ABI) is associated with increased
mortality and an increased incidence of cardiovascular events. The purpose of
this study was to investigate the value of borderline ABI in predicting clinical
outcomes. METHODS AND RESULTS: The data were derived from the Shinken Database
2004-2012, from a single hospital-based cohort study (N = 19,994). ABI was
measured in 5205 subjects; 4756 subjects whose ABI was 0.91-1.39 and having no
history of peripheral artery disease were enrolled. The subjects were classified
into two groups as follows: borderline ABI (0.91-1.00; n = 324) and normal ABI
(1.01-1.39; n = 4432). Subjects in the borderline ABI group had more
comorbidities, including diabetes mellitus, aortic disease, and stroke. Moreover,
the borderline ABI group was associated with higher levels of hemoglobin A1c and
brain natriuretic peptide, larger diameters of left atrium and left ventricle,
and lower levels of estimated glomerular filtration rate and left ventricular
ejection fraction. All-cause death and cardiovascular death occurred in 9.3% and
4.6% of subjects in the borderline ABI group, and in 2.0% and 0.8% of subjects in
the normal ABI group, respectively. An adjusted Cox regression model showed that
borderline ABI was associated with a higher incidence of all-cause death (hazard
ratio [HR] 2.27, p = 0.005) and cardiovascular death (HR 3.47, p = 0.003).
CONCLUSION: A borderline ABI was independently associated with worse clinical
outcomes in relatively high risk population. Our data should be confirmed in
larger populations including those with low risk profiles.
PMID- 27182963
TI - Choreoathetosis, Dystonia, and Myoclonus in 3 Siblings With Autosomal Recessive
Spinocerebellar Ataxia Type 16.
PMID- 27182962
TI - SparkBWA: Speeding Up the Alignment of High-Throughput DNA Sequencing Data.
AB - Next-generation sequencing (NGS) technologies have led to a huge amount of
genomic data that need to be analyzed and interpreted. This fact has a huge
impact on the DNA sequence alignment process, which nowadays requires the mapping
of billions of small DNA sequences onto a reference genome. In this way, sequence
alignment remains the most time-consuming stage in the sequence analysis
workflow. To deal with this issue, state of the art aligners take advantage of
parallelization strategies. However, the existent solutions show limited
scalability and have a complex implementation. In this work we introduce
SparkBWA, a new tool that exploits the capabilities of a big data technology as
Spark to boost the performance of one of the most widely adopted aligner, the
Burrows-Wheeler Aligner (BWA). The design of SparkBWA uses two independent
software layers in such a way that no modifications to the original BWA source
code are required, which assures its compatibility with any BWA version (future
or legacy). SparkBWA is evaluated in different scenarios showing noticeable
results in terms of performance and scalability. A comparison to other parallel
BWA-based aligners validates the benefits of our approach. Finally, an intuitive
and flexible API is provided to NGS professionals in order to facilitate the
acceptance and adoption of the new tool. The source code of the software
described in this paper is publicly available at
https://github.com/citiususc/SparkBWA, with a GPL3 license.
PMID- 27182964
TI - Admixture analysis of age at onset in first episode bipolar disorder.
AB - BACKGROUND: Many studies have used the admixture analysis to separate age-at
onset (AAO) subgroups in bipolar disorder, but none of them examined first
episode patients. OBJECTIVE: The purpose of this study was to investigate the
influence of clinical variables on AAO in first episode bipolar patients.
METHODS: The admixture analysis was applied to identify the model best fitting
the observed AAO distribution of a sample of 194 patients with DSM-IV diagnosis
of bipolar disorder and the finite mixture model was applied to assess the effect
of clinical covariates on AAO. RESULTS: Using the BIC method, the model that was
best fitting the observed distribution of AAO was a mixture of three normal
distributions. We identified three AAO groups: early age-at-onset (EAO) (u=18.0,
sigma=2.88), intermediate-age-at-onset (IAO) (u=28.7, sigma=3.5), and late-age-at
onset (LAO) (u=47.3, sigma=7.8), comprising 69%, 22%, and 9% of the sample
respectively. Our first episode sample distribution model was significantly
different from most of the other studies that applied the mixture analysis.
LIMITATIONS: The main limitation is that our sample may have inadequate
statistical power to detect the clinical associations with the AAO subgroups.
CONCLUSIONS: This study confirms that bipolar disorder can be classified into
three groups based on AAO distribution. The data reported in our paper provide
more insight into the diagnostic heterogeneity of bipolar disorder across the
three AAO subgroups.
PMID- 27182965
TI - Detection and interpretation of shared genetic influences on 42 human traits.
AB - We performed a scan for genetic variants associated with multiple phenotypes by
comparing large genome-wide association studies (GWAS) of 42 traits or diseases.
We identified 341 loci (at a false discovery rate of 10%) associated with
multiple traits. Several loci are associated with multiple phenotypes; for
example, a nonsynonymous variant in the zinc transporter SLC39A8 influences seven
of the traits, including risk of schizophrenia (rs13107325: log-transformed odds
ratio (log OR) = 0.15, P = 2 * 10(-12)) and Parkinson disease (log OR = -0.15, P
= 1.6 * 10(-7)), among others. Second, we used these loci to identify traits that
have multiple genetic causes in common. For example, variants associated with
increased risk of schizophrenia also tended to be associated with increased risk
of inflammatory bowel disease. Finally, we developed a method to identify pairs
of traits that show evidence of a causal relationship. For example, we show
evidence that increased body mass index causally increases triglyceride levels.
PMID- 27182966
TI - Signaling from maize organ primordia via FASCIATED EAR3 regulates stem cell
proliferation and yield traits.
AB - Shoot apical meristems are stem cell niches that balance proliferation with the
incorporation of daughter cells into organ primordia. This balance is maintained
by CLAVATA-WUSCHEL feedback signaling between the stem cells at the tip of the
meristem and the underlying organizing center. Signals that provide feedback from
organ primordia to control the stem cell niche in plants have also been
hypothesized, but their identities are unknown. Here we report FASCIATED EAR3
(FEA3), a leucine-rich-repeat receptor that functions in stem cell control and
responds to a CLAVATA3/ESR-related (CLE) peptide expressed in organ primordia. We
modeled our results to propose a regulatory system that transmits signals from
differentiating cells in organ primordia back to the stem cell niche and that
appears to function broadly in the plant kingdom. Furthermore, we demonstrate an
application of this new signaling feedback, by showing that weak alleles of fea3
enhance hybrid maize yield traits.
PMID- 27182967
TI - SAMD9 mutations cause a novel multisystem disorder, MIRAGE syndrome, and are
associated with loss of chromosome 7.
AB - Adrenal hypoplasia is a rare, life-threatening congenital disorder. Here we
define a new form of syndromic adrenal hypoplasia, which we propose to term
MIRAGE (myelodysplasia, infection, restriction of growth, adrenal hypoplasia,
genital phenotypes, and enteropathy) syndrome. By exome sequencing and follow-up
studies, we identified 11 patients with adrenal hypoplasia and common extra
adrenal features harboring mutations in SAMD9. Expression of the wild-type SAMD9
protein, a facilitator of endosome fusion, caused mild growth restriction in
cultured cells, whereas expression of mutants caused profound growth inhibition.
Patient-derived fibroblasts had restricted growth, decreased plasma membrane EGFR
expression, increased size of early endosomes, and intracellular accumulation of
giant vesicles carrying a late endosome marker. Of interest, two patients
developed myelodysplasitc syndrome (MDS) that was accompanied by loss of the
chromosome 7 carrying the SAMD9 mutation. Considering the potent growth
restricting activity of the SAMD9 mutants, the loss of chromosome 7 presumably
occurred as an adaptation to the growth-restricting condition.
PMID- 27182970
TI - Endohedral charge-transfer complex Ca@B37(-): stabilization of a B37(3-)
borospherene trianion by metal-encapsulation.
AB - Based on extensive first-principles theory calculations, we present the
possibility of an endohedral charge-transfer complex, Cs Ca@B37(-) (), which
contains a 3D aromatic fullerene-like Cs B37(3-) () trianion composed of
interwoven boron double chains with twelve delocalized multicenter pi bonds (12
mc-2e pi, m = 5, 6) over a sigma skeleton, completing the Bn(q) borospherene
family (q = n - 40) in the size range of n = 36-42.
PMID- 27182971
TI - Laparoscopic Subcutaneous Transposition of a Pedicled Adrenal for ACTH
Independent Bilateral Macronodular Adrenal Hyperplasia.
AB - BACKGROUND AND PURPOSE: Bilateral adrenalectomy or unilateral adrenalectomy and
contralateral partial adrenalectomy are indicated for the treatment of ACTH
independent macronodular adrenal hyperplasia. Independent of the surgical
procedure, the prognosis is poor. This article discusses a new treatment method
and its efficacy for treating nodular adrenal hyperplasia. METHODS: We performed
a retrospective review of the medical records of 12 patients operated on between
January 2008 and October 2014 at the First Affiliated Hospital of Zhengzhou
University. All patients were treated by laparoscopic subcutaneous transposition
of a pedicled adrenal. We performed postoperative monitoring of patients,
including clinical symptoms and 24-hour levels of serum-free and urinary-free
cortisol. RESULTS: All 12 patients were pathologically confirmed to have nodular
adrenal hyperplasia and were followed for an average of 45.5 months (range 24-60
months). The clinical symptoms of all patients disappeared, and the 24-hour
plasma-free cortisol and urinary-free cortisol levels were within the normal
range. CONCLUSIONS: Laparoscopic subcutaneous transposition of a pedicled adrenal
is a new and effective method for treating bilateral macronodular adrenal
hyperplasia and can achieve long-term remission of Cushing's syndrome.
PMID- 27182968
TI - Divergent modes of clonal spread and intraperitoneal mixing in high-grade serous
ovarian cancer.
AB - We performed phylogenetic analysis of high-grade serous ovarian cancers (68
samples from seven patients), identifying constituent clones and quantifying
their relative abundances at multiple intraperitoneal sites. Through whole-genome
and single-nucleus sequencing, we identified evolutionary features including
mutation loss, convergence of the structural genome and temporal activation of
mutational processes that patterned clonal progression. We then determined the
precise clonal mixtures comprising each tumor sample. The majority of sites were
clonally pure or composed of clones from a single phylogenetic clade. However,
each patient contained at least one site composed of polyphyletic clones. Five
patients exhibited monoclonal and unidirectional seeding from the ovary to
intraperitoneal sites, and two patients demonstrated polyclonal spread and
reseeding. Our findings indicate that at least two distinct modes of
intraperitoneal spread operate in clonal dissemination and highlight the
distribution of migratory potential over clonal populations comprising high-grade
serous ovarian cancers.
PMID- 27182969
TI - A method to decipher pleiotropy by detecting underlying heterogeneity driven by
hidden subgroups applied to autoimmune and neuropsychiatric diseases.
AB - There is growing evidence of shared risk alleles for complex traits (pleiotropy),
including autoimmune and neuropsychiatric diseases. This might be due to sharing
among all individuals (whole-group pleiotropy) or a subset of individuals in a
genetically heterogeneous cohort (subgroup heterogeneity). Here we describe the
use of a well-powered statistic, BUHMBOX, to distinguish between those two
situations using genotype data. We observed a shared genetic basis for 11
autoimmune diseases and type 1 diabetes (T1D; P < 1 * 10(-4)) and for 11
autoimmune diseases and rheumatoid arthritis (RA; P < 1 * 10(-3)). This sharing
was not explained by subgroup heterogeneity (corrected PBUHMBOX > 0.2; 6,670 T1D
cases and 7,279 RA cases). Genetic sharing between seronegative and seropostive
RA (P < 1 * 10(-9)) had significant evidence of subgroup heterogeneity,
suggesting a subgroup of seropositive-like cases within seronegative cases
(PBUHMBOX = 0.008; 2,406 seronegative RA cases). We also observed a shared
genetic basis for major depressive disorder (MDD) and schizophrenia (P < 1 * 10(
4)) that was not explained by subgroup heterogeneity (PBUHMBOX = 0.28; 9,238 MDD
cases).
PMID- 27182972
TI - Understanding the Relationships between Gender Inequitable Behaviours, Childhood
Trauma and Socio-Economic Status in Single and Multiple Perpetrator Rape in Rural
South Africa: Structural Equation Modelling.
AB - BACKGROUND: Interventions to prevent rape perpetration must be designed to
address its drivers. This paper seeks to extend understanding of drivers of
single and multiple perpetrator rape (referred to here as SPR and MPR
respectively) and the relationships between socio-economic status, childhood
trauma, peer pressure, other masculine behaviours and rape. METHOD: 1370 young
men aged 15 to 26 were interviewed as part of the randomised controlled trial
evaluation of Stepping Stones in the rural Eastern Cape. We used multinomial to
compare the characteristics of men who reported rape perpetration at baseline. We
used structural equation modelling (SEM) to examine pathways to rape
perpetration. RESULTS: 76.1% of young men had never raped, 10.0% had perpetrated
SPR and 13.9% MPR. The factors associated with both MPR and SPR (compared to
never having raped) were indicators of socio-economic status (SES), childhood
trauma, sexual coercion by a woman, drug and alcohol use, peer pressure
susceptibility, having had transactional sex, multiple sexual partners and being
physically violent towards a partner. The SEM showed the relationship between SES
and rape perpetration to be mediated by gender inequitable masculinity. It was
complex as there was a direct path indicating that SES correlated with the
masculinity variable directly such that men of higher SES had more gender
inequitable masculinities, and indirect path mediated by peer pressure resistance
indicated that the former pertained so long as men lacked peer pressure
resistance. Having a higher SES conveyed greater resistance for some men. There
was also a path mediated through childhood trauma, such that men of lower SES
were more likely to have a higher childhood trauma exposure and this correlated
with a higher likelihood of having the gender inequitable masculinity (with or
without the mediating effect of peer pressure resistance). DISCUSSION: Both
higher and lower socio-economic status were associated with raping. Prevention of
rape perpetration must focus on changing men's gender ideals, entitlements and
inequitable practices. Reducing poverty and adverse childhood experiences should
also be of benefit.
PMID- 27182974
TI - Biomechanical Effects of Novel Osteotomy Approaches on Mandibular Expansion: A
Three-Dimensional Finite Element Analysis.
AB - PURPOSE: Surgically assisted mandibular arch expansion has proved to be an
effective treatment modality in alleviating constriction and crowding issues.
However, only mandibular symphyseal distraction osteogenesis has been in favor
for the purpose of mandibular arch expansion. In addition, no relevant study has
compared the biomechanical response of different osteotomy designs on mandibular
expansion. The present study evaluated the effect of different osteotomy
approaches and modes of loading on the expansion of the adult mandible using
biomechanics. MATERIALS AND METHODS: To address the research purpose, 9 finite
element (FE) models, including 2 novel osteotomy designs, were simulated. Stress,
strain, and displacement of crown, root, and bone were calculated and compared
under different osteotomy approaches and loading conditions. RESULTS: The
biomechanical response envisaged by the FE models in terms of displacement on the
X axis was consistent from the anterior to posterior teeth with parasymphyseal
step osteotomy and a hybrid mode of force application. In addition, the amount of
displacement predicted by parasymphyseal step osteotomy with the hybrid mode was
greater compared with that of the other models. CONCLUSIONS: The results of our
study suggest parasymphyseal step osteotomy with the hybrid mode is a viable
treatment option for true bony expansion in the adult mandible.
PMID- 27182973
TI - Normative Values for Intertrial Variability of Motor Responses to Nerve Root and
Transcranial Stimulation: A Condition for Follow-Up Studies in Individual
Subjects.
AB - OBJECTIVE: Intertrial variability (ITV) of motor responses to peripheral (CMAP)
and transcranial (MEP) stimulation prevents their use in follow-up studies. Our
purpose was to develop strategies to reduce and measure CMAP and MEP ITV to guide
long-term monitoring of conduction slowing and conduction failure of peripheral
and central motor pathway in the individual patient. METHODS: Maximal compound
muscle action potentials to High Voltage Electrical Stimulation (HVES) of lumbo
sacral nerve roots (r-CMAP) and activated, averaged motor evoked potentials
(MEPs) to Transcranial Magnetic Stimulation (TMS) using double cone coil were
recorded from 10 proximal and distal muscle districts of lower limbs. The
procedure was repeated twice, 1-2 days apart, in 30 subjects, including healthy
volunteers and clinically stable multiple sclerosis patients, using constant
stimulating and recording sites and adopting a standardized procedure of
voluntary activation. ITV for latency and area indexes and for the ratio between
MEP and r-CMAP areas (a-Ratio) was expressed as Relative Intertrial Variation
(RIV, 5th-95th percentile). As an inverse correlation between the size of area
and ITV was found, raw ITV values were normalized as a function of area to make
them comparable with one another. RESULTS: All RIV values for latencies were
significantly below the optimum threshold of +/- 10%, with the exception of r
CMAP latencies recorded from Vastus Lateralis muscle. RIVs for a-Ratio, the most
important index of central conduction failure, ranged from a maximum of -25.3% to
+32.2% (Vastus Medialis) to a minimum of -15.0% to + 17.4% (Flexor Hallucis
Brevis). CONCLUSIONS: The described procedure represents an effort to lower as
much as possible variability of motor responses in serial recording; the reported
ITV normative values are the necessary premise to detect significant changes of
motor conduction slowing and failure in the individual patient in follow-up
studies.
PMID- 27182975
TI - Correlating microbial community profiles with geochemical conditions in a
watershed heavily contaminated by an antimony tailing pond.
AB - Mining activities have introduced various pollutants to surrounding aquatic and
terrestrial environments, causing adverse impacts to the environment. Indigenous
microbial communities are responsible for the biogeochemical cycling of
pollutants in diverse environments, indicating the potential for bioremediation
of such pollutants. Antimony (Sb) has been extensively mined in China and Sb
contamination in mining areas has been frequently encountered. To date, however,
the microbial composition and structure in response to Sb contamination has
remained overlooked. Sb and As frequently co-occur in sulfide-rich ores, and co
contamination of Sb and As is observed in some mining areas. We characterized,
for the first time, the microbial community profiles and their responses to Sb
and As pollution from a watershed heavily contaminated by Sb tailing pond in
Southwest China. The indigenous microbial communities were profiled by high
throughput sequencing from 16 sediment samples (535,390 valid reads). The
comprehensive geochemical data (specifically, physical-chemical properties and
different Sb and As extraction fractions) were obtained from river water and
sediments at different depths as well. Canonical correspondence analysis (CCA)
demonstrated that a suite of in situ geochemical and physical factors
significantly structured the overall microbial community compositions. Further,
we found significant correlations between individual phylotypes (bacterial
genera) and the geochemical fractions of Sb and As by Spearman rank correlation.
A number of taxonomic groups were positively correlated with the Sb and As
extractable fractions and various Sb and As species in sediment, suggesting
potential roles of these phylotypes in Sb biogeochemical cycling.
PMID- 27182976
TI - Enantioselective degradation of amphetamine-like environmental micropollutants
(amphetamine, methamphetamine, MDMA and MDA) in urban water.
AB - This paper aims to understand enantioselective transformation of amphetamine,
methamphetamine, MDMA (3,4-methylenedioxy-methamphetamine) and MDA (3,4
methylenedioxyamphetamine) during wastewater treatment and in receiving waters.
In order to undertake a comprehensive evaluation of the processes occurring,
stereoselective transformation of amphetamine-like compounds was studied, for the
first time, in controlled laboratory experiments: receiving water and activated
sludge simulating microcosm systems. The results demonstrated that
stereoselective degradation, via microbial metabolic processes favouring S-(+)
enantiomer, occurred in all studied amphetamine-based compounds in activated
sludge simulating microcosms. R-(-)-enantiomers were not degraded (or their
degradation was limited) which proves their more recalcitrant nature. Out of all
four amphetamine-like compounds studied, amphetamine was the most susceptible to
biodegradation. It was followed by MDMA and methamphetamine. Photochemical
processes facilitated degradation of MDMA and methamphetamine but they were not,
as expected, stereoselective. Preferential biodegradation of S-(+)
methamphetamine led to the formation of S-(+)-amphetamine. Racemic MDMA was
stereoselectively biodegraded by activated sludge which led to its enrichment
with R-(-)-enantiomer and formation of S-(+)-MDA. Interestingly, there was only
mild stereoselectivity observed during MDMA degradation in rivers. This might be
due to different microbial communities utilised during activated sludge treatment
and those present in the environment. Kinetic studies confirmed the recalcitrant
nature of MDMA.
PMID- 27182977
TI - Risk of pesticide exposure for reptile species in the European Union.
AB - Environmental pollution has an especially high impact on wildlife. This is
especially the case in industrialized countries. Although, many species within
the European Union benefit from protection by the Habitats Directive, no special
consideration is given to possible detrimental effects of pesticides. This is in
particular remarkable as negative effects, which may lead to a regional diversity
loss, have already been identified in laboratory and mesocosm studies. We
conducted a pesticide exposure risk evaluation for all European reptile species
with sufficient literature data on the considered biological and ecological
aspects and occurrence data within agricultural areas with regular pesticide
applications (102 out of 141). By using three evaluation factors - (i) pesticide
exposure, (ii) physiology and (iii) life history - a taxon-specific pesticide
exposure risk factor (ERF) was created. The results suggest that about half of
all evaluated species, and thus at least 1/3 of all European species exhibited a
high exposure risk. At the same time, two of them (Mauremys leprosa and Testudo
graeca) are globally classified as threatened with extinction in the IUCN Red
List of Threatened Species. Variation regarding species occurrence in exposed
landscapes between pesticide admission zones within the EU is rather large. This
variation is mainly caused by differing land use and species abundances between
zones. At the taxonomic level, significant differences in exposure risk can be
observed between threatened and non-threatened species, which can be explained by
the formers remote distribution areas. Lizards display the highest sensitivity
toward pesticides, although no differences in overall ERFs can be observed
between taxonomic groups. By identifying species at above-average risk to
pesticide exposure, species-based risk evaluations can improve conservation
actions for reptiles from cultivated landscapes.
PMID- 27182978
TI - Alterations in juvenile diploid and triploid African catfish skin gelatin yield
and amino acid composition: Effects of chlorpyrifos and butachlor exposures.
AB - Skin is a major by-product of the fisheries and aquaculture industries and is a
valuable source of gelatin. This study examined the effect of triploidization on
gelatin yield and proximate composition of the skin of African catfish (Clarias
gariepinus). We further investigated the effects of two commonly used pesticides,
chlorpyrifos (CPF) and butachlor (BUC), on the skin gelatin yield and amino acid
composition in juvenile full-sibling diploid and triploid African catfish. In two
separate experiments, diploid and triploid C. gariepinus were exposed for 21 days
to graded CPF [mean measured: 10, 16, or 31 MUg/L] or BUC concentrations [Mean
measured: 22, 44, or 60 MUg/L]. No differences in skin gelatin yield, amino acid
or proximate compositions were observed between diploid and triploid control
groups. None of the pesticide treatments affected the measured parameters in
diploid fish. In triploids, however, gelatin yield was affected by CPF treatments
while amino acid composition remained unchanged. Butachlor treatments did not
alter any of the measured variables in triploid fish. To our knowledge, this
study is the first to investigate changes in the skin gelatin yield and amino
acid composition in any animal as a response to polyploidization and/or
contaminant exposure.
PMID- 27182979
TI - Metal/metalloid (As, Cd and Zn) bioaccumulation in the earthworm Eisenia andrei
under different scenarios of climate change.
AB - This study aimed at assessing the effects of global warming (increasing air
temperature and decreasing soil moisture content) on the bioaccumulation kinetics
of As, Cd and Zn in the earthworm Eisenia andrei in two polluted soils (mine
tailing and watercourse soil). Earthworms were exposed for up to 21 d under four
climate conditions: 20 degrees C + 50% soil water holding capacity (WHC)
(standard conditions), 20 degrees C + 30% WHC, 25 degrees C + 50% WHC and 25
degrees C + 30% WHC. Porewater metal/metalloid availability did not change in the
mine tailing soil after the incubation period under the different climate
conditions tested. However, in the watercourse soil, porewater Cd concentrations
decreased from ~63 to ~32-41 MUg L(-1) after 21 d and Zn concentrations from
~3761 to ~1613-2170 MUg L(-1), especially at 20 degrees C and 50% WHC. In both
soils, As and Zn showed similar bioaccumulation patterns in the earthworms,
without major differences among climate conditions. Earthworm concentrations
peaked after 1-3 d of exposure (in MUg g(-1) dry weight: As~32.5-108, Zn~704
1172) and then remained constant (typical pattern of essential elements even for
As). For Cd the bioaccumulation pattern changed when changing the climate
conditions. Under standard conditions, earthworm Cd concentrations increased to
~12.6-18.5 MUg g(-1) dry weight without reaching equilibrium (typical pattern of
non-essential elements). However when increasing temperature and/or decreasing
soil moisture content the bioaccumulation pattern changed towards that more
typical of essential elements due to increased Cd elimination rates (from ~0.11
to ~0.24-1.27 d(-1) in the mine tailing soil, from ~0.07 to ~0.11-0.35 d(-1) in
the watercourse soil) and faster achievement of a steady state. This study shows
that metal/metalloid bioaccumulation pattern in earthworms may change dependent
on climate conditions.
PMID- 27182980
TI - Developing a Triple Transgenic Cell Line for High-Efficiency Porcine Reproductive
and Respiratory Syndrome Virus Infection.
AB - Porcine reproductive and respiratory syndrome virus (PRRSV) is one of the most
devastating pathogens in the swine industry worldwide. Due to the lack of robust
cell lines and small animal models, the pathogenesis of PRRSV infection and
mechanism for protective vaccination are still not yet well understood. To obtain
useful cell lines, several groups have attempted to construct different
transgenic cell lines with three PRRSV receptors: CD163, CD169, and CD151. The
results showed that CD163 is essential for PRRSV entry into target cells and
replication, and both CD169 and CD151 play key roles during PRRSV infection.
However, their interplay and combined effect remains unclear. In this study, we
generated transgenic BHK-21 derived cell lines co-expressing different
combinations of the three receptors, which were transfected with CD163 alone, or
the combination of CD163 and CD169, or the combination of CD163 and CD151, or the
combination of CD163, CD169, and CD151 using the PiggyBac transposon system. Our
results showed that the synergistic interaction among the three receptors was
important to improve the susceptibility of cells during PRRSV infection. Through
a series of comparable analyses, we confirmed that the cell line co-expressing
triple receptors sustained viral infection and replication, and was superior to
the current cell platform used for the PRRSV study, MARC-145 cells. Moreover, we
found that PRRSV infection of the transgenic cell lines could trigger IFN
stimulated gene responses similar to those of porcine alveolar macrophages and
MARC-145 cells. In summary, we developed a stable transgenic cell line
susceptible to PRRSV, which may not only provide a useful tool for virus
propagation, vaccine development, and pathogenesis studies, but also establish
the foundation for small animal model development.
PMID- 27182981
TI - New formulas for mixing test to discriminate between lupus anticoagulant and
acquired hemophilia A.
AB - INTRODUCTION: Lupus anticoagulant (LA) is an antibody that interferes with in
vitro coagulation reactions. The mixing test is considered useful for LA
diagnosis and is also recommended to differentiate between acquired hemophilia A
(AHA) and factor deficiency. However, there has been little study to
differentiate between LA and AHA. Our aims are to investigate whether we can
differentiate LA and AHA by the mixing test and to establish new formulas for the
mixing test to differentiate these samples clearly. MATERIALS AND METHODS: We
examined 27 LA-positive, 29 coagulation factor deficient, 24 unfractionated
heparin and 48 AHA samples. Index of circulating anticoagulant (ICA) values,
calculated from the clotting times without incubation and after 2h incubation,
were defined as ICA immediate (ICAi) and ICA delayed (ICAd) respectively.
ICAd/ICAi and ICAd-ICAi were also calculated to compare the sensitivity and
specificity. RESULTS: ICAd/ICAi and ICAd-ICAi for AHA samples were significantly
higher than those of the other sample groups. The sensitivities to AHA in ICAi,
ICAd, ICAd/ICAi and ICAd-ICAi were 66.7%, 81.3%, 93.8% and 91.7% respectively,
while the specificities for AHA were 45.0%, 66.3%, 85.0% and 98.8% respectively.
ICAd/ICAi and ICAd-ICAi showed high sensitivity and specificity. CONCLUSIONS:
ICAd/ICAi and ICAd-ICAi were useful for LA and AHA diagnosis, because these could
differentiate between LA and AHA samples. These new formulas can contribute to
the rapid diagnosis and treatment of LA and AHA.
PMID- 27182982
TI - Sunshine, Sea, and Season of Birth: MS Incidence in Wales.
AB - Maternal sun exposure in gestation and throughout the lifetime is necessary for
vitamin D synthesis, and living near the sea is a population level index of
seafood consumption. The aim of this study was to estimate the incidence rate of
multiple sclerosis (MS) in Wales and examine its association with sun exposure,
coastal living, and latitude. The study used a database of MS hospital visits and
admissions in Wales between 2002 and 2013. For the 1,909 lower layer super output
areas (LSOAs) in Wales, coastal status, population, longitude/latitude, and
average sunshine hours per day were obtained. Age-specific and age-standardised
MS incidence were calculated and modelled using Poisson regression. The
distribution of births by month was compared between MS cases and the combined
England and Wales population. There were 3,557 new MS cases between 2002 and
2013, with an average annual incidence of 8.14 (95% CI: 7.69-8.59) among males
and 12.97 (95% CI: 12.44-13.50) among females per 100,000 population. The female
to-male ratio was 1.86:1. For both sexes combined, the average annual incidence
rate was 9.10 (95% CI: 8.80-9.40). All figures are age-standardized to the 1976
European standard population. Compared to the combined England and Wales
population, more people with MS were born in April, observed-to-expected ratio:
1.21 (95% CI: 1.08-1.36). MS incidence varied directly with latitude and
inversely with sunshine hours. Proximity to the coast was associated with lower
MS incidence only in easterly areas. This study shows that MS incidence rate in
Wales is comparable to the rate in Scotland and is associated with environmental
factors that probably represent levels of vitamin D.
PMID- 27182984
TI - Skin Necrosis after Subcutaneous Diclofenac Administration: A Case Report.
PMID- 27182983
TI - Divergent Development of Hexaploid Triticale by a Wheat - Rye -Psathyrostachys
huashanica Trigeneric Hybrid Method.
AB - Hexaploid triticale is an important forage crop and a promising energy plant.
Some forms were previously reported for developing the hexaploid triticale, such
as crossing tetraploid wheat or hexaploid wheat with rye, crossing hexaploid
triticale and/or hexaploid wheat with octoploid triticale, and spontaneously
appearing in the selfed progenies of octoploid triticale. In the present study,
we developed an effective method for production of diverse types of hexaploid
triticale via wheat-rye-Psathyrostachys huashanica trigeneric hybrid. Genomic in
situ hybridization (GISH) and fluorescence in situ hybridization (FISH)
karyotyping revealed that D genome chromosomes were completely eliminated and the
whole A, B, and R genome chromosomes were retained in three lines. More
interestingly, the composite genome of the line K14-489-2 consisted of complete A
and B genomes and chromosomes 1D, 2R, 3R, 4R, 5R, 6R, and 7R, that of line K14
491-2 was 12 A-genome (1A-6A), 14 B-genome (1B-7B), 12 R-genome (1R-3R, 5R-7R),
and chromosomes 1D and 3D, and that of the line K14-547-1 had 26A/B and 14R
chromosomes, plus one pair of centric 6BL/2DS translocations. This finding
implies that some of D genome chromosomes can be spontaneously and stably
incorporated into the hexaploid triticale. Additionally, a variety of high
molecular-weight glutenin subunits (HMW-GS) compositions were detected in the six
hexaploid triticale lines, respectively. Besides, compared with its recurrent
triticale parent Zhongsi828, these lines showed high level of resistance to
stripe rust (Puccinia striiformis f. sp. tritici, Pst) pathogens prevalent in
China, including V26/Gui 22. These new hexaploid triticales not only enhanced
diversification of triticale but also could be utilized as valuable germplasm for
wheat improvement.
PMID- 27182985
TI - Embargo on Lion Hunting Trophies from West Africa: An Effective Measure or a
Threat to Lion Conservation?
AB - The W-Arly-Pendjari (WAP) ecosystem, shared among Benin, Burkina Faso and Niger,
represents the last lion stronghold of West Africa. To assess the impact of
trophy hunting on lion populations in hunting areas of the WAP, we analyzed
trends in harvest rates from 1999 to 2014. We also investigated whether the
hunting areas with higher initial hunting intensity experienced steeper declines
in lion harvest between 1999 and 2014, and whether lion densities in hunting
areas were lower than in national parks. Lion harvest rate remained overall
constant in the WAP. At initial hunting intensities below 1.5 lions/1000km2, most
hunting areas experienced an increase in lion harvest rate, although that
increase was of lower magnitude for hunting areas with higher initial hunting
intensity. The proportion of hunting areas that experienced a decline in lion
harvest rate increased at initial hunting intensities above 1.5 lions/1000km2. In
2014, the lion population of the WAP was estimated with a spoor count at 418 (230
648) adults and sub-adult individuals, comparable to the 311 (123-498)
individuals estimated in the previous 2012 spoor survey. We found no significant
lion spoor density differences between national parks and hunting areas. Hunting
areas with higher mean harvest rates did not have lower lion densities. The ratio
of large adult males, females and sub-adults was similar between the national
parks and the hunting areas. These results suggested that the lion population was
not significantly affected by hunting in the WAP. We concluded that a quota of 1
lion/1000km2 would be sustainable for the WAP. Based on our results, an import
embargo on lion trophies from the WAP would not be justified. It could ruin the
incentive of local actors to conserve lions in hunting areas, and lead to a
drastic reduction of lion range in West Africa.
PMID- 27182987
TI - Physical Activity, Fitness, Cognitive Function, and Academic Achievement in
Children: A Systematic Review.
PMID- 27182988
TI - Outcomes of patients with chronic lymphocytic leukemia treated with first-line
idelalisib plus rituximab after cessation of treatment for toxicity.
AB - BACKGROUND: More active therapies are needed for older and unfit patients with
chronic lymphocytic leukemia (CLL) who are not eligible for chemoimmunotherapy
with fludarabine, cyclophosphamide, and rituximab. The phosphyotidylinositol-3
kinase delta inhibitor idelalisib is effective in patients with treatment-naive
and relapsed/refractory CLL as monotherapy and in combination with rituximab, but
it can be associated with treatment-limiting adverse events, particularly
diarrhea/colitis. The outcomes for patients who cease treatment for adverse
events have not been previously described. METHODS: The authors analyzed long
term follow-up data from 40 treatment-naive patients aged >=65 years who received
treatment at The University of Texas MD Anderson Cancer Center on a phase 2 study
of idelalisib plus rituximab for CLL. RESULTS: In patients who permanently ceased
treatment because of toxicity, the time to subsequent disease progression was
analyzed according to baseline characteristics. Fifteen patients permanently
ceased therapy (PCT) because of toxicity (PCTTOX ), most commonly
diarrhea/colitis (n = 7), at a median of 11 months after commencing treatment.
PCTTOX was associated with a higher risk of subsequent disease progression
(hazard ratio, 6.61; 95% confidence interval, 1.77-16.15) relative to that
observed in patients who remained on therapy. Ten patients subsequently
progressed, and 7 required salvage therapy; 5 patients remained progression-free
at a median of 23.3 months (range, 8.5-28.6 months). Patients who were positive
for zeta-associated protein-70 had more rapid disease progression after treatment
cessation (P = .048). There were no CLL-related deaths. CONCLUSIONS: PCTTOX is
the major determinant of PFS in patients who receive first-line idelalisib-based
treatment. However, a subgroup of patients with favorable biologic
characteristics has prolonged PFS, even after PCTTOX . The absence of CLL-related
deaths indicates that salvage treatment is generally successful after PCTTOX .
Cancer 2016;122:2505-11. (c) 2016 American Cancer Society.
PMID- 27182986
TI - Physical Activity, Fitness, Cognitive Function, and Academic Achievement in
Children: A Systematic Review.
AB - BACKGROUND: The relationship among physical activity (PA), fitness, cognitive
function, and academic achievement in children is receiving considerable
attention. The utility of PA to improve cognition and academic achievement is
promising but uncertain; thus, this position stand will provide clarity from the
available science. OBJECTIVE: The purpose of this study was to answer the
following questions: 1) among children age 5-13 yr, do PA and physical fitness
influence cognition, learning, brain structure, and brain function? 2) Among
children age 5-13 yr, do PA, physical education (PE), and sports programs
influence standardized achievement test performance and concentration/attention?
STUDY ELIGIBILITY CRITERIA: This study used primary source articles published in
English in peer-reviewed journals. Articles that presented data on, PA, fitness,
or PE/sport participation and cognition, learning, brain function/structure,
academic achievement, or concentration/attention were included. DATA SOURCES: Two
separate searches were performed to identify studies that focused on 1)
cognition, learning, brain structure, and brain function and 2) standardized
achievement test performance and concentration/attention. PubMed, ERIC,
PsychInfo, SportDiscus, Scopus, Web of Science, Academic Search Premier, and
Embase were searched (January 1990-September 2014) for studies that met inclusion
criteria. Sixty-four studies met inclusion criteria for the first search
(cognition/learning/brain), and 73 studies met inclusion criteria for the second
search (academic achievement/concentration). STUDY APPRAISAL AND SYNTHESIS
METHODS: Articles were grouped by study design as cross-sectional, longitudinal,
acute, or intervention trials. Considerable heterogeneity existed for several
important study parameters; therefore, results were synthesized and presented by
study design. RESULTS: A majority of the research supports the view that physical
fitness, single bouts of PA, and PA interventions benefit children's cognitive
functioning. Limited evidence was available concerning the effects of PA on
learning, with only one cross-sectional study meeting the inclusion criteria.
Evidence indicates that PA has a relationship to areas of the brain that support
complex cognitive processes during laboratory tasks. Although favorable results
have been obtained from cross-sectional and longitudinal studies related to
academic achievement, the results obtained from controlled experiments evaluating
the benefits of PA on academic performance are mixed, and additional, well
designed studies are needed. LIMITATIONS: Limitations in evidence meeting
inclusion criteria for this review include lack of randomized controlled trials,
limited studies that are adequately powered, lack of information on participant
characteristics, failure to blind for outcome measures, proximity of PA to
measurement outcomes, and lack of accountability for known confounders.
Therefore, many studies were ranked as high risk for bias because of multiple
design limitations. CONCLUSIONS: The present systematic review found evidence to
suggest that there are positive associations among PA, fitness, cognition, and
academic achievement. However, the findings are inconsistent, and the effects of
numerous elements of PA on cognition remain to be explored, such as type, amount,
frequency, and timing. Many questions remain regarding how to best incorporate PA
within schools, such as activity breaks versus active lessons in relation to
improved academic achievement. Regardless, the literature suggests no indication
that increases in PA negatively affect cognition or academic achievement and PA
is important for growth and development and general health. On the basis of the
evidence available, the authors concluded that PA has a positive influence on
cognition as well as brain structure and function; however, more research is
necessary to determine mechanisms and long-term effect as well as strategies to
translate laboratory findings to the school environment. Therefore, the evidence
category rating is B. The literature suggests that PA and PE have a neutral
effect on academic achievement. Thus, because of the limitations in the
literature and the current information available, the evidence category rating
for academic achievement is C.
PMID- 27182990
TI - Diabetes during Pregnancy in Low- and Middle-Income Countries.
AB - Objective Our objective was to review the literature in relationship to diabetes
in pregnancy in low and middle income countries. Study Design We reviewed the
English language literature related to diabetes and obesity during pregnancy in
low and middle income countries published over the last 20 years. Results The
global prevalence of diabetes mellitus is an estimated 8% with approximately 380
million adults with this condition worldwide. Ninety percent of diabetes in
adults occurs in low- and middle-income countries (LMIC). However, diabetes is
highly correlated with obesity and the highest diabetes rates occur in countries
with the highest obesity rates. Hyperglycemia complicates 17% of pregnancies,
including women with diagnosed and undiagnosed types 1 and 2 diabetes, and
gestational diabetes mellitus (GDM). In many LMIC, as pregnancy becomes more
common in wealthier, older, and more obese women, pregnancies complicated by
pregestational diabetes and GDM will increase. Approximately half of women with
hyperglycemia in pregnancy are undiagnosed. Even with diagnosis, because of the
numerous tests and criteria for abnormal glucose levels used worldwide, the
prevalence of hyperglycemia in pregnancy can only be approximated. Moving
forward, a single set of tests and diagnostic criteria is recommended. Although
the risk factors and pregnancy outcomes for pregestational diabetes and GDM are
similar in LMIC to wealthier countries, they appear to occur more commonly, and
with worse outcomes because of poor access to care. In the poorest areas,
inadequate antenatal and general medical care increase the difficulty in managing
diabetes and its complications for women and newborns. Conclusion As obesity
among women increases, diabetes in pregnancy is becoming increasingly common in
LMIC. Because of lack of resources and trained personnel, and other priorities
related to reducing maternal, fetal, and neonatal mortality, diagnosing and
providing care to women with diabetes in pregnancy is not high on the priority
lists in many LMIC.
PMID- 27182989
TI - Expression Regulation of Polycistronic lee3 Genes of Enterohaemorrhagic
Escherichia coli.
AB - Enterohaemorrhagic Escherichia coli O157:H7 (EHEC) carries a pathogenic island
LEE that is consisted mainly of five polycistronic operons. In the lee3 operon,
mpc is the first gene and has been reported to down regulate the type-3 secretion
system of EHEC when its gene product is over-expressed. Furthermore, mpc has been
suggested to have a regulation function via translation but the mechanism remains
unclear. To clarify this hypothesis, we dissected the polycistron and examined
the translated products. We conclude that translation of mpc detrimentally
governs the translation of the second gene, escV, which in turn affects the
translation of the third gene, escN. Then sequentially, escN affects the
expression of the downstream genes. Furthermore, we located a critical cis
element within the mpc open-reading frame that plays a negative role in the
translation-dependent regulation of lee3. Using qRT-PCR, we found that the amount
of mpc RNA transcript present in EHEC was relatively limited when compared to any
other genes within lee3. Taken together, when the transcription of LEE is
activated, expression of mpc is tightly controlled by a restriction of the RNA
transcript of mpc, translation of which is then critical for the efficient
production of the operon's downstream gene products.
PMID- 27182991
TI - A New IVH Scoring System Based on Laterality Enhances Prediction of
Neurodevelopmental Outcomes at 3 Years Age in Premature Infants.
AB - Objective To compare the new intraventricular hemorrhage (IVH) Abdi score to the
Papile grading system of IVH for prediction of composite outcome of death or
neurodevelopmental impairment (NDI). Methods In a cohort study, all preterm
infants with IVH who were born <=1,250 g and/or <= 28 weeks of gestation at birth
were prospectively followed up in our neonatal follow-up clinic. All cranial
ultrasounds of the included infants were reviewed by neuroradiologists who were
blinded to the clinical data and neurodevelopmental outcomes. Cranial ultrasounds
were graded according to the Papile scoring system and by calculation of the Abdi
score. Results A total of 183 preterm infants met inclusion and exclusion
criteria. Of these, 80 (44%) had the composite primary outcome of death or NDI
(51 died, 29 survived with NDI). The area under receiver operating characteristic
curve for predicting death or NDI was 0.87 (95% confidence interval [CI]: 0.81
0.93) for Abdi score and 0.85 (95% CI: 0.79-0.91) for Papile grading (p = 0.04).
Abdi scores had higher specificity than Papile grade II at Abdi score 5 (63.9 vs.
39.2%; p < 0.001) and Abdi score 6 (73.2 vs. 39.2%; p < 0.001). Conclusion Abdi
scores seem to be more specific than Papile grading system in predicting death or
NDI by 3 years' corrected age.
PMID- 27182993
TI - Birth Weight by Gestational Age for 76,710 Twins Born in the United States as a
Result of In Vitro Fertilization: 2006 to 2010.
AB - Objective The objective of this study was to establish twin-specific birth weight
percentiles by gestational age using U.S. twin births resulting from in vitro
fertilization (IVF). Study Design A retrospective analysis of birth weight by
completed weeks of gestation for 76,710 twin IVF births reported to the Society
for Assisted Reproductive Technologies from 2006 to 2010. Mean and median birth
weights and 3rd, 5th, 10th, 25th, 50th, 75th, 90th, and 97th percentiles were
calculated by completed week of gestation and infant sex. Results IVF twin birth
weight accelerates until term and then declines. The deceleration in twin birth
weight occurs at 39 completed weeks of gestation for larger twins, those at or
above the 50th percentile in weight. For smaller twins, the growth deceleration
occurs earlier, at 38 weeks of gestation. IVF female and male twin birth weights
for gestational age were similar to all IVF twins, showing similar decelerations
near term. Conclusion Using U.S. IVF twin-specific growth charts, with known date
of conception, twins demonstrate a deceleration in birth weight near term. Larger
twins demonstrate a deceleration in birth weight by 39 completed weeks of
gestation; smaller twins show a deceleration at 38 weeks. These data may assist
in the clinical management of twins near term.
PMID- 27182992
TI - Intravenous Acetaminophen versus Morphine for Analgesia in Labor: A Randomized
Trial.
AB - Objective To compare the effectiveness of intravenous acetaminophen with that of
morphine in reducing pain in the first stage of labor. Methods An open-label,
randomized controlled trial of women >= 34 weeks gestation in the first stage of
labor, assigned to either intravenous acetaminophen or morphine. The primary
outcome was improved analgesia measured by difference of visual analog scale
(VAS) score at 120 minutes from baseline. Secondary outcomes were request for
rescue analgesia, maternal side effects, and fetal heart rate changes.
Statistical analyses performed were chi-square, Student's t-test, and Kaplan
Meier survival analysis. Results Of 40 women randomized, 18 received
acetaminophen (2 did not receive study drug), and 20 received morphine. Because
of difficulties in recruitment, the sample size of 88 was not achieved. The
primary outcome was similar between groups (p = 0.53). Within 120 minutes of
initial treatment, more women receiving intravenous acetaminophen required rescue
analgesia (acetaminophen: 52.9% vs. morphine: 17.6%, p < 0.01). Maternal and
fetal side effects were similar between groups. Conclusion There was no
difference in VAS scores between groups. However, as half of women receiving
intravenous acetaminophen required rescue analgesia within 120 minutes of
treatment, intravenous acetaminophen may be less effective for analgesia in early
labor compared with intravenous morphine.
PMID- 27182994
TI - Effect of Volume Guarantee in Preterm Infants on High-Frequency Oscillatory
Ventilation: A Pilot Study.
AB - Objectives Although adding volume guarantee (VG) to conventional ventilation has
been a well-established respiratory management for preterm infants, the evidence
of VG combined with high-frequency oscillatory ventilation (HFOV) has not been
studied well. The aim of this study was to investigate the effect of VG added to
HFOV on respiratory and other physiological parameters. Methods We conducted a
pilot study in extremely low-birth-weight infants ventilated with HFOV + VG with
stable pulmonary status after 28 days of age. VG was applied for 6 hours and
removed for the following 6 hours, and data were collected during these 12 hours.
Results Six neonates were included in this study (gestational age: 22w5d-23w6d,
birthweight: 424-584 g). High-frequency expired tidal volume per weight and
amplitude were similar between periods with and without VG. Fluctuation of SpO2,
but not heart rate, was significantly smaller when babies were ventilated with VG
than without VG. Fluctuation of minute volume and carbon dioxide diffusion
coefficient significantly increased after VG removal. The proportion of time with
SpO2 < 80% was decreased by VG overall, especially in three cases. Conclusion
This pilot study suggests VG combined with HFOV attenuates fluctuation of SpO2
and CO2 clearance, which may prevent hypoxemia and hypocapnia.
PMID- 27182995
TI - Comparison of Passive and Servo-Controlled Active Cooling for Infants with
Hypoxic-Ischemic Encephalopathy during Neonatal Transfers.
AB - Objective The recent availability of servo-controlled cooling equipment on
transport makes it possible to commence active cooling at the referral unit for
infants with hypoxic-ischemic encephalopathy. This study aimed to compare the
temperature and transfer variables in passively and actively cooled babies. Study
Design This is a retrospective cohort study comparing two groups-passively cooled
(July 2011 to August 2012) versus actively cooled group (September 2012 to June
2013), following introduction of active hypothermia using servo-controlled
cooling mattress by the London Neonatal Transfer Service (NTS). Results Seventy
six infants were passively cooled and 69 were actively cooled. There was a
significant difference between the temperatures of the two groups at each point
in the transfer episode: on arrival of NTS, during stabilization, during
transfer, and at the receiving hospital. Median time to achieve target
temperature was 30 (95% confidence interval [CI]: 23-37) minutes in actively
cooled, significantly shorter in comparison to 130 (95% CI: 83-177) minutes in
passively cooled babies. Of the 69 newborns, 62 (90%) had temperature within
target range at receiving center in actively cooled group as compared with 30/76
(40%) in passively cooled group. Conclusion The use of active cooling during
neonatal transfer achieves target temperature in a shorter period and maintains
better temperature stability.
PMID- 27182996
TI - Does Red Blood Cell Transfusion-Related Acute Lung Injury Occur in Premature
Infants? A Retrospective Cohort Analysis.
AB - Objective The objective of this study was to determine whether packed red blood
cell (pRBC) transfusions in extremely low birth weight (ELBW) infants were
associated with acute respiratory decompensation (ARD). Study Design
Retrospective chart review of ELBW infant pRBC transfusions analyzed for meeting
ARD criteria during the 6 hours post-pRBC transfusion was compared with the
pretransfusion baseline period. A control period subdivided into similar pre- and
postintervals was also assessed for each infant. ARD was defined as >= 1 of the
following: (1) >= 10% increase in fraction of inspired oxygen from highest
baseline, (2) >= 2 cm H2O increase from highest baseline in mean airway pressure,
or (3) escalation in mode of respiratory support. Results A total of 238 pRBC
transfusions occurred in 36 ELBW infants during 2012. Complete data for both the
transfusion and control time periods existed for 110 pRBC transfusions (25
infants) and were included for analysis. The frequency of ARD was 15.5 and 18.2%
(odds ratio, 1.25; p = 0.70) in the control and transfusion time periods,
respectively. Conclusion pRBC transfusions in ELBW neonates are not associated
with statistically significant rates of ARD compared with nontransfusion control
time periods.
PMID- 27182997
TI - Variability in Apgar Score Assignment among Clinicians: Role of a Simple
Clarification.
AB - Objective We aimed to evaluate variability in Apgar score (AS) assignment among
health care providers (HCPs) and to evaluate whether a simple clarification
improves accuracy and consistency of AS assignment. Study Design An electronic
questionnaire survey was provided to pediatric residents, nurse practitioners,
neonatal fellows, and faculty in level III neonatal intensive care unit and major
academic centers in the United State to assign AS for three clinical scenarios.
Next, we provided a simple clarification on various components of AS. After
review of clarification, responders were asked to provide AS for the same
scenarios. We also sought the opinion of responders on the subjectivity of five
components of AS. Results A total of 107 responses were collected. Correct
assignment before and after clarification improved significantly: heart rate (78
vs. 90%, p = 0.02), reflex (63 vs.75%, p = 0.06), and breathing (82 vs. 96%, p =
0.003). Correct scoring for color and tone were 86 and 67%, respectively.
Interobserver agreement improved significantly after clarification. Conclusion
There was variability among HCPs for AS assignment, with improvement in correct
response as well as consistency after a simple clarification. Availability of
this clarification, along with the AS table in delivery room, will improve the
correct assignment and consistency of AS for high-risk infants.
PMID- 27182998
TI - Evaluating the Sensitivity of Electronic Fetal Monitoring Patterns for the
Prediction of Intraventricular Hemorrhage.
AB - Objective We evaluated electronic fetal (heart rate) monitoring (EFM) patterns
among very preterm infants with and without intraventricular hemorrhage (IVH) to
evaluate the test characteristics of EFM for the prediction of IVH. Study Design
We performed a case-control study of preterm infants born <= 30 weeks' gestation
over a 6-year period. We evaluated differences in EFM patterns between those
(cases) with and without IVH (controls). The relative odds ratio of observing
differences in EFM patterns between cases and controls was calculated. Regression
models were adjusted based on confounding variables. The sensitivity,
specificity, and positive and negative predictive values of EFM characteristics
were evaluated for the diagnosis of IVH. Results Total 79 very preterm infants
underwent cranial ultrasound, 24 of whom had IVH. Infants with IVH were more
likely to be males and delivered at earlier gestational ages. Moderate
variability was seen in all infants with normal cranial ultrasounds and 83% of
infants with IVH. Minimal variability has a sensitivity of 17% in the prediction
of IVH. Conclusion While minimal variability was observed more frequently in
fetuses that developed IVH, it is poorly predictive of IVH. EFM patterns are not
discriminating in identifying very preterm infants at risk for developing IVH.
PMID- 27182999
TI - Viral Infections in Neonates with Suspected Late-Onset Bacterial Sepsis-A
Prospective Cohort Study.
AB - Objective The aim of our study was to evaluate the occurrence of viral infections
in infants with suspected late-onset bacterial sepsis in a neonatal intensive
care unit. Methods In a prospective study, infants with suspected late-onset
bacterial sepsis underwent viral testing alongside routine blood culture
sampling. Using a multiplex reverse transcription-polymerase chain reaction
enzyme-linked immunosorbent assay, nasopharyngeal aspirates were analyzed for
adenovirus, respiratory syncytial virus (RSV), influenza virus A and B, H1N1
virus, parainfluenza virus 1 to 4, metapneumovirus, coronavirus, and
picornavirus. Stools were examined for adenovirus, rotavirus, norovirus, and
enterovirus. Results Between August 2010 and March 2014, data of 88 infants with
137 episodes of suspected late-onset bacterial sepsis were analyzed. Six infants
were diagnosed with a respiratory viral infection (2 * RSV, 4 * picornavirus).
Blood culture-proven bacterial sepsis was detected in 15 infants. Neither viral
bacterial coinfections nor polymerase chain reaction positive stool samples were
found. Conclusion Respiratory viruses can be detected in a considerable number of
neonates with suspected late-onset bacterial sepsis. In contrast,
gastrointestinal viral or enterovirus infections appear uncommon in such cases.
PMID- 27183000
TI - Birth Tourism and Neonatal Intensive Care: A Children's Hospital Experience.
AB - Objective The aim of this article is to examine characteristics of birth tourism
(BT) neonates admitted to a neonatal intensive care unit (NICU). Methods This was
a retrospective review over 3 years; BT cases were identified, and relevant
perinatal, medical, social, and financial data were collected and compared with
100 randomly selected non-birth tourism neonates. Results A total of 46 BT
neonates were identified. They were more likely to be born to older women (34 vs.
29 years; p < 0.001), via cesarean delivery (72 vs. 48%; p = 0.007), and at a
referral facility (80 vs. 32%; p < 0.001). BT group had longer hospital stay (15
vs. 7 days; p = 0.02), more surgical intervention (50 vs. 21%; p < 0.001), and
higher hospital charges (median $287,501 vs. $103,105; p = 0.003). One-third of
BT neonates were enrolled in public health insurance program and four BT neonates
(10%) were placed for adoption. Conclusion Families of BT neonates admitted to
the NICU face significant challenges. Larger studies are needed to better define
impacts on families, health care system, and society.
PMID- 27183002
TI - The impact of various protective tools on the dose reduction in the eye lens in
an interventional cardiology-clinical study.
AB - The aim of the study was to check, in clinical practice, the potential for the
dose reduction of lead eyewear and a ceiling-suspended shield used to protect the
eye lens of physicians working in interventional cardiology. To this end, for the
lead eyewear, the dose reduction factors were derived to correct the readings
from a dosimeter used routinely outside the glasses. Four types of lead eyewear
with attached loose thermoluminescent dosimeters and EYE-D dosimeters were worn
by physicians in two clinical centres, for two-month periods, during coronary
angiography (CA), percutaneous coronary intervention (PCI), and pacemaker
procedures. In order to analyse, separately, how a ceiling-suspended lead screen
absorbs the scattered radiation, a series of measurements was carried out during
single CA/PCI procedures performed with and without the protection. The lead
eyewear may reduce the doses to the eye closest to the x-ray tube by a factor
between 1.1 and 3.4, depending on its model and the physician's position. The
effectiveness of the eyewear may, however, vary-even for the same model and
physician-almost twofold between different working periods. The ceiling-suspended
shield decreases the doses in clinical practice by a factor of 2.3. The annual
eye lens doses without the eyewear estimated from routine measurements are high
above or close to the new eye lens dose limit established by the recent EU Basic
Safety Standards, even though the ceiling-suspended shield was used. Therefore,
to comply with the new dose limit that is set in the Directive, protection of the
eyes of physicians with high workloads might require the use of both the eyewear
and the ceiling-suspended shield.
PMID- 27183001
TI - Predictors for Emergency Cesarean Delivery in Women with Placenta Previa.
AB - Objective The objective of this study was to identify the predictors of emergency
delivery in women with placenta previa. Methods This is a retrospective study of
pregnancies complicated by placenta previa, scheduled for a cesarean delivery
between 2001 and 2011. Using univariable and multivariable regression predictors
for emergency delivery in these women were determined. Predictive performance was
assessed using receiver operating characteristic analysis and calibration plot.
Internal validation was performed by bootstrap analysis. Results Of 214 women
with singleton pregnancies, 93 (43%) had an emergency cesarean delivery, and 43
(20%) were preterm. Independent predictors for emergency delivery were history of
cesarean section (odds ratio [OR], 4.7; 95% confidence interval [CI], 1.2-12),
antepartum bleeding with one (OR, 7.5; 95% CI, 2.5-23), two (OR, 14; 95% CI, 4.3
47), and three or more episodes (OR, 27; 95% CI, 8.3-90) as well as need for
blood transfusion (OR, 6.4; 95% CI, 1.7-23). For emergency preterm delivery,
covariates were comparable. The area under the curve was 0.832 on the original
data and 0.821 on the bootstrap samples. Conclusion Predictors for emergency
delivery in women with placenta previa can be used for individualized antenatal
care concerning timing of delivery and corticosteroid cover. Potentially, careful
selection in women with placenta previa can result in more conservative treatment
in an outpatient setting and reduction of iatrogenic preterm delivery.
PMID- 27183003
TI - Self-Assembled Dehydro[24]annulene Monolayers at the Liquid/Solid Interface:
Toward On-Surface Synthesis of Tubular pi-Conjugated Nanowires.
AB - We have studied the self-assembly behavior of dehydro[24]annulene (D24A)
derivatives 1, 2a-2d, and 3a-3c at the liquid/solid interface using scanning
tunneling microscopy (STM). Both the relative placement and the nature of the
four D24A substituents strongly influence the self-assembly pattern. Overall, the
eight D24A derivatives examined in this study display seven types of 2D packing
patterns. The D24A derivatives 1, 2a, and 3a have either two or four stearate
groups and adopt face-on configurations of their macrocyclic cores with respect
to the highly oriented pyrolytic graphite (HOPG) surface. Their 2D packing
pattern is determined by the interchain spacings and number of stearate
substituents. The D24A derivatives 2b-2d and 3b-3c bear hydrogen-bonding
carbamate groups to further strengthen intermolecular interactions. Face-on
patterns were also observed for most of these compounds, while an unstable edge
on self-assembly was observed in the case of 2b at room temperature. Stable edge
on self-assemblies of D24A derivatives were sought for this work as an important
stepping stone to achieving the on-surface topochemical polymerization of these
carbon-rich macrocycles into tubular pi-conjugated nanowires. The overall factors
determining the 2D packing patterns of D24As at the liquid/solid interface are
discussed on the basis of theoretical simulations, providing useful guidelines
for controlling the self-assembly pattern of future D24A macrocycles.
PMID- 27183004
TI - Thermochemical Energy Storage through De/Hydrogenation of Organic Liquids:
Reactions of Organic Liquids on Metal Hydrides.
AB - A study of the reactions of liquid acetone and toluene on transition metal
hydrides, which can be used in thermal energy or hydrogen storage applications,
is presented. Hydrogen is confined in TiFe, Ti0.95Zr0.05Mn1.49V0.45Fe0.06
("Hydralloy C5"), and V40Fe8Ti26Cr26 after contact with acetone. Toluene
passivates V40Fe8Ti26Cr26 completely for hydrogen desorption while TiFe is only
mildly deactivated and desorption is not blocked at all in the case of Hydralloy
C5. LaNi5 is inert toward both organic liquids. Gas chromatography (GC)
investigations reveal that CO, propane, and propene are formed during hydrogen
desorption from V40Fe8Ti26Cr26 in liquid acetone, and methylcyclohexane is formed
in the case of liquid toluene. These reactions do not occur if dehydrogenated
samples are used, which indicates an enhanced surface reactivity during hydrogen
desorption. Significant amounts of carbon-containing species are detected at the
surface and subsurface of acetone- and toluene-treated V40Fe8Ti26Cr26 by X-ray
photoelectron spectroscopy (XPS). The modification of the surface and subsurface
chemistry and the resulting blocking of catalytic sites is believed to be
responsible for the containment of hydrogen in the bulk. The surface passivation
reactions occur only during hydrogen desorption of the samples.
PMID- 27183005
TI - Unipolar distributions of junctional Myosin II identify cell stripe boundaries
that drive cell intercalation throughout Drosophila axis extension.
AB - Convergence and extension movements elongate tissues during development.
Drosophila germ-band extension (GBE) is one example, which requires active cell
rearrangements driven by Myosin II planar polarisation. Here, we develop novel
computational methods to analyse the spatiotemporal dynamics of Myosin II during
GBE, at the scale of the tissue. We show that initial Myosin II bipolar cell
polarization gives way to unipolar enrichment at parasegmental boundaries and two
further boundaries within each parasegment, concomitant with a doubling of cell
number as the tissue elongates. These boundaries are the primary sites of cell
intercalation, behaving as mechanical barriers and providing a mechanism for how
cells remain ordered during GBE. Enrichment at parasegment boundaries during GBE
is independent of Wingless signaling, suggesting pair-rule gene control. Our
results are consistent with recent work showing that a combinatorial code of Toll
like receptors downstream of pair-rule genes contributes to Myosin II
polarization via local cell-cell interactions. We propose an updated cell-cell
interaction model for Myosin II polarization that we tested in a vertex-based
simulation.
PMID- 27183008
TI - Is the patient able to watch TV or read the newspaper? A functional semi
structured scale to observe Hemineglect symptoms in Activities of Daily Living (H
ADL).
AB - We developed a functional semi-structured scale to observe Hemineglect symptoms
in Activities of Daily Living (H-ADL). The scale could assist clinicians in
assessing rehabilitation priorities aimed at correcting any persisting errors or
omissions. In addition, the scale could also be used by caregivers to observe
patients' progress and improve their participation. Two groups of right brain
damaged patients (25 with hemineglect; 27 without hemineglect) were tested twice:
at admission and before discharge from hospital. A control group of healthy
individuals matched to patients for age and education and patients' caregivers
also participated. Two raters (A; B), experts in neuropsychology, observed
patients and healthy individuals using the H-ADL. We found that the H-ADL final
scores correlated with the standard hemineglect tests. The three groups differed
in performance and differences also emerged between the first and the second
assessment, suggesting an improvement due to the remission of hemineglect as a
consequence of the treatment. Raters A and B did not differ in their
observations, but there were some discrepancies with caregivers' observations.
Therefore, although caregivers could help clinicians in detecting persistent
hemineglect behaviour, the assessment should be performed by experts in
neuropsychology.
PMID- 27183009
TI - Fetal Tissue Procurement for Karyotype Analysis: Clinician or Pathologist - Which
is Better?
AB - Chromosomal abnormalities are detected in up to 13% of stillbirths and over 20%
of those with developmental anomalies. These estimates may be low since up to 50%
of samples fail to achieve a result due to microbial overgrowth or nonviability.
Tissue for cytogenetics can be procured at bedside by the clinician or by the
pathologist in the laboratory. With clinical collection, tissue is placed into
culture media immediately, increasing chances of growth. However, collection
competes for attention with other activities, which may result in microbial
overgrowth or selection of maternal rather than fetal tissue. Laboratory
procurement occurs in a controlled environment using sterile technique, but delay
in collection may decrease viability. Our goal was to determine which collection
method yields better results. METHODS: We reviewed cases from 2007-2013 that had
two samples submitted for cytogenetics, one from the clinician and one from the
pathologist. Specimen source, delivery, collection, and culture setup times,
harvest date, cell growth, microbial overgrowth, maternal contamination and final
result were obtained from medical records and cytogenetic culture sheets.
FINDINGS: There was no difference in growth rate, maternal cell contamination, or
reporting time between clinician- and pathologist-procured samples despite delay
in collection time for laboratory samples. Clinical samples had more microbial
overgrowth. Compared to samples collected at bedside, samples collected in the
laboratory had a lower rate of microbial contamination with similar growth and
maternal cell contamination rates, despite prolonged time to collection.
Collecting samples both at bedside and in the laboratory is unnecessary.
PMID- 27183006
TI - ERG signaling in prostate cancer is driven through PRMT5-dependent methylation of
the Androgen Receptor.
AB - The TMPRSS2:ERG gene fusion is common in androgen receptor (AR) positive prostate
cancers, yet its function remains poorly understood. From a screen for
functionally relevant ERG interactors, we identify the arginine methyltransferase
PRMT5. ERG recruits PRMT5 to AR-target genes, where PRMT5 methylates AR on
arginine 761. This attenuates AR recruitment and transcription of genes expressed
in differentiated prostate epithelium. The AR-inhibitory function of PRMT5 is
restricted to TMPRSS2:ERG-positive prostate cancer cells. Mutation of this
methylation site on AR results in a transcriptionally hyperactive AR, suggesting
that the proliferative effects of ERG and PRMT5 are mediated through attenuating
AR's ability to induce genes normally involved in lineage differentiation. This
provides a rationale for targeting PRMT5 in TMPRSS2:ERG positive prostate
cancers. Moreover, methylation of AR at arginine 761 highlights a mechanism for
how the ERG oncogene may coax AR towards inducing proliferation versus
differentiation.
PMID- 27183007
TI - 5-hydroxymethylcytosine marks regions with reduced mutation frequency in human
DNA.
AB - CpG dinucleotides are the main mutational hot-spot in most cancers. The
characteristic elevated C>T mutation rate in CpG sites has been related to 5
methylcytosine (5mC), an epigenetically modified base which resides in CpGs and
plays a role in transcription silencing. In brain nearly a third of 5mCs have
recently been found to exist in the form of 5-hydroxymethylcytosine (5hmC), yet
the effect of 5hmC on mutational processes is still poorly understood. Here we
show that 5hmC is associated with an up to 53% decrease in the frequency of C>T
mutations in a CpG context compared to 5mC. Tissue specific 5hmC patterns in
brain, kidney and blood correlate with lower regional CpG>T mutation frequency in
cancers originating in the respective tissues. Together our data reveal global
and opposing effects of the two most common cytosine modifications on the
frequency of cancer causing somatic mutations in different cell types.
PMID- 27183010
TI - Discriminating Intercalative Effects of Threading Intercalator Nogalamycin, from
Classical Intercalator Daunomycin, Using Single Molecule Atomic Force
Spectroscopy.
AB - DNA threading intercalators are a unique class of intercalating agents, albeit
little biophysical information is available on their intercalative actions.
Herein, the intercalative effects of nogalamycin, which is a naturally-occurring
DNA threading intercalator, have been investigated by high-resolution atomic
force microscopy (AFM) and spectroscopy (AFS). The results have been compared
with those of the well-known chemotherapeutic drug daunomycin, which is a non
threading classical intercalator bearing structural similarity to nogalamycin. A
comparative AFM assessment revealed a greater increase in DNA contour length over
the entire incubation period of 48 h for nogalamycin treatment, whereas the
contour length increase manifested faster in case of daunomycin. The elastic
response of single DNA molecules to an externally applied force was investigated
by the single molecule AFS approach. Characteristic mechanical fingerprints in
the overstretching behaviour clearly distinguished the nogalamycin/daunomycin
treated dsDNA from untreated dsDNA-the former appearing less elastic than the
latter, and the nogalamycin-treated DNA distinguished from the daunomycin-treated
DNA-the classically intercalated dsDNA appearing the least elastic. A single
molecule AFS-based discrimination of threading intercalation from the classical
type is being reported for the first time.
PMID- 27183011
TI - Enhanced cell-surface display and secretory production of cellulolytic enzymes
with Saccharomyces cerevisiae Sed1 signal peptide.
AB - Recombinant yeast strains displaying aheterologous cellulolytic enzymes on their
cell surfaces using a glycosylphosphatidylinositol (GPI) anchoring system are a
promising strategy for bioethanol production from lignocellulosic materials. A
crucial step for cell wall localization of the enzymes is the intracellular
transport of proteins in yeast cells. Therefore, the addition of a highly
efficient secretion signal sequence is important to increase the amount of the
enzymes on the yeast cell surface. In this study, we demonstrated the
effectiveness of a novel signal peptide (SP) sequence derived from the
Saccharomyces cerevisiae SED1 gene for cell-surface display and secretory
production of cellulolytic enzymes. Gene cassettes with SP sequences derived from
S. cerevisiae SED1 (SED1SP), Rhizopus oryzae glucoamylase (GLUASP), and S.
cerevisiae alpha-mating pheromone (MFalpha1SP) were constructed for cell-surface
display of Aspergillus aculeatus beta-glucosidase (BGL1) and Trichoderma reesei
endoglucanase II (EGII). These gene cassettes were integrated into the S.
cerevisiae genome. The recombinant strains with the SED1SP showed higher cell
surface BGL and EG activities than those with the conventional SP sequences
(GLUASP and MFalpha1SP). The novel SP sequence also improved the secretory
production of BGL and EG in S. cerevisiae. The extracellular BGL activity of the
recombinant strains with the SED1SP was 1.3- and 1.9-fold higher than the GLUASP
and MFalpha1SP strains, respectively. Moreover, the utilization of SED1SP
successfully enhanced the secretory production of BGL in Pichia pastoris. The
utilization of the novel SP sequence is a promising option for highly efficient
cell-surface display and secretory production of heterologous proteins in various
yeast species. Biotechnol. Bioeng. 2016;113: 2358-2366. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27183025
TI - Determinants of Urinary Output Response to IV Furosemide in Acute Kidney Injury:
A Pharmacokinetic/Pharmacodynamic Study.
AB - OBJECTIVES: This study assessed the determinants of urinary output response to
furosemide in acute kidney injury; specifically, whether the response is related
to altered pharmacokinetics or pharmacodynamics. DESIGN: Prospective cohort.
SETTING: Tertiary ICU. PATIENTS: Thirty critically ill patients with acute kidney
injury without preexisting renal impairment or recent diuretic exposure.
INTERVENTION: A single dose of IV furosemide. MEASUREMENTS AND MAIN RESULTS:
Baseline markers of intravascular volume status were obtained prior to
administering furosemide. Six-hour creatinine clearance, hourly plasma/urinary
furosemide concentrations, and hourly urinary output were used to assess
furosemide pharmacokinetics/pharmacodynamics parameters. Of 30 patients enrolled,
11 had stage-1 (37%), nine had stage-2 (30%), and 10 had stage-3 (33%) Acute
Kidney Injury Network acute kidney injury. Seventy-three percent were septic, 47%
required norepinephrine, and 53% were mechanically ventilated. Urinary output
doubled in 20 patients (67%) following IV furosemide. Measured creatinine
clearance was strongly associated with the amount of urinary furosemide excreted
and was the only reliable predictor of the urinary output after furosemide (area
under the receiver-operating-characteristic curve, 0.75; 95% CI, 0.57-0.93). In
addition to an altered pharmacokinetics (p < 0.01), a reduced pharmacodynamics
response to furosemide also became important when creatinine clearance was
reduced to less than 40 mL/min/1.73 m (p = 0.01). Acute kidney injury staging and
markers of intravascular volume, including central venous pressure, brain
natriuretic-peptide concentration, and fractional urinary sodium excretion were
not predictive of urinary output response to furosemide. CONCLUSIONS: The
severity of acute kidney injury, as reflected by the measured creatinine
clearance, alters both pharmacokinetics and pharmacodynamics of furosemide in
acute kidney injury, and was the only reliable predictor of the urinary output
response to furosemide in acute kidney injury.
PMID- 27183026
TI - Split-face comparison between single-band and dual-band pulsed light technology
for treatment of photodamage.
AB - BACKGROUND: Intense pulsed light (IPL) has a well-recognized role in the
treatment of photodamaged skin. OBJECTIVE: To assess the safety and efficacy of a
novel single-band IPL handpiece versus dual-band IPL handpiece in the treatment
of photodamage. STUDY DESIGN/MATERIALS AND METHODS: This was a prospective,
single-center split-face study with 20 enrolled participants. Three treatments,
21 days apart, were administered to the subjects and follow-up was performed for
20 weeks. The left side of the face was treated with the single-band handpiece.
The right side of the face was treated with the dual-band handpiece. Blinded
investigators assessed the subjects' skin texture, pigmented components of
photodamage, and presence of telangiectasia both before and after treatment,
utilizing a five-point scale. RESULTS: Pigmented components of photodamage, skin
texture, and presence of telangiectasias on the left and right side of the face
were improved at the end of treatment. At 20-week follow-up, the side treated
with single-band handpiece showed improvement in telangiectasia and pigmentation
that was statistically superior to the contralateral side treated with the dual
band handpiece. Both devices equally improved textural changes. No adverse
effects were noted with either device. CONCLUSION: Both single-band and dual-band
IPL technology are safe and effective in the treatment of photodamaged facial
skin. IPL treatment with a single-band handpiece yielded results comparable or
superior to dual-band technology.
PMID- 27183027
TI - Immunotherapy for genitourinary cancer: state of the art and new perspectives.
AB - In the last few years, cancer immunotherapy has changed the natural history and
treatment strategies of a number of solid tumors, including melanoma and lung
cancer. The anti-PD-1 nivolumab showed a survival benefit compared with
everolimus in the second-line treatment of renal cell carcinoma, resulting in a
radical shift in perspective in the treatment of this neoplasia and suggesting a
new scenario beyond tyrosine kinase inhibitors. Checkpoint inhibitors might also
improve the treatment of urothelial cancer, considering the promising results
achieved so far and the relatively low efficacy of currently available
treatments. Sipuleucel-T was the first approved immunotherapy for prostate
cancer, showing a clear benefit in overall survival, and paved the way for the
clinical testing of other novel cancer vaccines. This review provides a
comprehensive overview of the current knowledge and new perspectives of
immunotherapy in the treatment of urogenital malignancies.
PMID- 27183029
TI - Ultrathin Films of VO2 on r-Cut Sapphire Achieved by Postdeposition Etching.
AB - The metal-insulator transition (MIT) properties of correlated oxides thin films,
such as VO2, are dramatically affected by strain induced at the interface with
the substrate, which usually changes with deposition thickness. For VO2 grown on
r-cut sapphire, there is a minimum deposition thickness required for a
significant MIT to appear, around 60 nm. We show that in these thicker films an
interface layer develops, which accompanies the relaxation of film strain and
enhanced electronic transition. If these interface dislocations are stable at
room temperature, we conjectured, a new route opens to control thickness of VO2
films by postdeposition thinning of relaxed films, overcoming the need for
thickness-dependent strain-engineered substrates. This is possible only if
thinning does not alter the films' electronic properties. We find that wet
etching in a dilute NaOH solution can effectively thin the VO2 films, which
continue to show a significant MIT, even when etched to 10 nm, for which directly
deposited films show nearly no transition. The structural and chemical
composition were not modified by the etching, but the grain size and film
roughness were, which modified the hysteresis width and magnitude of the MIT
resistance change.
PMID- 27183028
TI - Human Collagen Prolyl 4-Hydroxylase Is Activated by Ligands for Its Iron Center.
AB - Collagen is the most abundant protein in animals. The posttranslational
hydroxylation of proline residues in collagen contributes greatly to its
conformational stability. Deficient hydroxylation is associated with a variety of
disease states, including scurvy. The hydroxylation of proline residues in
collagen is catalyzed by an Fe(II)- and alpha-ketoglutarate-dependent
dioxygenase, collagen prolyl 4-hydroxylase (CP4H). CP4H has long been known to
suffer oxidative inactivation during catalysis, and the cofactor ascorbate
(vitamin C) is required to reactivate the enzyme by reducing its iron center from
Fe(III) to Fe(II). Herein, we report on the discovery of the first synthetic
activators of CP4H. Specifically, we find that 2,2'-bipyridine-4-carboxylate and
2,2'-bipyridine-5-carboxylate serve as ligands for the iron center in human CP4H
that enhance the rate of ascorbate-dependent reactivation. This new mode of CP4H
activation is available to other biheteroaryl compounds but does not necessarily
extend to other prolyl 4-hydroxylases. As collagen is weakened in many
indications, analogous activators of CP4H could have therapeutic benefits.
PMID- 27183030
TI - Well-Defined Nanostructured, Single-Crystalline TiO2 Electron Transport Layer for
Efficient Planar Perovskite Solar Cells.
AB - An electron transporting layer (ETL) plays an important role in extracting
electrons from a perovskite layer and blocking recombination between electrons in
the fluorine-doped tin oxide (FTO) and holes in the perovskite layers, especially
in planar perovskite solar cells. Dense TiO2 ETLs prepared by a solution
processed spin-coating method (S-TiO2) are mainly used in devices due to their
ease of fabrication. Herein, we found that fatal morphological defects at the S
TiO2 interface due to a rough FTO surface, including an irregular film thickness,
discontinuous areas, and poor physical contact between the S-TiO2 and the FTO
layers, were inevitable and lowered the charge transport properties through the
planar perovskite solar cells. The effects of the morphological defects were
mitigated in this work using a TiO2 ETL produced from sputtering and anodization.
This method produced a well-defined nanostructured TiO2 ETL with an excellent
transmittance, single-crystalline properties, a uniform film thickness, a large
effective area, and defect-free physical contact with a rough substrate that
provided outstanding electron extraction and hole blocking in a planar perovskite
solar cell. In planar perovskite devices, anodized TiO2 ETL (A-TiO2) increased
the power conversion efficiency by 22% (from 12.5 to 15.2%), and the stabilized
maximum power output efficiency increased by 44% (from 8.9 to 12.8%) compared
with S-TiO2. This work highlights the importance of the ETL geometry for
maximizing device performance and provides insights into achieving ideal ETL
morphologies that remedy the drawbacks observed in conventional spin-coated ETLs.
PMID- 27183031
TI - SAFETY AND EFFICACY OF INTRAVITREAL DEXAMETHASONE IMPLANTS IN THE MANAGEMENT OF
MACULAR EDEMA SECONDARY TO INFECTIOUS UVEITIS.
AB - PURPOSE: To assess the safety and efficacy of intravitreal dexamethasone implants
in the treatment of macular edema secondary to infectious uveitis. METHODS: We
retrospectively reviewed clinical records from three uveitis referral units in
Spain. The main outcome measures were rate of reactivation of infection,
improvements in visual acuity and resolution of macular edema, as measured by
optical coherence tomography. RESULTS: We included eight eyes from seven patients
with a median age of 64 years (30-75). Etiologies of the infections were Herpes
simplex virus-type 1, Varicela-Zoster virus, Treponema pallidum, Brucella
mellitensis, Borrelia burgdorferi, Toxoplasma gondii, and cytomegalovirus. Median
visual acuity was 20/160 (20/30-20/400) at baseline and 20/70 (20/25-20/200) at
the last follow-up visit. Mean macular thickness was 516 MUm (115) at baseline
and 266.3 MUm (40) at the last follow-up visit. Visual acuity improved in 100% of
the eyes and none of the eyes showed macular edema at the last follow-up visit.
Five patients required reinjections of the implant. Only one patient required
antiglaucoma drops for a temporary increase in ocular pressure. There were no
cases of reactivation of the infectious ocular disease. Median follow-up time was
18 months. CONCLUSION: In this small case series of eyes with macular edema
secondary to infectious uveitis, treatment with dexamethasone intravitreal
implants was not associated with reactivation of the infectious ocular disease.
Furthermore, significant improvements in visual acuity and macular thickness were
observed in our patients.
PMID- 27183034
TI - Polymorphic Lanthanide Phosphonates Showing Distinct Magnetic Behavior.
AB - A series of layered lanthanide phosphonates alpha-Ln(2-qpH)(SO4)(H2O)2 (alpha-Ln;
Ln = Gd, Tb, Ho, Er) and beta-Ln(2-qpH)(SO4)(H2O)2 (beta-Ln; Ln = Gd, Tb, Ho, Er,
Yb) (2-qpH2 = 2-quinolinephosphonic acid) have been synthesized and
characterized. Compounds alpha-Ln crystallize in monoclinic space group P21/c,
while compounds beta-Ln crystallize in triclinic space group P1. Magnetic studies
reveal that dominant ferromagnetic interactions are propagated between the
magnetic centers in all cases. Field-induced magnetic relaxation is observed in
compounds beta-Er and beta-Yb.
PMID- 27183033
TI - Silver-Ion Solid Phase Extraction Separation of Classical, Aromatic, Oxidized,
and Heteroatomic Naphthenic Acids from Oil Sands Process-Affected Water.
AB - The separation of classical, aromatic, oxidized, and heteroatomic (sulfur
containing) naphthenic acid (NA) species from unprocessed and ozone-treated oil
sands process-affected water (OSPW) was performed using silver-ion (Ag-ion) solid
phase extraction (SPE) without the requirement of pre-methylation for NAs. OSPW
samples before SPE and SPE fractions were characterized using ultra performance
liquid chromatography ion mobility time-of-flight mass spectrometry (UPLC-IM
TOFMS) to corroborate the separation of distinct NA species. The mass spectrum
identification applied a mass tolerance of +/-1.5 mDa due to the mass errors of
NAs were measured within this range, allowing the identification of O2S-NAs from
O2-NAs. Moreover, separated NA species facilitated the tandem mass spectrometry
(MS/MS) characterization of NA compounds due to the removal of matrix and a
simplified composition. MS/MS results showed that classical, aromatic, oxidized,
and sulfur-containing NA compounds were eluted into individual SPE fractions.
Overall results indicated that the separation of NA species using Ag-ion SPE is a
valuable method for extracting individual NA species that are of great interest
for environmental toxicology and wastewater treatment research, to conduct
species-specific studies. Furthermore, the separated NA species on the milligram
level could be widely used as the standard materials for environmental monitoring
of NAs from various contamination sites.
PMID- 27183032
TI - Association of Leisure-Time Physical Activity With Risk of 26 Types of Cancer in
1.44 Million Adults.
AB - IMPORTANCE: Leisure-time physical activity has been associated with lower risk of
heart-disease and all-cause mortality, but its association with risk of cancer is
not well understood. OBJECTIVE: To determine the association of leisure-time
physical activity with incidence of common types of cancer and whether
associations vary by body size and/or smoking. DESIGN, SETTING, AND PARTICIPANTS:
We pooled data from 12 prospective US and European cohorts with self-reported
physical activity (baseline, 1987-2004). We used multivariable Cox regression to
estimate hazard ratios (HRs) and 95% confidence intervals for associations of
leisure-time physical activity with incidence of 26 types of cancer. Leisure-time
physical activity levels were modeled as cohort-specific percentiles on a
continuous basis and cohort-specific results were synthesized by random-effects
meta-analysis. Hazard ratios for high vs low levels of activity are based on a
comparison of risk at the 90th vs 10th percentiles of activity. The data analysis
was performed from January 1, 2014, to June 1, 2015. EXPOSURES: Leisure-time
physical activity of a moderate to vigorous intensity. MAIN OUTCOMES AND
MEASURES: Incident cancer during follow-up. RESULTS: A total of 1.44 million
participants (median [range] age, 59 [19-98] years; 57% female) and 186 932
cancers were included. High vs low levels of leisure-time physical activity were
associated with lower risks of 13 cancers: esophageal adenocarcinoma (HR, 0.58;
95% CI, 0.37-0.89), liver (HR, 0.73; 95% CI, 0.55-0.98), lung (HR, 0.74; 95% CI,
0.71-0.77), kidney (HR, 0.77; 95% CI, 0.70-0.85), gastric cardia (HR, 0.78; 95%
CI, 0.64-0.95), endometrial (HR, 0.79; 95% CI, 0.68-0.92), myeloid leukemia (HR,
0.80; 95% CI, 0.70-0.92), myeloma (HR, 0.83; 95% CI, 0.72-0.95), colon (HR, 0.84;
95% CI, 0.77-0.91), head and neck (HR, 0.85; 95% CI, 0.78-0.93), rectal (HR,
0.87; 95% CI, 0.80-0.95), bladder (HR, 0.87; 95% CI, 0.82-0.92), and breast (HR,
0.90; 95% CI, 0.87-0.93). Body mass index adjustment modestly attenuated
associations for several cancers, but 10 of 13 inverse associations remained
statistically significant after this adjustment. Leisure-time physical activity
was associated with higher risks of malignant melanoma (HR, 1.27; 95% CI, 1.16
1.40) and prostate cancer (HR, 1.05; 95% CI, 1.03-1.08). Associations were
generally similar between overweight/obese and normal-weight individuals. Smoking
status modified the association for lung cancer but not other smoking-related
cancers. CONCLUSIONS AND RELEVANCE: Leisure-time physical activity was associated
with lower risks of many cancer types. Health care professionals counseling
inactive adults should emphasize that most of these associations were evident
regardless of body size or smoking history, supporting broad generalizability of
findings.
PMID- 27183036
TI - Role of Local Response in Ion Solvation: Born Theory and Beyond.
AB - The nature of ion solvation has drawn the interest of scientists for over a
century, yet a thorough theoretical understanding is still lacking. In this work,
we focus on the microscopic origins underlying ionic charge asymmetric and
nonlinear response contributions to ion solvation free energies. We first derive
an exact expression for the charging component of the ionic free energy, the free
energy change when the Coulomb interactions between a fixed ion and the solvent
are gradually "turned on". We then introduce the concept of a Gaussian test
charge distribution, a generalization of the classical electrostatic point test
charge that can be used to probe dielectric response in atomically detailed
models. This enables the study of a thermodynamic cycle that isolates a linear
and charge-symmetric contribution to the free energy that is well-described by
Born-model-like dielectric continuum theories. We give a simple physical
derivation of the classic Born model that locally relates the induced charge
density in a linear dielectric model to the applied ionic charge distribution.
The nonlinear response and charge asymmetric contributions to the ion solvation
free energy are then examined in the remaining steps of the cycle and compared to
classic thermodynamic cycles for this process using computer simulations. The
insights provided by this work will aid the development of quantitative theories
for the solvation of charged solutes.
PMID- 27183035
TI - Comparative Effectiveness of Tai Chi Versus Physical Therapy for Knee
Osteoarthritis: A Randomized Trial.
AB - BACKGROUND: Few remedies effectively treat long-term pain and disability from
knee osteoarthritis. Studies suggest that Tai Chi alleviates symptoms, but no
trials have directly compared Tai Chi with standard therapies for osteoarthritis.
OBJECTIVE: To compare Tai Chi with standard physical therapy for patients with
knee osteoarthritis. DESIGN: Randomized, 52-week, single-blind comparative
effectiveness trial. (ClinicalTrials.gov: NCT01258985). SETTING: An urban
tertiary care academic hospital. PATIENTS: 204 participants with symptomatic knee
osteoarthritis (mean age, 60 years; 70% women; 53% white). INTERVENTION: Tai Chi
(2 times per week for 12 weeks) or standard physical therapy (2 times per week
for 6 weeks, followed by 6 weeks of monitored home exercise). MEASUREMENTS: The
primary outcome was Western Ontario and McMaster Universities Osteoarthritis
Index (WOMAC) score at 12 weeks. Secondary outcomes included physical function,
depression, medication use, and quality of life. RESULTS: At 12 weeks, the WOMAC
score was substantially reduced in both groups (Tai Chi, 167 points [95% CI, 145
to 190 points]; physical therapy, 143 points [CI, 119 to 167 points]). The
between-group difference was not significant (24 points [CI, -10 to 58 points]).
Both groups also showed similar clinically significant improvement in most
secondary outcomes, and the benefits were maintained up to 52 weeks. Of note, the
Tai Chi group had significantly greater improvements in depression and the
physical component of quality of life. The benefit of Tai Chi was consistent
across instructors. No serious adverse events occurred. LIMITATION: Patients were
aware of their treatment group assignment, and the generalizability of the
findings to other settings remains undetermined. CONCLUSION: Tai Chi produced
beneficial effects similar to those of a standard course of physical therapy in
the treatment of knee osteoarthritis. PRIMARY FUNDING SOURCE: National Center for
Complementary and Integrative Health of the National Institutes of Health.
PMID- 27183037
TI - Influence of density and environmental factors on decomposition kinetics of
amorphous polylactide - Reactive molecular dynamics studies.
AB - In this work, we investigate the influence of the surrounding environment and the
initial density on the decomposition kinetics of polylactide (PLA). The
decomposition of the amorphous PLA was investigated by means of reactive
molecular dynamics simulations. A computational model simulates the decomposition
of PLA polymer inside the bulk, due to the assumed lack of removal of reaction
products from the polymer matrix. We tracked the temperature dependency of the
water and carbon monoxide production to extract the activation energy of thermal
decomposition of PLA. We found that an increased density results in decreased
activation energy of decomposition by about 50%. Moreover, initiation of
decomposition of the amorphous PLA is followed by a rapid decline in activation
energy caused by reaction products which accelerates the hydrolysis of esters.
The addition of water molecules decreases initial energy of activation as well as
accelerates the decomposition process. Additionally, we have investigated the
dependency of density on external loading. Comparison of pressures needed to
obtain assumed densities shows that this relationship is bilinear and the slope
changes around a density equal to 1.3g/cm(3). The conducted analyses provide an
insight into the thermal decomposition process of the amorphous phase of PLA,
which is particularly susceptible to decomposition in amorphous and semi
crystalline PLA polymers.
PMID- 27183038
TI - Sequencing of the Litchi Downy Blight Pathogen Reveals It Is a Phytophthora
Species With Downy Mildew-Like Characteristics.
AB - On the basis of its downy mildew-like morphology, the litchi downy blight
pathogen was previously named Peronophythora litchii. Recently, however, it was
proposed to transfer this pathogen to Phytophthora clade 4. To better
characterize this unusual oomycete species and important fruit pathogen, we
obtained the genome sequence of Phytophthora litchii and compared it to those
from other oomycete species. P. litchii has a small genome with tightly spaced
genes. On the basis of a multilocus phylogenetic analysis, the placement of P.
litchii in the genus Phytophthora is strongly supported. Effector proteins
predicted included 245 RxLR, 30 necrosis-and-ethylene-inducing protein-like, and
14 crinkler proteins. The typical motifs, phylogenies, and activities of these
effectors were typical for a Phytophthora species. However, like the genome
features of the analyzed downy mildews, P. litchii exhibited a streamlined genome
with a relatively small number of genes in both core and species-specific protein
families. The low GC content and slight codon preferences of P. litchii sequences
were similar to those of the analyzed downy mildews and a subset of Phytophthora
species. Taken together, these observations suggest that P. litchii is a
Phytophthora pathogen that is in the process of acquiring downy mildew-like
genomic and morphological features. Thus P. litchii may provide a novel model for
investigating morphological development and genomic adaptation in oomycete
pathogens.
PMID- 27183040
TI - Exciton Correlations in Intramolecular Singlet Fission.
AB - We have synthesized a series of asymmetric pentacene-tetracene heterodimers with
a variable-length conjugated bridge that undergo fast and efficient
intramolecular singlet fission (iSF). These compounds have distinct singlet and
triplet energies, which allow us to study the spatial dynamics of excitons during
the iSF process, including the significant role of exciton correlations in
promoting triplet pair generation and recombination. We demonstrate that the
primary photoexcitations in conjugated dimers are delocalized singlets that
enable fast and efficient iSF. However, in these asymmetric dimers, the singlet
becomes more localized on the lower energy unit as the length of the bridge is
increased, slowing down iSF relative to analogous symmetric dimers. We resolve
the recombination kinetics of the inequivalent triplets produced via iSF, and
find that they primarily decay via concerted processes. By identifying different
decay channels, including delayed fluorescence via triplet-triplet annihilation,
we can separate transient species corresponding to both correlated triplet pairs
and uncorrelated triplets. Recombination of the triplet pair proceeds rapidly
despite our experimental and theoretical demonstration that individual triplets
are highly localized and unable to be transported across the conjugated linker.
In this class of compounds, the rate of formation and yield of uncorrelated
triplets increases with bridge length. Overall, these constrained, asymmetric
systems provide a unique platform to isolate and study transient species
essential for singlet fission, which are otherwise difficult to observe in
symmetric dimers or condensed phases.
PMID- 27183039
TI - A Dicarboxylate Transporter, LjALMT4, Mainly Expressed in Nodules of Lotus
japonicus.
AB - Legume plants can establish symbiosis with soil bacteria called rhizobia to
obtain nitrogen as a nutrient directly from atmospheric N2 via symbiotic nitrogen
fixation. Legumes and rhizobia form nodules, symbiotic organs in which fixed
nitrogen and photosynthetic products are exchanged between rhizobia and plant
cells. The photosynthetic products supplied to rhizobia are thought to be
dicarboxylates but little is known about the movement of dicarboxylates in the
nodules. In terms of dicarboxylate transporters, an aluminum-activated malate
transporter (ALMT) family is a strong candidate responsible for the membrane
transport of carboxylates in nodules. Among the seven ALMT genes in the Lotus
japonicus genome, only one, LjALMT4, shows a high expression in the nodules.
LjALMT4 showed transport activity in a Xenopus oocyte system, with LjALMT4
mediating the efflux of dicarboxylates including malate, succinate, and fumarate,
but not tricarboxylates such as citrate. LjALMT4 also mediated the influx of
several inorganic anions. Organ-specific gene expression analysis showed LjALMT4
mRNA mainly in the parenchyma cells of nodule vascular bundles. These results
suggest that LjALMT4 may not be involved in the direct supply of dicarboxylates
to rhizobia in infected cells but is responsible for supplying malate as well as
several anions necessary for symbiotic nitrogen fixation, via nodule
vasculatures.
PMID- 27183041
TI - Evolving Government Policy on Opioid Availability and Use Is a Double-edged
Sword.
PMID- 27183042
TI - Factors Associated with Breastfeeding Initiation and Exclusive Breastfeeding
Rates in Turkish Adolescent Mothers.
AB - OBJECTIVE: This study aimed to determine the early initiation time of
breastfeeding and exclusive breastfeeding (EBF) rates during the first 6 months
and the main factors affecting EBF practice in Turkish adolescent mothers. STUDY
DESIGN AND METHODS: A cross-sectional study was conducted with 200 adolescent
mothers who were raising 6 to 24 month-old children. A face-to-face interview was
conducted for sociodemographic characteristics, obstetric history, information
about the baby, information about breastfeeding, and the factors affecting
breastfeeding. We detected breastfeeding prevalence as well as its initiation
time and duration. RESULTS: The mean age of the patients was 17.9 +/- 1.15 years.
The percentage of patients who started breastfeeding within 1 hour was 45.5%.
Approximately, 74% of the adolescent mothers provided breastfeeding before any
type of formula as the baby's first food. Women who initiated breastfeeding
earlier had planned pregnancies, educated for breastfeeding, delivered vaginally,
and had boy infants. The mothers who started breastfeeding earlier fed their
babies significantly more frequently at night, had longer EBF times, and had
longer total breastfeeding times than the mothers who started breastfeeding later
than 2 hours. Planned pregnancy, vaginal delivery, and having a boy infant were
significantly associated with early breastfeeding. Age, planned pregnancy,
postpartum education, frequent breastfeeding at night, and formula initiation
time were predictors of EBF. CONCLUSIONS: Adolescent pregnants may start
breastfeeding earlier when had planned pregnancy, educated and encouraged for
breastfeeding from the family and medical staff. Therefore, strategies should be
formed to improve breastfeeding programs.
PMID- 27183043
TI - Low reliability of sighted-normed verbal assessment scores when administered to
children with visual impairments.
AB - The most common and advocated assessment approach when a child cannot access
visual materials is to use the verbal subscales of a test the psychologist
already has and is familiar with. However, previous research indicates that
children with visual impairments experience atypical verbal development. This
raises the question of whether verbal subscale scores retain their reliability
and interpretation validity when given to children with visual impairments. To
answer this question, we administered a vocabulary subscale from a common
intelligence test along with several nonverbal subscales to 15 early-blind
adolescents (onset of <=2 years). Reliability of only the vocabulary test scores
was insufficient for high-stakes testing. This finding points to the broader
issue of difficulties in assessing populations of exceptional children who
experience atypical development trajectories, possibly making their assessment
with common tests inappropriate. (PsycINFO Database Record
PMID- 27183044
TI - The influence of different criteria for establishing optimal cutoff scores on
performance of two self-report measures for warzone PTSD.
AB - Posttraumatic stress disorder (PTSD) has been regarded as a signature injury of
war and elevated to one of the major behavioral health problems faced by military
service members and veterans deployed to warzones. In PTSD diagnosis, self-report
measures have often been used with a cutoff score to identify those with an
elevated likelihood of having PTSD prior to conducting a second-tier diagnostic
interview. With an attempt to guide the selection of cutoffs in self-report PTSD
measures for various purposes, this study examined how five common criteria for
establishing an optimal cutoff influenced the performance of self-report measures
for warzone PTSD in relation to the Clinician Administered PTSD Scale for
Diagnostic and Statistical Manual of Mental Disorders, 5th edition (DSM-5) and
whether the influence differed for the PTSD Checklist for DSM-5 and the
Mississippi Scale for Combat-Related PTSD. Using a probability sample of Vietnam
theater veterans in the National Vietnam Veterans Longitudinal Study, results
showed that in both self-report measures, the Youden Index criterion yielded the
optimal cutoff that led to better test performance. (PsycINFO Database Record
PMID- 27183045
TI - Validation of the Dyadic Coping Inventory with Chinese couples: Factorial
structure, measurement invariance, and construct validity.
AB - The Dyadic Coping Inventory (DCI, Bodenmann, 2008) assesses how couples support
each other when facing individual (e.g., workload) and common (e.g., parenting)
stressors. Specifically, the DCI measures partners' perceptions of their own
(Self) and their partners' behaviors (Partner) when facing individual stressors,
and partners' common coping behaviors when facing common stressors (Common). To
date, the DCI has been validated in 6 different languages from individualistic
Western cultures; however, because culture can affect interpersonal interactions,
it is unknown whether the DCI is a reliable measure of coping behaviors for
couples living in collectivistic Eastern cultures. Based on data from 474 Chinese
couples (N = 948 individuals), the current study examined the Chinese version of
the DCI's factorial structure, measurement invariance (MI), and construct
validity of test scores. Using 3 cultural groups (China, Switzerland, and the
United States [U.S.]), confirmatory factor analysis revealed a 5-factor structure
regarding Self and Partner and a 2-factor structure regarding Common dyadic
coping (DC). Results from analyses of MI indicated that the DCI subscales met the
criteria for configural, metric, and full/partial scalar invariance across
cultures (Chinese-Swiss and Chinese-U.S.) and genders (Chinese men and women).
Results further revealed good construct validity of the DCI test scores. In all,
the Chinese version of the DCI can be used for measuring Chinese couples' coping
behaviors, and is available for cross-cultural studies examining DC behaviors
between Western and Eastern cultures. (PsycINFO Database Record
PMID- 27183046
TI - The comparative capacity of the Minnesota Multiphasic Personality Inventory-2
(MMPI-2) and MMPI-2 Restructured Form (MMPI-2-RF) validity scales to detect
suspected malingering in a disability claimant sample.
AB - The current study expands on past research examining the comparative capacity of
the Minnesota Multiphasic Personality Inventory-2 (MMPI-2; Butcher et al., 2001)
and MMPI-2 Restructured Form (MMPI-2-RF; Ben-Porath & Tellegen, 2008/2011)
overreporting validity scales to detect suspected malingering, as assessed by the
Miller Forensic Assessment of Symptoms Test (M-FAST; Miller, 2001), in a sample
of public insurance disability claimants (N = 742) who were considered to have
potential incentives to malinger. Results provide support for the capacity of
both the MMPI-2 and the MMPI-2-RF overreporting validity scales to predict
suspected malingering of psychopathology. The MMPI-2-RF overreporting validity
scales proved to be modestly better predictors of suspected psychopathology
malingering-compared with the MMPI-2 overreporting scales-in dimensional
predictive models and categorical classification accuracy analyses. (PsycINFO
Database Record
PMID- 27183048
TI - Do donor oocyte cycles comply with ASRM/SART embryo transfer guidelines? An
analysis of 13,393 donor cycles from the SART registry.
AB - OBJECTIVE: To analyze donor oocyte cycles in the Society for Assisted
Reproductive Technology (SART) registry to determine: 1) how many cycles complied
with the 2009 American Society for Reproductive Medicine/SART embryo transfer
guidelines; and 2) cycle outcomes according to the number of embryos transferred.
For donor oocyte IVF with donor age <35 years, the consideration of single-embryo
transfer was strongly recommended. DESIGN: Retrospective cohort study of United
States national registry information. SETTING: Not applicable. PATIENT(S): A
total of 13,393 donor-recipient cycles from 2011 to 2012. INTERVENTION(S):
Embryos transferred in donor IVF cycles. MAIN OUTCOME MEASURE(S): Percentage of
compliant cycles, multiple pregnancy rate. RESULT(S): There were 3,157 donor
cleavage-stage transfers and 10,236 donor blastocyst transfers. In the cleavage
stage cycles, 88% met compliance criteria. The multiple pregnancy rate (MPR) was
significantly higher in the noncompliant cycles. In a subanalysis of compliant
cleavage-stage cycles, 91% transferred two embryos and only 9% single embryos. In
those patients transferring two embryos, the MPR was significantly higher (33%
vs. 1%). In blastocyst transfers, only 28% of the cycles met compliance criteria.
The MPR was significantly higher in the noncompliant blastocyst cohort at 53%
(compared with 2% in compliant cycles). CONCLUSION(S): The majority of donor
cleavage-stage transfers are compliant with current guidelines, but the transfer
of two embryos results in a significantly higher MPR compared with single-embryo
transfer. The majority of donor blastocyst cycles are noncompliant, which appears
to be driving an unacceptably high MPR in these cycles.
PMID- 27183047
TI - Association of bilateral oophorectomy with cognitive function in healthy,
postmenopausal women.
AB - OBJECTIVE: To investigate the association between bilateral oophorectomy and
cognitive performance in healthy, older women. DESIGN: Retrospective analysis of
clinical trial data. SETTING: Academic research institution. PATIENT(S): Healthy
postmenopausal women without signs or symptoms of cardiovascular disease or
diabetes (n = 926). INTERVENTION(S): Randomized interventions (not the focus of
this analysis) in analyzed trials included B-vitamins, soy isoflavones, oral
estradiol, and matching placebos. MAIN OUTCOME MEASURE(S): Measures in five
cognitive domains (executive functions, semantic memory, logical memory, visual
memory, and verbal learning) and global cognitive function. RESULT(S): Using data
from three clinical trials conducted under uniform conditions, bilateral
oophorectomy and its timing were analyzed cross-sectionally and longitudinally in
relation to cognitive function in linear regression models. Covariates included
age, education, race/ethnicity, body mass index, trial, and randomized treatment
(in longitudinal models). Duration of menopausal hormone use was considered as a
possible mediator and effect modifier. Median age of oophorectomy was 45 years.
When evaluating baseline cognition, we found that surgical menopause after 45
years of age was associated with lower performance in verbal learning compared
with natural menopause. Evaluating the change in cognition over approximately 2.7
years, surgical menopause was associated with performance declines in visual
memory for those who had an oophorectomy after 45 years of age and in semantic
memory for those who had oophorectomy before 45 years of age compared with
natural menopause. Oophorectomy after natural menopause was not associated with
cognitive performance. Adjustment for duration of hormone use did not alter these
associations. CONCLUSION(S): Cognitive associations with ovarian removal vary by
timing of surgery relative to both menopause and age.
PMID- 27183049
TI - Precise, Self-Limited Epitaxy of Ultrathin Organic Semiconductors and
Heterojunctions Tailored by van der Waals Interactions.
AB - Precise assembly of semiconductor heterojunctions is the key to realize many
optoelectronic devices. By exploiting the strong and tunable van der Waals (vdW)
forces between graphene and organic small molecules, we demonstrate layer-by
layer epitaxy of ultrathin organic semiconductors and heterostructures with
unprecedented precision with well-defined number of layers and self-limited
characteristics. We further demonstrate organic p-n heterojunctions with
molecularly flat interface, which exhibit excellent rectifying behavior and
photovoltaic responses. The self-limited organic molecular beam epitaxy (SLOMBE)
is generically applicable for many layered small-molecule semiconductors and may
lead to advanced organic optoelectronic devices beyond bulk heterojunctions.
PMID- 27183050
TI - Anything is Possible.
PMID- 27183051
TI - Food Guide Follies.
PMID- 27183052
TI - Taxation and Sugar-Sweetened Beverages: Position of Dietitians of Canada.
AB - Dietitians of Canada recommends that an excise tax of at least 10-20% be applied
to sugar-sweetened beverages sold in Canada given the negative impact of these
products on the health of the population and the viability of taxation as a means
to reduce consumption. For the greatest impact, taxation measures should be
combined with other policy interventions such as increasing access to healthy
foods while decreasing access to unhealthy foods in schools, daycares, and
recreation facilities; restrictions on the marketing of foods and beverages to
children; and effective, long-term educational initiatives. This position is
based on a comprehensive review of the literature. The Canadian population is
experiencing high rates of obesity and excess weight. There is moderate quality
evidence linking consumption of sugar-sweetened beverages to excess weight,
obesity, and chronic disease onset in children and adults. Taxation of sugar
sweetened beverages holds substantiated potential for decreasing its consumption.
Based on economic models and results from recent taxation efforts, an excise tax
can lead to a decline in sugar-sweetened beverage purchase and consumption.
Taxation of up to 20% can lead to a consumption decrease by approximately 10% in
the first year of its implementation, with a postulated 2.6% decrease in weight
per person on average. Revenue generated from taxation can be used to fund other
obesity reduction initiatives. A number of influential national organizations
support a tax on sugar-sweetened beverages.
PMID- 27183053
TI - Effects of Peripapillary Scleral Stiffening on the Deformation of the Lamina
Cribrosa.
AB - PURPOSE: Scleral stiffening has been proposed as a treatment for glaucoma to
protect the lamina cribrosa (LC) from excessive intraocular pressure-induced
deformation. Here we experimentally evaluated the effects of moderate stiffening
of the peripapillary sclera on the deformation of the LC. METHODS: An annular
sponge, saturated with 1.25% glutaraldehyde, was applied to the external surface
of the peripapillary sclera for 5 minutes to stiffen the sclera. Tissue
deformation was quantified in two groups of porcine eyes, using digital image
correlation (DIC) or computed tomography imaging and digital volume correlation
(DVC). In group A (n = 14), eyes were subjected to inflation testing before and
after scleral stiffening. Digital image correlation was used to measure scleral
deformation and quantify the magnitude of scleral stiffening. In group B (n = 5),
the optic nerve head region was imaged using synchrotron radiation phase-contrast
microcomputed tomography (PC MUCT) at an isotropic spatial resolution of 3.2 MUm.
Digital volume correlation was used to compute the full-field three-dimensional
deformation within the LC and evaluate the effects of peripapillary scleral cross
linking on LC biomechanics. RESULTS: On average, scleral treatment with
glutaraldehyde caused a 34 +/- 14% stiffening of the peripapillary sclera
measured at 17 mm Hg and a 47 +/- 12% decrease in the maximum tensile strain in
the LC measured at 15 mm Hg. The reduction in LC strains was not due to cross
linking of the LC. CONCLUSIONS: Peripapillary scleral stiffening is effective at
reducing the magnitude of biomechanical strains within the LC. Its potential and
future utilization in glaucoma axonal neuroprotection requires further
investigation.
PMID- 27183055
TI - A pH-activatable nanoparticle with signal-amplification capabilities for non
invasive imaging of tumour malignancy.
AB - Engineered nanoparticles that respond to pathophysiological parameters, such as
pH or redox potential, have been developed as contrast agents for the magnetic
resonance imaging (MRI) of tumours. However, beyond anatomic assessment, contrast
agents that can sense these pathological parameters and rapidly amplify their
magnetic resonance signals are desirable because they could potentially be used
to monitor the biological processes of tumours and improve cancer diagnosis.
Here, we report an MRI contrast agent that rapidly amplifies magnetic resonance
signals in response to pH. We confined Mn(2+) within pH-sensitive calcium
phosphate (CaP) nanoparticles comprising a poly(ethylene glycol) shell. At a low
pH, such as in solid tumours, the CaP disintegrates and releases Mn(2+) ions.
Binding to proteins increases the relaxivity of Mn(2+) and enhances the contrast.
We show that these nanoparticles could rapidly and selectively brighten solid
tumours, identify hypoxic regions within the tumour mass and detect invisible
millimetre-sized metastatic tumours in the liver.
PMID- 27183054
TI - Objective Measurement of Fusional Vergence Ranges and Heterophoria in Infants and
Preschool Children.
AB - PURPOSE: Binocular alignment typically includes motor fusion compensating for
heterophoria. This study evaluated heterophoria and then accommodation and
vergence responses during measurement of fusional ranges in infants and
preschoolers. METHODS: Purkinje image eye tracking and eccentric photorefraction
(MCS PowerRefractor) were used to record the eye alignment and accommodation of
uncorrected infants (n = 17; 3-5 months old), preschoolers (n = 19; 2.5-5 years),
and naive functionally emmetropic adults (n = 14; 20-32 years; spherical
equivalent [SE], +1 to -1 diopters [D]). Heterophoria was derived from the
difference between monocular and binocular alignments while participants viewed
naturalistic images at 80 cm. The presence or absence of fusion was then assessed
after base-in (BI) and base-out (BO) prisms (2-40 prism diopters [pd]) were
introduced. RESULTS: Mean (+/-SD) SE refractions were hyperopic in infants (+2.4
+/- 1.2 D) and preschoolers (+1.1 +/- 0.6 D). The average exophoria was similar
(P = 0.11) across groups (Infants, -0.79 +/- 2.5 pd; Preschool, -2.43 +/- 2.0 pd;
Adults, -1.0 +/- 2.7 pd). Mean fusional vergence range also was similar (P = 0.1)
for BI (Infants, 11.2 +/- 2.5 pd; Preschool, 8.8 +/- 2.8 pd; Adults, 11.8 +/- 5.2
pd) and BO (Infants, 14 +/- 6.6 pd; Preschool, 15.3 +/- 8.3 pd; Adults, 20 +/-
9.2 pd). Maximum change in accommodation to the highest fusible prism was
positive (increased accommodation) for BO (Infants, 1.69 +/- 1.4 D; Preschool,
1.35 +/- 1.6 D; Adults, 1.22 +/- 1.0 D) and negative for BI (Infants, -0.96 +/-
1.0 D; Preschool, -0.78 +/- 0.6 D; Adults, -0.62 +/- 0.3 D), with a similar
magnitude across groups (BO, P = 0.6; BI, P = 0.4). CONCLUSIONS: Despite typical
uncorrected hyperopia, infants and preschoolers exhibited small exophorias at 80
cm, similar to adults. All participants demonstrated substantial fusional ranges,
providing evidence that even 3- to 5-month-old infants can respond to a large
range of image disparities.
PMID- 27183056
TI - Atomic force microscopy as a tool to evaluate the risk of cardiovascular diseases
in patients.
AB - The availability of biomarkers to evaluate the risk of cardiovascular diseases is
limited. High fibrinogen levels have been identified as a relevant cardiovascular
risk factor, but the biological mechanisms remain unclear. Increased aggregation
of erythrocytes (red blood cells) has been linked to high plasma fibrinogen
concentration. Here, we show, using atomic force microscopy, that the interaction
between fibrinogen and erythrocytes is modified in chronic heart failure
patients. Ischaemic patients showed increased fibrinogen-erythrocyte binding
forces compared with non-ischaemic patients. Cell stiffness in both patient
groups was also altered. A 12-month follow-up shows that patients with higher
fibrinogen-erythrocyte binding forces initially were subsequently hospitalized
more frequently. Our results show that atomic force microscopy can be a promising
tool to identify patients with increased risk for cardiovascular diseases.
PMID- 27183057
TI - Stochastic phase-change neurons.
AB - Artificial neuromorphic systems based on populations of spiking neurons are an
indispensable tool in understanding the human brain and in constructing
neuromimetic computational systems. To reach areal and power efficiencies
comparable to those seen in biological systems, electroionics-based and phase
change-based memristive devices have been explored as nanoscale counterparts of
synapses. However, progress on scalable realizations of neurons has so far been
limited. Here, we show that chalcogenide-based phase-change materials can be used
to create an artificial neuron in which the membrane potential is represented by
the phase configuration of the nanoscale phase-change device. By exploiting the
physics of reversible amorphous-to-crystal phase transitions, we show that the
temporal integration of postsynaptic potentials can be achieved on a nanosecond
timescale. Moreover, we show that this is inherently stochastic because of the
melt-quench-induced reconfiguration of the atomic structure occurring when the
neuron is reset. We demonstrate the use of these phase-change neurons, and their
populations, in the detection of temporal correlations in parallel data streams
and in sub-Nyquist representation of high-bandwidth signals.
PMID- 27183058
TI - Phase-change devices: Crystal-clear neuronal computing.
PMID- 27183059
TI - Comparison and evaluation of pesticide monitoring programs using a process-based
mixture model.
AB - A number of European countries run large-scale pesticide monitoring schemes in
watersheds aimed at identifying and evaluating the presence of pesticide residues
in the environment. These schemes provide national and regional scale assessments
of pesticide concentrations within the context of environmental quality
assessment, aiming to ensure some degree of ecological protection. The present
study is aimed at evaluating the joint effects of the pesticide mixtures detected
in monitoring programs, using a process-based mixture model that was
parameterized for Daphnia magna. In total, over 15 000 samples containing over 1
million individual measurements were evaluated for effects. It was found that
there are only a small number of places where one can expect to have effects on
daphnids, based on measured concentrations. The most polluted samples would cause
extinction of a daphnid population within only 30 h. The results show that
effects are mostly triggered by a limited number of pesticide residues at
locations with high emissions. It was also shown that the analytical detection
limits are basically too high to exclude mixture effects. So, despite all the
effort that is put into chemical monitoring programs, it remains a challenge to
make statements on whether or not the environment is protected. Recommendations
are offered for a different setup of monitoring programs to improve this
situation. Environ Toxicol Chem 2016;35:3113-3123. (c) 2016 SETAC.
PMID- 27183060
TI - Effect of Single Off-Axis Implant Placement on Abutment Screw Stability Under
Lateral Loading.
AB - PURPOSE: The aim of this study was to evaluate the effect of off-axis implant
placement in relation to the prosthetic crown on abutment screw loosening under
different directions of twisting moments. MATERIALS AND METHODS: Twenty-one
implant assemblies were divided equally into three groups (n = 7). Each assembly
consisted of an internal-octagon implant measuring 4.1 * 12 mm (standard plus
implants) with corresponding 5.5-mm synocta abutments (Straumann) mounted in an
epoxy resin-glass fiber composite. Group A had a straight implant configuration
in relation to the prosthetic crown and was subjected to clockwise twisting
moment (control). The other two groups, B and C, with off-axis implant placement
were subjected to clockwise and counterclockwise moments, respectively. A lateral
load of 80 N was applied to the specimens for 1 * 10(6) cycles at 90 degrees to
the long axis of the implant. The loading point was 4 mm from the center of the
implant in group A and 6 mm in groups B and C. The reverse torque value (RTV) of
the abutment screw was measured before and after loading. Data were analyzed
using a paired samples t test and one-way analysis of variance (ANOVA) at a
significance level of alpha = .05. RESULTS: An increase in postloading RTV was
found relative to preloading RTV in all groups, but was only significant in group
A (P < .05). The mean reverse torque difference (RTD) was higher in group A (3.17
+/- 1.04 Ncm), followed by groups B (1.03 +/- 1.41 Ncm) and C (0.43 +/- 1.09
Ncm). A significant difference in RTD was noted between group A and the remaining
groups (P < .05). However, no significant difference was found between groups B
and C (P > .05). CONCLUSION: Placement of an implant in an off-axis relation to
the prosthetic crown resulted in significantly lower reverse torque values
compared with straight implant configuration. However, the extent of reduction in
Ncm is small and is considered clinically insignificant in the studied implant
system. Furthermore, no significant difference was found in RTV between clockwise
and counterclockwise twisting moments.
PMID- 27183061
TI - Cone Beam Computed Tomography vs Multislice Computed Tomography in Computer-Aided
Design/Computer-Assisted Manufacture Guided Implant Surgery Based on Three
Dimensional Optical Scanning and Stereolithographic Guides: Does Image Modality
Matter?
AB - PURPOSE: Cone beam computed tomography (CBCT) is increasingly overtaking
multislice computed tomography (MSCT) for implant planning and computer-aided
design/computer-assisted manufacturing (CAD/CAM) surgical guide fabrication. The
purpose of this study was to evaluate whether the image modality has a
significant influence on the accuracy of image-fusion stereolithographic guides.
MATERIALS AND METHODS: A total of 240 implants were placed in 30 polymer models
using surgical guides fabricated using CBCT or MSCT and optical scanning of
dental casts and diagnostic wax-up. Postsurgical image data were fused with the
planning data for evaluation of the following errors: total error (Euclidean
error), mesiodistal and buccolingual error, depth error, and angular error. The
CBCT and MSCT results were statistically compared using a t test (P = .05).
RESULTS: CBCT showed a statistically significantly higher total error with mean
(+/- SD) of 0.36 +/- 0.13 mm vs 0.27 +/- 0.13 mm (P = .000), mesiodistal error
with 0.20 +/- 0.14 mm vs 0.16 +/- 0.11 mm (P = .018), and depth error with 0.17
+/- 0.12 mm vs 0.07 +/- 0.07 mm (P = .000). Buccolingual and angular errors did
not statistically significantly differ with 0.16 +/- 0.11 mm vs 0.15 +/- 0.12 mm
(P = .613), and 0.96 +/- 0.47 degrees vs 0.85 +/- 0.59 degrees (P = .111).
CONCLUSION: Using CBCT for image-fusion stereolithographic guides may provide
lower accuracy than MSCT. The results should be confirmed on alternative CBCT
scanners. Due to the total volume of tissues, image artifacts, and patient
movements, the advantages seen using MSCT on models could be nonexistent or
exacerbated on patients. Patient studies are required to demonstrate clinical
relevance.
PMID- 27183062
TI - Feasibility of Dental Implant Replacement in Failed Sites: A Systematic Review.
AB - PURPOSE: To assess the clinical outcomes of replaced implants after removal of
failed ones. In addition, associated risk factors that might affect the final
outcome of these procedures were also explored. MATERIALS AND METHODS: An
electronic literature search was conducted by two reviewers in several databases
for articles written in English up to November 2014. Human clinical trials with a
minimum of 10 subjects enrolled that reported clinical outcomes with a mean
follow-up period of at least 12 months after implant replacement were included.
Implant survival and nonmodifiable/modifiable factors at second and third implant
placement attempts were studied. Hence, the PICO question that was aimed to be
addressed was: Do patients undergoing implant replacement (second and third
attempts) in previous failed sites have comparable clinical outcomes by means of
implant survival/failure rate to implants placed at the first attempt? RESULTS:
Five retrospective clinical cohort studies and two case series satisfied the
selection criteria and thus were included in this review. In total, 396 patients
were studied due to implant replacement in previous failed sites. The survival
rate for implant replacement at the second attempt was 88.84% (390/439; range,
71% to 94.6%) with a mean follow-up of 41.59 +/- 16.77 months. Thirty-one
implants were replaced for a third attempt with a mean survival rate of 74.19%
(23/31) at the follow-up of 29.66 +/- 14.71 months. Major risk indicators were
generally divided into patient-related factors (health status, smoking habits,
and oral hygiene maintenance), implant characteristics (dimensions, coating, and
loading), and site characteristics (bone quality and density, vertical and
horizontal dimensions, soft tissue around the implant). CONCLUSION: Implant
replacement is a reasonably feasible option for scenarios of early and late
implant failure. However, modifiable risk factors must be controlled before
proceeding for implant replacement.
PMID- 27183063
TI - Titanium-Zirconium Binary Alloy as Dental Implant Material: Analysis of the
Influence of Compositional Change on Mechanical Properties and In Vitro Biologic
Response.
AB - PURPOSE: To evaluate the mechanical properties and biologic response of single
phase Ti-Zr alloys cast in higher-purity casting conditions, with comprehensive
compositions (from 10 to 90 mol% of Zr). MATERIALS AND METHODS: The mechanical
properties and in vitro biologic response with proportional increase of Zr to Ti
Zr alloy composition were assessed. Tensile strength, surface hardness, and
Young's modulus were examined. The in vitro cell response of the alloys was also
tested with mouse osteoblast cells. RESULTS: Analyses of mechanical tests
demonstrated improved strength and reduced Young's modulus on this binary alloy
system. In vitro cell culture studies with osteogenic MCT3T-E1 cells exhibited
the highest attachment rate with the largest and more mature cells on Ti10Zr,
instead of commercially pure Ti, whereas a significantly lower cell attachment
rate and delayed alkaline phosphatase-specific activity (ALP) differentiation
were detected on Ti50Zr. CONCLUSION: The results revealed that the composition
did have an impact on the in vitro biologic response. Ti-Zr alloys with 50-50
mol% composition had a decreased biologic response, although the mechanical
properties improved. The overall highest strength was Ti with 30 mol% Zr without
significant decrease of biologic response.
PMID- 27183064
TI - Comparison of Oxygen Plasma Treatment and Sandblasting of Titanium Implant
Abutment Surface on Bond Strength and Surface Topography.
AB - PURPOSE: This in vitro study was designed to compare the effects of oxygen plasma
and sandblasting treatment of the surface of titanium implant abutments on
retention of implant-supported fixed prostheses using eugenol-free provisional
cement and surface topography. Cement failure mode and elemental composition were
surveyed. MATERIALS AND METHODS: Thirty implant abutments were used. They were
divided into three groups (n = 10 implants) according to the surface treatment
made (no treatment, sandblasting with 50 MUm Al2O3, and oxygen plasma). Thirty
metal copings were fabricated with an occlusal metal O-ring and cemented using
eugenol-free cement. The tensile bond strength was recorded using a universal
testing machine, cement failure was recorded, surface topography was determined
using the optical method, and elemental composition was determined using energy
dispersive x-ray spectroscopy. Data from all the groups were compared with a one
way analysis of variance (ANOVA; P < .05) and Tukey test. RESULTS: The mean
values of tensile bond strength of metal copings bonded to three implant-abutment
surface groups with either control, sandblasting, or oxygen plasma were 138.8 +/-
10.2, 261.3 +/- 20.6, and 264.7 +/- 12.8 N, respectively. The cements failed
adhesively in the control and oxygen plasma groups and cohesively for
sandblasting. The mean values of surface roughness were 1.1 +/- 0.2, 2.3 +/- 0.5,
and 1.0 +/- 0.1, respectively. The oxygen plasma-treated group evidenced a
decreased level of C and increased levels of O2. CONCLUSION: The retention of
cast crown copings to titanium implant abutments using eugenol-free provisional
cement in the sandblasting and oxygen plasma groups was more improved than in the
control group.
PMID- 27183065
TI - Transfer of Bacteria into the Internal Cavity of Dental Implants After
Application of Disinfectant or Sealant Agents In Vitro.
AB - PURPOSE: Bacterial colonization of the inner part of dental implants has been
reported in numerous studies. The aim of this in vitro study was to analyze the
bacterial colonization of the implant lumen of two implant systems that were
partly subjected to a thermal cycling regimen after three different approaches to
reducing bacterial load: filling of the hollow parts with either a disinfectant
agent, a setting sealing compound, or a nonsetting sealing compound. MATERIALS
AND METHODS: Two implant systems with internal connections (the Bego Semados RI
implant, Bego, and the Xive S Plus Screw Implant, Dentsply) were used in this
study. Before the corresponding abutments were fixed, the internal cavities were
pretreated in four different ways (application of a 0.2% chlorhexidine gel, a
special silicone, or a sealing grease, or no pretreatment). Half of the specimens
were subjected to thermocycling. After incubation of the assemblies in a
bacterial suspension of Escherichia coli for 1 week, the abutments were removed,
and microbial samples of the internal aspects were collected; contamination was
evaluated with quantitative realtime polymerase chain reaction (PCR). RESULTS: No
pretreatment could hinder bacterial leakage in all cases, but all applied agents
could reduce bacterial burden significantly (P < .05). There was less bacterial
colonization after thermocycling (P < .05), and the Xive implants showed better
resistance against microbial contamination (P < .05). CONCLUSION: The application
of products to reduce bacterial invasion can help reduce bacterial load to a
minimum and therefore can be helpful in minimizing the cofactors that contribute
to the development of peri-implantitis.
PMID- 27183066
TI - Hydroxyapatite Dome for Bone Neoformation in Rabbit Tibia.
AB - PURPOSE: To evaluate supracortical bone neoformation with the use of
hydroxyapatite (HA) hollow domes specially manufactured for osteogenesis
promotion. MATERIALS AND METHODS: Nine New Zealand rabbits were selected and 18
domes were placed, divided into three groups according to the filler: control
(blood clot), vitamin complex, and particulate beta-tricalcium phosphate (beta
TCP). The healing period was 8 weeks, hence fluorescent markers were applied.
After healing, the samples were embedded in resin to prepare slides for light and
fluorescence microscopic evaluation of the amount of neoformed bone tissue.
Energy dispersive spectroscopy was also used for chemical analysis of the
material inside the domes. RESULTS: The quality of neoformed bone tissue with
active bone remodeling areas was observed. As a filler, beta-TCP showed higher
bone formation (14.1%), better quality of neoformed bone tissue with organized
structures, and an area of mineralized tissue in the dome. Bone neoformation
inside the dome filled with blood clot confirmed the osteoconductive property of
HA, as indicated by the migration of osteogenic cells from the blood clot,
without the action of another biomaterial (mean area of bone formation for blood
clot filler = 7.5%). Bone neoformation was not favored in samples filled with
vitamin complex because of the difficulty of blood penetration through the
material. CONCLUSION: HA domes performed well as a scaffold for bone neoformation
over the cortical bone of rabbits, and this is based on maintenance of good
stability and good integration with bone tissue. beta-TCP presented higher values
of neoformed bone area compared with the blood clot. HA domes have
osteoconductive properties, especially when filled with blood clot, because of
the migration of osteogenic cells without action of any other biomaterial. In
domes filled with vitamin complex, no bone formation was noted because of the
absence of resorption.
PMID- 27183067
TI - Comparison of Guided Bone Regeneration Between Surface-Modified and Pristine
Titanium Membranes in a Rat Calvarial Model.
AB - PURPOSE: The objectives of this study were to evaluate bioactivity of a titanium
membrane with anodization, cyclic precalcification, and heat (APH) treatment
(APHTM), and to compare APHTM and nontreated titanium membrane (NTTM) in guided
bone regeneration using histologic analysis and microcomputed tomography (micro
CT). MATERIALS AND METHODS: APHTM samples were prepared and immersed in simulated
body fluid for 2 days, then observed using field-emission scanning electron
microscopy, followed by an analysis of calcium and phosphate precipitation using
an energy dispersive x-ray spectroscopy. For the in vivo experiment, critical
size defects were created in rat calvaria (diameter, 8 mm) and treated with
either APHTM or NTTM (n = 14 each). Biopsies were performed at 2 and 4 weeks for
histologic analysis (n = 3 per group each time). Fluorochrome bone markers were
injected in three rats in each group at 3 (alizarin red) and 5 weeks (calcein
green), followed by histologic examination at 7 weeks. Micro-CT was performed at
8 weeks (n = 5 per group). RESULTS: APHTM exhibited high bioactivity,
characterized by dense nano-sized flakelike crystals throughout the membrane and
an increase in the calcium-phosphate concentrations after 2-day immersion in
simulated body fluid. At 2 and 4 weeks, APHTM samples showed an intimate bone
formation onto the membrane, whereas NTTM samples demonstrated interposition of
connective tissue between the membrane and newly formed bone. The same pattern
was found in the fluorescent study. The micro-CT analysis revealed significantly
lower bone volume but higher bone mineral density in the APHTM samples than in
the NTTM samples (P < .05). CONCLUSION: The results suggest that APH treatment on
titanium membrane promotes intimate bone formation toward the membrane, thus
increasing structural durability for bone regeneration. Further research is
warranted to confirm the results found in these in vitro and in vivo experiments.
PMID- 27183069
TI - Fatigue Behavior of Computer-Aided Design/Computer-Assisted Manufacture Ceramic
Abutments as a Function of Design and Ceramics Processing.
AB - PURPOSE: Zirconia is being widely used, at times apparently by simply copying a
metal design into ceramic. Structurally, ceramics are sensitive to both design
and processing (fabrication) details. The aim of this work was to examine four
computer-aided design/computer-assisted manufacture (CAD/CAM) abutments using a
modified International Standards Organization (ISO) implant fatigue protocol to
determine performance as a function of design and processing. MATERIALS AND
METHODS: Two full zirconia and two hybrid (Ti-based) abutments (n = 12 each) were
tested wet at 15 Hz at a variety of loads to failure. Failure probability
distributions were examined at each load, and when found to be the same, data
from all loads were combined for lifetime analysis from accelerated to clinical
conditions. RESULTS: Two distinctly different failure modes were found for both
full zirconia and Ti-based abutments. One of these for zirconia has been reported
clinically in the literature, and one for the Ti-based abutments has been
reported anecdotally. The ISO protocol modification in this study forced failures
in the abutments; no implant bodies failed. Extrapolated cycles for 10% failure
at 70 N were: full zirconia, Atlantis 2 * 10(7) and Straumann 3 * 10(7); and Ti
based, Glidewell 1 * 10(6) and Nobel 1 * 10(21). Under accelerated conditions
(200 N), performance differed significantly: Straumann clearly outperformed Astra
(t test, P = .013), and the Glidewell Ti-base abutment also outperformed Atlantis
zirconia at 200 N (Nobel ran-out; t test, P = .035). CONCLUSION: The modified ISO
protocol in this study produced failures that were seen clinically. The
manufacture matters; differences in design and fabrication that influence
performance cannot be discerned clinically.
PMID- 27183068
TI - Long-Term Survival Rates of Titanium Implants Placed in Expanded Alveolar Ridges
Using Split Crest Procedures: A Systematic Review.
AB - PURPOSE: To evaluate the survival rates of titanium dental implants placed using
split crest procedures for alveolar ridge expansion. MATERIALS AND METHODS: The
MEDLINE, CENTRAL, and OpenGrey databases were searched up to January 2015 for
studies assessing implants that had been placed in areas submitted to split crest
procedures. Two methodologic quality-assessment tools were used based on the type
of study. For randomized controlled trials (RCTs), the Cochrane Collaboration
tool was used to assess the risk of bias. For the observational studies, an
adapted version of the Newcastle-Ottawa scale was used. RESULTS: A total of 1,178
patients received 3,033 implants with ridge expansion. On average, the success
rate was 96.6% and the survival rate was 96.8%, with a mean follow-up of 58.3
months. Six of the nine included studies used a bone substitute associated or not
with a membrane, and seven studies used an implant in both the maxilla and
mandible. In addition, half of the observational studies were considered to be of
medium quality, whereas the others were considered to be of low quality; the sole
RCT included was classified as having a high risk of bias. CONCLUSION: The use of
split crest techniques appears to provide predictable alveolar ridge augmentation
as well as high survival rates in the short and long term for implants placed in
the maxilla or mandible. Patients must be selected with caution.
PMID- 27183070
TI - Evaluation of a Reverse-Tapered Design on the Osseointegration of Narrow-Diameter
Implants in Beagle Dogs: A Pilot Study.
AB - PURPOSE: The purpose of this study was to evaluate a reverse-tapered design on
the osseointegration of narrow-diameter implants in comparison with a
conventional tapered design in beagle dogs. MATERIALS AND METHODS: All mandibular
premolars and first molars were extracted bilaterally in four beagle dogs. Three
months later, three kinds of implants were placed in both quadrants of the
mandible: tapered narrow-diameter implants processed by cold working (TNC; n =
8), reverse-tapered narrow-diameter implants (RTN; n = 8), and reverse-tapered
narrow-diameter implants processed by cold working (RTNC; n = 8). The animals
were sacrificed at 4 weeks. Implant stability quotient (ISQ) values were measured
at the time of implant placement and sacrifice. Histomorphometric analysis was
performed. RESULTS: The baseline ISQ values were significantly lower in the RTN
(56.0 +/- 11.6) and RTNC (57.2 +/- 9.8) than in the TNC (68.0 +/- 5.4; P = .021).
At 4 weeks, the TNC (69.9 +/- 5.1) exhibited significantly higher ISQ values
compared with the RTNC (61.6 +/- 4.1; P = .024). Histologic analysis in the RTN
and RTNC revealed osseointegration without any signs of inflammation; however,
unresolved coronal gap or dehiscence was also observed. The total bone-to-implant
contact ratios (BIC) in TNC, RTN, and RTNC were 55.1% +/- 11.5%, 47.8% +/- 19.1%,
and 60.2% +/- 15.3%, respectively, and no significant differences were shown
among them. The BIC for the coronal part in each group was 51.1% +/- 29.4%, 28.8%
+/- 33.8%, and 23.9% +/- 23.3%, respectively, and the differences were not
significant. In the threaded part, TNC, RTN, and RTNC showed a BIC of 56.3% +/-
9.6%, 50.7% +/- 18.3%, and 65.3% +/- 15.6%, respectively. There was no
significant difference among them. CONCLUSION: The reverse-tapered design on
narrow-diameter implants showed a lower initial stability than the conventional
tapered design; however, there was equivalent osseointegration in an early
healing phase.
PMID- 27183071
TI - A New Method Using Autogenous Impacted Third Molars for Sinus Augmentation to
Enhance Implant Treatment: Case Series with Preliminary Results of an Open,
Prospective Longitudinal Study.
AB - PURPOSE: This prospective longitudinal study reports on the results in patients
given autologous tooth material for augmentation in a sinus elevation procedure.
MATERIALS AND METHODS: Six patients with inadequate bone supply for augmentation
in the maxillary posterior tooth region and at least one impacted maxillary third
molar underwent sinus elevation surgery with lateral access using the particulate
tooth material. One of the patients received four implants during the same
session, while the other patients had a total of 15 implants placed after a
healing phase of an average 5.5 months. Drill cylinders collected from the
implant bed during the procedure were subjected to histologic/immunohistochemical
evaluation. RESULTS: All six patients showed normal and unobtrusive postoperative
healing, having undergone prosthetic restoration up to 5 years before. The
average peri-implant probing pocket depth after a period of up to 5 years ranged
between 1.86 mm (mesial and lingual) and 2.07 mm (distal and buccal). No bleeding
could be triggered with any of the peri-implant probes. The average peri-implant
bone resorption measured during the first year was up to 0.63 mm, with the lowest
being 0 mm and the maximum 2.9 mm. Peri-implant bone remained stable for the
follow-up time of up to 5 years. Histologically, six biopsy specimens collected
from five patients showed osteoconductive osteogenesis with encapsulation of
tooth enamel and dentin portions and partial resorption of the tooth components.
Cementum shares were no longer discernible. Immunohistochemical assessment showed
intense new vessel formation that could be observed in the area of loose stroma
of reorganized tissue in the augmented area. CONCLUSION: Within the limits of
these preliminary results and with adequate consideration of the small number of
patients included, the use of autogenous crushed tooth material from impacted
third molars may represent an alternative augmentation material for use in sinus
elevation procedures.
PMID- 27183073
TI - Marginal Bone Stability Around Tapered, Platform-Shifted Implants Placed with an
Immediately Loaded Four-Implant-Supported Fixed Prosthetic Concept: A Cohort
Study.
AB - PURPOSE: To longitudinally evaluate marginal bone remodeling around tapered,
platform-shifted implants placed for total arch rehabilitation with fixed hybrid
prostheses. MATERIALS AND METHODS: A retrospective cohort study was designed that
evaluated radiographic data from patients undergoing implant surgical procedures
with an immediately loaded four-implant-supported fixed prosthetic concept in a
single clinic setting during a 36-month period. The primary outcome variable was
the change in marginal bone levels during a 12- to 36-month follow-up postloading
with the definitive prosthesis. All measurements were performed on matched and
calibrated periapical radiographs obtained at: (1) the time of placement of the
definitive prosthesis (baseline) versus (2) 12 to 36 months following definitive
loading (follow-up). Other study variables, including duration of follow-up,
implant position, maximum insertion torque, implant angulation, and implant
diameter, were assessed for their association with changes in marginal bone
levels. RESULTS: One hundred sixty-nine patients (n = 856 implants) with a mean
age of 59.5 +/- 10.5 years were included in this study. Two implants failed,
resulting in a 99.8% overall survival rate (mean follow-up: 15.2 +/- 4.8 months,
range: 12 to 36 months). The radiographic mean bone levels at baseline and follow
up were 1.16 +/- 0.71 mm (n = 805) and 1.31 +/- 0.93 mm (n = 805), respectively.
The mean marginal bone loss from baseline to follow-up was 0.14 +/- 0.59 mm (n =
805). The duration of follow-up had no effect on the extent of marginal bone loss
(P = .154). CONCLUSION: Within the limitations of this large-scale retrospective
cohort study, it was concluded that the use of tapered, platform-shifted implants
for total arch rehabilitation with the use of the All-on-Four protocol yields
very favorable radiographic outcomes, at least after a minimum of 12 months in
function.
PMID- 27183072
TI - Soft Tissue Response in Posterior Teeth Adjacent to Interdental Single Implants:
A Controlled Randomized Clinical Trial Comparing Intrasulcular vs Trapezoidal
Incision.
AB - PURPOSE: To evaluate the soft tissue response in posterior teeth adjacent to
interdental single implants comparing intrasulcular and trapezoidal incision, and
to study their evolution over time. MATERIALS AND METHODS: A controlled
randomized clinical trial was carried out in the Oral Surgery and Implantology
Unit of a University Clinic. All the included patients received an interdental
single implant (Frontier 2.45, Ilerimplant; Global Medical Implants). The
incision type was randomized by sealed envelopes into two groups using the SPSS
statistical package (SPSS): (1) intrasulcular or (2) trapezoidal incision.
Probing depth and gingival recession at the mesial and distal teeth adjacent to
the implant were measured before implant placement, 1 month after surgery, the
day of the abutment connection, and at 6 months and 1 year postloading. Scar
formation and papilla index were measured 1 month after surgery, and at 6 months
and 1 year postloading. RESULTS: Forty patients with one implant per patient were
included: 20 in the intrasulcular and 20 in the trapezoidal group. No statistical
differences were found between incision types in the measured parameters (probing
depth, recession, and interproximal papilla). When analyzing periodontal changes
of the total sample, significant differences were found between implant placement
and the 1-year follow-up in recession, scar formation, and papilla index.
CONCLUSION: The incision type used to place a single interdental implant did not
significantly influence the periodontal parameters of the adjacent teeth.
Considering the whole sample, the values between implant placement and 1 year
postloading showed significant differences in recession, scar formation, and
papilla index over time.
PMID- 27183074
TI - Patient-Perceived Morbidity and Subjective Functional Impairment Following
Immediate Transition from a Failing Dentition to Fixed Implant Rehabilitation.
AB - PURPOSE: Failing residual dentition gives rise to patient concerns about the
surgical and prosthodontic management of immediate implant rehabilitation. The
purpose of this study was to assess subjective patient experiences of full-arch
immediate implant loading. MATERIALS AND METHODS: Patients made a transition from
poor dentition in the maxilla (25 patients) or mandible (25 patients) to full
arch rehabilitation on the day of extraction using four interantral or
interforaminal implants. Provisional restoration was performed, and postoperative
pain, swelling, and patient-perceived impairment in the first week was recorded.
RESULTS: Absence of pain was reported by 40%, 52%, and 66% of patients, on the
day of surgery, on the first day after surgery, and on the second day after
surgery, respectively; pain levels decreased significantly (from 1.8 to 0.9, P <
.001) and patient-reported swelling decreased from 1.5 to 1.3 (P = .058) on a 10
point scale. Postoperative impairment of everyday life and work (mean score =
1.1) was significantly less pronounced than impairment of food intake (mean score
= 2.2; P = .004) or speech (mean score = 1.9; P = .002). Of these patients, 88%
would again undergo the procedure without doubt. CONCLUSION: Although patient
perceived morbidity after immediate full-arch implant rehabilitation is low in
general, minor masticatory and phonetic impairment should be taken into account.
PMID- 27183075
TI - A Long-Term Prospective Evaluation of Marginal Bone Level Change Around Different
Implant Systems.
AB - PURPOSE: The purpose of this prospective study was to assess the change of
marginal bone level around three different types of external-hex implants after 5
years using radiography. MATERIALS AND METHODS: Included in this study were 54
patients randomly put into three different groups; rough-surface implants
(Branemark), machined coronal aspect implants (Restore), and microthreaded
coronal aspect implants (Hexplant). Clinical and radiographic assessments were
performed contemporaneously with implant loading (baseline) and at 1, 3, and 5
years post-functional loading. A mixed-model analysis was employed to examine
mean marginal bone change significance in the three treatment groups. RESULTS: In
a total of 31 out of 54 patients, 81 of 135 implants remained to the end of the
study. At 5 years, the microthreaded coronal aspect implants had 0.61 +/- 0.32 mm
mean crestal bone loss; the rough-surface implants without microthread, 0.99 +/-
0.38 mm; and the machined coronal aspect implants, 1.06 +/- 0.39 mm. The rough
surface implants and the machined coronal aspect implants did not exhibit
statistically significantly different marginal bone loss, whereas the
microthreaded coronal aspect implants exhibited significantly less marginal bone
loss (P = .0015). CONCLUSION: In this research, rough-surface implants with
microthreads showed positive long-term effects in preserving peri-implant bone
level against functional loads when compared with implants lacking these aspects
at the coronal part. Most of the bone loss was shown within 1 year of loading,
and all types of implants stabilized after 1 year.
PMID- 27183076
TI - Bone Volume Changes After Sinus Floor Augmentation with Heterogenous Graft.
AB - PURPOSE: The aim of this study was to evaluate the volumetric stability of
deproteinized bovine bone after sinus augmentation, using cone beam computed
tomography (CBCT) and three-dimensional (3D) analysis. MATERIALS AND METHODS:
Using a specific selection tool and 3D reconstruction from InVesalius 3.0,
volumetric analysis of CBCT scans was performed in patients undergoing a sinus
elevation technique in critical defects, using deproteinized bovine bone. The
first scan was performed immediately after graft surgery (T1), and the second was
performed 8 months after graft surgery (T2). RESULTS: A total of 13 patients met
the inclusion criteria of this retrospective study; 26 postoperative CBCT scans
were analyzed for these patients. All patients exhibited an increase in bone
volume (9.10%). The mean bone volume increase from T1 to T2 was 0.137 cm(3) (SD:
+/- 0.104 cm(3)). There was a statistically significant correlation between bone
volume and time (P < .0001). The mean bone volume was 1.506 cm(3) (SD: +/- 0.473
cm(3)) for T1 and 1.644 cm(3) (SD: +/- 0.506 cm(3)) for T2. CONCLUSION: Within
the limited sample, the study demonstrated an increase in graft volume after
sinus elevation with deproteinized bovine bone in critical defects.
PMID- 27183077
TI - Lateral Alveolar Ridge Expansion in the Anterior Maxilla Using Piezoelectric
Surgery for Immediate Implant Placement.
AB - PURPOSE: The purpose of this study was to evaluate the efficacy of the ridge
splitting technique in the anterior maxilla, using piezoelectric surgery for
immediate implant placement. Study outcomes were compared with those of implant
placement in the same patients using the conventional drilling technique.
MATERIALS AND METHODS: Ten patients received a total of 22 implants in the
anterior maxilla, 11 of which were placed using a ridge-splitting procedure (test
group) and the other 11 using the conventional drilling procedure (control
group). Ridge width (RW), crestal bone level (BL), and implant stability quotient
(ISQ) were measured at different points in time. Data were analyzed and compared
between the groups using analysis of variance (ANOVA) and paired-sample t tests
at a significance level of 5%. RESULTS: For the test group, the gain in RW was
not stable in time because at 6 months postoperatively, the RW lost some of the
initial gain; however, the net gain was still significant. At 6 months
postoperatively, BL was similar for both groups. The net bone loss on the mesial
aspect and the average of the mesial and the distal measures did not differ
significantly between both groups. ISQ values sharply increased at 3 months
postoperatively in the test group. All implants met the modified Albrektsson
criteria (1989) for success. CONCLUSION: The results from this study support the
efficacy and safety of ridge expansion using piezoelectric surgery for implant
insertion in the anterior maxilla. The modest net gain in bone width suggests
that additional hard and soft tissue augmentation may be necessary, especially in
the esthetic zone. ISQ values suggest a minimum healing time of 3 months before
loading the implants that have been inserted using this ridge-splitting protocol.
PMID- 27183078
TI - Collagen-Coated Bovine Bone in Peri-implantitis Defects: A Pilot Study on a Novel
Approach.
AB - PURPOSE: As dental implants have become routine therapy, clinicians are more
frequently being faced with treating peri-implantitis. To date, no single
treatment protocol has been shown to be the preferred means to treat peri
implantitis. The aim of this retrospective case series is to present a novel
approach utilizing porcine collagen-coated bovine bone (CBB) to treat peri
implantitis. MATERIALS AND METHODS: Eleven patients, with no history of
periodontitis, presenting with peri-implantitis around a single restored dental
implant, were included in the study. At initial and follow-up examinations,
bleeding on probing (BOP), probing depth (PD), and gingival margin location (GM)
were recorded. Following surgical debridement of the peri-implant defect and
treatment of the implant surface with a 0.12% chlorhexidine gluconate solution,
bony defects were grafted with CBB. All patients had 12 months of follow-up.
RESULTS: Upon presentation, average PD at the deepest site (DS) was 7.6 +/- 1.9
mm. At the time of surgery, excess cement was found around nine implants (81%).
All patients healed uneventfully without postoperative complications. At 6 and 12
months, all implants showed favorable results with average DS PD reduction of 3.9
+/- 1.5 mm and 4.1 +/- 1.6 mm, respectively. All implants showed radiographic
signs of bone fill, while GM showed no changes from preoperative measurements at
either 6 (0.1 +/- 0.5 mm) or 12 (0.0 +/- 0.6 mm) months. CONCLUSION: The use of a
porcine collagen-coated bovine bone graft to treat peri-implantitis represents a
potentially predictable therapeutic modality. Randomized controlled trials are
necessary to substantiate the treatment outcomes.
PMID- 27183079
TI - Anterior Maxilla Augmentation Using Palatal Bone Block with Platelet-Rich Fibrin:
A Controlled Trial.
AB - PURPOSE: This study was conducted to characterize clinically and radiographically
the effect of using platelet-rich fibrin (PRF) autologous graft on the
augmentation results of autogenous palatal bone blocks. MATERIALS AND METHODS:
Patients who suffered from horizontal alveolar bone defects in the anterior
maxillary ridge (<= 4.5 mm) with one or two missing teeth were augmented with
autogenous palatal bone blocks 4 months prior to implant placement. PRF was used
to cover the block in the test group, while only the block was used in the
control. Bone width was measured with a manual caliper preaugmentation (t0), and
at 0 (t1) and 4 months (t2). Cone beam computed tomography (CBCT) scans were
performed at t0 and t2. Nonparametric tests (Mann-Whitney, Friedman's, and
Wilcoxon signed-rank tests) were used for the comparisons. The significance level
was set at P <= .05. RESULTS: In the 14 sites (12 patients), all but one
autograft (from the control group) integrated successfully after 4 months. No
statistically significant difference was found between demographic data in the
two groups. There was a statistically significant increase in the buccopalatal
bone width in both groups by time as measured by CBCT as well as the manual
caliper. The test group showed statistically significantly lower mean graft
resorption than the control group (test, 0.8 +/- 0.6 mm; control, 1.6 +/- 0.9 mm;
P = .006). CONCLUSION: Autogenous palatal bone block surface resorption is
significantly decreased by the use of PRF coverage.
PMID- 27183080
TI - Three-Dimensional Nonlinear Finite Element Analysis and Microcomputed Tomography
Evaluation of Microgap Formation in a Dental Implant Under Oblique Loading.
AB - PURPOSE: Since bacterial leakage along the implant-abutment interface may be
responsible for peri-implant infections, a realistic estimation of the interface
gap width during function is important for risk assessment. The purpose of this
study was to compare two methods for investigating microgap formation in a loaded
dental implant, namely, microcomputed tomography (micro-CT) and three-dimensional
(3D) nonlinear finite element analysis (FEA); additionally, stresses to be
expected during loading were also evaluated by FEA. MATERIALS AND METHODS: An
implant-abutment complex was inspected for microgaps between the abutment and
implant in a micro-CT scanner under an oblique load of 200 N. A numerical model
of the situation was constructed; boundary conditions and external load were
defined according to the experiment. The model was refined stepwise until its
load-displacement behavior corresponded sufficiently to data from previous load
experiments. FEA of the final, validated model was used to determine microgap
widths. These were compared with the widths as measured in micro-CT inspection.
Finally, stress distributions were evaluated in selected regions. RESULTS: No
microgaps wider than 13 MUm could be detected by micro-CT for the loaded implant.
FEA revealed gap widths up to 10 MUm between the implant and abutment at the side
of load application. Furthermore, FEA predicted plastic deformation in a limited
area at the implant collar. CONCLUSION: FEA proved to be an adequate method for
studying microgap formation in dental implant-abutment complexes. FEA is not
limited in gap width resolution as are radiologic techniques and can also provide
insight into stress distributions within the loaded complex.
PMID- 27183081
TI - Influence of Palatal Coverage and Implant Distribution on Denture Strain in
Maxillary Implant Overdentures.
AB - PURPOSE: As maxillary implant overdentures are being increasingly used in
clinical practice, prosthodontic complications related to these dentures are also
reported more often. The purpose of this study was to examine the influence of
palatal coverage and implant distribution on the shear strain of maxillary
implant overdentures. MATERIALS AND METHODS: A maxillary edentulous model with
implants inserted in the anterior, premolar, and molar areas was fabricated. Two
kinds of experimental overdentures, with and without palatal coverage, were also
fabricated, and two strain gauges were attached at the midline of the labial and
palatal sides. A vertical occlusal load of 98 N was applied through a mandibular
complete denture, and the shear strain in each denture was compared by analysis
of variance (P = .05). RESULTS: In all situations, the shear strain in palateless
dentures was significantly higher than in dentures with palate on both sides (P <
.05). In dentures with palate, the shear strain was lower when anterior implants
were present. CONCLUSION: Palateless maxillary implant overdentures exhibited
much higher strain than overdentures with palate regardless of the implant
distribution; this may cause more prosthodontic and implant complications. The
most favorable configuration to prevent complications in maxillary implant
overdentures was palatal coverage that was supported by more than four widely
distributed implants.
PMID- 27183083
TI - Insertion Torques of Self-Drilling Mini-Implants in Simulated Mandibular Bone:
Assessment of Potential for Implant Fracture.
AB - PURPOSE: Fracture of orthodontic mini-implants during insertion is a limiting
factor for their clinical success. The purpose of this study was to determine the
fracture potential of commonly used self-drilling orthodontic mini-implants when
placed into simulated thick, dense mandibular bone. MATERIALS AND METHODS: Six
mini-implant systems were assessed for the potential for fracture (Aarhus,
Medicon; Dual-Top, Jeil Medical; OrthoEasy, Forestadent; tomas-pin, Dentaurum;
Unitek, 3M; and VectorTAS, Ormco). First, mini-implants were inserted manually,
without predrilling, into bone substitutes (Sawbones) with a 3-mm-thick, dense
(1.64 g/cm(3)) cortical layer. A custom-made insertion device was used for
placement of mini-implants. A sixaxis force/torque transducer was secured at the
base of the bone blocks to measure the maximum torque experienced during
insertion. Measured insertion torques were compared with previously reported
fracture torques, yielding a torque ratio (insertion torque as a percentage of
fracture torque), which was used as an indicator of the potential for mini
implant fracture. Mini-implants that experienced torque ratios >= 75% upon
insertion underwent further testing, following the manufacturer's recommendations
for predrilling in thick, dense bone conditions. RESULTS: Significant differences
in torque ratios were found among all mini-implants, except between OrthoEasy and
Dual-Top, and OrthoEasy and VectorTAS. Overall, Aarhus had the highest torque
ratio (91% +/- 3%), with Unitek showing the lowest ratio (37% +/- 3%). Aarhus and
tomas-pin mini-implants displayed torque ratios >= 75% and experienced fracture
upon insertion. When the manufacturer's specific predrilling recommendations were
followed, no changes in torque ratio were found for Aarhus and tomas-pin.
However, while Aarhus continued to fracture upon insertion, all tomas-pin mini
implants were inserted fully without fracture following predrilling. CONCLUSION:
These findings support the safe use of Unitek, VectorTAS, Dual-Top, and OrthoEasy
self-drilling mini-implants in areas of 3-mm-thick, 1.64 g/cm(3) dense cortical
bone without predrilling. Following predrilling, fractures did not occur with
tomas-pin. For implants that continued to fracture after predrilling, other
strategies may be required, such as the use of larger-diameter mini-implants in
thick, dense bone conditions.
PMID- 27183082
TI - Impact of Dynamic and Static Load on Bone Around Implants: An Experimental Study
in a Rat Model.
AB - PURPOSE: This study aimed to evaluate peri-implant bone reactions to dynamic and
static loads in a rat model. MATERIALS AND METHODS: Two cylindrical titanium
implants were placed in the left tibia diaphysis of 39 rats, which were divided
into three groups: static load for 4 weeks (S4), static load for 8 weeks (S8),
and static load for 4 weeks followed by dynamic load for 4 weeks (S4D4). All
implants received a mechanical lateral load. After the experiment, the implants
were extracted to determine the attachment strength around the bone and implant.
The new bone formation and bone-to-implant contact were measured using plain and
polarized light microscopy. RESULTS: Histologic tissue analysis revealed good
contact between the bone and implant, and new bone formation around all implants.
The S4D4 group had the greatest attachment strength, new bone formation, and
complex collagen fiber orientation in the new bone tissue, compared with the
other groups. No statistically significant differences in bone-to-implant contact
were observed among the three groups. CONCLUSIONS: Applying dynamic and static
loads to osseointegrated implants increased the amplification of new bone. The
attachment strength was significantly improved when dynamic load was used for 4
weeks, compared with when static load was used.
PMID- 27183084
TI - Analysis of Implant Strength After Implantoplasty in Three Implant-Abutment
Connection Designs: An In Vitro Study.
AB - PURPOSE: The aim of this in vitro study was to assess the resistance to static
fatigue of implants with different connections before and after implantoplasty.
MATERIALS AND METHODS: Sixty conical implants and 60 abutments were used; 4-mm
diameter versions were available for each model. Three groups (n = 20) were
established based on the following implant connections: external hexagon (group
1), internal hexagon (group 2), and Morse taper (group 3). The implants of each
group were submitted to a compressive load before (n = 10) and after the
implantoplasty (n = 10). The wear was performed in a mechanical lathe machine
using a carbide bur, and the final dimensions of each sample were measured. All
groups were subjected to quasi-static loading at a 30-degree angle to the implant
axis in a universal testing machine and 5 mm out of the implant support. RESULTS:
After the implantoplasty, the mean final diameter was 3.13 +/- 0.033 mm for group
1, 3.23 +/- 0.023 mm for group 2, and 3.25 +/- 0.03 mm for group 3. The mean
fracture strengths for the groups before and after the implantoplasty were,
respectively, 773.1 +/- 13.16 N and 487.1 +/- 93.72 N in group 1; 829.4 +/- 14.12
N and 495.7 +/- 85.24 N in group 2; and 898.1 +/- 19.25 N and 717.6 +/- 77.25 N
in group 3. CONCLUSION: Resistance to loading decreased significantly after
implantoplasty, and varied among the three implant connection designs.
PMID- 27183085
TI - Bactericidal Effect of Erbium-Doped Yttrium Aluminum Garnet Laser and
Photodynamic Therapy on Aggregatibacter Actinomycetemcomitans Biofilm on Implant
Surface.
AB - PURPOSE: Peri-implantitis is a common complication of dental implants. The first
step of treatment is elimination of bacterial biofilm and disinfection of the
implant surface. This study sought to compare the effects of an erbium-doped
yttrium aluminum garnet (Er:YAG) laser, photodynamic therapy using an indocyanin
green-based photosensitizer (ICG-based PS) and diode laser, toluidine blue O
(TBO) photosensitizer and light-emitting diode (LED) light source, and 2%
chlorhexidine (CHX) on biofilm of Aggregatibacter actinomycetemcomitans to
sandblasted, large-grit, acid-etched (SLA) implant surfaces. MATERIALS AND
METHODS: Fifty SLA implants were divided into five groups and were incubated with
A actinomycetemcomitans bacteria to form bacterial biofilm. Group 1 underwent
Er:YAG laser radiation (with 10-Hz frequency, 100-mJ energy, and 1-W power);
group 2 was subjected to LED (with 630-nm wavelength and maximum output intensity
of 2.000 to 4.000 mW/cm(2)) and TBO as a photosensitizer; group 3 was exposed to
diode laser radiation (with 810-nm wavelength and 300-mW power) and ICG-based PS;
and group 4 was immersed in 2% CHX. Group 5 was the control group, and the
samples were rinsed with normal saline. The number of colony-forming units (CFU)
per implant was then calculated. Data were analyzed using one-way analysis of
variance (ANOVA), and the five groups were compared. RESULTS: Significant
differences was found between the control group and the other groups (P < .01).
The lowest mean of CFU per implant count was in group 4 (P < .01), and the
highest mean belonged to the control group. Photodynamic therapy by TBO + LED and
ICG-based PS + diode laser was more effective than Er:YAG laser irradiation in
suppression of this organism (P < .01). There was no significant difference
between groups 2 and 3. CONCLUSION: The antibacterial effect of 2% CHX was
greater than that of other understudy methods.
PMID- 27183086
TI - Is Implant Flossing a Risk-Free Procedure? A Case Report with a 6-year Follow-up.
AB - This study reports a case of peri-implantitis correlated with floss fibers
trapped by the implant-prosthetic macrostructure. Discrepancy between the
radiographic feature and probing depth was the starting point for a correct
evaluation. The minimally invasive removal of the trapped material with the aid
of a periodontal endoscope resulted in a complete resolution of the peri
implantitis with a stable long-term result (6 years). This case report argues for
some reconsiderations in hygienic, diagnostic, and therapeutic approaches in oral
implantology.
PMID- 27183087
TI - Impact of Crown-Implant Ratio of Single Restorations Supported by 6-mm Implants:
A Short-Term Case Series Study.
AB - PURPOSE: High crown-implant ratios might affect the biologic and technical
performance of a reconstruction. The aim of this study was to assess whether a
higher crown-implant ratio of single restorations on 6-mm implants resulted in
more peri-implant bone loss and more prosthetic complications during a 1-year
followup period. MATERIALS AND METHODS: A group of 37 patients were provided with
47 single-implant-supported restorations on 6-mm implants. Implants were placed
in the posterior mandible or maxilla, where limited bone height was available.
The clinical crown-implant ratio was determined on digitized casts. Peri-implant
bone changes were analyzed on intraoral radiographs. Prosthetic complications
were scored throughout the evaluation period. RESULTS: The mean crown-implant
ratio was 2.14 +/- 0.42. The mean peri-implant bone loss was 0.13 +/- 0.36 mm. No
complications occurred. CONCLUSION: High crown-implant ratios are not accompanied
by increased peri-implant bone changes or prosthetic complications during a 1
year follow-up period.
PMID- 27183088
TI - Implant Stability Development of Photofunctionalized Implants Placed in Regular
and Complex Cases: A Case-Control Study.
AB - PURPOSE: The objective of this study was to compare the rate of implant stability
development of as-received and photofunctionalized dental implants in regular and
complex cases. MATERIALS AND METHODS: Forty-nine implants (24 as-received and 25
photofunctionalized) placed in regular or complex cases (simultaneous guided bone
regeneration, sinus elevation, or fresh extraction sockets) were studied.
Photofunctionalization was performed by ultraviolet (UV) treatment of implants
for 15 minutes using a photo device immediately prior to placement, and the
generation of superhydrophilicity was confirmed. Implant stability was evaluated
by measuring the implant stability quotient (ISQ) at placement (ISQ1) and at
stage-two surgery (ISQ2). The rate of implant stability development was evaluated
by calculating the osseointegration speed index (OSI), defined as the ISQ
increase per month ([ISQ2-ISQ1]/healing time in months). The percentage of innate
bone support at placement was evaluated clinically and radiographically. RESULTS:
The average OSI was considerably greater for photofunctionalized implants (3.7 +/
2.9) than for as-received implants (0.0 +/- 1.0). The OSI in complex cases was
4.2 +/- 3.2 for photofunctionalized implants and 0.2 +/- 0.9 for as-received
implants. The OSI in cases with simultaneous sinus elevation was 5.5 +/- 3.5 for
photofunctionalized implants and 0.2 +/- 1.1 for as-received implants.
Photofunctionalized implants showed significantly higher ISQ2 values than as
received implants. Photofunctionalized implant ISQ2 values were greater than 60,
regardless of primary stability and innate bone support at placement. In
multivariate analysis including the effects of photofunctionalization, age and
sex of patients, and diameter and length of implants, photofunctionalization
showed the strongest influence on the OSI for both regular and complex cases,
while other factors influenced the OSI only in certain conditions. CONCLUSION:
Photofunctionalization accelerated the rate and enhanced the final level of
implant stability development compared with as-received implants, particularly
for implants placed into poor-quality bone and other complex cases.
Photofunctionalization was a stronger determinant of implant stability than all
the other tested implant- and host-related factors.
PMID- 27183089
TI - Utilizing a novel sorbent in the solid phase extraction for simultaneous
determination of 15 pesticide residues in green tea by GC/MS.
AB - Pesticide residues exceeding standard in green tea is a widespread problem of the
world's attention, containing organochlorine pesticides (OCPs), organophosphorus
pesticides (OPPs), and pyrethroids. In this research, three dimensionally
honeycomb Mg-Al layered double oxide (TDH-Mg-Al-LDO) combined with graphitized
carbon black (GCB), packed as a column, was used as a novel solid phase
extraction sorbent, applying in simultaneous determination of 15 pesticide
residues in green tea coupled with GC-MS. Compared with different type of SPE
column, it showed that TDH-Mg-Al-LDO exhibited great advantages in the extraction
of 15 pesticide residues from green tea, which was seldom reported before.
Different experiment conditions, such as combination order of Mg-Al-LDO and GCB,
dosage of sorbents, type and volume of eluting solvent were thoroughly studied
and optimized. The limits of detection (LODs) of 15 pesticides ranged from 0.9 to
24.2ng/g and the limits of quantifications (LOQs) were ranging from 3.0 to
80.0ng/g. The recoveries using this method at three spiked concentration levels
(10, 100 and 500ng/g for Fenthion, P,P'-DDE, O,P'-DDT, P,P'-DDD and Bifenthrin,
100, 500 and 2000ng/g for the others) range from 71.1 to 119.0%. The relative
standard deviation (RSD) was from 0.1 to 7.6% in all case. The result indicated
that the proposed analytical method had been successfully applied for the
simultaneous determination of 15 pesticide residues in commercial green tea.
PMID- 27183090
TI - Determination of unresolved heteronuclear scalar coupling constants by J(up)
HSQMBC.
AB - Long-range heteronuclear scalar coupling constants provide important structural
information, which is necessary for obtaining stereospecific assignment or
dihedral angle information. The measurement of small proton-carbon splittings is
particularly difficult due to the low natural abundance of carbon-13 and the
presence of homonuclear couplings of similar size. Here we present a real-time J
upscaled HSQMBC, which allows the measurement of heteronuclear coupling constants
even if they are hidden in the signal linewidth of a regular spectrum.
PMID- 27183091
TI - RSV infection without ribavirin treatment in pediatric hematopoietic stem cell
transplantation.
PMID- 27183092
TI - Effect of severe hypoalbuminemia on toxicity of high-dose melphalan and
autologous stem cell transplantation in patients with AL amyloidosis.
AB - High-dose melphalan with stem cell transplantation (HDM/SCT) extends survival and
induces hematologic and clinical responses in patients with light chain (AL)
amyloidosis. Eighty percent of melphalan is bound to plasma proteins (60% albumin
bound). We hypothesized that patients with profound hypoalbuminemia have a
greater free melphalan fraction and more toxicity. Patients with AL amyloidosis
treated with HDM/SCT between 2011 and 2014 with severe hypoalbuminemia (SH),
defined as serum albumin ?2 g/dL were studied retrospectively. Sixteen patients
with SH were identified. Forty-one patients without severe hypoalbuminemia (WSH)
treated between 2011 and 2012 served as control. The incidence of acute renal
failure requiring hemodialysis was 25% among patients with SH, compared with 5%
among patients WSH (P=0.05). Not all patients who needed dialysis required it
long term; 6.25% for SH and 2.44% for WSH (P=0.49). The rates of grade 3 or 4
febrile neutropenia and gastrointestinal toxicities were not significantly
different between the groups. Engraftment kinetics were similar for both groups.
Grade 4 renal toxicity and grade 3 lightheadedness were more frequent in patients
with SH undergoing HDM/SCT for AL amyloidosis. Further studies into the mechanism
of increased renal toxicity in patients with SH are warranted.
PMID- 27183093
TI - A unique case of tuberculosis dissemination presenting as cutaneous lesions in a
post allogeneic stem cell transplant patient.
PMID- 27183094
TI - Melphalan 200 mg/m2 in patients with renal impairment is associated with
increased short-term toxicity but improved response and longer treatment-free
survival.
AB - Data on the effectiveness and toxicity of high-dose melphalan in patients with
renal impairment (RI) are lacking. We evaluated the impact of RI on outcomes of
patients with multiple myeloma treated with melphalan 200 mg/m2 (Mel200) and
autologous stem cell transplantation. Similar baseline characteristics were seen
among 46 patients with creatinine clearance (CrCl) <60 mL/min (median 50 mL/min,
range 20-59) and 103 patients with CrCl ?60 mL/min (median 83 mL/min, range 60
128). Patients with CrCl <60 mL/min had longer time to neutrophil (P=0.008) and
platelet engraftment (P<0.001). Diarrhea, duration of total parenteral nutrition
use and infection were significantly higher in the CrCl <60 mL/min group. With a
median follow-up of 35 months (range 2-132) in the CrCl <60 mL/min group and 47
months (range 1-45) in the CrCl ?60 mL/min group, overall survival was comparable
between the two groups. Median treatment-free survival was longer in the RI group
(37 vs 17 months, P=0.0025). Multivariate analysis showed CrCl <60 mL/min (hazard
ratio (HR) 3.5), and prior proteasome inhibitor therapy (HR 2.441) both predicted
longer treatment-free survival. We consider Mel200 safe and effective in patients
with CrCl between 30 and 60 mL/min.
PMID- 27183095
TI - Brentuximab vedotin in combination with or without donor lymphocyte infusion for
patients with Hodgkin lymphoma after allogeneic stem cell transplantation.
AB - In our study, we evaluated the safety and efficacy of Brentuximab vedotin (BV)
with or without the addition of donor lymphocyte infusion (DLI) after allogeneic
stem cell transplantation (allo-SCT) in 16 patients with advanced Hodgkin
lymphoma (HL). Thirteen patients with relapsed HL after allo-SCT received BV as
treatment for active disease. Three patients without progression of HL after allo
SCT received BV as consolidation. Twelve patients had been previously exposed to
BV for treatment of relapse after autologous-SCT. Ten out of 16 patients received
BV in combination with DLI. Among the 13 patients treated for active disease, CR
and PR was observed in 7 and 2 patients, respectively. With a median follow-up of
13 months, 13 out of 16 patients are alive, while 3 died because of disease
progression. The median PFS was 6 months. DLI-associated GVHD occurred in seven
patients. Five patients with GVHD required immunosuppression, and in all cases,
GVHD resolved after a short course of low dose steroids, implying that an anti
GVHD modulating effect could be induced by the concurrent administration of BV.
No serious adverse event was observed in any of the patients.
PMID- 27183096
TI - Xenograft-directed personalized therapy for a patient with post-transplant
relapse of ALL.
PMID- 27183097
TI - Could renal impairment be a positive predictor of outcome in autografts for
myeloma?
PMID- 27183100
TI - Synthesis and Low Temperature Spectroscopic Observation of 1,3,5-Trioxane-2,4,6
Trione: The Cyclic Trimer of Carbon Dioxide.
AB - 1,3,5-Trioxane-2,4,6-trione (cyclic trimer of CO2) is the product of a four-step
synthesis: chlorination of isobutyraldehyde; cyclotrimerization of 2-chloro-2
methylpropanal; dehydochlorination of 2,4,6-tris(2-chloropropan)-2-yl-1,3,5
trioxane; ozonolysis at -80 degrees C of 2,4,6-tri(propan-2-ylidene)-1,3,5
trioxane. This trioxane-trione is detected in solution at temperatures between
80 to -40 degrees C, and its conversion to CO2 is monitored by (13)C NMR and
FTIR. The CO2 trimer has a half-life of approximately 40 min at -40 degrees C.
PMID- 27183099
TI - The Association of Chemotherapy-Induced Peripheral Neuropathy Symptoms and the
Risk of Falling.
AB - IMPORTANCE: Chemotherapy-induced peripheral neuropathy (CIPN) is a common adverse
effect of neurotoxic chemotherapy resulting in pain, sensory loss, and decreased
quality of life. Few studies have prospectively examined the relationship between
sensory neuropathy symptoms, falls, and fall-related injuries for patients
receiving neurotoxic chemotherapy. OBJECTIVE: To determine the association
between the symptoms of CIPN and the risk of falls for patients receiving
neurotoxic chemotherapy. DESIGN, SETTING, AND PARTICIPANTS: In this secondary
analysis of a prospective study, 116 patients with breast, ovarian, or lung
cancer who were beginning neurotoxic chemotherapy with a taxane or platinum agent
were recruited from oncology clinics. These patients would call a novel automated
telephone system daily for 1 full course of chemotherapy. The telephone system
(SymptomCare@Home) used a series of relevant CIPN questions to track symptoms on
a 0 to 10 ordinal scale and contained a questionnaire about falls. Those
reporting a numbness and tingling severity score of 3 or greater for at least 10
days were considered to have significant CIPN symptoms and were compared with
those patients who did not. Data analysis was performed in November 2015.
EXPOSURE: Chemotherapy with a neurotoxic taxane or platinum agent. MAIN OUTCOMES
AND MEASURES: Patient-reported falls or near falls and fall-related injuries. The
hypothesis was generated after data collection but prior to data analysis.
RESULTS: Of the 116 patients who started neurotoxic chemotherapy (mean [SD] age
was 55.5 [11.9] years, and 109 [94.0%] were female), 32 met the predetermined
criteria for CIPN symptoms. The mean duration of follow-up was 62 days, with 51
telephone calls completed per participant. Seventy-four falls or near falls were
reported. The participants with CIPN symptoms were nearly 3 times more likely to
report a fall or near fall than the participants without CIPN symptoms (hazard
ratio, 2.67 [95% CI, 1.62-4.41]; P < .001). The participants with CIPN symptoms
were more likely than the participants without CIPN symptoms to obtain medical
care for falls (8 of 32 participants with CIPN symptoms [25.0%] vs 6 of 84
participants without CIPN symptoms [7.1%]; P = .01). CONCLUSIONS AND RELEVANCE:
These findings suggest that the sensory symptoms of CIPN are an indicator of an
increased risk of falling and an increased use of health care resources. This
study demonstrates the utility of a novel telephone-based system to track
neuropathy symptoms. Careful monitoring and coaching of patients receiving
neurotoxic chemotherapy for new sensory symptoms may facilitate more effective
fall prevention strategies.
PMID- 27183101
TI - Numerical simulation of compressible fluid flow in an ultrasonic suction pump.
AB - Characteristics of an ultrasonic suction pump that uses a vibrating piston
surface and a pipe are numerically simulated and compared with experimental
results. Fluid analysis based on the finite-difference time-domain (FDTD) routine
is performed, where the nonlinear term and the moving fluid-surface boundary
condition are considered. As a result, the suction mechanism of the pump is found
to be similar to that of a check valve, where the gap is open during the inflow
phase, and it is nearly closed during the outflow phase. The effects of Reynolds
number, vibration amplitude and gap thickness on the pump performance are
analyzed. The calculated result is in good agreement with the previously measured
results.
PMID- 27183098
TI - Revised diagnosis and severity criteria for sinusoidal obstruction syndrome/veno
occlusive disease in adult patients: a new classification from the European
Society for Blood and Marrow Transplantation.
AB - Sinusoidal obstruction syndrome, also known as veno-occlusive disease (SOS/VOD),
is a potentially life threatening complication that can develop after
hematopoietic cell transplantation. Although SOS/VOD progressively resolves
within a few weeks in most patients, the most severe forms result in multi-organ
dysfunction and are associated with a high mortality rate (>80%). Therefore,
careful attention must be paid to allow an early detection of SOS/VOD,
particularly as drugs have now proven to be effective and licensed for its
treatment. Unfortunately, current criteria lack sensitivity and specificity,
making early identification and severity assessment of SOS/VOD difficult. The aim
of this work is to propose a new definition for diagnosis, and a severity-grading
system for SOS/VOD in adult patients, on behalf of the European Society for Blood
and Marrow Transplantation.
PMID- 27183102
TI - Calcium Imaging of AM Dyes Following Prolonged Incubation in Acute Neuronal
Tissue.
AB - Calcium-imaging is a sensitive method for monitoring calcium dynamics during
neuronal activity. As intracellular calcium concentration is correlated to
physiological and pathophysiological activity of neurons, calcium imaging with
fluorescent indicators is one of the most commonly used techniques in
neuroscience today. Current methodologies for loading calcium dyes into the
tissue require prolonged incubation time (45-150 min), in addition to dissection
and recovery time after the slicing procedure. This prolonged incubation curtails
experimental time, as tissue is typically maintained for 6-8 hours after slicing.
Using a recently introduced recovery chamber that extends the viability of acute
brain slices to more than 24 hours, we tested the effectiveness of calcium AM
staining following long incubation periods post cell loading and its impact on
the functional properties of calcium signals in acute brain slices and wholemount
retinae. We show that calcium dyes remain within cells and are fully functional
>24 hours after loading. Moreover, the calcium dynamics recorded >24 hrs were
similar to the calcium signals recorded in fresh tissue that was incubated for <4
hrs. These results indicate that long exposure of calcium AM dyes to the
intracellular cytoplasm did not alter the intracellular calcium concentration,
the functional range of the dye or viability of the neurons. This data extends
our previous work showing that a custom recovery chamber can extend the viability
of neuronal tissue, and reliable data for both electrophysiology and imaging can
be obtained >24hrs after dissection. These methods will not only extend
experimental time for those using acute neuronal tissue, but also may reduce the
number of animals required to complete experimental goals.
PMID- 27183104
TI - Tracking dissociation dynamics of strong-field ionized 1,2-dibromoethane with
femtosecond XUV transient absorption spectroscopy.
AB - Using femtosecond time-resolved extreme ultraviolet absorption spectroscopy, the
dissociation dynamics of the haloalkane 1,2-dibromoethane (DBE) have been
explored following strong field ionization by femtosecond near infrared pulses at
intensities between 7.5 * 10(13) and 2.2 * 10(14) W cm(-2). The major elimination
products are bromine atoms in charge states of 0, +1, and +2. The charge state
distribution is strongly dependent on the incident NIR intensity. While the yield
of neutral fragments is essentially constant for all measurements, charged
fragment yields grow rapidly with increasing NIR intensities with the most
pronounced effect observed for Br(++). However, the appearance times of all
bromine fragments are independent of the incident field strength; these are found
to be 320 fs, 70 fs, and 30 fs for Br, Br(+), and Br(++), respectively. Transient
molecular ion features assigned to DBE(+) and DBE(++) are observed, with dynamics
linked to the production of Br(+) products. Neutral Br atoms are produced on a
timescale consistent with dissociation of DBE(+) ions on a shallow potential
energy surface. The appearance of Br(+) ions by dissociative ionization is also
seen, as evidenced by the simultaneous decay of a DBE(+) ionic species.
Dicationic Br(++) products emerge within the instrument response time, presumably
from Coulomb explosion of triply charged DBE.
PMID- 27183103
TI - Germinal center B cells recognize antigen through a specialized immune synapse
architecture.
AB - B cell activation is regulated by B cell antigen receptor (BCR) signaling and
antigen internalization in immune synapses. Using large-scale imaging across B
cell subsets, we found that, in contrast with naive and memory B cells, which
gathered antigen toward the synapse center before internalization, germinal
center (GC) B cells extracted antigen by a distinct pathway using small
peripheral clusters. Both naive and GC B cell synapses required proximal BCR
signaling, but GC cells signaled less through the protein kinase C-beta-NF-kappaB
pathway and produced stronger tugging forces on the BCR, thereby more stringently
regulating antigen binding. Consequently, GC B cells extracted antigen with
better affinity discrimination than naive B cells, suggesting that specialized
biomechanical patterns in B cell synapses regulate T cell-dependent selection of
high-affinity B cells in GCs.
PMID- 27183105
TI - Systemic oxidative DNA and RNA damage are not increased during early phases of
psychosis: A case control study.
AB - It has been suggested that patients with schizophrenia develop higher levels of
oxidative stress, which may contribute to deteriorating mental illness. In order
to examine oxidative stress in the early stages of severe mental illness, we
examined the levels of systemic Deoxyribonucleic Acid (DNA) and Ribonucleic Acid
(RNA) oxidation, 8-oxo-7,8-dihydro-2'-deoxyguanosine and 8-oxo-7,8
dihydroguanosine, perceived stress and recent life events in patients at ultra
high-risk (UHR) of developing psychosis, in antipsychotic naive patients with
first-episode schizophrenia (FES), and in healthy controls. We included 41 UHR
patients, 35 FES patients, and 29 healthy controls. There was no difference in
the level of DNA/RNA oxidative damage between UHR patients and FES patients
compared with healthy controls. We found no association between levels of DNA/RNA
oxidative damage and perceived stress/life events. Based on the results, we
suggest that DNA and RNA oxidative markers are not increased during the early
stages of illness, but further longitudinal studies in first-episode psychosis
should be carried out to examine whether DNA and RNA oxidative damage are
potential markers of severe illness.
PMID- 27183106
TI - Peripheral oxytocin and vasopressin: Biomarkers of psychiatric disorders? A
comprehensive systematic review and preliminary meta-analysis.
AB - A large array of studies have investigated peripheral oxytocin (OT) and
vasopressin (ADH) as potential biomarkers of psychiatric disorders, with highly
conflicting and heterogenous findings. We searched Web of KnowledgeSM and
Scopus(r) for English original articles investigating OT and/or ADH levels in
different biological fluids (plasma/serum, saliva, urine and cerebrospinal fluid)
across several psychiatric disorders. Sixty-four studies were included. We
conducted 19 preliminary meta-analyses addressing OT alterations in plasma/serum,
saliva, urine and cerebrospinal fluid of 7 psychiatric disorders and ADH
alterations in plasma/serum, saliva, urine and cerebrospinal fluid of 6
psychiatric disorders compared to controls. Hedge's g was used as effect size
measure, together with heterogeneity analyses, test of publication biases and
quality control. None of them (except serum OT in anorexia nervosa) revealed
significant differences. There is no convincing evidence that peripheral ADH or
OT might be reliable biomarkers in psychiatric disorders. However, the lack of
significant results was associated with high methodological heterogeneity, low
quality of the studies, small sample size, and scarce reliability of the methods
used in previous studies, which need to be validated and standardized.
PMID- 27183107
TI - Are nonclinical obsessive-compulsive symptoms associated with bias toward habits?
AB - In a sample of student volunteers (N=93), we found that obsessive-compulsive
symptoms (although not hoarding) were associated with overreliance on stimulus
response habits at the expense of goal-directed control during instrumental
responding. Only checking symptoms were associated with bias toward habits after
negative affect was controlled for. Further research is warranted to examine if
overreliance on habits represents an aberrant learning process that confers risk
for obsessive-compulsive psychopathology.
PMID- 27183108
TI - Borderline personality features in depressed or anxious patients.
AB - Anxiety and depression frequently co-occur with borderline personality disorder.
Relatively little research examined the presence of borderline personality
features and its main domains (affective instability, identity problems, negative
relationships and self-harm) in individuals with remitted and current anxiety and
depression. Participants with current (n=597) or remitted (n=1115) anxiety and/or
depression and healthy controls (n=431) were selected from the Netherlands Study
of Depression and Anxiety. Assessments included the Personality Assessment
Inventory - Borderline Features Scale and several clinical characteristics of
anxiety and depression. Borderline personality features were more common in
depression than in anxiety. Current comorbid anxiety and depression was
associated with most borderline personality features. Anxiety and depression
status explained 29.7% of the variance in borderline personality features and
3.8% (self-harm) to 31% (identity problems) of the variance in the four domains.
A large part of the variance was shared between anxiety and depression but both
disorders also explained a significant amount of unique variance. The severity of
anxiety and depression and the level of daily dysfunctioning was positively
associated with borderline personality features. Individuals with a longer
duration of anxiety and depression showed more affective instability and identity
problems. These findings suggest that patients with anxiety and depression may
benefit from an assessment of personality pathology as it may have implications
for psychological and pharmacological treatment.
PMID- 27183109
TI - A possible effect of methylphenidate on state anxiety: A single dose, placebo
controlled, crossover study in a control group.
AB - Methylphenidate affects state-anxiety in ADHD patients. The current study
examines the effect of Methylphenidate on state-anxiety in healthy subjects. In a
cross-over, randomized, controlled, double-blind study, 36 healthy subjects
received either Methylphenidate or placebo. As a group, no change in state
anxiety was detected with Methylphenidate. However, participants reporting higher
anxiety levels experienced a significant and specific state-anxiety reduction
following Methylphenidate. Moreover, a strong negative correlation was found
between the initial-level of anxiety and net-change in state-anxiety. These
changes were unrelated to self-perceived attention levels. Our results point to
the state-dependent effects of Methylphenidate on anxiety.
PMID- 27183110
TI - Clinically relevant depression in old age: An international study with
populations from Canada, Latin America and Eastern Europe.
AB - Our aim is to assess cross-national variations in prevalence of clinically
relevant depression and to examine the relationships of social and health factors
with depression in five diverse populations of older adults, from Canada, Brazil,
Colombia and Albania. We used the data from the International Mobility in Aging
Study. Clinically relevant depression was defined as a score of >=16 on the
Center for Epidemiologic Study Depression Scale (CES-D). Poisson regressions with
robust covariance correction were used to estimate prevalence ratios associated
with potential risk factors. Prevalence of clinically relevant depression across
research sites varied widely, being consistently higher in women than in men. It
was lowest in men from Brazil (6.3%) and highest in women from Albania (46.6%).
Low education and insufficient income, living alone, multiple chronic conditions,
and poor physical performance were all significantly associated with depression
prevalence. Poor physical performance was more strongly associated with
depression in men than in women. Similar factors are associated with clinically
relevant depression among men and women and across research sites. The large
variation in depression prevalence population rates is unexplained by the
classical individual factors considered in the study suggesting the impact of
country characteristics on depression among older populations.
PMID- 27183111
TI - Incubation Temperature during Fetal Development Influences Morphophysiological
Characteristics and Preferred Ambient Temperature of Chicken Hatchlings.
AB - Skin and feather characteristics, which play a critical role in body temperature
maintenance, can be affected by incubation circumstances, such as incubation
temperature. However, no study to date has assessed the influence of incubation
temperature during the fetal stage on morphometric characteristics and vascular
development of the skin, feather characteristics, and their relationship to
hormone levels and preferred temperature in later life in chickens. Broiler
breeder eggs were exposed to low (36 degrees C), control (37.5 degrees C), or
high (39 degrees C) temperatures (treatments LT, CK, and HT, respectively) from
day 13 of incubation onward, because it is known that the endocrine axes are
already established at this time. During this period, eggshell temperature of HT
eggs (38.8+/-0.33 degrees C) was higher than of LT (37.4+/-0.08 degrees C) and CK
eggs (37.8 +/-0.15 degrees C). The difference between eggshell and incubator air
temperature diminished with the increasing incubation temperature, and was
approximately zero for HT. HT hatchlings had higher surface temperature on the
head, neck, and back, and thinner and more vascularized skin than did CK and LT
hatchlings. No differences were found among treatments for body weight, total
feather weight, number and length of barbs, barbule length, and plasma T4
concentration. LT hatchlings showed lower plasma T3 and GH, as well as lower
T3/T4 ratio and decreased vascularity in the neck, back, and thigh skin compared
to CK hatchlings. On the other hand, HT hatchlings had decreased skin thickness
and increased vascularity, and preferred a higher ambient temperature compared to
CK and HT hatchlings. In addition, for all treatments, surface temperature on the
head was higher than of the other body regions. We conclude that changes in skin
thickness and vascularity, as well as changes in thyroid and growth hormone
levels, are the result of embryonic strategies to cope with higher or lower than
normal incubation temperatures. Additionally exposure to increased temperature
during incubation is an environmental factor that can exert early-life influence
on ambient temperature preference of broiler hatchlings in later life.
PMID- 27183112
TI - Laparoscopic Complete Mesocolic Excision for Stage II/III Left-Sided Colon
Cancers: A Prospective Study and Comparison with D3 Lymph Node Dissection.
AB - BACKGROUND: To investigate the similarities and differences of laparoscopic
complete mesocolic excision (CME) to a colon resection with a D3 lymphadenectomy
for the stage II/III left-sided colon carcinoma. METHODS: Patients between July
2011 and August 2014 were randomized into D3 and CME groups. Mesenteric area, log
odds of positive lymph nodes (LODDS), and other operative parameters were
collected and assessed. RESULTS: The average specimen sizes were 5730 +/- 828
mm(2) in superior rectal artery (SRA)-preserving D3, 8145 +/- 1022 mm(2) in SRA
nonpreserving D3, and 8745 +/- 1039 mm(2) in the CME group; the differences were
significant (P < .0001). The number of lymph nodes collected from CME specimens
was larger, but the CME specimens did not contain an elevated value of LODDS or
positive nodes or lymph node ratio (LNR). There were also no significant
differences between recovery times of bowel function. Although it took more
operation time in D3 approach, especially in SRA-preserving D3 operation, the
difference was not significant. Concerning the leakage rate (P = .34) and vessel
related complications (P = .64), there were no significant differences either.
CONCLUSIONS: Both standard D3 resection and CME could achieve a high quality of
mesocolic plane grade for stage II/III colon cancer. The LODDS and LNR were
comparable, and those were not relevant to mesenteric size.
PMID- 27183113
TI - The Expression of BTLA Was Increased and the Expression of HVEM and LIGHT Were
Decreased in the T Cells of Patients with Rheumatoid Arthritis [corrected].
AB - BACKGROUND: Currently, the pathogenesis of rheumatoid arthritis (RA) is not
clearly understood. The LIGHT/HVEM/BTLA co-signaling pathway may be involved in
the pathogenesis of RA, although reports on the expression levels of LIGHT, HVEM
and BTLA in T lymphocytes from RA patients are limited. METHOD: In this study, we
recruited 30 healthy controls and 21 RA patients. Clinical characteristics were
collected for RA patients. The levels of LIGHT, HVEM and BTLA expressed on the
surface of circulating T cells of RA patients and healthy controls were measured
by flow cytometry. RESULT: The percentages of CD3+, CD4+ and CD8+ T lymphocytes
that expressed BTLA from RA patients were all higher than those of the controls
(all p < 0.05), while the percentages of CD3+, CD4+ and CD8+ T lymphocytes that
expressed HVEM and LIGHT were all lower than those of the controls (all p <
0.05). The rheumatoid factor and the percentage of HVEM+CD4+ T lymphocytes showed
a statistically significant negative correlation in RA patients (r = -0.453, p =
0.039), as did the swollen joint count and the percentage of BTLA+CD8+ T
lymphocytes (r = -0.501, p = 0.021). CONCLUSION: Here, we provide the first
report on the increased expression of BTLA in T lymphocytes and on the decreased
expression of HVEM and LIGHT in RA patients. BTLA, HVEM and LIGHT might be
involved in the pathogenesis of RA and have the potential to be new clinically
useful characteristics of RA.
PMID- 27183114
TI - Protective effects of maternal methyl donor supplementation on adult offspring of
high fat diet-fed dams.
AB - Obesity has become a global public health problem associated with metabolic
dysfunction and chronic disorders. It has been shown that the risk of obesity and
the DNA methylation profiles of the offspring can be affected by maternal
nutrition, such as high-fat diet (HFD) consumption. The aim of this study was to
investigate whether metabolic dysregulation and physiological abnormalities in
offspring caused by maternal HFD can be alleviated by the treatment of methyl
donors during pregnancy and lactation of dams. Female C57BL/6 mice were assigned
to specific groups and given different nutrients (control diet, Control+Met, HFD
and HFD+Met) throughout gestation and lactation. Offspring of each group were
weaned onto a control diet at 3 weeks of age. Physiological (weight gain and
adipose composition) and metabolic (plasma biochemical analyses) outcomes were
assessed in male and female adult offspring. Expression and DNA methylation
profiles of obesogenic-related genes including PPAR gamma, fatty acid synthase,
leptin and adiponectin were also detected in visceral fat of offspring. The
results showed that dietary supplementation with methyl donors can prevent the
adverse effects of maternal HFD on offspring. Changes in the expression and DNA
methylation of obesogenic-related genes indicated that epigenetic regulation may
contribute to the effects of maternal dietary factors on offspring outcomes.
PMID- 27183115
TI - The information science of microbial ecology.
AB - A revolution is unfolding in microbial ecology where petabytes of 'multi-omics'
data are produced using next generation sequencing and mass spectrometry
platforms. This cornucopia of biological information has enormous potential to
reveal the hidden metabolic powers of microbial communities in natural and
engineered ecosystems. However, to realize this potential, the development of new
technologies and interpretative frameworks grounded in ecological design
principles are needed to overcome computational and analytical bottlenecks. Here
we explore the relationship between microbial ecology and information science in
the era of cloud-based computation. We consider microorganisms as individual
information processing units implementing a distributed metabolic algorithm and
describe developments in ecoinformatics and ubiquitous computing with the
potential to eliminate bottlenecks and empower knowledge creation and
translation.
PMID- 27183117
TI - Apoptosis of T-Cell Subsets after Acute High-Intensity Interval Exercise.
AB - INTRODUCTION: High-intensity interval training (HIT) exercise has gained much
interest in both performance and recreational sports. This study aims to compare
the effect of HIT versus continuous (CONT) exercise with regard to changes of
circulating T cells and progenitor cells. METHODS: Subjects (n = 23) completed an
HIT test and an isocaloric CONT test. Blood samples were collected before,
immediately after, and 3 and 24 h postexercise for the assessment of low
differentiated (CD3CD28CD57), highly differentiated T cells (CD3CD28CD57),
regulatory T cells (Tregs) (CD4CD25CD127), hematopoietic progenitor cells
(CD45CD34), and endothelial progenitor cells (CD45CD34KDR) by flow cytometry. The
detection of apoptosis was performed by using labeling with annexin V. To analyze
potential mechanisms affecting T cells, several hormones and metabolites were
analyzed. RESULTS: Both exercise tests induced an increase of catecholamines,
cortisol, and thiobarbituric acid-reactive substances (P < 0.05). CONT induced a
higher increase of apoptosis in low differentiated T cells compared with the HIT
(CONT: 3.66% +/- 0.21% to 6.48% +/- 0.29%, P < 0.05; HIT: 3.43% +/- 0.31% to
4.71% +/- 0.33%), whereas HIT was followed by a higher rate of apoptotic highly
differentiated T cells (CONT: 21.45% +/- 1.23% to 25.32% +/- 1.67%; HIT: 22.45%
+/- 1.37% to 27.12% +/- 1.76%, P < 0.05). Regarding Tregs, HIT induced a
mobilization, whereas CONT induced apoptosis in these cells (P < 0.05). The
mobilization of progenitor cells did not differ between the exercise protocols.
CONCLUSION: These results suggest that HIT deletes mainly highly differentiated T
cells known to affect immunity to control latent infections. By contrast, CONT
deletes mainly low differentiated T cells and Tregs, which might affect defense
against new infectious agents.
PMID- 27183116
TI - Potential Clinical Value of Multiparametric PET in the Prediction of Alzheimer's
Disease Progression.
AB - OBJECTIVE: To evaluate the potential clinical value of quantitative functional
FDG PET and pathological amyloid-beta PET with cerebrospinal fluid (CSF)
biomarkers and clinical assessments in the prediction of Alzheimer's disease (AD)
progression. METHODS: We studied 82 subjects for up to 96 months (median = 84
months) in a longitudinal Alzheimer's Disease Neuroimaging Initiative (ADNI)
project. All preprocessed PET images were spatially normalized to standard
Montreal Neurologic Institute space. Regions of interest (ROI) were defined on
MRI template, and standard uptake values ratios (SUVRs) to the cerebellum for FDG
and amyloid-beta PET were calculated. Predictive values of single and
multiparametric PET biomarkers with and without clinical assessments and CSF
biomarkers for AD progression were evaluated using receiver operating
characteristic (ROC) analysis and logistic regression model. RESULTS: The
posterior precuneus and cingulate SUVRs were identified for both FDG and amyloid
beta PET in predicating progression in normal controls (NCs) and subjects with
mild cognitive impairment (MCI). FDG parietal and lateral temporal SUVRs were
suggested for monitoring NCs and MCI group progression, respectively. 18F-AV45
global cortex attained (78.6%, 74.5%, 75.4%) (sensitivity, specificity, accuracy)
in predicting NC progression, which is comparable to the 11C-PiB global cortex
SUVR's in predicting MCI to AD. A logistic regression model to combine FDG
parietal and posterior precuneus SUVR and Alzheimer's Disease Assessment Scale
Cognitive (ADAS-Cog) Total Mod was identified in predicating NC progression with
(80.0%, 94.9%, 93.9%) (sensitivity, specificity, accuracy). The selected model
including FDG posterior cingulate SUVR, ADAS-Cog Total Mod, and Mini-Mental State
Exam (MMSE) scores for predicating MCI to AD attained (96.4%, 81.2%, 83.6%)
(sensitivity, specificity, accuracy). 11C-PiB medial temporal SUVR with MMSE
significantly increased 11C-PiB PET AUC to 0.915 (p<0.05) in predicating MCI to
AD with (77.8%, 90.4%, 88.5%) (sensitivity, specificity, accuracy). CONCLUSION:
Quantitative FDG and 11C-PiB PET with clinical cognitive assessments
significantly improved accuracy in the predication of AD progression.
PMID- 27183118
TI - Raw Accelerometer Data Analysis with GGIR R-package: Does Accelerometer Brand
Matter?
AB - PURPOSE: This study aimed to determine the agreement between outputs from
contemporaneous measures of acceleration from wrist-worn GENEActiv and ActiGraph
accelerometers when processed using the GGIR open source package. METHODS: Thirty
four participants wore a GENEActiv and an ActiGraph GT3X+ on their nondominant
wrist continuously for 2 d to ensure the capture of one 24-h day and one
nocturnal sleep. GENEActiv.bin files and ActiGraph .csv files were analyzed with
R-package GGIR version 1.2-0. Key outcome variables were as follows: wear time,
average magnitude of dynamic wrist acceleration (Euclidean norm minus one
[ENMO]), percentile distribution of accelerations, time spent across acceleration
levels in a 40-mg resolution, time in moderate-to-vigorous physical activity
(MVPA: total, 10-min bouts), and duration of nocturnal sleep. RESULTS: There was
a high agreement between accelerometer brands for all derived outcomes (wear
time, MVPA, and sleep; intraclass correlation coefficient [ICC] > 0.96), ENMO
(ICC = 0.99), time spent across acceleration levels (ICC > 0.93), and
accelerations >=50th percentile of the distribution (ICC > 0.82). ENMO (mean +/-
SD, GENEActiv = 29.9 +/- 20.7 mg, ActiGraph = 27.8 +/- 21.4 mg) and accelerations
between the 5th and the 75th percentile of the distribution measured by the
GENEActiv were significantly higher than those measured by the ActiGraph.
Correspondingly, the number of minutes recorded between 0 and 40 mg was
significantly greater for the ActiGraph (745 min cf. 734 min), and the number of
minutes recorded between 40 and 80 mg was significantly greater for the GENEActiv
(110 min cf. 105 min). CONCLUSION: Derived outcomes (wear time, MVPA, and sleep)
were similar between brands. Brands compared well for acceleration magnitudes >50
80 mg but not lower magnitudes indicative of sedentary time. Caution is advised
when comparing the magnitude of ENMO between brands, but there was a high
consistency between brands for the ranking of individuals for activity and sleep
outcomes.
PMID- 27183119
TI - Advances in Exercise, Fitness, and Performance Genomics in 2015.
AB - This review of the exercise genomics literature encompasses the highest-quality
articles published in 2015 across seven broad topics: physical activity behavior,
muscular strength and power, cardiorespiratory fitness and endurance performance,
body weight and adiposity, insulin and glucose metabolism, lipid and lipoprotein
metabolism, and hemodynamic traits. One study used a quantitative trait locus for
wheel running in mice to identify single nucleotide polymorphisms (SNPs) in
humans associated with physical activity levels. Two studies examined the
association of candidate gene ACTN3 R577X genotype on muscular performance.
Several studies examined gene-physical activity interactions on cardiometabolic
traits. One study showed that physical inactivity exacerbated the body mass index
(BMI)-increasing effect of an FTO SNP but only in individuals of European
ancestry, whereas another showed that high-density lipoprotein cholesterol (HDL
C) SNPs from genome-wide association studies exerted a smaller effect in active
individuals. Increased levels of moderate-to-vigorous-intensity physical activity
were associated with higher Matsuda insulin sensitivity index in PPARG Ala12
carriers but not Pro12 homozygotes. One study combined genome-wide and
transcriptome-wide profiling to identify genes and SNPs associated with the
response of triglycerides (TG) to exercise training. The genome-wide association
study results showed that four SNPs accounted for all of the heritability of ?TG,
whereas the baseline expression of 11 genes predicted 27% of ?TG. A composite SNP
score based on the top eight SNPs derived from the genomic and transcriptomic
analyses was the strongest predictor of DeltaTG, explaining 14% of the variance.
The review concludes with a discussion of a conceptual framework defining some of
the critical conditions for exercise genomics studies and highlights the
importance of the recently launched National Institutes of Health Common Fund
program titled "Molecular Transducers of Physical Activity in Humans."
PMID- 27183120
TI - Effects of Footwear and Fatigue on Running Economy and Biomechanics in Trail
Runners.
AB - PURPOSE: This study aimed to examine the effects of footwear and neuromuscular
fatigue induced by short distance trail running (TR) on running economy (RE) and
biomechanics in well-trained and traditionally shod runners. METHODS: RE,
vertical and leg stiffness (Kvert and Kleg), as well as foot strike angle were
measured from two 5-min treadmill running stages performed at a speed of 2.5
(with 10% grade, uphill running) and 2.77 m.s (level running) before and after an
18.4-km TR exercise (approximately 90% of maximal heart rate) in runners wearing
minimalist shoes (MS), MS plus added mass (MSm), or traditional shoes (TS).
Maximal voluntary contraction torque of knee extensors and perceived muscle pain
were also evaluated before and after TR. RESULTS: Maximal voluntary contraction
values decreased after TR in all footwear conditions (P < 0.001), indicating the
occurrence of neuromuscular fatigue. In the nonfatigued condition, runners
exhibited a better RE only during level running in MS and MSm (i.e., combined
effects of shoe mass and midsole geometry), in association with significant
decreases in foot strike angle (P < 0.05). However, no significant difference in
RE was observed between shod conditions after TR during either uphill or level
running. Decreases in both Kvert/Kleg and foot strike angle were more pronounced
during running in MS and MSm (P < 0.05) compared with TS, whatever the period.
Calf pain increased after TR when wearing MS and MSm compared with TS (P < 0.05).
CONCLUSIONS: These findings indicated specific alterations in RE and biomechanics
over time during the MS and MSm conditions compared with the TS condition. Future
studies are warranted to evaluate the relationship between RE and footwear with
fatigue in experienced minimally shod runners.
PMID- 27183121
TI - Cardiorespiratory Fitness Is Associated with Better Executive Function in Young
Women.
AB - PURPOSE: A positive association between cardiorespiratory fitness (CRF) and
cognitive function has been demonstrated mainly in children and older adults.
Women attending college live in a cognitively demanding setting where optimal
cognition matters but often experience declines in CRF. Our aim was to test
whether CRF is associated with executive function in young adult women. METHODS:
Participants in this cross-sectional study included 120 healthy women age 18-35
yr in a university setting. Each woman completed a maximal treadmill-based
exercise test to determine peak oxygen uptake (VO2peak), computerized tests of
executive function, and questionnaires to assess motivation and other factors
with potential to influence physical and cognitive performance. RESULTS: Overall
CRF was excellent, with a sample mean VO2peak of 44.6 mL.min.kg. After adjusting
for covariates, higher VO2peak was associated with better performance on
attention (P < 0.01), learning/shifting (P < 0.01), working memory (P < 0.01),
and problem-solving (P < 0.05) tasks. Likewise, when women were grouped according
to the American College of Sports Medicine fitness classification, performance on
executive function tasks was poorest in women with very poor or poor CRF. Women
with superior CRF performed best on executive function tasks, and performance was
intermediate in women with fair, good, or excellent CRF. CONCLUSION: The findings
from this cross-sectional study suggest that optimal cognition is related to CRF
in young adult women. Future studies are needed to test whether strategies to
improve CRF are effective in improving cognitive function.
PMID- 27183123
TI - Accuracy of Wristband Activity Monitors during Ambulation and Activities.
AB - PURPOSE: The main purpose of this study was to examine the accuracy of wristband
activity monitors on measuring step counts at prescribed speeds on a treadmill
and under short bouts of common daily activities. METHODS: Thirty healthy young
adults wore three wristband activity monitors on both wrists while walking or
jogging on a treadmill at different speeds (54, 80, 107, and 134 m.min) and
performing six different common daily activities for 5 min each. The monitors
included the Fitbit Flex, the Garmin Vivofit, and the Jawbone UP. The common
daily activity conditions included two sitting activities (playing a tablet
computer game and folding laundry), two walking activities (pushing a stroller,
carrying a bag), and two stair climbing activities (down and up). Absolute
percentage error (APE) scores were computed to examine the accuracy between
actual observed steps and monitor-detected steps. RESULTS: Under the treadmill
condition, the APE ranged between 1.5% and 9.6%. Accuracy was improved at faster
speeds (134 m.min) for all the monitors (APE < 2.5%). In the common daily
activity conditions, substantial step counts were registered when folding
laundry. All monitors significantly underestimated actual steps (all APE >33%)
when pushing a stroller. Higher APE was observed when worn on the dominant wrist
under the common daily activity conditions. CONCLUSIONS: The wristband activity
monitors examined were more accurate for measuring step counts between 80 and 134
m.min as compared with a slower speed. Accuracy under each common daily activity
condition ranged widely between monitors and activity, with less error when worn
on the nondominant wrist. These results will help to inform researchers on the
use and accuracy of wristband activity monitors for future studies.
PMID- 27183122
TI - New Insights into Activity Patterns in Children, Found Using Functional Data
Analyses.
AB - INTRODUCTION/PURPOSE: Continuous monitoring of activity using accelerometers and
other wearable devices provides objective, unbiased measurement of physical
activity in minute-by-minute or finer resolutions. Accelerometers have already
been widely deployed in studies of healthy aging, recovery of function after
heart surgery, and other outcomes. Although common analyses of accelerometer data
focus on single summary variables, such as the total or average activity count,
there is growing interest in the determinants of diurnal profiles of activity.
METHODS: We use tools from functional data analysis (FDA), an area with an
established statistical literature, to treat complete 24-h diurnal profiles as
outcomes in a regression model. We illustrate the use of such models by analyzing
data collected in New York City from 420 children participating in a Head Start
program. Covariates of interest include season, sex, body mass index z-score,
presence of an asthma diagnosis, and mother's birthplace. RESULTS: The FDA model
finds several meaningful associations between several covariates and diurnal
profiles of activity. In some cases, including shifted activity patterns for
children of foreign-born mothers and time-specific effects of asthma on activity,
these associations exist for covariates that are not associated with average
activity count. CONCLUSION: FDA provides a useful statistical framework for
settings in which the effect of covariates on the timing of activity is of
interest. The use of similar models in other applications should be considered,
and we make code public to facilitate this process.
PMID- 27183125
TI - Comparative Physiology of Fatigue.
AB - This review attempts to provide insights into factors associated with fatigue in
human and nonhuman animals by using the two fundamental approaches of comparative
physiology: determining common principles that govern structure and function in
animals that are relatively invariant between animals and evaluating animals that
have been highly adapted by natural selection to demonstrate extreme performance.
In this review, I approach the topic of fatigue by considering factors that are
associated with its reciprocal or inverse or duration of sustained performance
before fatigue sets in to end the performance. The two general factors that I
consider that affect endurance time more than any other are body temperature and
body mass. The former affects endurance time because of thermodynamic effects on
chemical reaction rates and metabolism; the latter acts through the mechanism of
allometry or scaling. The examples of extreme animal performance that I discuss
are two examples of bird migration, the diving performance of marine mammals, and
the unique relationship that governs energy cost of locomotion in hopping
kangaroos.
PMID- 27183124
TI - Fatigue Exacerbation by Interval or Continuous Exercise in Chronic Fatigue
Syndrome.
AB - PURPOSE: The objective of this study is to determine whether the typical
exacerbation of symptoms in patients with chronic fatigue syndrome (CFS) after a
bout of exercise differs between high-intensity interval training (HIIT) or
continuous (CONT) aerobic exercise of the same duration and mechanical work.
METHODS: Participants with specialist-diagnosed CFS performed two 20-min bouts of
cycling in a randomized crossover study. The bouts were either moderate-intensity
continuous (70% age-predicted HR maximum) or high-intensity interval exercise,
separated by at least 2 wk. Self-report questionnaires capturing fatigue, the
related symptoms, and actigraphy were collected across 2 d before and 4 d after
the exercise. Comparisons between exercise bouts were made using paired sample t
tests. RESULTS: Fourteen moderately affected participants who were unable to
work, but not bed bound, completed the study (nine female, 32 +/- 10 yr, 67 +/-
11 kg). Mechanical work was matched successfully between the exercise bouts
(HIIT, 83,037, vs CONT, 83,348 J, P = 0.84). Mean HR (HIIT, 76% +/- 5%, vs CONT,
73% +/- 6% age-predicted HR maximum, P < 0.05) and RPE (6-20) in the legs (HIIT,
15.4 +/- 1.4, vs CONT, 13.2 +/- 1.2, P < 0.001) were higher for the interval
compared with continuous exercise. Mean fatigue scores (0-10) were similar before
each exercise challenge (HIIT, 4.5 +/- 1.8, vs CONT, 4.1 +/- 1.7, P = 0.43).
Participants reported an increase in fatigue scores after both challenges (mean
difference: HIIT, 1.0 +/- 1.3, P < 0.01; CONT, 1.5 +/- 0.7, P < 0.001), but these
exacerbations in fatigue were not statistically or clinically different (P =
0.20). CONCLUSIONS: High-intensity interval exercise did not exacerbate fatigue
any more than continuous exercise of comparable workload. This finding supports
evaluation of HIIT in graded exercise therapy interventions for patients with
CFS.
PMID- 27183126
TI - Interaction of Toll-Like Receptors with the Molecular Chaperone Gp96 Is Essential
for Its Activation of Cytotoxic T Lymphocyte Response.
AB - The heat shock protein gp96 elicits specific T cell responses to its chaperoned
peptides against cancer and infectious diseases in both rodent models and
clinical trials. Although gp96-induced innate immunity, via a subset of Toll like
receptors (TLRs), and adaptive immunity, through antigen presentation, are both
believed to be important for priming potent T cell responses, direct evidence for
the role of gp96-mediated TLR activation related to its functional T cell
activation is lacking. Here, we report that gp96 containing mutations in its TLR
binding domain failed to activate macrophages, but peptide presentation was
unaffected. Moreover, we found that peptide-specific T cell responses, as well as
antitumor T cell immunity induced by gp96, are severely impaired when the TLR
binding domain is mutated. These data demonstrate the essential role of the gp96
TLR interaction in priming T cell immunity and provide further molecular basis
for the coupling of gp96-mediated innate with adaptive immunity.
PMID- 27183128
TI - Correction: IL-6 Expression Regulates Tumorigenicity and Correlates with
Prognosis in Bladder Cancer.
AB - [This corrects the article DOI: 10.1371/journal.pone.0061901.].
PMID- 27183127
TI - Differences of Intra-Articular Graft Length between Sandwich-Style Reconstruction
and Zhao-Style Non-Remnant-Preserving Double-Bundle Reconstruction of Posterior
Cruciate Ligament.
AB - Appropriate graft length within the joint and inside the osseous tunnel is
essential for achieving posterior stability and adequate anchorage strength.
Because of the curving path and thickness of the graft in double-bundle posterior
cruciate ligament (PCL) reconstruction, especially in double-bundle PCL
augmentation (with remnant preservation), the actual intra-articular length of
PCL grafts, which remains unknown, may be longer than previously published
values. The main purpose of the current study is to measure the actual intra
articular graft length required in sandwich-style PCL reconstruction (remnant
preserving double-bundle PCL augmentation) and Zhao-style non-remnant-preserving
double-bundle PCL reconstruction (semi-anatomic double-bundle PCL reconstruction
using double-double tunnel with tibial medial and lateral arrangement). Nine
matched pairs of intact cadaveric knees were randomized between two groups and
respectively received sandwich-style PCL reconstruction (remnant-preserving
group) and Zhao-style non-remnant-preserving double-bundle PCL reconstruction
(non-remnant-preserving group). The tunnel positions were exactly the same in two
groups. The anterolateral (AL) bundle was reconstructed with four-stranded
semitendinosus tendon, and the posteromedial (PM) bundle was reconstructed with
four-stranded gracilis tendon. For each bundle, the length of the graft portion
within the joint was measured. The current study indicated that in remnant
preserving group, the average intra-articular exposed portion was 42.0 mm (SD,
1.3 mm; range, 40.0 mm to 43.4 mm) for the AL bundle and 32.5 mm (SD, 2.9 mm;
range, 27.8 mm to 35.8 mm) for the PM bundle. In non-remnant-preserving group,
the intra-articular exposed portion was 34.5 mm (SD, 1.0 mm; range, 32.7 mm to
36.0 mm) for the AL bundle and 29.1 mm (SD, 2.1 mm; range, 25.2 mm to 31.9 mm)
for the PM bundle. For both the AL and PM bundles, significant differences were
found in average intra-articular graft length between the two groups. The current
study, whose methodology is more rigorous and accurate by measuring the actual
intra-articular graft length, has direct applications to clinical practice. When
considering the total graft lengths during reconstruction, it is necessary to
recognize that remnant PCL has a space occupation effect on graft and that
remnant preservation requires longer intra-articular graft lengths than non
remnant preservation.
PMID- 27183129
TI - Interaction of Atmospheric-Pressure Air Microplasmas with Amino Acids as
Fundamental Processes in Aqueous Solution.
AB - Plasma medicine is a relatively new field that investigates potential
applications of cold atmospheric-pressure plasmas in bioengineering, such as for
bacterial inactivation and degradation of organic molecules in water. In order to
enunciate mechanisms of bacterial inactivation at molecular or atomic levels, we
investigated the interaction of atmospheric-pressure air microplasmas with amino
acids in aqueous solution by using high-resolution mass spectrometry (HRMS).
Results show that the oxidation effect of plasma-induced species on the side
chains of the amino acids can be categorized into four types, namely
hydroxylation, nitration, dehydrogenation and dimerization. In addition, relative
activities of amino acids resulting from plasma treatment come in descending
order as follows: sulfur-containing carbon-chain amino acids > aromatic amino
acids > five-membered ring amino acids > basic carbon-chain amino acids. Since
amino acids are building blocks of proteins vital to the growth and reproduction
of bacteria, these results provide an insight into the mechanism of bacterial
inactivation by plasma.
PMID- 27183130
TI - Waiting time prioritisation: Evidence from England.
AB - A number of OECD countries have introduced waiting time prioritisation policies
which give explicit priority to severely ill patients with high marginal
disutility of waiting. There is however little empirical evidence on how patients
are actually prioritised. We exploit a unique opportunity to investigate this
issue using a large national dataset with accurate measures of severity on nearly
400,000 patients. We link data from a national patient-reported outcome measures
survey to administrative data on all patients waiting for a publicly funded hip
and knee replacement in England during the years 2009-14. We find that patients
suffering the most severe pain and immobility have shorter waits than those
suffering the least, by about 24% for hip replacement and 11% for knee
replacement, and that the association is approximately linear. These
differentials are more closely associated with pain than immobility, and are
larger in hospitals with longer average waiting times. These result suggests that
doctors prioritise patients according to severity even when no formal
prioritisation policy is in place and average waiting times are short.
PMID- 27183131
TI - Is Development Assistance for Health fungible? Findings from a mixed methods case
study in Tanzania.
AB - The amount of Development Assistance for Health (DAH) available to low- and
middle-income countries has increased exponentially over the past decade.
However, there are concerns that DAH increases have not resulted in increased
spending on health at the country level. This is because DAH may be fungible,
resulting from the recipient government decreasing its contribution to the health
sector as a result of external funding. The aim of this research is to assess
whether DAH funds in Tanzania are fungible, by exploring government substitution
of its own resources across sectors and within the health sector. A database
containing 28140 projects of DAH expenditure between 2000 and 2010 was compiled
from the Organisation for Economic Co-operation and Development's Creditor
Reporting System (OECD-CRS) and AidData databases. Government health expenditure
data for the same period were obtained from the Government of Tanzania, World
Bank, public expenditure reviews and budget speeches and analysed to assess the
degree of government substitution. 22 semi-structured interviews were conducted
with Development Partners (DPs), government and non-government stakeholders
between April and June 2012 to explore stakeholder perceptions of fungibility. We
found some evidence of substitution of government funds at the health sector and
sub-sector levels and two mechanisms through which it takes place: the resource
allocation process and macro-economic factors. We found fungibility of external
funds may not necessarily be detrimental to Tanzania's development (as evidence
suggests the funds displaced may be reallocated to education) and the mechanisms
used by DPs to prevent substitution were largely ineffective. We recommend DPs
engage more effectively in the priority-setting process, not just with the
Ministry of Health and Social Welfare (MoHSW), but also with the Ministry of
Finance, to agree on priorities and mutual funding responsibilities at a
macroeconomic level. We also call for more qualitative research on fungibility.
PMID- 27183132
TI - How do individuals' health behaviours respond to an increase in the supply of
health care? Evidence from a natural experiment.
AB - The efficacy of the management of long-term conditions depends in part on whether
healthcare and health behaviours are complements or substitutes in the health
production function. On the one hand, individuals might believe that improved
health care can raise the marginal productivity of their own health behaviour and
decide to complement health care with additional effort in healthier behaviours.
On the other hand, health care can lower the cost of unhealthy behaviours by
compensating for their negative effects. Individuals may therefore reduce their
effort in healthier lifestyles. Identifying which of these effects prevails is
complicated by the endogenous nature of treatment decisions and individuals'
behavioural responses. We explore whether the introduction in 2004 of the Quality
and Outcomes Framework (QOF), a financial incentive for family doctors to improve
the quality of healthcare, affected the population's weight, smoking and drinking
behaviours by applying a sharp regression discontinuity design to a sample of
32,102 individuals in the Health Survey for England (1997-2009). We find that
individuals with the targeted health conditions improved their lifestyle
behaviours. This complementarity was only statistically significant for smoking,
which reduced by 0.7 cigarettes per person per day, equal to 18% of the mean. We
investigate whether this change was attributable to the QOF by testing for other
discontinuity points, including the introduction of a smoking ban in 2007 and
changes to the QOF in 2006. We also examine whether medication and smoking
cessation advice are potential mechanisms and find no statistically significant
discontinuities for these aspects of health care supply. Our results suggest that
a general improvement in healthcare generated by provider incentives can have
positive unplanned effects on patients' behaviours.
PMID- 27183133
TI - Increased incidence but improved median overall survival for biliary tract
cancers diagnosed in Ontario from 1994 through 2012: A population-based study.
AB - BACKGROUND: To the authors' knowledge, the incidence of biliary tract cancer
(BTC) in Canada is unknown. In the current study, the authors sought to describe
the epidemiology of BTC using a large population-based cancer database from
Ontario, Canada. METHODS: The current study was a population-based cohort study
using the Ontario Cancer Registry. Patients with intrahepatic cholangiocarcinoma
(IHCC), extrahepatic cholangiocarcinoma (EHCC), and gallbladder cancer (GBC)
diagnosed between 1994 and 2012 were included. Age-standardized incidence and
mortality rates were compared using incidence rate ratios (IRRs). Overall
survival from the time of diagnosis was calculated for 3 eras: 1994 through 1999,
2000 through 2005, and 2006 through 2012. The number of patients receiving
chemotherapy, radiotherapy, or surgery was determined using linked clinical data.
RESULTS: A total of 9039 cases (1569 IHCC cases, 4337 EHCC cases, and 3133 GBC
cases) were identified. The rate of BTC increased by 1.6% per year (IRR, 1.016;
95% confidence interval [95% CI], 1.008-1.024 [P<.001]). The incidence increased
by 7.0% per year among cases of IHCC (IRR, 1.070; 95% CI, 1.058-1.081 [P<.001])
and 1.8% per year in cases of EHCC (IRR, 1.018; 95% CI, 1.009-1.027 [P<.001]),
whereas the incidence of GBC remained unchanged (IRR, 0.991; 95% CI, 0.982-1.001
[P = .086]). The median survival for the cohort was 8.3 months, with improvement
noted over the study period (6.1 months for 1994-1999 vs 8.5 months for 2000-2005
vs 10.3 months for 2006-2012 [P<.001]). The median survival was the longest for
EHCC (11.3 months), followed by GBC (6.4 months) and IHCC (6.2 months). The
percentage of patients receiving chemotherapy and/or radiotherapy increased over
the study (P<.001), whereas the percentage of patients receiving surgery
decreased (P<.001). CONCLUSIONS: An increased incidence of BTC during 1994
through 2012 was observed. Explanations for the observed temporal improvement in
median survival require further exploration. Cancer 2016;122:2534-43. (c) 2016
American Cancer Society.
PMID- 27183134
TI - What Unites Palliative Care on Both Sides of the Atlantic? Experiences of a Third
Year Medical Student.
PMID- 27183135
TI - Concerted Uranium Research in Europe (CURE): toward a collaborative project
integrating dosimetry, epidemiology and radiobiology to study the effects of
occupational uranium exposure.
AB - The potential health impacts of chronic exposures to uranium, as they occur in
occupational settings, are not well characterized. Most epidemiological studies
have been limited by small sample sizes, and a lack of harmonization of methods
used to quantify radiation doses resulting from uranium exposure. Experimental
studies have shown that uranium has biological effects, but their implications
for human health are not clear. New studies that would combine the strengths of
large, well-designed epidemiological datasets with those of state-of-the-art
biological methods would help improve the characterization of the biological and
health effects of occupational uranium exposure. The aim of the European
Commission concerted action CURE (Concerted Uranium Research in Europe) was to
develop protocols for such a future collaborative research project, in which
dosimetry, epidemiology and biology would be integrated to better characterize
the effects of occupational uranium exposure. These protocols were developed from
existing European cohorts of workers exposed to uranium together with expertise
in epidemiology, biology and dosimetry of CURE partner institutions. The
preparatory work of CURE should allow a large scale collaborative project to be
launched, in order to better characterize the effects of uranium exposure and
more generally of alpha particles and low doses of ionizing radiation.
PMID- 27183136
TI - Inter- and intraobserver agreement of ADC measurements of lung cancer in free
breathing, breath-hold and respiratory triggered diffusion-weighted MRI.
AB - OBJECTIVE: To prospectively evaluate the inter- and intraobserver agreement of
apparent diffusion coefficient (ADC) measurements in free breathing, breath-hold,
and respiratory triggered diffusion-weighted imaging (DWI) of lung cancer.
METHODS: Twenty-two patients with lung cancer (tumor size >2cm) underwent DWIs
(3.0T) in three imaging methods. Lesion ADCs were measured twice by both of the
two independent observers and compared. RESULTS: No statistical significance was
found among methods, though respiratory-triggered DWI tended to have higher ADCs
than breath-hold DWI. Great inter- and intraobserver agreement was shown.
CONCLUSION: ADCs had good inter- and intraobserver agreement in all three DWI
methods.
PMID- 27183137
TI - Synchronous pancreatic adenocarcinoma and intrahepatic cholangiocarcinoma arising
in the context of intraductal papillary neoplasms.
AB - Field cancerization theory provides rationale for the development of multiple
pancreatic ductal and biliary lesions in a single patient through the development
and accumulation of multiple genetic changes. Genetic alterations result in the
development of precursor lesions including intraductal papillary mucinous
neoplasms of the pancreas (IPMN), intraductal papillary neoplasm of the bile duct
(IPN-B), and their malignant counterparts, pancreatic adenocarcinoma and
cholangiocarcinoma. Although IPMN are frequently encountered, IPN-B are uncommon
and the synchronous occurrence of both lesions is rare. We present a case of
synchronous pancreatic adenocarcinoma and intrahepatic cholangiocarcinoma with
histopathologic evidence of underlying precursor lesions, IPMN-P and IPN-B.
PMID- 27183138
TI - Contrast-induced nephropathy in outpatients with preexisting renal impairment: a
comparison between intravenous iohexol and iodixanol.
AB - BACKGROUND: Concern for contrast-induced nephropathy (CIN) may result in
administration of more costly agents. We prospectively compared outpatient CIN
incidence of iodixanol to iohexol. METHODS: Patients were randomized to receive
100ml of iohexol (n=47) or iodixanol (n=55). We compared patients who developed
CIN using the Wilson score interval and also calculated an odds ratio for the
development of CIN. RESULTS: CIN rate for iohexol was 2% compared to 9% for
iodixanol. Those receiving iodixanol were almost 5 times more likely to
experience CIN. CONCLUSION: These results do not suggest a benefit of iodixanol
over iohexol in the study population.
PMID- 27183139
TI - Biplane versus short-axis measures of the left atrium and ventricle in patients
with systolic dysfunction assessed by magnetic resonance.
AB - PURPOSE: This study aims to investigate if the time saving biplane method can be
an alternative to short-axis measurements for left atrium (LA) and left ventricle
(LV) in cardiac magnetic resonance of patients with extensive LV remodeling.
MATERIALS AND METHODS: In 45 patients with coronary artery disease and systolic
LV dysfunction [ejection fraction (EF)<50%], LA and LV end-diastolic volume, end
systolic volume, stroke volume, and EF were measured by the biplane area length
and by the short-axis volume method. RESULTS: No statistical differences between
the biplane area length and short-axis volume were found for the LA end-systolic
or the LV end-diastolic volumes. However, the biplane area length method
overestimated LA stroke volume by 7.1+/-12.3 ml, LA EF by 6.7+/-8.8% (both
P<.001), LV stroke volume by 6.2+/-12.9 ml (P=.002), and LV EF by 2.6+/-4.6%
(P<.001). The differences in LV measurements were more evident in patients with
severely distorted LV. For LA volume parameters, overall consistency between
biplane area length and short-axis approach was good [all intraclass correlation
coefficient (ICC)>=0.74] and for LV volume parameters, overall consistency
between both approaches was excellent (all ICC>=0.93). CONCLUSION: In patients
with LV systolic dysfunction, the short-axis volume is superior to the biplane
method and should be the first choice, when accurate determination of LA and LV
EF is the main clinical indication for cardiac magnetic resonance.
PMID- 27183140
TI - The diagnostic value of combination of TI-RADS and ultrasound elastography in the
differentiation of benign and malignant thyroid nodules.
AB - BACKGROUND: Here, we evaluated the diagnostic value of combination of thyroid
imaging-reporting and data system (TI-RADS) and ultrasound elastography (USE) in
risk assessment of thyroid nodules. METHODS: The clinical data of 174 patients
with 232 nodules were retrospectively analyzed. All nodules were examined by gray
scale ultrasonography and USE and confirmed by histological examination. RESULTS:
The sensitivity, specificity, and accuracy of the combination of the two methods
were significantly higher than those using a single method. CONCLUSION: The
combination of TI-RADS and USE has high diagnostic sensitivity and accuracy in
evaluating the malignant risk of thyroid nodules.
PMID- 27183141
TI - Genetics paired with CT angiography in the setting of atherosclerosis.
AB - Coronary artery disease (CAD) continues to be the leading cause of morbidity and
mortality globally. Although the etiological mechanisms for CAD have not been
fully elucidated, however, most would agree that atherosclerotic plaques
progressively narrow the coronary arteries are the earliest manifestations and
the principal cause of CAD. The emergence of revolutionary imaging technologies
such as cardiac CT angiography, noninvasive computed fractional flow reserve and
intravascular ultrasound provided the possibility of detecting and monitoring
phenotypes associated with subclinical atherosclerosis. Meanwhile, with the
widespread use of high-throughput genotyping pipeline such as next-generation
sequencing, combined with big data-driven solutions in bioinformatics,
translating the emerging genetic technologies into clinical practice and,
therefore, provide valuable insight into the CAD study. In this review, we
briefly describe the latest noninvasive cardiac imaging techniques for
atherosclerosis-related phenotypes' detection, mainly focusing on the coronary
artery calcification, plaque burden and stenosis. Furthermore, we highlight the
state-of-the-art genotyping techniques and its application in the field of CAD
translational study. Finally, we discuss the clinical relevance of genetics
paired with noninvasive imaging in the setting of coronary artery
atherosclerosis.
PMID- 27183142
TI - Is evaluation of placenta with real-time sonoelastography during the second
trimester of pregnancy an effective method for the assessment of spontaneous
preterm birth risk?
AB - PURPOSE: The aim of this study is to investigate the effectiveness of placental
strain ratio (SR) values measured by real-time sonoelastography (SE) in the
second trimester in the prediction of spontaneous preterm birth (sPTB). METHODS:
This study included 70 pregnant women who applied to our clinic for routine
second-trimester screening. Placental SR measurements were performed with the SE
method. Two different SR measurements were performed by taking two different
tissues as references. The SR value measured when taking the rectus abdominis
muscle as a reference was termed the muscle-to-placenta strain ratio (MPSR),
while the SR value measured when taking subcutaneous tissue as a reference was
termed the fat-to-placenta strain ratio (FPSR). Women whose gestational age at
birth was less than 37 weeks 0 days were accepted as sPTB. The association
between gestational age at birth and MPSR and FPSR was investigated. Receiver
operating characteristics analysis was used to calculate the sensitivity and
specificity of the elastographic outcomes. RESULTS: There was a low-level
negative correlation between MPSR and gestational age at birth (r=-0.300, P=.012)
and there was a moderate-level negative correlation between FPSR and gestational
age at birth (r=-0.513, P<.001). The multivariate linear regression analysis
showed that the FPSR (beta=0.609, P=.002) was the significant predictor for the
sPTB. CONCLUSIONS: Our data indicate that the FPSR value measured with real-time
SE in the second trimester of pregnancy may be effective in the prediction of
sPTB.
PMID- 27183144
TI - AFM Imaging of Mercaptobenzoic Acid on Au(110): Submolecular Contrast with Metal
Tips.
AB - A self-assembled monolayer of mercaptobenzoic acid (MBA) on Au(110) is
investigated with scanning tunneling and atomic force microscopy (STM and AFM)
and density functional calculations. High-resolution AFM images obtained with
metallic tips show clear contrasts between oxygen atoms and phenyl moieties. The
contrast above the oxygen atoms is due to attractive covalent interactions, which
is different than previously reported high-resolution images, where Pauli
repulsion dominated the image contrast. We show that the bonding of MBA to the
substrate occurs mainly through dispersion interactions, whereas the thiol-Au
bond contributes only a quarter of the adsorption energy. No indication of Au
adatoms mediating the thiol-Au interaction was found in contrast to other thiol
bonded systems. However, MBA lifts the Au(110)-(2 * 1) reconstruction.
PMID- 27183143
TI - Global Profiling of Protein Lysine Malonylation in Escherichia coli Reveals Its
Role in Energy Metabolism.
AB - Protein lysine malonylation is a recently identified post-translational
modification (PTM), which is evolutionarily conserved from bacteria to mammals.
Although analysis of lysine malonylome in mammalians suggested that this
modification was related to energy metabolism, the substrates and biological
roles of malonylation in prokaryotes are still poorly understood. In this study,
we performed qualitative and quantitative analyses to globally identify lysine
malonylation substrates in Escherichia coli. We identified 1745 malonylation
sites in 594 proteins in E. coli, representing the first and largest malonylome
data set in prokaryotes up to date. Bioinformatic analyses showed that lysine
malonylation was significantly enriched in protein translation, energy metabolism
pathways and fatty acid biosynthesis, implying the potential roles of protein
malonylation in bacterial physiology. Quantitative proteomics by fatty acid
synthase inhibition in both auxotrophic and prototrophic E. coli strains revealed
that lysine malonylation is closely associated with E. coli fatty acid
metabolism. Protein structural analysis and mutagenesis experiment suggested
malonylation could impact enzymatic activity of citrate synthase, a key enzyme in
citric acid (TCA) cycle. Further comparative analysis among lysine malonylome,
succinylome and acetylome data showed that these three modifications could
participate in some similar enriched metabolism pathways, but they could also
possibly play distinct roles such as in fatty acid synthesis. These data expanded
our knowledge of lysine malonylation in prokaryotes, providing a resource for
functional study of lysine malonylation in bacteria.
PMID- 27183145
TI - Dual Extraction of Photogenerated Electrons and Holes from a Ferroelectric
Sr0.5Ba0.5Nb2O6 Semiconductor.
AB - The separation of photogenerated charges is a critical factor in photocatalysis.
Recently, anomalous photovoltaic (APV) field effects (Voc ~ 10(3) V/cm) in
ferroelectrics, with their strong driving force for charge separation, have
attracted much attention in photocatalysis and photoelectrocatalysis. However, it
is still unknown whether photogenerated electrons and holes can be simultaneously
extracted by the strong driving force toward the surface of ferroelectrics and
can become available for surface reactions. This issue becomes critically
important in photocatalysis because the surface reaction utilizes both the
electrons and holes that reach the surface. In this work, a model lateral
symmetric structure, metal/Sr0.5Ba0.5Nb2O6/metal (metal = Ag or Pt), as an
electrode was fabricated. The dual extractions of photogenerated electrons and
holes on the two opposite metal electrodes were achieved, as revealed by
photovoltaic and ferroelectrical hysteresis measurements and photoassisted Kelvin
probe force microscopy (KPFM). It was found that the high Schottky barriers of
the two opposite Sr0.5Ba0.5Nb2O6-Pt electrodes are key factors that alter the two
space charge regions (SCRs) by a poling effect. The resulting built-in electrical
fields with parallel directions near both electrodes significantly enhance the
charge separation ability. Our model unravels the driving force of charge
separation in ferroelectric semiconductors, thus demonstrating the potential for
highly efficient charge separation in photocatalysis.
PMID- 27183146
TI - Aerogel Microparticles from Oil-in-Oil Emulsion Systems.
AB - This paper reports preparation of polymer aerogel microparticles via sol-gel
reactions inside micrometer size droplets created in an oil-in-oil emulsion
system. The oil-in-oil emulsion system is obtained by dispersing in cyclohexane
the droplets of the sols of polybenzoxazine (PBZ) or polyimide (PI) prepared in
dimethylformamide. The sol droplets transform into harder gel microparticles due
to sol-gel reactions. Finally, the aerogel microparticles are recovered using
supercritical drying of the gel microparticles. The PBZ and PI aerogel
microparticles prepared in this manner show mean diameter 32.7 and 40.0 MUm,
respectively, mesoporous internal structures, and surface area 55.4 and 512.0
m(2)/g, respectively. Carbonization of PBZ aerogel microparticles maintains the
mesoporous internal structures but yields narrower pore size distribution.
PMID- 27183147
TI - Nucleotide excision repair and response and survival to chemotherapy in
colorectal cancer patients.
AB - Several new chemotherapeutic agents have become available for the treatment of
colorectal cancer, which has led to increased complexity in treatment planning.
Treatment decision making for individual patients could be facilitated if guided
by predictive and prognostic markers. As most cytotoxic drugs induce DNA damage,
the DNA damage repair pathways hold potential for yielding such biomarkers. Here,
we review the current evidence of a possible involvement of the nucleotide
excision repair pathway in the efficacy of chemotherapeutic agents used in the
treatment of colorectal cancer. Although a large number of studies have been
conducted, they are generally of moderate size and heterogeneous in design. Up to
date no firm conclusions can be drawn to translate these results into the clinic.
We recommend further comprehensive investigations of the nucleotide excision
repair pathway in large patient studies that include both discovery and
validation cohorts.
PMID- 27183148
TI - A t(16;21)(p11;q22) in Acute Myeloid Leukemia (AML) Resulting in Fusion of the
FUS/TLS and ERG Genes: A Review of the Literature.
AB - The t(16;21)(p11;q22) is a rare chromosomal abnormality that appears in
approximately 1% of acute myeloid leukemia (AML) cases. Previously, between 50
and 60 cases have been reported. In this review, we will discuss the literature
regarding t(16;21) as well as cases published. We compiled 68 cases from the
Mitelman Database of Chromosome Aberrations and Gene Fusions in Cancer as well as
10 additional cases in the literature, for a total of 78 cases. The t(16;21)
results in the TLS(FUS)-ERG fusion protein, which is believed to function as a
transcriptional activator in leukemogenesis and has been demonstrated to
interfere in normal pre-mRNA splicing functions of FUS/TLS. Reverse-transcriptase
polymerase chain reaction of fusion transcripts in patients, has been
demonstrated to have diagnostic significance in monitoring for minimal residual
disease. Cytogenetically, about half of the cases had secondary chromosomal
abnormalities; we found that trisomy 8 and 10 were the most common abnormalities,
occurring in 9.1% of the otal cases for each. t(16;21) in AML has been described
with various morphological features, such as phagocytosis and vacuolation, and is
present in multiple FAB types. Immunophenotypic characteristics such as CD33 and
CD34 expression have also been noted, and several studies have examined the
relation between CD56 receptor expression and t(16;21) AML. In general, t(16;21)
in AML is associated with a poor prognosis and this abnormality could serve as
cytogenetic indicator in determining diagnosis and prognosis. Herein, we
summarize the cytogenetic features found in the the Mitelman Database of
Chromosome Aberrations and Gene Fusions in Cancer for t(16;21) in AML, as well as
review the current literature associated with t(16;21), AML and its features.
PMID- 27183149
TI - 'Two Minds with but a Single Thought ...'.
PMID- 27183150
TI - Disruption of the gene C12orf35 leads to increased productivities in recombinant
CHO cell lines.
AB - Recently, we reported that the loss of a telomeric region of chromosome 8 in
Chinese Hamster Ovary (CHO) cells correlates with higher recombinant
productivities. New cell lines lacking this region, called CHO-C8DEL, showed
several advantages during cell line generation and for the production of
recombinant proteins (Ritter et al., 2016, Biotechnol Bioeng). Here, we performed
knock-down and knock-out experiments of genes located within this telomeric
region of chromosome 8 to identify the genes causing the observed phenotypes of
CHO-C8DEL cell lines. We present evidence that loss or reduced expression of the
gene C12orf35 is responsible for higher productivities and shorter recovery times
during selection pressure. These effects are mediated by increased levels of mRNA
of the exogenes heavy chain (HC) and light chain (LC) as well as dihydrofolate
reductase (DHFR) and neomycin phosphotransferase (Neo) during the stable
expression of antibodies. Biotechnol. Bioeng. 2016;113: 2433-2442. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27183151
TI - Assessment of Neurocognitive Functions in Children and Adolescents with Obesity.
AB - Obesity is linked to adverse neurocognitive outcomes including reduced cognitive
functioning. We aimed to investigate the differences in neuropsychological test
performance of Turkish children and adolescents with obesity and healthy peers.
Study includes 147 children and adolescents ranging in age from 8 to 16 years: 92
with obesity and 55 with healthy controls. After the participants were
administered the Children's Depression Inventory (CDI) and the Screen for Child
Anxiety Related Disorders (SCARED), they completed the battery tests of the
Central Nervous System Vital Signs (CNSVS), a neurocognitive test battery, via
computer. The battery calculates seven domain scores (Memory, Psychomotor speed,
Processing speed, Reaction time, Complex attention, Executive Function, Cognitive
flexibility), and a summary score (Neurocognition Index [NCI]). There was a
statistically significant difference between the obesity and control groups on
all cognitive domains. The mean NCI score of the obesity group was 81.3 +/- 10.24
compared to 97.29 +/- 4.97 for the control group. The mean NCI score in the
obesity group was significantly lower than that of the control group (p < 0.001).
The mean scores of other domains of obese patients were also found to be
significantly lower than those of the control participants (p < 0.001). The mean
SCARED scores of the participants with obesity were found to be significantly
higher than those of the control participants (p < 0.05). However, no
statistically significant relationship was found between the SCARED and the CNSVS
scores. Cognitive dysfunction in children and adolescents with obesity should be
taken into consideration when assessing and managing this population.
PMID- 27183152
TI - Body representation alterations in personal but not in extrapersonal neglect
patients.
AB - There is still a matter of debate around the nature of personal neglect. Is it an
attention disorder or a body representation disorder? Here we investigate the
presence of body representation deficits (i.e., the visuo-spatial body map) in
right and left brain-damaged patients and in particular in those affected by
personal neglect. 23 unilateral brain-damaged patients (5 left-brain-damaged and
18 right-brain-damaged patients) and 15 healthy controls took part in the study.
The visuo-spatial body map was assessed by means of the "Frontal body-evocation
subtest (FBE)," in which participants have to put tiles representing body parts
on a small wooden board where only the head is depicted as a reference point. In
order to compare performance on the FBE with performance on an inanimate object
that had well-defined right and left sides, participants also performed the "Car
test." Group statistical analysis shows that the performance of patients with
personal neglect is significantly worse than that of the controls and patients
without personal neglect in the FBE but not in the Car test. Single case analyses
of the five patients with pure personal neglect confirm the results of group
analysis. Our data supports the hypothesis that personal neglect is a pervasive
body representation disorder.
PMID- 27183153
TI - Efficacy and safety of 1550-nm fractional laser in the treatment of acne scars in
Chinese patients: A split-face comparative study.
AB - OBJECTIVE: To evaluate the efficacy and side effects of 1550-nm fractional
Er:Glass laser in treating atrophic acne scar. MATERIALS AND METHODS: Thirty
Chinese patients aged 18-65 with atrophic acne scars on both cheeks received a
split-face treatment, one side with four sessions of treatment with fractional
1550-nm Er:Glass laser at 20-day interval and the other with topical asiaticoside
cream application three times daily as control. Clinical response and side
effects were evaluated by a dermatologist three weeks after each treatment and
again 12 weeks after the last laser treatment. In addition, self-evaluation of
satisfaction by the patients was done at the end of treatment. RESULTS: The study
found that mean scores decrease after treatment was 5.65 +/- 4.34 for the treated
side and 1.23 +/- 3.41 for the control side. The improvement in acne scars after
the fractional Er:Glass laser 1550-nm treatment was more significant than the
control side (p = 0.0001). The side effects were mainly local skin irritation and
erythema, which disappeared within one week. CONCLUSION: The research results
show that the fractional 1550-nm Er:Glass laser is an effective and safe
treatment device for atrophic acne scars.
PMID- 27183154
TI - Do Resting Plasma beta-Endorphin Levels Predict Responses to Opioid Analgesics?
AB - OBJECTIVES: Clinically feasible predictors of opioid analgesic responses for use
in precision pain medicine protocols are needed. This study evaluated whether
resting plasma beta-endorphin (BE) levels predicted responses to an opioid
analgesic, and whether chronic pain status or sex moderated these effects.
METHODS: Participants included 73 individuals with chronic low back pain (CLBP)
and 88 pain-free controls, all using no daily opioid analgesics. Participants
attended 2 identical laboratory sessions during which they received either
intravenous morphine (0.08 mg/kg) or saline placebo, with blood samples obtained
before drug administration to assay resting plasma BE levels. Once peak drug
activity was achieved in each session, participants engaged in an ischemic
forearm pain task (ISC) and a heat pain task. Morphine analgesic effects were
derived reflecting the difference in pain outcomes between placebo and morphine
conditions. RESULTS: In hierarchical regressions, significant Type (CLBP vs.
control)*BE interactions (Ps<0.05) were noted for morphine effects on ISC
tolerance, ISC intratask pain ratings, and thermal VAS unpleasantness ratings.
These interactions derived primarily from associations between higher BE levels
and smaller morphine effects restricted to the CLBP subgroup. All other BE
related effects, including sex interactions, for predicting morphine analgesia
failed to reach statistical significance. DISCUSSION: BE was a predictor of
morphine analgesia for only 3 out of 9 outcomes examined, with these effects
moderated by chronic pain status but not sex. On the whole, results do not
suggest that resting plasma BE levels are likely to be a clinically useful
predictor of opioid analgesic responses.
PMID- 27183165
TI - Antibacterial, Structural and Optical Characterization of Mechano-Chemically
Prepared ZnO Nanoparticles.
AB - Structural investigations, optical properties and antibacterial performance of
the pure Zinc Oxide (ZnO) nanoparticles (NPs) synthesized by mechano-chemical
method are presented. The morphology, dimensions and crystallinity of the ZnO NPs
were controlled by tweaking the mechanical agitation of the mixture and
subsequent thermal treatment. ZnO nanoparticles in small (< 20 nm) dimensions
with spherical morphology and narrow size distribution were successfully obtained
after treating the mechano-chemically prepared samples at 250 degrees C. However,
higher temperature treatments produced larger particles. TEM, XRD and UV-Vis
spectroscopy results suggested crystalline and phase pure ZnO. The NPs
demonstrated promising antibacterial activity against Gram negative foodborne and
waterborne bacterial pathogens i.e. Enteropathogenic E. coli (EPEC),
Campylobacter jejuni and Vibrio cholerae as well as Gram positive methicillin
resistant Staphylococcus aureus (MRSA), thus potential for medical applications.
Scanning electron microscopy and survival assay indicated that most probably ZnO
nanoparticles cause changes in cellular morphology which eventually causes
bacterial cell death.
PMID- 27183166
TI - Lecithin:Retinol Acyltransferase: A Key Enzyme Involved in the Retinoid (visual)
Cycle.
AB - Lecithin:retinol acyltransferase (LRAT) catalyzes the acyl transfer from the sn-1
position of phosphatidylcholine (PC) to all-trans-retinol, creating fatty acid
retinyl esters (palmitoyl, stearoyl, and some unsaturated derivatives). In the
eye, these retinyl esters are substrates for the 65 kDa retinoid isomerase
(RPE65). LRAT is well characterized biochemically, and recent structural data
from closely related family members of the NlpC/P60 superfamily and a chimeric
protein have established its catalytic mechanism. Mutations in the LRAT gene are
responsible for approximately 1% of reported cases of Leber congenital amaurosis
(LCA). Lack of functional LRAT, expressed in the retinal pigmented epithelium
(RPE), results in loss of the visual chromophore and photoreceptor degeneration.
LCA is a rare hereditary retinal dystrophy with an early onset associated with
mutations in one of 21 known genes. Protocols have been devised to identify
therapeutics that compensate for mutations in RPE65, also associated with LCA.
The same protocols can be adapted to combat dystrophies associated with LRAT.
Improvement in the visual function of clinical recipients of therapy with
recombinant adeno-associated virus (rAAV) vectors incorporating the RPE65 gene
provides a proof of concept for LRAT, which functions in the same cell type and
metabolic pathway as RPE65. In parallel, a clinical trial that employs oral 9-cis
retinyl acetate to replace the missing chromophore in RPE65 and LRAT causative
disease has proven to be effective and free of adverse effects. This article
summarizes the biochemistry of LRAT and examines chromophore replacement as a
treatment for LCA caused by LRAT mutations.
PMID- 27183168
TI - Are We Moving Closer to Noninvasive Imaging and Monitoring of Neonatal Anesthesia
induced Neurotoxicity?
PMID- 27183170
TI - Mussel-Inspired Polydopamine Coating for Enhanced Thermal Stability and Rate
Performance of Graphite Anodes in Li-Ion Batteries.
AB - Despite two decades of commercial history, it remains very difficult to
simultaneously achieve both high rate capability and thermal stability in the
graphite anodes of Li-ion batteries because the stable solid electrolyte
interphase (SEI) layer, which is essential for thermal stability, impedes facile
Li(+) ion transport at the interface. Here, we resolve this longstanding
challenge using a mussel-inspired polydopamine (PD) coating via a simple
immersion process. The nanometer-thick PD coating layer allows the formation of
an SEI layer on the coating surface without perturbing the intrinsic properties
of the SEI layer of the graphite anodes. PD-coated graphite exhibits far better
performances in cycling test at 60 degrees C and storage test at 90 degrees C
than bare graphite. The PD-coated graphite also displays superior rate capability
during both lithiation and delithiation. As evidenced by surface free energy
analysis, the enhanced performance of the PD-coated graphite can be ascribed to
the Lewis basicity of the PD, which scavenges harmful hydrofluoric acid and forms
an intermediate triple-body complex among a Li(+) ion, solvent molecules, and the
PD's basic site. The usefulness of the proposed PD coating can be expanded to
various electrodes in rechargeable batteries that suffer from poor thermal
stability and interfacial kinetics.
PMID- 27183169
TI - In Vivo Monitoring of Sevoflurane-induced Adverse Effects in Neonatal Nonhuman
Primates Using Small-animal Positron Emission Tomography.
AB - BACKGROUND: Animals exposed to sevoflurane during development sustain neuronal
cell death in their developing brains. In vivo micro-positron emission tomography
(PET)/computed tomography imaging has been utilized as a minimally invasive
method to detect anesthetic-induced neuronal adverse effects in animal studies.
METHODS: Neonatal rhesus monkeys (postnatal day 5 or 6, 3 to 6 per group) were
exposed for 8 h to 2.5% sevoflurane with or without acetyl-L-carnitine (ALC).
Control monkeys were exposed to room air with or without ALC. Physiologic status
was monitored throughout exposures. Depth of anesthesia was monitored using
quantitative electroencephalography. After the exposure, microPET/computed
tomography scans using F-labeled fluoroethoxybenzyl-N-(4-phenoxypyridin-3-yl)
acetamide (FEPPA) were performed repeatedly on day 1, 1 and 3 weeks, and 2 and 6
months after exposure. RESULTS: Critical physiologic metrics in neonatal monkeys
remained within the normal range during anesthetic exposures. The uptake of [F]
FEPPA in the frontal and temporal lobes was increased significantly 1 day or 1
week after exposure, respectively. Analyses of microPET images recorded 1 day
after exposure showed that sevoflurane exposure increased [F]-FEPPA uptake in the
frontal lobe from 0.927 +/- 0.04 to 1.146 +/- 0.04, and in the temporal lobe from
0.859 +/- 0.05 to 1.046 +/- 0.04 (mean +/- SE, P < 0.05). Coadministration of ALC
effectively blocked the increase in FEPPA uptake. Sevoflurane-induced adverse
effects were confirmed by histopathologic evidence as well. CONCLUSIONS:
Sevoflurane-induced general anesthesia during development increases glial
activation, which may serve as a surrogate for neurotoxicity in the nonhuman
primate brain. ALC is a potential protective agent against some of the adverse
effects associated with such exposures.
PMID- 27183171
TI - Directing Matter: Toward Atomic-Scale 3D Nanofabrication.
AB - Enabling memristive, neuromorphic, and quantum-based computing as well as
efficient mainstream energy storage and conversion technologies requires the next
generation of materials customized at the atomic scale. This requires full
control of atomic arrangement and bonding in three dimensions. The last two
decades witnessed substantial industrial, academic, and government research
efforts directed toward this goal through various lithographies and scanning
probe-based methods. These technologies emphasize 2D surface structures, with
some limited 3D capability. Recently, a range of focused electron- and ion-based
methods have demonstrated compelling alternative pathways to achieving atomically
precise manufacturing of 3D structures in solids, liquids, and at interfaces.
Electron and ion microscopies offer a platform that can simultaneously observe
dynamic and static structures at the nano- and atomic scales and also induce
structural rearrangements and chemical transformation. The addition of predictive
modeling or rapid image analytics and feedback enables guiding these in a
controlled manner. Here, we review the recent results that used focused electron
and ion beams to create free-standing nanoscale 3D structures, radiolysis, and
the fabrication potential with liquid precursors, epitaxial crystallization of
amorphous oxides with atomic layer precision, as well as visualization and
control of individual dopant motion within a 3D crystal lattice. These works lay
the foundation for approaches to directing nanoscale level architectures and
offer a potential roadmap to full 3D atomic control in materials. In this paper,
we lay out the gaps that currently constrain the processing range of these
platforms, reflect on indirect requirements, such as the integration of large
scale data analysis with theory, and discuss future prospects of these
technologies.
PMID- 27183167
TI - Immunologic Consequences of Hypoxia during Critical Illness.
AB - Hypoxia and immunity are highly intertwined at clinical, cellular, and molecular
levels. The prevention of tissue hypoxia and modulation of systemic inflammation
are cornerstones of daily practice in the intensive care unit. Potentially,
immunologic effects of hypoxia may contribute to outcome and represent possible
therapeutic targets. Hypoxia and activation of downstream signaling pathways
result in enhanced innate immune responses, aimed to augment pathogen clearance.
On the other hand, hypoxia also exerts antiinflammatory and tissue-protective
effects in lymphocytes and other tissues. Although human data on the net
immunologic effects of hypoxia and pharmacologic modulation of downstream
pathways are limited, preclinical data support the concept of tailoring the
immune response through modulation of the oxygen status or pharmacologic
modulation of hypoxia-signaling pathways in critically ill patients.
PMID- 27183172
TI - Collection of Patients' Disability Status by Healthcare Organizations: Patients'
Perceptions and Attitudes.
AB - Recent policies call for healthcare organizations to consistently document
patients' disability status for the purpose of tracking the quality of care
experienced by patients with disabilities. The purpose of the study was to
explore patients' attitudes toward healthcare organizations collecting disability
status. We surveyed a convenience sample of patients in three outpatient clinics,
including primary care and rehabilitation clinics. A total of 303 patients
participated; 49% self-identified as disabled, 59% were female and the mean age
was 52 years. The majority of participants (88%) either agreed or strongly agreed
that it is important for healthcare organizations to collect information about
disabilities; 77% stated that they were comfortable or very comfortable with
healthcare organizations collecting this information. By contrast, we found that
almost a quarter of participants had concerns with front desk staff collecting
disability status information. When we presented disability questions endorsed by
the Health and Human Services Department, over a quarter of participants (28%)
felt that the questions were not inclusive of all disability categories. Although
patients are supportive of healthcare organizations collecting disability status
information, concerns exist regarding how the information is collected and which
categories are included, suggesting the need for continued development of
evidence-based, patient-centered methods and questions.
PMID- 27183173
TI - Nationwide 30-Day Readmissions After Elective Orthopedic Surgery: Reasons and
Implications.
AB - INTRODUCTION: Reducing readmissions after orthopedic surgery is important for
decreasing hospital costs and patient morbidity. Our goals were to establish
national rates and reasons for 30-day readmissions after common elective
orthopedic procedures. METHODS: Patients undergoing total knee arthroplasty,
total hip arthroplasty, posterior lumbar fusion, anterior cervical discectomy and
fusion, or total shoulder arthroplasty were identified from the American College
of Surgeons National Surgical Quality Improvement Program database. Thirty-day
readmission rates, timing, and reasons were recorded for each procedure.
Multivariate analysis was used to identify risk factors of readmissions. RESULTS:
A total of 3.8% of patients had an all-cause readmission, 3.6% had an unplanned
readmission, and 2.4% had an unplanned readmission related to surgery (URRS). The
most common reason was surgical site complication followed by venous
thromboembolism and bleeding. Only 3.2% of all patients with a URRS were
readmitted because of a predischarge complication. Independent predictors of URRS
were current smoking, any inpatient complication, and non-home discharge.
CONCLUSIONS: Unplanned readmissions were a proxy for new postdischarge
complications rather than a re-exacerbation of previous inpatient events.
Emphasis should be on more effective prevention strategies for surgical site
infections, continuing to prevent inpatient complications and focusing on home
discharge.
PMID- 27183174
TI - What'S New In Shock, June 2016?
PMID- 27183176
TI - A Novel Method for Profiling and Quantifying Short- and Medium-Chain Chlorinated
Paraffins in Environmental Samples Using Comprehensive Two-Dimensional Gas
Chromatography-Electron Capture Negative Ionization High-Resolution Time-of
Flight Mass Spectrometry.
AB - Chlorinated paraffins (CPs) are complex technical mixtures containing thousands
of isomers. Analyzing CPs in environmental matrices is extremely challenging. CPs
have broad, unresolved profiles when analyzed by one-dimensional gas
chromatography (GC). Comprehensive two-dimensional GC (GC*GC) can separate CPs
with a high degree of orthogonality. A novel method for simultaneously profiling
and quantifying short- and medium-chain CPs, using GC*GC coupled with electron
capture negative ionization high-resolution time-of-flight mass spectrometry, was
developed. The method allowed 48 CP formula congener groups to be analyzed highly
selectively in one injection through accurate mass measurements of the [M - Cl](
) ions in full scan mode. The correlation coefficients (R(2)) for the linear
calibration curves for different chlorine contents were 0.982 for short-chain CPs
and 0.945 for medium-chain CPs. The method was successfully used to determine CPs
in sediment and fish samples. By using this method, with enhanced chromatographic
separation and high mass resolution, interferences between CP congeners and other
organohalogen compounds, such as toxaphene, are minimized. New compounds, with
the formulas C9H14Cl6 and C9H13Cl7, were found in sediment and biological samples
for the first time. The method was shown to be a powerful tool for the analysis
of CPs in environmental samples.
PMID- 27183175
TI - Association of Religious Service Attendance With Mortality Among Women.
AB - IMPORTANCE: Studies on the association between attendance at religious services
and mortality often have been limited by inadequate methods for reverse
causation, inability to assess effects over time, and limited information on
mediators and cause-specific mortality. OBJECTIVE: To evaluate associations
between attendance at religious services and subsequent mortality in women.
DESIGN, SETTING, AND PARTICIPANTS: Attendance at religious services was assessed
from the first questionnaire in 1992 through June 2012, by a self-reported
question asked of 74 534 women in the Nurses' Health Study who were free of
cardiovascular disease and cancer at baseline. Data analysis was conducted from
return of the 1996 questionnaire through June 2012. MAIN OUTCOMES AND MEASURES:
Cox proportional hazards regression model and marginal structural models with
time-varying covariates were used to examine the association of attendance at
religious services with all-cause and cause-specific mortality. We adjusted for a
wide range of demographic covariates, lifestyle factors, and medical history
measured repeatedly during the follow-up, and performed sensitivity analyses to
examine the influence of potential unmeasured and residual confounding. RESULTS:
Among the 74 534 women participants, there were 13 537 deaths, including 2721
owing to cardiovascular deaths and 4479 owing to cancer deaths. After
multivariable adjustment for major lifestyle factors, risk factors, and
attendance at religious services in 1992, attending a religious service more than
once per week was associated with 33% lower all-cause mortality compared with
women who had never attended religious services (hazard ratio, 0.67; 95% CI, 0.62
0.71; P < .001 for trend). Comparing women who attended religious services more
than once per week with those who never attend, the hazard ratio for
cardiovascular mortality was 0.73 (95% CI, 0.62-0.85; P < .001 for trend) and for
cancer mortality was 0.79 (95% CI, 0.70-0.89; P < .001 for trend). Results were
robust in sensitivity analysis. Depressive symptoms, smoking, social support, and
optimism were potentially important mediators, although the overall proportion of
the association between attendance at religious services and mortality was
moderate (eg, social support explained 23% of the effect [P = .003], depressive
symptoms explained 11% [P < .001], smoking explained 22% [P < .001], and optimism
explained 9% [P < .001]). CONCLUSIONS AND RELEVANCE: Frequent attendance at
religious services was associated with significantly lower risk of all-cause,
cardiovascular, and cancer mortality among women. Religion and spirituality may
be an underappreciated resource that physicians could explore with their
patients, as appropriate.
PMID- 27183177
TI - Critical Review: Review of the Efficacy, Safety, and Pharmacokinetics of
Raltegravir in Pregnancy.
AB - Raltegravir was previously considered an alternative antiretroviral in pregnancy
because of limited data, but recent pregnancy guidelines recommend raltegravir as
a preferred integrase treatment option. Data from published articles and
preliminary meeting reports between 2001 and July 2015 are reviewed. The
literature includes a total of 278 maternal-infant pairs who received raltegravir
during pregnancy. The standard raltegravir dose seems safe and effective in
preventing mother-to-child transmission in late pregnancy presenters with unknown
or unsuppressed viral load, or in multidrug resistance. Viral decay was rapid
allowing most women to deliver at undetectable viral levels. Raltegravir was well
tolerated, with the exception of a few cases of transient increases in maternal
transaminases. No infant adverse effect was consistently reported. Existing data
support the use of raltegravir in antiretroviral-naive and experienced pregnant
women.
PMID- 27183178
TI - Association of Hepatitis C Virus Infection With CD4/CD8 Ratio in HIV-Positive
Women.
AB - BACKGROUND: Recent studies reported that the CD4/CD8 T-cell ratio is inversely
associated with biomarkers traditionally used to measure immune activation and
systemic inflammation in highly active antiretroviral therapy-treated HIV
infected (HIV+) patients. The relation of hepatitis C virus (HCV) coinfection
with the CD4/CD8 ratio in HIV+ patients is unknown. METHODS: We examined 50,201
CD4/CD8 ratios measured over 20 years in 3 groups of HIV+ women enrolled in the
Women's Interagency HIV Study: HCV antibody negative (n = 1734), cleared HCV (n =
231), and chronic HCV (n = 751) in multivariate models. IFNL4-DeltaG genotype and
HCV viral load were also considered. RESULTS: Compared with HCV antibody negative
status, chronic HCV infection was associated with lower CD4/CD8 ratios when HIV
viral load was suppressed to the lower limit of quantification (beta = -0.08; P =
0.002). Cleared HCV (beta = -0.10; P = 0.0009), but not IFNL4-DeltaG genotype or
HCV viral load, was also associated with lower CD4/CD8 ratios when HIV viral load
was suppressed to the lower limit of quantification. CONCLUSIONS: The association
of HCV coinfection with CD4/CD8 ratio is consistent with previously observed
associations of HCV coinfection with biomarkers traditionally used to measure
immune activation and systemic inflammation in HIV+ patients. These data provide
additional support for the use of CD4/CD8 ratio for routine monitoring of immune
activation and inflammation in HIV+ patients, including those with HIV/HCV
coinfection; however, the unexpected association between cleared HCV and lower
CD4/CD8 ratio requires additional study.
PMID- 27183180
TI - Deoxygenation Increases Photoluminescence Lifetime of Protein-Responsive Organic
Probes with Triplet-Singlet Resonant Energy Transfer.
AB - Cells and bodily fluids possess strong nanosecond-lifetime autofluorescence,
therefore photoluminescent probes with microsecond-scale luminescence decay time
would be useful for analysis of biological samples, as they allow the performance
of measurements in time-resolved (TR) format in a time gate (time window) where
the nonspecific background fluorescence has ceased. We have previously disclosed
binding-responsive luminescent probes for protein kinases (PKs), ARC-Lum(Fluo)
probes. High brightness of the probes is achieved through intramolecular Forster
type resonant energy transfer (FRET) from excited triplet state of a thiophene-
or selenophene-comprising phosphor ((3)D*) to singlet acceptor dye ((1)A) leading
to amplified emission from the dye. Here, we determined quantum yields (QYs) and
oxygen sensitivity of separate phosphorescent donor and fluorescent acceptor and
compared these with those of the corresponding ARC-Lum(Fluo) probes both in
nonbound and PK-bound states. The microsecond-scale luminescence of free and of
PK-bound probes was quenched with different efficiency by molecular oxygen and
the luminescence intensity of the probes was substantially increased upon
deoxygenation. The brightness of an ARC-Lum(Fluo) probe in PK-bound state was
more than 50-fold higher than that of the phosphorescent donor alone. The
findings of the study can be used for the construction of bright long-lifetime
organic tandem probes.
PMID- 27183179
TI - Perinatal nicotine exposure induces myogenic differentiation, but not epithelial
mesenchymal transition in rat offspring lung.
AB - OBJECTIVE: Perinatal nicotine exposure alters offspring lung structure and
function; however, the underlying mechanisms remain incompletely understood.
Whether epithelial-mesenchymal transition (EMT), a known contributor to pulmonary
pathology, occurs following moderate perinatal nicotine exposure is not known.
METHODS: Pregnant, pair-fed Sprague Dawley rat dams received either placebo
(diluent) or nicotine [1 mg/kg, subcutaneously] once daily from embryonic day (e)
6 to postnatal day (PND) 21. Generation 1 (F1) and 3 (F3) offspring lungs were
isolated at PND 21, and using Western analysis, q-RT-PCR and immunohistochemistry
examined for evidence of EMT. To gain further supportive evidence for nicotine
induced EMT, embryonic day 19 primary rat lung alveolar type II (ATII) cells were
cultured and treated with nicotine for 24 hr. RESULTS: Protein levels of alpha
smooth muscle actin, fibronectin, and calponin (myogenic differentiation markers)
increased significantly. However, surfactant proteins B and C, and
cholinephosphate cytidylyltransferase-alpha (epithelial cell markers), as well as
the typical markers of EMT, E-cadherin, N-cadherin, and fibroblast specific
protein (FSP)-1, in both F1 and F3 generation lungs, showed no significant change
between the nicotine exposed and control dams. Immunostaining of lung sections
and data from in vitro treated ATII cells strongly supported the Western data.
CONCLUSIONS: Enhanced myogenic molecular profile, without evidence of EMT, as
evidenced by the absence of the loss of E-cadherin or gains in N-cadherin and FSP
1, suggest that perinatal nicotine exposure does not result in EMT, but it leads
to myogenesis, which predominantly accounts for the lung phenotype seen in
perinatally nicotine exposed rat offspring. Pediatr Pulmonol. 2016;51:1142-1150.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27183181
TI - Yes, You Can: Physicians, Patients, and Firearms.
AB - Physicians have unique opportunities to help prevent firearm violence. Concern
has developed that federal and state laws or regulations prohibit physicians from
asking or counseling patients about firearms and disclosing patient information
about firearms to others, even when threats to health and safety may be involved.
This is not the case. In this article, the authors explain the statutes in
question, emphasizing that physicians may ask about firearms (with rare
exceptions), may counsel about firearms as they do about other health matters,
and may disclose information to third parties when necessary. The authors then
review circumstances under which questions about firearms might be most
appropriate if they are not asked routinely. Such circumstances include instances
when the patient provides information or exhibits behavior suggesting an acutely
increased risk for violence, whether to himself or others, or when the patient
possesses other individual-level risk factors for violence, such as alcohol
abuse. The article summarizes the literature on current physician practices in
asking and counseling about firearms, which are done far less commonly than
recommended. Barriers to engaging in those practices, the effectiveness of
clinical efforts to prevent firearm-related injuries, and what patients think
about such efforts and physicians who engage in them are discussed. Proceeding
from the limited available evidence, the authors make specific recommendations on
how physicians might counsel their patients to reduce their risk for firearm
related death or serious injury. Finally, the authors review the circumstances
under which disclosure of patient information about firearms to third parties is
supported by regulations implementing the Health Insurance Portability and
Accountability Act.
PMID- 27183182
TI - Correction: Online Alcohol Assessment and Feedback for Hazardous and Harmful
Drinkers: Findings From the AMADEUS-2 Randomized Controlled Trial of Routine
Practice in Swedish Universities.
PMID- 27183183
TI - KOtBu: A Privileged Reagent for Electron Transfer Reactions?
AB - Many recent studies have used KOtBu in organic reactions that involve single
electron transfer; in the literature, the electron transfer is proposed to occur
either directly from the metal alkoxide or indirectly, following reaction of the
alkoxide with a solvent or additive. These reaction classes include coupling
reactions of halobenzenes and arenes, reductive cleavages of dithianes, and SRN1
reactions. Direct electron transfer would imply that alkali metal alkoxides are
willing partners in these electron transfer reactions, but the literature reports
provide little or no experimental evidence for this. This paper examines each of
these classes of reaction in turn, and contests the roles proposed for KOtBu;
instead, it provides new mechanistic information that in each case supports the
in situ formation of organic electron donors. We go on to show that direct
electron transfer from KOtBu can however occur in appropriate cases, where the
electron acceptor has a reduction potential near the oxidation potential of
KOtBu, and the example that we use is CBr4. In this case, computational results
support electrochemical data in backing a direct electron transfer reaction.
PMID- 27183185
TI - Access Governance for Biobanks: The Case of the BioSHaRE-EU Cohorts.
AB - Currently, researchers have to apply separately to individual biobanks if they
want to carry out studies that use samples and data from multiple biobanks. This
article analyzes the access governance arrangements of the original five biobank
members of the Biobank Standardisation and Harmonisation for Research Excellence
in the European Union (BioSHaRE-EU) project in Finland, Germany, the Netherlands,
Norway, and the United Kingdom to identify similarities and differences in
policies and procedures, and consider the potential for internal policy
"harmonization." Our analysis found differences in the range of researchers and
organizations eligible to access biobanks; application processes; requirements
for Research Ethics Committee approval; and terms of Material Transfer Agreements
relating to ownership and commercialization. However, the main elements of access
are the same across biobanks; access will be granted to bona fide researchers
conducting research in the public interest, and all biobanks will consider the
scientific merit of the proposed use and it's compatibility with the biobank's
objectives. These findings suggest potential areas for harmonization across
biobanks. This could be achieved through a single centralized application to a
number of biobanks or a system of mutual recognition that places a presumption in
favor of access to one biobank if already approved by another member of the same
consortium. Biobanking and Biomolecular Resources Research Infrastructure
European Research Infrastructure Consortia (BBMRI-ERIC), a European consortium of
biobanks and bioresources with its own ethical, legal, and social implications
(ELSI) common service, could provide a platform by developing guidelines for
harmonized internal processes.
PMID- 27183186
TI - Peer support services in the behavioral healthcare workforce: State of the field.
AB - OBJECTIVE: This article examines how the history and philosophy of peer support
services has shaped current mental health and substance use service delivery
systems. The growth of peer-run and recovery community organizations in the
changing health care environment are discussed, including issues related to
workforce development, funding, relevant policies, and opportunities for
expansion. These initiatives are designed to increase access to recovery
promoting services. METHODS: We conducted an environmental scan and analysis of
peer support services within the behavioral health care field in the United
States, with particular attention to initiatives of the Substance Abuse and
Mental Health Services Administration. Published manuscripts, policy statements,
and reports were reviewed. FINDINGS: There is abundant and growing literature
illustrating how peer support services have become an integral component of
behavioral health care systems in many states. Peer support services have the
potential to increase access to recovery-oriented services for people with mental
and substance use disorders served by the public behavioral health care system.
Numerous initiatives in various states are being undertaken to build this
workforce. CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: Workforce and financing
challenges exist, yet opportunities, including among others those made possible
by the Affordable Care Act, will continue to strengthen the peer support
workforce within behavioral health service delivery systems. (PsycINFO Database
Record
PMID- 27183187
TI - Predictors of physical activity in persons with mental illness: Testing a social
cognitive model.
AB - OBJECTIVE: This study examined whether the social cognitive theory (SCT) model
can be used to explain the variance in physical exercise among persons with
serious mental illnesses. METHOD: A cross-sectional, correlational design was
employed. Participants from community mental health centers and supported housing
programs (N = 120) completed 9 measures on exercise, social support, self
efficacy, outcome expectations, barriers, and goal-setting. RESULTS: Hierarchical
regression tested the relationship between self-report physical activity and SCT
determinants while controlling for personal characteristics. The model explained
25% of the variance in exercise. Personal characteristics explained 18% of the
variance in physical activity, SCT variables of social support, self-efficacy,
outcome expectations, barriers, and goals were entered simultaneously, and they
added an r2 change value of .07. Gender (beta = -.316, p = .001) and Brief
Symptom Inventory Depression subscale (beta = -2.08, p < .040) contributed
significantly to the prediction of exercise. In a separate stepwise multiple
regression, we entered only SCT variables as potential predictors of exercise.
Goal-setting was the single significant predictor, F(1, 118) = 13.59, p < .01),
r2 = .10. CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: SCT shows promise as an
explanatory model of exercise in persons with mental illnesses. Goal-setting
practices, self-efficacy, outcome expectations and social support from friends
for exercise should be encouraged by psychiatric rehabilitation practitioners.
People with more depressive symptoms and women exercise less. More work is needed
on theoretical exploration of predictors of exercise. (PsycINFO Database Record
PMID- 27183189
TI - Fathers' postnatal distress, parenting self-efficacy, later parenting behavior,
and children's emotional-behavioral functioning: A longitudinal study.
AB - Fathers' postnatal distress has been associated with subsequent emotional and
behavioral problems for children; however, the mechanisms by which this occurs
have received less attention. One potential pathway could be via the negative
effects that father mental health problems and parenting self-efficacy (PSE) in
the postnatal period have on later parenting behaviors. Using a nationally
representative cohort of Australian father-child dyads (N = 3,741), the long-term
relationships between fathers' psychological distress and PSE in the postnatal
period, parenting behavior when children were aged 4-5 years, and emotional
behavioral outcomes for children aged 8-9 years were explored. Path analysis
indicated that high distress and low PSE in the postnatal period was associated
with higher levels of hostile parenting and lower parenting consistency when
children were aged 4-5 years; in turn, these were associated with poorer child
outcomes at 8-9 years. These results remained significant after controlling for
socioeconomic position, couple relationship quality, mothers' and fathers' mental
health, and fathers' concurrent parenting behavior. The pathways among PSE,
parenting hostility, parenting consistency, and children's outcomes at age 8-9
years differed for fathers of boys compared with fathers of girls. Results
highlight the importance of father-inclusive assessments of postnatal mental
health. Support programs targeting new fathers' perceptions of parenting
competence may be particularly important for fathers experiencing postnatal
distress. For fathers, building a stronger sense of parenting competence in the
postnatal period is important for later parenting behavior, which relates to
children's emotional and behavioral outcomes during middle childhood. (PsycINFO
Database Record
PMID- 27183188
TI - Longitudinal associations between relationship quality and coparenting across the
transition to parenthood: A dyadic perspective.
AB - The couple and coparenting relationship are theorized to influence each other in
a reciprocal manner over time. Empirical evidence demonstrates cross-sectional
associations between the 2 as well as prospective predictions of coparenting by
relationship quality and vice versa. However, less is known about the
longitudinal reciprocity between the couple relationship and coparenting from the
perspective of both parents. The current study sought to examine longitudinal
associations between relationship quality and coparenting support/undermining
across the transition to parenthood from a dyadic perspective. Participants were
164 cohabiting heterosexual couples expecting their 1st child, assessed during
pregnancy and at 6 and 36 months after birth. Actor partner interdependence
modeling was used to examine, for both men and women, (a) stability over time in
relationship quality and coparenting, (b) reciprocal associations between
relationship quality and coparenting support/undermining, and (c) the gender
differences in those associations. Moderate rank-order stability in relationship
quality and coparenting support/undermining across the 1st 3 years of parenthood
was demonstrated. For women, but not men, findings suggested longitudinal
reciprocal associations between relationship quality and coparenting
support/undermining. Specifically, our findings suggested that prenatal
relationship quality sets the stage for coparenting functioning after birth for
both men and women but that coparenting functioning is then connected to
subsequent feelings about the romantic relationship for only women. (PsycINFO
Database Record
PMID- 27183190
TI - Reply.
PMID- 27183191
TI - High-Efficiency Selective Electron Tunnelling in a Heterostructure Photovoltaic
Diode.
AB - A heterostructure photovoltaic diode featuring an all-solid-state
TiO2/graphene/dye ternary interface with high-efficiency photogenerated charge
separation/transport is described here. Light absorption is accomplished by dye
molecules deposited on the outside surface of graphene as photoreceptors to
produce photoexcited electron-hole pairs. Unlike conventional photovoltaic
conversion, in this heterostructure both photoexcited electrons and holes tunnel
along the same direction into graphene, but only electrons display efficient
ballistic transport toward the TiO2 transport layer, thus leading to effective
photon-to-electricity conversion. On the basis of this ipsilateral selective
electron tunnelling (ISET) mechanism, a model monolayer photovoltaic device (PVD)
possessing a TiO2/graphene/acridine orange ternary interface showed ~86.8%
interfacial separation/collection efficiency, which guaranteed an ultrahigh
absorbed photon-to-current efficiency (APCE, ~80%). Such an ISET-based PVD may
become a fundamental device architecture for photovoltaic solar cells,
photoelectric detectors, and other novel optoelectronic applications with obvious
advantages, such as high efficiency, easy fabrication, scalability, and universal
availability of cost-effective materials.
PMID- 27183192
TI - Detection of periodic motion trajectories: Effects of frequency and radius.
AB - Periodic trajectories are an important component of biological motion. Or,
Thabet, Wilkinson, and Wilson (2011) studied radial frequency (RF) motion
trajectory detection and concluded that, for RF2-5 trajectories, the threshold
function paralleled that of static RF patterns. We have extended Or et al.'s
(2011) findings to a broader range of RFs (three to 24 cycles) and across a 4
fold range of radii (1 degrees -4 degrees ). We report that (a) thresholds for RF
trajectories decrease as a power function of RF for low RF trajectories (three to
six cycles) before approaching an asymptote at high RFs (12-24 cycles); (b)
detection thresholds for RF trajectories scale proportionally with radius; and
(c) there is no lower versus upper field advantage in the parafoveal field for
stimuli displaced from fixation on the vertical midline. The results are compared
to earlier findings for static RF thresholds, and we argue that our findings
support the existence of parallel spatial and temporal processing channels that
may contribute to both action perception and production.
PMID- 27183193
TI - Accessory stimuli speed reaction times and reduce distraction in a target
distractor task.
AB - Eye movements in a visual search task are drawn towards items irrelevant to the
search (distractors). Advance information about the position or features of
distractors can reduce this effect, by speeding the resolution of conflict
between search target and distractor. The present study investigated whether this
can also be achieved by a prime that merely warns of an impending task without
providing any other information (an accessory stimulus). We found that accessory
stimuli speed the initiation of a saccade to the target, but also speed the
resolution of target-distractor conflict. This finding suggests that the
oculomotor system can be prepared to counteract distraction in advance of task
onset, without requiring information about a specific spatial location or
feature.
PMID- 27183195
TI - Structure of the Dcp2-Dcp1 mRNA-decapping complex in the activated conformation.
AB - The removal of the mRNA 5' cap (decapping) by Dcp2 shuts down translation and
commits mRNA to full degradation. Dcp2 activity is enhanced by activator proteins
such as Dcp1 and Edc1. However, owing to conformational flexibility, the active
conformation of Dcp2 and the mechanism of decapping activation have remained
unknown. Here, we report a 1.6-A-resolution crystal structure of the
Schizosaccharomyces pombe Dcp2-Dcp1 heterodimer in an unprecedented conformation
that is tied together by an intrinsically disordered peptide from Edc1. In this
ternary complex, an unforeseen rotation of the Dcp2 catalytic domain allows
residues from both Dcp2 and Dcp1 to cooperate in RNA binding, thus explaining
decapping activation by increased substrate affinity. The architecture of the
Dcp2-Dcp1-Edc1 complex provides a rationale for the conservation of a sequence
motif in Edc1 that is also present in unrelated decapping activators, thus
indicating that the presently described mechanism of decapping activation is
evolutionarily conserved.
PMID- 27183194
TI - Functional interplay between MSL1 and CDK7 controls RNA polymerase II Ser5
phosphorylation.
AB - Proper gene expression requires coordinated interplay among transcriptional
coactivators, transcription factors and the general transcription machinery. We
report here that MSL1, a central component of the dosage compensation complex in
Drosophila melanogaster and Drosophila virilis, displays evolutionarily conserved
sex-independent binding to promoters. Genetic and biochemical analyses reveal a
functional interaction of MSL1 with CDK7, a subunit of the Cdk-activating kinase
(CAK) complex of the general transcription factor TFIIH. Importantly, MSL1
depletion leads to decreased phosphorylation of Ser5 of RNA polymerase II. In
addition, we demonstrate that MSL1 is a phosphoprotein, and transgenic flies
expressing MSL1 phosphomutants show mislocalization of the histone
acetyltransferase MOF and histone H4 K16 acetylation, thus ultimately causing
male lethality due to a failure of dosage compensation. We propose that, by
virtue of its interaction with components of the general transcription machinery,
MSL1 exists in different phosphorylation states, thereby modulating transcription
in flies.
PMID- 27183197
TI - Automated Overnight Closed-Loop Control Using a Proportional-Integral-Derivative
Algorithm with Insulin Feedback in Children and Adolescents with Type 1 Diabetes
at Diabetes Camp.
AB - OBJECTIVE: This study determined the feasibility and efficacy of an automated
proportional-integral-derivative with insulin feedback (PID-IFB) controller in
overnight closed-loop (OCL) control of children and adolescents with type 1
diabetes over multiple days in a diabetes camp setting. RESEARCH DESIGN AND
METHODS: The Medtronic (Northridge, CA) AndroidTM (Google, Mountain View, CA)
based PID-IFB system consists of the Medtronic Minimed RevelTM 2.0 pump and
EnliteTM sensor, a control algorithm residing on an Android phone, a translator,
and remote monitoring capabilities. An inpatient study was completed for 16
participants to determine feasibility. For the camp study, subjects with type 1
diabetes were randomized to either OCL or sensor-augmented pump therapy (control
conditions) per night for up to 6 nights at diabetes camp. RESULTS: During the
camp study, 21 subjects completed 50 OCL nights and 52 control nights. Based on
intention to treat, the median time spent in range, from 70 to 150 mg/dL, was
greater during OCL at 66.4% (n = 55) versus 50.6% (n = 52) during the control
period (P = 0.004). A per-protocol analysis allowed for assessment of algorithm
performance with the median percentage time in range, 70-150 mg/dL, being 75.5%
(n = 37) for OCL versus 47.6% (n = 32) for the control period (P < 0.001). There
was less time spent in the hypoglycemic ranges <60 mg/dL and <70 mg/dL during OCL
compared with the control period (P = 0.003 and P < 0.001, respectively).
CONCLUSIONS: The PID-IFB controller is effective in improving time spent in range
as well as reducing nocturnal hypoglycemia during the overnight period in
children and adolescents with type 1 diabetes in a diabetes camp setting.
PMID- 27183196
TI - Mechanism of extracellular ion exchange and binding-site occlusion in a
sodium/calcium exchanger.
AB - Na(+)/Ca(2+) exchangers use the Na(+) electrochemical gradient across the plasma
membrane to extrude intracellular Ca(2+) and play a central role in Ca(2+)
homeostasis. Here, we elucidate their mechanisms of extracellular ion recognition
and exchange through a structural analysis of the exchanger from Methanococcus
jannaschii (NCX_Mj) bound to Na(+), Ca(2+) or Sr(2+) in various occupancies and
in an apo state. This analysis defines the binding mode and relative affinity of
these ions, establishes the structural basis for the anticipated 3:1 Na(+)/Ca(2+)
exchange stoichiometry and reveals the conformational changes at the onset of the
alternating-access transport mechanism. An independent analysis of the dynamics
and conformational free-energy landscape of NCX_Mj in different ion-occupancy
states, based on enhanced-sampling molecular dynamics simulations, demonstrates
that the crystal structures reflect mechanistically relevant, interconverting
conformations. These calculations also reveal the mechanism by which the outward
to-inward transition is controlled by the ion occupancy, thereby explaining the
emergence of strictly coupled Na(+)/Ca(2+) antiport.
PMID- 27183199
TI - Hsp60 expression profiles in the reef-building coral Seriatopora caliendrum
subjected to heat and cold shock regimes.
AB - Climate changes have increased the intensity/frequency of extreme thermal events,
which represent serious threats to the health of reef-building corals. Since the
vulnerability of corals exposed to thermal stresses are related to their ability
to regulate Heat shock proteins (Hsps), we have analyzed together the time
related expression profiles of the mitochondrial Hsp60 and the associated changes
in tissue pigmentation in Seriatopora caliendrum subjected to 48 h of heat and
cold treatments characterized by moderate (+/-2 degrees C) and severe (+/-6
degrees C) shocks. For the first time, an Hsp60 response was observed in a
scleractinian coral exposed to cold stresses. Furthermore, the Hsp60 modulations
and the changes in the tissue coloration were found to be specific for each
treatment. A strong down-regulation at the end of the treatments was observed
following both the severe shocks, but only the severe heat stress led to
bleaching in concert with the lowest levels of Hsp60, suggesting that a severe
heat shock can be more deleterious than an exposure to a severe cold temperature.
On the contrary, a moderate cold stress seems to be more harmful than a moderate
temperature increase, which could allow coral acclimation. Our results can
provide a potential framework for understanding the physiological tolerance of
corals under possible future climate changes.
PMID- 27183198
TI - Groove model of tibia-femoral osteoarthritis in the rat.
AB - Several experimental models of osteoarthritis in rats are used to study the
pathophysiology of osteoarthritis. Many mechanically induced models have the
limitation that permanent joint instability is induced by, for example, ligament
transection or meniscal damage. This permanent instability will counteract the
potential beneficial effects of therapy. The groove model of osteoarthritis uses
a one-time trigger, surgically induced cartilage damage on the femoral condyles,
and has been validated for the canine tibia-femoral compartment. The present
study evaluates this model for the rat knee joint. The articular cartilage of the
weight bearing surface of both femoral condyles and trochlea were damaged
(grooved) without damaging the underlying subchondral bone. Severity of joint
degeneration was histologically assessed, in addition to patella cartilage
damage, and subchondral bone characteristics by means of (contrast-enhanced)
micro-CT. Mild histological degeneration of the surgically untouched tibial
plateau cartilage was observed in addition to damage of the femoral condyles,
without clear synovial tissue inflammation. Contrast enhanced micro-CT
demonstrated proteoglycan loss of the surgically untouched patella cartilage.
Besides, a more sclerotic structure of the subchondral bone was observed. The
tibia-femoral groove model in a rat results in mild knee joint degeneration,
without permanent joint instability and joint inflammation. This makes the rat
groove model a useful model to study the onset and progression of post-traumatic
non-inflammatory osteoarthritis, creating a relatively sensitive model to study
disease modifying osteoarthritic drugs. (c) 2016 The Authors. Journal of
Orthopaedic Research published by Wiley Periodicals, Inc. on behalf of the
Orthopaedic Research Society. J Orthop Res 35:496-505, 2017.
PMID- 27183200
TI - Toxic effects of cisplatin cytostatic drug in mussel Mytilus galloprovincialis.
AB - Antineoplastic drugs used in chemotherapy were detected in aquatic environment:
despite the very low concentrations (ng L(-1) to ug L(-1)), due to their potent
mechanism of action they could have adverse effects on non-target aquatic
organisms particularly under chronic exposure. Cisplatin (CDDP) is one of the
most effective anticancer drug currently in use but information on its
ecotoxicological effects is very limited. In this study, Mytilus
galloprovincialis was used to investigate the toxic effects related to CDDP
exposure. Mussels were exposed to cisplatin (100 ng L(-1)) for 14 days:
antioxidant (superoxide dismutase, catalase, total and selenium-dependent
glutathione peroxidase) and phase II (glutathione-S-transferase) enzymes
activities, oxidative damage (lipid peroxidation), genotoxicity (DNA damage) and
neurotoxicity (acetylcholinesterase) was evaluated. Results indicate that CDDP at
tested concentration induce changes in the antioxidant capacity, oxidative stress
in target organs (digestive gland and gills) as well as DNA damage in mussel
hemocytes and neurotoxicity representing a risk for non-target organisms.
PMID- 27183204
TI - ApoA1 and ApoA1-specific self-antibodies in cardiovascular disease.
AB - Apolipoprotein A1 (ApoA1) is a main protein moiety in high-density lipoprotein
(HDL) particles. Generally, ApoA1 and HDL are considered as atheroprotective. In
prooxidant and inflammatory microenvironment in the vicinity to the
atherosclerotic lesion, ApoA1/HDL are subjected to modification. The chemical
modifications such as oxidation, nitration, etc result in altering native
architecture of ApoA1 toward dysfunctionality and abnormality. Neutrophil
myeloperoxidase has a prominent role in this mechanism. Neo-epitopes could be
formed and then exposed that makes them immunogenic. Indeed, these epitopes may
be recognized by immune cells and induce production of proatherogenic ApoA1
specific IgG antibodies. These antibodies are biologically relevant because they
are able to react with Toll-like receptor (TLR)-2 and TLR4 in target cells and
induce a variety of pro-inflammatory responses. Epidemiological and functional
studies underline a prognostic value of ApoA1 self-antibodies for several
cardiovascular diseases, including myocardial infarction, acute coronary
syndrome, and severe carotid stenosis.
PMID- 27183205
TI - Downregulation of c-Myc is involved in TLR3-mediated tumor death of neuroblastoma
xenografts.
AB - Neuroblastoma (NB) is the deadliest pediatric solid tumor due to its pleomorphic
molecular characteristics. In the innate immune system, toll-like receptor 3
(TLR3) recognizes viral double-stranded RNAs to initiate immune signaling.
Positive TLR3 expression indicates a favorable prognosis in NB patients, and is
associated with MYCN-non-amplified. However, TLR3-mediated innate immune
responses remain elusive in NB. In this study, we attempted to dissect the
molecular mechanism underlying TLR3-agonist polyinosinic-polycytidylic acid
[poly(I:C)] treatment in NB in vivo. We established NB xenograft models in non
obese diabetic/severe combined immunodeficiency (NOD/SCID) mice with MYCN
amplified SK-N-DZ (DZ) cells or MYCN-non-amplified SK-N-AS (AS) cells. Poly(I:C)
treatment led to significant tumor regression in AS xenografts, but not in DZ
xenografts. Through immunohistochemical analysis, significant suppression of
tumor proliferation, downregulation of c-Myc expression, and upregulation of TLR3
expression were found in the treatment group. Poly(I:C) inducing activation of
TLR3/IRF3-mediated innate immunity associated with downregulation of c-Myc can be
found in MYCN-non-amplified SK-N-AS cells, but not in MYCN-amplified BE(2)-M17
cells. Knockdown of TLR3 disturbed poly(I:C)-induced suppression of c-Myc and
upregulation of p-IRF3 in AS cells. Furthermore, poly(I:C) treatment upregulated
active NF-kappaB, mitochondrial antioxidant manganese superoxide dismutase and 8
hydroxydeoxyguanosine, which works with reactive oxygen species (ROS) generation
and DNA damage. Upregulation of active caspase 3 and cleaved poly [ADP-ribose]
polymerase 1 were found in poly(I:C)-treated AS xenografts, which indicates the
induction of apoptosis. Thus, our results suggest that c-Myc overexpression may
increase sensitivity to poly(I:C)-induced tumor growth arrest and ROS-mediated
apoptosis in NB. This study demonstrates that c-Myc protein expression has an
important role in TLR3-induced innate immune responses, providing future
treatment recommendations.
PMID- 27183207
TI - Influence of heteroaggregation processes between intrinsic colloids and carrier
colloids on cerium(III) mobility through fractured carbonate rocks.
AB - Colloid facilitated transport of radionuclides has been implicated as a major
transport vector for leaked nuclear waste in the subsurface. Sorption of
radionuclides onto mobile carrier colloids such as bentonite and humic acid often
accelerates their transport through saturated rock fractures. Here, we employ
column studies to investigate the impact of intrinsic, bentonite and humic acid
colloids on the transport and recovery of Ce(III) through a fractured chalk core.
Ce(III) recovery where either bentonite or humic colloids were added was 7.7
26.9% Ce for all experiments. Greater Ce(III) recovery was observed when both
types of carrier colloids were present (25.4-37.4%). When only bentonite colloids
were present, Ce(III) appeared to be fractionated between chemical sorption to
the bentonite colloid surfaces and heteroaggregation of bentonite colloids with
intrinsic carbonate colloids, precipitated naturally in solution. However,
scanning electron microscope (SEM) images and colloid stability experiments
reveal that in suspensions of humic acid colloids, colloid-facilitated Ce(III)
migration results only from the latter attachment mechanism rather than from
chemical sorption. This observed heteroaggregation of different colloid types may
be an important factor to consider when predicting potential mobility of leaked
radionuclides from geological repositories for spent fuel located in carbonate
rocks.
PMID- 27183206
TI - Neuropathologic Associations of Learning and Memory in Primary Progressive
Aphasia.
AB - IMPORTANCE: The dementia syndrome of primary progressive aphasia (PPA) can be
caused by 1 of several neuropathologic entities, including forms of
frontotemporal lobar degeneration (FTLD) or Alzheimer disease (AD). Although
episodic memory is initially spared in this syndrome, the subtle learning and
memory features of PPA and their neuropathologic associations have not been
characterized. OBJECTIVE: To detect subtle memory differences on the basis of
autopsy-confirmed neuropathologic diagnoses in PPA. DESIGN, SETTING, AND
PARTICIPANTS: Retrospective analysis was conducted at the Northwestern Cognitive
Neurology and Alzheimer's Disease Center in August 2015 using clinical and
postmortem autopsy data that had been collected between August 1983 and June
2012. Thirteen patients who had the primary clinical diagnosis of PPA and an
autopsy-confirmed diagnosis of either AD (PPA-AD) or a tau variant of FTLD (PPA
FTLD) and 6 patients who had the clinical diagnosis of amnestic dementia and
autopsy-confirmed AD (AMN-AD) were included. MAIN OUTCOMES AND MEASURES: Scores
on the effortless learning, delayed retrieval, and retention conditions of the
Three Words Three Shapes test, a specialized measure of verbal and nonverbal
episodic memory. RESULTS: The PPA-FTLD (n = 6), PPA-AD (n = 7), and AMN-AD (n =
6) groups did not differ by demographic composition (all P > .05). The sample
mean (SD) age was 64.1 (10.3) years at symptom onset and 67.9 (9.9) years at
Three Words Three Shapes test administration. The PPA-FTLD group had normal (ie,
near-ceiling) scores on all verbal and nonverbal test conditions. Both the PPA-AD
and AMN-AD groups had deficits in verbal effortless learning (mean [SD] number of
errors, 9.9 [4.6] and 14.2 [2.0], respectively) and verbal delayed retrieval
(mean [SD] number of errors, 6.1 [5.9] and 12.0 [4.4], respectively). The AMN-AD
group had additional deficits in nonverbal effortless learning (mean [SD] number
of errors, 10.3 [4.0]) and verbal retention (mean [SD] number of errors, 8.33
[5.2]), which were not observed in the PPA-FTLD or PPA-AD groups (all P < .005).
CONCLUSIONS AND RELEVANCE: This study identified neuropathologic associations of
learning and memory in autopsy-confirmed cases of PPA. Among patients with
clinical PPA syndrome, AD neuropathology appeared to interfere with effortless
learning and delayed retrieval of verbal information, whereas FTLD-tau pathology
did not. The results provide directions for future research on the interactions
between limbic and language networks.
PMID- 27183208
TI - Attenuation of pharmaceuticals and their transformation products in a wastewater
treatment plant and its receiving river ecosystem.
AB - Pharmaceuticals are designed to improve human and animal health, but may also be
a threat to freshwater ecosystems, particularly after receiving urban or
wastewater treatment plant (WWTP) effluents. Knowledge on the fate and
attenuation of pharmaceuticals in engineered and natural ecosystems is rather
fragmented, and comparable methods are needed to facilitate the comprehension of
those processes amongst systems. In this study the dynamics of 8 pharmaceuticals
(acetaminophen, sulfapyridine, sulfamethoxazole, carbamazepine, venlafaxine,
ibuprofen, diclofenac, diazepam) and 11 of their transformation products were
investigated in a WWTP and the associated receiving river ecosystem. During 3
days, concentrations of these compounds were quantified at the influents,
effluents, and wastage of the WWTP, and at different distances downstream the
effluent at the river. Attenuation (net balance between removal and release from
and to the water column) was estimated in both engineered and natural systems
using a comparable model-based approach by considering different uncertainty
sources (e.g. chemical analysis, sampling, and flow measurements). Results showed
that pharmaceuticals load reduction was higher in the WWTP, but attenuation
efficiencies (as half-life times) were higher in the river. In particular, the
load of only 5 out of the 19 pharmaceuticals was reduced by more than 90% at the
WWTP, while the rest were only partially or non-attenuated (or released) and
discharged into the receiving river. At the river, only the load of ibuprofen was
reduced by more than 50% (out of the 6 parent compounds present in the river),
while partial and non-attenuation (or release) was observed for some of their
transformation products. Linkages in the routing of some pharmaceuticals
(venlafaxine, carbamazepine, ibuprofen and diclofenac) and their corresponding
transformation products were also identified at both WWTP and river. Finally, the
followed procedure showed that dynamic attenuation in the coupled WWTP-river
system could be successfully predicted with simple first order attenuation
kinetics for most modeled compounds.
PMID- 27183210
TI - Student support and perceptions of urine source separation in a university
community.
AB - Urine source separation, i.e., the collection and treatment of human urine as a
separate waste stream, has the potential to improve many aspects of water
resource management and wastewater treatment. However, social considerations must
be taken into consideration for successful implementation of this alternative
wastewater system. This work evaluated the perceptions of urine source separation
held by students living on-campus at a major university in the Southeastern
region of the United States. Perceptions were evaluated in the context of the
Theory of Planned Behavior. The survey population represents one group within a
community type (universities) that is expected to be an excellent testbed for
urine source separation. Overall, respondents reported high levels of support for
urine source separation after watching a video on expected benefits and risks,
e.g., 84% indicated that they would vote in favor of urine source separation in
residence halls. Support was less apparent when measured by willingness to pay,
as 33% of respondents were unwilling to pay for the implementation of urine
source separation and 40% were only willing to pay $1 to $10 per semester. Water
conservation was largely identified as the most important benefit of urine source
separation and there was little concern reported about the use of urine-based
fertilizers. Statistical analyses showed that one's environmental attitude,
environmental behavior, perceptions of support within the university community,
and belief that student opinions have an impact on university decision makers
were significantly correlated with one's support for urine source separation.
This work helps identify community characteristics that lend themselves to
acceptance of urine source separation, such as those related to environmental
attitudes/behaviors and perceptions of behavioral control and subjective norm.
Critical aspects of these alternative wastewater systems that require attention
in order to foster public acceptance after implementation are also highlighted,
such as convenient and aesthetically pleasing methods for waterless toilet paper
disposal.
PMID- 27183209
TI - High-rate activated sludge communities have a distinctly different structure
compared to low-rate sludge communities, and are less sensitive towards
environmental and operational variables.
AB - High-rate activated sludge processes allow for the recovery of organics and
energy from wastewaters. These systems are operated at a short sludge retention
time and high sludge-specific loading rates, which results in a higher sludge
yield and better digestibility than conventional, low-rate activated sludge.
Little is known about the microbial ecology of high-rate systems. In this work,
we address the need for a fundamental understanding of how high-rate microbial
communities differ from low-rate communities. We investigated the high-rate and
low-rate communities in a sewage treatment plant in relation to environmental and
operational variables over a period of ten months. We demonstrated that (1) high
rate and low-rate communities are distinctly different in terms of richness,
evenness and composition, (2) high-rate community dynamics are more variable and
less shaped by deterministic factors compared to low-rate communities, (3) sub
communities of continuously core and transitional members are more shaped by
deterministic factors than the continuously rare members, both in high-rate and
low-rate communities, and (4) high-rate community members showed a co-occurrence
pattern similar to that of low-rate community members, but were less likely to be
correlated to environmental and operational variables. These findings provide a
basis for further optimization of high-rate systems, in order to facilitate
resource recovery from wastewater.
PMID- 27183211
TI - Low temperature acclimation with electrical stimulation enhance the biocathode
functioning stability for antibiotics detoxification.
AB - Improvement of the stability of functional microbial communities in wastewater
treatment system is critical to accelerate pollutants detoxification in cold
regions. Although biocathode communities could accelerate environmental
pollutants degradation, how to acclimate the cold stress and to improve the
catalytic stability of functional microbial communities are remain poorly
understood. Here we investigated the structural and functional responses of
antibiotic chloramphenicol (CAP) reducing biocathode communities to constant low
temperature 10 degrees C (10-biocathode) and temperature elevation from 10
degrees C to 25 degrees C (S25-biocathode). Our results indicated that the low
temperature acclimation with electrical stimulation obviously enhanced the CAP
nitro group reduction efficiency when comparing the aromatic amine product AMCl2
formation efficiency with the 10-biocathode and S25-biocathode under the opened
and closed circuit conditions. The 10-biocathode generated comparative AMCl
maximum as the S25-biocathode but showed significant lower dehalogenation rate of
AMCl2 to AMCl. The continuous low temperature and temperature elevation both
enriched core functional community in the 10-biocathode and S25-biocathode,
respectively. The 10-biocathode functioning stability maintained mainly through
selectively enriching cold-adapted functional species, coexisting metabolically
similar nitroaromatics reducers and maintaining the relative abundance of key
electrons transfer genes. This study provides new insights into biocathode
functioning stability for accelerating environmental pollutants degradation in
cold wastewater system.
PMID- 27183212
TI - Metabolomic study of aging in mouse plasma by gas chromatography-mass
spectrometry.
AB - Metabolomic analysis of aging was performed in plasma samples of young (8 weeks)
and old (72 weeks) mice as ethoxycarbonyl/methoxime/tert-butyldimethylsilyl
derivatives by gas chromatography-mass spectrometry (GC-MS). As new approaches,
study of altered metabolism from aging was attempted by simultaneous profiling
analysis of amino acids (AAs), organic acids (OAs) and fatty acids (FAs) by GC-MS
in a single run combined with pattern analysis. As a result, 27 amino acids
(AAs), 17 organic acids (OAs) and 24 fatty acids (FAs) were positively screened
with large variations in plasma samples. Among altered metabolites, levels of six
AAs (proline, methionine, 4-hydroxyproline, pipecolic acid, glutamic acid, alpha
aminoadipic acid) as neurotransmetters and nutrients, five OAs (2-hydroxybutyric
acid, 2-hydroxyglutaric acid, cis-aconitic acid citric acid, isocitric acid)
including intermediate metabolites in the TCA cycle, and three n-3
polyunsaturated FAs (PUFAs) of alpha-octadecatrienoic acid, eicosapentaenoic acid
and docosahexaenoic acid as potential biomarkers were significantly different
between young and old groups. Their levels were normalized to the corresponding
mean values of the young group and then plotted into star symbol patterns, which
were clearly distinct compared with numerical data and readily distinguishable
for young and old groups. Thus, the present metabolomic screening and the star
pattern recognition method might be useful for understanding the complexity of
biochemical events in aging.
PMID- 27183213
TI - Biotransformation and metabolic profile of buddleoside with human intestinal
microflora by ultrahigh-performance liquid chromatography coupled to hybrid
linear ion trap/orbitrap mass spectrometer.
AB - Buddleoside (also known as linarin) as the major flavonoid in Chrysanthemum
morifolium Ramat., has been reported to possess a wide range of pharmacological
activities. The human intestinal microbiota might have an important impact on
drug metabolism and ultimately on the drug oral bioavailability. However, the
interaction of the buddleoside with human intestinal bacteria remains unknown. In
this study, the conversion of buddleoside by different bacteria from human feces
was firstly investigated. A reliable, sensitive and rapid analytical method,
ultra performance liquid chromatography was established and successfully applied
to investigate the metabolites and metabolic profile of buddleoside by human
intestinal bacteria. Among the isolated bacteria, four strains including
Escherichia sp. 4, Escherichia sp. 34, Enterococcus sp. 45 and Bacillus sp. 46
showed more powerful conversion capability. Based on the accurate mass data and
the characteristic MS(n) product ions, the parent and six metabolites were
detected and tentatively identified compared with blank samples. The metabolites
were produced by four main metabolic pathways including deglycosylation,
acetylation, methylation and hydroxylation. Buddleoside could be firstly
converted to its aglycon acacetin (M2) by the majority of the isolated intestinal
bacteria. Subsequently, M2 was further metabolize to its methylated (M3),
acetylated (M4), hydroxylated (M5) and hydrogenated product (M6). However,
acacetin-7-glucosid (M1) was obtained only from the minor bacterial samples like
Bacillus sp. 46. To further explain the metabolism of buddleoside, the beta-d
glucosidase and alpha-l-rhamnosidase activities of four strains were analyzed.
Bacillus sp. 46 could only produce alpha-l-rhamnosidase, while the other three
strains showed two kinds of enzyme activities. Furthermore, the activities of
alpha-l-rhamnosidase and beta-d-glucosidase reached the highest level at 12-18h
and 10-12h, respectively. The metabolic routes and metabolites of buddleoside
produced by human intestinal microflora were firstly reported in this paper. The
results will be very helpful for the further investigation of the pharmacokinetic
research of buddleoside and to unravel how it works in vivo.
PMID- 27183215
TI - Simultaneous determination of nine coumarins in rat plasma by HPLC-MS/MS for
pharmacokinetics studies following oral administration of Fraxini Cortex extract.
AB - A high performance liquid chromatography-tandem mass spectrometry (HPLC-MS/MS)
method was developed for the simultaneous determination of nine coumarins
including aesculin, aesculetin, fraxin, fraxetin, scopoletin, isoscopoletin, 6
hydroxy-7,8-dimethoxy coumarin, 8-hydroxy-6,7-dimethoxy coumarin and
umbelliferone in rat plasma using nodakenin as the internal standard (IS). The
plasma samples were pretreated by a one-step direct protein precipitation with
methanol. The chromatographic separation was carried out on a C18 column with a
gradient mobile phase consisting of methanol and water (containing 0.05% acetic
acid). All analytes and IS were quantitated through electrospray ionization in
negative ion multiple reaction monitoring (MRM) mode. This method was fully
validated in terms of the sensitivity, specificity, accuracy, precision (intra-
and inter-day), matrix effect, recovery as well as the stability of the analyte
under various conditions, and the results satisfied the requirements of
biological sample measurement. The validated method was successfully applied to
pharmacokinetic study of the nine coumarins in rat plasma after oral
administration of Fraxini Cortex aqueous extract, among which the
pharmacokinetics of four coumarins including fraxetin, isoscopoletin, 6-hydroxy
7,8-dimethoxy coumarin and 8-hydroxy-6,7-dimethoxy coumarin were studied for the
first time.
PMID- 27183214
TI - Purification of a fibrinolytic protease from Mucor subtilissimus UCP 1262 by
aqueous two-phase systems (PEG/sulfate).
AB - A fibrinolytic protease from M. subtilissimus UCP 1262 was recovered and
partially purified by polyethylene glycol (PEG)/sodium sulfate aqueous two-phase
systems (ATPS). The simultaneous influence of PEG molar mass, PEG concentration
and sulfate concentration on the enzyme recovery was first investigated using a
2(3) full factorial design, and the Response Surface Methodology used to identify
the optimum conditions for enzyme extraction by ATPS. Once the best PEG molar
mass for the process had been selected (6000g/mol), a two-factor central
composite rotary design was applied to better evaluate the effects of the other
two independent variables. The fibrinolytic enzyme was shown to preferentially
partition to the bottom phase with a partition coefficient (K) ranging from 0.2
to 0.7. The best results in terms of enzyme purification were obtained with the
system formed by 30.0% (w/w) PEG 6000g/mol and 13.2% (w/w) sodium sulfate, which
ensured a purification factor of 10.0, K of 0.2 and activity yield of 102.0%. SDS
PAGE and fibrin zymography showed that the purified protease has a molecular mass
of 97kDa and an apparent isoelectric point of 5.4. When submitted to assays with
different substrates and inhibitors, it showed selectivity for succinyl-l-ala-ala
pro-l-phenylalanine-p-nitroanilide and was almost completely inhibited by
phenylmethylsulfonyl fluoride, behaving as a chymotrypsin-like protease. At the
optimum temperature of 37 degrees C, the enzyme residual activity was 94 and 68%
of the initial one after 120 and 150min of incubation, respectively. This study
demonstrated that M. subtilissimus protease has potent fibrinolytic activity
compared with similar enzymes produced by solid-state fermentation, therefore it
may be used as an agent for the prevention and therapy of thrombosis.
Furthermore, it appears to have the advantages of low cost production and simple
purification.
PMID- 27183216
TI - Activation of the pluripotency factor OCT4 in smooth muscle cells is
atheroprotective.
AB - Although somatic cell activation of the embryonic stem cell (ESC) pluripotency
factor OCT4 has been reported, this previous work has been controversial and has
not demonstrated a functional role for OCT4 in somatic cells. Here we demonstrate
that smooth muscle cell (SMC)-specific conditional knockout of Oct4 in Apoe(-/-)
mice resulted in increased lesion size and changes in lesion composition that are
consistent with decreased plaque stability, including a thinner fibrous cap,
increased necrotic core area, and increased intraplaque hemorrhage. Results of
SMC-lineage-tracing studies showed that these effects were probably the result of
marked reductions in SMC numbers within lesions and SMC investment within the
fibrous cap, which may result from impaired SMC migration. The reactivation of
Oct4 within SMCs was associated with hydroxymethylation of the Oct4 promoter and
was hypoxia inducible factor-1alpha (HIF-1alpha, encoded by HIF1A) and Kruppel
like factor-4 (KLF4)-dependent. These results provide the first direct evidence
that OCT4 has a functional role in somatic cells, and they highlight the
potential role of OCT4 in normal and diseased somatic cells.
PMID- 27183219
TI - Controlled attenuation parameter is correlated with actual hepatic fat content in
patients with non-alcoholic fatty liver disease with none-to-mild obesity and
liver fibrosis.
AB - AIM: Non-invasive steatosis-quantifying methods are required for non-alcoholic
fatty liver disease (NAFLD) patients in order to monitor disease severity and
assess therapeutic efficacy. Controlled attenuation parameter (CAP) evaluated
with vibration-controlled transient elastography can predict the presence of
steatosis, but its application to absolute hepatic fat quantitation remains
unclear. The aim of this st?udy was to examine whether CAP is correlated with
real hepatic fat content in NAFLD patients. METHODS: Eighty-two NAFLD patients
who had undergone percutaneous liver biopsy were enrolled. CAP was measured using
FibroScan((r)) just before liver biopsy. The percentage of fat droplet area to
hepatocyte area in biopsied specimen was determined morphometrically using
computerized optical image analyzing system. The correlation between CAP and
liver histology was examined. RESULTS: CAP showed an excellent correlation with
actual liver fat percentage in the NAFLD patients with body mass index (BMI) of
less than 28 kg/m(2) (r = 0.579, P < 0.0001), especially less than 25 kg/m(2) (r
= 0.708, P < 0.01), but the meaningful correlation disappeared in the patients
with BMI of 28 kg/m(2) or more. In the patients with BMI of less than 28 kg/m(2)
, CAP quantitativeness was affected by the presence of stage 2-4 fibrosis, but
not the presence of hepatocyte ballooning and severity of lobular inflammation.
CONCLUSION: CAP may be a promising tool for quantifying hepatic fat content in
NAFLD patients with none-to-mild obesity and liver fibrosis. Further improvement
of CAP performance is needed for the NAFLD patients with BMI of more than 28
kg/m(2) or significant hepatic fibrosis.
PMID- 27183218
TI - Platelet-derived CXCL4 regulates neutrophil infiltration and tissue damage in
severe acute pancreatitis.
AB - Platelets are known to play an important role in acute pancreatitis (AP) via
promotion of neutrophil accumulation, although mechanisms behind platelet
dependent accumulation of neutrophils in the pancreas remain elusive. Platelets
contain a wide spectrum of different pro-inflammatory compounds, such as
chemokines. CXCL4 (platelet factor 4) is one of the most abundant chemokine in
platelets, and we hypothesized that CXCL4 might be involved in platelet-dependent
accumulation of neutrophils in the inflamed pancreas. The aim of this study was
to examine the role of CXCL4 in severe AP. Pancreatitis was provoked by infusion
of taurocholate into the pancreatic duct or by intraperitoneal administration of
L-arginine in C57BL/6 mice. Animals were treated with an antibody against
platelets or CXCL4 before induction of pancreatitis. Plasma and lung levels of
CXCL2, CXCL4, and interleukin (IL)-6 were determined by use of enzyme-linked
immunosorbent assay. Flow cytometry was used to examine surface expression of
macrophage-1 (Mac-1) on neutrophils. Plasma was obtained from healthy individuals
(controls) and patients with AP. Challenge with taurocholate increased plasma
levels of CXCL4, and depletion of platelets markedly reduced plasma levels of
CXCL4 indicating that circulating levels of CXCL4 are mainly derived from
platelets in AP. Inhibition of CXCL4 reduced taurocholate-induced neutrophil
recruitment, IL-6 secretion, edema formation, amylase release, and tissue damage
in the pancreas. However, immunoneutralization of CXCL4 had no effect on CXCL2
evoked neutrophil expression of Mac-1 or chemotaxis in vitro, suggesting an
indirect effect of CXCL4 on neutrophil recruitment in AP. Targeting CXCL4
significantly attenuated plasma and lung levels of CXCL2, which is a potent
neutrophil chemoattractant, and inhibition of the CXCL2 receptor attenuated
neutrophil infiltration and tissue damage in the inflamed pancreas. A significant
role of CXCL4 was confirmed in an alternate model of AP induced by L-arginine
challenge. Moreover, patients with AP had significantly increased plasma levels
of CXCL4 compared with healthy controls. These findings' results suggest that
platelet-derived CXCL4 is a potent stimulator of neutrophil accumulation in AP
and that this is mediated via generation of CXCL2 in the inflamed pancreas. We
conclude that CXCL4 plays an important role in pancreatic inflammation and that
targeting CXCL4 might be a useful way to ameliorate tissue damage in AP.
PMID- 27183220
TI - Silver-functionalized g-C3N4 nanohybrids as signal-transduction tags for
electrochemical immunoassay of human carbohydrate antigen 19-9.
AB - A simple and feasible electrochemical immunosensing platform was developed for
highly efficient screening of a disease-related protein (human carbohydrate
antigen 19-9, CA 19-9 used in this case) using silver-functionalized g-C3N4
nanosheets (Ag/g-C3N4) as signal-transduction tags. Initially, Ag/g-C3N4
nanohybrids were synthesized by combining thermal polymerization of the melamine
precursor with the photo-assisted reduction method. Thereafter, the as
synthesized Ag/g-C3N4 nanohybrids were utilized for the labeling of the anti-CA
19-9 detection antibody by using a typical carbodiimide coupling method. The
assay was carried out on a capture antibody-modified glassy carbon electrode in a
sandwich-type detection mode. The detectable signal mainly derived from the
voltammetric characteristics of the immobilized nanosilver particles on the g
C3N4 nanosheets within the applied potentials. Under the optimal conditions, the
voltammetric peak currents increased with the increasing amount of target CA 19
9, and exhibited a wide linear range from 5.0 mU mL(-1) to 50 U mL(-1) with a
detection limit of 1.2 mU mL(-1). Our strategy also displayed good
reproducibility, precision and specificity. The results of the analysis of
clinical serum specimens were in good accordance with the results obtained by an
enzyme-linked immunosorbent assay (ELISA) method. The newly developed
immunosensing system is promising for enzyme-free and cost-effective analysis of
low-abundance proteins.
PMID- 27183221
TI - Physician Payments from Industry Are Associated with Greater Medicare Part D
Prescribing Costs.
AB - BACKGROUND: The U.S. Physician Payments Sunshine Act mandates the reporting of
payments or items of value received by physicians from drug, medical device, and
biological agent manufacturers. The impact of these payments on physician
prescribing has not been examined at large scale. METHODS: We linked public
Medicare Part D prescribing data and Sunshine Act data for 2013. Physician
payments were examined descriptively within specialties, and then for association
with prescribing costs and patterns using regression models. Models were adjusted
for potential physician-level confounding features, including sex, geographic
region, and practice size. RESULTS: Among 725,169 individuals with Medicare
prescribing data, 341,644 had documented payments in the OPP data (47.1%). Among
all physicians receiving funds, mean payment was $1750 (SD $28336); median was
$138 (IQR $48-$394). Across the 12 specialties examined, a dose-response
relationship was observed in which greater payments were associated with greater
prescribing costs per patient. In adjusted regression models, being in the top
quintile of payment receipt was associated with incremental prescribing cost per
patient ranging from $27 (general surgery) to $2931 (neurology). Similar
associations were observed with proportion of branded prescriptions written.
CONCLUSIONS: While distribution and amount of payments differed widely across
medical specialties, for each of the 12 specialties examined the receipt of
payments was associated with greater prescribing costs per patient, and greater
proportion of branded medication prescribing. We cannot infer a causal
relationship, but interventions aimed at those physicians receiving the most
payments may present an opportunity to address prescribing costs in the US.
PMID- 27183217
TI - Impact of pre-adapted HIV transmission.
AB - Human leukocyte antigen class I (HLA)-restricted CD8(+) T lymphocyte (CTL)
responses are crucial to HIV-1 control. Although HIV can evade these responses,
the longer-term impact of viral escape mutants remains unclear, as these variants
can also reduce intrinsic viral fitness. To address this, we here developed a
metric to determine the degree of HIV adaptation to an HLA profile. We
demonstrate that transmission of viruses that are pre-adapted to the HLA
molecules expressed in the recipient is associated with impaired immunogenicity,
elevated viral load and accelerated CD4(+) T cell decline. Furthermore, the
extent of pre-adaptation among circulating viruses explains much of the variation
in outcomes attributed to the expression of certain HLA alleles. Thus, viral pre
adaptation exploits 'holes' in the immune response. Accounting for these holes
may be key for vaccine strategies seeking to elicit functional responses from
viral variants, and to HIV cure strategies that require broad CTL responses to
achieve successful eradication of HIV reservoirs.
PMID- 27183222
TI - SB-224289 Antagonizes the Antifungal Mechanism of the Marine Depsipeptide
Papuamide A.
AB - In order to expand the repertoire of antifungal compounds a novel, high
throughput phenotypic drug screen targeting fungal phosphatidylserine (PS)
synthase (Cho1p) was developed based on antagonism of the toxin papuamide A (Pap
A). Pap-A is a cyclic depsipeptide that binds to PS in the membrane of wild-type
Candida albicans, and permeabilizes its plasma membrane, ultimately causing cell
death. Organisms with a homozygous deletion of the CHO1 gene (cho1DeltaDelta) do
not produce PS and are able to survive in the presence of Pap-A. Using this
phenotype (i.e. resistance to Pap-A) as an indicator of Cho1p inhibition, we
screened over 5,600 small molecules for Pap-A resistance and identified SB-224289
as a positive hit. SB-224289, previously reported as a selective human 5-HT1B
receptor antagonist, also confers resistance to the similar toxin theopapuamide
(TPap-A), but not to other cytotoxic depsipeptides tested. Structurally similar
molecules and truncated variants of SB-224289 do not confer resistance to Pap-A,
suggesting that the toxin-blocking ability of SB-224289 is very specific. Further
biochemical characterization revealed that SB-224289 does not inhibit Cho1p,
indicating that Pap-A resistance is conferred by another undetermined mechanism.
Although the mode of resistance is unclear, interaction between SB-224289 and Pap
A or TPap-A suggests this screening assay could be adapted for discovering other
compounds which could antagonize the effects of other environmentally- or
medically-relevant depsipeptide toxins.
PMID- 27183224
TI - Incorporation of Socio-Economic Features' Ranking in Multicriteria Analysis Based
on Ecosystem Services for Marine Protected Area Planning.
AB - Developed decades ago for spatial choice problems related to zoning in the urban
planning field, multicriteria analysis (MCA) has more recently been applied to
environmental conflicts and presented in several documented cases for the
creation of protected area management plans. Its application is considered here
for the development of zoning as part of a proposed marine protected area
management plan. The case study incorporates specially-explicit conservation
features while considering stakeholder preferences, expert opinion and
characteristics of data quality. It involves the weighting of criteria using a
modified analytical hierarchy process. Experts ranked physical attributes which
include socio-economically valued physical features. The parameters used for the
ranking of (physical) attributes important for socio-economic reasons are derived
from the field of ecosystem services assessment. Inclusion of these feature
values results in protection that emphasizes those areas closest to shore, most
likely because of accessibility and familiarity parameters and because of data
biases. Therefore, other spatial conservation prioritization methods should be
considered to supplement the MCA and efforts should be made to improve data about
ecosystem service values farther from shore. Otherwise, the MCA method allows
incorporation of expert and stakeholder preferences and ecosystem services values
while maintaining the advantages of simplicity and clarity.
PMID- 27183223
TI - Improved Species-Specific Lysine Acetylation Site Prediction Based on a Large
Variety of Features Set.
AB - Lysine acetylation is a major post-translational modification. It plays a vital
role in numerous essential biological processes, such as gene expression and
metabolism, and is related to some human diseases. To fully understand the
regulatory mechanism of acetylation, identification of acetylation sites is first
and most important. However, experimental identification of protein acetylation
sites is often time consuming and expensive. Therefore, the alternative
computational methods are necessary. Here, we developed a novel tool, KA
predictor, to predict species-specific lysine acetylation sites based on support
vector machine (SVM) classifier. We incorporated different types of features and
employed an efficient feature selection on each type to form the final optimal
feature set for model learning. And our predictor was highly competitive for the
majority of species when compared with other methods. Feature contribution
analysis indicated that HSE features, which were firstly introduced for lysine
acetylation prediction, significantly improved the predictive performance.
Particularly, we constructed a high-accurate structure dataset of H.sapiens from
PDB to analyze the structural properties around lysine acetylation sites. Our
datasets and a user-friendly local tool of KA-predictor can be freely available
at http://sourceforge.net/p/ka-predictor.
PMID- 27183226
TI - Two-dimensional cyanates: stabilization through hydrogenation.
AB - According to first-principles calculations, it should be possible to grow two
dimensional (2D) forms of copper thio-cyanate (CuSCN) and copper seleno-cyanate
(CuSeCN) since their energies are only marginally higher than those of their most
stable three-dimensional (3D) wurtzite structures. Here we show using the same
theoretical approach that chemisorption reactions of hydrogen molecules with the
above-mentioned 2D CuSCN and CuSeCN systems enhance their stability as they
decrease the energy difference with respect to the corresponding hydrogenated
forms of the wurtzite crystals. Hydrogenation causes a sizeable decrease in the
energy band gap by 0.56 eV and 0.65 eV for hydrogenated 2D-CuSCN (CuSCNH2) and 2D
CuSeCN (CuSeCNH2), respectively. Finally, we describe the stability of hydrogen
vacancies in CuSCNH2 and CuSeCNH2 and show that the presence of isolated single H
vacancies or di-vacancies does not affect significantly the electronic properties
of the host systems close to the valence and conduction band edges.
PMID- 27183225
TI - Cyclophilin D Knock-Out Mice Show Enhanced Resistance to Osteoporosis and to
Metabolic Changes Observed in Aging Bone.
AB - Pathogenic factors associated with aging, such as oxidative stress and hormone
depletion converge on mitochondria and impair their function via opening of the
mitochondrial permeability transition pore (MPTP). The MPTP is a large non
selective pore regulated by cyclophilin D (CypD) that disrupts mitochondrial
membrane integrity. MPTP involvement has been firmly established in degenerative
processes in heart, brain, and muscle. Bone has high energy demands and is
therefore expected to be highly sensitive to mitochondrial dysfunction. Despite
this, the role of mitochondria and the MPTP in bone maintenance and bone
pathology has not been elucidated. Our goal was to determine whether mitochondria
are impaired in aging bone and to see if protecting mitochondria from MPTP
opening via CypD deletion protects against bone loss. We found that bone mass,
strength, and formation progressively decline over the course of 18 months in
C57BL/6J mice. Using metabolomics and electron microscopy, we determined that
oxidative metabolism is impaired in aging bone leading to a glycolytic shift,
imbalance in nucleotides, and decreased NAD+/NADH ratio. Mitochondria in
osteocytes appear swollen which is a major marker of MPTP opening. CypD deletion
by CypD knockout mouse model (CypD KO) protects against bone loss in 13- and 18
month-old mice and prevents decline in bone formation and mitochondrial changes
observed in wild type C57BL/6J mice. Together, these data demonstrate that
mitochondria are impaired in aging bone and that CypD deletion protects against
this impairment to prevent bone loss. This implicates CypD-regulated MPTP and
mitochondrial dysfunction in the impairment of bone cells and in aging-related
bone loss. Our findings suggest mitochondrial metabolism as a new target for bone
therapeutics and inhibition of CypD as a novel strategy against bone loss.
PMID- 27183227
TI - At the Crossroads: Does the Configuration of Roadside Vegetation Affect Woodland
Bird Communities in Rural Landscapes?
AB - In agricultural regions worldwide, linear networks of vegetation such as hedges,
fencerows and live fences provide habitat for plant and animal species in heavily
modified landscapes. In Australia, networks of remnant native vegetation along
roadsides are a distinctive feature of many rural landscapes. Here, we
investigated the richness and composition of woodland-dependent bird communities
in networks of eucalypt woodland vegetation along roadsides, in an agricultural
region in which >80% of native woodland and forest vegetation has been cleared.
We stratified sites in a) cross sections and b) linear strips of roadside
vegetation, to test the influence on woodland birds of site location and
configuration in the linear network (the 'intersection effect'). We also examined
the influence of tree size at the site, the amount of wooded vegetation
surrounding the site, and the abundance of an aggressive native species, the
noisy miner Manorina melanocephala. Birds were surveyed at 26 pairs of sites
(cross section or linear strip) on four occasions. A total of 66 species was
recorded, including 35 woodland species. The richness of woodland bird species
was influenced by site configuration, with more species present at cross
sections, particularly those with larger trees (>30 cm diameter). However, the
strongest influence on species richness was the relative abundance of the noisy
miner. The richness of woodland birds at sites where noisy miners were abundant
was ~20% of that where miners were absent. These results recognise the value of
networks of roadside vegetation as habitat for woodland birds in depleted
agricultural landscapes; but highlight that this value is not realised for much
of this vast vegetation network because of the dominance of the noisy miner.
Nevertheless, roadside vegetation is particularly important where the
configuration of networks create nodes that facilitate movement. Globally, the
protection, conservation and restoration of such linear networks has an important
influence on the persistence of biota within human-dominated landscapes.
PMID- 27183229
TI - Penicillium salamii strain ITEM 15302: A new promising fungal starter for salami
production.
AB - Traditional sausages are often considered of superior quality to sausages
inoculated with commercial starter cultures and this is partially due to the
action of the typical house microflora. Penicillium nalgiovense is the species
commonly used as starter culture for dry-cured meat production. Recently a new
species, Penicillium salamii, was described as typical colonizer during salami
seasoning. In order to understand its contribution to the seasoning process, two
different experiments on curing of fresh pork sausages were conducted using P.
salamii ITEM 15302 in comparison with P. nalgiovense ITEM 15292 at small and
industrial scale, and the dry-cured sausages were subjected to sensory analyses.
Additionally, proteolytic and lipolytic in vitro assays were performed on both
strains. P. salamii ITEM 15302 proved to be a fast growing mould on dry-cured
sausage casings, well adapted to the seasoning process, with high lipolytic and
proteolytic enzymatic activity that confers typical sensory characteristics to
meat products. Therefore, P. salamii ITEM 15302 was shown to be a good candidate
as new starter for meat industry.
PMID- 27183228
TI - Plasma Acylcarnitines and Amino Acid Levels As an Early Complex Biomarker of
Propensity to High-Fat Diet-Induced Obesity in Mice.
AB - Obesity is associated with insulin resistance and impaired glucose tolerance,
which represent characteristic features of the metabolic syndrome. Development of
obesity is also linked to changes in fatty acid and amino acid metabolism
observed in animal models of obesity as well as in humans. The aim of this study
was to explore whether plasma metabolome, namely the levels of various
acylcarnitines and amino acids, could serve as a biomarker of propensity to
obesity and impaired glucose metabolism. Taking advantage of a high phenotypic
variation in diet-induced obesity in C57BL/6J mice, 12-week-old male and female
mice (n = 155) were fed a high-fat diet (lipids ~32 wt%) for a period of 10
weeks, while body weight gain (BWG) and changes in insulin sensitivity (DeltaHOMA
IR) were assessed. Plasma samples were collected before (week 4) and after (week
22) high-fat feeding. Both univariate and multivariate statistical analyses were
then used to examine the relationships between plasma metabolome and selected
phenotypes including BWG and DeltaHOMA-IR. Partial least squares-discrimination
analysis was able to distinguish between animals selected either for their low or
high BWG (or DeltaHOMA-IR) in male but not female mice. Among the metabolites
that differentiated male mice with low and high BWG, and which also belonged to
the major discriminating metabolites when analyzed in plasma collected before and
after high-fat feeding, were amino acids Tyr and Orn, as well as acylcarnitines
C16-DC and C18:1-OH. In general, the separation of groups selected for their low
or high DeltaHOMA-IR was less evident and the outcomes of a corresponding
multivariate analysis were much weaker than in case of BWG. Thus, our results
document that plasma acylcarnitines and amino acids could serve as a gender
specific complex biomarker of propensity to obesity, however with a limited
predictive value in case of the associated impairment of insulin sensitivity.
PMID- 27183230
TI - Evaluation of the risk of fungal spoilage when substituting sucrose with
commercial purified Stevia glycosides in sweetened bakery products.
AB - The objectives of this study were to compare the effect of different Stevia-based
sugar substitutes (S1-S3), sucrose alone and a mixture of sucrose+S1 on: (a)
humectant properties, (b) relative colonisation rates of sponge cake slices at
0.90 aw by strains of Aspergillus flavus, Eurotium amstelodami, Fusarium
graminearum and Penicillium verrucosum at 20 and 25 degrees C and (c) shelf-life
periods in days prior to visible growth. Results showed that sucrose, S1
commercial sugar substitute and the mixture of sucrose+S1 in water solutions were
able to reach water activity levels similar to those of glycerol and glucose
mixtures. The S2 and S3 commercial sugar substitutes were unable to reduce aw
levels significantly. At 25 degrees C, colonisation of sponge cake slices by E.
amstelodami, A. flavus and P. verrucosum occurred in all the treatments. Growth
of F. graminearum only occurred on sponge cake slices containing S2 and S3 Stevia
based products at both temperatures. The best control of growth (30days) was
achieved in cake slices modified with sucrose or S1 Stevia treatments inoculated
with A. flavus and in the sucrose treatment for E. amstelodami at 20 degrees C.
F. graminearum growth was completely inhibited when sucrose alone, S1 or
sucrose+S1 treatments were used at both temperatures. This study suggests that,
as part of a hurdle technology approach, replacing sucrose with low calorie sugar
substitutes based on Stevia glycosides needs to be done with care. This is
because different products may have variable humectant properties and bulking
agents which may shorten the potential shelf-life of intermediate moisture bakery
products.
PMID- 27183231
TI - Who Should Be Targeted for the Prevention of Birth Defects? A Latent Class
Analysis Based on a Large, Population-Based, Cross-Sectional Study in Shaanxi
Province, Western China.
AB - BACKGROUND: The wide range and complex combinations of factors that cause birth
defects impede the development of primary prevention strategies targeted at high
risk subpopulations. METHODS: Latent class analysis (LCA) was conducted to
identify mutually exclusive profiles of factors associated with birth defects
among women between 15 and 49 years of age using data from a large, population
based, cross-sectional study conducted in Shaanxi Province, western China,
between August and October, 2013. The odds ratios (ORs) and 95% confidence
intervals (CIs) of associated factors and the latent profiles of indicators of
birth defects and congenital heart defects were computed using a logistic
regression model. RESULTS: Five discrete subpopulations of participants were
identified as follows: No folic acid supplementation in the periconceptional
period (reference class, 21.37%); low maternal education level + unhealthy
lifestyle (class 2, 39.75%); low maternal education level + unhealthy lifestyle +
disease (class 3, 23.71%); unhealthy maternal lifestyle + advanced age (class 4,
4.71%); and multi-risk factor exposure (class 5, 10.45%). Compared with the
reference subgroup, the other subgroups consistently had a significantly
increased risk of birth defects (ORs and 95% CIs: class 2, 1.75 and 1.21-2.54;
class 3, 3.13 and 2.17-4.52; class 4, 5.02 and 3.20-7.88; and class 5, 12.25 and
8.61-17.42, respectively). For congenital heart defects, the ORs and 95% CIs were
all higher, and the magnitude of OR differences ranged from 1.59 to 16.15.
CONCLUSIONS: A comprehensive intervention strategy targeting maternal exposure to
multiple risk factors is expected to show the strongest results in preventing
birth defects.
PMID- 27183233
TI - Near-Infrared Spectroscopy to Predict the Course of Necrotizing Enterocolitis.
AB - OBJECTIVES: To investigate whether cerebral, liver, and infraumbilical regional
tissue oxygen saturation (rSO2) and fractional tissue oxygen extraction (FTOE)
could be used to diagnose necrotizing enterocolitis (NEC) and complicated NEC
(Bell's stage 3B or death) during its early stages. METHODS: A prospective
observational cohort study of preterm infants with suspected or diagnosed NEC. We
compared the mean eight-hour cerebral, liver, and infraumbilical rSO2 and FTOE
values of infants with no NEC and definite NEC and of infants with uncomplicated
and complicated NEC in the first forty-eight hours after onset of symptoms,
suspicious for NEC. Furthermore, we determined cut-off values by generating
receiver operating characteristics curves in case of significant differences in
the first eight-hour mean values of rSO2 between infants with no NEC and definite
NEC and between infants with uncomplicated and complicated NEC. RESULTS: We
included 33 patients: 13 no NEC, 10 with uncomplicated NEC, and 10 with
complicated NEC. We found no significant differences in the first twenty-four
hours after onset of symptoms in rSO2 and FTOE between infants with no NEC and
definite NEC. In preterm infants with complicated NEC, we observed significantly
lower cerebral, liver, and infraumbilical rSO2 and higher FTOE within twenty-four
hours after onset of symptoms compared with infants with uncomplicated NEC. A
continuous cerebral rSO2 <= 71% and liver rSO2 <= 59% in the first eight hours
after onset of symptoms predicted the onset of complicated NEC with a sensitivity
of 1.0 and specificity of 0.8, and a sensitivity of 1.0 and specificity of 1.0,
respectively. CONCLUSIONS: By measuring the cerebral and splanchnic oxygenation
it is possible to differentiate complicated NEC from uncomplicated NEC. In our
sample, NIRS monitoring did not proof useful for distinguishing between definite
NEC and no NEC in preterm infants with clinical signs suspicious of NEC.
PMID- 27183232
TI - Changes in Familiarity with and Willingness to Take Preexposure Prophylaxis in a
Longitudinal Study of Highly Sexually Active Gay and Bisexual Men.
AB - PURPOSE: For gay and bisexual men (GBM), research suggests that familiarity with
preexposure prophylaxis (PrEP) has been increasing since being approved by the
United States Food and Drug Administration in 2012. However, it is less clear how
willingness to start using PrEP has changed over time. Likewise, some have
expressed concerns regarding the potential for risk compensation (i.e., reduced
condom use) were one to start PrEP; however, again, it is unclear how risk
compensation may have changed over time. METHODS: We conducted baseline and 12
month assessments with 158 highly sexually active HIV-negative GBM in New York
City who were assessed between 2011 and 2014. We examined change over time both
between participants (based on when they entered the study), as well as within
each participant (over the 12 months of his involvement). RESULTS: Familiarity
with PrEP increased over time (both between and within participants); however,
willingness to take PrEP did not change (neither between nor within
participants). Few men believed taking PrEP would cause their condomless anal sex
(CAS) to increase and this did not change over time. However, a majority believed
PrEP would increase temptation for CAS, and this did not change over time within
participants. Sexual compulsivity symptomology was associated with higher
willingness to take PrEP and perceiving that PrEP would increase one's
temptations for CAS. Furthermore, recent CAS was associated with greater
willingness to take PrEP, a perception that PrEP would increase one's likelihood
to engage in CAS, and a perception that being on PrEP would increase one's
temptation for CAS. CONCLUSIONS: Participants became more familiar with PrEP over
time; however, willingness to start PrEP did not change, and this may serve as an
opportunity for providers to discuss PrEP with their patients. Men who engaged in
CAS were interested in PrEP and preexisting patterns of sexual behavior may be
the primary determinant of CAS while on PrEP.
PMID- 27183234
TI - Cometabolic degradation of lincomycin in a Sequencing Batch Biofilm Reactor
(SBBR) and its microbial community.
AB - Cometabolism technology was employed to degrade lincomycin wastewater in
Sequencing Batch Biofilm Reactor (SBBR). In contrast with the control group, the
average removal rate of lincomycin increased by 56.0% and Total Organic Carbon
(TOC) increased by 52.5% in the cometabolic system with glucose as growth
substrate. Under the same condition, Oxidation-Reduction Potential (ORP) was
85.1+/-7.3mV in cometabolic system and 198.2+/-8.4mV in the control group,
indicating that glucose changed the bulk ORP and created an appropriate growing
environment for function bacteria. Functional groups of lincomycin were
effectively degraded in cometabolic system proved by FTIR and GC-MS. Meanwhile,
results of DGGE and 16S rDNA showed great difference in dominant populations
between cometabolic system and the control group. In cometabolic system,
Roseovarius (3.35%), Thiothrix (2.74%), Halomonas (2.49%), Ignavibacterium
(2.02%), and TM7_genus_incertae_sedis (1.93%) were verified as dominant
populations at genus level. Cometabolism may be synergistically caused by
different functional dominant bacteria.
PMID- 27183235
TI - Acetate favors more phosphorus accumulation into aerobic granular sludge than
propionate during the treatment of synthetic fermentation liquor.
AB - Anaerobic digestion (AD) is an efficient biotechnology widely applied for energy
and resource recovery from organic waste and wastewater treatment. The effluent
from AD or fermentation liquor containing organic substances like volatile fatty
acids (VFAs) and mineral nutrients (such as N and P), however, will trigger
serious environmental issues if not properly dealt with. In this study two
identical sequencing batch reactors (SBRs), namely Ra and Rp were used to
cultivate aerobic granules for P recovery from synthetic fermentation liquor,
respectively using acetate and propionate as additional carbon source. Larger and
more stable granules were achieved in Ra with higher P removal capability
(9.4mgP/g-VSS.d) and higher anaerobic P release (6.9mgP/g-VSS.h). In addition to
much higher P content (78mgP/g-SS), bioavailable P in Ra-granules increased to
45mgP/g-SS, approximately 2-times those of seed sludge and Rp-granules. Microbial
community analysis indicated that more GAOs were accumulated in Rp-granules.
PMID- 27183236
TI - Optimization of Extracellular Polymeric Substances production using Azotobacter
beijreinckii and Bacillus subtilis and its application in chromium (VI) removal.
AB - Extracellular Polymeric Substances (EPS) of microbial origin are complex
biopolymers and vary greatly in their chemical composition. They have a great
potential in chelation of metal ions. In this work, the effect of growth phase,
temperature and pH on production of EPS by two bacteria Azotobacter beijreinckii
and Bacillus subtilis have been studied. Extracted EPS was used to remove Cr(VI)
from aqueous system. A. beijreinckii produced maximum EPS after 24h at pH 7 and
temperature 30 degrees C while B. subtilis produced maximum EPS after 96h at pH 7
and temperature 37 degrees C. For an initial concentration of 10ppm, 26% and 48%
Cr(VI) removal was recorded for EPS derived from A. beijreinckii and B. subtilis
respectively. The presence of functional groups on EPS and their interaction with
Cr(VI) was confirmed using Fourier-transform infrared (FTIR) spectra analysis. In
both the bacteria, carboxyl and phosphate groups show involvement in metal
binding.
PMID- 27183237
TI - Hydrodynamic cavitation-assisted alkaline pretreatment as a new approach for
sugarcane bagasse biorefineries.
AB - Hydrodynamic cavitation (HC) was employed in order to improve the efficiency of
alkaline pretreatment of sugarcane bagasse (SCB). Response surface methodology
(RSM) was used to optimize pretreatment parameters: NaOH concentration (0.1
0.5M), solid/liquid ratio (S/L, 3-10%) and HC time (15-45min), in terms of glucan
content, lignin removal and enzymatic digestibility. Under an optimal HC
condition (0.48M of NaOH, 4.27% of S/L ratio and 44.48min), 52.1% of glucan
content, 60.4% of lignin removal and 97.2% of enzymatic digestibility were
achieved. Moreover, enzymatic hydrolysis of the pretreated SCB resulted in a
yield 82% and 30% higher than the untreated and alkaline-treated controls,
respectively. HC was found to be a potent and promising approach to pretreat
lignocellulosic biomass.
PMID- 27183238
TI - Effect of torrefaction pretreatment and catalytic pyrolysis on the pyrolysis poly
generation of pine wood.
AB - Torrefaction of pine wood was performed in a tube furnace at three temperatures
(220, 250, and 280 degrees C) for 30min. Then catalytic pyrolysis of raw and
torrefied pine wood was performed using HZSM-5 catalyst in a fixed-bed pyrolysis
reactor at 550 degrees C for 15min. Torrefaction pretreatment and catalytic
pyrolysis have an very important effect on the yield, property, and energy
distribution of pyrolysis products. The results showed that the yield of biochar
rapidly increased, while that of bio-oil decreased with increasing torrefaction
temperature. The oxy-compound content of bio-oil, such as acids and aldehydes,
sharply decreased. However, the aromatic hydrocarbon content not only increased
but also further promoted by HZSM-5 catalyst. With highest mass yields and energy
yields, biochar was also the very important product of pyrolysis. The oxygen
content in biomass was mainly removed in the form of CO2 and H2O, leading to
increasing CO2 content in non-condensable gas.
PMID- 27183239
TI - Levetiracetam inhibits oligomeric Abeta-induced glutamate release from human
astrocytes.
AB - A recently identified mechanism for oligomeric Abeta-induced glutamate release
from astrocytes involves intracellular Ca elevation, potentially by Ca-dependent
vesicular release. Evidence suggests that levetiracetam (LEV; Keppra), an
antiepileptic drug, can improve cognitive performance in both humans with mild
cognitive impairment and animal models of Alzheimer disease. Because LEV acts by
modulating neurotransmitter release from neurons by interaction with synaptic
vesicles, we tested the effect of LEV on Abeta-induced astrocytic release of
glutamate. We used a fluorescence resonance energy transfer-based glutamate
sensor (termed SuperGluSnFR), whose structure is based on the ligand-binding site
of glutamate receptors, to monitor glutamate release from primary cultures of
human astrocytes exposed to oligomeric amyloid-beta peptide 1-42 (Abeta42). We
found that LEV (10 uM) inhibited oligomeric Abeta-induced astrocytic glutamate
release. In addition, we show that this Abeta-induced glutamate release from
astrocytes is sensitive to tetanus neurotoxin, an inhibitor of the vesicle
release machinery. Taken together, our evidence suggests that LEV inhibits Abeta
induced vesicular glutamate release from astrocytes and thus may underlie, at
least in part, the ability of LEV to reduce hyperexcitability in Alzheimer
disease.
PMID- 27183240
TI - Incidence of Soft-Tissue Injuries in Patients with Posterolateral Tibial Plateau
Fractures: A Retrospective Review from 2009 to 2014.
AB - Objective The goal of this study was to determine the incidence of soft-tissue
injuries in patients with posterolateral tibial plateau fractures. Methods The
data of 265 patients who had sustained posterolateral tibial plateau fractures
between May 2009 and Aug 2014 were retrospectively reviewed using a picture
archiving and communication system. Fractures were classified according to the
Schatzker, AO/OTA, and quadrant classification systems. Soft-tissue injuries,
including anterior cruciate ligament (ACL), posterior cruciate ligament (PCL),
lateral collateral ligament (LCL), medial collateral ligament (MCL), lateral
meniscus, and medial meniscus injuries, were assessed using magnetic resonance
imaging (MRI) data. Results The overall incidence of ACL and PCL tears was 80 and
36%, respectively. Nine (36%) patients sustained ACL footprint avulsions and
three (12%) had complete ACL tears. A total of 19 (76%) patients had LCL
injuries, and 15 (64%) had MCL injuries. The incidence of lateral meniscus tears
was 48%, while that of medial meniscus tears was 4%. Conclusion Posterolateral
tibial plateau fractures were associated with a high incidence of soft-tissue
injuries, especially ACL footprint avulsions and lateral meniscus tears. The
preoperative MRI examination was important for surgeons to decide whether the
ligament and meniscal injuries should be treated simultaneously with the repair
of the bone fractures.
PMID- 27183241
TI - microManaging glucose and lipid metabolism in skeletal muscle: Role of microRNAs.
AB - MicroRNAs have been described as important regulators of skeletal muscle
differentiation and development, but the role of microRNAs in glucose and lipid
metabolism is less well established. Here we review the microRNAs involved in
insulin resistance and glucose metabolism, as well as microRNAs regulating lipid
metabolism and mitochondrial functions in skeletal muscle, with an emphasis on
metabolic disorders such as type 2 diabetes and the adaptive response to exercise
training. Finally, we raise some methodological considerations for studying
microRNAs, as well as challenges investigators may face when elucidating the
direct role of microRNAs in the regulation of glucose and lipid metabolism in
skeletal muscle. This article is part of a Special Issue entitled: MicroRNAs and
lipid/energy metabolism and related diseases edited by Carlos Fernandez-Hernando
and Yajaira Suarez.
PMID- 27183242
TI - Tailoring Supramolecular Nanofibers for Air Filtration Applications.
AB - The demand of new materials and processes for nanofiber fabrication to enhance
the performance of air filters is steadily increasing. Typical approaches to
obtain nanofibers are based on top-down processes such as melt blowing,
centrifugal spinning, and electrospinning of polymer materials. However,
fabrication of polymer nanofibers is limited with respect to either a
sufficiently high throughput or the smallest achievable fiber diameter. This
study reports comprehensively on a fast and simple bottom-up process to prepare
supramolecular nanofibers in situ inside viscose/polyester microfiber nonwovens.
Here, selected small molecules of the materials class of 1,3,5-benzenetrisamides
are employed. The microfiber-nanofiber composites exhibit a homogeneous nanofiber
distribution and morphology throughout the entire nonwoven scaffold. Small
changes in molecular structure and processing solvent have a strong influence on
the final nanofiber diameter and diameter distribution and, consequently, on the
filtration performance. Choosing proper processing conditions, microfiber
nanofiber composites with surprisingly high filtration efficiencies of
particulate matter are obtained. In addition, the microfiber-nanofiber composite
integrity at elevated temperatures was determined and revealed that the
morphology of supramolecular nanofibers is maintained compared to that of the
utilized polymer nonwoven.
PMID- 27183243
TI - Aid in Dying and Palliative Sedation.
PMID- 27183244
TI - Comparison between objective measures and parental behavioral rating scales of
memory and attention in pediatric endocrinology patients.
AB - : Behavioral rating scales represent one potential method for screening of
cognitive functioning in routine clinical care. It is not yet known if objective
performance based measures and behavioral rating scales of cognitive functioning
completed by parents yield similar information in pediatric endocrinology
patients. The purpose of the present study was to evaluate the associations
between performance-based measures and behavioral rating scales of memory and
attention/concentration completed by parents of pediatric patients with Type 1
Diabetes or obesity. The sample consisted of 73 pediatric patients with Type 1
Diabetes or obesity (BMI > 95th percentile) ages 6-16 years (mean age = 12.29
years) referred to an outpatient pediatric endocrinology clinic. Youth were
administered the Wide Range Assessment of Memory and Learning (WRAML-2). Parents
completed the Child Behavior Checklist (CBCL) and the PedsQL Cognitive
Functioning Scale. Pearson's Product Moment Correlations were examined among the
performance-based measures and behavioral rating scales. RESULTS: All
intercorrelations between the performance-based measures and behavioral rating
scales completed by parents were in the small range. The only statistically
significant (P < 0.05) and approaching medium correlation was between the PedsQL
Cognitive Functioning Scale and WRAML-2 Verbal Memory Index (r = 0.28). On
behavioral rating scales and performance-based measures of visual memory and
attention/concentration, our sample exhibited greater difficulties than healthy
youth from previously published data (P < 0.05). One possible explanation for our
findings is that behavioral rating scales of attention/concentration and memory
completed by parents measure different aspects of cognitive functioning than
performance based measures in pediatric patients with Type 1 Diabetes or obesity.
PMID- 27183245
TI - Differences in physical status, mental state and online behavior of people in pro
anorexia web communities.
AB - BACKGROUND: There is a debate about the effects of pro-anorexia (colloquially
referred to as pro-ana) websites. Research suggests that the effect of these
websites is not straightforward. Indeed, the actual function of these sites is
disputed, with studies indicating both negative and positive effects. AIM: This
is the first study which systematically examined the differences between pro
anorexia web communities in four main aspects: web language used (posts); web
interests/search behaviors (queries); users' self-reported weight status and
weight goals; and associated self-reported mood/pathology. METHODS: We collected
three primary sources of data, including messages posed on three pro-ana
websites, a survey completed by over 1000 participants of a pro-ana website, and
the searches made on the Bing search engine of pro-anorexia users. These data
were analyzed for content, reported demographics and pathology, and behavior over
time. RESULTS: Although members of the main pro-ana website investigated appear
to be depressed, with high rates of self-harm and suicide attempts, users are
significantly more interested in treatment, have wishes of procreation and
reported the highest goal weights among the investigated sites. In contrast,
users of other pro-ana websites investigated, are more interested in morbid
themes including depression, self-harm and suicide. The percentage of severely
malnourished website users, in general, appears to be small (20%). CONCLUSIONS:
Our results indicate that a new strategy is required to facilitate the
communication between mental health specialists and pro-ana web users,
recognizing the differences in harm associated with different websites.
PMID- 27183246
TI - Fractionated ablative carbon dioxide laser treatment of steatocystoma multiplex.
AB - Steatocystoma multiplex is a well-recognized condition in which subjects develop
dermal cysts generally inherited in an autosomal dominant fashion, though these
can occur sporadically. This case report describes the successful treatment of a
51-year-old woman with steatocystomata limited to the face, who after two
treatments with a fractionated ablative carbon dioxide laser remained free of
cysts for three years. We conclude that this treatment should be considered as an
efficient and effective treatment option for patients with steatocystoma
multiplex.
PMID- 27183247
TI - Capturing Guest Dynamics in Metal-Organic Framework CPO-27-M (M = Mg, Zn) by (2)H
Solid-State NMR Spectroscopy.
AB - Metal-organic frameworks (MOFs) are promising porous materials for gas separation
and storage as well as sensing. In particular, a series of isostructural MOFs
with coordinately unsaturated metal centers, namely, CPO-27-M or M-MOF-74 (M =
Mg, Zn, Mn, Fe, Ni, Co, Cu), have shown exceptional adsorption capacity and
selectivity compared to those of classical MOFs that contain only fully
coordinated metal sites. Although it is widely accepted that the interaction
between guest molecules and exposed metal centers is responsible for good
selectivity and large maximum uptake, the investigation of such guest-metal
interaction is very challenging because adsorbed molecules are usually disordered
in the pores and undergo rapid thermal motions. (2)H solid-state NMR (SSNMR)
spectroscopy is one of the most extensively used techniques for capturing guest
dynamics in porous materials. In this work, variable-temperature (2)H wide-line
SSNMR experiments were performed on CPO-27-M (M = Mg, Zn) loaded with four
prototypical guest molecules: D2O, CD3CN, acetone-d6, and C6D6. The results
indicate that different guest molecules possess distinct dynamic behaviors inside
the channel of CPO-27-M. For a given guest molecule, its dynamic behavior also
depends on the nature of the metal centers. The binding strength of guest
molecules is discussed on the basis of the (2)H SSNMR data.
PMID- 27183248
TI - Ultrafast dynamics of ligand and substrate interaction in endothelial nitric
oxide synthase under Soret excitation.
AB - Ultrafast transient absorption spectroscopy of endothelial NOS oxygenase domain
(eNOS-oxy) was performed to study dynamics of ligand or substrate interaction
under Soret band excitation. Photo-excitation dissociates imidazole ligand in
<300fs, then followed by vibrational cooling and recombination within 2ps. Such
impulsive bond breaking and late rebinding generate proteinquakes, which relaxes
in several tens of picoseconds. The photo excited dynamics of eNOS-oxy with L
arginine substrate mainly occurs at the local site of heme, including ultrafast
internal conversion within 400fs, vibrational cooling, charge transfer, and
complete ground-state recovery within 1.4ps. The eNOS-oxy without additive is
partially bound with water molecule, thus its photoexcited dynamics also shows
ligand dissociation in <800fs. Then it followed by vibrational cooling coupled
with charge transfer in 4.8ps, and recombination of ligand to distal side of heme
in 12ps.
PMID- 27183249
TI - Synthesis and characterization of a pH responsive folic acid functionalized
polymeric drug delivery system.
AB - We report the computational analysis, synthesis and characterization of folate
functionalized poly(styrene-alt-maleic anhydride), PSMA for drug delivery
purpose. The selection of the proper linker between the polymer and the folic
acid group was performed before conducting the synthesis using Density Functional
Theory (DFT). The computational results showed the bio-degradable linker 2, 4
diaminobutyric acid, DABA as a good candidate allowing flexibility of the folic
acid group while maintaining the pH sensitivity of PSMA, used as a trigger for
drug release. The synthesis was subsequently carried out in multi-step
experimental procedures. The functionalized polymer was characterized using
InfraRed spectroscopy, Nuclear Magnetic Resonance and Dynamic Light Scattering
confirming both the chemical structure and the pH responsiveness of PSMA-DABA
Folate polymers. This study provides an excellent example of how computational
chemistry can be used in selection process for the functional materials and
product characterization. The pH sensitive polymers are expected to be used in
delivering anti-cancer drugs to solid tumors with overly expressed folic acid
receptors.
PMID- 27183250
TI - Idarucizumab for Dabigatran Reversal Guideline.
PMID- 27183251
TI - Postgraduate Education in Quality Improvement Methods: Initial Results of the
Fellows' Applied Quality Training (FAQT) Curriculum.
AB - OBJECTIVE: Training in quality improvement (QI) is a pillar of the next
accreditation system of the Accreditation Committee on Graduate Medical Education
and a growing expectation of physicians for maintenance of certification. Despite
this, many postgraduate medical trainees are not receiving training in QI
methods. We created the Fellows Applied Quality Training (FAQT) curriculum for
cardiology fellows using both didactic and applied components with the goal of
increasing confidence to participate in future QI projects. METHODS AND RESULTS:
Fellows completed didactic training from the Institute for Healthcare
Improvement's Open School and then designed and completed a project to improve
quality of care or patient safety. Self-assessments were completed by the fellows
before, during, and after the first year of the curriculum. The primary outcome
for our curriculum was the median score reported by the fellows regarding their
self-confidence to complete QI activities. Self-assessments were completed by 23
fellows. The majority of fellows (15 of 23, 65.2%) reported no prior formal QI
training. Median score on baseline self-assessment was 3.0 (range, 1.85-4), which
was significantly increased to 3.27 (range, 2.23-4; P = 0.004) on the final
assessment. The distribution of scores reported by the fellows indicates that 30%
were slightly confident at conducting QI activities on their own, which was
reduced to 5% after completing the FAQT curriculum. An interim assessment was
conducted after the fellows completed didactic training only; median scores were
not different from the baseline (mean, 3.0; P = 0.51). CONCLUSION: After
completion of the FAQT, cardiology fellows reported higher self-confidence to
complete QI activities. The increase in self-confidence seemed to be limited to
the applied component of the curriculum, with no significant change after the
didactic component.
PMID- 27183252
TI - Short- and Long-Term Prognostic Utility of the HEART Score in Patients Evaluated
in the Emergency Department for Possible Acute Coronary Syndrome.
AB - INTRODUCTION: The HEART score is a risk-stratification tool that was developed
and validated for patients evaluated for possible acute coronary syndrome (ACS)
in the emergency department (ED). We sought to determine the short-term and long
term prognostic utility of the HEART score. METHODS: A retrospective single
center analysis of 947 patients evaluated for possible ACS in the ED in 1999 was
conducted. Patients were followed for major adverse cardiac events (MACEs) at 30
days: death, acute myocardial infarction, or revascularization procedure. All
cause mortality was assessed at 5 years. The HEART score was compared with the
Thrombolysis in Myocardial Infarction (TIMI) score. RESULTS: At 30 days, 14%
(135/947) of patients had an MACE: 48 deaths (5%), 84 acute myocardial
infarctions (9%), and 48 (5%) revascularization procedures. The MACE rate in
patients with HEART score <=3 was 0.6% (1/175) involving a revascularization
procedure, 9.5% (53/557) in patients with HEART score between 4 and 6, and 38%
(81/215) with HEART score >=7. The C-statistic for the HEART score was 0.82 and
0.68 for the TIMI score for predicting 30-day MACE (P < 0.05). Patients with
HEART score <=3 had lower 5-year mortality rate compared with those with TIMI
score of 0 (10.6% vs. 20.5%, P = 0.02). CONCLUSIONS: The HEART score is a
valuable risk-stratification tool in predicting not only short-term MACE but also
long-term mortality in patients evaluated for possible ACS in the ED. The HEART
score had a superior prognostic value compared with the TIMI score.
PMID- 27183253
TI - Chest Pain Risk Stratification: A Comparison of the 2-Hour Accelerated Diagnostic
Protocol (ADAPT) and the HEART Pathway.
AB - BACKGROUND: The 2-hour accelerated diagnostic protocol (ADAPT) and the history
electrocardiogram age risk factors troponin (HEART) Pathway are decision aids
designed to identify Emergency Department (ED) patients with chest pain who are
safe for early discharge. Both have demonstrated high sensitivity (>99%) for
major adverse cardiac events (MACE) at 30 days and early discharge rates >=20%.
The objective of this study is to compare the sensitivity and early discharge
rates of the ADAPT and HEART Pathway decision aids in a cohort of ED patients
with acute chest pain. METHODS: A secondary analysis of participants enrolled and
randomized to the HEART Pathway arm of the HEART pathway randomized controlled
trial was conducted. Each patient was prospectively classified as low risk
(suitable for early discharge) or high risk by ADAPT and the HEART Pathway.
Sensitivity for MACE at 30 days and the number of patients identified as low-risk
were calculated for each decision aid. Decision aid performance was compared
using McNemar's test. RESULTS: MACE occurred in 8 of 141 (5.7%); there were no
deaths, 7 patients had myocardial infarction, and 1 patient had coronary
revascularization without myocardial infarction. ADAPT and the HEART pathway
identified all patients with MACE as high risk; sensitivity for MACE of 100% [95%
confidence interval (CI): 63-100%]. ADAPT identified 34 of 141 patients (24%; 95%
CI: 17-32%) as low-risk, whereas the Heart pathway identified 66 of 141 patients
(47%, 95% CI: 38-55%) as low risk (P < 0.001). CONCLUSIONS: Within a cohort of ED
patients with acute chest pain, ADAPT and the HEART pathway had high sensitivity
for MACE. The HEART pathway outperformed ADAPT by correctly identifying more
patients as low risk and safe for early discharge.
PMID- 27183254
TI - Prognostic Factors in Chest Pain Patients: A Quantitative Analysis of the HEART
Score.
AB - OBJECTIVES: Risk stratification for chest pain patients at the emergency
department is recommended in several guidelines. The history, ECG, age, risk
factors, and troponin (HEART) score is based on medical literature and expert
opinion to estimate the risk of a major adverse cardiac event. We aimed to assess
the predictive effects of the 5 HEART components and to compare performances of
the original HEART score and a model based on regression analysis. METHODS: We
analyzed prospectively collected data from 2388 patients, of whom 407 (17%) had a
major adverse cardiac event within 6 weeks (acute myocardial infarction,
percutaneous coronary intervention, coronary artery bypass graft, significant
stenosis with conservative treatment and death due to any cause). RESULTS:
Univariate regression analysis showed the same ordering of predictive effects as
used in the HEART score. Based on multivariable logistic regression analysis, an
adjusted score showed slightly better calibration and discrimination (c statistic
HEART, 0.83, HEART-adj, 0.85). In comparison to HEART, HEART-adj proved in a
decision curve analysis clinically useful for decision thresholds over 25%.
Nevertheless, the original HEART classified patients better than HEART-adj (net
reclassification improvement = 14.1%). CONCLUSION: The previously chosen weights
of the 5 elements of the HEART score are supported by multivariable statistical
analyses, although some improvement in calibration and discrimination is possible
by adapting the score. The gain in clinical usefulness is relatively small and
supports the use of either the original or adjusted HEART score in daily
practice.
PMID- 27183255
TI - Utility of the Diamond-Forrester Classification in Stratifying Acute Chest Pain
in an Academic Chest Pain Center.
AB - BACKGROUND: Because the Diamond-Forrester (DF) model is predictive of obstructive
coronary artery disease (CAD), it is often used to risk stratify acute chest pain
patients. We sought to further evaluate the clinical utility of the DF model
within a chest pain evaluation center. METHODS: Consecutive patients with chest
pain and no known CAD or evidence of active ischemia were asked to participate in
a prospective registry. Patients were classified based on cardiovascular risk
factors, age, and DF classification. We compared data from the emergency
department course, Duke Activity Status Index (DASI) and Seattle Angina
Questionnaire (SAQ), hospitalization rates, and results of testing between
patients with typical angina and all others. Multivariate logistic regression was
also used to assess for predictors of CAD by computed tomography coronary
angiography (CTCA) or positive exercise treadmill testing (ETT). RESULTS: Among
209 patients, 163 had atypical/noncardiac and 46 had typical chest pain. The SAQ
and DASI scores were lower in the typical chest pain group (indicating more
severe impairment), which were not statistically significantly different. There
were no significant differences in risk factors or the results of CTCA, ETT, or
cardiac catheterization. In the regression analysis, SAQ score, DASI score, and
DF classification were not predictive of CAD by CTCA. Worsening angina frequency
scores on the SAQ were marginally associated with positive ETT (OR, 1.04;
P=0.04). CONCLUSION: In a contemporary low-risk acute chest pain population,
typical angina, as defined by the DF classification, was not predictive of CAD or
useful for identifying patients with higher symptom burden.
PMID- 27183256
TI - Association of Early Stress Testing with Outcomes for Emergency Department
Evaluation of Suspected Acute Coronary Syndrome.
AB - BACKGROUND: Professional society guidelines suggest early stress testing (within
72 hours) after an emergency department (ED) evaluation for suspected acute
coronary syndrome (ACS). However, there is increasing concern that current
practice results in over-testing without evidence of benefit. We test the
hypothesis that early stress testing improves outcomes. METHODS: We analyzed
prospectively collected data from 9 EDs on patients with suspected ACS, 1999
2001. We excluded patients with an ED diagnosis of ACS. The primary outcome was
30-day major adverse cardiac events (MACEs), including all-cause death, acute
myocardial infarction, and revascularization. We used the HEART score to
determine pretest ACS risk (low, intermediate, and high). To mitigate potential
confounding, patients with and without early stress testing were matched within
pretest risk strata in a 1:2 ratio using propensity scores. RESULTS: Of 7127
potentially eligible patients, 895 (13%) received early stress testing. The
analytic cohort included 895 patients with early stress testing matched to 1790
without early stress testing. The overall 30-day MACE rate in both the source and
analytic population was 3%. There were no baseline imbalances after propensity
score matching (P > 0.1 for more than 30 variables). There was no association
between early stress testing and 30-day MACE [odds ratio, 1.0; 95% confidence
interval (CI), 0.6-1.7]. There was no effect modification by pretest risk (low:
odds ratio, 1.0; 95% CI, 0.2-3.7; intermediate: 1.2; 95% CI, 0.6-2.6; high: 0.4;
95% CI, 0.1-1.6). CONCLUSIONS: Early stress testing is not associated with
reduced MACE in patients evaluated for suspected ACS. Early stress testing may
have limited value in populations with low MACE rate.
PMID- 27183257
TI - Effect of Baseline Red Blood Cell Distribution Width on Short- and Intermediate
term Mortality of Patients Under Primary Percutaneous Coronary Intervention: A
Survival Analysis.
AB - OBJECTIVE: Considering the limited studies specifically evaluating the role of
Red blood cell distribution width (RDW) in primary percutaneous coronary
intervention (PCI), we aimed to investigate the role of baseline RDW in short-
and intermediate-term cardiovascular events in ST-elevation myocardial infarction
patients under primary PCI. METHODS: This is a historical cohort registry
analysis of 1161 patients with ST-elevation myocardial infarction under primary
PCI. According to inclusion criteria, 838 patients were allocated for analysis.
Patients with RDW levels of 13.6% or higher were considered as high RDW group
(third tertile). All the individuals were followed up for in-hospital and 6-month
mortality along with readmission and composite major adverse cardiac events.
RESULTS: In-hospital deaths occurred in 53 (6.3%) patients and at 6-month follow
up 79 (9.4%) cases of mortality were documented. In-hospital and 6-month
mortalities showed a significant trend in favor of high RDW group (13.3% vs.
5.9%, P = 0.003; 19.7% vs. 7.9%, P < 0.001, respectively). Multivariate analysis
showed that high RDW was significantly and independently associated with higher
rates of 6-month mortality (hazard ratio: 2.909; 95% confidence interval: 1.166
7.257; P = 0.022). RDW was also a significant predictor for 6-month mortality
independent of anemia (hazard ratio: 2.811; 95% confidence interval: 1.047-7.551;
P = 0.040). CONCLUSIONS: We found a significant association between high RDW and
in-hospital and 6-month mortality as well as the occurrence of major adverse
cardiac event. Meanwhile RDW was found to be a significant predictor for 6-month
mortality independent of anemia. Thus, it would be beneficial to use RDW as a
risk stratification index to identify high risk intent-to-treat patients.
PMID- 27183258
TI - The 2015 Nobel Prize in Chemistry The Discovery of Essential Mechanisms that
Repair DNA Damage.
AB - The Royal Swedish Academy awarded the Nobel Prize in Chemistry for 2015 to Tomas
Lindahl, Paul Modrich and Aziz Sancar for their discoveries in fundamental
mechanisms of DNA repair. This pioneering research described three different
essential pathways that correct DNA damage, safeguard the integrity of the
genetic code to ensure its accurate replication through generations, and allow
proper cell division. Working independently of each other, Tomas Lindahl, Paul
Modrich and Aziz Sancar delineated the mechanisms of base excision repair,
mismatch repair and nucleotide excision repair, respectively. These breakthroughs
challenged and dismissed the early view that the DNA molecule was very stable,
paving the way for the discovery of human hereditary diseases associated with
distinct DNA repair deficiencies and a susceptibility to cancer. It also brought
a deeper understanding of cancer as well as neurodegenerative or neurological
diseases, and let to novel strategies to treat cancer.
PMID- 27183270
TI - Quorum sensing control of Type VI secretion factors restricts the proliferation
of quorum-sensing mutants.
AB - Burkholderia thailandensis uses acyl-homoserine lactone-mediated quorum sensing
systems to regulate hundreds of genes. Here we show that cell-cell contact
dependent type VI secretion (T6S) toxin-immunity systems are among those
activated by quorum sensing in B. thailandensis. We also demonstrate that T6S is
required to constrain proliferation of quorum sensing mutants in colony
cocultures of a BtaR1 quorum-sensing signal receptor mutant and its parent.
However, the BtaR1 mutant is not constrained by and outcompetes its parent in
broth coculture, presumably because no cell contact occurs and there is a
metabolic cost associated with quorum sensing gene activation. The increased
fitness of the wild type over the BtaR1 mutant during agar surface growth is
dependent on an intact T6SS-1 apparatus. Thus, quorum sensing activates B.
thailandensis T6SS-1 growth inhibition and this control serves to police and
constrain quorum-sensing mutants. This work defines a novel role for T6SSs in
intraspecies mutant control.
PMID- 27183269
TI - Two-way communication between SecY and SecA suggests a Brownian ratchet mechanism
for protein translocation.
AB - The essential process of protein secretion is achieved by the ubiquitous Sec
machinery. In prokaryotes, the drive for translocation comes from ATP hydrolysis
by the cytosolic motor-protein SecA, in concert with the proton motive force
(PMF). However, the mechanism through which ATP hydrolysis by SecA is coupled to
directional movement through SecYEG is unclear. Here, we combine all-atom
molecular dynamics (MD) simulations with single molecule FRET and biochemical
assays. We show that ATP binding by SecA causes opening of the SecY-channel at
long range, while substrates at the SecY-channel entrance feed back to regulate
nucleotide exchange by SecA. This two-way communication suggests a new, unifying
'Brownian ratchet' mechanism, whereby ATP binding and hydrolysis bias the
direction of polypeptide diffusion. The model represents a solution to the
problem of transporting inherently variable substrates such as polypeptides, and
may underlie mechanisms of other motors that translocate proteins and nucleic
acids.
PMID- 27183271
TI - Nucleosome Binding Alters the Substrate Bonding Environment of Histone H3 Lysine
36 Methyltransferase NSD2.
AB - Nuclear receptor-binding SET domain protein 2 (NSD2) is a histone H3 lysine 36
(H3K36)-specific methyltransferase enzyme that is overexpressed in a number of
cancers, including multiple myeloma. NSD2 binds to S-adenosyl-l-methionine (SAM)
and nucleosome substrates to catalyze the transfer of a methyl group from SAM to
the epsilon-amino group of histone H3K36. Equilibrium binding isotope effects and
density functional theory calculations indicate that the SAM methyl group is
sterically constrained in complex with NSD2, and that this steric constraint is
released upon nucleosome binding. Together, these results show that nucleosome
binding to NSD2 induces a significant change in the chemical environment of
enzyme-bound SAM.
PMID- 27183273
TI - Optical Absorption Spectra and Excitons of Dye-Substrate Interfaces: Catechol on
TiO2(110).
AB - Optimizing the photovoltaic efficiency of dye-sensitized solar cells (DSSC) based
on staggered gap heterojunctions requires a detailed understanding of sub-band
gap transitions in the visible from the dye directly to the substrate's
conduction band (CB) (type-II DSSCs). Here, we calculate the optical absorption
spectra and spatial distribution of bright excitons in the visible region for a
prototypical DSSC, catechol on rutile TiO2(110), as a function of coverage and
deprotonation of the OH anchoring groups. This is accomplished by solving the
Bethe-Salpeter equation (BSE) based on hybrid range-separated exchange and
correlation functional (HSE06) density functional theory (DFT) calculations. Such
a treatment is necessary to accurately describe the interfacial level alignment
and the weakly bound charge transfer transitions that are the dominant absorption
mechanism in type-II DSSCs. Our HSE06 BSE spectra agree semiquantitatively with
spectra measured for catechol on anatase TiO2 nanoparticles. Our results suggest
deprotonation of catechol's OH anchoring groups, while being nearly isoenergetic
at high coverages, shifts the onset of the absorption spectra to lower energies,
with a concomitant increase in photovoltaic efficiency. Further, the most
relevant bright excitons in the visible region are rather intense charge transfer
transitions with the electron and hole spatially separated in both the [110] and
[001] directions. Such detailed information on the absorption spectra and
excitons is only accessible via periodic models of the combined dye-substrate
interface.
PMID- 27183272
TI - The role of 5-aminolevulinic acid in enhancing surgery for high-grade glioma, its
current boundaries, and future perspectives: A systematic review.
AB - 5-Aminolevulinic acid (5-ALA) has been approved as an intraoperative adjunct in
glioma surgery in Europe, but not North America. A systematic review was
conducted to assess the evidence regarding 5-ALA as a surgical adjunct. The
MEDLINE, EMBASE, and CENTRAL databases were searched, using terms relevant to "5
ALA" and "high-grade gliomas." Included studies were based on adults aged >=18
years who underwent surgical resection/biopsy. No language or date limitations
were used. Forty-three studies (1830 patients) were identified. Thirty-six were
coordinated by European countries, 2 were in the United States, and none were in
Canada. One was randomized, 28 were prospective, and 14 were retrospective.
Twenty-six studies assessed the utility of 5-ALA as a diagnostic tool, 24
assessed its influence on the extent of resection (EOR), 9 assessed survival, and
22 reported adverse events. 5-ALA had high sensitivity and positive predictive
value, whereas its specificity increased with additional adjuncts. The EOR
increased with 5-ALA, but only progression-free survival was significantly
influenced. Reporting of adverse events was not systematic. The use of 5-ALA
improved tumor visualization and thus enabled a greater EOR and perhaps increased
survival. However, additional adjuncts may be necessary for maximizing the
specificity of resection and patient safety. Additional parameters, such as
patient quality of life and health economic analyses, would be informative. Thus,
additional systematic collection of prospective evidence may be necessary for the
global incorporation of this potentially valuable surgical adjunct into routine
practice. Cancer 2016;122:2469-78. (c) 2016 American Cancer Society.
PMID- 27183274
TI - Poor sleep predicts subacute postconcussion symptoms following mild traumatic
brain injury.
AB - The primary objective was to determine if poor sleep predicts postconcussion
symptoms in the subacute period after mild traumatic brain injury (TBI). The
impact of poor sleep pre- and post-injury was examined. The research design was
cross-sectional. After screening to detect response invalidity, 61 individuals
with a self-reported history of mild TBI 1-to-6 months prior answered an online
fixed order battery of standardized questionnaires assessing their sleep (current
and preinjury) and persistent postconcussion symptoms (Neurobehavioral Symptom
Inventory, minus sleep, and fatigue items). The sleep measures were the Insomnia
Severity Index, Epworth Sleepiness Scale, a single Likert-scale pre-injury sleep
quality rating, and two PROMISTM measures (sleep-related impairment and sleep
disturbance). After controlling for the effects of preinjury sleep quality and
demographics, the combination of the sleep measures made a significant
contribution to the outcome (F[8,58] = 4.013, p = .001, [Formula: see text]).
Only current sleep-related impairment (beta = .60, p < .05) made a significant
and unique contribution to neurobehavioral symptoms. Preinjury sleep was not a
predictor (beta = -.19, p > .05), although it contributed 3% of the variance in
NSI scores after controlling for demographics. Sleep-related impairment is a
modifiable factor. As a significant contributor to neurobehavioral symptoms,
treatment for post-injury sleep-related impairment warrants further attention.
PMID- 27183275
TI - Radiological protection and the exposure of animals as patients in veterinary
medicine.
AB - It is apparent that most of the techniques that make use of ionising radiation in
human medical practices are now being applied in veterinary medicine. Steps are
being taken by the IAEA to provide guidance for humans involved in such
practices, but there appears to be no international initiative that considers the
protection or welfare of the animal as a patient. There is therefore a risk that
the deliberate exposure of an animal, particularly in the therapeutic application
of radiation, could do more harm than good. In the light of recent developments
in dosimetric modelling and the application of known effects of radiation on
different types of animals, for the purposes of the protection of biota in an
environmental context, it is argued that it would be sensible now to start a
serious consideration of this issue. Some suggestions are made with regard to a
number of areas that could be considered further, both specifically and with
regard to the field of radiological protection as a whole.
PMID- 27183276
TI - A photoacoustic immunoassay for biomarker detection.
AB - Challenges in protein biomarker analysis include insufficient sensitivity for
detecting low-abundance biomarkers, poor measurement reproducibility, and the
high costs and large footprints of detection systems. To address these issues, a
new detection modality was developed for analyzing protein biomarkers based on
the plasmon-enhanced photoacoustic (PA) effect. The detection modality employed a
heterogeneous immunoassay scheme and used gold nanoparticles (AuNPs) as the
signal reporter. Due to their localized plasmon resonance, AuNPs can strongly
interact with intensity-modulated laser excitation and generate strong PA
signals, which are subsequently sensed and quantified using a microphone. As an
example, the performance of the PA immunoassay was evaluated by detecting the
human interleukin 8 chemokine. The PA immunoassay provided approximately 143*
lower limit of detection (LOD) than observed with the gold standard enzyme-linked
immunosorbent assay - a decrease from 23pg/mL to 0.16pg/mL. In addition to the
significant performance improvement in terms of the LOD, the PA immunoassay also
offers advantages in terms of compatibility with low-cost instruments and the
long-term stability of assay results.
PMID- 27183277
TI - Dual detection of nitrate and mercury in water using disposable electrochemical
sensors.
AB - Here we report a disposable, cost effective electrochemical paper-based sensor
for the detection of both nitrate and mercury ions in lake water and contaminated
agricultural runoff. Disposable carbon paper electrodes were functionalized with
selenium particles (SePs) and gold nanoparticles (AuNPs). The AuNPs served as a
catalyst for the reduction of nitrate ions using differential pulse voltammetry
techniques. The AuNPs also served as a nucleation sites for mercury ions. The
SePs further reinforced this mercury ion nucleation due to their high binding
affinity to mercury. Differential pulse stripping voltammetry techniques were
used to further enhance mercury ion accumulation on the modified electrode. The
fabricated electrode was characterized by scanning electron microscopy, energy
dispersive X-ray spectroscopy, and electrochemistry techniques. The obtained
results show that the PEG-SH/SePs/AuNPs modified carbon paper electrode has a
dual functionality in that it can detect both nitrate and mercury ions without
any interference. The modified carbon paper electrode has improved the analytical
sensitivity of nitrate and mercury ions with limits of detection of 8.6uM and
1.0ppb, respectively. Finally, the modified electrode was used to measure nitrate
and mercury in lake water samples.
PMID- 27183278
TI - Rapid and facile ratiometric detection of an anthrax biomarker by regulating
energy transfer process in bio-metal-organic framework.
AB - A ratiometric fluorescent sensor based on luminescent bio-metal-organic framework
was prepared by exchanging both Tb(3+) and Eu(3+) cations into anionic bio-MOF-1.
Due to a highly efficient energy transfer from Tb(3+) to Eu(3+) (>89%), emission
color of Tb/Eu@bio-MOF-1 was orange-red even though Tb(3+) was the dominant
content in this Tb/Eu co-doping material. More interestingly, this energy
transfer process could be modulated by dipicolinic acid (DPA), an unique
biomarker for bacillus spores. With DPA addition, corresponding DPA-to-Tb(3+)
energy transfer was gradually enhanced while the energy transfer from Tb(3+) to
Eu(3+) was significantly weakened. By regulating the energy transfer process in
Tb/Eu@bio-MOF-1, visual colorimetric sensing of DPA in porous MOF was realized
for the first time. Detection limit of Tb/Eu@bio-MOF-1 for DPA was 34nM, which
was much lower than an infectious dosage of Bacillus anthracis spores (60MUM) for
human being. Besides, Tb/Eu@bio-MOF-1 showed a remarkable selectivity over other
aromatic ligands and amino acids. More importantly, this porous ratiometric
sensor worked equally well in human serum. These particularly attractive features
of Tb/Eu@bio-MOF-1 made the direct, rapid and naked-eye detection of DPA for
practical application possible.
PMID- 27183279
TI - Simultaneous photoelectrochemical and visualized immunoassay of beta-human
chorionic gonadotrophin.
AB - Herein, on the basis of the alkaline phosphate (ALP) induced reaction, a
simultaneous photoelectrochemical (PEC) and visualized immunoassay has been
established for the detection of beta-human chorionic gonadotrophin (beta-HCG).
Specifically, in the proposed system, ALP stimulated the oxidative hydrolyzing
transformation of 5-bromo-4-chloro-3-indoyl phosphate (BCIP) to an indigo
precipitation, generating an insulating layer that impeded the interfacial mass
and electron transfer and thus the photocurrent production. Meanwhile, a
visualized detection could be performed according to the change of color
intensity. Upon proper experimental conditions, the protocol possessed a
detection range of 0.5-1000IU/L with a detection limit of (0.20+/-0.011)IU/L
toward beta-HCG. With high sensitivity and specificity, this work presents the
first general protocol for simultaneous PEC and visualized detection, which could
be easily extended to addressing numerous other targets.
PMID- 27183280
TI - A versatile nanomachine for the sensitive detection of platelet-derived growth
factor-BB utilizing a G-quadruplex-selective iridium(III) complex.
AB - The novel iridium(III) complex 1 was found to be highly selective for G
quadruplex DNA, and was employed for the development of a versatile nanomachine.
In the nanomachine, the single-stranded DNA (ssDNA) oligonucleotide ON1
hybridizes with the hairpin DNA oligonucleotide ON2, leading to the formation of
a 5'-recessed double-stranded DNA (dsDNA) structure and the simultaneous release
of a G-rich DNA sequence, which folds into a G-quadruplex motif that is
recognized by complex 1. Upon the addition of Exo III, the dsDNA substrate is
digested leading to the liberation of ON1 ssDNA, which enters a new cycle of the
nanomachine. This nanomachine was successfully used to detect PDGF-BB by
combination with a simple pre-procedure module, and exhibited a linear response
between luminescence intensity and PDGF-BB concentration in the range of 10 to
300pM (R(2)=0.992), with a limit of detection for PDGF-BB of 10pM. This assay was
highly selective for PDGF-BB over other proteins, and exhibited potential use in
biological sample analysis. Moreover, the versatility of the nanomachine was
demonstrated by adapting the nanomachine for the detection of thrombin by simply
changing the pre-procedure module.
PMID- 27183281
TI - Label-free bimodal waveguide immunosensor for rapid diagnosis of bacterial
infections in cirrhotic patients.
AB - Spontaneous bacterial peritonitis is an acute bacterial infection of ascitic
fluid; it has a high incidence in cirrhotic patients and it is associated with
high mortality. In such a situation, early diagnosis and treatment is crucial for
the survival of the patient. However, bacterial analysis in ascitic fluid is
currently based on culture methods, which are time-consuming and laborious. We
report here the application of a photonic interferometer biosensor based on a
bimodal waveguide (BiMW) for the rapid and label-free detection of bacteria
directly in ascitic fluid. The device consists of a straight waveguide in which
two modes of the same polarization interfere while interacting with the external
medium through their evanescent fields. A bimolecular event occurring on the
sensor area of the device (e.g. capturing bacteria) will differently affect each
light mode, inducing a variation in the phase of the light exiting at the output
of the waveguide. In this work, we demonstrate the quantitative detection of
Bacillus cereus in buffer medium and Escherichia coli in undiluted ascitic fluid
from cirrhotic patients. In the case of Bacillus cereus detection, the device was
able to specifically detect bacteria at relevant concentrations in 12.5min and in
the case of Escherichia coli detection, the analysis time was 25min.
Extrapolation of the data demonstrated that the detection limits of the biosensor
could reach few bacteria per milliliter. Based on the results obtained, we
consider that the BiMW biosensor is positioned as a promising new clinical tool
for user-friendly, cost-effective and real-time microbiological analysis.
PMID- 27183282
TI - A fluorescent assay for gamma-glutamyltranspeptidase via aggregation induced
emission and its applications in real samples.
AB - gamma-Glutamyl transpeptidase (GGT) plays crucial roles in some physiological
processes. Herein a turn-on fluorescent probe for gamma-glutamyl transpeptidase
(GGT) assay based on aggregation-induced-emission (AIE) effect and the enzyme
induced transformation of hydrophilicity to hydrophobicity has been developed by
functionalizing tetraphenylethylene (TPE) derivative with two gamma-glutamyl
amide groups, which simultaneously work as recognition units and hydrophilic
groups. When the gamma-glutamyl amide groups are cleaved through GGT enzymatic
reaction, the hydrophobic reaction product readily aggregate and correspondingly
strong blue fluorescence can be observed, as a result of activated AIE process.
By virtue of the probe's good solubility in totally aqueous solution, high
sensitivity and excellent photostability, the probe can be employed to detect GGT
level in human serum samples. Furthermore, the probe can be used for imaging
endogenous GGT in living A2780 cells. Hence, the probe holds great promise for
acting as a convenient one-step straightforward assay for GGT detection in
diagnostic-related applications, and also it could provide a useful approach for
conducting pathological analysis for diseases involving GGT.
PMID- 27183283
TI - SERS nanoprobes for the monitoring of endogenous nitric oxide in living cells.
AB - Nitric Oxide (NO) is a significant gaseous signalling molecule in various
pathological and physiological pathways, whereas many of its functions are still
ambiguous in part because of the shortage of powerful detection approaches.
Herein, we present a type of reaction-based surface-enhanced Raman scattering
(SERS) nanoprobes, o-phenylenediamine-modified gold nanoparticles (AuNPs/OPD), to
detect the level of the endogenous NO in living cells. The detection is achieved
through the SERS variation of AuNPs/OPD caused by the reaction between NO and OPD
on the surface of AuNPs. The proposed SERS nanoprobes have a good stability and a
rapid response to NO within 30s Moreover, as a result of the reaction specificity
coupled with SERS fingerprinting, AuNPs/OPD nanoprobes demonstrate high
selectivity towards NO over other biologically relevant species with a
sensitivity at 10(-7)M level. Thereby, this SERS strategy can be used for
monitoring NO that is endogenously produced in living macrophages, indicating
immense potential in studying NO-involved pathophysiological processes in
biological systems.
PMID- 27183284
TI - MWCNTs based high sensitive lateral flow strip biosensor for rapid determination
of aqueous mercury ions.
AB - Here, we describe a disposable multi-walled carbon nanotubes (MWCNTs) labeled
nucleic acid lateral flow strip biosensor for rapid and sensitive detection of
aqueous mercury ions (Hg(2+)). Unlike the conventional colloidal gold
nanoparticle based strip biosensors, the carboxylated MWCNTs were selected as the
labeling substrate because of its high specific surface area for immobilization
of recognition probes, improved stability and enhanced detection sensitivity of
the strip biosensor. Combining the sandwich-type of T-Hg(2+)-T recognition
mechanism with the optical properties of MWCNTs on lateral flow strip, optical
black bands were observed on the lateral flow strips. Parameters (such as
membrane category, the MWCNTs concentration, the amount of MWCNT-DNA probe, and
the volume of the test probe) that govern the sensitivity and reproducibility of
the sensor were optimized. The response of the optimized biosensor was highly
linear over the range of 0.05-1ppb target Hg(2+), and the detection threshold was
estimated at 0.05 ppb within a 15-min assay time. The sensitivity was 10-fold
higher than the conventional colloidal gold based strip biosensor. More
importantly, the stability of the sensor was also greatly improved with the usage
of MWCNTs as the labeling.
PMID- 27183285
TI - Development and comparison of immunochromatographic strips with three
nanomaterial labels: Colloidal gold, nanogold-polyaniline-nanogold microspheres
(GPGs) and colloidal carbon for visual detection of salbutamol.
AB - In this study, the three nanomaterials: colloidal gold, nanogold-polyaniline
nanogold microspheres (GPGs) and colloidal carbon were respectively labeled with
the antibody against salbutamol (SAL). We aimed to develop immunochromatographic
strips with these nanomaterial labels and determine their performance in visual
detection of SAL. For the colloidal gold-based strip, the detection limit of SAL
was 1.0ugL(-1) in standard solution and 5.0ugkg(-1) in meat samples. For the GPG-
and colloidal carbon-based strips, the limit of detection was 2.0ugL(-1) in
standard solution and 10ugkg(-1) in meat samples. The results obtained using the
test strips were found to be highly consistent with those obtained using a
commercial kit, indicating the high accuracy of these strips. The three strips
were also found to be stable up to 18 weeks under laboratory conditions. In terms
of sensitivity, the colloidal gold-based strip was slightly better than the other
two. For the GPG- and colloidal carbon-based strips, the difference between the
results obtained for different batches was small (high consistency), and the
stability was much better than that of the colloidal gold-based one. Our results
indicate that colloidal carbon can be used as a label in immunochromatographic
tests; it can also help reduce the cost involved and scale-up the production. The
use of immunochromatographic test strips labeled with colloidal carbon can be a
rapid and inexpensive method for SAL assays in on-site applications.
PMID- 27183286
TI - A novel electrochemical immunosensor based on nonenzymatic Ag@Au-Fe3O4
nanoelectrocatalyst for protein biomarker detection.
AB - A hybrid nanostructure of Fe3O4 nanospheres and Ag@Au nanorods prepared by
polydopamine coating was utilized as nanoelectrocatalyst to construct a novel
sandwich-type electrochemical immunosensor. Ag@Au-Fe3O4 nanohybrid modified
electrode exhibited much better electrocatalytic activity toward the reduction of
hydrogen peroxide than Fe3O4 nanospheres or Ag@Au nanorods due to the synergetic
catalytic effect. The immunosensor was prepared by immobilizing the capture
antibodies on the amine-terminated nanocomposite of carbon nanofibers-chitosan,
whilst the trace tag was prepared by loading detection antibodies on the Ag@Au
Fe3O4 nanocomposite. After the parameter optimization, the amperometric signal
increased linearly with human IgG concentration in the broad range of 0.1pgmL(-1)
to 5MUgmL(-1) with a detection limit of 50fgmL(-1). Meanwhile, the enzyme-free
catalyst based immunosensor also showed acceptable selectivity, reproducibility
and stability.
PMID- 27183287
TI - Lab-on-a-disc agglutination assay for protein detection by optomagnetic readout
and optical imaging using nano- and micro-sized magnetic beads.
AB - We present a biosensing platform for the detection of proteins based on
agglutination of aptamer coated magnetic nano- or microbeads. The assay, from
sample to answer, is integrated on an automated, low-cost microfluidic disc
platform. This ensures fast and reliable results due to a minimum of manual steps
involved. The detection of the target protein was achieved in two ways: (1)
optomagnetic readout using magnetic nanobeads (MNBs); (2) optical imaging using
magnetic microbeads (MMBs). The optomagnetic readout of agglutination is based on
optical measurement of the dynamics of MNB aggregates whereas the imaging method
is based on direct visualization and quantification of the average size of MMB
aggregates. By enhancing magnetic particle agglutination via application of
strong magnetic field pulses, we obtained identical limits of detection of 25pM
with the same sample-to-answer time (15min 30s) using the two differently sized
beads for the two detection methods. In both cases a sample volume of only 10ul
is required. The demonstrated automation, low sample-to-answer time and
portability of both detection instruments as well as integration of the assay on
a low-cost disc are important steps for the implementation of these as portable
tools in an out-of-lab setting.
PMID- 27183288
TI - The Latest Updates and Management of Endophthalmitis.
PMID- 27183289
TI - Incidence and Risk Factors of Retinopathy of Prematurity From 2 Neonatal
Intensive Care Units in a Hong Kong Chinese Population.
AB - PURPOSE: To determine the incidence and risk factors of retinopathy of
prematurity (ROP) in very low birth weight (VLBW) preterm Chinese infants.
DESIGN: Retrospective review. METHODS: Medical records were reviewed for all
neonates screened for ROP between January 2007 and December 2012 in Hong Kong.
Screening was offered to VLBW (<=1500 g) and/or preterm (gestation, <=32 weeks)
neonates using the Royal College of Ophthalmologists ROP guideline and the
International Classification of ROP by 3 pediatric ophthalmologists. Maternal and
neonatal covariates were analyzed using univariate and multivariable logistic
regression analyses for both ROP and type 1 ROP. RESULTS: Of the 513 screened
infants, the mean gestational age (GA) was 30.0 +/- 2.5 weeks and the mean birth
weight (BW) was 1232.6 +/- 325.2 g. The incidence of ROP and type 1 ROP was 18.5%
and 3.7%, respectively. In univariate analysis, a lighter BW, lower GA, blood
transfusion, patent ductus arteriosus, nonsteroidal anti-inflammatory drug use,
postnatal hypotension, inotropes usage, low Apgar scores, sepsis, mechanical
ventilation, supplementary oxygen use, respiratory distress syndrome, anemia,
surfactant use, and bronchopulmonary dysplasia were found to be associated with
the development of both ROP and type 1 ROP (P < 0.05). In multivariable logistic
regression analysis, BW, GA, and intraventricular hemorrhage were significant
risk factors for ROP. Preeclampsia and eclampsia were the only protective factors
for ROP development on multivariable logistic regression analysis (P = 0.02).
CONCLUSIONS: In VLBW preterm Chinese infants, lower GA, lighter BW, and
intraventricular hemorrhage were significant risk factors for ROP, whereas
preeclampsia and eclampsia were protective.
PMID- 27183290
TI - Eye Injury Prevention for the Pediatric Population.
AB - Each year an estimated 3.3 to 5.7 million pediatric eye injuries occur worldwide.
It is widely reported that 90% of ocular injuries are preventable. Our aim was to
identify legislation and policies, education, and mandatory eye protection
strategies that have successfully contributed to reducing rates of children's eye
injuries. A literature search was conducted using the terms "pediatric" or
"children" or "adolescent" and "ocular" or "eye" and "protection" or "injury
prevention." Articles were retrieved based on titles and abstracts and assessed
in the context of our research question. Strategies identified aimed at reducing
ocular trauma fell into 3 broad categories: legislation and policies, education,
and personal eye protection. Policies including restrictions on the sale and
supply of certain consumer products, mandatory vehicle seatbelts, and laminated
windscreens in vehicles have assisted in reducing children's eye injuries.
Educational tools aimed at children and their caregivers have been effective in
changing attitudes to eye health and safety. Effective pediatric eye injury
prevention systems require a multifactorial approach combining legislation,
policies, standards, education, and personal eye protection to limit exposure to
ocular hazards. A paucity of standardized measurement and lack of funding have
limited advances in the field of children's eye injury prevention. Improved eye
injury surveillance and research funding along with collaboration with health
care providers are important components for strategies to prevent pediatric
ocular trauma.
PMID- 27183291
TI - Advances in Refractive Surgery: June 2014 to July 2015.
AB - PURPOSE: The objective of this study was to review the advances in the field of
refractive surgery as reported in the peer-reviewed literature during the
previous year. DESIGN: A literature review. METHODS: In this review, we highlight
the most pertinent articles in the field from June 2014 to the end of July 2015.
RESULTS: This past year has seen a growing body of research on small-incision
lenticule extraction, presbyopic inlays, and phakic intraocular lenses, as more
clinicians are adopting these techniques into their armamentarium. CONCLUSIONS:
Laser-assisted in situ keratomileusis and photorefractive keratectomy continue to
dominate the keratorefractive literature, as they remain the most frequently
performed refractive surgeries.
PMID- 27183292
TI - Regarding 'Management of Primary Angle-Closure Glaucoma'.
PMID- 27183293
TI - Author's Reply.
PMID- 27183294
TI - Prevalence of Diabetic Retinopathy in a Population of Diabetics From the Middle
East With Microvascular Ocular Motor Palsies.
AB - BACKGROUND: Vascular risk factors are increasing rapidly in the Middle East.
Growing inactivity and obesity have contributed to an epidemic of Type 2 diabetes
mellitus (DM) in the Arab population. Microvascular palsies of the third, fourth,
and sixth cranial nerves, which occur in an isolated manner, are relatively
common in patients with DM, hypertension, or other vascular risk factors.
METHODS: In this retrospective analysis, patients with diabetes with
microvascular palsies were assessed for the prevalence of diabetic retinopathy
(DR). We compared these data with the prevalence of DR in the general population
of diabetics in Saudi Arabia and to a similar published study done in an American
population. RESULTS: In total, 126 patients with diabetes were included in the
study. The sixth nerve was most frequently involved in 67 patients (53%). Seventy
seven patients (61%) had DR, compared with 49 (39%) without DR. The prevalence of
DR in the general population of Saudi patients with diabetes ranged from 30% to
36.1%. CONCLUSIONS: Our study demonstrated a higher prevalence of DR in patients
with microvascular palsies compared with the general population of patients with
diabetes in the Arab population. This is in contrast to a previous study in an
American population. Our results might be secondary to differences between the 2
populations, in particular, the continued increase in the prevalence of vascular
risk factors (mainly diabetes) and poor control of these risk factors in the
Middle East.
PMID- 27183295
TI - A Needs Assessment of the Number of Comprehensive Addiction Care Physicians
Required in a Canadian Setting.
AB - OBJECTIVE: Medical professionals adequately trained to prevent and treat
substance use disorders are in short supply in most areas of the world. Whereas
physician training in addiction medicine can improve patient and public health
outcomes, the coverage estimates have not been established. We estimated the
extent of the need for medical professionals skilled in addiction medicine in a
Canadian setting. METHODS: We used Monte-Carlo simulations to generate medians
and 95% credibility intervals for the burden of alcohol and drug use harms,
including morbidity and mortality, in British Columbia, by geographic health
region. We obtained prevalence estimates for the models from the Medical Services
Plan billing, the Discharge Abstract Database data, and the government
surveillance data. We calculated a provider availability index (PAI), a ratio of
the size of the labor force per 1000 affected individuals, for each geographic
health region, using the number of American Board of Addiction Medicine certified
physicians in each area. RESULTS: Depending on the data source used for
population estimates, the availability of specialized addiction care providers
varied across geographic health regions. For drug-related harms, we found the
highest PAI of 23.72 certified physicians per 1000 affected individuals, when
using the Medical Services Plan and Discharge Abstract Database data. Drawing on
the surveillance data, the drug-related PAI dropped to 0.46. The alcohol-related
PAI ranged between 0.10 and 86.96 providers, depending on data source used for
population estimates. CONCLUSIONS: Our conservative estimates highlight the need
to invest in healthcare provider training and to develop innovative approaches
for more rural health regions.
PMID- 27183296
TI - Cell migration and organization in three-dimensional in vitro culture driven by
stiffness gradient.
AB - Durotaxis, a phenomenon that cells move according to changes in stiffness of the
extra cellular matrix, has emerged as a crucial parameter controlling cell
migration behavior. The current study provides a simple method to generate three
dimensional continuous stiffness variations without changing other physical
characteristics of the extra cellular environment. Using Finite Element
simulations, the stiffness and the stiffness gradient variations are evaluated
quantitatively, leading to an analysis of the dependence of cell migration
behavior on the substrate stiffness parameters. We tested various cell lines on
several 3-D environments. The durotaxis results show that the cell migration
velocity does not have any consistency with the stiffness of the substrate,
rather it is more related to the stiffness gradient of the substrate. This
finding suggests a new mechanism underlying the durotaxis phenomenon,
highlighting the importance of the substrate stiffness gradient, rather than the
stiffness itself. Biotechnol. Bioeng. 2016;113: 2496-2506. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27183297
TI - The Incidence and Recurrence of Getting Lost in Community-Dwelling People with
Alzheimer's Disease: A Two and a Half-Year Follow-Up.
AB - Getting lost (GL) is a serious problem for people living with Alzheimer's disease
(PwAD), causing psychological distress in both PwAD and caregivers, and
increasing the odds of being institutionalized. It is thus important to identify
risk factors for the GL events in PwAD. Between April 2009 and March 2012, we
invited 185 community-dwelling PwAD and their caregivers to participate in this
study. At the baseline, 95 had experienced GL (Group B); the remaining 90 (Group
A) had not. We focused on the incidence of GL events and the associated factors
by way of demographic data, cognitive function assessed by the Cognitive Ability
Screening Instrument (CASI), and spatial navigation abilities as assessed by the
Questionnaire of Everyday Navigational Ability (QuENA). After a 2.5-year period,
the incidence of GL in Group A was 33.3% and the recurrence of GL in Group B was
40%. Multiple logistic regression analysis revealed that the inattention item on
the QuENA and orientation item on the CASI had independent effects on the GL
incidence, while the absence of a safety range was associated with the risk of GL
recurrence. During the 2.5 years, the PwAD with GL incidence deteriorated more in
the mental manipulation item on the CASI than those without. We suggest that
before the occurrence of GL, the caregivers of PwAD should refer to the results
of cognitive assessment and navigation ability evaluation to enhance the
orientation and attention of the PwAD. Once GL occurs, the caregivers must set a
safety range to prevent GL recurrence, especially for younger people.
PMID- 27183298
TI - Peptide Tag-Induced Horseradish Peroxidase-Mediated Preparation of a Streptavidin
Immobilized Redox-Sensitive Hydrogel.
AB - Several methods have recently been reported for the preparation of redox
sensitive hydrogels using enzymatic reactions, which are useful for encapsulating
sensitive materials such as proteins and cells. However, most of the reported
hydrogels is difficult to add further function efficiently, limiting the
application of the redox-sensitive hydrogels. In this study, peptide sequences of
HHHHHHC and GGGGY (Y-tag) were genetically fused to the N- and C-termini of
streptavidin (C-SA-Y), respectively, and C-SA-Y was mixed with horseradish
peroxidase and thiol-functionalized 4-arm polyethylene glycol to yield a redox
sensitive C-SA-Y immobilized hydrogel (C-SA-Y gel). The C-SA-Y immobilized in the
hydrogel retained its affinity for biotin, allowing for the incorporation of
proteins and small molecules to hydrogel via biotin. C-SA-Y gel was further
prepared within a water-in-oil (w/o) emulsion system to yield a nanosized
hydrogel, to which any intracellular and cytotoxic agent can be modified, making
it a potential drug delivery carrier.
PMID- 27183300
TI - Tai Chi Versus Physical Therapy for Knee Osteoarthritis.
PMID- 27183299
TI - Efficacy, Safety, and Tolerability of an Extended-Release Orally Disintegrating
Methylphenidate Tablet in Children 6-12 Years of Age with Attention
Deficit/Hyperactivity Disorder in the Laboratory Classroom Setting.
AB - OBJECTIVE: Methylphenidate extended-release orally disintegrating tablets (MPH XR
ODTs) represent a new technology for MPH delivery. ODTs disintegrate in the mouth
without water and provide a pharmacokinetic profile that is consistent with once
daily dosing. This study sought to determine the efficacy, safety, and
tolerability of this novel MPH XR-ODT formulation in school-age children with
attention-deficit/hyperactivity disorder (ADHD) in a laboratory classroom
setting. METHODS: Children aged 6-12 years with ADHD (n = 87) were enrolled in
this randomized, multicenter, double-blind, placebo-controlled, parallel,
laboratory classroom study. The MPH XR-ODT dose was titrated to an optimized dose
during a 4-week open-label period and maintained on that dose for 1 week.
Participants (n = 85) were then randomized to receive their optimized dose of MPH
XR-ODT or placebo once daily for 1 week (double blind), culminating in a
laboratory classroom testing day. Efficacy was evaluated using the Swanson,
Kotkin, Agler, M-Flynn, and Pelham (SKAMP) Attention, Deportment, and Combined
scores along with Permanent Product Measure of Performance (PERMP; Attempted and
Correct) assessments. Onset and duration of drug action were also evaluated as
key secondary endpoints. Safety assessments included adverse events (AEs),
physical examinations, electrocardiograms (ECGs), and the Columbia Suicide
Severity Rating Scale (C-SSRS). RESULTS: The average SKAMP-Combined score on the
classroom study day was significantly better for the MPH XR-ODT group (n = 43)
than for the placebo group (n = 39; p < 0.0001). The effect was evident at 1 hour
and lasted through 12 hours postdose. The average SKAMP-Attention, SKAMP
Deportment, PERMP-A, and PERMP-C scores were indicative of significantly greater
ADHD symptom control for the MPH XR-ODT group. The most common AEs reported were
decreased appetite, upper abdominal pain, headache, insomnia, upper respiratory
tract infection, affect lability, irritability, cough, and vomiting. CONCLUSIONS:
MPH XR-ODT was effective and well tolerated for the treatment of children with
ADHD in a laboratory classroom setting. Clinical Trial Registry: NCT01835548 (
ClinicalTrials.gov ).
PMID- 27183301
TI - Perspectives on the Transition From Bacterial Phytopathogen Genomics Studies to
Applications Enhancing Disease Management: From Promise to Practice.
AB - The advent of genomics has advanced science into a new era, providing a plethora
of "toys" for researchers in many related and disparate fields. Genomics has also
spawned many new fields, including proteomics and metabolomics, furthering our
ability to gain a more comprehensive view of individual organisms and of
interacting organisms. Genomic information of both bacterial pathogens and their
hosts has provided the critical starting point in understanding the molecular
bases of how pathogens disrupt host cells to cause disease. In addition,
knowledge of the complete genome sequence of the pathogen provides a potentially
broad slate of targets for the development of novel virulence inhibitors that are
desperately needed for disease management. Regarding plant bacterial pathogens
and disease management, the potential for utilizing genomics resources in the
development of durable resistance is enhanced because of developing technologies
that enable targeted modification of the host. Here, we summarize the role of
genomics studies in furthering efforts to manage bacterial plant diseases and
highlight novel genomics-enabled strategies heading down this path.
PMID- 27183302
TI - Cercospora cf. flagellaris and Cercospora cf. sigesbeckiae Are Associated with
Cercospora Leaf Blight and Purple Seed Stain on Soybean in North America.
AB - Cercospora kikuchii has long been considered the causal agent of Cercospora leaf
blight (CLB) and purple seed stain (PSS) on soybean, but a recent study found C.
cf. flagellaris associated with CLB and PSS in Arkansas (United States) and
Argentina. Here, we provide a broader perspective on the distribution of C. cf.
flagellaris on soybean and alternate hosts within the United States (Arkansas,
Louisiana, Mississippi, Missouri, and Kansas). We used a multilocus phylogenetic
approach with data from actin, calmodulin, translation elongation factor 1-alpha,
histone 3, the internal transcribed spacer region of rDNA and the mating-type
locus to determine that two species, C. cf. flagellaris (200 of 205 isolates) and
C. cf. sigesbeckiae (five of 205 isolates), are associated with CLB and PSS in
the United States. In our phylogenetic analyses, species-level lineages were
generally well-supported, though deeper-level evolutionary relationships remained
unresolved, indicating that these genes do not possess sufficient phylogenetic
signal to resolve the evolutionary history of Cercospora. We also investigated
the potential for sexual reproduction in C. cf. flagellaris in Louisiana by
determining the frequency of MAT1-1/MAT1-2 mating-type idiomorphs within the
Louisiana population of C. cf. flagellaris. Though the MAT 1-2 idiomorph was
significantly more common in our collection, the presence of both mating types
suggests the potential for sexual reproduction exists.
PMID- 27183304
TI - Impact of goal priority and goal conflict on the intention-health-behavior
relationship: Tests on physical activity and other health behaviors.
AB - OBJECTIVES: Goal intentions are the key proximal determinant of behavior in a
number of key models applied to predicting health behavior. However, relatively
little previous research has examined how characteristics of goals moderate the
intention-health-behavior relations. The present research examined the effects of
goal priority and goal conflict as moderators of the intention-health-behavior
relationship. METHOD: The main outcome measures were self-reported performance of
physical activity (Studies 1, 2, and 3) and other health behaviors (Study 4), and
objectively measured physical activity (Study 3). Studies 1 and 4 used
prospective correlational designs to predict later behavior from earlier
cognitions. Studies 2 and 3 were experimental studies manipulating goal priority
and goal conflict. Studies 1 and 2 used between-subjects designs while Studies 3
and 4 used within-subjects designs. RESULTS: Goal priority significantly
moderated the intention-health-behavior relationship for physical activity (Study
1) and a range of protective and risk health behaviors (Study 4). Manipulations
of goal priority significantly increased the intention-physical-activity
relationship when self-reported (Study 2) and objectively measured (Study 3). In
contrast, inconsistent effects were observed for goal conflict as an intention
behavior moderator. CONCLUSIONS: When goal priority is high, then intentions are
strong predictors of health behaviors. Further studies testing manipulations of
goal conflict and in particular goal priority in combination with goal intentions
are required to confirm their value as a means to change health behavior.
(PsycINFO Database Record
PMID- 27183303
TI - The Effect of Passive Heat Stress and Exercise-Induced Dehydration on the
Compensatory Reserve During Simulated Hemorrhage.
AB - Compensatory reserve represents the proportion of physiological responses engaged
to compensate for reductions in central blood volume before the onset of
decompensation. We hypothesized that compensatory reserve would be reduced by
hyperthermia and exercise-induced dehydration, conditions often encountered on
the battlefield. Twenty healthy males volunteered for two separate protocols
during which they underwent lower-body negative pressure (LBNP) to hemodynamic
decompensation (systolic blood pressure <80 mm Hg). During protocol #1, LBNP was
performed following a passive increase in core temperature of ~1.2 degrees C (HT)
or a normothermic time-control period (NT). During protocol #2, LBNP was
performed following exercise during which: fluid losses were replaced (hydrated),
fluid intake was restricted and exercise ended at the same increase in core
temperature as hydrated (isothermic dehydrated), or fluid intake was restricted
and exercise duration was the same as hydrated (time-match dehydrated).
Compensatory reserve was estimated with the compensatory reserve index (CRI), a
machine-learning algorithm that extracts features from continuous
photoplethysmograph signals. Prior to LBNP, CRI was reduced by passive heating
[NT: 0.87 (SD 0.09) vs. HT: 0.42 (SD 0.19) units, P <0.01] and exercise-induced
dehydration [hydrated: 0.67 (SD 0.19) vs. isothermic dehydrated: 0.52 (SD 0.21)
vs. time-match dehydrated: 0.47 (SD 0.25) units; P <0.01 vs. hydrated]. During
subsequent LBNP, CRI decreased further and its rate of change was similar between
conditions. CRI values at decompensation did not differ between conditions. These
results suggest that passive heating and exercise-induced dehydration limit the
body's physiological reserve to compensate for further reductions in central
blood volume.
PMID- 27183306
TI - The Effect of Self-Efficacy on Behavior and Weight in a Behavioral Weight-Loss
Intervention.
AB - OBJECTIVE: To determine whether eating self-efficacy (ESE) and physical activity
self-efficacy (PASE) are predictive of dietary intake, physical activity, and
weight change within a behavioral weight-loss intervention, and whether dietary
intake and physical activity mediate relationships between self-efficacy and
weight change. METHOD: The study sample included 246 participants from a
randomized trial with complete data on study variables at 12 months. ESE, PASE,
calories consumed, minutes of moderate-to-vigorous physical activity (MVPA), and
weight were measured at baseline, 6, and 12 months. RESULTS: ESE at baseline was
associated with 12-month percent weight loss (PWL), and was mediated by average
calories consumed at 6 and 12 months. Change in ESE from baseline to 6 months was
associated with calories consumed at 12 months and 12-month percent weight loss,
but the mediated relationship was not significant. Baseline PASE was not
associated with average MVPA at 6 and 12 months or 12-month PWL, but change in
PASE from baseline to 6 months was associated with 12-month PWL through its
effect on MVPA at 12 months. CONCLUSION: Increases in ESE and PASE during the
active phase of the intervention are predictive of dietary intake, physical
activity and weight loss at later points, but further research should include
explorations of the reciprocal relationship between behavior and self-efficacy to
better inform intervention strategies that target self-efficacy and promote
behavior change. (PsycINFO Database Record
PMID- 27183305
TI - Alcohol Interventions for Greek Letter Organizations: A Systematic Review and
Meta-Analysis, 1987 to 2014.
AB - OBJECTIVE: This meta-analysis examines the efficacy of interventions to reduce
alcohol consumption and related problems among college student members of Greek
letter organizations. METHOD: Studies were identified through electronic
bibliographic database searches and reviews of reference sections of relevant
articles, and studies were included if the study evaluated (a) an individual
level alcohol intervention, (b) sampled fraternity or sorority members, and (c)
measured alcohol consumption or problems. Included were 15 studies with 21
separate interventions (n = 6,026; 18% women). Independent raters coded sample,
design, methodological features, and intervention content. Between- and within
group weighted mean effect sizes were calculated using random-effects models.
Potential moderators, determined a priori, examined variability in effect sizes.
RESULTS: Interventions targeting fraternity or sorority members were not
successful in reducing alcohol consumption and related problems relative to
controls; however, participants in these interventions did reduce the quantity
consumed on specific occasions and the frequency of drinking days from pre- to
post-test. Interventions that addressed alcohol expectancies were associated with
less alcohol consumption on specific occasions. Interventions that provided
moderation strategies and skills-training, identified high-risk situations, or
encouraged setting goals were associated with less reduction in the frequency of
heavy drinking. CONCLUSIONS: Extant alcohol interventions show limited efficacy
in reducing consumption and problems among fraternity and sorority members. More
robust interventions are needed for use with student members of Greek letter
organizations. (PsycINFO Database Record
PMID- 27183308
TI - Emotional suppression in chronic fatigue syndrome: Experimental study.
AB - OBJECTIVE: Emotional processing differences in chronic fatigue syndrome (CFS)
have been reported but have rarely been investigated experimentally. This study
used self-report, observer ratings, and electrodermal responses to test
hypotheses about emotion suppression and autonomic reactivity. METHODS: Eighty
adults with CFS and 80 healthy controls (HC) watched a distressing film clip.
Half of each group were instructed to suppress their emotions and half were told
to express their feelings as they wished. Their reactions were filmed and rated
by independent observers. Electrodermal activity (skin conductance response) was
used as a measure of sympathetic nervous system arousal. RESULTS: CFS
participants reported higher anxiety and sadness than the HC, both before and
after the film. However, observers rated the CFS group as having lower emotional
expression than HC in both emotional suppression and expression choice
conditions. Beliefs about the unacceptability of negative emotions were
associated with greater self-reported suppression. Electrodermal responses were
greater in the CFS group than HC participants. Higher skin conductance responses
were associated with larger posttask increases in fatigue in the CFS participants
but not in the HC. CONCLUSIONS: CFS participants had lower observer-rated
emotional expression than HC, despite greater distress and higher autonomic
arousal. This may have implications for their ability to access social support at
times of stress. As the degree of autonomic arousal was associated with short
term increases in fatigue in the CFS participants, this requires further
investigation as a contributory factor for this condition. (PsycINFO Database
Record
PMID- 27183307
TI - Tailoring risk communication to improve comprehension: Do patient preferences
help or hurt?
AB - OBJECTIVE: Risk communication tools can facilitate patients' understanding of
risk information. In this novel study, we examine the hypothesis that risk
communication methods tailored to individuals' preferences can increase risk
comprehension. METHOD: Preferences for breast cancer risk formats, and risk
comprehension data were collected using an online survey from 361 women at high
risk for breast cancer. Women's initial preferences were assessed by asking them
which of the following risk formats would be the clearest: (a) percentage, (b)
frequency, (c) bar graph, (d) pictogram, and (e) comparison to other women. Next,
women were presented with 5 different formats for displaying cancer risks and
asked to interpret the risk information presented. Finally, they were asked again
which risk format they preferred. RESULTS: Initial preferences for risk formats
were not associated with risk comprehension scores. However, women with lower
risk comprehension scores were more likely to update their risk format
preferences after they evaluated risks in different formats. Less numerate women
were more likely to prefer graphical rather than numeric risk formats.
Importantly, we found that women preferring graphical risk formats had lower risk
comprehension in these formats compared to numeric formats. In contrast, women
preferring numeric formats performed equally well across formats. CONCLUSIONS:
Our findings suggest that tailoring risk communication to patient preferences may
not improve understanding of medical risks, particularly for less numerate women,
and point to the potential perils of tailoring risk communication formats to
patient preferences. (PsycINFO Database Record
PMID- 27183309
TI - Influence of Phytoplankton on Fate and Effects of Modified Zerovalent Iron
Nanoparticles.
AB - Nanoscale zerovalent iron (nZVI) and its derivatives hold promise for remediation
of several pollutants but their environmental implications are not completely
clear. In this study, the physicochemical properties and aggregation kinetics of
sulfide/silica-modified nZVI (FeSSi) were compared in algal media in which
Chlamydomonas reinhardtii had been cultured for 1, 2, or 11 days in order to
elicit the effects of organic matter produced by the freshwater algae.
Furthermore, transformation of FeSSi particles were investigated in C.
reinhardtii cultures in exponential (1-d) and slowing growth (11-d) phases while
monitoring the response of algae. We found evidence for steric stabilization of
FeSSi by algal organic matter, which led to a decrease in the particles'
attachment efficiency. Transformation of FeSSi was slower in 11-d cultures as
determined via inductively coupled plasma and X-ray analyses. High concentrations
of FeSSi caused a lag in algal growth, and reduction in steady state population
size, especially in cultures in exponential phase. The different outcomes are
well described by a dynamic model describing algal growth, organic carbon
production, and FeSSi transformations. This study shows that feedback from algae
may play important roles in the environmental implications of engineered
nanomaterials.
PMID- 27183310
TI - Increased Expression of SETD7 Promotes Cell Proliferation by Regulating Cell
Cycle and Indicates Poor Prognosis in Hepatocellular Carcinoma.
AB - PURPOSE: To investigate the role of SET domain containing 7 (SETD7) in
hepatocellular carcinoma (HCC) and determine whether SETD7 can be used as a
predictor of overall survival in HCC patients. METHODS: mRNAs and proteins of
SETD7 and related genes in HCC tumor samples and paired adjacent non-tumorous
liver tissues (ANLTs) (n = 20) or culture cells were determined by quantitative
real-time PCR and Western blot. Cell proliferation and apoptosis with SETD7
knockdown SMMC-7721 cells or SETD7 overexpressed HepG2 cells were analyzed by
CCK8 assay or flow cytometry. Gene expression alterations in SETD7 knockdown of
SMMC-7721 cells were determined by digital gene expression (DGE) profiling.
Defined data on patients (n = 225) with HCC were retrieved for the further study.
Tissue microarrays (TMAs) were performed using paraffin tissues with tumor and
ANLTs. SETD7 and related proteins were determined by TMAs immunohistochemistry.
Statistical analyses were conducted to associate SETD7 expression with tumor
features and patient outcomes, as well as related proteins expression. RESULTS:
SETD7 expression was significantly higher in HCC tumor tissues than in ANLTs.
SETD7 overexpression in vitro can promote HepG2 cell proliferation, whereas SETD7
knockdown can inhibit SMMC-7721 cell proliferation by regulating the cell cycle.
SETD7 expression was significantly correlated with five genes expression.
Increased SETD7 is associated with metastasis, recurrence, large tumor size, and
poor tumor differentiation, and indicates poor prognosis in HCC patients.
CONCLUSIONS: SETD7 plays a critical role in HCC, and its immunohistochemistry
signature provides potential clinical significance for personalized prediction of
HCC prognosis.
PMID- 27183311
TI - Association of a New Measure of Obesity with Hypertension and Health-Related
Quality of Life.
AB - BACKGROUND: Despite its shortcomings, body mass index (BMI) has traditionally
been used to define obesity. Another recently introduced obesity measure, A Body
Shape Index (ABSI), has been introduced to focus on abdominal obesity, but its
applicability remains limited. We analyzed the statistical properties of the ABSI
and propose a modified ABSI, the z-score of the log-transformed ABSI (LBSIZ), to
improve its applicability. We also examined the sensitivity of the newly
introduced index in diagnosing obesity based on the percentage of body fat and
its ability to predict hypertension and impaired health-related quality of life
(HRQOL). METHODS AND RESULTS: We transformed the ABSI to the LBSIZ in order to
create a standard normalized obesity measure. All available data from the Korea
National Health and Nutrition Examination Survey (KNHANES) (1998-2012) have shown
BMI to be highly correlated with weight (r = 0.85 for women, r = 0.87 for men)
and waist circumference (WC) (r = 0.86 for women, r = 0.85 for men), but the
LBSIZ was found to be weakly correlated with weight (r = 0.001 for women, r =
0.0001 for men) and moderately correlated with WC (r = 0.51 for women, r = 0.52
for men). BMI showed an inverted U-shaped pattern when plotted against age, but a
linear pattern was observed for the LBSIZ, indicating they are different kinds of
obesity measures. Logistic regression showed that the odds ratio of obesity for
the LBSIZ was 1.86 (95% confidence interval [CI] = 1.73-2.00) for males and 1.32
(95% CI = 1.24-1.40) for females after adjusting for weight, height, age, and
year of participation in the KNHANES. While both BMI and the LBSIZ were
significantly related to hypertension, the LBSIZ alone was significantly
associated with impaired HRQOL. CONCLUSIONS: The LBSIZ is a standard normalized
obesity measure independent of weight, height, and BMI. LBSIZ is a new measure of
abdominal obesity with the ability to predict hypertension and impaired HRQOL,
irrespective of BMI.
PMID- 27183313
TI - Politics and population health: Testing the impact of electoral democracy.
AB - This study addresses questions of whether and why electoral democracies have
better health than other nations. After devising a replicable approach to missing
data, we compare political, economic, and health-related data for 168 nations
collected annually from 1960 through 2010. Regression models estimate that
electoral democracies have 11 years of longer life expectancy on average and
62.5% lower rates of infant mortality. The association with life expectancy
reduces markedly after controlling for GDP, while a combination of factors may
explain the democratic advantage in infant health. Results suggest that income
inequality associates independently with both health outcomes but does not
mediate their associations with democracy.
PMID- 27183312
TI - Zika Virus as an Emerging Global Pathogen: Neurological Complications of Zika
Virus.
AB - IMPORTANCE: Zika virus (ZIKV) is an emerging arthropod-borne virus (arbovirus) in
the genus Flavivirus that has caused a widespread outbreak of febrile illness, is
associated with neurological disease, and has spread across the Pacific to the
Americas in a short period. OBSERVATIONS: In this review, we discuss what is
currently known about ZIKV, neuroimmunologic complications, and the impact on
global human health. Zika virus spread across Africa and Asia in part owing to
unique genomic evolutionary conditions and pressures resulting in specific human
disease manifestations, complications, and pathogenesis. Recent data suggest that
acute ZIKV infection in pregnant women may result in acute infection of fetal
tissue and brain tissue, causing microcephaly and potentially severe debilitation
of the infant or even death of the fetus. Cases of acute ZIKV are also associated
with Guillain-Barre syndrome. With the increased number of cases, new
complications such as ocular involvement and sexual transmission have been
reported. CONCLUSIONS AND RELEVANCE: Zika virus is an emerging viral pathogen
with significant consequences on human health throughout the world. Ongoing
research into this pathogen is urgently needed to produce viable vaccine and
therapeutic options.
PMID- 27183314
TI - Mechanical Behavior of Free-Standing Fuel Cell Electrodes on Water Surface.
AB - Fundamental understanding of the mechanical behavior of polymer electrolyte fuel
cell electrodes as free-standing materials is essential to develop mechanically
robust fuel cells. However, this has been a significant challenge due to critical
difficulties, such as separating the pristine electrode from the substrate
without damage and precisely measuring the mechanical properties of the very
fragile and thin electrodes. We report the mechanical behavior of free-standing
fuel cell electrodes on the water surface through adopting an innovative ice
assisted separation method to separate the electrode from decal transfer film. It
is found that doubling the ionomer content in electrodes increases not only the
tensile stress at the break and the Young's modulus (E) of the electrodes by
approximately 2.1-3.5 and 1.7-2.4 times, respectively, but also the elongation at
the break by approximately 1.5-1.7 times, which indicates that stronger, stiffer,
and tougher electrodes are attained with increasing ionomer content, which have
been of significant interest in materials research fields. The scaling law
relationship between Young's modulus and density (rho) has been unveiled as E ~
rho(1.6), and it is compared with other materials. These findings can be used to
develop mechanically robust electrodes for fuel cell applications.
PMID- 27183315
TI - Disrupted Leptin Signaling in the Lateral Hypothalamus and Ventral Premammillary
Nucleus Alters Insulin and Glucagon Secretion and Protects Against Diet-Induced
Obesity.
AB - Leptin signaling in the central nervous system, and particularly the arcuate
hypothalamic nucleus, is important for regulating energy and glucose homeostasis.
However, the roles of extra-arcuate leptin responsive neurons are less defined.
In the current study, we generated mice with widespread inactivation of the long
leptin receptor isoform in the central nervous system via Synapsin promoter
driven Cre (Lepr(flox/flox) Syn-cre mice). Within the hypothalamus, leptin
signaling was disrupted in the lateral hypothalamic area (LHA) and ventral
premammillary nucleus (PMV) but remained intact in the arcuate hypothalamic
nucleus and ventromedial hypothalamic nucleus, dorsomedial hypothalamic nucleus,
and nucleus of the tractus solitarius. To investigate the role of LHA/PMV
neuronal leptin signaling, we examined glucose and energy homeostasis in
Lepr(flox/flox) Syn-cre mice and Lepr(flox/flox) littermates under basal and diet
induced obese conditions and tested the role of LHA/PMV neurons in leptin
mediated glucose lowering in streptozotocin-induced diabetes. Lepr(flox/flox) Syn
cre mice did not have altered body weight or blood glucose levels but were
hyperinsulinemic and had enhanced glucagon secretion in response to experimental
hypoglycemia. Surprisingly, when placed on a high-fat diet, Lepr(flox/flox) Syn
cre mice were protected from weight gain, glucose intolerance, and diet-induced
hyperinsulinemia. Peripheral leptin administration lowered blood glucose in
streptozotocin-induced diabetic Lepr(flox/flox) Syn-cre mice as effectively as in
Lepr(flox/flox) littermate controls. Collectively these findings suggest that
leptin signaling in LHA/PMV neurons is not critical for regulating glucose levels
but has an indispensable role in the regulation of insulin and glucagon levels
and, may promote the development of diet-induced hyperinsulinemia and weight
gain.
PMID- 27183316
TI - Pharmacological and Genetic Manipulation of p53 in Brown Fat at Adult But Not
Embryonic Stages Regulates Thermogenesis and Body Weight in Male Mice.
AB - p53 is a well-known tumor suppressor that plays multiple biological roles,
including the capacity to modulate metabolism at different levels. However, its
metabolic role in brown adipose tissue (BAT) remains largely unknown. Herein we
sought to investigate the physiological role of endogenous p53 in BAT and its
implication on BAT thermogenic activity and energy balance. To this end, we
generated and characterized global p53-null mice and mice lacking p53
specifically in BAT. Additionally we performed gain-and-loss-of-function
experiments in the BAT of adult mice using virogenetic and pharmacological
approaches. BAT was collected and analyzed by immunohistochemistry, thermography,
real-time PCR, and Western blot. p53-deficient mice were resistant to diet
induced obesity due to increased energy expenditure and BAT activity. However,
the deletion of p53 in BAT using a Myf5-Cre driven p53 knockout did not show any
changes in body weight or the expression of thermogenic markers. The acute
inhibition of p53 in the BAT of adult mice slightly increased body weight and
inhibited BAT thermogenesis, whereas its overexpression in the BAT of diet
induced obese mice reduced body weight and increased thermogenesis. On the other
hand, pharmacological activation of p53 improves body weight gain due to
increased BAT thermogenesis by sympathetic nervous system in obese adult wild
type mice but not in p53(-/-) animals. These results reveal that p53 regulates
BAT metabolism by coordinating body weight and thermogenesis, but these metabolic
actions are tissue specific and also dependent on the developmental stage.
PMID- 27183317
TI - Acoustofluidic Transfer of Inflammatory Cells from Human Sputum Samples.
AB - For sputum analysis, the transfer of inflammatory cells from liquefied sputum
samples to a culture medium or buffer solution is a critical step because it
removes the inflammatory cells from the presence of residual dithiothreitol
(DTT), a reagent that reduces cell viability and interferes with further sputum
analyses. In this work, we report an acoustofluidic platform for transferring
inflammatory cells using standing surface acoustic waves (SSAW). In particular,
we exploit the acoustic radiation force generated from a SSAW field to actively
transfer inflammatory cells from a solution containing residual DTT to a buffer
solution. The viability and integrity of the inflammatory cells are maintained
during the acoustofluidic-based cell transfer process. Our acoustofluidic
technique removes residual DTT generated in sputum liquefaction and facilitates
immunophenotyping of major inflammatory cells from sputum samples. It enables
cell transfer in a continuous flow, which aids the development of an automated,
integrated system for on-chip sputum processing and analysis.
PMID- 27183319
TI - Standardized Best Practices and Individual Craft-Based Medicine: A Conversation
About Quality.
AB - Standardization of medical care through protocols, order sets, and other tools is
increasingly a part of efforts to improve quality. The authors, a physician
beginning a new position as director of a community hospital quality program and
the chief quality officer of a health care system and director of a course in
health care delivery improvement, discuss the benefits and risks of
standardization in health care. Recommendations for responsible standardization
are presented.
PMID- 27183320
TI - The forecast model of relationship commitment.
AB - Four studies tested the forecast model of relationship commitment, which posits
that forecasts of future relationship satisfaction determine relationship
commitment and prorelationship behavior in romantic relationships independently
of other known predictors and partially explain the effects of these other
predictors. This model was supported in 2 cross-sectional studies, a daily report
study, and a study using behavioral observation, informant, and longitudinal
methods. Across these studies, forecasts of future relationship satisfaction
predicted relationship commitment and prorelationship behavior during
relationship conflict and partially explained the effects of relationship
satisfaction, quality of alternatives, and investment size. These results suggest
that representations of the future have a prominent role in interpersonal
processes. (PsycINFO Database Record
PMID- 27183318
TI - Infrared and Fluorescence Assessment of Protein Dynamics: From Folding to
Function.
AB - While folding or performing functions, a protein can sample a rich set of
conformational space. However, experimentally capturing all of the important
motions with sufficient detail to allow a mechanistic description of their
dynamics is nontrivial since such conformational events often occur over a wide
range of time and length scales. Therefore, many methods have been employed to
assess protein conformational dynamics, and depending on the nature of the
conformational transition in question, some may be more advantageous than others.
Herein, we describe our recent efforts, and also those of others, wherever
appropriate, to use infrared- and fluorescence-based techniques to interrogate
protein folding and functional dynamics. Specifically, we focus on discussing how
to use extrinsic spectroscopic probes to enhance the structural resolution of
these techniques and how to exploit various cross-linking strategies to acquire
dynamic and mechanistic information that was previously difficult to attain.
PMID- 27183321
TI - Aged Garlic Extract Attenuates Neuronal Injury in a Rat Model of Spinal Cord
Ischemia/Reperfusion Injury.
AB - Garlic has been used as a food as well as a component of traditional medicine.
Aged garlic extract (AGE) is claimed to promote human health through
antioxidant/anti-inflammatory activities with neuroprotective effects. We
evaluated the possible beneficial effect of AGE neurologically, pathologically,
ultrastructurally, and biochemically in a spinal cord ischemia-reperfusion (I/R)
model of rats. Twenty-four Sprague-Dawley rats were divided into three groups:
sham (no I/R), I/R, and AGE (I/R+AGE); each group consisted of eight animals.
Animals were evaluated neurologically with the Basso, Beattie, and Bresnahan
(BBB) scoring system. The spinal cord tissue samples were harvested for
pathological and ultrastructural examinations. Oxidative products
(Malondialdehyde, nitric oxide), antioxidant enzymes (superoxide dismutase,
catalase, glutathione peroxidase), inflammatory cytokines (tissue tumor necrosis
factor alpha, interleukin-1), and caspase-3 activity were analyzed. The AGE group
had significantly higher BBB scores than the I/R group. Pathologically, AGE group
revealed reduced degree of ischemia and spinal cord edema. Ultrastructural
results also showed preservation of tissue structure in the AGE group. Oxidative
product levels of the I/R group were significantly higher than both the other
groups, and antioxidant enzyme levels of AGE group were significantly higher than
the I/R group. There was also significant difference between the sham and AGE
groups in terms of total antioxidant enzyme levels. Furthermore, AGE treatment
significantly reduced the inflammatory cytokines and caspase-3 activity than the
I/R group. This study demonstrates the considerable neuroprotective effect of AGE
on the neurological, pathological, ultrastructural, and biochemical status of
rats with I/R-induced spinal cord injury.
PMID- 27183322
TI - Nanoscale Chemical Imaging of a Dynamic Molecular Phase Boundary with Ultrahigh
Vacuum Tip-Enhanced Raman Spectroscopy.
AB - Nanoscale chemical imaging of a dynamic molecular phase boundary has broad
implications for a range of problems in catalysis, surface science, and molecular
electronics. While scanning probe microscopy (SPM) is commonly used to study
molecular phase boundaries, its information content can be severely compromised
by surface diffusion, irregular packing, or three-dimensional adsorbate geometry.
Here, we demonstrate the simultaneous chemical and structural analysis of N-N'
bis(2,6-diisopropylphenyl)-1,7-(4'-t-butylphenoxy)perylene-3,4:9,10
bis(dicarboximide) (PPDI) molecules by UHV tip-enhanced Raman spectroscopy. Both
condensed and diffusing domains of PPDI coexist on Ag(100) at room temperature.
Through comparison with time-dependent density functional theory simulations, we
unravel the orientation of PPDI molecules at the dynamic molecular domain
boundary with unprecedented ~4 nm spatial resolution.
PMID- 27183323
TI - Introduction to the 2016 Emerging Investigators themed issue.
PMID- 27183325
TI - Dental Implants Placed in Periodontally Infected Sites in Humans.
AB - BACKGROUND: The purpose of the present study was to evaluate fresh socket implant
outcome leaving granulomatous tissue into the bone defects. MATERIALS AND
METHODS: Subjects requiring tooth extractions in periodontal infected sites were
selected for this prospective study. Only patients with 4-wall defects and
asymptomatic ones were included. The fresh sockets were randomly scheduled into
two groups: In one group (Removal Group, RG) granulomatous tissue was removed
and, in the other group (Left Group, LG) granulomatous tissue was left. Implants
were positioned immediately after tooth extraction, and were loaded after 3
months in both groups. Intraoral digital radiographs were performed at temporary
prosthesis placement; at 12, 24 and 36 months to evaluate marginal bone levels
changes. Moreover, clinical parameters were acquired at the same time points.
RESULTS: Sixty patients were included in this study. Three-hundred seventy-two
implants were placed immediately after tooth extraction and, at 3-year follow-up,
a survival rate of 98.66% was reported, 2 implants were lost in RG and 3 implants
in LG. At 36-month follow-up, not statistically significant differences were
found between RG and LG in marginal bone level changes and clinical parameters (p
> 005). Moreover, not statistically significant differences were found in
intragroup comparisons over time (p > 0.05). CONCLUSIONS: With the limits of this
study it was concluded that granulomatous tissue left in infected fresh sockets
doesn't injury dental implant outcome.
PMID- 27183326
TI - An unusual and fatal case of upper gastrointestinal perforation and bleeding
secondary to foreign body ingestion.
AB - We report a fatal case of gastrointestinal perforation and hemorrhage secondary
to the ingestion of a foreign body. While engaged in an amateur futsal
competition, an apparently healthy young man suddenly collapsed and his
respiration ceased. Autopsy revealed a 3-mm circular perforation on the gastric
wall fundus with a significant amount of clotted blood within the gastric lumen.
On inspection, a foreign body consisting of a bristle-like hair, later identified
via electron microscopy to be a cat vibrissa, i.e. a whisker, was found along the
perforation margin. Thus, the inadvertent ingestion of fine, sharp objects (even
a cat whisker) can lead to gastric perforation and bleeding, which might prove
fatal under given circumstances.
PMID- 27183328
TI - Correction to Semi-Experimental Equilibrium Structure Determinations by Employing
B3LYP/SNSD Anharmonic Force Fields: Validation and Application to Semirigid
Organic Molecules.
PMID- 27183327
TI - Exploring the origin of high optical absorption in conjugated polymers.
AB - The specific optical absorption of an organic semiconductor is critical to the
performance of organic optoelectronic devices. For example, higher light
harvesting efficiency can lead to higher photocurrent in solar cells that are
limited by sub-optimal electrical transport. Here, we compare over 40 conjugated
polymers, and find that many different chemical structures share an apparent
maximum in their extinction coefficients. However, a diketopyrrolopyrrole
thienothiophene copolymer shows remarkably high optical absorption at relatively
low photon energies. By investigating its backbone structure and conformation
with measurements and quantum chemical calculations, we find that the high
optical absorption can be explained by the high persistence length of the
polymer. Accordingly, we demonstrate high absorption in other polymers with high
theoretical persistence length. Visible light harvesting may be enhanced in other
conjugated polymers through judicious design of the structure.
PMID- 27183330
TI - Insights into the control of geminiviral promoters.
AB - Geminiviruses constitute one of the largest groups of plant viruses, having
characteristic twinned geminate particles encapsidating small circular single
stranded DNA molecules. Geminiviral promoters are generally located within the
intergenic region, although promoters have also been detected within the genes.
Similarly, the geminivirus-associated betasatellite also harbours a promoter
element for driving the expression of its only ORF. These regulatory elements of
geminiviral and satellite origins have been subject of great interest to develop
heterologous gene expression modules. Geminiviral promoter and regulatory
elements show a complex regulation that is mediated by several host as well as
viral proteins. Here, the structural and functional features of geminiviral and
satellite promoters are discussed along with their regulation by plant and viral
proteins. Although generalization in many cases is difficult and demands further
studies, a pattern is seen to emerge on the regulation of the promoters.
PMID- 27183329
TI - SAMHD1 controls cell cycle status, apoptosis and HIV-1 infection in monocytic THP
1 cells.
AB - SAMHD1 limits HIV-1 infection in non-dividing myeloid cells by decreasing
intracellular dNTP pools. HIV-1 restriction by SAMHD1 in these cells likely
prevents activation of antiviral immune responses and modulates viral
pathogenesis, thus highlighting a critical role of SAMHD1 in HIV-1
physiopathology. Here, we explored the function of SAMHD1 in regulating cell
proliferation, cell cycle progression and apoptosis in monocytic THP-1 cells.
Using the CRISPR/Cas9 technology, we generated THP-1 cells with stable SAMHD1
knockout. We found that silencing of SAMHD1 in cycling cells stimulates cell
proliferation, redistributes cell cycle population in the G1/G0 phase and reduces
apoptosis. These alterations correlated with increased dNTP levels and more
efficient HIV-1 infection in dividing SAMHD1 knockout cells relative to control.
Our results suggest that SAMHD1, through its dNTPase activity, affects cell
proliferation, cell cycle distribution and apoptosis, and emphasize a key role of
SAMHD1 in the interplay between cell cycle regulation and HIV-1 infection.
PMID- 27183331
TI - Premartensitic transition and relevant magnetic effects in Ni50Mn34In15.5Al0.5
alloy.
AB - Resistance measurement, in situ optical microscopic observation, thermal and
magnetic measurements have been carried out on Ni50Mn34In15.5Al0.5 alloy. The
existence of a pronounced premartensitic transition prior to martensitic
transition can be characterized by microstructure evolution as well as exothermic
peak and smooth decrease of resistance and magnetization with obvious hysteresis
over a wide temperature range upon cooling. Consequently, the alloy undergoes two
successive magneto-structural transitions consisting of premartensitic and
martensitic transitions. Magnetoelastic coupling between magnetic and structural
degrees of freedom would be responsible for the appearance of premartensitic
transition, as evinced by the distinct shift of transitions temperatures to lower
temperature with external applied field of 50 kOe. The inverse premartensitic
transition induced by magnetic field results in large magnetoresistance, and
contributes to the enhanced inverse magnetocaloric effect through enlarging the
peak value and temperature interval of magnetic entropy change DeltaSm.
PMID- 27183332
TI - Surgical challenges in the treatment of a giant renal cell carcinoma with
atypical presentation: A case report.
AB - INTRODUCTION: For the management of localized renal cell carcinoma (RCC),
surgical resection is the standard of care. Considerations are given to achieve
good outcomes with conservative measures. When the tumor is exceedingly large the
safest alternative is total nephrectomy. PRESENTATION OF CASE: The patient is a
75year old man with a 5year history of increasing abdominal distension. There was
no recent hematuria or any other genitourinary complaints. CT revealed a giant
complex mass that occupied the majority of the abdomen likely arising from the
retroperitoneum. Early in diagnosis, the mass was suspected to arise from the
left kidney. The decision was made to proceed with surgery for both treatment and
diagnosis. Resection of the tumor revealed a 28.0*25.0*15.0cm encapsulated
neoplasm. Histopathology determined this to be a papillary RCC. Resection of the
mass resulted in en bloc partial nephrectomy immediately followed by a completion
of the nephrectomy, lymphadenectomy, and abdominal wall repair. Postoperative
course was excellent. DISCUSSION: The aim of this report is to determine the
surgical challenges posed by a tumor of this magnitude and the multidisciplinary
approach necessary to treat it. In the often indolent course seen with RCC,
surgeons are faced with the task of handling advanced disease, requiring more
radical procedures for good outcomes. CONCLUSION: The size of the tumor in this
case presented several challenges in the operative setting. The sheer mass of the
tumor gave no other choice than to perform exploratory laparotomy and complete
nephrectomy upon resection.
PMID- 27183333
TI - Synthesis of siderophores by plant-associated metallotolerant bacteria under
exposure to Cd(2.).
AB - Rhizosphere and endophytic bacteria are well known producers of siderophores,
organic compounds that chelate ferric iron (Fe(3+)), and therefore play an
important role in plant growth promotion in metalliferous areas, thereby
improving bioremediation processes. However, in addition to their primary
function in iron mobilization, siderophores also have the capacity to chelate
other heavy metals, such as Al(3+), Zn(2+), Cu(2+), Pb(2+) and Cd(2+), that can
affect homeostasis and the heavy metal tolerance of microorganisms. The main goal
of our study was to select the most efficient siderophore-producing bacterial
strains isolated from the roots (endophytes) and rhizosphere of Betula pendula L.
and Alnus glutinosa L. growing at two heavy metal contaminated sites in southern
Poland. Siderophore biosynthesis of these strains in the presence of increasing
concentrations of Cd(2+) (0, 0.5, 1, 2 and 3 mM) under iron-deficiency conditions
was analysed using spectrophotometric chemical tests for hydroxamates,
catecholates and phenolates, as well as the separation of bacterial siderophores
by HPLC and characterization of their structure by UHPLC-QTOF/MS. We proved that
(i) siderophore-producing bacterial strains seems to be more abundant in the
rhizosphere (47%) than in root endophytes (18%); (ii) the strains most effective
at siderophore synthesis belonged to the genus Streptomyces and were able to
secrete three types of siderophores under Cd(2+) stress: hydroxamates,
catecholates and phenolates; (iii) in general, the addition of Cd(2+) enhanced
siderophore synthesis, particularly ferrioxamine B synthesis, which may indicate
that siderophores play a significant role in tolerance to Cd(2+) in Streptomyces
sp.
PMID- 27183334
TI - The oxidative degradation of polystyrene resins on the removal of Cr(VI) from
wastewater by anion exchange.
AB - Cr(VI) is a powerful oxidant and is capable of oxidizing most of the organic
materials. Therefore, it is possible for Cr(VI) to oxidize the polymeric resins
and change the sorption properties of the resins on the removal of Cr(VI) from
wastewater by anion exchange. In this study, three polystyrene resins (D201,
D202, and D301) with different functional groups (-N(+)(CH3)3,
N(+)(CH3)2(C2H4OH), and N(CH3)2) were assessed on oxidation stability for Cr(VI)
removal from wastewater in fixed-bed column experiments. After a 10-cycle
operation, due to the oxidation of the resin, the sorption capacity of D201,
D202, and D301 resins decreased by 23.5, 29.3, and 17.3%, when approximately 20
34%, 31-50%, and 18-30% of Cr(VI) was reduced to Cr(III) during each cycle
respectively. The results of the Fourier transform infrared spectroscopy (FT-IR)
showed that both the cleavage of CN and the formation of CO bonds occurred on the
polystyrene resins during the Cr(VI) removal process. The resin simulation
experiments further validated the oxidation of CC and CN bonds connected with
phenethyl groups. Based upon the results from column operations and the resin
simulated experiments, the oxidation mechanism of the polystyrene resin was
proposed.
PMID- 27183335
TI - Linear and nonlinear models for predicting fish bioconcentration factors for
pesticides.
AB - This work is devoted to the applications of the multiple linear regression (MLR),
multilayer perceptron neural network (MLP NN) and projection pursuit regression
(PPR) to quantitative structure-property relationship analysis of
bioconcentration factors (BCFs) of pesticides tested on Bluegill (Lepomis
macrochirus). Molecular descriptors of a total of 107 pesticides were calculated
with the DRAGON Software and selected by inverse enhanced replacement method.
Based on the selected DRAGON descriptors, a linear model was built by MLR,
nonlinear models were developed using MLP NN and PPR. The robustness of the
obtained models was assessed by cross-validation and external validation using
test set. Outliers were also examined and deleted to improve predictive power.
Comparative results revealed that PPR achieved the most accurate predictions.
This study offers useful models and information for BCF prediction, risk
assessment, and pesticide formulation.
PMID- 27183336
TI - Removal of isopropyl alcohol and methanol in ultrapure water production system
using a 185 nm ultraviolet and ion exchange system.
AB - The oxidation of low-molecular-weight (LMW) compounds, including isopropyl
alcohol (IPA) and methanol in ultrapure water (UPW) production system was
evaluated using the continuously operating 185 nm total organic carbon (TOC)
reduction UV and ion exchange system. The initial concentration of compounds was
in the range of tens of ppb which was the general feed condition of UV system
located after 2 pass reverse osmosis (RO) in the UPW production system. UV
irradiation transformed the compounds to less oxidative products that were
ultimately converted to CO2. The ion exchange system then removed carboxyl
containing organic acids generated by UV oxidation. It means that the oxidation
efficiency of organic compounds by UV irradiation can be accurately measured by
the summation of the final product (CO2) and the by-product containing carboxyl
functional group. The removal efficiency of LMW compounds decreased when either
the initial TOC concentration increased or the UV intensity was reduced. Finally,
the insertion of a baffle into the UV oxidation system was found to enhance
solution turbulence and improve the oxidation efficiency.
PMID- 27183337
TI - Electrokinetic-Fenton technology for the remediation of hydrocarbons historically
polluted sites.
AB - The feasibility of the electrokinetic-Fenton technology coupled with surfactants
in the treatment of real historically hydrocarbons polluted soils has been
studied. The characterisation of these soils from Spain and Romania was performed
and identified as diesel and diesel-motor oil spillages, respectively. Moreover,
the ageing of the spillages produced by the soil contamination was estimated
showing the historical pollution of the sites (around 11 and 20 years for
Romanian and Spanish soils, respectively). An ex-situ electrochemical treatment
was performed to evaluate the adequacy of surfactants for the degradation of the
hydrocarbons present in the soils. It was found an enhancement in the
solubilisation and removal of TPHs with percentages increasing from 25.7 to 81.8%
by the presence of Tween 80 for Spanish soil and from 15.1% to 71.6% for Triton
X100 in Romanian soil. Therefore, the viability of coupling enhanced
electrokinetic and Fenton remediation was evaluated through a simulated in-situ
treatment at laboratory scale. The results demonstrated that the addition of the
selected surfactants improved the solubilisation of the hydrocarbons and
influenced the electroosmotic flow with a slight decrease. The efficiency of the
treatment increased for both considered soil samples and a significant
degradation level of the hydrocarbons compounds was observed. Buffering of pH
coupled with the addition of a complexing agent showed to be important in the
treatment process, facilitating the conditions for the degradation reactions that
take place into the soil matrix. The results demonstrated the effectiveness of
the selected techniques for remediation of the investigated soils.
PMID- 27183338
TI - Testicular transcript responses in rare minnow Gobiocypris rarus following
different concentrations bisphenol A exposure.
AB - Bisphenol A (BPA) is widely spread in the environment. It can cause various
reproductive disrupting effects on different organisms, including fish. To
investigate the effect of BPA at different concentrations comprehensively, RNA
seq was performed on the testicular mRNA libraries of adult male rare minnow
Gobiocypris rarus that exposed to 0, 1, 15 and 225 MUg/L BPA for 7 days.
Meanwhile, biological indicators and sex steroid hormone levels were
investigated. Result showed that (1) BPA at all three concentrations affected the
expression of genes related to testicular steroid hormone biosynthesis, blood
testis barrier, proteolysis, and lipid transport and metabolism. (2) BPA at 1
MUg/L induced gene expression in renin-angiotensin system pathway and possibly
initiate membrane form of estrogen receptor (mER); 1 and 15 MUg/L BPA inhibited
tRNA processing-related genes expression; 15 and 225 MUg/L BPA decreased
hemostasis and blood coagulation-related gene expression. The present study
indicated that BPA did influence rare minnow testicular gene expressing, and the
effect BPA effects varied with concentration.
PMID- 27183339
TI - Microbial degradation of the brominated flame retardant TBNPA by groundwater
bacteria: laboratory and field study.
AB - In the present study, the biodegradation of the brominated flame retardant
tribromoneopentylalcohol (TBNPA) by a groundwater enrichment culture was
investigated using a dual carbon ((13)C/(12)C)- bromine ((81)Br/(79)Br) stable
isotope analysis. An indigenous aerobic bacterial consortium was enriched from
the polluted groundwater underlying an industrial site in the northern Negev
Desert, Israel, where TBNPA is an abundant pollutant. Aerobic biodegradation was
shown to be rapid, with complete debromination within a few days, whereas
anaerobic biodegradation was not observed. Biodegradation under aerobic
conditions was accompanied by a significant carbon isotope effect with an
isotopic enrichment factor of ECbulk = -8.80/00 +/- 1.50/00, without any
detectable bromine isotope fractionation. It was found that molecular oxygen is
necessary for biodegradation to occur, suggesting an initial oxidative step.
Based on these results, it was proposed that H abstraction from the C-H bond is
the first step of TBNPA biodegradation under aerobic conditions, and that the C-H
bond cleavage results in the formation of unstable intermediates, which are
rapidly debrominated. A preliminary isotopic analysis of TBNPA in the groundwater
underlying the industrial area revealed that there are no changes in the carbon
and bromine isotope ratio values downstream of the contamination source.
Considering that anoxic conditions prevail in the groundwater of the contaminated
site, the lack of isotope shifts in TBNPA indicates the lack of TBNPA
biodegradation in the groundwater, in accordance with our findings.
PMID- 27183340
TI - Screening of the COL2A1 mutation in idiopathic osteonecrosis of the femoral head.
AB - Idiopathic osteonecrosis of the femoral head (idiopathic ONFH) is an ischemic
disorder resulting in necrosis of the subchondral bone of the femoral head.
COL2A1 mutations, including c.3508G>A, have been reported to be involved in its
etiology. However, the etiological role of COL2A1 mutations in idiopathic ONFH
remains controversial, because the pathology of idiopathic ONFH is ischemic
necrosis, not epiphyseal dysplasia usually seen in the diseases caused by COL2A1
mutations. The purpose of this study is to examine whether COL2A1 mutations have
causal relation with idiopathic ONFH or not. We recruited 1,451 Japanese patients
with idiopathic ONFH, including steroid-, alcohol-, and neither steroid nor
alcohol-associated (neither-associated) ONFH. The diagnosis was based on the
criteria of the Japanese Research Committee on idiopathic ONFH of the Ministry of
Health, Labour and Welfare. By whole-exome sequencing, entire COL2A1 coding
regions and flanking introns were analyzed in 49 neither-associated ONFH
patients. In addition, the c.3508G>A mutation of COL2A1 was checked in all
idiopathic ONFH patients using the invader assay. Whole-exome sequencing did not
detect any COL2A1 mutations in the 49 patients. The c.3508G>A mutation was not
found in any of the 1,451 patients. In conclusion, COL2A1 is unlikely to cause
idiopathic ONFH. Epiphyseal dysplasia of the femoral head caused by COL2A1
mutations may radiographically mimic idiopathic ONFH. COL2A1 mutations should
prompt clinical re-evaluation of the patient's phenotype. (c) 2016 Orthopaedic
Research Society. Published by Wiley Periodicals, Inc. J Orthop Res 35:768-774,
2017.
PMID- 27183341
TI - Simultaneous anaerobic transformation of tetrachloroethene and carbon
tetrachloride in a continuous flow column.
AB - Tetrachloroethene (PCE) and carbon tetrachloride (CT) were simultaneously
transformed in a packed column that was bioaugmented with the Evanite culture
(EV). The data presented here have been obtained over a period of 1930days.
Initially the column was continuously fed synthetic groundwater with PCE (0.1mM),
sulfate (SO4(2-)) (0.2mM) and formate (2.1mM) or lactate (1.1mM), but not CT. In
these early stages of the study the effluent H2 concentrations ranged from 7 to
19nM, and PCE was transformed to ethene (ETH) (81 to 85%) and vinyl chloride (VC)
(11 to 17%), and SO4(2-) was completely reduced when using either lactate or
formate as electron donors. SO4(2-) reduction occurred concurrently with cis-DCE
and VC dehalogenation. Formate was a more effective substrate for promoting
dehalogenation based on electron donor utilization efficiency. Simultaneous PCE
and CT tests found CT (0.015mM) was completely transformed with 20% observed as
chloroform (CF) and trace amounts of chloromethane (CM) and dichloromethane
(DCM), but no methane (CH4) or carbon disulfide (CS2). PCE transformation to ETH
improved with CT addition in response to increases in H2 concentrations to 160nM
that resulted from acetate formation being inhibited by either CT or CF. Lactate
fermentation was negatively impacted after CT transformation tests, with
propionate accumulating, and H2 concentrations being reduced to below 1nM. Under
these conditions both SO4(2-) reduction and dehalogenation were negatively
impacted, with sulfate reduction not occurring and PCE being transformed to cis
dichloroethene (c-DCE) (52%) and VC (41%). Upon switching to formate, H2
concentrations increased to 40nM, and complete SO4(2-) reduction was achieved,
while PCE was transformed to ETH (98%) and VC (1%), with no acetate detected.
Throughout the study PCE dehalogenation to ethene was positively correlated with
the effluent H2 concentrations.
PMID- 27183342
TI - Silver(i) complexes with a P-N hybrid ligand and oxyanions: synthesis,
structures, photocatalysis and photocurrent responses.
AB - Reactions of a P-N hybrid ligand, N,N-bis(diphenylphosphanylmethyl)-3
aminopyridine (3-bdppmapy), with silver oxysalts including AgNO3, AgOAc, AgBz (Bz
= benzoate) and AgSal (Sal = salicylate) under different reaction conditions give
rise to seven coordination compounds {[Ag4(MU-eta,eta-NO3)2(MU-eta,eta(2)
NO3)2](3-bdppmapy)2}n (1), {[Ag4(MU-eta,eta(2)-NO3)4](3-bdppmapy)2}n (2),
{[Ag2(MU-eta(2)-OAc)(MU-eta,eta(2)-OAc)]2(3-bdppmapy)2}n (3), [Ag2(Bz)(MU
eta,eta(2)-Bz)(H2O)]2(3-bdppmapy)2 (4), {[Ag4(MU-eta(2)-Bz)2(MU-eta,eta(2)
Bz)2](3-bdppmapy)2}n (5), {Ag(Sal)(3-bdppmapy)}n (6) and {[Ag4(Sal)2(MU
eta,eta(2)-Sal)2](3-bdppmapy)2}n (7). Single crystal X-ray analyses reveal that
1, 5 and 7 have similar 1D chain structures constructed by [Ag4(MU-eta(2)-Ox)2(MU
eta,eta(2)-Ox)2] units (1: Ox(-) = NO3(-); 5: Ox(-) = Bz(-)) or [Ag4(Sal)2(MU
eta,eta(2)-Sal)2] units (7) and double 3-bdppmapy bridges. Compound 2 has a 2D
layer structure derived from [Ag4(MU-eta,eta(2)-NO3)4] units and single 3
bdppmapy bridges. 3 displays another 2D layer structure formed by [Ag2(MU-eta(2)
OAc)(MU-eta,eta(2)-OAc)] units and double 3-bdppmapy bridges. 4 shows a discrete
tetranuclear structure formed by two [Ag2(Bz)(eta,eta(2),MU-Bz)(H2O)] units and a
pair of 3-bdppmapy bridges, while 6 adopts a 1D chain composed by [AgSal] units
and single 3-bdppmapy bridges. 6 and 7 as representative samples display high
catalytic activity toward the photodegradation of rhodamine B (RhB) in water.
Compound 7 shows a better photocatalytic performance than that of 6, which
correlates well with the differences in their anodic photocurrent responses and
their HOMO-LUMO energy gaps derived from the density function theory (DFT)
calculations. The formation of 6 and 7 from AgSal and 3-bdppmapy provides a new
approach to the design and assembly of Ag(i)-supported CPs with interesting
structural architectures and higher photocatalytic activity.
PMID- 27183355
TI - Ionization Energies, Electron Affinities, and Polarization Energies of Organic
Molecular Crystals: Quantitative Estimations from a Polarizable Continuum Model
(PCM)-Tuned Range-Separated Density Functional Approach.
AB - We propose a new methodology for the first-principles description of the
electronic properties relevant for charge transport in organic molecular
crystals. This methodology, which is based on the combination of a nonempirical,
optimally tuned range-separated hybrid functional with the polarizable continuum
model, is applied to a series of eight representative molecular semiconductor
crystals. We show that it provides ionization energies, electron affinities, and
transport gaps in very good agreement with experimental values, as well as with
the results of many-body perturbation theory within the GW approximation at a
fraction of the computational costs. Hence, this approach represents an easily
applicable and computationally efficient tool to estimate the gas-to-crystal
phase shifts of the frontier-orbital quasiparticle energies in organic electronic
materials.
PMID- 27183357
TI - Crusted scabies in a patient with lepromatous leprosy.
PMID- 27183343
TI - Baseline psychophysiological and cortisol reactivity as a predictor of PTSD
treatment outcome in virtual reality exposure therapy.
AB - Baseline cue-dependent physiological reactivity may serve as an objective measure
of posttraumatic stress disorder (PTSD) symptoms. Additionally, prior animal
model and psychological studies would suggest that subjects with greatest
symptoms at baseline may have the greatest violation of expectancy to danger when
undergoing exposure based psychotherapy; thus treatment approaches which enhanced
the learning under these conditions would be optimal for those with maximal
baseline cue-dependent reactivity. However methods to study this hypothesis
objectively are lacking. Virtual reality (VR) methodologies have been
successfully employed as an enhanced form of imaginal prolonged exposure therapy
for the treatment of PTSD. Our goal was to examine the predictive nature of
initial psychophysiological (e.g., startle, skin conductance, heart rate) and
stress hormone responses (e.g., cortisol) during presentation of VR-based combat
related stimuli on PTSD treatment outcome. Combat veterans with PTSD underwent 6
weeks of VR exposure therapy combined with either d-cycloserine (DCS), alprazolam
(ALP), or placebo (PBO). In the DCS group, startle response to VR scenes prior to
initiation of treatment accounted for 76% of the variance in CAPS change scores,
p < 0.001, in that higher responses predicted greater changes in symptom severity
over time. Additionally, baseline cortisol reactivity was inversely associated
with treatment response in the ALP group, p = 0.04. We propose that baseline cue
activated physiological measures will be sensitive to predicting patients' level
of response to exposure therapy, in particular in the presence of enhancement
(e.g., DCS).
PMID- 27183356
TI - Long-term soy consumption and tumor tissue MicroRNA and gene expression in triple
negative breast cancer.
AB - BACKGROUND: Soy food intake may have protective effects against the risk for
breast cancer, including estrogen receptor (ER)-negative breast cancer. However,
the underlying molecular mechanisms remain unclear. METHODS: To evaluate the
association of soy intake with the expression of microRNAs (miRNAs) and genes in
the tumor tissue of patients with triple-negative breast cancer (TNBC; ie, breast
cancer lacking expression of ER, progesterone receptor, and human epidermal
growth factor receptor 2), the expression of 800 miRNAs and 302 genes were
measured with NanoString nCounter assays in formalin-fixed, paraffin-embedded
tumor tissue from 272 TNBC patients. Soy intake during the 1-year period before
the cancer diagnosis was assessed with a validated food-frequency questionnaire.
The association of soy intake with the expression of miRNAs and genes was
evaluated via linear regression analysis with adjustments for patient age and TNM
stage. RESULTS: A total of 14 miRNAs and 24 genes were significantly associated
with soy food intake (P < .05): Thirteen of the 14 miRNAs (92.9%) and 9 of the 24
genes (37.5%), including tumor suppressors miR-29a-3p and IGF1R, showed
overexpression for those women with high soy intake, whereas the remaining miRNAs
and genes, including oncogenes KRAS and FGFR4, showed underexpression.
Furthermore, cell growth-related genes showed a predominantly underexpression
pattern according to a comparison of tumor samples from women with high soy food
intake and samples from women with lower soy food intake. CONCLUSIONS: This study
suggests that long-term prediagnosis soy intake may lead to increased expression
of tumor suppressors and decreased expression of oncogenes, especially cell
growth-related genes, in breast tumor tissues. Cancer 2016;122:2544-51. (c) 2016
American Cancer Society.
PMID- 27183358
TI - Characterization of the genetic environment of the blaKPC-2 gene among Klebsiella
pneumoniae isolates from a Chinese Hospital.
AB - Infection caused by carbapenem-resistant Klebsiella pneumoniae has become a major
healthcare threat and KPC-2 enzyme is a dominant factor mediating carbapenems
resistance in K. pneumoniae. This study was designed to determine the genetic
environment of blaKPC-2, which prevailed in clinical K. pneumoniae isolates
recovered in Huashan Hospital, Shanghai, China. Forty-two clinical isolates were
included in this study by blaKPC-2 screening. After multilocus sequence typing
and plasmid analyses of PCR-based replicon typing (PBRT), junction PCR, mapping
PCR and crossing PCR assays, primer walking, and amplicon sequencing were used to
analyze the genetic environment of the blaKPC-2 gene. ST423, ST65, ST977, and
ST11 were all detected in KPC-2-producing K. pneumoniae. Two types of blaKPC-2
bearing genetic structure were found: Tn1721-blaKPC-2-Tn3 and Tn1721-blaKPC-2
DeltaTn3-IS26; and were carried in IncX and IncFII plasmids, respectively. In
conclusion, the genetic environment of the blaKPC-2 gene was diverse and Tn1721
blaKPC-2-DeltaTn3-IS26 was dominant in clinical K. pneumoniae isolates in Huashan
Hospital. This study sheds some light on the genetic environment and should
foster further studies about the mechanism of the blaKPC-2 dissemination.
PMID- 27183359
TI - Antimicrobial susceptibility of hospital acquired Stenotrophomonas maltophilia
isolate biofilms.
AB - AIMS: We sought to characterize the antibiotic susceptibility of strains of
Stenotrophomonas maltophilia isolated from clinical samples, and the role of
Stenotrophomonas maltophilia biofilm in antibiotic resistance. METHODS: Fifty-one
clinical Stenotrophomonas maltophilia isolates were obtained from patients with
nosocomial infection in the surgical wards and ICUs of six general hospitals in
Tianjin, China. In vitro models of Stenotrophomonas maltophilia biofilms were
established and confirmed by scanning electron microscopy and fluorescence
microscopy with silver staining. The minimal inhibitory concentrations and
biofilm inhibitory concentrations of commonly used antibiotics were determined.
RESULTS: 47 of 51 strains were resistant to three or more antibiotics. 42 of 51
strains formed Stenotrophomonas maltophilia biofilms in vitro. Stenotrophomonas
maltophilia biofilm formation greatly reduced sensitivity to most tested
antibiotics, but not to levofloxacin. However, in the presence of erythromycin
scanning electron microscopy revealed that levofloxacin inhibited
Stenotrophomonas maltophilia biofilm formation. Factorial ANOVA revealed that
erythromycin enhanced susceptibility to levofloxacin, cefoperazone/sulbactam, and
piperacillin (p<0.05), and an DeltaE model revealed that levofloxacin and
erythromycin acted synergistically in biofilms, suggesting specific use of
combined macrolide therapy may represent an effective treatment for
Stenotrophomonas maltophilia infection. CONCLUSIONS: Antibiotics could act
synergistically to combat the protection conferred to clinical isolates of
Stenotrophomonas maltophilia by biofilms. Macrolide antibiotics may be effective
where used in combination.
PMID- 27183361
TI - Impact of Molecular Orientation and Packing Density on Electronic Polarization in
the Bulk and at Surfaces of Organic Semiconductors.
AB - The polarizable environment surrounding charge carriers in organic semiconductors
impacts the efficiency of the charge transport process. Here, we consider two
representative organic semiconductors, tetracene and rubrene, and evaluate their
polarization energies in the bulk and at the organic-vacuum interface using a
polarizable force field that accounts for induced-dipole and quadrupole
interactions. Though both oligoacenes pack in a herringbone motif, the
tetraphenyl substituents on the tetracene backbone of rubrene alter greatly the
nature of the packing. The resulting change in relative orientations of
neighboring molecules is found to reduce the bulk polarization energy of holes in
rubrene by some 0.3 eV when compared to tetracene. The consideration of model
organic-vacuum interfaces highlights the significant variation in the
electrostatic environment for a charge carrier at a surface although the net
change in polarization energy is small; interestingly, the environment of a
charge even just one layer removed from the surface can be viewed already as
representative of the bulk. Overall, it is found that in these herringbone-type
layered crystals the polarization energy has a much stronger dependence on the
intralayer packing density than interlayer packing density.
PMID- 27183360
TI - The use of picosecond lasers beyond tattoos.
AB - Picosecond lasers are a novel laser with the ability to create a pulse of less
than one nanosecond. They have been available in the clinical context since 2012.
Dermatologists are now using picosecond lasers regularly for the treatment of
blue and green pigment tattoo removal. This article reviews the use of picosecond
lasers beyond tattoo removal. The overall consensus for the use of picosecond
lasers beyond tattoo treatment is positive. With examples of this in the
treatment of nevus of Ota, minocycline-induced pigmentation, acne scarring, and
rhytides.
PMID- 27183362
TI - Surgical Workflow Analysis: Ideal Application of Navigated Linear Array
Ultrasound in Low-Grade Glioma Surgery.
AB - Background Intraoperative imaging in low-grade glioma (LGG) surgery can
facilitate residual tumor control and improve surgical outcome. The aim of the
study was to evaluate the ideal application and typical interactions of
intraoperative MRI (iMRI), conventional low-frequency intraoperative ultrasound
(cioUS), and high-frequency linear array intraoperative ultrasound (lioUS) to
optimize surgical workflow. Methods Prospectively, we included 11 patients with
an LGG. Typical procedural workflow in the iMRI suite was recorded with a
compatible software. We took notes of duration, frequency of application, the
surgeon's evaluation of image quality, and the respective benefit of lioUS (15
MHz), cioUS (7 MHz), and iMRI (1.5 T). With the help of the workflow software, we
meticulously analyzed ~ 55 hours of surgery. Results During the interventions,
lioUS was used more often (76.3%) than cioUS (23.7%) and showed a better mean
image quality (1 = best to 6 = worst) of 2.08 versus 3.26 with cioUS. The benefit
of the lioUS application was rated with an average of 2.27, whereas the cioUS
probe only reached a mean value of 3.83. The most common application of lioUS was
resection control (42.6%); cioUS was used mainly for orientation (63.2%).
Overall, lioUS was used more often and was rated better for both the purposes
just described regarding image quality and benefit. Estimated residual tumor
based on lioUS alone was lower than the final residual tumor detected with iMRI
(7.5% versus 14.5%). The latter technique was rated as the best imaging modality
for resection control in all cases followed by lioUS. Conclusion We provide proof
of principle for workflow assessment in cranial neurosurgery. Although iMRI
remains the imaging method of choice, lioUS has shown to be beneficial in a
combined setup. Evaluation of lioUS was significantly superior to cioUS in most
indications except for subcortical lesions.
PMID- 27183363
TI - An electrochemical immunosensor for quantitative detection of ficolin-3.
AB - Diabetes mellitus (DM) is one of the most common metabolic disorders in the
world, of which more than 90% is type-2 diabetes mellitus (T2DM). There is a
rather urgent need for reliable, sensitive and quick detection techniques in
clinical application of T2DM. Ficolin-3 is a potential biomarker of T2DM, because
serum ficolin-3 levels are associated with insulin resistance and predict the
incidence of T2DM. Herein, a sandwich-type electrochemical immunosensor was
developed for the detection of ficolin-3 in human serum. Cyclic voltammetry and
the amperometric current versus time were used to characterize the performance of
the immunosensor. Under optimal conditions, the detection limitation of ficolin-3
was 100 ng ml(-1) and the linear dynamic range was between 2 and 50 MUg ml(-1).
The method has ideal accuracy, excellent stability and selectivity and has wide
application prospects in clinical research.
PMID- 27183372
TI - Replicability, Reproducibility, and Fragility of Research Findings-Ultimately,
Caveat Emptor.
PMID- 27183373
TI - Does Preprocedural Ultrasound Increase the First-Pass Success Rate of Epidural
Catheterization Before Cesarean Delivery? A Randomized Controlled Trial.
AB - BACKGROUND: Preprocedural ultrasound may improve the efficacy and safety of
epidural catheterization, especially in difficult cases. Most studies of
ultrasound-assisted epidural catheterization in the obstetric population are
dated and nonblinded with inconsistent designs. This double-blind, randomized
controlled study aimed to compare the ultrasound-assisted with the conventional
palpation techniques for epidural catheterization in parturients undergoing
cesarean delivery. We hypothesized that the use of preprocedural ultrasound would
increase the success rate of epidural catheterization at the first needle pass.
METHODS: Eligible subjects were American Society of Anesthesiologists physical
status II parturients with full-term singleton pregnancy undergoing elective
cesarean delivery using double-interspace combined spinal-epidural anesthesia.
Exclusion criteria were age <19 or >40 years, body mass index >=35 kg/m, women
presenting in labor or having any contraindication to neuraxial anesthesia,
marked spinal deformity, previous spinal surgery, or impalpable anatomical
landmarks. One hundred ten patients were randomly allocated into 2 equal groups
(palpation and ultrasound groups). All procedures were performed by a single
experienced anesthesiologist. Patients and investigators assessing the outcome
data were blinded to group allocation. A systematic spinal ultrasound assessment
and a sham procedure were performed in the ultrasound and palpation groups,
respectively, before attempting epidural catheterization. The primary outcome was
the rate of successful epidural catheterization at the first needle pass.
Secondary outcomes were the rate of successful epidural catheterization at the
first skin puncture, number of performed needle passes and skin punctures,
duration of the epidural procedure, patient satisfaction from the procedure, and
complications of the procedure (incidence of unintentional dural and vascular
punctures, failed block, unilateral or patchy block, and backache). RESULTS: Data
from 108 patients (55 patients in the palpation group and 53 patients in the
ultrasound group) were analyzed. The rate of successful epidural catheterization
at the first needle pass was 60% in the palpation group and 58.5% in the
ultrasound group (95% confidence interval of the difference in proportions
between groups is -18.5% to 21.6%; P > 0.99). There were no significant
differences between the 2 groups in the success rate at the first skin puncture,
the number of needle passes and skin punctures, or patient satisfaction. The
median (range) duration of the epidural procedure was 185 (57-680) seconds in the
ultrasound group and 215 (114-720) seconds in the palpation group (P = 0.036 with
the Mann-Whitney U test and P = 0.083 with the Student t test with unequal
variances). The overall rate of complications of the procedure was low in both
groups. CONCLUSIONS: For experienced anesthesiologists, it remains unclear
whether preprocedural ultrasound improves the epidural catheterization technique
in parturients with palpable anatomical landmarks undergoing cesarean delivery.
PMID- 27183374
TI - Continuing the Terra Firma and Establishing a New EQUATOR for Anesthesia &
Analgesia.
PMID- 27183375
TI - Advanced Uses of Pulse Oximetry for Monitoring Mechanically Ventilated Patients.
AB - Pulse oximetry is an undisputable standard of care in clinical monitoring. It
combines a spectrometer to detect hypoxemia with a plethysmograph for the
diagnosis, monitoring, and follow-up of cardiovascular diseases. These pulse
oximetry capabilities are extremely useful for assessing the respiratory and
circulatory status and for monitoring of mechanically ventilated patients. On the
one hand, the key spectrography-derived function of pulse oximetry is to evaluate
a patient's gas exchange that results from a particular ventilatory treatment by
continuously and noninvasively measuring arterial hemoglobin saturation (SpO2).
This information helps to maintain patients above the hypoxemic levels, leading
to appropriate ventilator settings and inspired oxygen fractions. However,
whenever higher than normal oxygen fractions are used, SpO2 can mask existing
oxygenation defects in ventilated patients. This limitation, resulting from the S
shape of the oxyhemoglobin saturation curve, can be overcome by reducing the
oxygen fraction delivered to the patient in a controlled and stepwise manner.
This results in a SpO2/FIO2 diagram, which allows a rough characterization of a
patient's gas exchange, shunt, and the amount of lung area with a low
ventilation/perfusion ratio without the need of blood sampling. On the other
hand, the photoplethysmography-derived oximeter function has barely been
exploited for the purpose of monitoring hemodynamics in mechanically ventilated
patients. The analysis of the photoplethysmography contour provides useful real
time and noninvasive information about the interaction of heart and lungs during
positive pressure ventilation. These hemodynamic monitoring capabilities are
related to both the assessment of preload dependency-mainly by analyzing the
breath-by-breath variation of the photoplethysmographic signals-and the analysis
of arterial impedance, which examines the changes in the plethysmographic
amplitude, contour, and derived indexes. In this article, we present and describe
these extended monitoring capabilities and propose a more holistic monitoring
concept that takes advantage of these advanced uses of pulse oximetry in the
monitoring of ventilated patients. Today's monitors need to be improved if such
novel functionalities were to be offered for clinical use. Future developments
and clinical evaluations are needed to establish the true potential of these
advanced monitoring uses of pulse oximetry.
PMID- 27183376
TI - Tos-Nos-Mos: Synthesis of different aryl sulfonate precursors for the
radiosynthesis of the alpha7 nicotinic acetylcholine receptor radioligand
[(18)F]NS14490.
AB - Radiopharmacological investigations of [(18)F]NS14490 have proven that this
radiotracer could be a potential PET radiotracer for imaging of alpha7 nicotinic
acetylcholine receptor particularly with regard to vulnerable plaques of diseased
vessels. For further optimisation of the previously automated one-pot
radiosynthesis of [(18)F]NS14490 using a tosylate precursor, precursors with
other leaving groups (nosylate and mosylate) were synthesized and compared with
the tosylate with respect to their reactivities towards [(18)F]fluoride. The use
of these different precursors resulted in comparable labelling yields of
[(18)F]NS14490. A novel mosylate precursor was synthesized and evaluated, which
has revealed a higher stability during a storage period of five months compared
to the corresponding tosylate and nosylate.
PMID- 27183377
TI - Hydroxychloroquine augments early virological response to pegylated interferon
plus ribavirin in genotype-4 chronic hepatitis C patients.
AB - The therapeutic effect of pegylated interferon (peg-IFN) alfa-2a combined with
ribavirin (RBV) on chronic hepatitis C Egyptian patients is low and further
efforts are required to optimize this therapy for achievement of higher rates of
virological response. This study aimed to evaluate the safety and efficacy of
hydroxychloroquine (HCQ) in combination with pegylated interferon plus ribavirin
on early virological response (EVR) in chronic hepatitis C Egyptian patients.
Naive 120 Egyptian patients with chronic hepatitis C virus infection were divided
into two groups. Group 1 have administered the standard of care therapy
(pegylated interferon alfa-2a plus ribavirin) for 12 weeks, (n = 60). Group 2
have administered hydroxychloroquine plus standard of care therapy for 12 weeks,
(n = 60). Therapeutics included hydroxychloroquine (200 mg) oral twice daily,
peginterferon alfa-2a (160 MUg) subcutaneous once weekly and oral weight-based
ribavirin (1000-1200 mg/day). Baseline characteristics were similar in the two
groups. The percentage of early virological response was significantly more in
patients given the triple therapy than in patients given the standard of care
[54/60 (90%) vs. 43/60 (71.7%); P = 0.011; respectively]. Biochemical response at
week 12 was also significantly higher in patients given the triple therapy
compared with the standard of care [58/60 (96.7%) vs. 42/60 (70%); P < 0.001;
respectively]. Along the study, the observed adverse events were mild and similar
across treatment groups. Addition of hydroxychloroquine to pegylated interferon
plus ribavirin improves the rate of early virological and biochemical responses
in chronic hepatitis C Egyptian patients without an increase in adverse events.
J. Med. Virol. 88:2170-2178, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27183378
TI - Detection of blaOXA-23-like and blaNDM-1 in Acinetobacter baumannii from the
Eastern Region, Saudi Arabia.
AB - Acinetobacter baumannii is currently considered as one of the most common
successful pathogens in the healthcare system due to its ability to quickly
develop resistance. Ten carbapenem-resistant A. calcoaceticus-baumannii complex
were isolated from the eastern region, Saudi Arabia in 2014. All isolates were
resistant to ciprofloxacin, however, 8 of 10 isolates were tigecycline resistant.
Susceptibility test was also carried out for three aminoglycosides, resistance to
gentamicin was 80%, amikacin was 90%, and tobramycin was 50%. Colistin
susceptibility was seen in all isolates. The 10 isolates harbored blaOXA-23-like
and ISAba1 and 9 of them also carried blaADC. Three isolates of 10 harbored
blaNDM-1 coding for NDM metallo-beta-lactamase (MBL) with coexistence of blaADC
together with either blaGES or blaTEM or both. Those three isolates exhibited
negative Etest MBL screening test. Pulsed-field gel electrophoresis revealed the
high clonal variability of the isolates, although two isolates were
indistinguishable. The risk of dissemination of carbapenem resistance through
presence of ISAba1 upstream of OXA-23-like in all isolates raises the concern
about emergence of higher carbapenem prevalence rates in the future in our
region. This study also demonstrated the importance of molecular surveillance to
provide accurate and reliable data about the resistance rates of A. baumannii.
Finally, the high incidence of NDM-1 among our isolates requires a routine
surveillance to monitor the future prevalence of this enzyme in the region.
PMID- 27183380
TI - A t(17;19)(q22;p13.3) Involving TCF3, a t(1;9)(p13;p13), and a 5' IGH Deletion in
a Case of Adult B-cell Acute Lymphoblastic Leukemia.
AB - TCF3 (19p13.3) abnormalities are relatively common in B-cell acute lymphoblastic
leukemia (B-ALL). The t(1;19)(q23;p13) involving PBX1 is the most common of these
rearrangements. The t(17;19)(q22;p13.3), resulting in the TCF3-HLF fusion gene,
is also seen in B-ALL and is associated with an extremely poor prognosis. Herein,
we present the case of a 25-year-old male diagnosed with B-ALL whose initial
karyotype showed a t(17;19)(q22p13.3). FISH confirmed TCF3 involvement and also
revealed a 5' IGH deletion. After treatment, the patient relapsed, at which point
conventional cytogenetic studies showed a t(17;19), loss of the 5' IGH region,
and a t(3;10) not seen in initial studies. After hematopoietic stem cell
transplantation, the patient relapsed again, at which point conventional
cytogenetic studies showed a complex karyotype with t(17;19), t(1;9)(p13;p13),
and structural anomalies involving chromosomes 5, 7, and 14, but no IGH
abnormalities by FISH. The t(1;9) has been shown to involve PAX5, which plays
numerous regulatory roles in B-cell differentiation. Other PAX5 rearrangements
have been detected in B-ALL cases of young adults and adolescents, but with
unclear clinical significance. To the best of our knowledge, this is the first
reported case of t(17;19)-ALL with concomitant 5' IGH deletion and
t(1;9)(p13;p13) potentially involving PAX5, albeit at different time points in
disease progression. This case provides insight into the clonal evolution of
t(17;19)-ALL and the potential involvement of PAX5 and IGH aberrations in the
evolution of this malignancy.
PMID- 27183379
TI - New insight into the structural, electrochemical and biological aspects of
macroacyclic Cu(II) complexes derived from S-substituted dithiocarbazate schiff
bases.
AB - Copper (II) complexes synthesized from the products of condensation of S-methyl-
and S-benzyldithiocarbazate with 2,5-hexanedione (SMHDH2 and SBHDH2 respectively)
have been characterized using various physicochemical (elemental analysis, molar
conductivity, magnetic susceptibility) and spectroscopic (infrared, electronic)
methods. The structures of SMHDH2, its copper (II) complex, CuSMHD, and the
related CuSBHD complex as well as a pyrrole byproduct, SBPY, have been determined
by single crystal X-ray diffraction. In order to provide more insight into the
behaviour of the complexes in solution, electron paramagnetic resonance (EPR) and
electrochemical experiments were performed. Antibacterial activity and
cytotoxicity were evaluated. The compounds, dissolved in 0.5% and 5% DMSO, showed
a wide range of antibacterial activity against 10 strains of Gram-positive and
Gram-negative bacteria. Investigations of the effects of efflux pumps and
membrane penetration on antibacterial activity are reported herein.
Antiproliferation activity was observed to be enhanced by complexation with
copper. Preliminary screening showed Cu complexes are strongly active against
human breast adenocarcinoma cancer cell lines MDA-MB-231 and MCF-7.
PMID- 27183381
TI - Extracellular vesicles: interneural shuttles of complex messages.
AB - A core function of neural cells is the exchange and integration of information.
Extracellular vesicles such as exosomes and microvesicles recently entered the
scene of neuroscience as novel vehicles transmitting complex signals between
neural cells. Carrying a defined but mixed cargo of biomolecules, extracellular
vesicles possess versatile biological activities with the ability to profoundly
modulate the molecular configuration and behaviour of target cells. Extracellular
vesicles are suggested to carry out functions during neural development and
maintenance, they appear to spread neuropathology and furthermore, convey
neuroprotection and regeneration. Understanding the molecular mechanisms of this
sophisticated cellular crosstalk will fundamentally improve our insight in
complex intercellular processes in the healthy and diseased nervous system.
PMID- 27183382
TI - Incentivizing Decentralized Sanitation: The Role of Discount Rates.
AB - In adoption decisions for decentralized sanitation technologies, two decision
makers are involved: the public utility and the individual homeowner. Standard
life cycle cost is calculated from the perspective of the utility, which uses a
market-based discount rate in these calculations. However, both decision-makers
must be considered, including their differing perceptions of the time trade-offs
inherent in a stream of costs and benefits. This study uses the discount rate as
a proxy for these perceptions and decision-maker preferences. The results in two
case studies emphasize the dependence on location of such analyses. Falmouth,
Massachusetts, appears to be a good candidate for incentivizing decentralized
sanitation while the Allegheny County Sanitary Authority service area in
Pennsylvania appears to have no need for similar incentives. This method can be
applied to any two-party decision in which the parties are expected to have
different discount rates.
PMID- 27183384
TI - Vision of correction for classic homocystinuria.
AB - Inherited metabolic disorders are often characterized by the lack of an essential
enzyme and are currently treated by dietary restriction and other strategies to
replace the substrates or products of the missing enzyme. Patients with
homocystinuria lack the enzyme cystathionine beta-synthase (CBS), and many of
these individuals do not respond to current treatment protocols. In this issue of
the JCI, Bublil and colleagues demonstrate that enzyme replacement therapy (ERT)
provides long-term amelioration of homocystinuria-associated phenotypes in CBS
deficient murine models. A PEGylated form of CBS provided long-term stability
and, when used in conjunction with the methylation agent betaine, dramatically
increased survival in mice fed a normal diet. The results of this study provide
one of the first examples of ERT for a metabolic disorder and suggest that
PEGylated CBS should be further explored for use in patients.
PMID- 27183383
TI - Stabilization of histone demethylase PHF8 by USP7 promotes breast carcinogenesis.
AB - The histone demethylase PHF8 has been implicated in multiple pathological
disorders, including X-linked mental retardation and tumorigenesis. However, it
is not clear how the abundance and function of PHF8 are regulated. Here, we
report that PHF8 physically associates with the deubiquitinase USP7.
Specifically, we demonstrated that USP7 promotes deubiquitination and
stabilization of PHF8, leading to the upregulation of a group of genes, including
cyclin A2, that are critical for cell growth and proliferation. The USP7-encoding
gene was also transcriptionally regulated by PHF8, via positive feedback. USP7
was overexpressed in breast carcinomas, and the level of expression positively
correlated with expression of PHF8 and cyclin A2 and with the histological grade
of breast cancer. We showed that USP7 promotes breast carcinogenesis by
stabilizing PHF8 and upregulating cyclin A2 and that the interaction between USP7
and PHF8 is augmented during DNA damage. Moreover, USP7-promoted PHF8
stabilization conferred cellular resistance to genotoxic insults and was required
for the recruitment of BLM and KU70, which are both essential for DNA double
strand break repair. Our study mechanistically links USP7 to epigenetic
regulation and DNA repair. Moreover, these data support the pursuit of USP7 and
PHF8 as potential targets for breast cancer intervention, especially in
combination with chemo- or radiotherapies.
PMID- 27183385
TI - Enzyme replacement with PEGylated cystathionine beta-synthase ameliorates
homocystinuria in murine model.
AB - Homocystinuria, which typically results from cystathionine beta-synthase (CBS)
deficiency, is the most common defect of sulfur amino acid metabolism. CBS
condenses homocysteine and serine to cystathionine that is then converted to
cysteine. Individuals with homocystinuria have markedly elevated plasma levels of
homocysteine and methionine and reduced concentrations of cystathionine and
cysteine. Clinical disease manifestations include thromboembolism and
neuropsychiatric, ocular, and skeletal complications. Here, we have shown that
administration of PEGylated CBS into the circulation of homocystinuria model mice
alters the extra- and intracellular equilibrium of sulfur amino acids, resulting
in a decrease of approximately 75% in plasma total homocysteine (tHcy) and
normalization of cysteine concentrations. Moreover, the decrease in homocysteine
and the normalization of cysteine in PEGylated CBS-treated model mice were
accompanied by improvement of histopathological liver symptoms and increased
survival. Together, these data suggest that CBS enzyme replacement therapy (ERT)
is a promising approach for the treatment of homocystinuria and that ERT for
metabolic diseases may not necessitate introduction of the deficient enzyme into
its natural intracellular compartment.
PMID- 27183386
TI - A "hotspot" for autoimmune T cells in type 1 diabetes.
AB - The ability of a single T cell antigen receptor (TCR) to cross-react with
multiple antigens allows the finite number of T cells within an organism to
respond to the compendium of pathogen challenges faced during a lifetime.
Effective immune surveillance, however, comes at a price. TCR cross-reactivity
can allow molecular mimics to spuriously activate autoimmune T cells; it also
underlies T cell rejection of organ transplants and drives graft-versus-host
disease. In this issue of the JCI, Cole and colleagues provide insight into how
an insulin-reactive T cell cross-reacts with pathogen-derived antigens by
focusing on a limited portion of the peptides to provide a hotspot for binding.
These findings dovetail with recent studies of alloreactive and autoimmune TCRs
and suggest that the biochemical principles that govern conventional protein
protein interactions may allow the specificity and cross-reactivity profiles of T
cells to be predicted.
PMID- 27183387
TI - Inhibition of SHP2 ameliorates the pathogenesis of systemic lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is a devastating multisystemic autoimmune
disorder. However, the molecular mechanisms underlying its pathogenesis remain
elusive. Some patients with Noonan syndrome, a congenital disorder predominantly
caused by gain-of-function mutations in the protein tyrosine phosphatase SH2
domain-containing PTP (SHP2), have been shown to develop SLE, suggesting a
functional correlation between phosphatase activity and systemic autoimmunity. To
test this directly, we measured SHP2 activity in spleen lysates isolated from
lupus-prone MRL/lpr mice and found it was markedly increased compared with that
in control mice. Similar increases in SHP2 activity were seen in peripheral blood
mononuclear cells isolated from lupus patients relative to healthy patients. To
determine whether SHP2 alters autoimmunity and related immunopathology, we
treated MRL/lpr mice with an SHP2 inhibitor and found increased life span,
suppressed crescentic glomerulonephritis, reduced spleen size, and diminished
skin lesions. SHP2 inhibition also reduced numbers of double-negative T cells,
normalized ERK/MAPK signaling, and decreased production of IFN-gamma and IL
17A/F, 2 cytokines involved in SLE-associated organ damage. Moreover, in cultured
human lupus T cells, SHP2 inhibition reduced proliferation and decreased
production of IFN-gamma and IL-17A/F, further implicating SHP2 in lupus
associated immunopathology. Taken together, these data identify SHP2 as a
critical regulator of SLE pathogenesis and suggest targeting of its activity as a
potent treatment for lupus patients.
PMID- 27183388
TI - CD62L+ NKT cells have prolonged persistence and antitumor activity in vivo.
AB - Valpha24-invariant natural killer T cells (NKTs) localize to tumors and have
inherent antitumor properties, making them attractive chimeric antigen receptor
(CAR) carriers for redirected cancer immunotherapy. However, clinical application
of CAR-NKTs has been impeded, as mechanisms responsible for NKT expansion and the
in vivo persistence of these cells are unknown. Here, we demonstrated that
antigen-induced expansion of primary NKTs in vitro associates with the
accumulation of a CD62L+ subset and exhaustion of CD62L- cells. Only CD62L+ NKTs
survived and proliferated in response to secondary stimulation. When transferred
to immune-deficient NSG mice, CD62L+ NKTs persisted 5 times longer than CD62L-
NKTs. Moreover, CD62L+ cells transduced with a CD19-specific CAR achieved
sustained tumor regression in a B cell lymphoma model. Proliferating CD62L+ cells
downregulated or maintained CD62L expression when activated via T cell receptor
alone or in combination with costimulatory receptors. We generated HLAnull K562
cell clones that were engineered to express CD1d and costimulatory ligands. Clone
B-8-2 (HLAnullCD1dmedCD86high4-1BBLmedOX40Lhigh) induced the highest rates of NKT
expansion and CD62L expression. B-8-2-expanded CAR-NKTs exhibited prolonged in
vivo persistence and superior therapeutic activities in models of lymphoma and
neuroblastoma. Therefore, we have identified CD62L as a marker of a distinct NKT
subset endowed with high proliferative potential and have developed artificial
antigen-presenting cells that generate CD62L-enriched NKTs for effective cancer
immunotherapy.
PMID- 27183390
TI - Epithelial tethering of MUC5AC-rich mucus impairs mucociliary transport in
asthma.
AB - The development of pathologic mucus, which is not readily cleared from the
airways, is an important contributor to the morbidity and mortality associated
with asthma. It is not clear how the major airway mucins MUC5AC and MUC5B are
organized within the mucus gel or how this gel contributes to airway obstruction
in asthma. Here, we demonstrated that mucus plugs from individuals with fatal
asthma are heterogeneous gels with distinct MUC5AC- and MUC5B-containing domains.
Stimulation of cultured human bronchial epithelial cells with IL-13, a key
mediator in asthma, induced the formation of heterogeneous mucus gels and
dramatically impaired mucociliary transport. Impaired transport was not
associated with defects in ciliary function but instead was related to tethering
of MUC5AC-containing mucus gel domains to mucus-producing cells in the
epithelium. Replacement of tethered mucus with untethered mucus restored
mucociliary transport. Together, our results indicate that tethering of MUC5AC
containing domains to the epithelium causes mucostasis and likely represents a
major cause of mucus plugging in asthma.
PMID- 27183389
TI - Hotspot autoimmune T cell receptor binding underlies pathogen and insulin peptide
cross-reactivity.
AB - The cross-reactivity of T cells with pathogen- and self-derived peptides has been
implicated as a pathway involved in the development of autoimmunity. However, the
mechanisms that allow the clonal T cell antigen receptor (TCR) to functionally
engage multiple peptide-major histocompatibility complexes (pMHC) are unclear.
Here, we studied multiligand discrimination by a human, preproinsulin reactive,
MHC class-I-restricted CD8+ T cell clone (1E6) that can recognize over 1 million
different peptides. We generated high-resolution structures of the 1E6 TCR bound
to 7 altered peptide ligands, including a pathogen-derived peptide that was an
order of magnitude more potent than the natural self-peptide. Evaluation of these
structures demonstrated that binding was stabilized through a conserved lock-and
key-like minimal binding footprint that enables 1E6 TCR to tolerate vast numbers
of substitutions outside of this so-called hotspot. Highly potent antigens of the
1E6 TCR engaged with a strong antipathogen-like binding affinity; this engagement
was governed though an energetic switch from an enthalpically to entropically
driven interaction compared with the natural autoimmune ligand. Together, these
data highlight how T cell cross-reactivity with pathogen-derived antigens might
break self-tolerance to induce autoimmune disease.
PMID- 27183392
TI - Deconstructing Planning Ability in Children and Adolescents with Anorexia
Nervosa.
AB - Weaknesses in planning by patients with anorexia nervosa (AN) have been noted
(e.g., Zakzanis, Campbell, & Polsinelli, 2010 ) and are generally based on
adults. This study explored D-KEFS Tower Test performance to better understand
learning styles and strategies used by child and adolescent patients with AN
compared to healthy controls. Overall, no significant differences were found in
achievement; however, Item 5 predicted performance across harder items. The AN
group was significantly faster to move their first disc suggesting patients with
AN did not spend as much time planning their strategies for item completion. The
findings of this study in conjunction with other studies investigating planning
in AN may suggest the existence of subtle differences in learning style and
strategy, such as faster initiation times, rather than gross planning
differences. Further research is required to better understand the relationship
between these subtle differences and clinical presentations.
PMID- 27183391
TI - Oxidative stress and dysfunctional NRF2 underlie pachyonychia congenita
phenotypes.
AB - Palmoplantar keratoderma (PPK) are debilitating lesions that arise in individuals
with pachyonychia congenita (PC) and feature upregulation of danger-associated
molecular patterns and skin barrier regulators. The defining features of PC
associated PPK are reproduced in mice null for keratin 16 (Krt16), which is
commonly mutated in PC patients. Here, we have shown that PPK onset is preceded
by oxidative stress in footpad skin of Krt16-/- mice and correlates with an
inability of keratinocytes to sustain nuclear factor erythroid-derived 2 related
factor 2-dependent (NRF2-dependent) synthesis of the cellular antioxidant
glutathione (GSH). Additionally, examination of plantar skin biopsies from
individuals with PC confirmed the presence of high levels of hypophosphorylated
NRF2 in lesional tissue. In Krt16-/- mice, genetic ablation of Nrf2 worsened
spontaneous skin lesions and accelerated PPK development in footpad skin.
Hypoactivity of NRF2 in Krt16-/- footpad skin correlated with decreased levels or
activity of upstream NRF2 activators, including PKCdelta, receptor for activated
C kinase 1 (RACK1), and p21. Topical application of the NRF2 activator
sulforaphane to the footpad of Krt16-/- mice prevented the development of PPK and
normalized redox balance via regeneration of GSH from existing cellular pools.
Together, these findings point to oxidative stress and dysfunctional NRF2 as
contributors to PPK pathogenesis, identify K16 as a regulator of NRF2 activation,
and suggest that pharmacological activation of NRF2 should be further explored
for PC treatment.
PMID- 27183393
TI - Swelling of Bicontinuous Cubic Phases in Guerbet Glycolipid: Effects of
Additives.
AB - Inverse bicontinuous cubic phases of lyotropic liquid crystal self-assembly have
received much attention in biomedical, biosensing, and nanotechnology
applications. An Ia3d bicontinuous cubic based on the gyroid G-surface can be
formed by the Guerbet synthetic glucolipid 2-hexyl-decyl-beta-d-glucopyranoside
(beta-Glc-OC6C10) in excess water. The small water channel diameter of this cubic
phase could provide nanoscale constraints in encapsulation of large molecules and
crystallization of membrane proteins, hence stresses the importance of water
channel tuning ability. This work investigates the swelling behavior of lyotropic
self-assembly of beta-Glc-OC6C10 which could be controlled and modulated by
different surfactants as a hydration-modulating agent. Our results demonstrate
that addition of nonionic glycolipid octyl-beta-d-glucopyranoside (beta-Glc-OC8)
at 20 and 25 mol % gives the largest attainable cubic water channel diameter of
ca. 62 A, and formation of coacervates which may be attributed to a sponge phase
were seen at 20 mol % octyl-beta-d-maltopyranoside (beta-Mal-OC8). Swelling of
the cubic water channel can also be attained in charged surfactant-doped systems
dioctyl sodium sulfosuccinate (AOT) and hexadecyltrimethylammonium bromide
(CTAB), of which phase transition occurred from cubic to a lamellar phase.
Destabilization of the cubic phase to an inverse hexagonal phase was observed
when a high amount of charged lecithin (LEC) and stearylamine (SA) was added to
the lipid self-assembly.
PMID- 27183394
TI - Trauma Sequelae are Uniquely Associated with Components of Self-Reported Sleep
Dysfunction in OEF/OIF/OND Veterans.
AB - While the associations between psychological distress (e.g., posttraumatic stress
disorder [PTSD], depression) and sleep dysfunction have been demonstrated in
trauma-exposed populations, studies have not fully explored the associations
between sleep dysfunction and the wide range of common physical and physiological
changes that can occur after trauma exposure (e.g., pain, cardiometabolic risk
factors). We aimed to clarify the unique associations of psychological and
physical trauma sequelae with different aspects of self-reported sleep
dysfunction. A comprehensive psychological and physical examination was
administered to 283 combat-deployed trauma-exposed Operation Enduring
Freedom/Operation Iraqi Freedom/Operation New Dawn (OEF/OIF/OND) veterans. The
Pittsburgh Sleep Quality Index (PSQI) and PSQI Addendum for PSTD (PSQI-A) were
administered along with measures of PTSD, depression, anxiety, pain, traumatic
brain injury, alcohol use, nicotine dependence, and cardiometabolic symptoms. We
first performed a confirmatory factor analysis of the PSQI and then conducted
regressions with the separate PSQI factors as well as the PSQI-A to identify
unique associations between trauma-related measures and the separate aspects of
sleep. We found that the PSQI global score was composed of three factors: Sleep
Efficiency (sleep efficiency/sleep duration), Perceived Sleep Quality (sleep
quality/sleep latency/sleep medication) and Daily Disturbances (sleep
disturbances/daytime dysfunction). Linear regressions demonstrated that PTSD
symptoms were uniquely associated with the PSQI global score and all three
factors, as well as the PSQI-A. For the other psychological distress variables,
anxiety was independently associated with PSQI global as well as Sleep
Efficiency, Perceived Sleep Quality, and PSQI-A, whereas depression was uniquely
associated with Daily Disturbances and PSQI-A. Notably, cardiometabolic symptoms
explained independent variance in PSQI global and Sleep Efficiency. These
findings help lay the groundwork for further investigations of the mechanisms of
sleep dysfunction in trauma-exposed individuals and may help in the development
of more effective, individualized treatments.
PMID- 27183395
TI - The Promise of Leisure-Time Physical Activity to Reduce Risk of Cancer
Development.
PMID- 27183396
TI - Immunomodulation with Self-Crosslinked Polyelectrolyte Multilayer-Based Coatings.
AB - This study aims to design an optimal polyelectrolyte multilayer film of poly-l
lysine (PLL) and hyaluronic acid (HA) as an anti-inflammatory cytokine release
system in order to decrease the implant failure due to any immune reactions. The
chemical modification of the HA with aldehyde moieties allows self-cross-linking
of the film and an improvement in the mechanical properties of the film. The
cross-linking of the film and the release of immunomodulatory cytokine (IL-4)
stimulate the differentiation of primary human monocytes seeded on the films into
pro-healing macrophages phenotype. This induces the production of anti
inflammatory cytokines (IL1-RA and CCL18) and the decrease of pro-inflammatory
cytokines secreted (IL-12, TNF-alpha, and IL-1beta). Moreover, we demonstrate
that cross-linking PLL/HA film using HA-aldehyde is already effective by itself
to limit inflammatory processes. Finally, this functionalized self-cross-linked
PLL/HA-aldehyde films constitutes an innovative and efficient candidate for
immunomodulation of any kind of implants of various architecture and properties.
PMID- 27183398
TI - The role of shared visual information for joint action coordination.
AB - Previous research has identified a number of coordination processes that enable
people to perform joint actions. But what determines which coordination processes
joint action partners rely on in a given situation? The present study tested
whether varying the shared visual information available to co-actors can trigger
a shift in coordination processes. Pairs of participants performed a movement
task that required them to synchronously arrive at a target from separate
starting locations. When participants in a pair received only auditory feedback
about the time their partner reached the target they held their movement duration
constant to facilitate coordination. When they received additional visual
information about each other's movements they switched to a fundamentally
different coordination process, exaggerating the curvature of their movements to
communicate their arrival time. These findings indicate that the availability of
shared perceptual information is a major factor in determining how individuals
coordinate their actions to obtain joint outcomes.
PMID- 27183399
TI - Lexical distributional cues, but not situational cues, are readily used to learn
abstract locative verb-structure associations.
AB - Children must learn the structural biases of locative verbs in order to avoid
making overgeneralisation errors (e.g., (*)I filled water into the glass). It is
thought that they use linguistic and situational information to learn verb
classes that encode structural biases. In addition to situational cues, we
examined whether children and adults could use the lexical distribution of nouns
in the post-verbal noun phrase of transitive utterances to assign novel verbs to
locative classes. In Experiment 1, children and adults used lexical
distributional cues to assign verb classes, but were unable to use situational
cues appropriately. In Experiment 2, adults generalised distributionally-learned
classes to novel verb arguments, demonstrating that distributional information
can cue abstract verb classes. Taken together, these studies show that human
language learners can use a lexical distributional mechanism that is similar to
that used by computational linguistic systems that use large unlabelled corpora
to learn verb meaning.
PMID- 27183397
TI - Try to see it my way: Embodied perspective enhances self and friend-biases in
perceptual matching.
AB - Four experiments tested whether self- and friend-biases in perceptual matching
are modulated by whether stimuli are presented aligned with the participant's
body and seen from the same perspective (the embodied perspective). Participants
associated three colours (blue, green, and red) with three people (self, friend,
and stranger) and then judged if a pairing of a colour and a personal label
matched. The colour was painted on the T-shirt of an avatar. We modulated the
perspective of the avatar along with its alignment with the participant's body.
In Experiment 1 a single avatar appeared. In Experiments 2-4 there were two
avatars, and we varied the social communicative environment between the two
avatars (social vs. non-social in Experiments 2/4 vs. 3) and the distance between
the two avatars and fixation (close, far, or equal in Experiment 2, 3 or 4). With
a single avatar, performance on friend-match trials selectively improved when the
avatar was aligned with patient's body and viewed from the participant's (first
person) perspective. The self-bias effect was unaffected by the
perspective/embodiment manipulation and it was strong across all conditions.
However with two avatars performance on both self- and friend-match trials
improved when the target stimulus appeared on the avatar adopting a first person
perspective and aligned with the participant's body, when two avatars were shown
in a social-communicative context. These selective improvements disappeared when
two avatars turned their back on one another in a non-communicative setting. The
data indicate that self- and friend-biases in perceptual matching are modulated
by both how strongly stimuli align with the participant's perspective and body,
and the social communicative situation. We suggest that self-biases can reflect
an embodied representation of the self coded from a first-person perspectives.
PMID- 27183401
TI - Trends and Developments Shaping the Future of Diagnostic Medical Imaging: 2015
Annual Oration in Diagnostic Radiology.
PMID- 27183400
TI - Science to Practice: What Causes Arterial Infarction in Transforaminal Epidural
Steroid Injections, and Which Steroid Is Safest?
AB - Transforaminal epidural steroid injections (TFESIs) are associated with rare but
devastating neurologic complications. Every published case has been associated
with a particulate steroid suspension, and the presumed but not proven mechanism
is embolization and occlusion of end arterioles. Through an in vivo murine model
and in vitro experiments on human red blood cells (RBCs), the study by Laemmel et
al (1) in this issue of Radiology elucidates the potential mechanisms for steroid
induced vascular compromise. Unlike dexamethasone (a nonparticulate steroid
solution), saline, and the particulate steroid cortivazol, other particulate
steroids (prednisolone, methylprednisolone, and triamcinolone) caused often
immediate and complete cessation of capillary blood flow, with RBC (not steroid
particle) aggregates and alteration of RBC morphologic structure into spiculated
RBCs. Thus, the study strengthens evidence in support of the higher safety
profile in TFESI for dexamethasone, the nonparticulate and U.S. Food and Drug
Administration-recommended steroid of choice, compared with particulate steroids.
The results should not be considered proof that cortivazol has not or could not
cause neurologic infarction during a TFESI. Rather, experiments such as those by
Laemmel et al should foster more research, particularly in the arena of novel
therapeutic agents (nonparticulate steroids and nonsteroidal drugs alike).
PMID- 27183402
TI - Who Is Accountable for the Milestones?
PMID- 27183403
TI - The Milestones Really Do Add Value.
PMID- 27183404
TI - Imaging of Sports-related Hand and Wrist Injuries: Sports Imaging Series.
AB - Hand and wrist injuries are common occurrences in amateur and professional sports
and many of them are sport-specific. These can be divided into two categories:
traumatic injuries and overuse injuries. The aim of this article is to review the
most common hand and wrist sports-related lesions. Acute wrist injuries are
predominantly bone fractures, such as those of the scaphoid, hamate hook, and
ulnar styloid. Ligament lesions are more challenging for radiologists and may
lead to carpal instability if undiagnosed. Overuse wrist injuries are mainly
represented by tendinous disorders, with De Quervain syndrome and extensor carpi
ulnaris tendon disorders being the most common among them; however, there are
other possible disorders such as impaction syndromes, stress fractures, and
neurovascular lesions. Finally, finger lesions, including closed-tendon injuries
(mallet and boutonniere injuries, jersey finger, and boxer's knuckle), flexor
pulley injuries, and skier's thumb, should also be detected. ((c)) RSNA, 2016
Online supplemental material is available for this article.
PMID- 27183408
TI - Potential Utility of a Combined Approach with US and MR Arthrography to Image
Medial Elbow Pain in Baseball Players.
AB - Purpose To evaluate a combined imaging approach with both ultrasonography (US;
conventional US and valgus stress US) and magnetic resonance (MR) arthrography in
baseball players with medial elbow pain. Materials and Methods Institutional
review board approval was obtained and the requirement for informed consent was
waived. The study is compliant with HIPAA. Baseball players with medial elbow
pain underwent US in addition to MR arthrography. Findings were assessed with
each modality alone and both combined in this retrospective investigation. For
the evaluation of ulnar collateral ligament (UCL) tears with stress US, the
interval gapping of the medial elbow joint was measured between rest and valgus
stress both at the injured and at the uninjured (contralateral) elbow. Surgical
or arthroscopic correlation was available as the so-called gold standard. McNemar
tests compared the accuracies of the imaging modalities. Results In this study,
144 baseball players had 191 findings of medial elbow pain, including 53 UCL
tears. With stress US, joint gapping at the injured elbow greater than 1.0 mm
compared with the contralateral elbow yielded a sensitivity, specificity, and
accuracy of 96%, 81%, and 87%, respectively, for diagnosing UCL tears. With MR
arthrography, the sensitivity, specificity, and accuracy for UCL tears were 81%,
91%, and 88%, respectively, and increased to 96% (P = .013, McNemar test), 99% (P
= .023), and 98% (P < .001), respectively, when combined with US to a dual
modality MR and US approach. For 31 patients with ulnar neuritis, the
sensitivity, specificity, and accuracy increased from 74%, 92%, and 88%,
respectively, with MR arthrography alone to 90% (P = .07, McNemar test), 100% (P
< .001), and 98% (P < .001) combined with US. For the 59 myotendinous and the 48
osteochondral diagnoses, the sensitivity, specificity, and accuracy with MR
arthrography alone were 93%, 93%, and 93%, and 94%, 98%, and 97%, respectively,
with no additional diagnostic value from US. Conclusion The combined approach
with both MR arthrography and US shows higher accuracy than each modality alone
for the assessment of medial elbow pain. ((c)) RSNA, 2016.
PMID- 27183409
TI - Case 234.
PMID- 27183410
TI - Case 230: Congenital Inguinal Herniation of the Left Ureter (Extraperitoneal
Form).
AB - History A 52-year-old man with a history of urinary tract infections and a
previous clinical diagnosis of left inguinal hernia presented to the nephrologist
with recent onset of dysuria and increasing swelling in the left inguinoscrotal
region in the absence of fever or scrotal trauma. There was no relevant surgical
or family history. The general physical examination findings were unremarkable,
with a normal abdomen at both visual inspection and palpation; urogenital
examination revealed a small left-sided palpable mass of the testis, compatible
with an inguinal hernia or hydrocele. At first, gray-scale and color Doppler
ultrasonographic (US) images of the testes and the inguinoscrotal region were
obtained. Contrast material-enhanced computed tomographic (CT) images of the
lower abdomen and pelvis were then obtained to further evaluate the urinary
tract.
PMID- 27183411
TI - Predictors of Surgical Outcome in Cervical Spondylotic Myelopathy: MR Features
Based on Axial Images Should Be Used in Combination with Other Parameters.
PMID- 27183405
TI - MR Imaging Applications in Mild Traumatic Brain Injury: An Imaging Update.
AB - Mild traumatic brain injury (mTBI), also commonly referred to as concussion,
affects millions of Americans annually. Although computed tomography is the first
line imaging technique for all traumatic brain injury, it is incapable of
providing long-term prognostic information in mTBI. In the past decade, the
amount of research related to magnetic resonance (MR) imaging of mTBI has grown
exponentially, partly due to development of novel analytical methods, which are
applied to a variety of MR techniques. Here, evidence of subtle brain changes in
mTBI as revealed by these techniques, which are not demonstrable by conventional
imaging, will be reviewed. These changes can be considered in three main
categories of brain structure, function, and metabolism. Macrostructural and
microstructural changes have been revealed with three-dimensional MR imaging,
susceptibility-weighted imaging, diffusion-weighted imaging, and higher order
diffusion imaging. Functional abnormalities have been described with both task
mediated and resting-state blood oxygen level-dependent functional MR imaging.
Metabolic changes suggesting neuronal injury have been demonstrated with MR
spectroscopy. These findings improve understanding of the true impact of mTBI and
its pathogenesis. Further investigation may eventually lead to improved
diagnosis, prognosis, and management of this common and costly condition. ((c))
RSNA, 2016.
PMID- 27183412
TI - Noninvasive Evaluation of Liver Fibrosis: Supersonic Shear Imaging or Acoustic
Radiation Force Impulse Imaging?
PMID- 27183413
TI - Reduction in Respiratory Motion Artifacts on Gadoxetate Acid-enhanced MR Images
after Training Technicians.
PMID- 27183414
TI - Some Clarifying Points Regarding Controversies in Contrast Material-induced Acute
Kidney Injury.
PMID- 27183416
TI - Ferenc A. Jolesz, MD.
PMID- 27183415
TI - Hebert Leroy Abrams, MD.
PMID- 27183418
TI - Dark High Density Dipolar Liquid of Excitons.
AB - The possible phases and the nanoscale particle correlations of two-dimensional
interacting dipolar particles is a long-sought problem in many-body physics. Here
we observe a spontaneous condensation of trapped two-dimensional dipolar excitons
with internal spin degrees of freedom from an interacting gas into a high
density, closely packed liquid state made mostly of dark dipoles. Another phase
transition, into a bright, highly repulsive plasma, is observed at even higher
excitation powers. The dark liquid state is formed below a critical temperature
Tc ~ 4.8 K, and it is manifested by a clear spontaneous spatial condensation to a
smaller and denser cloud, suggesting an attractive part to the interaction which
goes beyond the purely repulsive dipole-dipole forces. Contributions from quantum
mechanical fluctuations are expected to be significant in this strongly
correlated, long living dark liquid. This is a new example of a two-dimensional
atomic-like interacting dipolar liquid, but where the coupling of light to its
internal spin degrees of freedom plays a crucial role in the dynamical formation
and the nature of resulting condensed dark ground state.
PMID- 27183419
TI - [A methodological reminder for 2016].
PMID- 27183420
TI - [Burnout and risk of burnout in the teams of bone marrow transplant:
a
multicentre Italian survey].
AB - . Burnout and risk of burnout in the Italian teams of bone marrow transplant: a
multicentre survey. INTRODUCTION: The term burnout is used to describe a state of
work-related psychological distress in healthcare employees characterized by
three related, but independent, components: emotional exhaustion (EE),
depersonalization (DP) and reduced personal accomplishment (PA). AIM: The aim of
this study was to evaluate the prevalence of burnout and stress symptoms in
physicians, nurses, and other healthcare professionals in Italian Bone Marrow
Transplantation Units. METHODS: All personnel of a multidisciplinary group of the
Italian Group of Bone Marrow Transplantation were asked to answer two different
validated questionnaires: the Maslach Burnout Inventory (MBI) and the General
Health Questionnaire (GHQ-12) for the assessment of general wellbeing. Logistic
regression was used to investigate possible risk factors for burnout. RESULTS:
This study showed a relatively low burnout prevalence (3.7%), however about 43.5%
of health workers were at risk for developing a burnout syndrome. No personal or
center characteristics seemed related to burnout risk, but there was a strong
correlation between higher scores from the general health questionnaire and
burnout risk. CONCLUSIONS: Results from our survey suggest that burnout is a
relevant problem in transplant teams and as such strategies should be implemented
to prevent it.
PMID- 27183421
TI - [Contact with death or illness and career choice in non-medical
health
professions and business students: a cross-sectional analysis].
AB - . Contact with death or illness and career choice in non-medical health
professions and business students: a cross-sectional analysis. PURPOSE: It is
anecdotally reported that a personal severe illness or the death of a significant
person might be key reasons for the choice of a career in the non-medical health
professions. AIM: The aim of the questionnaire was to explore past relevant life
events before starting professional studies (severe personal illness; severe
illness or death of a significant person; drug addiction of a relative or friend)
by comparing students of nursing or other non medical health professions with
business students. METHODS: An anonymous questionnaire with standardized closed
questions was distributed in 2010 to a group of bachelor students of non-medical
health professions (nursing, physiotherapy, occupational therapy and rescue care)
and to a control group of business students at the University of Applied Sciences
and Arts of Southern Switzerland. RESULTS: Students of non-medical health
professions had been, compared to business students, significantly more exposed
to severe illnesses or the death of a relative (OR 3.070, CI95% 1.716-5.494), to
personal severe illness (OR 3.950, CI95% 1.384-11.279) and to addiction of a
relative or friend (OR 2.672, CI95% 1.316-5.422) before starting their
professional studies. CONCLUSIONS: This cross-sectional analysis suggests that
exposure to a severe illness or death may play an important role in the choice of
career, probably by supporting intrinsic motivations. Further research should
explore the role of those past life experience in professional behavior.
PMID- 27183422
TI - [Introduction].
PMID- 27183423
TI - [Italian validation of the Chemotherapy Induced Taste Alteration Scale].
AB - . Italian validation of the Chemotherapy Induced Taste Alteration Scale.
Introdution. Taste alterations (TAs) are an underestimated side effect despite
affecting 75% of chemo-radiotherapy patients. TAs may cause discomfort and food
aversions up to loss of appetite and anorexia. The available instruments do not
discriminate the different types of TAs. AIM: The aim of the study is to validate
the Italian version of CiTAS, a 18-items scale that assesses specific TAs,
symptoms of discomfort and their impact on patient nutrition. METHODS: A
convenience sample of 243 patients with chemotherapy-induced taste alterations
were enrolled. Data were analyzed for item consistency using Cronbach alpha and
construct validity using factor analysis. RESULTS: The factor analysis identified
four dimensions that explained a total variance of 67%: decline in basic taste,
discomfort, phantogeusia and parageusia, and general taste alterations. The scale
showed good validity (Cronbach's alpha = 0.82). CONCLUSIONS: The CiTAS enabled
the valid and reliable measurement of specific symptoms of chemotherapy-induced
TAs. It can be used both as a clinical tool and in future studies to evaluate the
effectiveness of specific TAs treatments.
PMID- 27183424
TI - [Validation of the Italian Clinical Learning Environment Instrument
(SVIAT):
study protocol].
AB - . Validation of the Italian Clinical Learning Environment Instrument (SVIAT):
study protocol. INTRODUCTION: Nursing students obtain most of their university
credits in internship environments whose quality can affect their clinical
learning. Several tools are available to measure the quality of the clinical
learning environment (CLE) as perceived by students: these instruments developed
in other countries, were validated in Italian but do not discriminate those CLEs
capable (or not) to promote significant clinical learning. AIM: To validate an
instrument to measure the capability of the CLE to generate clinical learning;
the secondary aim is to describe the learning environments as perceived by
nursing students according to individual course site and tutorial models adopted.
METHODS: The study will be developed in three phases: a) instrument development
and pilot phase, b) validation of the psychometric properties of the instrument
and c) description of the CLEs as perceived by the students including
factors/item confirmed in the validation process. Expected outcomes. A large
validation, with more than 8,000 participating students is expected; the
construct under lying will be confirmed through exploratory and confirmatory
factor analysis and will report high internal consistency; the instrument will
report also a high test-retest and inter-rater reliability; in addition, the
instrument will demonstrate predictive ability by discriminating those units able
(or not) to activate effective learning processes.
PMID- 27183425
TI - [WHO analgesic pain ladder and weak opioids].
PMID- 27183426
TI - [Nursing Homes as a research priority area].
PMID- 27183427
TI - [From migrants to the duty of breaking the silence].
PMID- 27183428
TI - [On the road of migrants].
PMID- 27183430
TI - Micromechanical modelling of oil palm empty fruit bunch fibres containing silica
bodies.
AB - Experimental and numerical investigation was conducted to study the
micromechanics of oil palm empty fruit bunch fibres containing silica bodies. The
finite viscoelastic-plastic material model called Parallel Rheological Network
model was proposed, that fitted well with cyclic and stress relaxation tensile
tests of the fibres. Representative volume element and microstructure models were
developed using finite element method, where the models information was obtained
from microscopy and X-ray micro-tomography analyses. Simulation results showed
that difference of the fibres model with silica bodies and those without ones is
larger under shear than compression and tension. However, in comparison to
geometrical effect (i.e. silica bodies), it is suggested that ultrastructure
components of the fibres (modelled using finite viscoelastic-plastic model) is
responsible for the complex mechanical behaviour of oil palm fibres. This can be
due to cellulose, hemicellulose and lignin components and the interface
behaviour, as reported on other lignocellulosic materials.
PMID- 27183429
TI - Supplementation of host response by targeting nitric oxide to the macrophage
cytosol is efficacious in the hamster model of visceral leishmaniasis and adds to
efficacy of amphotericin B.
AB - We investigated efficacy of nitric oxide (NO) against Leishmania donovani. NO is
a mediator of host response to infection, with direct parasiticidal activity in
addition to its role in signalling to evoke innate macrophage responses. However,
it is short-lived and volatile, and is therefore difficult to introduce into
infected cells and maintain inracellular concentrations for meaningful periods of
time. We incorporated diethylenetriamine NO adduct (DETA/NO), a prodrug, into
poly(lactide-co-glycolide) particles of ~200 nm, with or without amphotericin B
(AMB). These particles sustained NO levels in mouse macrophage culture
supernatants, generating an area under curve (AUC0.08-24h) of 591.2 +/- 95.1 mM *
h. Free DETA/NO resulted in NO peaking at 3 h and declining rapidly to yield an
AUC of 462.5 +/- 193.4. Particles containing AMB and DETA/NO were able to kill
~98% of promastigotes and ~76% of amastigotes in 12 h when tested in vitro.
Promastigotes and amastigotes were killed less efficiently by particles
containing a single drug- either DETA/NO (~42%, 35%) or AMB (~90%, 50%) alone, or
by equivalent concentrations of drugs in solution. In a pre-clinical efficacy
study of power >0.95 in the hamster model, DETA/NO particles were non-inferior to
Fungizone(r) but not Ambisome(r), resulting in significant (~73%) reduction in
spleen parasites in 7 days. Particles containing both DETA/NO and AMB were
superior (~93% reduction) to Ambisome(r). We conclude that NO delivered to the
cytosol of macrophages infected with Leishmania possesses intrinsic activity and
adds significantly to the efficacy of AMB.
PMID- 27183431
TI - Impact wear behavior of human tooth enamel under simulated chewing conditions.
AB - Previous studies mostly focused on the sliding wear behavior of human teeth, and
little effort has been made so far to study the impact wear of human teeth. The
objective of this study was to investigate the impact wear process and mechanism
of human tooth enamel and the influence of water content within enamel. In this
paper, the impact wear behaviors of fresh and dried human tooth enamel against
SiC ceramic have been investigated using a specially designed impact test
machine. Tests lasting up to 5*10(3), 5*10(4), 2.5*10(5), 5.5*10(5), 8*10(5) and
1*10(6) cycles were conducted, respectively. Results showed that for the fresh
enamel, the surface damage was dominated by plastic deformation at the early
stage of impact wear. Iridescent rings appeared around the impact mark as a
result of the accumulation and spread of plastic deformation. As the impact wear
progressed, delamination occurred on the surface of enamel, and thus the
iridescent rings gradually disappeared. Wear loss increased rapidly with the
increase of impact cycles. When a wear particle layer was formed on the enamel
surface, the wear rate decreased. It was found that the surface hardness of
enamel increased with the impact cycles, and no cracks appeared on the cross
section of wear scar. Compared with the fresh enamel, the fracture toughness of
dried enamel decreased, and thus there were microcracks appearing on the cross
section of wear scar. More obvious delamination occurred on the worn surface of
dried enamel, and no iridescent rings were observed. The wear loss of dried
enamel was higher than that of fresh enamel. In summary, the impact wear behavior
of sound human tooth enamel was metal-like to some degree, and no subsurface
cracking occurred. The water content within enamel could increase its fracture
toughness and protect the surface from impact wear. The wear mechanism of human
tooth enamel is determined by its microstructure.
PMID- 27183432
TI - Characterization of compression behaviors of fully covered biodegradable
polydioxanone biliary stent for human body: A numerical approach by finite
element model.
AB - This paper presents a study of the compression behaviors of fully covered
biodegradable polydioxanone biliary stents (FCBPBs) developed for human body by
finite element method. To investigate the relationship between the compression
force and structure parameter (monofilament diameter and braid-pin number), nine
numerical models based on actual biliary stent were established, the simulation
and experimental results are in good agreement with each other when calculating
the compression force derived from both experiment and simulation results,
indicating that the simulation results can be provided a useful reference to the
investigation of biliary stents. The stress distribution on FCBPBSs was studied
to optimize the structure of FCBPBSs. In addition, the plastic dissipation
analysis and plastic strain of FCBPBSs were obtained via the compression
simulation, revealing the structure parameter effect on the tolerance.
PMID- 27183433
TI - Monitoring Nitric Oxide in Subcellular Compartments by Hybrid Probe Based on
Rhodamine Spirolactam and SNAP-tag.
AB - By connection of O(6)-benzylguanine (BG) to an "o-phenylenediamine-locked"
rhodamine spirolactam responsive to nitric oxide (NO), a novel substrate (TMR-NO
BG) of genetically encoded SNAP-tag has been constructed. In living cells,
labeling SNAP-tag fused proteins with TMR-NO-BG will in situ generate
corresponding probe-protein conjugates (TMR-NO-SNAP) that not only inherit high
NO sensitivity from the small-molecule parent but also guarantee the site
specificity to the designated subcellular compartments such as the mitochondrial
inner membrane, nucleus, and cytoplasm. In two representative cellular processes,
TMR-NO-BG demonstrates its applicability to monitor endogenous subcellular NO in
the activated RAW264.7 cells stimulated by lipopolysaccharide and in the
apoptotic COS-7 cells induced by etoposide.
PMID- 27183434
TI - The Effect of G2 Adjuvant on Gene Expression and Delivery of NKG2D Receptor on NK
Cells in Peripheral Blood.
AB - INTRODUCTION: Natural killer (NK) cells are a subset of lymphocytes in humans
that release cytokines such as tumor necrosis factor alpha and interferon gamma
gamma during infection. NKG2D is one of the most important stimulating NK
receptors binding MIC-A, MIC-B, and ULBPs, which leads to activation of NK cells
against tumor cells. In this study, the authors evaluated the effect of G2
adjuvant on gene expression and delivery of NKG2D receptor on NK cells in
peripheral blood. MATERIALS AND METHODS: Peripheral blood mononuclear cells were
isolated from venous blood obtained from healthy volunteers after adding G2
adjuvant within 12, 24, and 48 hours of incubation. Then, total RNA was extracted
from the cells, cDNA synthesis was performed, and gene expression was evaluated
by real-time PCR. In addition, NK cells were stained with the appropriate
monoclonal antibodies, and the receptors expressed on cell surface were
quantified. RESULTS: G2 adjuvant leads to upregulation of gene expression and
increases the expression of NKG2D receptor on the surface of NK cells after
incubation. CONCLUSION: The findings of this study demonstrated that G2 adjuvant
can increase NK cell cytotoxicity. It may play an important role in killing tumor
cells, preventing tumor growth and metastasis.
PMID- 27183435
TI - Decreased LRIG1 in Human Ovarian Cancer Cell SKOV3 Upregulates MRP-1 and
Contributes to the Chemoresistance of VP16.
AB - The leucine-rich repeats and immunoglobulin-like domains (LRIG) are used as tumor
suppressors in clinical applications. Although the LRIG has been identified to
manipulate the cell proliferation via various oncogenic receptor tyrosine kinases
in diverse cancers, its role in multidrug resistance needs to be further
elucidated, especially in human ovarian cancer. We herein established that the
etoposide (VP16)-resistant SKOV3 human ovarian cancer cell clones (SKOV3/VP16
cells) and mRNA expression of LRIG1 were significantly reduced by the treatment
of VP16 in a concentration-dependent manner. Moreover, downregulated LRIG1 in
SKOV3 could enhance the colony formation and resist the inhibition of
proliferation by VP16, leading to the elevated expression of Bcl-2 and decreased
apoptosis of SKOV3. Interestingly, our results uncovered that the multidrug
resistance-associated protein 1 (MRP-1) was upregulated for the chemoresistance
of VP16. To overcome the chemoresistance of SKOV3, SKOV3/VP16 was ectopically
expressed of LRIG1. We found that the inhibition of VP16 on colony formation and
proliferation was remarkably enhanced with increased apoptosis in SKOV3/VP16.
Furthermore, the expression of MRP-1 and Bcl-2 was also inhibited, suggesting
that the LRIG1could negatively control MRP-1 and the apoptosis to improve the
sensitivity of VP16-related chemotherapy.
PMID- 27183436
TI - Inhibition of Human Glioma Cell Proliferation Caused by Knockdown of Utrophin
Using a Lentivirus-Mediated System.
AB - BACKGROUND: Glioma is the most devastating brain tumor worldwide. Previous
studies showed that UTRN (utrophin) was related to cancers, but its role in
glioma cells remains uncovered. MATERIALS AND METHODS: RNAi was used to knockdown
UTRN in U251 cells using lentivirus system. The knockdown efficiency was
validated by real-time quantitative PCR. Cell proliferation, cell cycle, and
apoptosis progression were determined by MTT, colony formation analysis, and flow
cytometry analysis. Furthermore, some apoptotic markers were examined by Western
blot assay. RESULTS: Most cells were infected. Cell proliferation and colony
formation ability were suppressed in U251 cells lacking UTRN. Moreover, there was
an obvious increase in cell percentage in the G2/M phases and a significant
apoptosis in U251 cells after UTRN silencing. Further investigation demonstrated
that UTRN knockdown activated caspase and PARP pathways. CONCLUSIONS: Knockdown
of UTRN expression by shRNA evidently inhibited cell proliferation and promoted
cell apoptosis in glioma cells.
PMID- 27183437
TI - A Freeze-Dried Kit for the Preparation of (188)Re-HEDP for Bone Pain Palliation:
Preparation and Preliminary Clinical Evaluation.
AB - (188)Re-HEDP is an established radiopharmaceutical used for pain palliation in
patients with osseous metastasis. Considering commercial availability of
(188)W/(188)Re generator, the accessibility to a lyophilized kit would make
preparation of this radiopharmaceutical feasible at the hospital radiopharmacy
having access to a generator. A protocol for the preparation of a single-vial
lyophilized hydroxyethane 1,1-diphosphonic acid (HEDP) kit was developed and its
consistency was checked by preparing six batches. Each sterile lyophilized kit
prepared as per the protocol contained 9 mg of HEDP, 3 mg of gentisic acid, and 4
mg of SnCl2.2H2O. Randomly selected kits from all six batches were subjected to
thorough quality control tests that were passed by all batches. (188)Re-HEDP
could be prepared by addition of 1 mL of freshly eluted Na(188)ReO4 (up to 3700
MBq) containing 1 MUmol of carrier ReO4(-) (perrhenate) and heating at 100
degrees C for 15 minutes. (188)Re-HEDP with >95% radiochemical purity could be
consistently prepared using the lyophilized kits. Sterile (188)Re-HEDP prepared
using the lyophilized kit was evaluated in patients with osseous metastasis. Post
therapy images of the patient were compared with (99m)Tc-MDP bone scan and found
to be satisfactory. The bone-to-background as well as tumor-to-normal bone uptake
ratio was found to be significant. All patients who received therapy reported
significant pain relief within a week to 10 days post-administration of (188)Re
HEDP.
PMID- 27183438
TI - Overexpression of Annexin II Receptor-Induced Autophagy Protects Against
Apoptosis in Uveal Melanoma Cells.
AB - Uveal melanoma is the most common primary malignant intraocular tumor in adults
and still lacks effective systemic therapies. Annexin A2 receptor (AXIIR), a
receptor for Annexin II, was demonstrated to play an important role in multiple
cells, but its role in uveal melanoma cells remains exclusive. Herein, the
authors reported that overexpression of AXIIR was able to reduce cell viability
and activate apoptosis apparently in the Mum2C uveal melanoma cell line.
Meanwhile, overexpression of AXIIR could induce autophagy and increase autophagy
flux. After autophagy was inhibited by chloroquine, enhanced apoptosis and
cytotoxicity could be detected. In summary, these data highlighted the crucial
role of AXIIR in reducing Mum2C cell viability through inducing apoptosis, while
autophagy played a protective role in this process. Interference of this gene may
be a promising method for uveal melanoma therapy and combination with specific
inhibitor of autophagy may serve as a supplementary.
PMID- 27183439
TI - Clonal genotype and population structure inference from single-cell tumor
sequencing.
AB - Single-cell DNA sequencing has great potential to reveal the clonal genotypes and
population structure of human cancers. However, single-cell data suffer from
missing values and biased allelic counts as well as false genotype measurements
owing to the sequencing of multiple cells. We describe the Single Cell Genotyper
(https://bitbucket.org/aroth85/scg), an open-source software based on a
statistical model coupled with a mean-field variational inference method, which
can be used to address these problems and robustly infer clonal genotypes.
PMID- 27183440
TI - Automated mapping of phenotype space with single-cell data.
AB - Accurate identification of cell subsets in complex populations is key to
discovering novelty in multidimensional single-cell experiments. We present X
shift (http://web.stanford.edu/~samusik/vortex/), an algorithm that processes
data sets using fast k-nearest-neighbor estimation of cell event density and
arranges populations by marker-based classification. X-shift enables automated
cell-subset clustering and access to biological insights that 'prior knowledge'
might prevent the researcher from discovering.
PMID- 27183441
TI - Flyception: imaging brain activity in freely walking fruit flies.
AB - Genetically encoded calcium sensors have enabled monitoring of neural activity in
vivo using optical imaging techniques. Linking neural activity to complex
behavior remains challenging, however, as most imaging systems require tethering
the animal, which can impact the animal's behavioral repertoire. Here, we report
a method for monitoring the brain activity of untethered, freely walking
Drosophila melanogaster during sensorially and socially evoked behaviors to
facilitate the study of neural mechanisms that underlie naturalistic behaviors.
PMID- 27183442
TI - Local Burn Injury Promotes Defects in the Epidermal Lipid and Antimicrobial
Peptide Barriers in Human Autograft Skin and Burn Margin: Implications for Burn
Wound Healing and Graft Survival.
AB - Burn injury increases the risk of morbidity and mortality by promoting severe
hemodynamic shock and risk for local or systemic infection. Graft failure due to
poor wound healing or infection remains a significant problem for burn subjects.
The mechanisms by which local burn injury compromises the epithelial
antimicrobial barrier function in the burn margin, containing the elements
necessary for healing of the burn site, and in distal unburned skin, which serves
as potential donor tissue, are largely unknown. The objective of this study was
to establish defects in epidermal barrier function in human donor skin and burn
margin, to identify potential mechanisms that may lead to graft failure and/or
impaired burn wound healing. In this study, we established that epidermal lipids
and respective lipid synthesis enzymes were significantly reduced in both donor
skin and burn margin. We further identified diverse changes in the gene
expression and protein production of several candidate skin antimicrobial
peptides (AMPs) in both donor skin and burn margin. These results also parallel
changes in cutaneous AMP activity against common burn wound pathogens, aberrant
production of epidermal proteases known to regulate barrier permeability and AMP
activity, and greater production of proinflammatory cytokines known to be induced
by AMPs. These findings suggest that impaired epidermal lipid and AMP regulation
could contribute to graft failure and infectious complications in subjects with
burn or other traumatic injury.
PMID- 27183444
TI - Pain and infection: pathogen detection by nociceptors.
PMID- 27183445
TI - Moving beyond the eigenvalue greater than one retention criteria in pain
phenotyping research.
PMID- 27183443
TI - Burns: Pathophysiology of Systemic Complications and Current Management.
AB - As a result of many years of research, the intricate cellular mechanisms of burn
injury are slowly becoming clear. Yet, knowledge of these cellular mechanisms and
a multitude of resulting studies have often failed to translate into improved
clinical treatment for burn injuries. Perhaps the most valuable information to
date is the years of clinical experience and observations in the management and
treatment of patients, which has contributed to a gradual improvement in reported
outcomes of mortality. This review provides a discussion of the cellular
mechanisms and pathways involved in burn injury, resultant systemic effects on
organ systems, current management and treatment, and potential therapies that we
may see implemented in the future.
PMID- 27183446
TI - The "paradox" of neuropathic pain associated with small-fiber lesions in the
context of fibromyalgia.
PMID- 27183447
TI - Reply.
PMID- 27183448
TI - What is the meaning of "small-fiber polyneuropathy" in fibromyalgia? An alternate
answer.
PMID- 27183449
TI - Reply.
PMID- 27183450
TI - Erratum.
PMID- 27183451
TI - Vascular calcification and intradialytic hypotension in hemodialysis patients:
Clinical relevance and impact on morbidity and mortality.
AB - BACKGROUND: Vascular calcification (VC) and intradialytic hypotension (IDH)
indicate morphological and functional disorders of the cardiovascular system in
hemodialysis (HD) patients. However, their relationship and combined effects on
clinical outcomes remain undetermined. METHODS: HD patients (n=443) whose plain
chest radiographs were examined for aortic arch VC were included. IDH was defined
as nadir systolic blood pressure <90mmHg or need for bolus fluid. We investigated
the relationship between VC and IDH, and their clinical significance for
cardiovascular events (CVEs) and death. RESULTS: VC was found in 57 HD patients
(12.9%). IDH was more prevalent in patients with VC compared with those without
VC (35.1% vs. 18.7%; P=0.004). VC was independently associated with a 2.12-fold
increase in the risk of IDH (95% confidence interval [CI], 1.03-4.36). In
multivariate analysis, compared with patients with neither VC nor IDH, the
coexistence of VC and IDH was independently associated with death (hazard ratio
[HR], 3.83; 95% CI, 1.62-9.08) and CVE (HR, 3.77; 95% CI, 1.53-9.33), whereas VC
or IDH alone was not. Patients with both VC and IDH had the highest risk for a
composite event (HR, 3.56; 95% CI, 1.79-7.08). Significant synergistic
interaction was observed between VC and IDH (P for interaction=0.009).
CONCLUSIONS: VC was independently associated with IDH. Coexistence of VC and IDH
was associated with higher risk of death and CVEs than either factor alone. There
was a synergistic interaction between VC and IDH for the risk of a composite
event.
PMID- 27183452
TI - Coronary flow reserve as a link between exercise capacity, cardiac systolic and
diastolic function.
AB - BACKGROUND: Decreased coronary flow reserve (CFR) is associated with increased
risk of adverse cardiovascular events. We sought to investigate how CFR from left
anterior descending (LAD) coronary artery reflects clinical markers of cardiac
function. METHODS: We enrolled 400 patients referred for myocardium perfusion
scintigraphy due to chest pain at Sahlgrenska University Hospital in Gothenburg,
Sweden. Transthoracic echocardiography including adenosine-assisted CFR in LAD
was performed at a separate occasion. RESULTS: Median age was 62years (range 32
83) and 47% were female. Prior myocardial infarction had occurred in 28% of the
population. In adjusted multivariate models, CFR in LAD was associated with
echocardiography left ventricle ejection fraction at rest (beta=0.97, p=0.033) as
well as under stress (beta=1.52, p=0.0056) and maximum exercise capacity
(beta=6.27, p=0.026). CFR in LAD outweighed left ventricle ejection fraction as
the determinant of maximum exercise capacity. Hyperaemic diastolic mitral annulus
peak velocity measured by vector velocity imaging was inversely associated with
LAD CFR (beta=-0.39, p=0.0077). In subgroup analyses these findings were
associated with normal coronary perfusion in myocardium perfusion scintigraphy.
CONCLUSIONS: In patients with angina-like symptoms CFR measured in LAD reflects
well both systolic and diastolic cardiac function emphasizing the essential role
of myocardial microvascular circulation in cardiac physiology.
PMID- 27183453
TI - Quantitative comparison of 2D and 3D late gadolinium enhancement MR imaging in
patients with Fabry disease and hypertrophic cardiomyopathy.
AB - BACKGROUND: This study aims to determine whether the quantification of myocardial
fibrosis in patients with Fabry disease (FD) and hypertrophic cardiomyopathy
(HCM) using a late gadolinium enhancement (LGE) singlebreath-hold three
dimensional (3D) inversion recovery magnetic resonance (MR) imaging sequence is
comparable with a clinically established two-dimensional (2D) multi-breath-hold
sequence. METHODS: In this retrospective, IRB-approved study, 40 consecutive
patients (18 male; mean age 50+/-17years) with Fabry disease (n=18) and HCM
(n=22) underwent MR imaging at 1.5T. Spatial resolution was the same for 3D and
2D images (field-of-view, 350*350mm(2); in-plane-resolution, 1.2*1.2mm(2);
section-thickness, 8mm). Datasets were analyzed for subjective image quality;
myocardial and fibrotic mass, and total fibrotic tissue percentage were
quantified. RESULTS: There was no significant difference in subjective image
quality between 3D and 2D acquisitions (P=0.1 and P=0.3) for either disease. In
patients with Fabry disease there were no significant differences between 3D and
2D acquisitions for myocardial mass (P=0.55), fibrous tissue mass (P=0.89), and
total fibrous percentage (P=0.67), with good agreement between acquisitions
according to Bland-Altman analyses. In patients with HCM there were also no
significant differences between acquisitions for myocardial mass (P=0.48),
fibrous tissue mass (P=0.56), and total fibrous percentage (P=0.67), with good
agreement according to Bland-Altman analyses. Acquisition time was significantly
shorter for 3D (25+/-5s) as compared to the 2D sequence (349+/-62s, P<0.001).
CONCLUSIONS: In patients with Fabry disease and HCM, 3D LGE imaging provides
equivalent diagnostic information in regard to quantification of myocardial
fibrosis as compared with a standard 2D sequence, but at superior acquisition
speed.
PMID- 27183454
TI - Effect of training general practitioners in drug treatment of newly detected
heart failure patients with reduced or preserved ejection fraction: A cluster
randomized trial.
AB - OBJECTIVE: To assess the effect of training general practitioners (GPs) in the
optimization of drug treatment for newly detected heart failure (HF). DESIGN:
Cluster randomized trial comparing the training programme to care as usual.
PARTICIPANTS: Community-dwelling older persons with a new HF diagnosis after
diagnostic work-up. METHODS: Thirty GPs were randomized to care as usual or the
training. Sixteen GPs of the latter group received a half-day training on
optimizing HF medication in HF patients with a reduced (HFrEF), or with a
preserved ejection fraction (HFpEF). At baseline and after six months of follow
up, the 46 HF patients in the intervention group and the 46 cases in the care as
usual group were assessed on medication use, functionality, health status, and
health care visits. RESULTS: After 6months, uptake of HF medication and health
status were similar in the two groups. Interestingly, patients in the
intervention group had a longer walking distance with the six-minute walk test
than those in the care as usual group (mean difference in all-type HF 28.0 (95%
CI 2.9 to 53.1) meters; HFpEF patients 28.2 (95% CI 8.8 to 47.5) meters and HFrEF
patients 55.9 (95% CI -16.3 to 128.1) meters). They also had more HF-related GP
visits (RR 1.8, 95% CI 1.3 to 2.5) and fewer visits to the cardiologist (RR 0.6,
95% CI 0.3 to 1.1). CONCLUSIONS: Training GPs in optimization of drug treatment
of newly detected HFrEF and HFpEF did not clearly increase HF medication, but
resulted in improvement in walking distance.
PMID- 27183456
TI - How Patent Troll Legislation Can Increase Timely Access to Generic Drugs.
PMID- 27183457
TI - How does the motion of the surrounding molecules depend on the shape of a folding
molecular motor?
AB - Azobenzene based molecules have the property of isomerizing when illuminated. In
relation with that photoisomerization property, azobenzene containing materials
are the subject of unexplained massive mass transport. In this work we use an
idealised rectangular chromophore model to study the dependence of the
isomerization induced transport on the chromophore's dimensions. Our results show
the presence of a motor arm length threshold for induced transport, which
corresponds to the host molecule's size. Above the threshold, the diffusive
motions increase proportionally to the chromophore's length. Intriguingly, we
find only a very small chromophore width dependence of the induced diffusive
motions. Our very simplified motor reproduces relatively well the behavior
observed using the real DR1 motor molecule, suggesting that the complex closing
procedure and the detailed shape of the motor are not necessary to induce the
molecular motions.
PMID- 27183455
TI - A contemporary cross-sectional study on dyslipidemia management, cardiovascular
risk status, and patients' quality of life in Greece: The CHALLENGE study.
AB - BACKGROUND: Prior studies conducted in Greece consistently indicate that
dyslipidemia is suboptimally managed, while the burden of cardiovascular disease
(CVD) and related risk factors is rising. METHODS: CHALLENGE was a multicenter,
cross-sectional study carried out following the publication of guidelines
advocating stricter low-density lipoprotein cholesterol (LDL-C) targets. It
primarily aimed to depict LDL-C target attainment, and to assess the
cardiovascular risk status and quality of life (QoL) of patients treated in a
primary or secondary CVD prevention setting who had received any medical
intervention for cardiovascular risk modification within 6months of enrollment.
RESULTS: Between December 2012 and April 2013, 500 patients (55% males) aged
(mean+/-SD) 62.0+/-11.7years, participated in the study. Cardiovascular risk
according to the 2011 European Society of Cardiology/European Atherosclerosis
Society (ESC/EAS) guidelines was 'very high', 'high', and 'moderate' in 61.2%,
23.4%, and 15.4%, respectively. Overall, 92.0% of patients were on lipid-lowering
treatment, yet only 23.3% had attained their ESC/EAS-defined LDL-C target. LDL-C
target attainment was more likely among 'moderate' versus 'very high'
cardiovascular risk patients (odds ratio: 4.04; 95% confidence interval: 2.32
7.06; p<0.001). QoL improved as cardiovascular risk decreased (EQ-VAS 71.8+/-16.2
in the 'very high' versus 78.3+/-15.1 and 80.3+/-15.7 in the 'high' and
'moderate' risk groups; p<0.001). Time constraints and difficulties in
implementation in daily practice were the investigator's main barriers for
guideline utilization. CONCLUSIONS: During contemporary management of
dyslipidemia in Greece, LDL-C target attainment is suboptimal. There is an
undoubted need for improvement and implementation of cardiovascular risk
assessment in routine clinical practice.
PMID- 27183458
TI - Spatio-temporal trends and monitoring design of perfluoroalkyl acids in the eggs
of gull (Larid) species from across Canada and parts of the United States.
AB - A large spatial dataset of perfluoroalkyl acid (PFAA) concentrations in eggs of
herring gulls (Larus argentatus or congeneric species) collected from late April
to early June between 2009 and 2014 from 28 colonies across Canada and parts of
the Unites States was used to evaluate location-specific patterns in chemical
concentrations and to generate hypotheses on the major sources affecting PFAA
distributions. The highly bioaccumulative perfluorooctane sulfonic acid (PFOS) as
well as other perfluoroalkyl sulfonic acids (PFSAs) showed the greatest
concentrations in eggs from the lower Great Lakes of southern Ontario as well as
from the St. Lawrence River. Despite the 2000 to 2002 phase-out of PFOS and
related C8 chemistry by the major manufacturer at the time, ongoing losses from
consumer products during use and disposal in urban/industrial locations continue
to be major sources to the environment and are influencing the spatial trends of
PFOS in Canada. In comparison to PFOS, perfluoroalkyl carboxylic acids (PFCAs)
were not as concentrated in eggs in close proximity to urbanized/industrialized
centers, but had surprisingly elevated levels in relatively remote regions such
as Great Slave Lake, NT and East Bay in Hudson Bay, NU. The present results
support the hypothesis that atmospheric transport and degradation of precursor
chemicals, such as the fluorotelomer alcohols 8:2 FTOH and 10:2 FTOH, are
influencing the spatial trends of PFCAs in Canada. A power analysis conducted on
a representative urbanized/industrialized colony in the Toronto Harbour, ON, and
a relatively remote colony in Lake Superior, emphasized the importance of
consistent and long-term data collection in order to detect the anticipated
changes in PFAA concentrations in Canadian gull eggs.
PMID- 27183459
TI - Phytostabilization of mine tailings using compost-assisted direct planting:
Translating greenhouse results to the field.
AB - Standard practice in reclamation of mine tailings is the emplacement of a 15 to
90cm soil/gravel/rock cap which is then hydro-seeded. In this study we
investigate compost-assisted direct planting phytostabilization technology as an
alternative to standard cap and plant practices. In phytostabilization the goal
is to establish a vegetative cap using native plants that stabilize metals in the
root zone with little to no shoot accumulation. The study site is a barren 62
hectare tailings pile characterized by extremely acidic pH as well as lead,
arsenic, and zinc each exceeding 2000mgkg(-1). The study objective is to evaluate
whether successful greenhouse phytostabilization results are scalable to the
field. In May 2010, a 0.27ha study area was established on the Iron King Mine and
Humboldt Smelter Superfund (IKMHSS) site with six irrigated treatments; tailings
amended with 10, 15, or 20% (w/w) compost seeded with a mix of native plants
(buffalo grass, arizona fescue, quailbush, mountain mahogany, mesquite, and
catclaw acacia) and controls including composted (15 and 20%) unseeded treatments
and an uncomposted unseeded treatment. Canopy cover ranging from 21 to 61%
developed after 41 months in the compost-amended planted treatments, a canopy
cover similar to that found in the surrounding region. No plants grew on
unamended tailings. Neutrophilic heterotrophic bacterial counts were 1.5 to 4
orders of magnitude higher after 41months in planted versus unamended control
plots. Shoot tissue accumulation of various metal(loids) was at or below Domestic
Animal Toxicity Limits, with some plant specific exceptions in treatments
receiving less compost. Parameters including % canopy cover, neutrophilic
heterotrophic bacteria counts, and shoot uptake of metal(loids) are promising
criteria to use in evaluating reclamation success. In summary, compost amendment
and seeding, guided by preliminary greenhouse studies, allowed plant
establishment and sustained growth over 4years demonstrating feasibility for this
phytostabilization technology.
PMID- 27183460
TI - Chloride sources in urban and rural headwater catchments, central New York.
AB - Road salt used as a deicing agent in winter months has become an emerging
contaminant to streams and groundwater. In central New York, road salts are
applied heavily during winter months. Recognizing potential sources of salinity
to a river may reveal processes controlling the salinization of freshwater
systems, with implications for future management practices. The Tioughnioga
River, located in central New York, is a headwater of the Susquehanna River,
which flows into the Chesapeake Bay. Salinity of the Tioughnioga River water has
been increasing since the late 1930s. In this study, water samples were collected
weekly at the East and West Branches of the Tioughnioga River from 2012 to 2014.
We characterize natural and anthropogenic sources of salinity in the Tioughnioga
River, using two independent approaches: (1) chloride to bromide ratios (Cl/Br)
and (2) linear discriminant analysis. Ratios of Cl/Br suggest that road salt
runoff influence is notable in both branches, but is more significant in the West
Branch, consistent with a greater area of urban land. Linear discriminant
analysis confirms the results of Cl/Br in the West Branch and further indicates
presence of Appalachian Basin Brines in the East Branch, although their
contribution may be volumetrically small. Longitudinal stream Cl concentration
profiles indicate that sources of pollution are particularly concentrated around
urban areas. Residence time of Cl in the watershed is estimated to be
approximately 20 to 30years using a mixing model, suggesting that stream Cl
concentrations likely will continue to rise for several decades.
PMID- 27183461
TI - Biotic nitrosation of diclofenac in a soil aquifer system (Katari watershed,
Bolivia).
AB - Up till now, the diclofenac (DCF) transformation into its nitrogen-derivatives, N
nitroso-DCF (NO-DCF) and 5-nitro-DCF (NO2-DCF), has been mainly investigated in
wastewater treatment plant under nitrification or denitrification processes. This
work reports, for the first time, an additional DCF microbial mediated
nitrosation pathway of DCF in soil under strictly anoxic conditions probably
involving codenitrification processes and fungal activities. This transformation
pathway was investigated by using field observations data at a soil aquifer
system (Katari watershed, Bolivia) and by carrying out soil slurry batch
experiments. It was also observed for diphenylamine (DPA). Field measurements
revealed the occurrence of NO-DCF, NO2-DCF and NO-DPA in groundwater samples at
concentration levels in the 6-68s/L range. These concentration levels are more
significant than those previously reported in wastewater treatment plant
effluents taking into account dilution processes in soil. Interestingly, the p
benzoquinone imine of 5-OH-DCF was also found to be rather stable in surface
water. In laboratory batch experiments under strictly anoxic conditions, the
transformation of DCF and DPA into their corresponding N-nitroso derivatives was
well correlated to denitrification processes. It was also observed that NO-DCF
evolved into NO2-DCF while NO-DPA was stable. In vitro experiments showed that
the Fisher-Hepp rearrangement could not account for NO2-DCF formation. One
possible mechanism might be that NO-DCF underwent spontaneous NO loss to give the
resulting intermediates diphenylaminyl radical or nitrenium cation which might
evolve into NO2-DCF in presence of NO2 radical or nitrite ion, respectively.
PMID- 27183462
TI - Long-term changes in temperate stream invertebrate communities reveal a
synchronous trophic amplification at the turn of the millennium.
AB - The positive effects of water quality improvement on stream biodiversity in the
temperate regions are expected to be at risk with the projected climatic changes.
However, the processes and mechanisms behind the predicted threats remain
uncertain. From long-term series of benthic invertebrate samples from temperate
rivers and streams in France, we analyzed diversity and composition shifts over
time in relation to geographic elements and human stressors. Mechanisms for
community changes were investigated with a trait-based analysis for the entire
dataset and for a selected caddisfly community module. We observed a 42% increase
in the taxonomic richness of stream invertebrate communities over the last
25years. A gradual trend induced by water quality improvement was distinguished
from a more abrupt climate change-induced shift in communities around the year
2000. Trophic amplification - the intensification of trophic interactions and
pathways through the food web - was identified as the mechanism behind the strong
community shift. Four lines of evidence for this trophic amplification are
highlighted: (i) higher dissolved oxygen concentrations indicated a shift in
primary production, (ii) the trait-based analysis of entire communities showed a
bottom-up food web amplification, (iii) the trait-based analysis of the community
module evidenced feeding strategy shifts and increased food web interactions, and
(iv) the abundance analysis of the community module showed a productivity
increase. These results lend credit to persistent investments in water quality
for improving stream biodiversity, and contrary to expectation, climate change
impacts seem so far to have reinforced these positive effects.
PMID- 27183463
TI - On-Task in a Box: An evaluation of a package-ready intervention for increasing
levels of on-task behavior and academic performance.
AB - The present study tested the efficacy of the On-Task in a Box program for
increasing on-task behavior and academic accuracy of highly off-task students.
Six students in 2nd and 3rd grades were identified by their classroom teacher as
highly off-task. Following identification, the students participated in the On
Task in a Box intervention. Results of the study found immediate and large
effects, which were maintained following discontinuation of the intervention.
Collateral improvements in accuracy on math probes completed during independent
seatwork were also observed. Teacher and participant responses to intervention
acceptability questionnaires indicate the program was viewed positively.
Implications for school-based adoption of the program are presented, and
limitations and future research are discussed. (PsycINFO Database Record
PMID- 27183464
TI - Exploratory human PET study of the effectiveness of (11)C-ketoprofen methyl
ester, a potential biomarker of neuroinflammatory processes in Alzheimer's
disease.
AB - INTRODUCTION: Neuroinflammatory processes play an important role in the
pathogenesis of Alzheimer's disease (AD). As a biomarker of neuroinflammatory
processes, we designed (11)C-labeled ketoprofen methyl ester ([(11)C]KTP-Me) to
increase the blood-brain barrier permeability of ketoprofen (KTP), a selective
cyclooxygenase-1 (COX-1) inhibitor. Animal studies indicated that [(11)C]KTP-Me
enters the brain and accumulates in activated microglia of inflammatory lesions.
In a first-in-human study, we reported that [(11)C]KTP-Me is a safe positron
emission tomography (PET) tracer and enters the brain; the radioactivity is
washed out from normal cerebral tissue. Here we explored the efficacy of
[(11)C]KTP-Me as a diagnostic biomarker of neuroinflammatory processes in AD.
METHODS: [(11)C]KTP-Me was synthesized by rapid C-[(11)C]methylation of
[(11)C]CH3I and the corresponding arylacetate precursor. Nine subjects (four
healthy subjects, two Pittsburgh compound-B (PiB)-positive patients with mild
cognitive impairment (MCI), and three PiB-positive AD patients) underwent a
dynamic brain PET scan for 70min after injection. We evaluated differences in
cortical retention and washout rate in the brain between healthy subjects and
MCI/AD patients. RESULTS: A brain distribution pattern reflecting blood flow in
the early-phase image was seen in both healthy subjects and MCI/AD patients.
Cortical activity gradually cleared in all groups. However, we observed no
obvious difference in the washout rate between healthy subjects and MCI/AD
patients or between MCI and AD patients. CONCLUSIONS: [(11)C]KTP-Me cannot be
useful as a potential diagnostic biomarker for MCI/AD. Further improvements in
binding affinity and specificity, etc., are needed to be a diagnostic biomarker
of neuroinflammation in AD. ADVANCES IN KNOWLEDGE AND IMPLICATIONS FOR PATIENT
CARE: [(11)C]KTP-Me is a new tracer that targets COX-1. [(11)C]KTP-Me is expected
to be a diagnostic biomarker of neuroinflammation in AD in the future. The
effectiveness was limited in a small number of AD patients. Therefore, further
studies are needed to clarify the usefulness of [(11)C]KTP-Me.
PMID- 27183466
TI - Investigation of the accuracy of MV radiation isocentre calculations in the
Elekta cone-beam CT software XVI.
AB - Accurate determination of the megavoltage (MV) radiation isocentre of a linear
accelerator (linac) is an important task in radiotherapy. The localization of the
MV radiation isocentre is crucial for correct calibration of the in-room lasers
and the cone-beam CT scanner used for patient positioning prior to treatment.
Linac manufacturers offer tools for MV radiation isocentre localization. As a
user, there is no access to the documentation for the underlying method and
calculation algorithm used in the commercial software. The idea of this work was
to evaluate the accuracy of the software tool for MV radiation isocentre
calculation as delivered by Elekta using independent software. The image
acquisition was based on the scheme designed by the manufacturer. Eight MV images
were acquired in each series of a ball-bearing (BB) phantom attached to the
treatment couch. The images were recorded at cardinal angles of the gantry using
the electronic portal imaging device (EPID). Eight Elekta linacs with three
different types of multileaf collimators (MLCs) were included in the test. The
influence of MLC orientation, x-ray energy, and phantom modifications were
examined. The acquired images were analysed using the Elekta x-ray volume imaging
(XVI) software and in-house developed (IHD) MATLAB code. Results from the two
different software were compared. A discrepancy in the longitudinal direction of
the isocentre localization was found averaging 0.23 mm up to a maximum of 0.75
mm. The MLC orientation or the phantom asymmetry in the longitudinal direction do
not appear to cause the discrepancy. The main cause of the differences could not
be clearly identified. However, it is our opinion that the commercial software
delivered by the linac manufacturer should be improved to reach better stability
and precise results in the MV radiation isocentre calculations.
PMID- 27183465
TI - Efficient radiosynthesis and non-clinical safety tests of the TSPO radioprobe
[(18)F]FEDAC: Prerequisites for clinical application.
AB - INTRODUCTION: [(18)F]FEDAC ([(18)F]1) has potent binding affinity and selectivity
for translocator protein (18kDa, TSPO), and has been used to noninvasively
visualize neuroinflammation, lung inflammation, acute liver damage, nonalcoholic
fatty liver disease, and liver fibrosis. We had previously synthesized [(18)F]1
in two steps: (i) preparation of [(18)F]fluoroethyl bromide and (ii) coupling of
[(18)F]fluoroethyl bromide with the appropriate precursor (2) for labeling. In
this study, to clinically utilize [(18)F]1 as a PET radiopharmaceutical and to
transfer the production technique of [(18)F]1 to other PET centers, we simplified
its preparation by using a direct, one-step, tosyloxy-for-fluorine substitution.
We also performed an acute toxicity study as a major non-clinical safety test,
and determined radiometabolites using human liver microsomes. METHODS: [(18)F]1
was prepared via direct (18)F-fluorination by heating the corresponding tosylated
derivative (3) with [(18)F]fluoride as its Kryptofix 222 complex in dimethyl
sulfoxide at 110 degrees C for 15min, following by HPLC purification. Non
clinical safety tests were performed for the extended single-dose toxicity study
in rats, and for the in vitro metabolite analysis with human liver microsomal
incubation. RESULTS: High quality batches of [(18)F]1, compatible with clinical
applications, were obtained. At the end of irradiation, the decay-corrected
radiochemical yield of [(18)F]1 using 1 and 5mg of precursor based on
[(18)F]fluoride was 18.5+/-7.9% (n=10) and 52.0+/-5.8% (n=3), respectively. A
single-dose of [(18)F]1 did not show toxicological effects for 14 days after the
injection in male and female rats. In human liver microsomal incubations,
[(18)F]1 was easily metabolized to [(18)F]desbenzyl-FEDAC ([(18)F]10) by CYPs
(4.2% of parent compound left 60min after incubation). CONCLUSION: We
successfully synthesized clinical grade batches of [(18)F]1 and verified the
absence of innocuity of this radiotracer. [(18)F]1 will be used to first-in-human
studies in our facility.
PMID- 27183467
TI - Quantum Calculations Indicate Effective Electron Transfer between FMN and
Benzoquinone in a New Crystal Structure of Escherichia coli WrbA.
AB - Quantum mechanical calculations using the Marcus equation are applied to compare
the electron-transfer probability for two distinct crystal structures of the
Escherichia coli protein WrbA, an FMN-dependent NAD(P)H: quinone oxidoreductase,
with the bound substrate benzoquinone. The calculations indicate that the
position of benzoquinone in a new structure reported here and solved at 1.33 A
resolution is more likely to be relevant for the physiological reaction of WrbA
than a previously reported crystal structure in which benzoquinone is shifted by
~5 A. Because the true electron-acceptor substrate for WrbA is not yet known, the
present results can serve to constrain computational docking attempts with
potential substrates that may aid in identifying the natural substrate(s) and
physiological role(s) of this enzyme. The approach used here highlights a role
for quantum mechanical calculations in the interpretation of protein crystal
structures.
PMID- 27183468
TI - Renal Palliative Care Studies: Coming of Age.
PMID- 27183469
TI - Oncogenic mTOR signalling recruits myeloid-derived suppressor cells to promote
tumour initiation.
AB - Myeloid-derived suppressor cells (MDSCs) play critical roles in primary and
metastatic cancer progression. MDSC regulation is widely variable even among
patients harbouring the same type of malignancy, and the mechanisms governing
such heterogeneity are largely unknown. Here, integrating human tumour genomics
and syngeneic mammary tumour models, we demonstrate that mTOR signalling in
cancer cells dictates a mammary tumour's ability to stimulate MDSC accumulation
through regulating G-CSF. Inhibiting this pathway or its activators (for example,
FGFR) impairs tumour progression, which is partially rescued by restoring MDSCs
or G-CSF. Tumour-initiating cells (TICs) exhibit elevated G-CSF. MDSCs
reciprocally increase TIC frequency through activating Notch in tumour cells,
forming a feedforward loop. Analyses of primary breast cancers and patient
derived xenografts corroborate these mechanisms in patients. These findings
establish a non-canonical oncogenic role of mTOR signalling in recruiting pro
tumorigenic MDSCs and show how defined cancer subsets may evolve to promote and
depend on a distinct immune microenvironment.
PMID- 27183471
TI - Defining the cellular lineage hierarchy in the interfollicular epidermis of adult
skin.
AB - The interfollicular epidermis regenerates from heterogeneous basal skin cell
populations that divide at different rates. It has previously been presumed that
infrequently dividing basal cells known as label-retaining cells (LRCs) are stem
cells, whereas non-LRCs are short-lived progenitors. Here we employ the H2B-GFP
pulse-chase system in adult mouse skin and find that epidermal LRCs and non-LRCs
are molecularly distinct and can be differentiated by Dlx1(CreER) and
Slc1a3(CreER) genetic marking, respectively. Long-term lineage tracing and
mathematical modelling of H2B-GFP dilution data show that LRCs and non-LRCs
constitute two distinct stem cell populations with different patterns of
proliferation, differentiation and upward cellular transport. During homeostasis,
these populations are enriched in spatially distinct skin territories and can
preferentially produce unique differentiated lineages. On wounding or selective
killing, they can temporarily replenish each other's territory. These two
discrete interfollicular stem cell populations are functionally interchangeable
and intrinsically well adapted to thrive in distinct skin environments.
PMID- 27183472
TI - Sorption selectivity of birnessite particle edges: a d-PDF analysis of Cd(ii) and
Pb(ii) sorption by delta-MnO2 and ferrihydrite.
AB - Birnessite minerals (layer-type MnO2), which bear both internal (cation
vacancies) and external (particle edges) metal sorption sites, are important
sinks of contaminants in soils and sediments. Although the particle edges of
birnessite minerals often dominate the total reactive surface area, especially in
the case of nanoscale crystallites, the metal sorption reactivity of birnessite
particle edges remains elusive. In this study, we investigated the sorption
selectivity of birnessite particle edges by combining Cd(ii) and Pb(ii)
adsorption isotherms at pH 5.5 with surface structural characterization by
differential pair distribution function (d-PDF) analysis. We compared the
sorption reactivity of delta-MnO2 to that of the nanomineral, 2-line
ferrihydrite, which exhibits only external surface sites. Our results show that,
whereas Cd(ii) and Pb(ii) both bind to birnessite layer vacancies, only Pb(ii)
binds extensively to birnessite particle edges. For ferrihydrite, significant
Pb(ii) adsorption to external sites was observed (roughly 20 mol%), whereas
Cd(ii) sorption was negligible. These results are supported by bond valence
calculations that show comparable degrees of saturation of oxygen atoms on
birnessite and ferrihydrite particle edges. Therefore, we propose that the
sorption selectivity of birnessite edges follows the same order of that reported
previously for ferrihydrite: Ca(ii) < Cd(ii) < Ni(ii) < Zn(ii) < Cu(ii) < Pb(ii).
PMID- 27183470
TI - Medial HOXA genes demarcate haematopoietic stem cell fate during human
development.
AB - Pluripotent stem cells (PSCs) may provide a potential source of haematopoietic
stem/progenitor cells (HSPCs) for transplantation; however, unknown molecular
barriers prevent the self-renewal of PSC-HSPCs. Using two-step differentiation,
human embryonic stem cells (hESCs) differentiated in vitro into multipotent
haematopoietic cells that had the CD34(+)CD38(-/lo)CD90(+)CD45(+)GPI-80(+) fetal
liver (FL) HSPC immunophenotype, but exhibited poor expansion potential and
engraftment ability. Transcriptome analysis of immunophenotypic hESC-HSPCs
revealed that, despite their molecular resemblance to FL-HSPCs, medial HOXA genes
remained suppressed. Knockdown of HOXA7 disrupted FL-HSPC function and caused
transcriptome dysregulation that resembled hESC-derived progenitors.
Overexpression of medial HOXA genes prolonged FL-HSPC maintenance but was
insufficient to confer self-renewal to hESC-HSPCs. Stimulation of retinoic acid
signalling during endothelial-to-haematopoietic transition induced the HOXA
cluster and other HSC/definitive haemogenic endothelium genes, and prolonged HSPC
maintenance in culture. Thus, medial HOXA gene expression induced by retinoic
acid signalling marks the establishment of the definitive HSPC fate and controls
HSPC identity and function.
PMID- 27183475
TI - Curbing Firearm Violence: Identifying a Specific Target for Physician Action.
PMID- 27183476
TI - Linear verrucous epidermal nevi-effects of carbon dioxide laser therapy.
AB - Linear epidermal nevus is a congenital malformation characterized by linear,
often one-sided arrangement. The lesions are localized along the Blaschko's
lines, are present at birth, or appear in early childhood. They can be single or
multiple, and have various colors-from skin color to dark brown. These lesions
persist through the whole life making a significant cosmetic defect. Here, we
present three clinical cases of epidermal nevus treated with CO2 laser. In a
female patient, verrucous, dark brown skin eruptions were observed at the back of
earlobe and down the neck. In the cases of the male patients, the lesions were
located in the area of the neck and left blade. The removal of nevi was performed
in stages, by cutting and evaporation using a CO2 laser. A very good therapeutic
effect was obtained. CO2 laser treatment is the method of choice for the removal
of extensive epidermal nevi. It is characterized by high efficacy and safety.
PMID- 27183474
TI - Selection of Amikacin Hyper-Resistant Pseudomonas aeruginosa After Stepwise
Exposure to High Amikacin Concentrations.
AB - Aerosolized amikacin reaches high concentrations in lung fluids, which are well
above the minimum inhibitory concentrations (MICs) of resistant strains of
Pseudomonas aeruginosa. However, P. aeruginosa can gain resistance to amikacin
through different cumulative mechanisms; amikacin MICs are seldom reported beyond
values of 1,000 MUg/ml, as tested in clinical microbiology assays. To assess how
high amikacin MICs can be reached by graded exposure, four amikacin-resistant P.
aeruginosa isolates were grown in a 4-step increased exposure to amikacin;
derivative strains were further characterized by measuring their comparative
growth rate, biofilm-forming ability, and susceptibility to other antibiotics. In
addition, the mechanism underlying the MIC increase was assessed phenotypically,
using a set of 12 aminoglycoside disks, and measuring the effect of Phe-Arg-beta
naphthylamide, an efflux pump inhibitor. Graded exposure to amikacin increased
MICs of resistant strains up to 10,000-20,000 MUg/ml, without apparent fitness
cost, and having variable consequences on their biofilm-forming ability, and on
their susceptibility to other antibiotics. Decreased permeability may have
contributed to hyper-resistance, although evidence was inconclusive and variable
between strains. Amikacin-resistant P. aeruginosa is able to gain in vitro hyper
resistance with minimal changes in the specific phenotypes that were tested; the
ability to achieve high-level amikacin (AMK) resistance may confound the clinical
utility of this aerosolized AMK, but clinical data would be required to assess
this.
PMID- 27183473
TI - Stem Cells in the Trabecular Meshwork for Regulating Intraocular Pressure.
AB - Intraocular pressure (IOP) is still the main treatment target for glaucoma.
Outflow resistance mainly exists at the trabecular meshwork (TM) outflow pathway,
which is responsible for IOP regulation. Changes of TM cellularity and TM
extracellular matrix turnover may play important roles in IOP regulation. In this
article, we review basic anatomy and physiology of the outflow pathway and TM
stem cell characteristics regarding the location, isolation, identification and
function. TM stem cells are localized at the insert region of the TM and are
label-retaining in vivo. They can be isolated by side-population cell sorting,
cloning culture, or sphere culture. TM stem cells are multipotent with the
ability to home to the TM region and differentiate into TM cells in vivo. Other
stem cell types, such as adipose-derived stem cells, mesenchymal stem cells and
induced pluripotent stem cells have been discovered for TM cell differentiation
and TM regeneration. We also review glaucomatous animal models, which are
suitable to study stem cell-based therapies for TM regeneration.
PMID- 27183477
TI - State of knowledge about energy development impacts on North American rangelands:
An integrative approach.
AB - To reduce dependence on foreign oil reserves, there has been a push in North
America to develop alternative domestic energy resources. Relatively undeveloped
renewable energy resources include biofuels and wind and solar energy, many of
which occur predominantly on rangelands. Rangelands are also key areas for
natural gas development from shales and tight sand formations. Accordingly,
policies aimed at greater energy independence are likely to affect the delivery
of crucial ecosystem services provided by rangelands. Assessing and dealing with
the biophysical and socio-economic effects of energy development on rangeland
ecosystems require an integrative and systematic approach that is predicated on a
broad understanding of diverse issues related to energy development. In this
article, we present a road map for developing an integrative assessment of energy
development on rangelands in North America. We summarize current knowledge of
socio-economic and biophysical aspects of rangeland based energy development, and
we identify knowledge gaps and monitoring indicators to fill these knowledge
gaps.
PMID- 27183490
TI - Photo-induced green synthesis and antimicrobial efficacy of poly (E
caprolactone)/curcumin/grape leaf extract-silver hybrid nanoparticles.
AB - This study reports the photo-induced green synthesis and antimicrobial assessment
of poly(E-caprolactone)/curcumin/grape leaf extract-Ag hybrid nanoparticles
(PCL/Cur/GLE-Ag NPs). PCL/Cur/GLE NPs were synthesized via emulsion-solvent
evaporation in the presence of PVA as a capping agent, then used as active nano
supports for the green synthesis and stabilization of AgNPs on their surfaces.
Both Cur and GLE were selected and incorporated into the PCL nano-supports due to
their reported promising antimicrobial activity that would further enhance that
of the synthesized AgNPs. The developed PCL/Cur/GLE NPs and PCL/Cur/GLE-Ag hybrid
NPs were characterized using UV-visible spectrophotometry, high resolution
transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). HRTEM
images showed that the PCL/Cur/GLE NPs are monodispersed and spherical with size
of about 270nm, and the AgNPs were formed mainly on their surfaces with average
size in the range 10-30nm. The synthesized AgNPs were found to be crystalline as
shown by XRD patterns with fcc phase oriented along the (111), (200), (220) and
(311) planes. The antimicrobial characteristics of the newly developed NPs were
investigated against gram-positive and gram-negative bacteria in addition to two
fungal strains. The results demonstrated that the PCL/Cur/GLE-Ag hybrid NPs have
a potential antimicrobial activity against pathogenic bacterial species and could
be considered as an alternative antibacterial agent.
PMID- 27183491
TI - Reduced minimum model for the photosynthetic induction processes in photosystem
I.
AB - Photosystem I (PS I) is one of the most important protein complexes for
photosynthesis, which is present in plants, algae and cyanobacteria. A variety of
mechanisms for environmental response in and around PS I have been elucidated
experimentally and theoretically. During the photosynthetic induction time, the
congestion of electron occurs in PS I and then the over-reduced PS I states are
realized. This means that the degree of freedom of the redox states of PS I
becomes large and thus the understanding of phenomena based on the model
describing PS I in the state space becomes difficult. To understand the phenomena
intuitively, we have reduced the complicated PS I model which has the multi
timescale property for electron and excitation-energy transfer processes into a
simple one which has only the mono-timescale property through the use of
hierarchical coarse-graining (HCG) method. The coarse-grained model describes the
state of PS I by seven variable states, while the original model describes the PS
I by 3*2(7)(=384) states. Based on the derived model, the I820 (820nm
transmittance signal) curve in photosynthetic induction term, which indicates the
accumulations of P700(+) and Pc(+), is simulated and analyzed in comparison with
experiment. With respect to this signal curve, it is revealed that the initial
increase up to the shoulder at 10(-3) s, the increase from that point to the peak
at 2 *10(-2) s, and the decay after that peak reflect the accumulations of
P700(+), Pc(+) and P700FA(-)FB(-) (PS I state in which P700,FA(-) and FB(-) are
observed.), respectively. Besides, the important role of the charge recombination
processes from P700(+)A0A(-) and P700(+)A1A(-) states for the dissipation of the
extra absorbed energy in photosynthetic induction period is confirmed.
PMID- 27183492
TI - Biophysical studies on the interaction of platinum(II) complex containing
antiviral drug ribavirin with human serum albumin.
AB - This study describes HSA binding properties of a platinum(II) complex with an
antiviral drug ligand; ribavirin. Spectroscopic analysis of the emission
quenching at different temperatures and UV-vis spectra revealed that the
quenching mechanism of HSA by Pt(II) complex is static quenching mechanism. The
binding constants and the number of binding sites were determined by fluorescence
quenching method. Pt(II) complex binding is characterized by one high affinity
binding site. Through the site marker competitive experiment, site I was assigned
to possess high affinity binding site for Pt(II) complex. The calculated
thermodynamic parameters (DeltaG, DeltaH and DeltaS) confirmed that the binding
reaction is spontaneous, and hydrophobic forces played a major role in the
reaction. Fluorescence quenching studies showed that the binding affinity of
Pt(II) complex with HSA in the buffer solution at different pH values is: Kb
(pH3.0)>Kb (pH9.0)>Kb (pH7.4). The CD spectrum shows the binding of Pt(II)
complex leads to a change in the alpha-helical structure of HSA. CD spectroscopy
studies further indicated the influence of pH on the complexation process and the
alteration in the protein conformation upon binding.
PMID- 27183494
TI - Accurate Sample Assignment in a Multiplexed, Ultrasensitive, High-Throughput
Sequencing Assay for Minimal Residual Disease.
AB - High-throughput sequencing (HTS) (next-generation sequencing) of the rearranged
Ig and T-cell receptor genes promises to be less expensive and more sensitive
than current methods of monitoring minimal residual disease (MRD) in patients
with acute lymphoblastic leukemia. However, the adoption of new approaches by
clinical laboratories requires careful evaluation of all potential sources of
error and the development of strategies to ensure the highest accuracy. Timely
and efficient clinical use of HTS platforms will depend on combining multiple
samples (multiplexing) in each sequencing run. Here we examine the Ig heavy-chain
gene HTS on the Illumina MiSeq platform for MRD. We identify errors associated
with multiplexing that could potentially impact the accuracy of MRD analysis. We
optimize a strategy that combines high-purity, sequence-optimized
oligonucleotides, dual indexing, and an error-aware demultiplexing approach to
minimize errors and maximize sensitivity. We present a probability-based,
demultiplexing pipeline Error-Aware Demultiplexer that is suitable for all MiSeq
strategies and accurately assigns samples to the correct identifier without
excessive loss of data. Finally, using controls quantified by digital PCR, we
show that HTS-MRD can accurately detect as few as 1 in 10(6) copies of specific
leukemic MRD.
PMID- 27183493
TI - Adequately-Sized Nanocarriers Allow Sustained Targeted Drug Delivery to
Neointimal Lesions in Rat Arteries.
AB - In atherosclerotic lesions, the endothelial barrier against the bloodstream can
become compromised, resulting in the exposure of the extracellular matrix (ECM)
and intimal cells beneath. In theory, this allows adequately sized nanocarriers
in circulation to infiltrate into the intimal lesion intravascularly. We sought
to evaluate this possibility using rat carotid arteries with induced neointima.
Cy5-labeled polyethylene glycol-conjugated polyion complex (PIC) micelles and
vesicles, with diameters of 40, 100, or 200 nm (PICs-40, PICs-100, and PICs-200,
respectively) were intravenously administered to rats after injury to the carotid
artery using a balloon catheter. High accumulation and long retention of PICs-40
in the induced neointima was confirmed by in vivo imaging, while the accumulation
of PICs-100 and PICs-200 was limited, indicating that the size of nanocarriers is
a crucial factor for efficient delivery. Furthermore, epirubicin-incorporated
polymeric micelles with a diameter similar to that of PICs-40 showed significant
curative effects in rats with induced neointima, in terms of lesion size and cell
number. Specific and effective drug delivery to pre-existing neointimal lesions
was demonstrated with adequate size control of the nanocarriers. We consider that
this nanocarrier-based drug delivery system could be utilized for the treatment
of atherosclerosis.
PMID- 27183495
TI - The relationship between executive functions and IQ in Korean children and the
comparison with Chinese children.
AB - This study aimed to investigate the relationship between perceived/performance
based executive function and IQ. Additionally, the relationship between perceived
executive function and intelligence was investigated cross-culturally between
South Korea and China. Korean children (60; M = 34, F = 26, Mean age = 10.35)
were included in study 1, and Korean children (43, M = 23, F = 20, Mean age =
10.05) and Chinese children (56; M = 29, F = 27, Mean age = 10.40) were included
in study 2. The Korean-Wechsler Intelligence Scale for Children-IV, the Stroop
test, the CTT-2, and the executive function questionnaire were used for Korean
subjects, and the Raven's matrix test and the executive function questionnaire
were used for Korean and Chinese subjects. Multiple regression showed that CTT
2(RT), emotional control difficulty, and Color Word within a 45' Stroop test
trial were significant predictors of total IQ. The cross-cultural analysis showed
a statistically significant difference between the two countries in the emotional
control aspect of perceived executive function. There were no interactions
between country and intelligence. In conclusion, intelligence was related to
overall executive function. Korean children and Chinese children showed cultural
differences in processing emotion. These results are expected to contribute to
developing therapeutic strategies for executive function in children and to
exchanging these strategies between Korea and China.
PMID- 27183496
TI - Metadata and Title Correction: Online Focus Group Discussion is a Valid and
Feasible Mode When Investigating Sensitive Topics Among Young Persons With a
Cancer Experience.
AB - [This corrects the article DOI: 10.2196/resprot.5616.].
PMID- 27183497
TI - Risk factors for lymphoedema in women with breast cancer: A large prospective
cohort.
AB - A prospective study was conducted to identify women at increased risk for
lymphoedema (LE) based on axillary surgery. Assessment occurred prior to surgery,
within 4 weeks, and at 6, 12 and 18 months following surgery. Following post
surgery assessment, women were asked to complete weekly diaries regarding events
that occurred in the previous week. Risk factors were grouped into demographic,
lifestyle, breast cancer treatment-related, arm swelling-related, and post
surgical activities. Bioimpedance spectroscopy thresholds were used to determine
presence of LE. At 18-months, 241 women with <5 nodes removed and 209 women with
>=5 nodes removed were assessed. For those with <5 nodes removed, LE was present
in 3.3% compared with 18.2% for those with >=5 nodes removed. There were
insufficient events to identify risk factors for those with <5 nodes removed; for
those with >5 nodes removed, independent risk factors included presence of arm
swelling at 12-months (Odds Ratio (OR): 13.5, 95% CI 4.8, 38.1; P < 0.01), at 6
months (5.6 (2.0, 16.9); P < 0.01), and radiotherapy to the axilla (2.6 (0.7,
8.9); P = 0.14). Arm swelling at 6 and 12 months was associated with taxane-based
chemotherapy, high body weight at diagnosis and arm swelling within 4 weeks post
surgery. Of the post-surgical events assessed in a sub-group of women with >5
nodes removed and who maintained weekly diaries, only blood drawn from the 'at
risk' arm was identified as a potential risk (OR 2.0; 0.8, 5.2). For women with
>=5 nodes removed, arm swelling in the first year poses a very strong risk for
presence of LE at 18-months.
PMID- 27183498
TI - Multiplexed imaging of intracellular protein networks.
AB - Cellular functions emerge from the collective action of a large number of
different proteins. Understanding how these protein networks operate requires
monitoring their components in intact cells. Due to intercellular and
intracellular molecular variability, it is important to monitor simultaneously
multiple components at high spatiotemporal resolution. However, inherent trade
offs narrow the boundaries of achievable multiplexed imaging. Pushing these
boundaries is essential for a better understanding of cellular processes. Here
the motivations, challenges and approaches for multiplexed imaging of
intracellular protein networks are discussed. (c) 2016 International Society for
Advancement of Cytometry.
PMID- 27183500
TI - Physician Assistants and Nurse Practitioners in Rural Washington Emergency
Departments.
AB - One role of physician assistants (PAs) and nurse practitioners (NPs) is to meet
the growing demand for access to rural health care. Critical Access Hospitals,
those with less than 25 beds, are usually located in rural communities, often
providing continuity of care that clinics cannot deliver. Because little is known
about staffing in these small hospital emergency departments, an exploratory
study was undertaken using a mixed-methods approach. In Washington State, 18 of
the 39 Critical Access Hospitals staff their emergency departments with PAs and
NPs. Utilization data were collected through structured interviews by phone or in
person on site. Most PAs and NPs lived within the community and staffing tended
to be either 24 hours in-house or short notice if they lived or worked nearby.
Emergency department visits ranged from 200 to 25,000 per year. All sites were
designated level V or IV trauma centers and often managed cardiac events,
significant injuries and, in some larger settings, obstetrics. In most instances,
PAs were the sole providers in the emergency departments, albeit with physician
backup and emergency medical technician support if a surge of emergency cases
arose. Two-thirds of the PAs had graduated within the last 5 years. Most
preferred the autonomy of the emergency department role and all expressed job
satisfaction. Geographically, the more remote a Washington State Critical Access
Hospital is, the more likely it will be staffed by PAs/NPs. The diverse
utilization of semiautonomous PAs and NPs and their rise in rural hospital
employment is a new workforce observation that requires broader investigation.
PMID- 27183501
TI - Look Carefully: How Learning to Observe Art Can Make Us Better Providers.
PMID- 27183499
TI - Transient expression of the diseased phenotype of osteoarthritic chondrocytes in
engineered cartilage.
AB - Due to the degradation of osteoarthritic (OA) cartilage in post-traumatic OA
(PTOA), these tissues are challenging to study and manipulate in vitro. In this
study, chondrocytes isolated from either PTOA (meniscal-release (MR) model) or
normal (contralateral limb) cartilage of canine knee joints were used to form
micropellets to assess the maintenance of the OA chondrocyte phenotype in vitro.
Media samples from the micropellet cultures were used to measure matrix
metalloproteinase (MMP), chemokine, and cytokine concentrations. Significant
differences in matrix synthesis were observed as a function of disease with OA
chondrocytes generally synthesizing more extracellular matrix with increasing
time in culture. No donor dependent differences were detected. Luminex multiplex
analysis of pellet culture media showed disease and time-dependent differences in
interleukin (IL)-8, keratinocyte chemoattractant (KC)-like protein, MMP-1, MMP-2,
and MMP-3, which are differentially expressed in OA. This memory of their
diseased phenotype persists for the first 2 weeks of culture. These results
demonstrate the potential to use chondrocytes from an animal model of OA to study
phenotype alterations during the progression and treatment of OA. (c) 2016
Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop Res
35:829-836, 2017.
PMID- 27183502
TI - The role of small bowel capsule endoscopy and ileocolonoscopy in patients with
nonspecific but suggestive symptoms of Crohn's disease.
AB - OBJECTIVES: Ileocolonoscopy (IC) and small bowel capsule endoscopy (SBCE) are
essential tools in the investigation of suspected small bowel Crohn's disease
(CD). Overutilization of SBCE should be avoided as it leads to unwanted
healthcare expenses; thus, it is recommended when IC is normal and CD is still
highly suspected. Our aim was to compare the role of SBCE and IC in the
investigation of suspected CD irrespective of its location and assess the
additional diagnostic benefit of SBCE over IC. METHODS: This was a retrospective
study of 91 patients with chronic abdominal pain and/or diarrhea. All patients
were evaluated with both colonoscopy (with terminal ileum intubation where
possible) and SBCE. The severity of inflammation on SBCE was assessed using the
Lewis Score. Endoscopic findings were analyzed toward CD diagnosis. RESULTS: The
sensitivity of IC and SBCE in the diagnosis of either small bowel or colonic CD
was 81.82 and 63.64%, whereas the specificity was 77.50 and 92.50%, respectively.
Positive and negative predictive value was 33.33 and 96.88% for IC, as well as
53.85 and 94.87% for SBCE. Area under receiver operating characteristic curve was
0.797 for IC and 0.781 for SBCE. IC was superior to SBCE in diagnosing small and
large bowel CD. SBCE showed the true extent of CD in one patient missed by IC. It
identified lesions suggestive of CD in three patients with normal IC, one of whom
was finally diagnosed with CD. CONCLUSION: IC should be the initial diagnostic
test in patients with nonspecific, but suggestive symptoms of CD. SBCE offers
additional information on small bowel mucosa and disease extent.
PMID- 27183503
TI - Genetic diversity of hepatitis B virus (HBV) in Madagascar.
AB - Hepatitis B virus (HBV) is a DNA virus belonging to Hepadnaviridae family.
Chronic infection with HBV is one major risk factor of hepatic disease. In
Madagascar, former studies classified the country as part of high endemic area,
as HBV prevalence can reach 23% in general population. However, this prevalence
differs largely between urban and rural areas and is estimated to be,
respectively, 5% and 26%. The aims of the present study were to describe the
genetic diversity of HBV strains from different regions of Madagascar, and to
describe the viral gene flow throughout the country by using phylogenetic
analysis. This is the first large-scale molecular and phylogenetic study
analyzing HBV sequences from 28 different Malagasy areas, never sampled in the
past. In this study, the most prevalent genotype/sub-genotypes was E. Migration
analysis showed a gene flow from zone 3 (rural) to zone 2 (suburban), and a
greater gene flow from the middle part of Madagascar to the north than to the
south. It is important to study the HBV infections in Madagascar and to monitor
the potential spread of this viral strain inside this country. J. Med. Virol.
88:2138-2144, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27183504
TI - Clinical Significance of Post-Operative Hyperglycemia in Nondiabetic Patients
Undergoing Definitive Surgery for Gastrointestinal Fistula.
AB - BACKGROUND: To evaluate the association between peri-operative hyperglycemia and
adverse events in patients with gastrointestinal (GI) fistulas without a pre
operative diagnosis of diabetes mellitus who were undergoing definitive surgery.
METHODS: Pre-operative and all post-operative blood glucose concentrations (BG)
were retrieved for 363 consecutive patients undergoing GI reconstruction from
September 2012 to December 2015. Normoglycemic (BG <125 mL/dL), mild
hyperglycemia (125-199 mL/dL), and severe hyperglycemia (>=200 mL/dL) were
defined using the highest BG found within the first 48 h post-operatively.
Outcomes of interest included 30-d mortality rate and re-operation, time of
enteral nutrition resumption, and infectious and non-infectious complications.
RESULTS: More than half of the nondiabetic patients (61.4%) experienced
hyperglycemia post-operatively. The degree of hyperglycemia correlated with
patient age, American Society of Anesthesiologists class, and surgical
interventions. Hyperglycemia was associated with re-operation and post-operative
complications, the frequency of these complications increasing in parallel with
the degree of hyperglycemia. Additionally, post-operative hyperglycemia was
associated independently with surgical site infections (p = 0.014), anastomotic
leak (p = 0.010), delayed resumption of enteral nutrition (p < 0.001), and longer
hospital stay (p < 0.001). CONCLUSION: Elevated post-operative BG was frequent
after surgery in patients with GI fistulas. Post-operative hyperglycemia is
significantly associated with unfavorable outcomes, and this risk is related to
the degree of BG elevation. Our findings suggest that vigilant post-operative BG
monitoring and early appropriate glycemic control are critical for patients, even
nondiabetic patients, undergoing definitive surgery for GI fistula.
PMID- 27183505
TI - Imaging by Zernike phase plates in the TEM.
AB - The images produced from simple phase objects, lenses and Zernike phase plates
when all have rotational symmetry can be calculated by 1D Fourier-Bessel
transforms. For a simple disc object producing a uniform phase shift over its
diameter, the resulting image can be defined for any size of object phase change.
The monotonic range of intensity variation with object phase is found to depend
strongly on the phase change introduced by the phase plate; this property of the
system is not well predicted by the weak phase approximation. The effect of
spreading the phase transition at the plate over a range of radius is beneficial
if the plate phase change is sufficiently small. Weak-phase calculations for a
phase distribution more typical of a spherical object are also shown.
PMID- 27183506
TI - Energy Drinks and Binge Drinking Predict College Students' Sleep Quantity,
Quality, and Tiredness.
AB - This study examines whether energy drink use and binge drinking predict sleep
quantity, sleep quality, and next-day tiredness among college students. Web-based
daily data on substance use and sleep were collected across four semesters in
2009 and 2010 from 667 individuals for up to 56 days each, yielding information
on 25,616 person-days. Controlling for average levels of energy drink use and
binge drinking (i.e., 4+ drinks for women, 5+ drinks for men), on days when
students consumed energy drinks, they reported lower sleep quantity and quality
that night, and greater next-day tiredness, compared to days they did not use
energy drinks. Similarly, on days when students binge drank, they reported lower
sleep quantity and quality that night, and greater next-day tiredness, compared
to days they did not binge drink. There was no significant interaction effect
between binge drinking and energy drink use on the outcomes.
PMID- 27183507
TI - Permethrin-induced oxidative stress and toxicity and metabolism. A review.
AB - Permethrin (PER), the most frequently used synthetic Type I pyrethroid
insecticide, is widely used in the world because of its high activity as an
insecticide and its low mammalian toxicity. It was originally believed that PER
exhibited low toxicity on untargeted animals. However, as its use became more
extensive worldwide, increasing evidence suggested that PER might have a variety
of toxic effects on animals and humans alike, such as neurotoxicity,
immunotoxicity, cardiotoxicity, hepatotoxicity, reproductive, genotoxic, and
haematotoxic effects, digestive system toxicity, and cytotoxicity. A growing
number of studies indicate that oxidative stress played critical roles in the
various toxicities associated with PER. To date, almost no review has addressed
the toxicity of PER correlated with oxidative stress. The focus of this article
is primarily to summarise advances in the research associated with oxidative
stress as a potential mechanism for PER-induced toxicity as well as its
metabolism. This review summarises the research conducted over the past decade
into the reactive oxygen species (ROS) generation and oxidative stress as a
consequence of PER treatments, and ultimately their correlation with the toxicity
and the metabolism of PER. The metabolism of PER involves various CYP450 enzymes,
alcohol or aldehyde dehydrogenases for oxidation and the carboxylesterases for
hydrolysis, through which oxidative stress might occur, and such metabolic
factors are also reviewed. The protection of a variety of antioxidants against
PER-induced toxicity is also discussed, in order to further understand the role
of oxidative stress in PER-induced toxicity. This review will throw new light on
the critical roles of oxidative stress in PER-induced toxicity, as well as on the
blind spots that still exist in the understanding of PER metabolism, the cellular
effects in terms of apoptosis and cell signaling pathways, and finally strategies
to help to protect against its oxidative damage.
PMID- 27183508
TI - [Migration and mental health: new challenges].
AB - Migration is an important risk factor for the development of common and severe
mental disorders. Nevertheless, in Europe, immigrants are less likely to access
community mental health care and to adhere to treatments, with consequent
emergency referrals, involuntary admissions, and traumatic coercive measures. At
a clinical level, changes in practices and cross-cultural skills of mental health
professionals might be crucial in addressing this challenge.
PMID- 27183509
TI - Model of Management (Mo.Ma) for the patient with schizophrenia: crisis control,
maintenance, relapse prevention, and recovery with long-acting injectable
antipsychotics (LAIs).
AB - INTRODUCTION: Schizophrenia is a severe mental disease that affects approximately
1% of the population with a relevant chronic impact on social and occupational
functioning and daily activities. People with schizophrenia are 2-2.5 times more
likely to die early than the general population. Non-adherence to antipsychotic
medications, both in chronic and first episode schizophrenia, is one of the most
important risk factors for relapse and hospitalization, that consequently
contributes to increased costs due to psychiatric hospitalization. Atypical long
acting injectable (LAI) antipsychotics can improve treatment adherence and
decrease re-hospitalization rates in patients with schizophrenia since its onset.
The primary goals in the management of schizophrenia are directed not only at
symptom reduction in the short and long term, but also at maintaining physical
and mental functioning, improving quality of life, and promoting patient
recovery. AIM: To propose a scientific evidence-based integrated model that
provides an algorithm for recovery of patients with schizophrenia and to
investigate the effectiveness and safety of antipsychotics LAI in the treatment,
maintenance, relapse prevention, and recovery of schizophrenia. METHODS: After an
accurate literature review we identified, collected and analyzed the crucial
points in taking care schizophrenia patients, through which we defined the steps
described in the model of management and the choice of the better treatment
option. Results. In the management model we propose, the choice of a second
generation long acting antipsychotic, could allow from the earliest stages of
illness better patient management, especially for young individuals with
schizophrenia onset, a better recovery and significant reductions of relapse and
health care costs. LAI formulations of antipsychotics are valuable, because they
help patients to remain adherent to their medication through regular contact with
healthcare professionals and to prevent covert non-adherence. CONCLUSIONS: The
proposed schizophrenia model of management could allow better patient management
and recovery, in which the treatment with LAI formulation is a safe and effective
therapeutic option. This new therapeutic approach could change the cost structure
of schizophrenia by decreasing costs with efficient economic resource allocation
guaranteed from efficient diagnostic and therapeutic pathways.
PMID- 27183510
TI - Food Addiction: definition, measurement and prevalence in healthy subjects and in
patients with eating disorders.
AB - The construct of "Food Addiction" (FA) has been introduced in the last decades to
better understand abnormal eating patternsin obese and overweight people and in
patients with Eating Disorders (EDs). Despite a substantial parallelism between
drug addiction and FA, there is still no agreement in considering FA an
independent ED or a useful convincing concept. Therefore, the purpose of this
review is to aggregate available data, in order to increase knowledge about: 1)
definition, measurement and general features of FA; 2) prevalence of FA in
clinical and non-clinical samples. Available data suggest that FA seems to be a
transnosografic construct and exists in all EDs, with higher prevalence in
Bulimia Nervosa. Although the discussion on the autonomous diagnosis of FA within
EDs remains open, studies have reported that comorbidity between FA and other EDs
is associated with worse clinical conditions and symptoms, justifying, as a
result, the usefulness of assessing and treating this condition.
PMID- 27183511
TI - [Treatment outcomes of psychiatric rehabilitation: a follow-up study at an
italian therapeutic community].
AB - INTRODUCTION: The treatment of severely ill patients with psychotic and
personality disorders is often conducted in residential settings such as the
Therapeutic Communities (TC). In these facilities a multidisciplinary model is
employed to ensure integrative care of the complex psychiatric patient. Although
the cost of such programs is very high, evidence of efficacy and effectiveness is
scarce, especially in Italy. AIM AND METHODS: Aim of the study is to evaluate the
efficacy of TC treatment in a group of patients with severe psychotic and
personality disorders. Eighty-one patients have been assessed at baseline and
after 6 and 12 months of follow-up in the following areas: global functioning,
quality of life, emotion regulation, coping strategies, and insight into illness.
RESULTS: At the end of follow-up we could find an improvement in functioning,
quality of life, a greater engagement in goal-oriented behaviors, together with a
lesser utilization of avoidant coping strategies. However the high dropout rates,
which are associated with substance abuse and a diagnosis of personality
disorders, should be acknowledged. DISCUSSION AND CONCLUSIONS: The present study
reports the efficacy of residential TC treatment for some severely ill patients
with psychotic and personality disorders. Strategies aimed at increasing the
motivation and preparation of patients before the program begins should be
implemented in order to reduce the high dropout rates.
PMID- 27183514
TI - [In Process Citation].
PMID- 27183513
TI - Marchiafava-Bignami Disease with frontal cortex involvement and late onset, long
lasting psychiatric symptoms: a case report.
AB - AIMS: To describe the case and management of a patient with Marchiafava-Bignami
Disease (MBD) with frontal cortical lesions, no specific symptom at first
referral to the Emergency Room, and late onset of atypical psychiatric symptoms.
METHODS: We report the case of a 44-year-old patient with a history of chronic
alcohol abuse, eventually diagnosed with MBD. RESULTS: Magnetic Resonance showed
lesions in the splenium and the body of corpus callosum and bilateral lesions of
the frontal cortex. The patient showed late-onset atypical psychiatricsymptoms
which were drug resistant. DISCUSSION: The case we describe seems to support the
existing few ones describing cortical involvement in MBD, which suggest that this
is associated with a poorer prognosis. Psychiatric symptoms may be challenging to
treat because of drug resistance. CONCLUSIONS: The involvement of psychiatrists
together with neurologists and radiologists, with a consultation-liaison approach
proved important for the achievement of diagnosis and of the most appropriate
management and treatment for this patient.
PMID- 27183512
TI - Assessment of private security guards by Suicide Probability Scale and Brief
Symptom Inventory.
AB - AIM: The aim of the present study was to investigate the influence of suicide
probability and relevant sociodemographic features and to provide information for
preventing suicide in private security guards working under the stressful
conditions and continuous exposure to the negative and traumatic life events.
METHODS: 200 private security guards and 200 personnels of Ankara University
participated in thestudy. A sociodemographic information questionnaire, the
Suicide Probability Scale (SPS) and the Brief Symptom Inventory (BSI) were used
to collect the data. RESULTS: Gender, marital status, income, religious beliefs,
experiencing a life-threatening situation, history of a suicide attempt, smoking
and not having a chronic disease caused statistically significant differences in
the scores for SPS between the private security guards group and the controls.
Moreover there was a statistically significant positive correlation between the
total scores of the subscales of SPS and the total scores of BSI. CONCLUSIONS:
Like police officers and gendarmes, private security guards are at high risk of
committing andattempting suicide because of being at stressful work settings and
also suffering from secondary trauma. It is required that they should be aware of
their tendency to commit suicide and have regular psychiatric screenings.
PMID- 27183515
TI - Identification of nitrate sources in groundwater using a stable isotope and 3DEEM
in a landfill in Northeast China.
AB - The groundwater was sampled in a typical landfill area of the Northeast China.
Coupled stable isotope and three dimensional excitation-emission matrix (3DEEM)
were applied to dentify diffused NO3(-) inputs in the groundwater in this area.
The results indicated that combined with the feature of groundwater
hydrochemistry and three-dimensional fluorescence technology can effectively
identify the nitrate pollution sources. The nitrate was derived from manure and
sewage by delta(15)N and delta(18)O-NO3(-) values of groundwater in the different
periods. The excitation-emission matrix fluorescence spectroscopy was further
evidence of groundwater DOM mainly which comes from the landfill. The protein
like was very significant at the sampling points near the landfill (SPNL), but
only fulvic acid-like appeared at downstream of the landfill groundwater sampling
points (DLGSP) in the study area. Partial denitrification processes helped to
attenuate nitrate concentration in anaerobic environment.
PMID- 27183516
TI - Alternative method of highway traffic safety analysis for developing countries
using delphi technique and Bayesian network.
AB - Highway traffic accidents all over the world result in more than 1.3 million
fatalities annually. An alarming number of these fatalities occurs in developing
countries. There are many risk factors that are associated with frequent
accidents, heavy loss of lives, and property damage in developing countries.
Unfortunately, poor record keeping practices are very difficult obstacle to
overcome in striving to obtain a near accurate casualty and safety data. In light
of the fact that there are numerous accident causes, any attempts to curb the
escalating death and injury rates in developing countries must include the
identification of the primary accident causes. This paper, therefore, seeks to
show that the Delphi Technique is a suitable alternative method that can be
exploited in generating highway traffic accident data through which the major
accident causes can be identified. In order to authenticate the technique used,
Korea, a country that underwent similar problems when it was in its early stages
of development in addition to the availability of excellent highway safety
records in its database, is chosen and utilized for this purpose. Validation of
the methodology confirms the technique is suitable for application in developing
countries. Furthermore, the Delphi Technique, in combination with the Bayesian
Network Model, is utilized in modeling highway traffic accidents and forecasting
accident rates in the countries of research.
PMID- 27183517
TI - Sample-size guidelines for recalibrating crash prediction models: Recommendations
for the highway safety manual.
AB - The Highway Safety Manual (HSM) prediction models are fitted and validated based
on crash data collected from a selected number of states in the United States.
Therefore, for a jurisdiction to be able to fully benefit from applying these
models, it is necessary to calibrate or recalibrate them to local conditions. The
first edition of the HSM recommends calibrating the models using a one-size-fits
all sample-size of 30-50 locations with total of at least 100 crashes per year.
However, the HSM recommendation is not fully supported by documented studies. The
objectives of this paper are consequently: (1) to examine the required sample
size based on the characteristics of the data that will be used for the
calibration or recalibration process; and, (2) propose revised guidelines. The
objectives were accomplished using simulation runs for different scenarios that
characterized the sample mean and variance of the data. The simulation results
indicate that as the ratio of the standard deviation to the mean (i.e.,
coefficient of variation) of the crash data increases, a larger sample-size is
warranted to fulfill certain levels of accuracy. Taking this observation into
account, sample-size guidelines were prepared based on the coefficient of
variation of the crash data that are needed for the calibration process. The
guidelines were then successfully applied to the two observed datasets. The
proposed guidelines can be used for all facility types and both for segment and
intersection prediction models.
PMID- 27183518
TI - Surveying the Landscape of Ovarian Cancer Research and Care.
PMID- 27183519
TI - Antiblastic drug-induced cardiotoxicity and cardioprotection: a compendium.
PMID- 27183520
TI - Pathophysiology of cardiotoxicity induced by nonanthracycline chemotherapy.
AB - The risk and mechanism of chemotherapy-induced cardiotoxicity (CTX) vary
depending on the type and intensity of the anticancer regimen. Myriad
chemotherapeutic drugs produce adverse cardiovascular effects such as arterial
hypertension, heart failure, and thromboembolic events. Among the numerous
classes of these drugs, anthracyclines have been studied most extensively because
of their overt cardiovascular effects and the high associated incidence of heart
failure. However, CTX might also be caused by other types of chemotherapeutic
agents, including alkylating agents (cyclophosphamide, ifosfamide), platinum
agents, antimetabolites (5-fluorouracil, capecitabine), antibiotics
(mitoxantrone, mitomycin, bleomycin), and antimicrotubule agents (taxanes). Here,
we review the incidence, clinical impact, and potential mechanisms of CTX
associated with nonanthracycline chemotherapy used for cancer patients. The
published data support a marked increase in CTX risk, particularly with certain
drugs such as 5-fluorouracil and cisplatin. Each anticancer regimen is associated
with distinct modes of heart damage, both symptomatic and asymptomatic. However,
the underlying mechanisms of CTX have been established only in a few cases, and
only few nonanthracycline chemotherapeutics (mitoxantrone, mitomycin, ifosfamide)
act through a recognizable mechanism and show a predictable dose dependence.
Lastly, nonanthracycline chemotherapy can induce both chronic lesions, such as
systolic dysfunction, and acute lesions, such as the ischemia that occurs within
hours or days after treatment. An increased understanding of the incidence,
mechanisms, and potential therapeutic targets of CTX induced by various
nonanthracycline chemotherapeutic agents is clearly required.
PMID- 27183521
TI - Pathophysiology of cardiotoxicity from target therapy and angiogenesis
inhibitors.
AB - The progress in cancer therapy and the increase in number of long-term survivors
reveal the issue of cardiovascular side-effects of anticancer drugs.
Cardiotoxicity has become a significant problem, and the risks of adverse cardiac
events induced by systemic drugs need to be seriously considered. Potential
cardiovascular toxicities linked to anticancer agents include arrhythmias,
myocardial ischemia and infarction, hypertension, thromboembolism, left
ventricular dysfunction, and heart failure. It has been shown that several
anticancer drugs seriously affect the cardiovascular system, such as ErbB2
inhibitors, vascular endothelial growth factor (VEGF) inhibitors, multitargeted
kinase inhibitors, Abelson murine leukemia viral oncogene homolog inhibitors, and
others. Each of these agents has a different mechanism through which it affects
the cardiovascular system. ErbB2 inhibitors block the ErbB4/ErbB2
heterodimerization pathway triggered by Neuregulin-1, which is essential for
cardiomyocyte survival. VEGF signaling is crucial for vascular growth, but it
also has a major impact on myocardial function, and the VEGF pathway is also
essential for maintenance of cardiovascular homeostasis. Drugs that inhibit the
VEGF signaling pathway lead to a net reduction in capillary density and loss of
contractile function. Here, we review the mechanisms and pathophysiology of the
most significant cardiotoxic effects of ErbB2 inhibitors and antiangiogenic
drugs. Moreover, we highlight the role of cardioncology in recognizing these
toxicities, developing strategies to prevent or minimize cardiovascular toxicity,
and reducing long-term cardiotoxic effects.
PMID- 27183522
TI - Role of biomarkers in monitoring antiblastic cardiotoxicity.
AB - Early detection of anticancer drug-induced cardiotoxicity (CTX) has been
evaluated by most international scientific cardiology and oncology societies.
High expectations have been placed on the use of specific biomarkers. In recent
years, conventional biomarkers and molecules of more recent interest have been
tested and compared in the context of anticancer drug-related CTX. Encouraging
results were obtained from studies on molecules of myocardial damage, such as
troponin and markers of myocardial wall stress, including circulating natriuretic
peptides, as well as from the assessment of the products of inflammation or
circulating levels of free radicals. However, clear guidelines on their
sensitivity, specificity, and accuracy are not yet available, and many
challenges, such as the optimal time of assessing, optimal schedule for
evaluation, optimal cut-off point for positivity with the highest level of
specificity, and optimal comparability of different assays for the measurements,
remain unresolved. Given the importance of having a reliable and accurate tool
for monitoring anticancer drug-induced CTX, this review will focus on the
available data on the most effective and widely used biomarkers and the studies
that are currently underway that aim to identify the effectiveness of new
approaches in this therapeutic setting.
PMID- 27183523
TI - Pathophysiology of anthracycline cardiotoxicity.
AB - Anthracyclines (ANTs) are powerful drugs that have reduced the mortality of
cancer patients. However, their use is limited by the development of
cardiotoxicity (CTX), which is dose dependent and may lead to left ventricular
dysfunction and heart failure. Although various strategies have been suggested to
reduce the negative effects of ANTs, CTX is still an important unresolved
clinical issue. This may be due at least partly to the incomplete
characterization of the molecular and cellular mechanisms of ANT-induced CTX. In
addition, although various forms of cardiac damage have been demonstrated with
the use of these drugs in experimental studies, it is not yet clear how these
translate to the clinical setting. Appropriate characterization of potential
candidates for ANT-based therapies is essential to decide whether to administer
these drugs. Hopefully, new information from genetic profiling will help to
identify patients who are at high risk of developing CTX.
PMID- 27183524
TI - Cardiovascular imaging in the diagnosis and monitoring of cardiotoxicity: role of
echocardiography.
AB - The evaluation by cardiovascular imaging of chemotherapy patients became a
central topic in the last several years. The use of drugs for the treatment of
cancers increased, and new molecules and protocols were developed to improve
outcomes in these patients. Although, these novel approaches also produced a
progressive increase in side effects, particularly myocardial dysfunction.
Imaging of the heart was highly accurate in the early diagnosis of cancer
therapeutics related-cardiac dysfunction. Echocardiography is the first-line
method to assess ventricular function alterations, and it is required to satisfy
the need for an early, easy and accurate diagnosis to stratify the risk of heart
failure and manage treatments. A careful monitoring of cardiac function during
the course of therapy should prevent the onset of severe heart impairment. This
review provides an overview of the most important findings of the role of
echocardiography in the management of chemotherapy-treated patients to create a
clear and complete description of the efficacy of conventional measurements, the
importance of comprehensive heart evaluations, the additional role of new
echocardiographic techniques, the utility of integrated studies using other
imaging tools and the positions of the most important international societies on
this topic.
PMID- 27183525
TI - Cardiovascular imaging in the diagnosis and monitoring of cardiotoxicity:
cardiovascular magnetic resonance and nuclear cardiology.
AB - Chemotherapy-induced cardiotoxicity (CTX) is a determining factor for the quality
of life and mortality of patients administered potentially cardiotoxic drugs and
in long-term cancer survivors. Therefore, prevention and early detection of CTX
are highly desirable, as is the exploration of alternative therapeutic strategies
and/or the proposal of potentially cardioprotective treatments. In recent years,
cardiovascular imaging has acquired a pivotal role in this setting. Although
echocardiography remains the diagnostic method most used to monitor cancer
patients, the need for more reliable, reproducible and accurate detection of
early chemotherapy-induced CTX has encouraged the introduction of second-line
advanced imaging modalities, such as cardiac magnetic resonance (CMR) and nuclear
techniques, into the clinical setting. This review of the Working Group on Drug
Cardiotoxicity and Cardioprotection of the Italian Society of Cardiology aims to
afford an overview of the most important findings from the literature about the
role of CMR and nuclear techniques in the management of chemotherapy-treated
patients, describe conventional and new parameters for detecting CTX from both
diagnostic and prognostic perspectives and provide integrated insight into the
role of CMR and nuclear techniques compared with other imaging tools and versus
the positions of the most important international societies.
PMID- 27183527
TI - Preventing antiblastic drug-related cardiomyopathy: old and new therapeutic
strategies.
AB - Because of the recent advances in chemotherapeutic protocols, cancer survival has
improved significantly, although cardiovascular disease has become a major cause
of morbidity and mortality among cancer survivors: in addition to the well-known
cardiotoxicity (CTX) from anthracyclines, biologic drugs that target molecules
that are active in cancer biology also interfere with cardiovascular
homeostasis.Pharmacological and non-pharmacological strategies to protect the
cardiovascular structure and function are the best approaches to reducing the
prevalence of cardiomyopathy linked to anticancer drugs. Extensive efforts have
been devoted to identifying and testing strategies to achieve this end, but
little consensus has been reached on a common and shared operability.Timing, dose
and mode of chemotherapy administration play a crucial role in the development of
acute or late myocardial dysfunction. Primary prevention initiatives cover a wide
area that ranges from conventional heart failure drugs, such as beta-blockers and
renin-angiotensin-aldosterone system antagonists to nutritional supplementation
and physical training. Additional studies on the pathophysiology and cellular
mechanisms of anticancer-drug-related CTX will enable the introduction of novel
therapies.We present various typologies of prevention strategies, describing the
approaches that have already been used and those that could be effective on the
basis of a better understanding of pharmacokinetic and pharmacodynamic CTX
mechanisms.
PMID- 27183526
TI - Cardiotoxicity from anthracycline and cardioprotection in paediatric cancer
patients.
AB - Notwithstanding the steady progress in survival rates of children and adolescents
suffering from cancer, the benefits associated with chemotherapy do not come
without risks involving multiple organs and systems, including the cardiovascular
apparatus. Anthracyclines-often administered in combination with radiation
therapy and/or surgery-are the most used chemotherapeutic compounds in order to
treat tumours and blood malignancies even in paediatric age. Being an important
side-effect of anthracyclines, carduitoxicity may limit their efficacy during the
treatment and induce long-term sequelae, observed even many years after therapy
completion. The purpose of this review was to perform an overview about all the
possible strategies to prevent and/or limit the anthracyclines adverse side
effects for the cardiovascular system in childhood cancer survivors.
PMID- 27183528
TI - Novel insights in pathophysiology of antiblastic drugs-induced cardiotoxicity and
cardioprotection.
AB - Despite advances in supportive and protective therapy for myocardial function,
heart failure caused by various clinical conditions, including cardiomyopathy due
to antineoplastic therapy, remains a major cause of morbidity and mortality.
Because of the limitations associated with current therapies, investigators have
been searching for alternative treatments that can effectively repair the damaged
heart and permanently restore its function. Damage to the heart can result from
both traditional chemotherapeutic agents, such as anthracyclines, and new
targeted therapies, such as trastuzumab. Because of this unresolved issue,
investigators are searching for alternative therapeutic strategies. In this
article, we present state-of-the-art technology with regard to the genomic and
epigenetic mechanisms underlying cardiotoxicity and cardioprotection, the role of
anticancer in influencing the redox (reduction/oxidation) balance and the
function of stem cells in the repair/regeneration of the adult heart. These
findings, although not immediately transferable to clinical applications, form
the basis for the development of personalized medicine based on the prevention of
cardiotoxicity with the use of genetic testing. Proteomics, metabolomics and
investigations on reactive oxygen species-dependent pathways, particularly those
that interact with the production of NO and energy metabolism, appear to be
promising for the identification of early markers of cardiotoxicity and for the
development of cardioprotective agents. Finally, autologous cardiac stem and
progenitor cells may represent future contributions in the field of myocardial
protection and recovery in the context of antiblastic therapy.
PMID- 27183531
TI - Acute Myeloblastic Leukemia in the Elderly: How Could Palliative Care Teams and
Hematologists Better Work Together?
PMID- 27183529
TI - A recommended practical approach to the management of anthracycline-based
chemotherapy cardiotoxicity: an opinion paper of the working group on drug
cardiotoxicity and cardioprotection, Italian Society of Cardiology.
AB - Anthracyclines are the mainstay of treatment of a variety of haematological
malignancies and solid tumours. Unfortunately, the clinical use of these drugs is
limited by cumulative, dose-related cardiotoxicity which may ultimately lead to a
severe and irreversible form of cardiomyopathy. Thus, there is an increasing need
for close cooperation among cardiologists, oncologists and haemato-oncologists.
As anthracyclines save lives, the logical goal of this cooperation, besides
preventing or mitigating cardiotoxicity, is to promote an acceptable balance
between the potential cardiac side effects and the vital benefit of anticancer
treatment. This manuscript, which is specifically addressed to the cardiologist
who has not accumulated much experience in the field of cancer therapy, focuses
on several topics, that is old and new mechanisms of cardiac toxicity, late
cardiac toxicity, the importance of overall risk assessment, the key role of a
cardiology consult before starting cancer therapy, and the pros and cons of
primary and secondary prevention programmes.
PMID- 27183530
TI - A recommended practical approach to the management of target therapy and
angiogenesis inhibitors cardiotoxicity: an opinion paper of the working group on
drug cardiotoxicity and cardioprotection, Italian Society of Cardiology.
AB - The US National Cancer Institute estimates that cardiotoxicity (CTX) from target
therapy refers mostly to four groups of drugs: epidermal growth factor receptor 2
inhibitors, angiogenic inhibitors, directed Abelson murine leukemia viral
oncogene homolog inhibitors, and proteasome inhibitors. The main cardiotoxic side
effects related to antiepidermal growth factor receptor 2 therapy are left
ventricular systolic dysfunction and heart failure. Angiogenesis inhibitors are
associated with hypertension, left ventricular dysfunction/heart failure,
myocardial ischemia, QT prolongation, and thrombosis. Moreover, other agents may
be related to CTX induced by treatment. In this study, we review the guidelines
for a practical approach for the management of CTX in patients under anticancer
target therapy.
PMID- 27183532
TI - Health Status and Risk Behaviors of Sexual Minorities Among Chinese Adolescents:
A School-Based Survey.
AB - This study aimed to examine the association between sexual orientation and health
disparities among a stratified random sample of 3776 secondary students in Hong
Kong. The prevalence of homosexuality and bisexuality were 1.5% and 2.6% in boys
and 1.8% and 3.7% in girls, respectively. A total of 10.7% of boys and 8.8% of
girls were unsure of their sexual orientation. Homosexual and bisexual boys
reported poorer physical and mental health than their heterosexual peers.
Homosexual and bisexual boys were more likely to engage in smoking, frequent
drinking, and vaginal sex and be subjected to sexually transmitted disease and
sexual victimization. However, lesbian and bisexual girls were less likely to
engage in risky health behaviors except for smoking and being subjected to sexual
victimization. There is a gender-specific problem that may warrant prevention and
intervention programs to address the unique health issues facing homosexual and
bisexual adolescents in Hong Kong.
PMID- 27183533
TI - The "Black Box" of Racial Disparities in Asthma.
PMID- 27183534
TI - Hydrogen sulfide activates TRPA1 and releases 5-HT from epithelioid cells of the
chicken thoracic aorta.
AB - Epithelioid cells in the chicken thoracic aorta are chemoreceptor cells that
release 5-HT in response to hypoxia. It is likely that these cells play a role in
chemoreception similar to that of glomus cells in the carotid bodies of mammals.
Recently, H2S was reported to be a key mediator of carotid glomus cell responses
to hypoxia. The aim of the present study was to reveal the mechanism of action of
H2S on 5-HT outflow from chemoreceptor cells in the chicken thoracic aorta. The 5
HT outflow induced by NaHS, an H2S donor, and Na2S3, a polysulfide, was measured
by using a HPLC equipped with an electrochemical detector. NaHS (0.3-3mM) caused
a concentration-dependent increase in 5-HT outflow, which was significantly
inhibited by the removal of extracellular Ca(2+). 5-HT outflow induced by NaHS
(0.3mM) was also significantly inhibited by voltage-dependent L- and N-type
Ca(2+) channel blockers and a selective TRPA1 channel blocker. Cinnamaldehyde, a
TRPA1 agonist, mimicked the secretory response to H2S. 5-HT outflow induced by
Na2S3 (10MUM) was also inhibited by the TRPA1 channel blocker. Furthermore, the
expression of TRPA1 was localized to 5-HT-containing chemoreceptor cells in the
aortic wall. These findings suggest that the activation of TRPA1 and voltage
dependent Ca(2+) channels is involved in H2S-evoked 5-HT release from
chemoreceptor cells in the chicken aorta.
PMID- 27183535
TI - Fast generation model of high density surface EMG signals in a cylindrical
conductor volume.
AB - In the course of the last decade, fast and qualitative computing power
developments have undoubtedly permitted for a better and more realistic modeling
of complex physiological processes. Due to this favorable environment, a fast,
generic and reliable model for high density surface electromyographic (HD-sEMG)
signal generation with a multilayered cylindrical description of the volume
conductor is presented in this study. Its main peculiarity lies in the generation
of a high resolution potential map over the skin related to active Motor Units
(MUs). Indeed, the analytical calculus is fully performed in the frequency
domain. HD-sEMG signals are obtained by surfacic numerical integration of the
generated high resolution potential map following a variety of electrode shapes.
The suggested model is implemented using parallel computing techniques as well as
by using an object-oriented approach which is comprehensive enough to be fairly
quickly understood, used and potentially upgraded. To illustrate the model
abilities, several simulation analyses are put forward in the results section.
These simulations have been performed on the same muscle anatomy while varying
the number of processes in order to show significant speed improvement. Accuracy
of the numerical integration method, illustrating electrode shape diversity, is
also investigated in comparison to analytical transfer functions definition. An
additional section provides an insight on the volume detection of a circular
electrode according to its radius. Furthermore, a large scale simulation is
introduced with 300MUs in the muscle and a HD-sEMG electrode grid composed of
16*16 electrodes for three constant isometric contractions in 12s. Finally,
advantages and limitations of the proposed model are discussed with a focus on
perspective works.
PMID- 27183536
TI - A longitudinal study of self-control at the transition to secondary school:
Considering the role of pubertal status and parenting.
AB - Higher self-control in children and adolescents is associated with a range of
positive outcomes in adulthood. However, little is known about the naturalistic
development of self-control during early adolescence and the factors that affect
this. We examined the role of puberty and parenting style as theoretically
important influences on stability and change in self-control. A longitudinal (3
waves), multiple-informant dataset of children entering early adolescence (M = 11
years) was used to explore longitudinal change in self-control using latent
growth curve modelling. Children's self-control declined during the one-year
study period and declines were associated with children's behavioural and social
functioning. Associations with self-control were found for pubertal status and
parental warmth and hostility, but not for parental discipline. The findings
suggest that during early adolescence, when children make the transition to
secondary school, self-control declines. This is particularly the case for those
experiencing puberty earlier than their peers. Parent warmth influences the
trajectory of self-control during this period.
PMID- 27183537
TI - The Impact of an Ostomy on the Sexual Lives of Persons With Stomas: A
Phenomenological Study.
AB - PURPOSE: The purpose of this study was to describe the lived experiences of
persons with stomas related to sexual function and perceptions and their
expectations of the ostomy nurses who care for them. DESIGN: Qualitative,
phenomenological study. SUBJECTS AND SETTING: Fourteen persons living with an
ostomy for least 2 months participated in the study. Data collection occurred at
the ostomy and wound care unit at Dokuz Eylul University Hospital in Izmir,
Turkey. METHODS: Data were collected using an in-depth interview method.
Interviews lasted from 20 to 60 minutes and were audiotaped. These recordings
were transcribed and subjected to content analysis. RESULTS: Five themes emerged
from the unstructured interviews: (1) changes in sexual life; (2) changes in body
image; (3) fear and anxiety experienced during sexual intercourse; (4)
psychological impact of sexual problems; and (5) expectations concerning sexual
counseling from ostomy nurses. CONCLUSIONS: Study findings suggest that persons
with ostomies experience changes in their body image, along with a decrease in
sexual desire. Respondents described avoiding sexual intercourse, and abstained
from sleeping with their partners. Male respondents described erectile
dysfunction, and female respondents reported pain during sexual intercourse
(dyspareunia). Participants stated that they did not feel adequately informed
about these problems and desired to receive more information and support from
ostomy nurses regarding sexual issues. Based on these findings, we recommend that
ostomy nurses provide more counseling concerning sexual function and challenges
following ostomy surgery.
PMID- 27183538
TI - In Vivo Dual Fluorescence Imaging to Detect Joint Destruction.
AB - Diagnosis of cartilage damage in early stages of arthritis is vital to impede the
progression of disease. In this regard, considerable progress has been made in
near-infrared fluorescence (NIRF) optical imaging technique. Arthritis can
develop due to various mechanisms but one of the main contributors is the
production of matrix metalloproteinases (MMPs), enzymes that can degrade
components of the extracellular matrix. Especially, MMP-1 and MMP-13 have main
roles in rheumatoid arthritis and osteoarthritis because they enhance collagen
degradation in the process of arthritis. We present here a novel NIRF imaging
strategy that can be used to determine the activity of MMPs and cartilage damage
simultaneously by detection of exposed type II collagen in cartilage tissue. In
this study, retro-orbital injection of mixed fluorescent dyes, MMPSense 750 FAST
(MMP750) dye and Alexa Fluor 680 conjugated monoclonal mouse antibody immune
reactive to type II collagen, was administered in the arthritic mice. Both dyes
were detected with different intensity according to degree of joint destruction
in the animal. Thus, our dual fluorescence imaging method can be used to detect
cartilage damage as well as MMP activity simultaneously in early stage arthritis.
PMID- 27183539
TI - 13th Congress of the European Society for Pediatric Dermatology (ESPD), May 26
28, Paris, France at Maison de la Mutualite.
PMID- 27183541
TI - Laboratory diagnosis of thalassemia.
AB - The thalassemias can be defined as alpha- or beta-thalassemias depending on the
defective globin chain and on the underlying molecular defects. The recognition
of carriers is possible by hematological tests. Both alpha- and beta-thalassemia
carriers (heterozygotes) present with microcytic hypochromic parameters with or
without mild anemia. Red cell indices and morphology followed by separation and
measurement of Hb fractions are the basis for identification of carriers. In
addition, iron status should be ascertained by ferritin or zinc protoporphyrin
measurements and the iron/total iron-binding capacity/saturation index. Mean
corpuscular volume and mean corpuscular hemoglobin are markedly reduced (mean
corpuscular volume: 60-70 fl; MCH: 19-23 pg) in beta-thalassemia carriers,
whereas a slight to relevant reduction is usually observed in alpha-carriers.
HbA2 determination is the most decisive test for beta-carrier detection although
it can be disturbed by the presence of delta-thalassemia defects. In alpha
thalassemia, HbA2 can be lower than normal and it assumes significant value when
iron deficiency is excluded. Several algorithms have been introduced to
discriminate from thalassemia carriers and subjects with iron-deficient anemia;
because the only discriminating parameter is the red cell counts, these formulas
must be used consciously. Molecular analysis is not required to confirm the
diagnosis of beta-carrier, but it is necessary to confirm the alpha-thalassemia
carrier status. The molecular diagnosis is essential to predict severe
transfusion-dependent and intermediate-to-mild non-transfusion-dependent cases.
DNA analysis on chorionic villi is the approach for prenatal diagnosis and the
methods are the same used for mutations detection, according to the laboratory
facilities and expertise.
PMID- 27183540
TI - Prevalence, virulence and antibiotic susceptibility of Salmonella spp. strains,
isolated from beef in Greater Tunis (Tunisia).
AB - The aim of this work was to investigate the presence of Salmonella spp. in 300
beef meat samples collected from cattle carcasses of different categories (young
bulls, culled heifers and culled cows). The detection of Salmonella spp. was
performed by the alternative VIDAS Easy Salmonella technique and confirmed by PCR
using Salmonella specific primers. Salmonella serotypes were determined by slide
agglutination tests. The resistance to 12 antibiotics was determined by the
diffusion method on Mueller-Hinton agar antibiotic discs. The overall
contamination rate of beef by Salmonella spp. was 5.7% (17/300). This rate varied
from naught (0/100) in bulls' meat to 14% (14/100) in culled cows' meat
(p<0.001). The prevalence of Salmonella spp. was higher in summer and in cattle
with digestive disorders: chronic gastroenteritis (6/17), traumatic peritonitis
(3/17) and intestinal obstruction (2/17) (p<0.0001). Of the 17 Salmonella
isolates, 6 serotypes were identified, namely Salmonella Montevideo (8/17),
Salmonella Anatum (3/17), Salmonella Minnesota (2/17), Salmonella Amsterdam
(2/17), Salmonella Kentucky (1/17) and Salmonella Brandenburg (1/17) (p<0.05).
Unlike other serotypes, S. Montevideo was present during the whole year except
winter. Almost all of the strains (16/17) were resistant to at least one of the
12 tested antibiotics. Multidrug-resistance concerned 14/17 of the strains,
including Amoxicillin (13/17), Tetracycline (12/17), Streptomycin (10/17) and
Nalidixic acid (6/17). All the strains were sensitive to the association
(Amoxicillin+Clavulanic acid), Cefoxitin and Ceftazidime. In addition, our study
showed that all Salmonella strains (17) were positive for invasion gene invA and
negative for the virulence gene spvC. Only one isolate (S. Kentucky) harbored the
h-li virulence gene.
PMID- 27183542
TI - Retinal Toxicity Associated With MEK Inhibitor Use for Metastatic Cancer: A
Rising Trend in Ophthalmology.
PMID- 27183543
TI - Focal Nonperfusion of Deep Retinal Capillary Plexus in Eyes With Epiretinal
Membranes Revealed by Optical Coherence Tomography Angiography.
AB - BACKGROUND AND OBJECTIVE: To evaluate the leakage pattern in eyes with idiopathic
epiretinal membranes (ERM) using fluorescein angiography (FA) and the structure
of the retinal capillary plexus with optical coherence tomography angiography
(OCTA). PATIENTS AND METHODS: The authors enrolled patients with idiopathic
macular ERM in the consecutive case series study. All patients were evaluated
using FA at the central macula area and OCTA at the central 3 mm * 3 mm. RESULTS:
Six patients (seven eyes) with ERM completed this preliminary study. The authors
examined five eyes that showed increased macular thickness without a lamellar
hole and two eyes that showed combined ERM with a lamellar hole. In the five eyes
with ERM alone, the irregular focal hypofluorescent areas in FA corresponded to
the locations of absent or low flow signals within vasculatures in the deep
retinal capillary plexus seen in OCTA, whereas most of the superficial retinal
capillary plexus was not affected, except vascular tortuosity in OCTA. In the two
eyes combined with lamellar hole, OCTA showed central cystic lesions without
vasculatures, but no low flow signals within vasculatures in the deep retinal
capillary plexus beyond the hole. These focal irregular, absent, or low flow
signals within vasculatures in the deep retinal plexus improved 1 month after
surgical removal of the ERM in one eye. CONCLUSION: Mechanical stress exerted
from the ERM might affect deep retinal capillary flow more profoundly than the
superficial capillary flow, which would account for the fluorescence changes seen
in FA. [Ophthalmic Surg Lasers Imaging Retina. 2016;47:404-409.].
PMID- 27183544
TI - Application of Novel Software Algorithms to Spectral-Domain Optical Coherence
Tomography for Automated Detection of Diabetic Retinopathy.
AB - BACKGROUND AND OBJECTIVE: To present novel software algorithms applied to
spectral-domain optical coherence tomography (SD-OCT) for automated detection of
diabetic retinopathy (DR). PATIENTS AND METHODS: Thirty-one diabetic patients (44
eyes) and 18 healthy, nondiabetic controls (20 eyes) who underwent volumetric SD
OCT imaging and fundus photography were retrospectively identified. A retina
specialist independently graded DR stage. Trained automated software generated a
retinal thickness score signifying macular edema and a cluster score signifying
microaneurysms and/or hard exudates for each volumetric SD-OCT. RESULTS: Of 44
diabetic eyes, 38 had DR and six eyes did not have DR. Leave-one-out cross
validation using a linear discriminant at missed detection/false alarm ratio of
3.00 computed software sensitivity and specificity of 92% and 69%, respectively,
for DR detection when compared to clinical assessment. CONCLUSION: Novel software
algorithms applied to commercially available SD-OCT can successfully detect DR
and may have potential as a viable screening tool for DR in future. [Ophthalmic
Surg Lasers Imaging Retina. 2016;47:410-417.].
PMID- 27183545
TI - Quantitative Assessment of Optic Nerve Changes in Patients With Diabetic Macular
Edema Treated With Fluocinolone Acetonide Vitreous Implants.
AB - BACKGROUND AND OBJECTIVE: To evaluate glaucomatous changes in patients with
diabetic macular edema (DME) treated with intravitreal implants releasing 0.2
ug/day or 0.5 ug/day fluocinolone acetonide (FAc) (Iluvien 0.2 ug/day; Alimera
Sciences, Alpharetta, GA) or sham control. PATIENTS AND METHODS: Fundus
photographs were assessed to determine clinically significant changes in
glaucomatous indicators. RESULTS: The mean cup-to-disc ratio (CDR) change was
similar with all three treatments. Compared with sham control, a significantly
greater proportion of patients treated with 0.5 ug/day but not 0.2 ug/day FAc
experienced a CDR increase of greater than 0.1. There was no significant increase
in the proportion of patients experiencing a CDR increase of greater than 0.2
with either dose of implant versus sham control. Other indicators of glaucomatous
change did not differ significantly with treatment. Subgroup analyses showed no
differences in cupping based on ocular or baseline characteristics. CONCLUSION:
Treatment with FAc for 36 months was not associated with significant glaucomatous
optic nerve head changes in patients with DME with or without increased
intraocular pressure. [Ophthalmic Surg Lasers Imaging Retina. 2016;47:418-425.].
PMID- 27183547
TI - Comparative Evaluation of Retinal Nerve Fiber Layer Thickness After Conventional
Brilliant Blue Assisted Internal Limiting Membrane Peeling Versus Brilliant Blue
Selective Staining Using Whole Blood in Macular Hole Surgery.
AB - BACKGROUND AND OBJECTIVE: To evaluate retinal nerve fiber layer (RNFL) thickness
after conventional brilliant blue (BB) assisted macular hole (MH) surgery versus
BB selective staining using whole blood (WB) in MH surgery. PATIENTS AND METHODS:
Sixty eyes with stage 4 idiopathic MH with a clear media were randomly divided
into two equal groups. Group A eyes underwent sequential intraoperative use of
autologous heparinized WB followed by BB dye for staining internal limiting
membrane, whereas eyes in group B were subjected to conventional BB staining.
Clinical examination and spectral-domain optical coherence tomography was done
preoperatively and postoperatively up to 6 months. RESULTS: Mean global RNFL
thickness and mean temporal RNFL thickness decreased in both groups
postoperatively, but the reduction in RNFL thickness in group B was greater than
group A at all postoperative visits (P < .05). CONCLUSION: BB toxicity may be
responsible for reduction of RNFL thickness and WB appears to protect RNFL
against dye toxicity. [Ophthalmic Surg Lasers Imaging Retina. 2016;47:436-442.].
PMID- 27183548
TI - Treatment of Coats' Disease With Combination Therapy of Intravitreal Bevacizumab,
Laser Photocoagulation, and Sub-Tenon Corticosteroids.
AB - BACKGROUND AND OBJECTIVE: The authors investigate the efficacy of combination
treatment with laser photocoagulation, intravitreal bevacizumab (IVB) (Avastin;
Genentech, South San Francisco, CA), and sub-Tenon corticosteroids in Coats'
disease. PATIENTS AND METHODS: Patient records at the Bascom Palmer Eye Institute
were reviewed from August 2002 to January 2014. Primary outcome measures were
anatomic success, globe salvage, and final visual acuity. RESULTS: Of the 26
patients (26 eyes) reviewed, 20 patients had stage 3A2, four patients had stage
3A1, and two patients had stage 2A. Mean follow-up time was 2.26 years. The
median number of applications was five and three for laser and IVB, respectively.
Five patients received sub-Tenon triamcinolone injections. Global salvage was
achieved in all patients. Final visual acuity outcomes were reasonable: 20/20 to
20/50 in five patients, 20/60 to 20/100 in five patients, and 20/200 or less in
16 patients. CONCLUSION: Intravitreal injections of bevacizumab used in
combination with repetitive laser photocoagulation therapy yielded anatomic
success, global salvage, and reasonable visual acuity outcomes. [Ophthalmic Surg
Lasers Imaging Retina. 2016;47:443-449.].
PMID- 27183546
TI - Characterization of Intraocular Pressure Increases and Management Strategies
Following Treatment With Fluocinolone Acetonide Intravitreal Implants in the FAME
Trials.
AB - BACKGROUND AND OBJECTIVE: To compare elevated intraocular pressure (IOP)
management and outcomes among patients with diabetic macular edema who received
fluocinolone acetonide (FAc) implants versus sham-control treatment and explore
the prior ocular steroid exposure impact on IOP outcomes. PATIENTS AND METHODS:
Best-corrected visual acuity (BCVA) was measured using Early Treatment Diabetic
Retinopathy Study charts or electronic VA testers. Goldmann applanation tonometry
was used to measure IOP. RESULTS: Elevated IOP was more common in FAc-versus sham
control-treated patients. Medication, and less often trabeculoplasty or surgery,
was used to lower IOP without affecting VA outcomes. No patient treated with 0.2
ug/day FAc who received prior ocular steroid required IOP-lowering surgery.
CONCLUSION: Elevated IOP may occur following FAc implant receipt; however, in the
present study, it was manageable and did not impact vision outcomes. Patients
previously treated with ocular steroid did not require IOP-lowering surgery
following 0.2 ug/day FAc implant administration. [Ophthalmic Surg Lasers Imaging
Retina. 2016;47:426-435.].
PMID- 27183549
TI - Choroidal Neovascularization Associated With Birdshot Chorioretinopathy.
AB - BACKGROUND AND OBJECTIVE: Patients with birdshot chorioretinopathy (BCR) may
develop visual compromise due to choroidal neovascularization (CNV), and few
series address management strategies in the anti-vascular endothelial growth
factor (VEGF) era. The purpose of this study was to describe the clinical
outcomes of combination anti-VEGF and immunosuppressive therapy for CNV
associated with BCR. PATIENTS AND METHODS: Retrospective, interventional case
series. Patients with BCR from two tertiary uveitis and retina practices were
reviewed. Patients with CNV in association with BCR were identified and reviewed
in detail. Clinical features, treatments utilized (ie, anti-VEGF injections,
immunosuppressive therapy), and functional and structural outcomes over long-term
follow-up were recorded. Outcomes measured included Snellen visual acuity,
spectral-domain optical coherence tomography macular thickness during treatment,
number and type of anti-VEGF injections, the need for initiation or escalation of
immunosuppression, and incidence of CNV in macula-involved versus macula-sparing
BCR. RESULTS: Four of 36 BCR patients were diagnosed with choroidal
neovascularization (11%). Identification of CNV in all patients prompted
treatment with intravitreal anti-VEGF injections and an increase or initiation of
local or systemic immunosuppression. Mean Snellen visual acuity improved from
20/60 to 20/30 at final follow-up (P = .02). Mean central subfield thickness
improved from 443 MU to 254 MU (P = .04). CNV in association with BCR occurred at
a rate of 0.11 events per patient-year (95% CI, 0.02-0.31) in macula-involved BCR
versus zero events/patient-year in macula-spared BCR (95% CI, 0-0.058; P = .009).
CONCLUSION: Anti-VEGF therapy was effective for the treatment of CNV in BCR
patients. A combination of systemic or local immunosuppression and anti-VEGF
therapy may be useful in the management of CNV associated with BCR. [Ophthalmic
Surg Lasers Imaging Retina. 2016;47:450-457.].
PMID- 27183550
TI - Factors Associated With Poor Response to Aflibercept After Switching From
Ranibizumab or Bevacizumab in Neovascular Age-related Macular Degeneration.
AB - BACKGROUND AND OBJECTIVE: The purpose of this study was to analyze demographic
and ocular features of patients with age-related macular degeneration who failed
aflibercept (Eylea; Regeneron, Tarrytown, NY) treatment after switching from
ranibizumab (Lucentis; Genentech, South San Francisco, CA) or bevacizumab
(Avastin; Genentech, South San Francisco, CA). PATIENTS AND METHODS:
Retrospective chart review of patients treated with aflibercept at the Byers Eye
Institute from November 2011 to August 2014. Patient visual acuity was noted
prior to aflibercept; after 1, 3, and 12 months; and on the most recent visit.
Patients who improved vision after switching were compared to patients who lost
vision. Demographic and imaging features were analyzed using univariate and
multivariate statistics. RESULTS: Patients who lost vision had significantly
higher BMI (P = .013, multivariate) and geographic atrophy (P = .0381,
univariate; P = .1, multivariate) compared to patients who improved vision.
CONCLUSION: BMI and geographic atrophy may be considered as potential indicators
for poor response to aflibercept after switching from ranibizumab or bevacizumab.
[Ophthalmic Surg Lasers Imaging Retina. 2016;47:458-465.].
PMID- 27183552
TI - Ultra Wide-Field Indocyanine Green Angiogram Highlights Choroidal Perfusion Delay
Secondary to Giant Cell Arteritis.
AB - Vision loss in giant cell arteritis (GCA) often presents as anterior ischemic
optic neuropathy and central retinal artery occlusion. Previous studies have
established an acute delay in choroidal perfusion on fluorescein angiography (FA)
as a classic sign of GCA. The authors present a unique imaging case report of GCA
where ultra wide-field (UWF) indocyanine green angiography (ICGA) offers improved
characterization of delayed choroidal perfusion compared to FA. Routine use of
ICGA, particularly UWF imaging, in patients with suspected GCA should be studied
in a larger cohort to determine whether it may improve detection of choroidal
perfusion delay. [Ophthalmic Surg Lasers Imaging Retina. 2016;47:471-473.].
PMID- 27183551
TI - Spectral-Domain Optical Coherence Tomography Angiography of Central Retinal
Artery Occlusion.
AB - The authors report the use of optical coherence tomography angiography (OCTA) to
determine retinal blood flow in a patient with central retinal artery occlusion
(CRAO). Spectral-domain OCTA (SD-OCTA) was performed on an eye with CRAO. En face
vascular images were constructed using an optical microangiography algorithm. The
retinal vasculature was clearly imaged with high fidelity; areas of perfused
retina were identified with exquisite detail. This study supports use of OCTA in
diagnosing and monitoring CRAOs. Future research is warranted to recognize full
potential of this imaging modality. [Ophthalmic Surg Lasers Imaging Retina.
2016;47:467-470.].
PMID- 27183553
TI - Reduction of Diabetic Macular Edema in the Untreated Fellow Eye Following
Intravitreal Injection of Aflibercept.
AB - A 59-year-old patient with bilateral worsening diabetic macular edema received
intravitreal injection of aflibercept (Eylea; Regeneron, Tarrytown, NY) to the
left eye only. On 1-month follow-up, there was noted bilateral improvement of
visual acuity and diabetic macular edema on spectral-domain optical coherence
tomography imaging, reflecting bilateral effect of unilateral treatment with
aflibercept. [Ophthalmic Surg Lasers Imaging Retina. 2016;47:474-476.].
PMID- 27183554
TI - Progressive Release of Vitreomacular Traction With Aflibercept.
AB - A patient with combined diabetic macular edema (DME) and vitreomacular traction
(VMT) was treated with a series of intravitreal aflibercept (Eylea; Regeneron,
Tarrytown, NY) injections. The VMT progressively released during the course of
the five intravitreal injections. This release may have been spontaneous, due to
a nonspecific mechanical effect from the injections, or due to a pharmacologic
effect from the aflibercept. While treating DME, anti-vascular endothelial growth
factor agents may have an additional benefit in releasing VMT. [Ophthalmic Surg
Lasers Imaging Retina. 2016;47:477-481.].
PMID- 27183555
TI - A Rare Cause of Retinal Artery Occlusion in Severe Hypernatremic Dehydration in
Newborns.
AB - Neonatal hypernatremia is an important electrolyte disorder that may have serious
complications. It may be a rare and underdiagnosed cause of venous and arterial
thrombosis, leading to severe brain damage by cerebral edema and intracranial
hemorrhage. Here, the authors present a case of bilateral central retinal artery
occlusion in a newborn with severe hypernatremic dehydration who is found to be
normal in terms of other causes of retinal arterial thromboembolization.
[Ophthalmic Surg Lasers Imaging Retina. 2016;47:482-485.].
PMID- 27183556
TI - Expansion of Severely Constricted Visual Field Using Google Glass.
AB - Google Glass (Google, Mountain View, CA) is a wearable technology with a computer
and camera mounted on an eyeglass frame. The camera captures wide-angle video and
projects it onto a prism located in the right superior temporal quadrant of the
wearer's visual field. The authors present a case of an individual who used
Google Glass' video projection feature to expand his severely constricted right
visual field. This patient reported improved ambulatory navigation. Using Google
Glass, the patient's peripheral vision, measured using Goldmann kinetic
perimetry, expanded impressively. Based on these preliminary results, the authors
propose further characterization on the potential utility of such head-mount
display technology as a tool to improve the lives of patients with severely
constricted visual fields. [Ophthalmic Surg Lasers Imaging Retina. 2016;47:486
489.].
PMID- 27183557
TI - Current Practice Patterns for Treatment of Retinopathy of Prematurity.
AB - To evaluate current practice patterns for the treatment of retinopathy of
prematurity (ROP) and characterize factors influencing clinical decisions, a
database of all ophthalmologists subspecializing in pediatrics and retina was
compiled from membership directories of subspecialty societies and academic
institutions in the United States. A web-based survey was emailed to these
subspecialists to obtain information regarding demographics, treatment practices,
and preferences in hypothetical clinical scenarios. From 2,977 retina and
pediatric ophthalmology subspecialists surveyed, 302 self-reported as treating
ROP, of whom 56% reported having performed intra-vitreal anti-VEGF injection for
ROP. Anti-vascular endothelial growth factor (VEGF) injection was the initial
treatment for posterior type 1 high risk ROP preferred by the majority of
surveyed ROP treaters, whereas 66% reported uncertainty regarding long-term side
effects as the largest barrier to its use. Geographic practice location was
associated with anti-VEGF use (P = .019). Anti-VEGF injection as ROP therapy was
preferred in some scenarios in our sample. Concerns regarding potential anti-VEGF
side effects warrant further investigation. CLINICAL IMPLICATIONS: Intravitreal
anti-VEGF injection was chosen as the initial therapeutic option by the majority
of ROP treatment providers for posterior type 1 ROP in this survey that assessed
treatment preferences for a range of clinical scenarios. Uncertainty regarding
long-term side effects was the largest reported barrier to use of anti-VEGF for
ROP treatment. [Ophthalmic Surg Lasers Imaging Retina. 2016;47:491-495.].
PMID- 27183558
TI - Choroidal Metastases From Cutaneous Melanoma.
AB - A 92-year-old man presented with months of progressive blurry vision, worsening
acutely in his right eye. He denied pain, diplopia, or photopsias. His history
was significant for multiple myeloma, prostate cancer, and malignant melanoma of
his right shoulder treated with local excision. He had local recurrence with
hepatic metastasis of the melanoma treated with radiation and chemotherapy. On
examination, his visual acuity was counting fingers in the right eye and 20/60 in
the left eye. Amsler grid testing demonstrated metamorphopsia in the right eye.
Fundus exam of the right and left eyes revealed multiple, elevated, pigmented
choroidal lesions, with associated subretinal fluid in the right macula. This
appearance is consistent with hematogenous metastasis of cutaneous malignant
melanoma to the choroid and associated serous fluid-causing metamorphopsia. The
patient was enrolled in a clinical trial combining plasmid IL-12 with
pembrolizumab (Keytruda; Merck, Whitehouse Station, NJ). He passed away 2 months
after initial presentation to our clinic. [Ophthalmic Surg Lasers Imaging Retina.
2016;47:497.].
PMID- 27183559
TI - 8 Questions with OSLIR.
PMID- 27183560
TI - Eric Lander and David Botstein on Mapping Quantitative Traits.
PMID- 27183561
TI - Meuwissen et al. on Genomic Selection.
PMID- 27183562
TI - Genetic Time Travel.
AB - At its core, genetics is a historical discipline. Mutations are passed on from
generation to generation and accumulate as a result of chance as well as of
selection within and between populations and species. However, until recently,
geneticists were confined to the study of present-day genetic variation and could
only indirectly make inferences about the historical processes that resulted in
the variation in present-day gene pools. This "time trap" has now been overcome
thanks to the ability to analyze DNA extracted from ancient remains, and this is
about to revolutionize several aspects of genetics.
PMID- 27183563
TI - Biochemical Genetics and Molecular Biology: The Contributions of George Beadle
and Edward Tatum.
PMID- 27183564
TI - Sleep and Development in Genetically Tractable Model Organisms.
AB - Sleep is widely recognized as essential, but without a clear singular function.
Inadequate sleep impairs cognition, metabolism, immune function, and many other
processes. Work in genetic model systems has greatly expanded our understanding
of basic sleep neurobiology as well as introduced new concepts for why we sleep.
Among these is an idea with its roots in human work nearly 50 years old: sleep in
early life is crucial for normal brain maturation. Nearly all known species that
sleep do so more while immature, and this increased sleep coincides with a period
of exuberant synaptogenesis and massive neural circuit remodeling. Adequate sleep
also appears critical for normal neurodevelopmental progression. This article
describes recent findings regarding molecular and circuit mechanisms of sleep,
with a focus on development and the insights garnered from models amenable to
detailed genetic analyses.
PMID- 27183567
TI - Corrigendum.
PMID- 27183570
TI - Unlike in Children with Allergic Asthma, IgE Transcripts from Preschool Children
with Atopic Dermatitis Display Signs of Superantigen-Driven Activation.
AB - The IgE repertoire in children with asthma reflects an adaptive B cell response,
indicative of Ag-driven selection. However, the same might not apply to atopic
dermatitis, which is often the first manifestation of atopy. The objective of our
present study was to characterize the IgE repertoire of preschool children with
atopic dermatitis with regard to signs of superantigen-like activation, clonal
relationship, and indications of Ag selection. Total RNA was isolated from PBMCs
of five children with atopic dermatitis. IgE transcripts were amplified, cloned,
and sequenced using RT-PCR. We obtained 200 functional IgE sequences, which were
compared with 1140 sequences from 11 children with asthma. Whereas variable gene
segment of the H Ig chain (VH) gene usage in asthma reflected germline
distribution, IgE transcripts from children with atopic dermatitis displayed a
dominance of the otherwise scarcely expressed VH2 and VH4 family. Whereas IgE
transcripts from children with asthma were highly mutated (7.2%), somatic
mutation rate in atopic dermatitis was less than half as high (3.4%). Moreover,
the proportion of transcripts that were indicative of Ag selection was reduced to
11% in atopic dermatitis (24% in asthma). In summary, IgE repertoires vary
significantly between children with different atopic diseases. Compared with
children with asthma, IgE transcripts from preschool children with atopic
dermatitis are significantly less mutated, clonally less focused, and less
indicative of Ag selection. We consider our data reconcilable with the hypothesis
that a superantigen-like activation contributes to the maturation and selection
of the IgE repertoire in atopic dermatitis.
PMID- 27183571
TI - Structures of Preferred Human IgV Genes-Based Protective Antibodies Identify How
Conserved Residues Contact Diverse Antigens and Assign Source of Specificity to
CDR3 Loop Variation.
AB - The human Ab response to certain pathogens is oligoclonal, with preferred IgV
genes being used more frequently than others. A pair of such preferred genes,
IGVK3-11 and IGVH3-30, contributes to the generation of protective Abs directed
against the 23F serotype of the pneumonococcal capsular polysaccharide of
Streptococcus pneumoniae and against the AD-2S1 peptide of the gB membrane
protein of human CMV. Structural analyses of Fab fragments of mAbs 023.102 and
pn132p2C05 in complex with portions of the 23F polysaccharide revealed five
germline-encoded residues in contact with the key component, l-rhamnose. In the
case of the AD-2S1 peptide, the KE5 Fab fragment complex identified nine germline
encoded contact residues. Two of these germline-encoded residues, Arg91L and
Trp94L, contact both the l-rhamnose and the AD-2S1 peptide. Comparison of the
respective paratopes that bind to carbohydrate and protein reveals that
stochastic diversity in both CDR3 loops alone almost exclusively accounts for
their divergent specificity. Combined evolutionary pressure by human CMV and the
23F serotype of S. pneumoniae acted on the IGVK3-11 and IGVH3-30 genes as
demonstrated by the multiple germline-encoded amino acids that contact both l
rhamnose and AD-2S1 peptide.
PMID- 27183565
TI - The Caenorhabditis elegans Excretory System: A Model for Tubulogenesis, Cell Fate
Specification, and Plasticity.
AB - The excretory system of the nematode Caenorhabditis elegans is a superb model of
tubular organogenesis involving a minimum of cells. The system consists of just
three unicellular tubes (canal, duct, and pore), a secretory gland, and two
associated neurons. Just as in more complex organs, cells of the excretory system
must first adopt specific identities and then coordinate diverse processes to
form tubes of appropriate topology, shape, connectivity, and physiological
function. The unicellular topology of excretory tubes, their varied and sometimes
complex shapes, and the dynamic reprogramming of cell identity and remodeling of
tube connectivity that occur during larval development are particularly
fascinating features of this organ. The physiological roles of the excretory
system in osmoregulation and other aspects of the animal's life cycle are only
beginning to be explored. The cellular mechanisms and molecular pathways used to
build and shape excretory tubes appear similar to those used in both unicellular
and multicellular tubes in more complex organs, such as the vertebrate vascular
system and kidney, making this simple organ system a useful model for
understanding disease processes.
PMID- 27183569
TI - CD275-Independent IL-17-Producing T Follicular Helper-like Cells in Lymphopenic
Autoimmune-Prone Mice.
AB - T cells undergo homeostatic expansion and acquire an activated phenotype in
lymphopenic microenvironments. Restoration of normal lymphocyte numbers typically
re-establishes normal homeostasis, and proinflammatory cytokine production
returns to baseline. Mice deficient in guanine nucleotide exchange factor RasGRP1
exhibit dysregulated homeostatic expansion, which manifests as
lymphoproliferative disease with autoantibody production. Our previous work
revealed that autoreactive B cells lacking RasGRP1 break tolerance early during
development, as well as during germinal center responses, suggesting that T cell
independent and T cell-dependent mechanisms are responsible. Examination of
whether a particular T cell subset is involved in the breach of B cell tolerance
revealed increased Th17 cells in Rasgrp1-deficient mice relative to control mice.
Rasgrp1-deficient mice lacking IL-17R had fewer germinal centers, and germinal
centers that formed contained fewer autoreactive B cells, suggesting that IL-17
signaling is required for a break in B cell tolerance in germinal centers.
Interestingly, a fraction of Th17 cells from Rasgrp1-deficient mice were CXCR5(+)
and upregulated levels of CD278 coordinate with their appearance in germinal
centers, all attributes of T follicular helper cells (Tfh17). To determine
whether CD278-CD275 interactions were required for the development of Tfh17 cells
and for autoantibody, Rasgrp1-deficient mice were crossed with CD275-deficient
mice. Surprisingly, mice deficient in RasGRP1 and CD275 formed Tfh17 cells and
germinal centers and produced similar titers of autoantibodies as mice deficient
in only RasGRP1. Therefore, these studies suggest that requirements for Tfh cell
development change in lymphopenia-associated autoimmune settings.
PMID- 27183572
TI - Cutting Edge: IFN-beta Expression in the Spleen Is Restricted to a Subpopulation
of Plasmacytoid Dendritic Cells Exhibiting a Specific Immune Modulatory
Transcriptome Signature.
AB - Type I IFNs are critical in initiating protective antiviral immune responses, and
plasmacytoid dendritic cells (pDCs) represent a major source of these cytokines.
We show that only few pDCs are capable of producing IFN-beta after virus
infection or CpG stimulation. Using IFNbeta/YFP reporter mice, we identify these
IFN-beta-producing cells in the spleen as a CCR9(+)CD9(-) pDC subset that is
localized exclusively within the T/B cell zones. IFN-beta-producing pDCs exhibit
a distinct transcriptome profile, with higher expression of genes encoding
cytokines and chemokines, facilitating T cell recruitment and activation. These
distinctive characteristics of IFN-beta-producing pDCs are independent of the
type I IFNR-mediated feedback loop. Furthermore, IFN-beta-producing pDCs exhibit
enhanced CCR7-dependent migratory properties in vitro. Additionally, they
effectively recruit T cells in vivo in a peritoneal inflammation model. We define
"professional type I IFN-producing cells" as a distinct subset of pDCs
specialized in coordinating cellular immune responses.
PMID- 27183573
TI - Heme Oxygenase-1 Regulates Inflammation and Mycobacterial Survival in Human
Macrophages during Mycobacterium tuberculosis Infection.
AB - Mycobacterium tuberculosis, the causative agent of tuberculosis, is responsible
for 1.5 million deaths annually. We previously showed that M. tuberculosis
infection in mice induces expression of the CO-producing enzyme heme oxygenase
(HO1) and that CO is sensed by M. tuberculosis to initiate a dormancy program.
Further, mice deficient in HO1 succumb to M. tuberculosis infection more readily
than do wild-type mice. Although mouse macrophages control intracellular M.
tuberculosis infection through several mechanisms, such as NO synthase, the
respiratory burst, acidification, and autophagy, how human macrophages control M.
tuberculosis infection remains less well understood. In this article, we show
that M. tuberculosis induces and colocalizes with HO1 in both mouse and human
tuberculosis lesions in vivo, and that M. tuberculosis induces and colocalizes
with HO1 during primary human macrophage infection in vitro. Surprisingly, we
find that chemical inhibition of HO1 both reduces inflammatory cytokine
production by human macrophages and restricts intracellular growth of
mycobacteria. Thus, induction of HO1 by M. tuberculosis infection may be a
mycobacterial virulence mechanism to enhance inflammation and bacterial growth.
PMID- 27183575
TI - Preferential Use of Public TCR during Autoimmune Encephalomyelitis.
AB - How the TCR repertoire, in concert with risk-associated MHC, imposes
susceptibility for autoimmune diseases is incompletely resolved. Due largely to
recombinatorial biases, a small fraction of TCRalpha or beta-chains are shared by
most individuals, or public. If public TCR chains modulate a TCRalphabeta
heterodimer's likelihood of productively engaging autoantigen, because they are
pervasive and often high frequency, they could also broadly influence disease
risk and progression. Prior data, using low-resolution techniques, have
identified the heavy use of select public TCR in some autoimmune models. In this
study, we assess public repertoire representation in mice with experimental
autoimmune encephalomyelitis at high resolution. Saturation sequencing was used
to identify >18 * 10(6) TCRbeta sequences from the CNSs, periphery, and thymi of
mice at different stages of autoimmune encephalomyelitis and healthy controls.
Analyses indicated the prominent representation of a highly diverse public
TCRbeta repertoire in the disease response. Preferential formation of public TCR
implicated in autoimmunity was identified in preselection thymocytes, and,
consistently, public, disease-associated TCRbeta were observed to be commonly
oligoclonal. Increased TCR sharing and a focusing of the public TCR response was
seen with disease progression. Critically, comparisons of peripheral and CNS
repertoires and repertoires from preimmune and diseased mice demonstrated that
public TCR were preferentially deployed relative to nonshared, or private,
sequences. Our findings implicate public TCR in skewing repertoire response
during autoimmunity and suggest that subsets of public TCR sequences may serve as
disease-specific biomarkers or influence disease susceptibility or progression.
PMID- 27183576
TI - Vitamin A Controls the Presence of RORgamma+ Innate Lymphoid Cells and Lymphoid
Tissue in the Small Intestine.
AB - Changes in diet and microbiota have determining effects on the function of the
mucosal immune system. For example, the active metabolite of vitamin A, retinoic
acid (RA), has been described to maintain homeostasis in the intestine by its
influence on both lymphocytes and myeloid cells. Additionally, innate lymphoid
cells (ILCs), important producers of cytokines necessary for intestinal
homeostasis, are also influenced by vitamin A in the small intestines. In this
study, we show a reduction of both NCR(-) and NCR(+) ILC3 subsets in the small
intestine of mice raised on a vitamin A-deficient diet. Additionally, the
percentages of IL-22-producing ILCs were reduced in the absence of dietary
vitamin A. Conversely, mice receiving additional RA had a specific increase in
the NCR(-) ILC3 subset, which contains the lymphoid tissue inducer cells. The
dependence of lymphoid tissue inducer cells on vitamin A was furthermore
illustrated by impaired development of enteric lymphoid tissues in vitamin A
deficient mice. These effects were a direct consequence of ILC-intrinsic RA
signaling, because retinoic acid-related orphan receptor gammat-Cre * RARalpha-DN
mice had reduced numbers of NCR(-) and NCR(+) ILC3 subsets within the small
intestine. However, lymphoid tissue inducer cells were not affected in these mice
nor was the formation of enteric lymphoid tissue, demonstrating that the onset of
RA signaling might take place before retinoic acid-related orphan receptor gammat
is expressed on lymphoid tissue inducer cells. Taken together, our data show an
important role for vitamin A in controlling innate lymphoid cells and,
consequently, postnatal formed lymphoid tissues within the small intestines.
PMID- 27183574
TI - IL-3 Decreases Cartilage Degeneration by Downregulating Matrix Metalloproteinases
and Reduces Joint Destruction in Osteoarthritic Mice.
AB - Osteoarthritis (OA) is a chronic disease of articular joints that leads to
degeneration of both cartilage and subchondral bone. These degenerative changes
are further aggravated by proinflammatory cytokines including IL-1beta and TNF
alpha. Previously, we have reported that IL-3, a cytokine secreted by activated T
cells, protects cartilage and bone damage in murine models of inflammatory and
rheumatoid arthritis. However, how IL-3 protects cartilage degeneration is not
yet known. In this study, we investigated the role of IL-3 on cartilage
degeneration under both in vitro and in vivo conditions. We found that both mouse
and human chondrocytes show strong expression of IL-3R at gene and protein
levels. IL-3 increases the expression of mouse chondrocyte-specific genes, Sox9
and collagen type IIa, which were downregulated by IL-1beta. Moreover, IL-3
downregulated IL-1beta- and TNF-alpha-induced expression of matrix
metalloproteinases in both mouse and human chondrocytes. Interestingly, IL-3
reduces the degeneration of articular cartilage and subchondral bone
microarchitecture in a mouse model of human OA. Moreover, IL-3 showed the
preventive and therapeutic effects on cartilage degeneration induced by IL-1beta
in micromass pellet cultures of human mesenchymal stem cells. Thus, to our
knowledge, we provide the first evidence that IL-3 has therapeutic potential in
amelioration of degeneration of articular cartilage and subchondral bone
microarchitecture associated with OA.
PMID- 27183577
TI - Hyperoxic Exposure of Immature Mice Increases the Inflammatory Response to
Subsequent Rhinovirus Infection: Association with Danger Signals.
AB - Infants with a history of prematurity and bronchopulmonary dysplasia have a high
risk of asthma and viral-induced exacerbations later in life. We hypothesized
that hyperoxic exposure, a predisposing factor to bronchopulmonary dysplasia,
modulates the innate immune response, producing an exaggerated proinflammatory
reaction to viral infection. Two- to 3-d-old C57BL/6J mice were exposed to air or
75% oxygen for 14 d. Mice were infected intranasally with rhinovirus (RV)
immediately after O2 exposure. Lung mRNA and protein expression, histology,
dendritic cells (DCs), and airway responsiveness were assessed 1-12 d
postinfection. Tracheal aspirates from premature human infants were collected for
mRNA detection. Hyperoxia increased lung IL-12 expression, which persisted up to
12 d postexposure. Hyperoxia-exposed RV-infected mice showed further increases in
IL-12 and increased expression of IFN-gamma, TNF-alpha, CCL2, CCL3, and CCL4, as
well as increased airway inflammation and responsiveness. In RV-infected, air
exposed mice, the response was not significant. Induced IL-12 expression in
hyperoxia-exposed, RV-infected mice was associated with increased IL-12-producing
CD103(+) lung DCs. Hyperoxia also increased expression of Clec9a, a CD103(+) DC
specific damaged cell-recognition molecule. Hyperoxia increased levels of ATP
metabolites and expression of adenosine receptor A1, further evidence of cell
damage and related signaling. In human preterm infants, tracheal aspirate Clec9a
expression positively correlated with the level of prematurity. Hyperoxic
exposure increases the activation of CD103(+), Clec9a(+) DCs, leading to
increased inflammation and airway hyperresponsiveness upon RV infection. In
premature infants, danger signal-induced DC activation may promote
proinflammatory airway responses, thereby increasing respiratory morbidity.
PMID- 27183566
TI - Mechanism and Regulation of Protein Synthesis in Saccharomyces cerevisiae.
AB - In this review, we provide an overview of protein synthesis in the yeast
Saccharomyces cerevisiae The mechanism of protein synthesis is well conserved
between yeast and other eukaryotes, and molecular genetic studies in budding
yeast have provided critical insights into the fundamental process of translation
as well as its regulation. The review focuses on the initiation and elongation
phases of protein synthesis with descriptions of the roles of translation
initiation and elongation factors that assist the ribosome in binding the
messenger RNA (mRNA), selecting the start codon, and synthesizing the
polypeptide. We also examine mechanisms of translational control highlighting the
mRNA cap-binding proteins and the regulation of GCN4 and CPA1 mRNAs.
PMID- 27183579
TI - Novel Insights into the Multiple Sclerosis Risk Gene ANKRD55.
AB - An intronic variant in ANKRD55, rs6859219, is a genetic risk factor for multiple
sclerosis, but the biological reasons underlying this association are unknown. We
characterized the expression of ANKRD55 in human PBMCs and cell lines. Three
ANKRD55 transcript variants (Ensembl isoforms 001, 005, and 007) could be
detected in PBMCs and CD4(+) T cells but were virtually absent in CD8(+),
CD14(+), CD19(+), and CD56(+) cells. Rs6859219 was significantly associated with
ANKRD55 transcript levels in PBMCs and CD4(+) T cells and, thus, coincides with a
cis-expression quantitative trait locus. The processed noncoding transcript 007
was the most highly expressed variant in CD4(+) T cells, followed by 001 and 005,
respectively, but it was not detected in Jurkat, U937, and SH-SY5Y cell lines.
Homozygotes for the risk allele produced more than four times more transcript
copies than did those for the protective allele. ANKRD55 protein isoforms 005 and
001 were predominantly located in the nucleus of CD4(+) T cells and Jurkat and
U937 cells. ANKRD55 was produced by primary cultures of murine hippocampal
neurons and microglia, as well as by the murine microglial cell line BV2, and it
was induced by inflammatory stimuli. ANKRD55 protein was increased in the murine
mouse model of experimental autoimmune encephalomyelitis. Flow cytometric
analysis of CNS-infiltrating mononuclear cells showed that CD4(+) T cells and
monocytes expressed ANKRD55 in experimental autoimmune encephalomyelitis mice,
with the higher fluorescence intensity found in CD4(+) cells. A low percentage of
microglia also expressed ANKRD55. Together, these data support an important role
for ANKRD55 in multiple sclerosis and neuroinflammation.
PMID- 27183578
TI - Hemocyanins Stimulate Innate Immunity by Inducing Different Temporal Patterns of
Proinflammatory Cytokine Expression in Macrophages.
AB - Hemocyanins induce a potent Th1-dominant immune response with beneficial clinical
outcomes when used as a carrier/adjuvant in vaccines and nonspecific
immunostimulant in cancer. However, the mechanisms by which hemocyanins trigger
innate immune responses, leading to beneficial adaptive immune responses, are
unknown. This response is triggered by a proinflammatory signal from various
components, of which macrophages are an essential part. To understand how these
proteins influence macrophage response, we investigated the effects of mollusks
hemocyanins with varying structural and immunological properties, including
hemocyanins from Concholepas concholepas, Fissurella latimarginata, and Megathura
crenulata (keyhole limpet hemocyanin), on cultures of peritoneal macrophages.
Hemocyanins were phagocytosed and slowly processed. Analysis of this process
showed differential gene expression along with protein levels of proinflammatory
markers, including IL-1beta, IL-6, IL-12p40, and TNF-alpha. An extended
expression analysis of 84 cytokines during a 24-h period showed a robust
proinflammatory response for F. latimarginata hemocyanin in comparison with
keyhole limpet hemocyanin and C. concholepas hemocyanin, which was characterized
by an increase in the transcript levels of M1 cytokines involved in leukocyte
recruitment. These cytokine genes included chemokines (Cxcl1, Cxcl3, Cxcl5, Ccl2,
and Ccl3), ILs (Il1b and Ifng), growth factors (Csf2 and Csf3), and TNF family
members (Cd40lg). The protein levels of certain cytokines were increased.
However, every hemocyanin maintains downregulated key M2 cytokine genes,
including Il4 and Il5 Collectively, our data demonstrate that hemocyanins are
able to trigger the release of proinflammatory factors with different patterns of
cytokine expression, suggesting differential signaling pathways and
transcriptional network mechanisms that lead to the activation of M1-polarized
macrophages.
PMID- 27183580
TI - Piperlongumine Suppresses Dendritic Cell Maturation by Reducing Production of
Reactive Oxygen Species and Has Therapeutic Potential for Rheumatoid Arthritis.
AB - Piperlongumine (PLM) is a natural product from the plant Piper longum that
inhibits platelet aggregation, atherosclerosis plaque formation, and tumor cell
growth. It has potential value in immunomodulation and the management of
autoimmune diseases. In this study, we investigated the role of PLM in regulating
the differentiation and maturation of dendritic cells (DCs), a critical regulator
of immune tolerance, and evaluated its clinical effects in a rheumatoid arthritis
mouse model. We found that PLM treatment reduced LPS-induced murine bone marrow
derived DC maturation, characterized by reduced expression of CD80/86, secretion
of MCP-1, IL-12p70, IL-6, TNFalpha, IFN-gamma, and IL-23, and reduced
alloproliferation of T cells; however, PLM does not affect cell differentiation.
Furthermore, PLM reduced intracellular reactive oxygen species (ROS) production
by DCs and inhibited the activation of p38, JNK, NF-kappaB, and PI3K/Akt
signaling pathways. Conversely, PLM increased the expression of GSTP1 and
carbonyl reductase 1, two enzymes that counteract ROS effects. ROS inhibition by
exogenous N-acetyl-l-cysteine suppressed DC maturation. PLM treatment improved
the severity of arthritis and reduced in vivo splenic DC maturation, collagen
specific CD4(+) T cell responses, and ROS production in mice with collagen
induced arthritis. Taken together, these results suggest that PLM inhibits DC
maturation by reducing intracellular ROS production and has potential as a
therapeutic agent for rheumatoid arthritis.
PMID- 27183581
TI - Autocrine and Paracrine Regulation of Keratinocyte Proliferation through a Novel
Nrf2-IL-36gamma Pathway.
AB - The Nrf2 transcription factor is well known for its cytoprotective functions
through regulation of genes involved in the detoxification of reactive oxygen
species or toxic compounds. Therefore, activation of Nrf2 is a promising strategy
for the protection of tissues from various types of insults and for cancer
prevention. However, recent studies revealed a proinflammatory activity of
activated Nrf2 and a stimulating effect on epithelial cell proliferation, but the
underlying mechanisms of action and the responsible target genes are largely
unknown. Using a combination of gene expression profiling, chromatin
immunoprecipitation, and targeted proteomics via selected reaction monitoring, we
show that the gene encoding the proinflammatory cytokine IL-36gamma is a novel
direct target of Nrf2 in keratinocytes and hepatocytes in vitro and in vivo. As a
consequence, upregulation of IL-36gamma expression occurred upon genetic or
pharmacological activation of Nrf2 in the epidermis and in the normal and
regenerating liver. Functional in vitro studies demonstrate that IL-36gamma
directly stimulates proliferation of keratinocytes. In particular, it induces
expression of keratinocyte mitogens in fibroblasts, suggesting that the Nrf2-IL
36gamma axis promotes keratinocyte proliferation through a double paracrine loop.
These results provide mechanistic insight into Nrf2 action in the control of
inflammation and cell proliferation through regulation of a proinflammatory
cytokine with a key function in various inflammatory diseases.
PMID- 27183582
TI - Cutting Edge: EZH2 Promotes Osteoclastogenesis by Epigenetic Silencing of the
Negative Regulator IRF8.
AB - Osteoclasts are resorptive cells that are important for homeostatic bone
remodeling and pathological bone resorption. Emerging evidence suggests an
important role for epigenetic mechanisms in osteoclastogenesis. A recent study
showed that epigenetic silencing of the negative regulator of osteoclastogenesis
Irf8 by DNA methylation is required for osteoclast differentiation. In this
study, we investigated the role of EZH2, which epigenetically silences gene
expression by histone methylation, in osteoclastogenesis. Inhibition of EZH2 by
the small molecule GSK126, or decreasing its expression using antisense
oligonucleotides, impeded osteoclast differentiation. Mechanistically, EZH2 was
recruited to the IRF8 promoter after RANKL stimulation to deposit the negative
histone mark H3K27me3 and downregulate IRF8 expression. GSK126 attenuated bone
loss in the ovariectomy mouse model of postmenopausal osteoporosis. Our findings
provide evidence for an additional mechanism of epigenetic IRF8 silencing during
osteoclastogenesis that likely works cooperatively with DNA methylation, further
emphasizing the importance of IRF8 as a negative regulator of osteoclastogenesis.
PMID- 27183583
TI - Homeostatic PPARalpha Signaling Limits Inflammatory Responses to Commensal
Microbiota in the Intestine.
AB - Dietary lipids and their metabolites activate members of the peroxisome
proliferative-activated receptor (PPAR) family of transcription factors and are
critical for colonic health. The PPARalpha isoform plays a vital role in
regulating inflammation in various disease settings, but its role in intestinal
inflammation, commensal homeostasis, and mucosal immunity in the gut are unclear.
In this study, we demonstrate that the PPARalpha pathway in innate immune cells
orchestrates gut mucosal immunity and commensal homeostasis by regulating the
expression of IL-22 and the antimicrobial peptides RegIIIbeta, RegIIIgamma, and
calprotectin. Additionally, the PPARalpha pathway is critical for imparting
regulatory phenotype in intestinal macrophages. PPARalpha deficiency in mice led
to commensal dysbiosis in the gut, resulting in a microbiota-dependent increase
in the expression of inflammatory cytokines and enhanced susceptibility to
intestinal inflammation. Pharmacological activation of this pathway decreased the
expression of inflammatory cytokines and ameliorated colonic inflammation. Taken
together, these findings identify a new important innate immune function for the
PPARalpha signaling pathway in regulating intestinal inflammation, mucosal
immunity, and commensal homeostasis. Thus, the manipulation of the PPARalpha
pathway could provide novel opportunities for enhancing mucosal immunity and
treating intestinal inflammation.
PMID- 27183585
TI - Impaired P2X1 Receptor-Mediated Adhesion in Eosinophils from Asthmatic Patients.
AB - Eosinophils play an important role in the pathogenesis of asthma and can be
activated by extracellular nucleotides released following cell damage or
inflammation. For example, increased ATP concentrations were reported in
bronchoalveolar lavage fluids of asthmatic patients. Although eosinophils are
known to express several subtypes of P2 receptors for extracellular nucleotides,
their function and contribution to asthma remain unclear. In this article, we
show that transcripts for P2X1, P2X4, and P2X5 receptors were expressed in
healthy and asthmatic eosinophils. The P2X receptor agonist alpha,beta-methylene
ATP (alpha,beta-meATP; 10 MUM) evoked rapidly activating and desensitizing inward
currents (peak 18 +/- 3 pA/pF at -60 mV) in healthy eosinophils, typical of P2X1
homomeric receptors, which were abolished by the selective P2X1 antagonist NF449
(1 MUM) (3 +/- 2 pA/pF). alpha,beta-meATP-evoked currents were smaller in
eosinophils from asthmatic patients (8 +/- 2 versus 27 +/- 5 pA/pF for healthy)
but were enhanced following treatment with a high concentration of the
nucleotidase apyrase (17 +/- 5 pA/pF for 10 IU/ml and 11 +/- 3 pA/pF for 0.32
IU/ml), indicating that the channels are partially desensitized by extracellular
nucleotides. alpha,beta-meATP (10 MUM) increased the expression of CD11b
activated form in eosinophils from healthy, but not asthmatic, donors (143 +/-
21% and 108 +/- 11% of control response, respectively). Furthermore, alpha,beta
meATP increased healthy (18 +/- 2% compared with control 10 +/- 1%) but not
asthmatic (13 +/- 1% versus 10 +/- 0% for control) eosinophil adhesion. Healthy
human eosinophils express functional P2X1 receptors whose activation leads to
eosinophil alphaMbeta2 integrin-dependent adhesion. P2X1 responses are
constitutively reduced in asthmatic compared with healthy eosinophils, probably
as the result of an increase in extracellular nucleotide concentration.
PMID- 27183584
TI - Decreased SAP Expression in T Cells from Patients with Systemic Lupus
Erythematosus Contributes to Early Signaling Abnormalities and Reduced IL-2
Production.
AB - T cells from patients with systemic lupus erythematosus (SLE) display a number of
abnormalities, including increased early signaling events following engagement of
the TCR. Signaling lymphocytic activation molecule family cell surface receptors
and the X-chromosome-defined signaling lymphocytic activation molecule-associated
protein (SAP) adaptor are important in the development of several immunocyte
lineages and modulating the immune response. We present evidence that SAP protein
levels are decreased in T cells and in their main subsets isolated from 32 women
and three men with SLE, independent of disease activity. In SLE T cells, SAP
protein is also subject to increased degradation by caspase-3. Forced expression
of SAP in SLE T cells normalized IL-2 production, calcium (Ca(2+)) responses, and
tyrosine phosphorylation of a number of proteins. Exposure of normal T cells to
SLE serum IgG, known to contain anti-CD3/TCR Abs, resulted in SAP downregulation.
We conclude that SLE T cells display reduced levels of the adaptor protein SAP,
probably as a result of continuous T cell activation and degradation by caspase
3. Restoration of SAP levels in SLE T cells corrects the overexcitable lupus T
cell phenotype.
PMID- 27183587
TI - Intracellular RIG-I Signaling Regulates TLR4-Independent Endothelial Inflammatory
Responses to Endotoxin.
AB - Sepsis is a systemic inflammatory response to infections associated with organ
failure that is the most frequent cause of death in hospitalized patients.
Exaggerated endothelial activation, altered blood flow, vascular leakage, and
other disturbances synergistically contribute to sepsis-induced organ failure.
The underlying signaling events associated with endothelial proinflammatory
activation are not well understood, yet they likely consist of molecular pathways
that act in an endothelium-specific manner. We found that LPS, a critical factor
in the pathogenesis of sepsis, is internalized by endothelial cells, leading to
intracellular signaling without the need for priming as found recently in immune
cells. By identifying a novel role for retinoic acid-inducible gene-I (RIG-I) as
a central regulator of endothelial activation functioning independent of TLR4, we
provide evidence that the current paradigm of TLR4 solely being responsible for
LPS-mediated endothelial responses is incomplete. RIG-I, as well as the adaptor
protein mitochondrial antiviral signaling protein, regulates NF-kappaB-mediated
induction of adhesion molecules and proinflammatory cytokine expression in
response to LPS. Our findings provide essential new insights into the
proinflammatory signaling pathways in endothelial cells and suggest that combined
endothelial-specific inhibition of RIG-I and TLR4 will provide protection from
aberrant endothelial responses associated with sepsis.
PMID- 27183586
TI - NKAP Regulates Invariant NKT Cell Proliferation and Differentiation into ROR
gammat-Expressing NKT17 Cells.
AB - Invariant NKT (iNKT) cells are a unique lineage with characteristics of both
adaptive and innate lymphocytes, and they recognize glycolipids presented by an
MHC class I-like CD1d molecule. During thymic development, iNKT cells also
differentiate into NKT1, NKT2, and NKT17 functional subsets that preferentially
produce cytokines IFN-gamma, IL-4, and IL-17, respectively, upon activation.
Newly selected iNKT cells undergo a burst of proliferation, which is defective in
mice with a specific deletion of NKAP in the iNKT cell lineage, leading to severe
reductions in thymic and peripheral iNKT cell numbers. The decreased cell number
is not due to defective homeostasis or increased apoptosis, and it is not rescued
by Bcl-xL overexpression. NKAP is also required for differentiation into NKT17
cells, but NKT1 and NKT2 cell development and function are unaffected. This
failure in NKT17 development is rescued by transgenic expression of promyelocytic
leukemia zinc finger; however, the promyelocytic leukemia zinc finger transgene
does not restore iNKT cell numbers or the block in positive selection into the
iNKT cell lineage in CD4-cre NKAP conditional knockout mice. Therefore, NKAP
regulates multiple steps in iNKT cell development and differentiation.
PMID- 27183588
TI - Nod1 Limits Colitis-Associated Tumorigenesis by Regulating IFN-gamma Production.
AB - Chronic intestinal inflammation is a major risk factor for the development of
colorectal cancer. Nod1, a member of the Nod-like receptor (NLR) family of
pattern recognition receptors, is a bacterial sensor that has been previously
demonstrated to reduce susceptibility of mice to chemically induced colitis and
subsequent tumorigenesis, but the mechanism by which it mediates its protection
has not been elucidated. In this study, we show that Nod1 expression in the
hematopoietic cell compartment is critical for limiting inflammation-induced
intestinal tumorigenesis. Specifically, Nod1-deficient T cells exhibit impaired
IFN-gamma production during dextran sulfate sodium (DSS)-induced acute
inflammation in vivo, and administration of the Nod1 ligand KF1B enhances IFN
gamma responses by anti-CD3-activated T cells in vitro. Absence of IFN-gamma
signaling results in increased inflammation-associated tumors in mice, and
adoptive transfer of Nod1(-/-) or IFNgamma(-/-) T cells into T cell-deficient
mice results in increased tumorigenesis as compared with T cell-deficient mice
that were adoptively transferred with wild-type T cells. Collectively, these
results suggest a previously unappreciated role for the innate immune receptor
Nod1 in suppressing colitis-associated tumorigenesis through a T cell-mediated
mechanism.
PMID- 27183589
TI - Protein Tyrosine Kinase Fyn Regulates TLR4-Elicited Responses on Mast Cells
Controlling the Function of a PP2A-PKCalpha/beta Signaling Node Leading to TNF
Secretion.
AB - Mast cells produce proinflammatory cytokines in response to TLR4 ligands, but the
signaling pathways involved are not fully described. In this study, the
participation of the Src family kinase Fyn in the production of TNF after
stimulation with LPS was evaluated using bone marrow-derived mast cells from wild
type and Fyn-deficient mice. Fyn(-/-) cells showed higher LPS-induced secretion
of preformed and de novo-synthesized TNF. In both cell types, TNF colocalized
with vesicle-associated membrane protein (VAMP)3-positive compartments. Addition
of LPS provoked coalescence of VAMP3 and its interaction with synaptosomal
associated protein 23; those events were increased in the absence of Fyn. Higher
TNF mRNA levels were also observed in Fyn-deficient cells as a result of
increased transcription and greater mRNA stability after LPS treatment. Fyn(-/-)
cells also showed higher LPS-induced activation of TAK-1 and ERK1/2, whereas
IkappaB kinase and IkappaB were phosphorylated, even in basal conditions.
Increased responsiveness in Fyn(-/-) cells was associated with a lower activity
of protein phosphatase 2A (PP2A) and augmented activity of protein kinase C
(PKC)alpha/beta, which was dissociated from PP2A and increased its association
with the adapter protein neuroblast differentiation-associated protein (AHNAK,
desmoyokin). LPS-induced PKCalpha/beta activity was associated with VAMP3
coalescence in WT and Fyn-deficient cells. Reconstitution of MC-deficient Wsh
mice with Fyn(-/-) MCs produced greater LPS-dependent production of TNF in the
peritoneal cavity. Our data show that Fyn kinase is activated after TLR4
triggering and exerts an important negative control on LPS-dependent TNF
production in MCs controlling the inactivation of PP2Ac and activation of
PKCalpha/beta necessary for the secretion of TNF by VAMP3(+) carriers.
PMID- 27183590
TI - NKG2D-NKG2D Ligand Interaction Inhibits the Outgrowth of Naturally Arising Low
Grade B Cell Lymphoma In Vivo.
AB - It is now clear that recognition of nascent tumors by the immune system is
critical for survival of the host against cancer. During cancer immunoediting,
the ability of the tumor to escape immune recognition is important for tumor
development. The immune system recognizes tumors via the presence of classical
Ags and also by conserved innate mechanisms. One of these mechanisms is the NKG2D
receptor that recognizes ligands whose expression is induced by cell
transformation. In this study, we show that in NKG2D receptor-deficient mice,
increasing numbers of B cells begin to express NKG2D ligands as they age. Their
absence in wild-type mice suggests that these cells are normally cleared by NKG2D
expressing cells. NKG2D-deficient mice and mice constitutively expressing NKG2D
ligands had increased incidence of B cell tumors, confirming that the inability
to clear NKG2D ligand-expressing cells was important in tumor suppression and
that NKG2D ligand expression is a marker of nascent tumors. Supporting a role for
NKG2D ligand expression in controlling the progression of early-stage B cell
lymphomas in humans, we found higher expression of a microRNA that inhibits human
NKG2D ligand expression in tumor cells from high-grade compared with low-grade
follicular lymphoma patients.
PMID- 27183591
TI - Identification of Vaccine-Altered Circulating B Cell Phenotypes Using Mass
Cytometry and a Two-Step Clustering Analysis.
AB - Broadening our understanding of the abundance and phenotype of B cell subsets
that are induced or perturbed by exogenous Ags will improve the vaccine
evaluation process. Mass cytometry (CyTOF) is being used to increase the number
of markers that can be investigated in single cells, and therefore characterize
cell phenotype at an unprecedented level. We designed a panel of CyTOF Abs to
compare the B cell response in cynomolgus macaques at baseline, and 8 and 28 d
after the second homologous immunization with modified vaccinia virus Ankara. The
spanning-tree progression analysis of density-normalized events (SPADE) algorithm
was used to identify clusters of CD20(+) B cells. Our data revealed the
phenotypic complexity and diversity of circulating B cells at steady-state and
significant vaccine-induced changes in the proportions of some B cell clusters.
All SPADE clusters, including those altered quantitatively by vaccination, were
characterized phenotypically and compared using double hierarchical clustering.
Vaccine-altered clusters composed of previously described subsets including
CD27(hi)CD21(lo) activated memory and CD27(+)CD21(+) resting memory B cells, and
subphenotypes with novel patterns of marker coexpression. The expansion, followed
by the contraction, of a single memory B cell SPADE cluster was positively
correlated with serum anti-vaccine Ab titers. Similar results were generated by a
different algorithm, automatic classification of cellular expression by nonlinear
stochastic embedding. In conclusion, we present an in-depth characterization of B
cell subphenotypes and proportions, before and after vaccination, using a two
step clustering analysis of CyTOF data, which is suitable for longitudinal
studies and B cell subsets and biomarkers discovery.
PMID- 27183594
TI - Characterization of the Antigen Processing Machinery and Endogenous Peptide
Presentation of a Bat MHC Class I Molecule.
AB - Bats are a major reservoir of emerging and re-emerging infectious diseases,
including severe acute respiratory syndrome-like coronaviruses, henipaviruses,
and Ebola virus. Although highly pathogenic to their spillover hosts, bats harbor
these viruses, and a large number of other viruses, with little or no clinical
signs of disease. How bats asymptomatically coexist with these viruses is
unknown. In particular, little is known about bat adaptive immunity, and the
presence of functional MHC molecules is mostly inferred from recently described
genomes. In this study, we used an affinity purification/mass spectrometry
approach to demonstrate that a bat MHC class I molecule, Ptal-N*01:01, binds
antigenic peptides and associates with peptide-loading complex components. We
identified several bat MHC class I-binding partners, including calnexin,
calreticulin, protein disulfide isomerase A3, tapasin, TAP1, and TAP2.
Additionally, endogenous peptide ligands isolated from Ptal-N*01:01 displayed a
relatively broad length distribution and an unusual preference for a C-terminal
proline residue. Finally, we demonstrate that this preference for C-terminal
proline residues was observed in Hendra virus-derived peptides presented by Ptal
N*01:01 on the surface of infected cells. To our knowledge, this is the first
study to identify endogenous and viral MHC class I ligands for any bat species
and, as such, provides an important avenue for monitoring and development of
vaccines against major bat-borne viruses both in the reservoir and spillover
hosts. Additionally, it will provide a foundation to understand the role of
adaptive immunity in bat antiviral responses.
PMID- 27183592
TI - STAT3 Signaling in B Cells Is Critical for Germinal Center Maintenance and
Contributes to the Pathogenesis of Murine Models of Lupus.
AB - Ab maturation as well as memory B and plasma cell differentiation occur primarily
in the germinal centers (GCs). Systemic lupus erythematosus (SLE) may develop as
a result of enhanced GC activity. Previous studies have shown that the
dysregulated STAT3 pathway is linked to lupus pathogenesis. However, the exact
role of STAT3 in regulating SLE disease progression has not been fully
understood. In this study, we demonstrated that STAT3 signaling in B cells is
essential for GC formation and maintenance as well as Ab response. Increased cell
apoptosis and downregulated Bcl-xL and Mcl-1 antiapoptotic gene expression were
found in STAT3-deficient GC B cells. The follicular helper T cell response
positively correlated with GC B cells and was significantly decreased in
immunized B cell STAT3-deficient mice. STAT3 deficiency also led to the defect of
plasma cell differentiation. Furthermore, STAT3 deficiency in autoreactive B
cells resulted in decreased autoantibody production. Results obtained from B cell
STAT3-deficient B6.MRL/lpr mice suggest that STAT3 signaling significantly
contributes to SLE pathogenesis by regulation of GC reactivity, autoantibody
production, and kidney pathology. Our findings provide new insights into the role
of STAT3 signaling in the maintenance of GC formation and GC B cell
differentiation and identify STAT3 as a novel target for treatment of SLE.
PMID- 27183593
TI - RBP-J-Regulated miR-182 Promotes TNF-alpha-Induced Osteoclastogenesis.
AB - Increased osteoclastogenesis is responsible for osteolysis, which is a severe
consequence of inflammatory diseases associated with bone destruction, such as
rheumatoid arthritis and periodontitis. The mechanisms that limit
osteoclastogenesis under inflammatory conditions are largely unknown. We
previously identified transcription factor RBP-J as a key negative regulator that
restrains TNF-alpha-induced osteoclastogenesis and inflammatory bone resorption.
In this study, we tested whether RBP-J suppresses inflammatory osteoclastogenesis
by regulating the expression of microRNAs (miRNAs) important for this process.
Using high-throughput sequencing of miRNAs, we obtained the first, to our
knowledge, genome-wide profile of miRNA expression induced by TNF-alpha in mouse
bone marrow-derived macrophages/osteoclast precursors during inflammatory
osteoclastogenesis. Furthermore, we identified miR-182 as a novel miRNA that
promotes inflammatory osteoclastogenesis driven by TNF-alpha and whose expression
is suppressed by RBP-J. Downregulation of miR-182 dramatically suppressed the
enhanced osteoclastogenesis program induced by TNF-alpha in RBP-J-deficient
cells. Complementary loss- and gain-of-function approaches showed that miR-182 is
a positive regulator of osteoclastogenic transcription factors NFATc1 and B
lymphocyte-induced maturation protein-1. Moreover, we identified that direct miR
182 targets, Foxo3 and Maml1, play important inhibitory roles in TNF-alpha
mediated osteoclastogenesis. Thus, RBP-J-regulated miR-182 promotes TNF-alpha
induced osteoclastogenesis via inhibition of Foxo3 and Maml1. Suppression of miR
182 by RBP-J serves as an important mechanism that restrains TNF-alpha-induced
osteoclastogenesis. Our results provide a novel miRNA-mediated mechanism by which
RBP-J inhibits osteoclastogenesis and suggest that targeting of the newly
described RBP-J-miR-182-Foxo3/Maml1 axis may represent an effective therapeutic
approach to suppress inflammatory osteoclastogenesis and bone resorption.
PMID- 27183595
TI - The CD4 and CD3deltaepsilon Cytosolic Juxtamembrane Regions Are Proximal within a
Compact TCR-CD3-pMHC-CD4 Macrocomplex.
AB - TCRs relay information about peptides embedded within MHC molecules (pMHC) to the
ITAMs of the associated CD3gammaepsilon, CD3deltaepsilon, and CD3zetazeta
signaling modules. CD4 then recruits the Src kinase p56(Lck) (Lck) to the TCR-CD3
complex to phosphorylate the ITAMs, initiate intracellular signaling, and drive
CD4(+) T cell fate decisions. Whereas the six ITAMs of CD3zetazeta are key
determinants of T cell development, activation, and the execution of effector
functions, multiple models predict that CD4 recruits Lck proximal to the four
ITAMs of the CD3 heterodimers. We tested these models by placing FRET probes at
the cytosolic juxtamembrane regions of CD4 and the CD3 subunits to evaluate their
relationship upon pMHC engagement in mouse cell lines. The data are consistent
with a compact assembly in which CD4 is proximal to CD3deltaepsilon, CD3zetazeta
resides behind the TCR, and CD3gammaepsilon is offset from CD3deltaepsilon. These
results advance our understanding of the architecture of the TCR-CD3-pMHC-CD4
macrocomplex and point to regions of high CD4-Lck + ITAM concentrations therein.
The findings thus have implications for TCR signaling, as phosphorylation of the
CD3 ITAMs by CD4-associated Lck is important for CD4(+) T cell fate decisions.
PMID- 27183596
TI - Andrographolide Restores Steroid Sensitivity To Block Lipopolysaccharide/IFN
gamma-Induced IL-27 and Airway Hyperresponsiveness in Mice.
AB - LPS and IFN-gamma alone or in combination have been implicated in the development
of steroid resistance. Combined LPS/IFN-gamma strongly upregulates IL-27
production, which has been linked to steroid-resistant airway hyperresponsiveness
(AHR). Andrographolide, a bioactive molecule isolated from the plant Andrographis
paniculata, has demonstrated anti-inflammatory and antioxidant properties. The
present study investigated whether andrographolide could restore steroid
sensitivity to block LPS/IFN-gamma-induced IL-27 production and AHR via its
antioxidative property. The mouse macrophage cell line Raw 264.7, mouse primary
lung monocytes/macrophages, and BALB/c mice were treated with LPS/IFN-gamma, in
the presence and absence of dexamethasone and/or andrographolide. Levels of IL-27
in vitro and in vivo were examined and mouse AHR was assessed. Dexamethasone
alone failed to inhibit LPS/IFN-gamma-induced IL-27 production and AHR in mice.
Andrographolide significantly restored the suppressive effect of dexamethasone on
LPS/IFN-gamma-induced IL-27 mRNA and protein levels in the macrophage cell line
and primary lung monocytes/macrophages, mouse bronchoalveolar lavage fluid and
lung tissues, and AHR in mice. LPS/IFN-gamma markedly reduced the nuclear level
of histone deacetylase (HDAC)2, an essential epigenetic enzyme that mediates
steroid anti-inflammatory action. LPS/IFN-gamma also decreased total HDAC
activity but increased the total histone acetyltransferase/HDAC activity ratio in
mouse lungs. Andrographolide significantly restored nuclear HDAC2 protein levels
and total HDAC activity, and it diminished the total histone
acetyltransferase/HDAC activity ratio in mouse lungs exposed to LPS/IFN-gamma,
possibly via suppression of PI3K/Akt/HDAC2 phosphorylation, and upregulation of
the antioxidant transcription factor NF erythroid-2-related factor 2 level and
DNA binding activity. Our data suggest that andrographolide may have therapeutic
value in resensitizing steroid action in respiratory disorders such as asthma.
PMID- 27183598
TI - Myeloid-Restricted AMPKalpha1 Promotes Host Immunity and Protects against IL
12/23p40-Dependent Lung Injury during Hookworm Infection.
AB - How the metabolic demand of parasitism affects immune-mediated resistance is
poorly understood. Immunity against parasitic helminths requires M2 cells and IL
13, secreted by CD4(+) Th2 and group 2 innate lymphoid cells (ILC2), but whether
certain metabolic enzymes control disease outcome has not been addressed. This
study demonstrates that AMP-activated protein kinase (AMPK), a key driver of
cellular energy, regulates type 2 immunity and restricts lung injury following
hookworm infection. Mice with a selective deficiency in the AMPK catalytic alpha1
subunit in alveolar macrophages and conventional dendritic cells produced less IL
13 and CCL17 and had impaired expansion of ILC2 in damaged lung tissue compared
with wild-type controls. Defective type 2 responses were marked by increased
intestinal worm burdens, exacerbated lung injury, and increased production of IL
12/23p40, which, when neutralized, restored IL-13 production and improved lung
recovery. Taken together, these data indicate that defective AMPK activity in
myeloid cells negatively impacts type 2 responses through increased IL-12/23p40
production. These data support an emerging concept that myeloid cells and ILC2
can coordinately regulate tissue damage at mucosal sites through mechanisms
dependent on metabolic enzyme function.
PMID- 27183597
TI - Alveolar Epithelial Cell-Derived Prostaglandin E2 Serves as a Request Signal for
Macrophage Secretion of Suppressor of Cytokine Signaling 3 during Innate
Inflammation.
AB - Preservation of gas exchange mandates that the pulmonary alveolar surface
restrain unnecessarily harmful inflammatory responses to the many challenges to
which it is exposed. These responses reflect the cross-talk between alveolar
epithelial cells (AECs) and resident alveolar macrophages (AMs). We recently
determined that AMs can secrete suppressor of cytokine signaling (SOCS) proteins
within microparticles. Uptake of these SOCS-containing vesicles by epithelial
cells inhibits cytokine-induced STAT activation. However, the ability of
epithelial cells to direct AM release of SOCS-containing vesicles in response to
inflammatory insults has not been studied. In this study, we report that SOCS3
protein was elevated in bronchoalveolar lavage fluid of both virus- and bacteria
infected mice, as well as in an in vivo LPS model of acute inflammation. In vitro
studies revealed that AEC-conditioned medium (AEC-CM) enhanced AM SOCS3 secretion
above basal levels. Increased amounts of PGE2 were present in AEC-CM after LPS
challenge, and both pharmacologic inhibition of PGE2 synthesis in AECs and
neutralization of PGE2 in AEC-CM implicated this prostanoid as the major AEC
derived factor mediating enhanced AM SOCS3 secretion. Moreover, pharmacologic
blockade of PGE2 synthesis or genetic deletion of a PGE2 synthase similarly
attenuated the increase in bronchoalveolar lavage fluid SOCS3 noted in lungs of
mice challenged with LPS in vivo. These results demonstrate a novel tunable form
of cross-talk in which AECs use PGE2 as a signal to request SOCS3 from AMs to
dampen their endogenous inflammatory responses during infection.
PMID- 27183600
TI - The Hayflick Limit May Determine the Effective Clonal Diversity of Naive T Cells.
AB - Having a large number of sufficiently abundant T cell clones is important for
adequate protection against diseases. However, as shown in this paper and
elsewhere, between young adulthood and >70 y of age the effective clonal
diversity of naive CD4/CD8 T cells found in human blood declines by a factor of
>10. (Effective clonal diversity accounts for both the number and the abundance
of T cell clones.) The causes of this observation are incompletely understood. A
previous study proposed that it might result from the emergence of certain rare,
replication-enhancing mutations in T cells. In this paper, we propose an even
simpler explanation: that it results from the loss of T cells that have attained
replicative senescence (i.e., the Hayflick limit). Stochastic numerical
simulations of naive T cell population dynamics, based on experimental
parameters, show that the rate of homeostatic T cell proliferation increases
after the age of ~60 y because naive T cells collectively approach replicative
senescence. This leads to a sharp decline of effective clonal diversity after ~70
y, in agreement with empirical data. A mathematical analysis predicts that,
without an increase in the naive T cell proliferation rate, this decline will
occur >50 yr later than empirically observed. These results are consistent with a
model in which exhaustion of the proliferative capacity of naive T cells causes a
sharp decline of their effective clonal diversity and imply that therapeutic
potentiation of thymopoiesis might either prevent or reverse this outcome.
PMID- 27183599
TI - IL-10-Induced miR-155 Targets SOCS1 To Enhance IgE-Mediated Mast Cell Function.
AB - IL-10 is an important regulatory cytokine that modulates a wide range of immune
cells. Whereas it is best known for its ability to suppress immune responses, IL
10 has been found to be pathogenic in several human and animal studies of immune
mediated diseases. There is a considerable gap in our understanding of the
molecular mechanisms behind the stimulatory effects of IL-10 during allergic
inflammation. IL-10 treatment has been shown to suppress mast cell TNF
production. In this study, we report that whereas TNF secretion was reduced, IL
10 surprisingly enhanced IgE-mediated protease and cytokine production both in
vitro and in vivo. This stimulatory effect was consistent in mouse and human skin
mast cells. IL-10 enhanced activation of the key FcepsilonRI signaling proteins
Stat5, JNK, and ERK. We demonstrate that IL-10 effects are dependent on Stat3
activation, eliciting miR-155 expression, with a resulting loss of suppressor of
cytokine signaling-1. The importance of miR-155 was demonstrated by the inability
of IL-10 to enhance anaphylaxis in miR-155-deficient mice. Taken together, our
results reveal an IL-10-induced, Stat3-miR-155 signaling pathway that can promote
mast cell responses.
PMID- 27183601
TI - Intravaginal Zinc Oxide Tetrapod Nanoparticles as Novel Immunoprotective Agents
against Genital Herpes.
AB - Virtually all efforts to generate an effective protection against the life-long,
recurrent genital infections caused by HSV-2 have failed. Apart from sexual
transmission, the virus can also be transmitted from mothers to neonates, and it
is a key facilitator of HIV coacquisition. In this article, we uncover a
nanoimmunotherapy using specially designed zinc oxide tetrapod nanoparticles
(ZOTEN) with engineered oxygen vacancies. We demonstrate that ZOTEN, when used
intravaginally as a microbicide, is an effective suppressor of HSV-2 genital
infection in female BALB/c mice. The strong HSV-2 trapping ability of ZOTEN
significantly reduced the clinical signs of vaginal infection and effectively
decreased animal mortality. In parallel, ZOTEN promoted the presentation of bound
HSV-2 virions to mucosal APCs, enhancing T cell-mediated and Ab-mediated
responses to the infection, and thereby suppressing a reinfection. We also found
that ZOTEN exhibits strong adjuvant-like properties, which is highly comparable
with alum, a commonly used adjuvant. Overall, to our knowledge, our study
provides the very first evidence for the protective efficacy of an intravaginal
microbicide/vaccine or microbivac platform against primary and secondary female
genital herpes infections.
PMID- 27183602
TI - Dual Function of Ccr5 during Langat Virus Encephalitis: Reduction in Neutrophil
Mediated Central Nervous System Inflammation and Increase in T Cell-Mediated
Viral Clearance.
AB - Tick-borne encephalitis virus (TBEV) is a vector-transmitted flavivirus that
causes potentially fatal neurologic infection. There are thousands of cases
reported annually, and despite the availability of an effective vaccine, the
incidence of TBEV is increasing worldwide. Importantly, up to 30% of affected
individuals develop long-term neurologic sequelae. We investigated the role of
chemokine receptor Ccr5 in a mouse model of TBEV infection using the naturally
attenuated tick-borne flavivirus Langat virus (LGTV). Ccr5-deficient mice
presented with an increase in viral replication within the CNS and decreased
survival during LGTV encephalitis compared with wild-type controls. This enhanced
susceptibility was due to the temporal lag in lymphocyte migration into the CNS.
Adoptive transfer of wild-type T cells, but not Ccr5-deficient T cells,
significantly improved survival outcome in LGTV-infected Ccr5-deficient mice.
Concomitantly, a significant increase in neutrophil migration into the CNS in
LGTV-infected Ccr5(-/-) mice was documented at the late stage of infection. Ab
mediated depletion of neutrophils in Ccr5(-/-) mice resulted in a significant
improvement in mortality, a decrease in viral load, and a decrease in overall
tissue damage in the CNS compared with isotype control-treated mice. Ccr5 is
crucial in directing T cells toward the LGTV-infected brain, as well as in
suppressing neutrophil-mediated inflammation within the CNS.
PMID- 27183603
TI - Elastin-Derived Peptides Promote Abdominal Aortic Aneurysm Formation by
Modulating M1/M2 Macrophage Polarization.
AB - Abdominal aortic aneurysm is a dynamic vascular disease characterized by
inflammatory cell invasion and extracellular matrix degradation. Damage to
elastin in the extracellular matrix results in release of elastin-derived
peptides (EDPs), which are chemotactic for inflammatory cells such as monocytes.
Their effect on macrophage polarization is less well known. Proinflammatory M1
macrophages initially are recruited to sites of injury, but, if their effects are
prolonged, they can lead to chronic inflammation that prevents normal tissue
repair. Conversely, anti-inflammatory M2 macrophages reduce inflammation and aid
in wound healing. Thus, a proper M1/M2 ratio is vital for tissue homeostasis.
Abdominal aortic aneurysm tissue reveals a high M1/M2 ratio in which
proinflammatory cells and their associated markers dominate. In the current
study, in vitro treatment of bone marrow-derived macrophages with EDPs induced M1
macrophage polarization. By using C57BL/6 mice, Ab-mediated neutralization of
EDPs reduced aortic dilation, matrix metalloproteinase activity, and
proinflammatory cytokine expression at early and late time points after aneurysm
induction. Furthermore, direct manipulation of the M1/M2 balance altered aortic
dilation. Injection of M2-polarized macrophages reduced aortic dilation after
aneurysm induction. EDPs promoted a proinflammatory environment in aortic tissue
by inducing M1 polarization, and neutralization of EDPs attenuated aortic
dilation. The M1/M2 imbalance is vital to aneurysm formation.
PMID- 27183604
TI - Highly Dynamic Transcriptional Signature of Distinct Macrophage Subsets during
Sterile Inflammation, Resolution, and Tissue Repair.
AB - Macrophage gene expression determines phagocyte responses and effector functions.
Macrophage plasticity has been mainly addressed in in vitro models that do not
account for the environmental complexity observed in vivo. In this study, we show
that microarray gene expression profiling revealed a highly dynamic landscape of
transcriptomic changes of Ly6C(pos)CX3CR1(lo) and Ly6C(neg)CX3CR1(hi) macrophage
populations during skeletal muscle regeneration after a sterile damage.
Systematic gene expression analysis revealed that the time elapsed, much more
than Ly6C status, was correlated with the largest differential gene expression,
indicating that the time course of inflammation was the predominant driving force
of macrophage gene expression. Moreover, Ly6C(pos)/Ly6C(neg) subsets could not
have been aligned to canonical M1/M2 profiles. Instead, a combination of analyses
suggested the existence of four main features of muscle-derived macrophages
specifying important steps of regeneration: 1) infiltrating Ly6C(pos) macrophages
expressed acute-phase proteins and exhibited an inflammatory profile independent
of IFN-gamma, making them damage-associated macrophages; 2) metabolic changes of
macrophages, characterized by a decreased glycolysis and an increased
tricarboxylic acid cycle/oxidative pathway, preceded the switch to and sustained
their anti-inflammatory profile; 3) Ly6C(neg) macrophages, originating from
skewed Ly6C(pos) cells, actively proliferated; and 4) later on, restorative
Ly6C(neg) macrophages were characterized by a novel profile, indicative of
secretion of molecules involved in intercellular communications, notably matrix
related molecules. These results show the highly dynamic nature of the macrophage
response at the molecular level after an acute tissue injury and subsequent
repair, and associate a specific signature of macrophages to predictive
specialized functions of macrophages at each step of tissue injury/repair.
PMID- 27183606
TI - Thymic Mesenchymal Cells Have a Distinct Transcriptomic Profile.
AB - In order to understand the role of mesenchymal cells (MCs) in the adult thymus,
we performed whole transcriptome analyses of primary thymic, bone, and skin MCs.
These three MC populations shared expression of 2850 core MC genes involved in
generic processes including interactions with tissue-resident macrophages.
Moreover, we discovered that 2036 genes were differentially expressed, by at
least 5-fold, in the three MC populations. Genes preferentially expressed in
thymic MCs are instrumental in clearance of apoptotic thymocytes by macrophages,
maintenance of a noninflammatory milieu, and attraction-expansion of thymocyte
progenitors. Thymic and bone MCs share other sets of differentially expressed
genes implicated in resolution of inflammation and expansion of hematolymphoid
progenitors. Consistent with the fact that thymic and skin MCs have to support
epithelial cells, they express at higher levels genes mediating epithelial cell
adhesion to basement membrane and mesenchymal-epithelial cross-talk.
Differentially expressed genes preferentially expressed by bone MCs are connected
to formation and remodeling of bone, whereas those preferentially expressed in
skin MCs are involved in skin and hair follicle homeostasis. We conclude that MCs
from different organs display substantial heterogeneity and that the
transcriptome of thymic MCs is exquisitely suited for interactions with
epithelial and hematolymphoid cells in an environment with a high apoptosis rate.
PMID- 27183605
TI - RIPK1 and PGAM5 Control Leishmania Replication through Distinct Mechanisms.
AB - Leishmaniasis is an important parasitic disease found in the tropics and
subtropics. Cutaneous and visceral leishmaniasis affect an estimated 1.5 million
people worldwide. Despite its human health relevance, relatively little is known
about the cell death pathways that control Leishmania replication in the host.
Necroptosis is a recently identified form of cell death with potent antiviral
effects. Receptor interacting protein kinase 1 (RIPK1) is a critical kinase that
mediates necroptosis downstream of death receptors and TLRs. Heme, a product of
hemoglobin catabolism during certain intracellular pathogen infections, is also a
potent inducer of macrophage necroptosis. We found that human visceral
leishmaniasis patients exhibit elevated serum levels of heme. Therefore, we
examined the impact of heme and necroptosis on Leishmania replication. Indeed,
heme potently inhibited Leishmania replication in bone marrow-derived
macrophages. Moreover, we found that inhibition of RIPK1 kinase activity also
enhanced parasite replication in the absence of heme. We further found that the
mitochondrial phosphatase phosphoglycerate mutase family member 5 (PGAM5), a
putative downstream effector of RIPK1, was also required for inhibition of
Leishmania replication. In mouse infection, both PGAM5 and RIPK1 kinase activity
are required for IL-1beta expression in response to Leishmania However, PGAM5,
but not RIPK1 kinase activity, was directly responsible for Leishmania-induced IL
1beta secretion and NO production in bone marrow-derived macrophages.
Collectively, these results revealed that RIPK1 and PGAM5 function independently
to exert optimal control of Leishmania replication in the host.
PMID- 27183607
TI - Serum Cytokines as Biomarkers of Early Trypanosoma cruzi infection by Congenital
Exposure.
AB - Trypanosoma cruzi, the causing agent of Chagas disease, leads to an activation of
the immune system in congenitally infected infants. In this study, we measured a
set of cytokines/chemokines and the levels of parasitemia by quantitative PCR in
the circulation of neonates born to T. cruzi-infected mothers to evaluate the
predictive value of these mediators as biomarkers of congenital transmission. We
conducted a retrospective cohort study of 35 infants with congenital T. cruzi
infection, of which 15 and 10 infants had been diagnosed by detection of
parasites by microscopy in the first and sixth month after delivery,
respectively, and the remaining 10 had been diagnosed by the presence of T. cruzi
specific Abs at 10-12 mo old. Uninfected infants born to either T. cruzi-infected
or uninfected mothers were also evaluated as controls. The plasma levels of IL
17A, MCP-1, and monokine induced by IFN-gamma were increased in infants
congenitally infected with T. cruzi, even before they developed detectable
parasitemia or seroconversion. Infants diagnosed between 6 and 12 mo old also
showed increased levels of IL-6 and IL-17F at 1 mo of age. Conversely, infants
who did not develop congenital T. cruzi infection had higher levels of IFN-gamma
than infected infants born to uninfected mothers. Monokine induced by IFN-gamma,
MCP-1, and IFN-gamma production induced in T. cruzi-infected infants correlated
with parasitemia, whereas the plasma levels of IL-17A, IL-17F, and IL-6 were less
parasite load dependent. These findings support the existence of a distinct
profile of cytokines and chemokines in the circulation of infants born to T.
cruzi-infected mothers, which might predict congenital infection.
PMID- 27183608
TI - TGF-beta Signaling in Dendritic Cells Governs Colonic Homeostasis by Controlling
Epithelial Differentiation and the Luminal Microbiota.
AB - Dendritic cells (DCs) mediate host immune responses to gut microbes and play
critical roles in inflammatory bowel disease. In this study, we examined the role
of TGF-beta signaling in DCs in colonic homeostasis. CD11c-cre Tgfbr2(fl/fl) mice
developed spontaneous colitis, and CD11c-cre Tgfbr2(fl/+) mice exhibited
susceptibility to dextran sulfate sodium-induced colitis. Colitis in these mice
was characterized by goblet cell depletion and dysbiosis caused by
Enterobacteriaceae enrichment. Wild-type mice gavaged with Enterobacteriaceae
from CD11c-cre Tgfbr2(fl/fl) mice feces showed severe colitis after dextran
sulfate sodium treatment, whereas those treated with Notch inhibitor exhibited
attenuated colonic injury with increased goblet cell numbers, thickened mucus
layer, and fewer fecal Enterobacteriaceae Wild-type mice transplanted with CD11c
cre Tgfbr2(fl/fl) bone marrow developed colitis showing increased Jagged1 and
Jagged2 in DCs, increased Hes1 levels in epithelium, and goblet cell depletion.
These findings suggest that TGF-beta signaling in DCs regulates intestinal
homeostasis by modulating epithelial cell differentiation and fecal microbiota.
PMID- 27183609
TI - Heightened Immune Activation in Fetuses with Gastroschisis May Be Blocked by
Targeting IL-5.
AB - The development of the fetal immune system during pregnancy is a well
orchestrated process with important consequences for fetal and neonatal health,
but prenatal factors that affect immune activation are poorly understood. We
hypothesized that chronic fetal inflammation may lead to alterations in
development of the fetal immune system. To test this hypothesis, we examined
neonates with gastroschisis, a congenital abdominal wall defect that leads to
exposure of the fetal intestines to amniotic fluid, with resultant intestinal
inflammation. We determined that patients with gastroschisis show high systemic
levels of inflammatory cytokines and chemokines such as eotaxin, as well as
earlier activation of CD4(+) and CD8(+) effector and memory T cells in the cord
blood compared with controls. Additionally, increased numbers of T cells and
eosinophils infiltrate the serosa and mucosa of the inflamed intestines. Using a
mouse model of gastroschisis, we observed higher numbers of eosinophils and both
type 2 and type 3 innate lymphoid cells (ILC2 and ILC3), specifically in the
portion of organs exposed to the amniotic fluid. Given the role of IL-5 produced
by ILC2 in regulating eosinophil development and survival, we determined that
maternal or fetal administration of the anti-IL-5 neutralizing Ab, or a depleting
Ab against ILCs, can both effectively reduce intestinal eosinophilia. Thus, a
congenital anomaly causing chronic inflammation can alter the composition of
circulating and tissue-resident fetal immune cells. Given the high rate of
prenatal and neonatal complications in these patients, such changes have clinical
significance and might become targets for fetal therapy.
PMID- 27183610
TI - Cholesterol Crystals Activate the Lectin Complement Pathway via Ficolin-2 and
Mannose-Binding Lectin: Implications for the Progression of Atherosclerosis.
AB - Cholesterol crystals (CC) play an essential role in the formation of
atherosclerotic plaques. CC activate the classical and the alternative complement
pathways, but the role of the lectin pathway is unknown. We hypothesized that the
pattern recognition molecules (PRMs) from the lectin pathway bind CC and function
as an upstream innate inflammatory signal in the pathophysiology of
atherosclerosis. We investigated the binding of the PRMs mannose-binding lectin
(MBL), ficolin-1, ficolin-2, and ficolin-3, the associated serine proteases, and
complement activation products to CC in vitro using recombinant proteins,
specific inhibitors, as well as deficient and normal sera. Additionally, we
examined the deposition of ficolin-2 and MBL in human carotid plaques by
immunohistochemistry and fluorescence microscopy. The results showed that the
lectin pathway was activated on CC by binding of ficolin-2 and MBL in vitro,
resulting in activation and deposition of complement activation products. MBL
bound to CC in a calcium-dependent manner whereas ficolin-2 binding was calcium
independent. No binding was observed for ficolin-1 or ficolin-3. MBL and ficolin
2 were present in human carotid plaques, and binding of MBL to CC was confirmed
in vivo by immunohistochemistry, showing localization of MBL around CC clefts.
Moreover, we demonstrated that IgM, but not IgG, bound to CC in vitro and that
C1q binding was facilitated by IgM. In conclusion, our study demonstrates that
PRMs from the lectin pathway recognize CC and provides evidence for an important
role for this pathway in the inflammatory response induced by CC in the
pathophysiology of atherosclerosis.
PMID- 27183612
TI - Cutting Edge: Skin CCR10+ CD8+ T Cells Support Resident Regulatory T Cells
through the B7.2/Receptor Axis To Regulate Local Immune Homeostasis and Response.
AB - Resident T cells in barrier tissues are important in protecting against foreign
agents but can also contribute to inflammatory diseases if dysregulated. How T
cell homeostasis is maintained in barrier tissues is still poorly understood. We
report that resident CD8(+) T cells directly support maintenance of regulatory T
cells (Tregs) in the skin to promote immune homeostasis. Impaired establishment
of resident CD8(+) T cells caused by knockout of the skin-homing chemokine
receptor CCR10 resulted in an altered balance of resident Tregs and CD4(+)
effector T cells in the skin and overreactive inflammatory responses to cutaneous
stimulations. Furthermore, B7.2 expressed on skin CD8(+) T cells supports the
survival of Tregs, likely through interaction with its receptor CTLA-4, which is
highly expressed on skin Tregs. Our findings provide novel insights into T cell
homeostatic regulation in the skin and may improve our understanding of the
pathobiology of tissue inflammatory diseases.
PMID- 27183613
TI - Phenotypic and Functional Plasticity of Murine Intestinal NKp46+ Group 3 Innate
Lymphoid Cells.
AB - Group 3 innate lymphoid cells (ILC3) actively participate in mucosal defense and
homeostasis through prompt secretion of IL-17A, IL-22, and IFN-gamma. Reports
identify two ILC3 lineages: a CCR6(+)T-bet(-) subset that appears early in
embryonic development and promotes lymphoid organogenesis and a CCR6(-)T-bet(+)
subset that emerges after microbial colonization and harbors NKp46(+) ILC3. We
demonstrate that NKp46 expression in the ILC3 subset is highly unstable. Cell
fate mapping using Ncr1(CreGFP) * Rosa26(RFP) mice revealed the existence of an
intestinal RFP(+) ILC3 subset (Ncr1(FM)) lacking NKp46 expression at the
transcript and protein levels. Ncr1(FM) ILC3 produced more IL-22 and were
distinguishable from NKp46(+) ILC3 by differential CD117, CD49a, DNAX accessory
molecule-1, and, surprisingly, CCR6 expression. Ncr1(FM) ILC3 emerged after birth
and persisted in adult mice following broad-spectrum antibiotic treatment. These
results identify an unexpected phenotypic instability within NKp46(+) ILC3 that
suggests a major role for environmental signals in tuning ILC3 functional
plasticity.
PMID- 27183611
TI - Aerosol Delivery of a Candidate Universal Influenza Vaccine Reduces Viral Load in
Pigs Challenged with Pandemic H1N1 Virus.
AB - Influenza A viruses are a major health threat to livestock and humans, causing
considerable mortality, morbidity, and economic loss. Current inactivated
influenza vaccines are strain specific and new vaccines need to be produced at
frequent intervals to combat newly arising influenza virus strains, so that a
universal vaccine is highly desirable. We show that pandemic H1N1 influenza virus
in which the hemagglutinin signal sequence has been suppressed (S-FLU), when
administered to pigs by aerosol can induce CD4 and CD8 T cell immune responses in
blood, bronchoalveolar lavage (BAL), and tracheobronchial lymph nodes.
Neutralizing Ab was not produced. Detection of a BAL response correlated with a
reduction in viral titer in nasal swabs and lungs, following challenge with H1N1
pandemic virus. Intratracheal immunization with a higher dose of a heterologous
H5N1 S-FLU vaccine induced weaker BAL and stronger tracheobronchial lymph node
responses and a lesser reduction in viral titer. We conclude that local cellular
immune responses are important for protection against influenza A virus
infection, that these can be most efficiently induced by aerosol immunization
targeting the lower respiratory tract, and that S-FLU is a promising universal
influenza vaccine candidate.
PMID- 27183614
TI - Regulation of Complement-Dependent Cytotoxicity by MicroRNAs miR-200b, miR-200c,
and miR-217.
AB - The impact of microRNAs (miRNAs) known to regulate numerous biologic processes on
complement-dependent cytotoxicity (CDC) was investigated in K562 cells. The C5b-9
complex is the executioner of CDC. Cells protect themselves from CDC by C5b-9
elimination, a process involving the mitochondrial chaperone mortalin/GRP75.
Potential miR-200 (b and c) and miR-217 regulatory sites were identified in
mortalin mRNA. Overexpression of miR-200b/c or miR-217 lowered the expression of
mortalin mRNA. miRNA inhibitors for miR-200b, miR-200c, or miR-217 enhanced
mortalin mRNA level. Unexpectedly, these miRNA modulators had no significant
effect on mortalin protein level. Metabolic labeling analysis demonstrated that,
to compensate for reduction in mortalin mRNA level, the cells increased the rate
of synthesis of mortalin protein. Cells overexpressing miR-200b/c or miR-217
showed reduced sensitivity to CDC, whereas inhibition of miR-200c and miR-217
enhanced cell death. miR-200b/c overexpression reduced C5b-9 binding and enhanced
its release from the cells and promoted mortalin relocation to the plasma
membrane. Inhibition of miR-200 (b and c) and miR-217 had no effect on the
expression level of the membrane complement-regulatory proteins CD46, CD55, and
CD59. However, overexpression of miR-200b/c or miR-217 enhanced expression of
CD46 and CD55 (not of CD59). Overall, the data demonstrate miRNA regulation of
cell sensitivity to CDC. We identified miR-200b, miR-200c, and miR-217 as
regulators of mortalin and, perhaps indirectly, of CD46 and CD55. Cell exposure
to a sublytic dose of complement was shown to increase expression of miR-200 (b
and c), suggesting that complement C5b-9 exerts a feedback-regulatory effect on
these miRNAs.
PMID- 27183615
TI - Dynamics of Individual T Cell Repertoires: From Cord Blood to Centenarians.
AB - The diversity, architecture, and dynamics of the TCR repertoire largely determine
our ability to effectively withstand infections and malignancies with minimal
mistargeting of immune responses. In this study, we have employed deep TCRbeta
repertoire sequencing with normalization based on unique molecular identifiers to
explore the long-term dynamics of T cell immunity. We demonstrate remarkable
stability of repertoire, where approximately half of all T cells in peripheral
blood are represented by clones that persist and generally preserve their
frequencies for 3 y. We further characterize the extremes of lifelong TCR
repertoire evolution, analyzing samples ranging from umbilical cord blood to
centenarian peripheral blood. We show that the fetal TCR repertoire, albeit
structurally maintained within regulated borders due to the lower numbers of
randomly added nucleotides, is not limited with respect to observed functional
diversity. We reveal decreased efficiency of nonsense-mediated mRNA decay in
umbilical cord blood, which may reflect specific regulatory mechanisms in
development. Furthermore, we demonstrate that human TCR repertoires are
functionally more similar at birth but diverge during life, and we track the
lifelong behavior of CMV- and EBV-specific T cell clonotypes. Finally, we reveal
gender differences in dynamics of TCR diversity constriction, which come to
naught in the oldest age. Based on our data, we propose a more general
explanation for the previous observations on the relationships between longevity
and immunity.
PMID- 27183618
TI - Dendritic Cells and Monocytes with Distinct Inflammatory Responses Reside in Lung
Mucosa of Healthy Humans.
AB - Every breath we take contains potentially harmful pathogens or allergens.
Dendritic cells (DCs), monocytes, and macrophages are essential in maintaining a
delicate balance of initiating immunity without causing collateral damage to the
lungs because of an exaggerated inflammatory response. To document the diversity
of lung mononuclear phagocytes at steady-state, we performed bronchoscopies on 20
healthy subjects, sampling the proximal and distal airways (bronchial wash and
bronchoalveolar lavage, respectively), as well as mucosal tissue (endobronchial
biopsies). In addition to a substantial population of alveolar macrophages, we
identified subpopulations of monocytes, myeloid DCs (MDCs), and plasmacytoid DCs
in the lung mucosa. Intermediate monocytes and MDCs were highly frequent in the
airways compared with peripheral blood. Strikingly, the density of mononuclear
phagocytes increased upon descending the airways. Monocytes from blood and
airways produced 10-fold more proinflammatory cytokines than MDCs upon ex vivo
stimulation. However, airway monocytes were less inflammatory than blood
monocytes, suggesting a more tolerant nature. The findings of this study
establish how to identify human lung mononuclear phagocytes and how they function
in normal conditions, so that dysregulations in patients with respiratory
diseases can be detected to elucidate their contribution to immunity or
pathogenesis.
PMID- 27183617
TI - IL-10 Enhances IgE-Mediated Mast Cell Responses and Is Essential for the
Development of Experimental Food Allergy in IL-10-Deficient Mice.
AB - IL-10 is a key pleiotropic cytokine that can both promote and curb Th2-dependent
allergic responses. In this study, we demonstrate a novel role for IL-10 in
promoting mast cell expansion and the development of IgE-mediated food allergy.
Oral OVA challenge in sensitized BALB/c mice resulted in a robust intestinal mast
cell response accompanied by allergic diarrhea, mast cell activation, and a
predominance of Th2 cytokines, including enhanced IL-10 expression. In contrast,
the development of intestinal anaphylaxis, including diarrhea, mast cell
activation, and Th2 cytokine production, was significantly attenuated in IL-10(-/
) mice compared with wild-type (WT) controls. IL-10 also directly promoted the
expansion, survival, and activation of mast cells; increased FcepsilonRI
expression on mast cells; and enhanced the production of mast cell cytokines. IL
10(-/-) mast cells had reduced functional capacity, which could be restored by
exogenous IL-10. Similarly, attenuated passive anaphylaxis in IL-10(-/-) mice
could be restored by IL-10 administration. The adoptive transfer of WT mast cells
restored allergic symptoms in IL-10(-/-) mice, suggesting that the attenuated
phenotype observed in these animals is due to a deficiency in IL-10-responding
mast cells. Lastly, transfer of WT CD4 T cells also restored allergic diarrhea
and intestinal mast cell numbers in IL-10(-/-) mice, suggesting that the
regulation of IL-10-mediated intestinal mast cell expansion is T cell dependent.
Our observations demonstrate a critical role for IL-10 in driving mucosal mast
cell expansion and activation, suggesting that, in its absence, mast cell
function is impaired, leading to attenuated food allergy symptoms.
PMID- 27183619
TI - Distinct Mechanisms Underlie Boosted Polysaccharide-Specific IgG Responses
Following Secondary Challenge with Intact Gram-Negative versus Gram-Positive
Extracellular Bacteria.
AB - Priming of mice with intact, heat-killed cells of Gram-negative Neisseria
meningitidis, capsular serogroup C (MenC) or Gram-positive group B Streptococcus,
capsular type III (GBS-III) bacteria resulted in augmented serum polysaccharide
(PS)-specific IgG titers following booster immunization. Induction of memory
required CD4(+) T cells during primary immunization. We determined whether PS
specific memory for IgG production was contained within the B cell and/or T cell
populations, and whether augmented IgG responses following booster immunization
were also dependent on CD4(+) T cells. Adoptive transfer of purified B cells from
MenC- or GBS-III-primed, but not naive mice resulted in augmented PS-specific IgG
responses following booster immunization. Similar responses were observed when
cotransferred CD4(+) T cells were from primed or naive mice. Similarly, primary
immunization with unencapsulated MenC or GBS-III, to potentially prime CD4(+) T
cells, failed to enhance PS-specific IgG responses following booster immunization
with their encapsulated isogenic partners. Furthermore, in contrast to GBS-III,
depletion of CD4(+) T cells during secondary immunization with MenC or another
Gram-negative bacteria, Acinetobacter baumannii, did not inhibit augmented PS
specific IgG booster responses of mice primed with heat-killed cells. Also, in
contrast with GBS-III, booster immunization of MenC-primed mice with isolated
MenC-PS, a TI Ag, or a conjugate of MenC-PS and tetanus toxoid elicited an
augmented PS-specific IgG response similar to booster immunization with intact
MenC. These data demonstrate that memory for augmented PS-specific IgG booster
responses to Gram-negative and Gram-positive bacteria is contained solely within
the B cell compartment, with a differential requirement for CD4(+) T cells for
augmented IgG responses following booster immunization.
PMID- 27183616
TI - Properdin-Mediated C5a Production Enhances Stable Binding of Platelets to
Granulocytes in Human Whole Blood.
AB - Enhanced levels of platelet/granulocyte aggregates (PGAs) are found in patients
suffering from many different inflammatory vascular diseases, and their formation
in animal models of vascular disease is associated with increased
thromboinflammation and worsened outcomes. The complement system, a part of the
innate immune system, influences PGA formation, but the mechanisms for its
effects are unknown. In this study, we have defined complement-mediated
mechanisms that enhance PGA formation in human whole blood stimulated with
thrombin receptor-activating peptide (TRAP) using ex vivo flow cytometry assays.
We demonstrate that physiological properdin, a positive regulator of complement
alternative pathway activity, increases PGA formation when added to TRAP
stimulated blood. All physiological properdin forms increase PGA formation, but
properdin tetramers are the most efficient at increasing complement activity and
PGA formation. Inhibition of endogenous properdin, either circulating in the
blood or produced locally by leukocytes, impairs TRAP-mediated PGA formation to
the same level as specific inhibition of either the alternative or classical
pathway. Additionally, blocking the interaction of C5a with its cellular receptor
prevents properdin-mediated increases in PGA formation. Adding either properdin
tetramers or C5a to whole blood increases CD11b expression on granulocytes, and
this increase is prevented by blockade of the C5a-C5a receptor axis. Finally, we
demonstrate that the effects of properdin on PGA formation are tightly regulated
by Factor H. Cumulatively, our data indicate that properdin enhances PGA
formation via increased production of C5a, and that inhibition of properdin
function has therapeutic potential to limit thromboinflammation in diseases
characterized by increased PGA formation.
PMID- 27183620
TI - Privileged Antigen Presentation in Splenic B Cell Follicles Maximizes T Cell
Responses in Prime-Boost Vaccination.
AB - Effector T cells (TEFF) are a barrier to booster vaccination because they can
rapidly kill Ag-bearing APCs before memory T cells are engaged. We report in this
study that i.v. delivery of rhabdoviral vectors leads to direct infection of
follicular B cells in the spleen, where the earliest evidence of secondary T cell
responses was observed. This allows booster immunizations to rapidly expand
CD8(+) central memory T cells (TCM) during the acute phase of the primary
response that is dominated by TEFF Interestingly, although the ablation of B
cells before boosting with rhabdoviral vectors diminishes the expansion of memory
T cells, B cells do not present Ags directly. Instead, depletion of CD11c(+)
dendritic cells abrogates secondary T cell expansion, suggesting that virus
infected follicular B cells may function as an Ag source for local DCs to
subsequently capture and present the Ag. Because TCM are located within B cell
follicles in the spleen whereas TEFF cannot traffic through follicular regions,
Ag production and presentation by follicular APCs represent a unique mechanism to
secure engagement of TCM during an ongoing effector response. Our data offer
insights into novel strategies for rapid expansion of CD8(+) T cells using prime
boost vaccines by targeting privileged sites for Ag presentation.
PMID- 27183622
TI - Heterologous Vaccination and Checkpoint Blockade Synergize To Induce Antileukemia
Immunity.
AB - Checkpoint blockade-based immunotherapies are effective in cancers with high
numbers of nonsynonymous mutations. In contrast, current paradigms suggest that
such approaches will be ineffective in cancers with few nonsynonymous mutations.
To examine this issue, we made use of a murine model of BCR-ABL(+) B-lineage
acute lymphoblastic leukemia. Using a principal component analysis, we found that
robust MHC class II expression, coupled with appropriate costimulation,
correlated with lower leukemic burden. We next assessed whether checkpoint
blockade or therapeutic vaccination could improve survival in mice with pre
established leukemia. Consistent with the low mutation load in our leukemia
model, we found that checkpoint blockade alone had only modest effects on
survival. In contrast, robust heterologous vaccination with a peptide derived
from the BCR-ABL fusion (BAp), a key driver mutation, generated a small
population of mice that survived long-term. Checkpoint blockade strongly
synergized with heterologous vaccination to enhance overall survival in mice with
leukemia. Enhanced survival did not correlate with numbers of BAp:I-A(b)-specific
T cells, but rather with increased expression of IL-10, IL-17, and granzyme B and
decreased expression of programmed death 1 on these cells. Our findings
demonstrate that vaccination to key driver mutations cooperates with checkpoint
blockade and allows for immune control of cancers with low nonsynonymous mutation
loads.
PMID- 27183621
TI - TNF and CD28 Signaling Play Unique but Complementary Roles in the Systemic
Recruitment of Innate Immune Cells after Staphylococcus aureus Enterotoxin A
Inhalation.
AB - Staphylococcus aureus enterotoxins cause debilitating systemic inflammatory
responses, but how they spread systemically and trigger inflammatory cascade is
unclear. In this study, we showed in mice that after inhalation, Staphylococcus
aureus enterotoxin A rapidly entered the bloodstream and induced T cells to
orchestrate systemic recruitment of inflammatory monocytes and neutrophils. To
study the mechanism used by specific T cells that mediate this process, a systems
approach revealed inducible and noninducible pathways as potential targets. It
was found that TNF caused neutrophil entry into the peripheral blood, whereas
CD28 signaling, but not TNF, was needed for chemotaxis of inflammatory monocytes
into blood and lymphoid tissue. However, both pathways triggered local
recruitment of neutrophils into lymph nodes. Thus, our findings revealed a dual
mechanism of monocyte and neutrophil recruitment by T cells relying on
overlapping and nonoverlapping roles for the noninducible costimulatory receptor
CD28 and the inflammatory cytokine TNF. During sepsis, there might be clinical
value in inhibiting CD28 signaling to decrease T cell-mediated inflammation and
recruitment of innate cells while retaining bioactive TNF to foster neutrophil
circulation.
PMID- 27183625
TI - The Complement C3a Receptor Contributes to Melanoma Tumorigenesis by Inhibiting
Neutrophil and CD4+ T Cell Responses.
AB - The complement peptide C3a is a key component of the innate immune system and a
major fragment produced following complement activation. We used a murine model
of melanoma (B16-F0) to identify a hitherto unknown role for C3a-C3aR signaling
in promoting tumor growth. The results show that the development and growth of
B16-F0 melanomas is retarded in mice lacking C3aR, whereas growth of established
melanomas can be arrested by C3aR antagonism. Flow cytometric analysis showed
alterations in tumor-infiltrating leukocytes in the absence of C3aR.
Specifically, neutrophils and CD4(+) T lymphocyte subpopulations were increased,
whereas macrophages were reduced. The central role of neutrophils was confirmed
by depletion experiments that reversed the tumor inhibitory effects observed in
C3aR-deficient mice and returned tumor-infiltrating CD4(+) T cells to control
levels. Analysis of the tumor microenvironment showed upregulation of
inflammatory genes that may contribute to the enhanced antitumor response
observed in C3aR-deficient mice. C3aR deficiency/inhibition was also protective
in murine models of BRAF(V600E) mutant melanoma and colon and breast cancer,
suggesting a tumor-promoting role for C3aR signaling in a range of tumor types.
We propose that C3aR activation alters the tumor inflammatory milieu, thereby
promoting tumor growth. Therapeutic inhibition of C3aR may therefore be an
effective means to trigger an antitumor response in melanoma and other cancers.
PMID- 27183626
TI - LSm14A Plays a Critical Role in Antiviral Immune Responses by Regulating MITA
Level in a Cell-Specific Manner.
AB - Viral infection triggers induction of antiviral cytokines and effectors, which
are critical mediators of innate antiviral immune response. It has been shown
that the processing body-associated protein LSm14A is involved in the induction
of antiviral cytokines in cell lines but in vivo evidence is lacking. By
generating LSm14A-deficient mice, in this study, we show that LSm14A plays a
critical and specific role in the induction of antiviral cytokines in dendritic
cells (DCs) but not in macrophages and fibroblasts. Induction of antiviral
cytokines triggered by the DNA viruses HSV-1 and murid herpesvirus 68 and the RNA
virus vesicular stomatitis virus but not Sendai virus was impaired in Lsm14a(-/-)
DCs, which is correlated to the functions of the adaptor protein MITA/STING in
the antiviral signaling pathways. LSm14A deficiency specifically downregulated
MITA/STING level in DCs by impairing its nuclear mRNA precursor processing and
subsequently impaired antiviral innate and adaptive immune responses. Our
findings reveal a nuclear mRNA precursor processing and cell-specific regulatory
mechanism of antiviral immune responses.
PMID- 27183623
TI - Tbet or Continued RORgammat Expression Is Not Required for Th17-Associated
Immunopathology.
AB - The discovery of Th17 cell plasticity, in which CD4(+) IL-17-producing Th17 cells
give rise to IL-17/IFN-gamma double-producing cells and Th1-like IFNgamma(+) ex
Th17 lymphocytes, has raised questions regarding which of these cell types
contribute to immunopathology during inflammatory diseases. In this study, we
show using Helicobacter hepaticus-induced intestinal inflammation that IL
17A(Cre)- or Rag1(Cre)-mediated deletion of Tbx21 has no effect on the generation
of IL-17/IFN-gamma double-producing cells, but leads to a marked absence of Th1
like IFNgamma(+) ex-Th17 cells. Despite the lack of Th1-like ex-Th17 cells, the
degree of H. hepaticus-triggered intestinal inflammation in mice in which Tbx21
was excised in IL-17-producing or Rag1-expressing cells is indistinguishable from
that observed in control mice. In stark contrast, using experimental autoimmune
encephalomyelitis, we show that IL-17A(Cre)-mediated deletion of Tbx21 prevents
the conversion of Th17 cells to IL-17A/IFN-gamma double-producing cells as well
as Th1-like IFN-gamma(+) ex-Th17 cells. However, IL-17A(Cre)-mediated deletion of
Tbx21 has only limited effects on disease course in this model and is not
compensated by Ag-specific Th1 cells. IL-17A(Cre)-mediated deletion of Rorc
reveals that RORgammat is essential for the maintenance of the Th17 cell lineage,
but not immunopathology during experimental autoimmune encephalomyelitis. These
results show that neither the single Th17 subset, nor its progeny, is solely
responsible for immunopathology or autoimmunity.
PMID- 27183624
TI - IDO2 Modulates T Cell-Dependent Autoimmune Responses through a B Cell-Intrinsic
Mechanism.
AB - Mechanistic insight into how adaptive immune responses are modified along the
self-nonself continuum may offer more effective opportunities to treat autoimmune
disease, cancer, and other sterile inflammatory disorders. Recent genetic studies
in the KRN mouse model of rheumatoid arthritis demonstrate that the
immunomodulatory molecule IDO2 modifies responses to self-antigens; however, the
mechanisms involved are obscure. In this study, we show that IDO2 exerts a
critical function in B cells to support the generation of autoimmunity. In
experiments with IDO2-deficient mice, adoptive transplant experiments
demonstrated that IDO2 expression in B cells was both necessary and sufficient to
support robust arthritis development. IDO2 function in B cells was contingent on
a cognate, Ag-specific interaction to exert its immunomodulatory effects on
arthritis development. We confirmed a similar requirement in an established model
of contact hypersensitivity, in which IDO2-expressing B cells are required for a
robust inflammatory response. Mechanistic investigations showed that IDO2
deficient B cells lacked the ability to upregulate the costimulatory marker CD40,
suggesting IDO2 acts at the T-B cell interface to modulate the potency of T cell
help needed to promote autoantibody production. Overall, our findings revealed
that IDO2 expression by B cells modulates autoimmune responses by supporting the
cross talk between autoreactive T and B cells.
PMID- 27183627
TI - Inflammatory Signals Regulate IL-15 in Response to Lymphodepletion.
AB - Induction of lymphopenia has been exploited therapeutically to improve immune
responses to cancer therapies and vaccinations. Whereas IL-15 has well
established roles in stimulating lymphocyte responses after lymphodepletion, the
mechanisms regulating these IL-15 responses are unclear. We report that cell
surface IL-15 expression is upregulated during lymphopenia induced by total body
irradiation (TBI), cyclophosphamide, or Thy1 Ab-mediated T cell depletion, as
well as in RAG(-/-) mice; interestingly, the cellular profile of surface IL-15
expression is distinct in each model. In contrast, soluble IL-15 (sIL-15)
complexes are upregulated only after TBI or alphaThy1 Ab. Analysis of cell
specific IL-15Ralpha conditional knockout mice revealed that macrophages and
dendritic cells are important sources of sIL-15 complexes after TBI but provide
minimal contribution in response to Thy1 Ab treatment. Unlike with TBI, induction
of sIL-15 complexes by alphaThy1 Ab is sustained and only partially dependent on
type I IFNs. The stimulator of IFN genes pathway was discovered to be a potent
inducer of sIL-15 complexes and was required for optimal production of sIL-15
complexes in response to Ab-mediated T cell depletion and TBI, suggesting
products of cell death drive production of sIL-15 complexes after
lymphodepletion. Lastly, we provide evidence that IL-15 induced by inflammatory
signals in response to lymphodepletion drives lymphocyte responses, as memory CD8
T cells proliferated in an IL-15-dependent manner. Overall, these studies
demonstrate that the form in which IL-15 is expressed, its kinetics and cellular
sources, and the inflammatory signals involved are differentially dictated by the
manner in which lymphopenia is induced.
PMID- 27183629
TI - Activation of Plasmacytoid Dendritic Cells in Colon-Draining Lymph Nodes during
Citrobacter rodentium Infection Involves Pathogen-Sensing and Inflammatory
Pathways Distinct from Conventional Dendritic Cells.
AB - Dendritic cells (DCs) bear the main responsibility for initiation of adaptive
immune responses necessary for antimicrobial immunity. In the small intestine,
afferent lymphatics convey Ags and microbial signals to mesenteric lymph nodes
(LNs) to induce adaptive immune responses against microbes and food Ags derived
from the small intestine. Whether the large intestine is covered by the same
lymphatic system or represents its own lymphoid compartment has not been studied
until very recently. We identified three small mesenteric LNs, distinct from
small intestinal LNs, which drain lymph specifically from the colon, and studied
DC responses to the attaching and effacing pathogen Citrobacter rodentium in
these. Transcriptional profiling of conventional (CD11c(high)CD103(high)) DC and
plasmacytoid (plasmacytoid DC Ag-1(high)B220(+)CD11c(int)) DC (pDC) populations
during steady-state conditions revealed activity of distinct sets of genes in
these two DC subsets, both in small intestinal and colon-draining LNs. C.
rodentium activated DC especially in colon-draining LNs, and gene expression
changed in pDC more profoundly than in conventional DC. Among the genes most
upregulated in pDC were C-type lectin receptor CLEC4E, IL-1Rs (IL-1R1 and -2),
proinflammatory cytokines (IL-1a and IL-6), and TLR6. Our results indicate that
colon immune surveillance is distinct from that of the small intestine in terms
of draining LNs, and identify pDC as active sentinels of colonic inflammation
and/or microbial dysbiosis.
PMID- 27183628
TI - Novel Teleost CD4-Bearing Cell Populations Provide Insights into the Evolutionary
Origins and Primordial Roles of CD4+ Lymphocytes and CD4+ Macrophages.
AB - Tetrapods contain a single CD4 coreceptor with four Ig domains that likely arose
from a primordial two-domain ancestor. Notably, teleost fish contain two CD4
genes. Like tetrapod CD4, CD4-1 of rainbow trout includes four Ig domains,
whereas CD4-2 contains only two. Because CD4-2 is reminiscent of the prototypic
two-domain CD4 coreceptor, we hypothesized that by characterizing the cell types
bearing CD4-1 and CD4-2, we would shed light into the evolution and primordial
roles of CD4-bearing cells. Using newly established mAbs against CD4-1 and CD4-2,
we identified two bona-fide CD4(+) T cell populations: a predominant lymphocyte
population coexpressing surface CD4-1 and CD4-2 (CD4 double-positive [DP]), and a
minor subset expressing only CD4-2 (CD4-2 single-positive [SP]). Although both
subsets produced equivalent levels of Th1, Th17, and regulatory T cell cytokines
upon bacterial infection, CD4-2 SP lymphocytes were less proliferative and
displayed a more restricted TCRbeta repertoire. These data suggest that CD4-2 SP
cells represent a functionally distinct population and may embody a vestigial
CD4(+) T cell subset, the roles of which reflect those of primeval CD4(+) T
cells. Importantly, we also describe the first CD4(+) monocyte/macrophage
population in a nonmammalian species. Of all myeloid subsets, we found the CD4(+)
population to be the most phagocytic, whereas CD4(+) lymphocytes lacked this
capacity. This study fills in an important gap in the knowledge of teleost CD4
bearing leukocytes, thus revealing critical insights into the evolutionary
origins and primordial roles of CD4(+) lymphocytes and CD4(+)
monocytes/macrophages.
PMID- 27183630
TI - ERbeta in CD4+ T Cells Is Crucial for Ligand-Mediated Suppression of Central
Nervous System Autoimmunity.
AB - The development of therapies for multiple sclerosis targeting pathogenic T cell
responses remains imperative. Previous studies have shown that estrogen receptor
(ER) beta ligands could inhibit experimental autoimmune encephalomyelitis.
However, the effects of ERbeta-specific ligands on human or murine pathogenic
immune cells, such as Th17, were not investigated. In this article, we show that
the synthetic ERbeta-specific ligand 4-(2-phenyl-5,7
bis[trifluoromethyl]pyrazolo[1,5-a]pyrimidin-3-yl)phenol (PHTPP) reversed
established paralysis and CNS inflammation, characterized by a dramatic
suppression of pathogenic Th responses as well as induction of IL-10-producing
regulatory CD4(+) T cell subsets in vivo. Moreover, administration of PHTPP in
symptomatic mice induced regulatory CD4(+) T cells that were suppressive in vivo.
PHTPP-mediated experimental autoimmune encephalomyelitis amelioration was
canceled in mice with ERbeta-deficient CD4(+) T cells only, indicating that
expression of ERbeta by these cells is crucial for the observed therapeutic
effect. Importantly, synthetic ERbeta-specific ligands acting directly on CD4(+)
T cells suppressed human and mouse Th17 cells, downregulating Th17 cell signature
gene expression and expanding IL-10-producing T cells among them. TGF-beta1 and
aryl hydrocarbon receptor activation enhanced the ERbeta ligand-mediated
expansion of IL-10-producing T cells among Th17 cells. In addition, these ERbeta
specific ligands promoted the induction and maintenance of Foxp3(+) T regulatory
cells, as well as their in vitro suppressive function. Thus, ERbeta-specific
ligands targeting pathogenic Th17 cells and inducing functional regulatory cells
represent a promising subset of therapeutic agents for multiple sclerosis.
PMID- 27183632
TI - Multiple IgH Isotypes Including IgD, Subclasses of IgM, and IgY Are Expressed in
the Common Ancestors of Modern Birds.
AB - Although evolutionarily just as ancient as IgM, it has been thought for many
years that IgD is not present in birds. Based on the recently sequenced genomes
of 48 bird species as well as high-throughput transcriptome sequencing of immune
related tissues, we demonstrate in this work that the ostrich (Struthio camelus)
possesses a functional delta gene that encodes a membrane-bound IgD H chain with
seven CH domains. Furthermore, delta sequences were clearly identified in many
other bird species, demonstrating that the delta gene is widely distributed among
birds and is only absent in certain bird species. We also show that the ostrich
possesses two MU genes (MU1, MU2) and two upsilon genes (upsilon1, upsilon2), in
addition to the delta and alpha genes. Phylogenetic analyses suggest that
subclass diversification of both the MU and upsilon genes occurred during the
early stages of bird evolution, after their divergence from nonavian reptiles.
Although the positions of the two upsilon genes are unknown, physical mapping
showed that the remaining genes are organized in the order MU1-delta-alpha-MU2,
with the alpha gene being inverted relative to the others. Together with previous
studies, our data suggest that birds and nonavian reptile species most likely
shared a common ancestral IgH gene locus containing a delta gene and an inverted
alpha gene. The delta gene was then evolutionarily lost in selected birds,
whereas the alpha gene lost in selected nonavian reptiles. The data obtained in
this study provide significant insights into the understanding of IgH gene
evolution in tetrapods.
PMID- 27183631
TI - M-CSF Mediates Host Defense during Bacterial Pneumonia by Promoting the Survival
of Lung and Liver Mononuclear Phagocytes.
AB - Gram-negative bacterial pneumonia is a common and dangerous infection with
diminishing treatment options due to increasing antibiotic resistance among
causal pathogens. The mononuclear phagocyte system is a heterogeneous group of
leukocytes composed of tissue-resident macrophages, dendritic cells, and monocyte
derived cells that are critical in defense against pneumonia, but mechanisms that
regulate their maintenance and function during infection are poorly defined. M
CSF has myriad effects on mononuclear phagocytes but its role in pneumonia is
unknown. We therefore tested the hypothesis that M-CSF is required for
mononuclear phagocyte-mediated host defenses during bacterial pneumonia in a
murine model of infection. Genetic deletion or immunoneutralization of M-CSF
resulted in reduced survival, increased bacterial burden, and greater lung
injury. M-CSF was necessary for the expansion of lung mononuclear phagocytes
during infection but did not affect the number of bone marrow or blood monocytes,
proliferation of precursors, or recruitment of leukocytes to the lungs. In
contrast, M-CSF was essential to survival and antimicrobial functions of both
lung and liver mononuclear phagocytes during pneumonia, and its absence resulted
in bacterial dissemination to the liver and hepatic necrosis. We conclude that M
CSF is critical to host defenses against bacterial pneumonia by mediating
survival and antimicrobial functions of mononuclear phagocytes in the lungs and
liver.
PMID- 27183633
TI - Phase-Variable Heptose I Glycan Extensions Modulate Efficacy of 2C7 Vaccine
Antibody Directed against Neisseria gonorrhoeae Lipooligosaccharide.
AB - Neisseria gonorrhoeae, the causative agent of the sexually transmitted infection,
gonorrhea, has developed resistance to most conventional antibiotics. Safe and
effective vaccines against gonorrhea are needed urgently. A candidate vaccine
that targets a lipooligosaccharide (LOS) epitope recognized mAb 2C7 attenuates
gonococcal burden in the mouse vaginal colonization model. Glycan extensions from
the LOS core heptoses (HepI and HepII) are controlled by phase-variable LOS
glycosyltransferase (lgt) genes; we sought to define how HepI glycan extensions
affect mAb 2C7 function. Isogenic gonococcal mutants in which the lgt required
for mAb 2C7 reactivity (lgtG) was genetically locked on and the lgt loci required
for HepI variation (lgtA, lgtC, and lgtD) were genetically locked on or off in
different combinations were created. We observed 100% complement-dependent
killing by mAb 2C7 of a mutant that expressed lactose (Gal-Glc) from HepI,
whereas a mutant that expressed Gal-Gal-Glc-HepI fully resisted killing (>100%
survival). Mutants that elaborated 4- (Gal-GlcNAc-Gal-Glc-HepI) and 5-glycan
(GalNAc-Gal-GlcNAc-Gal-Glc-HepI) structures displayed intermediate phenotypes
(<50% killing with 2 MUg/ml and >95% killing with 4 MUg/ml mAb 2C7). The
contrasting phenotypes of the lactose-HepI and the Gal-Gal-Glc-HepI LOS
structures were recapitulated with phase variants of a recently isolated clinical
strain. Despite lack of killing of the Gal-Gal-Glc-HepI mutants, mAb 2C7
deposited sufficient C3 on these bacteria for opsonophagocytic killing by human
neutrophils. In conclusion, mAb 2C7 showed functional activity against all
gonococcal HepI LOS structures defined by various lgtA/C/D on/off combinations,
thereby providing further impetus for use of the 2C7 epitope in a gonococcal
vaccine.
PMID- 27183636
TI - Prolonged Intake of Dietary Lipids Alters Membrane Structure and T Cell Responses
in LDLr-/- Mice.
AB - Although it is recognized that lipids and membrane organization in T cells affect
signaling and T cell activation, to what extent dietary lipids alter T cell
responsiveness in the absence of obesity and inflammation is not known. In this
study, we fed low-density lipoprotein receptor knockout mice a Western high-fat
diet for 1 or 9 wk and examined T cell responses in vivo along with T cell lipid
composition, membrane order, and activation ex vivo. Our data showed that high
levels of circulating lipids for a prolonged period elevated CD4(+) and CD8(+) T
cell proliferation and resulted in an increased proportion of CD4(+) central
memory T cells within the draining lymph nodes following induction of contact
hypersensitivity. In addition, the 9-wk Western high-fat diet elevated the total
phospholipid content and monounsaturated fatty acid level, but decreased
saturated phosphatidylcholine and sphingomyelin within the T cells. The altered
lipid composition in the circulation, and of T cells, was also reflected by
enhanced membrane order at the activation site of ex vivo activated T cells that
corresponded to increased IL-2 mRNA levels. In conclusion, dietary lipids can
modulate T cell lipid composition and responses in lipoprotein receptor knockout
mice even in the absence of excess weight gain and a proinflammatory environment.
PMID- 27183634
TI - Ubiquitin Ligases and Deubiquitinating Enzymes in CD4+ T Cell Effector Fate
Choice and Function.
AB - The human body is exposed to potentially pathogenic microorganisms at barrier
sites such as the skin, lungs, and gastrointestinal tract. To mount an effective
response against these pathogens, the immune system must recruit the right cells
with effector responses that are appropriate for the task at hand. Several types
of CD4(+) T cells can be recruited, including Th cells (Th1, Th2, and Th17), T
follicular helper cells, and regulatory T cells. These cells help to maintain
normal immune homeostasis in the face of constantly changing microbes in the
environment. Because these cells differentiate from a common progenitor, the
composition of their intracellular milieu of proteins changes to appropriately
guide their effector function. One underappreciated process that impacts the
levels and functions of effector fate-determining factors is ubiquitylation. This
review details our current understanding of how ubiquitylation regulates CD4(+) T
cell effector identity and function.
PMID- 27183635
TI - Alloimmunity and Tolerance in Corneal Transplantation.
AB - Corneal transplantation is one of the most prevalent and successful forms of
solid tissue transplantation. Despite favorable outcomes, immune-mediated graft
rejection remains the major cause of corneal allograft failure. Although low-risk
graft recipients with uninflamed graft beds enjoy a success rate ~90%, the
rejection rates in inflamed graft beds or high-risk recipients often exceed 50%,
despite maximal immune suppression. In this review, we discuss the critical
facets of corneal alloimmunity, including immune and angiogenic privilege,
mechanisms of allosensitization, cellular and molecular mediators of graft
rejection, and allotolerance induction.
PMID- 27183638
TI - Dok1 and Dok2 Proteins Regulate Cell Cycle in Hematopoietic Stem and Progenitor
Cells.
AB - Dok1 and Dok2 proteins play a crucial role in myeloid cell proliferation as
demonstrated by Dok1 and Dok2 gene inactivation, which induces a
myeloproliferative disease in aging mice. In this study, we show that Dok1/Dok2
deficiency affects myeloproliferation even at a young age. An increase in the
cellularity of multipotent progenitors is observed in young Dok1/Dok2-deficient
mice. This is associated with an increase in the cells undergoing cell cycle,
which is restricted to myeloid committed progenitors. Furthermore, cellular
stress triggered by 5-fluorouracil (5-FU) treatment potentiates the effects of
the loss of Dok proteins on multipotent progenitor cell cycle. In addition,
Dok1/Dok2 deficiency induces resistance to 5-FU-induced hematopoietic stem cell
exhaustion. Taken together, these results demonstrate that Dok1 and Dok2 proteins
are involved in the control of hematopoietic stem cell cycle regulation.
PMID- 27183637
TI - Production of Proinflammatory Cytokines by Monocytes in Liver-Transplanted
Recipients with De Novo Autoimmune Hepatitis Is Enhanced and Induces TH1-like
Regulatory T Cells.
AB - A subset of human regulatory T cells (Tregs) can secrete IFN-gamma or IL-17, and
thus share features of TH1 or TH17 effector cells and lose suppressive function.
The main factors driving this differentiation of Tregs toward a proinflammatory
phenotype include IL-12 for TH1-like and IL-6 for TH17-type Tregs. In this study
we show that Tregs of patients with de novo autoimmune hepatitis (dAIH) display
increased frequencies of proinflammatory IFN-gamma and IL-17 cytokines.
Irrespective of a fully demethylated FOXP3 locus, Tregs of subjects with dAIH are
functionally impaired. In line with the observed Treg phenotype, we detected the
presence of two dominant cytokines (IL-12 and IL-6) clustering with CD68(+)
monocyte/macrophage cells in livers of subjects with dAIH, and isolated monocytes
of subjects with dAIH secrete high levels of proinflammatory IL-12 and IL-6,
suggesting that this inflammatory milieu is key for functional impairment of
Tregs. Importantly, the blockade of IFN-gamma partially restores suppressive
function of Tregs of subjects with dAIH, indicating that monocyte/macrophage
derived triggers might play a central role in Treg dysfunction and pathogenesis
of dAIH.
PMID- 27183639
TI - NK Cells Help Induce Anti-Hepatitis B Virus CD8+ T Cell Immunity in Mice.
AB - Although recent clinical studies demonstrate that NK cell function is impaired in
hepatitis B virus (HBV)-persistent patients, whether or how NK cells play a role
in anti-HBV adaptive immunity remains to be explored. Using a mouse model
mimicking acute HBV infection by hydrodynamic injection of an HBV plasmid, we
observed that although serum hepatitis B surface Ag and hepatitis B envelope Ag
were eliminated within 3 to 4 wk, HBV might persist for >8 wk in CD8(-/-) mice
and that adoptive transfer of anti-HBV CD8(+) T cells restored the ability to
clear HBV in HBV-carrier Rag1(-/-) mice. These results indicate that CD8(+) T
cells are critical in HBV elimination. Furthermore, NK cells increased IFN-gamma
production after HBV plasmid injection, and NK cell depletion led to
significantly increased HBV persistence along with reduced frequency of hepatitis
B core Ag-specific CD8(+) T cells. Adoptive transfer of IFN-gamma-sufficient NK
cells restored donor CD8(+) T cell function, indicating that NK cells positively
regulated CD8(+) T cells via secreting IFN-gamma. We also observed that NK cell
depletion correlated with decreased effector memory CD8(+) T cell frequencies.
Importantly, adoptive transfer experiments showed that NK cells were involved in
anti-HBV CD8(+) T cell recall responses. Moreover, DX5(+)CD49a(-) conventional,
but not DX5(-)CD49a(+) liver-resident, NK cells were involved in improving CD8(+)
T cell responses against HBV. Overall, the current study reveals that NK cells,
especially DX5(+)CD49a(-) conventional NK cells, promote the antiviral activity
of CD8(+) T cell responses via secreting IFN-gamma in a mouse model mimicking
acute HBV infection.
PMID- 27183640
TI - Dopamine Receptor D3 Signaling on CD4+ T Cells Favors Th1- and Th17-Mediated
Immunity.
AB - Dopamine receptor D3 (DRD3) expressed on CD4(+) T cells is required to promote
neuroinflammation in a murine model of Parkinson's disease. However, how DRD3
signaling affects T cell-mediated immunity remains unknown. In this study, we
report that TCR stimulation on mouse CD4(+) T cells induces DRD3 expression,
regardless of the lineage specification. Importantly, functional analyses
performed in vivo using adoptive transfer of OVA-specific OT-II cells into wild
type recipients show that DRD3 deficiency in CD4(+) T cells results in attenuated
differentiation of naive CD4(+) T cells toward the Th1 phenotype, exacerbated
generation of Th2 cells, and unaltered Th17 differentiation. The reciprocal
regulatory effect of DRD3 signaling in CD4(+) T cells favoring Th1 generation and
impairing the acquisition of Th2 phenotype was also reproduced using in vitro
approaches. Mechanistic analysis indicates that DRD3 signaling evokes suppressor
of cytokine signaling 5 expression, a negative regulator of Th2 development,
which indirectly favors acquisition of Th1 phenotype. Accordingly, DRD3
deficiency results in exacerbated eosinophil infiltration into the airways of
mice undergoing house dust mite-induced allergic response. Interestingly, our
results show that, upon chronic inflammatory colitis induced by transfer of naive
CD4(+) T cells into lymphopenic recipients, DRD3 deficiency not only affects Th1
response, but also the frequency of Th17 cells, suggesting that DRD3 signaling
also contributes to Th17 expansion under chronic inflammatory conditions. In
conclusion, our findings indicate that DRD3-mediated signaling in CD4(+) T cells
plays a crucial role in the balance of effector lineages, favoring the
inflammatory potential of CD4(+) T cells.
PMID- 27183641
TI - Helicobacter pylori gamma-Glutamyltranspeptidase Induces Tolerogenic Human
Dendritic Cells by Activation of Glutamate Receptors.
AB - Helicobacter pylori infection is characterized by chronic persistence of the
bacterium. Different virulence factors, including H. pylori gamma
glutamyltranspeptidase (gGT), have been reported to induce tolerogenicity by
reprogramming dendritic cells (DCs). gGT is present in all bacterial isolates,
indicating an important role for gGT in the course of infection. In the current
study, we have analyzed the effect of H. pylori gGT on human DCs and the
subsequent adaptive immune response. We show that glutamate produced due to H.
pylori gGT enzymatic activity tolerizes DCs by inhibiting cAMP signaling and
dampening IL-6 secretion in response to the infection. Together, our results
provide a novel molecular mechanism by which H. pylori manipulates the host's
immune response to persist within its host.
PMID- 27183642
TI - Immunodominant West Nile Virus T Cell Epitopes Are Fewer in Number and
Fashionably Late.
AB - Class I HLA molecules mark infected cells for immune targeting by presenting
pathogen-encoded peptides on the cell surface. Characterization of viral peptides
unique to infected cells is important for understanding CD8(+) T cell responses
and for the development of T cell-based immunotherapies. Having previously
reported a series of West Nile virus (WNV) epitopes that are naturally presented
by HLA-A*02:01, in this study we generated TCR mimic (TCRm) mAbs to three of
these peptide/HLA complexes-the immunodominant SVG9 (E protein), the subdominant
SLF9 (NS4B protein), and the immunorecessive YTM9 (NS3 protein)-and used these
TCRm mAbs to stain WNV-infected cell lines and primary APCs. TCRm staining of WNV
infected cells demonstrated that the immunorecessive YTM9 appeared several hours
earlier and at 5- to 10-fold greater density than the more immunogenic SLF9 and
SVG9 ligands, respectively. Moreover, staining following inhibition of the TAP
demonstrated that all three viral ligands were presented in a TAP-dependent
manner despite originating from different cellular compartments. To our
knowledge, this study represents the first use of TCRm mAbs to define the
kinetics and magnitude of HLA presentation for a series of epitopes encoded by
one virus, and the results depict a pattern whereby individual epitopes differ
considerably in abundance and availability. The observations that immunodominant
ligands can be found at lower levels and at later time points after infection
suggest that a reevaluation of the factors that combine to shape T cell
reactivity may be warranted.
PMID- 27183644
TI - Ptk7-Deficient Mice Have Decreased Hematopoietic Stem Cell Pools as a Result of
Deregulated Proliferation and Migration.
AB - Hematopoietic stem cells (HSCs) located in adult bone marrow or fetal liver in
mammals produce all cells from the blood system. At the top of the hierarchy are
long-term HSCs endowed with lifelong self-renewal and differentiation properties.
These features are controlled through key microenvironmental cues and regulatory
pathways, such as Wnt signaling. We showed previously that PTK7, a tyrosine
kinase receptor involved in planar cell polarity, plays a role in epithelial Wnt
signaling; however, its function in hematopoiesis has remained unexplored. In
this article, we show that PTK7 is expressed by hematopoietic stem and progenitor
cells, with the highest level of protein expression found on HSCs. Taking
advantage of a Ptk7-deficient mouse strain, we demonstrate that loss of Ptk7
leads to a diminished pool of HSCs but does not affect in vitro or in vivo
hematopoietic cell differentiation. This is correlated with increased quiescence
and reduced homing abilities of Ptk7-deficient hematopoietic stem and progenitor
cells, unraveling novel and unexpected functions for planar cell polarity
pathways in HSC fate.
PMID- 27183643
TI - Age-Related Decline in Natural IgM Function: Diversification and Selection of the
B-1a Cell Pool with Age.
AB - Streptococcus pneumoniae is the most common cause of pneumonia, which claims the
lives of people over the age of 65 y seven times more frequently than those aged
5-49 y. B-1a cells provide immediate and essential protection from S. pneumoniae
through production of natural Ig, which has minimal insertion of N-region
additions added by the enzyme TdT. In experiments with SCID mice infected with S.
pneumoniae, we found passive transfer of IgG-depleted serum from aged (18-24 mo
old) mice had no effect whereas IgG-depleted serum from young (3 mo old) mice was
protective. This suggests protective natural IgM changes with age. Using single
cell PCR we found N-region addition, which is initially low in fetal-derived B-1a
cell IgM developing in the absence of TdT, increased in 7- to 24-mo-old mice as
compared with 3-mo-old mice. To determine the mechanism responsible for the age
related change in B-1a cell IgM, we established a mixed chimera system in which
mice were reconstituted with allotype-marked mature peritoneal B-1a cells and
adult bone marrow cells. We demonstrated even in the presence of mature
peritoneal B-1a cells, adult bone marrow contributed to the mature B-1a cell
pool. More importantly, using this system we found over a 10-mo-period peritoneal
B-1a cell IgM changed, showing the number of cells lacking N-region additions at
both junctions fell from 49 to 29% of sequences. These results strongly suggest
selection-induced skewing alters B-1a cell-derived natural Ab, which may in turn
be responsible for the loss of natural IgM-mediated protection against
pneumococcal infection.
PMID- 27183645
TI - The Opportunity To Eradicate Peste des Petits Ruminants.
AB - Peste des petits ruminants (PPR) is a highly infectious disease of sheep and
goats that is caused by PPR virus, a member of the genus Morbillivirus that
includes the viruses that cause rinderpest (RP) in cattle. RP was the first
animal disease to be globally eradicated in 2011 and is only the second disease,
after smallpox, to have ever been eradicated. PPR is one of the principal
constraints to small ruminant production in Africa, Asia, and the Middle East.
The epidemiology of PPR and RP as well as the technologies available for their
diagnosis and control are similar. The conditions that favored the eradication of
RP are also largely present for PPR. In this work, we outline the evolving
strategy for eradication in light of current opportunities and challenges, as
well as the lessons from other eradication programs in animal and human health.
The global PPR situation and technology for its control are summarized. A
strategy based on the lessons from previous eradication efforts that integrate
epidemiology, social science, and economics as tools to target and motivate
vaccination is summarized. Major aspects of the cost and benefit-cost analysis of
the indicated program are presented. The overall undiscounted cost of eradication
was estimated as $3.1 billion, and the benefit-cost ratio for the most likely
scenario was estimated at 33.8. We close with a discussion of the possible next
steps.
PMID- 27183646
TI - Discovery of the gammadelta TCR: Act II.
PMID- 27183647
TI - Pillars Article: Identification of a Putative Second T-cell Receptor. Nature.
1986. 322: 145-149.
PMID- 27183648
TI - Pillars Article: A Functional T3 Molecule Associated with a Novel Heterodimer on
the Surface of Immature Human Thymocytes. Nature. 1986. 322: 179-181.
PMID- 27183650
TI - Correction: Immunological Priming Requires Regulatory T Cells and IL-10-Producing
Macrophages To Accelerate Resolution from Severe Lung Inflammation.
PMID- 27183649
TI - Assembly and Expression of Shark Ig Genes.
AB - Sharks are modern descendants of the earliest vertebrates possessing Ig
superfamily receptor-based adaptive immunity. They respond to immunogen with Abs
that, upon boosting, appear more rapidly and show affinity maturation. Specific
Abs and immunological memory imply that Ab diversification and clonal selection
exist in cartilaginous fish. Shark Ag receptors are generated through V(D)J
recombination, and because it is a mechanism known to generate autoreactive
receptors, this implies that shark lymphocytes undergo selection. In the mouse,
the ~2.8-Mb IgH and IgL loci require long-range, differential activation of
component parts for V(D)J recombination, allelic exclusion, and receptor editing.
These processes, including class switching, evolved with and appear inseparable
from the complex locus organization. In contrast, shark Igs are encoded by 100
200 autonomously rearranging miniloci. This review describes how the shark
primary Ab repertoire is generated in the absence of structural features
considered essential in mammalian Ig gene assembly and expression.
PMID- 27183651
TI - Correction: CD4+ Group 1 Innate Lymphoid Cells (ILC) Form a Functionally Distinct
ILC Subset That Is Increased in Systemic Sclerosis.
PMID- 27183652
TI - Correction: Yeast-Derived Particulate beta-Glucan Treatment Subverts the
Suppression of Myeloid-Derived Suppressor Cells (MDSC) by Inducing
Polymorphonuclear MDSC Apoptosis and Monocytic MDSC Differentiation to APC in
Cancer.
PMID- 27183654
TI - Correction: Targeting of Antigens to B Lymphocytes via CD19 as a Means for Tumor
Vaccine Development.
PMID- 27183653
TI - Correction: Dectin-1 Activation by a Natural Product beta-Glucan Converts
Immunosuppressive Macrophages into an M1-like Phenotype.
PMID- 27183655
TI - Predicting intraprocedural rupture and thrombus formation during coiling of
ruptured anterior communicating artery aneurysms.
AB - BACKGROUND: Intraprocedural rupture and thrombus formation are serious
complications during coiling of ruptured intracranial aneurysms, and they more
often occur in patients with anterior communicating artery (ACoA) aneurysms.
OBJECTIVE: To identify independent predictors of intraprocedural rupture and
thrombus formation during coiling of ruptured ACoA aneurysms. METHODS: Between
January 2008 and February 2015, 254 consecutive patients with 255 ACoA aneurysms
were treated with coiling. We retrospectively reviewed intraoperative angiograms
and medical records to identify intraprocedural rupture and thrombus formation,
and re-measured aneurysm morphologies using CT angiography images. Multivariate
logistic regression models were used to determine independent predictors of
intraprocedural rupture and thrombus formation. RESULTS: Of the 231 patients
included, intraprocedural rupture occurred in 10 (4.3%) patients, and thrombus
formation occurred in 15 (6.5%) patients. Patients with smaller aneurysms more
often experienced intraprocedural rupture than those with larger aneurysms (3.5+/
1.3 mm vs 5.7+/-2.3 mm). Multivariate analysis showed that smaller ruptured
aneurysms (p=0.003) were independently associated with intraprocedural rupture.
The threshold of aneurysm size separating rupture and non-rupture groups was 3.5
mm. Multivariate analysis showed that a history of hypertension (p=0.033),
aneurysm neck size (p=0.004), and parent vessel angle (p=0.023) were independent
predictors of thrombus formation. The threshold of parent vessel angle separating
thrombus and non-thrombus groups was 60.0 degrees . CONCLUSIONS: Ruptured
aneurysms <3.5 mm were associated with an increased risk of intraprocedural
rupture, and parent vessel angle <60.0 degrees , wider-neck aneurysms, and a
history of hypertension were associated with increased risk of thrombus formation
during coiling of ruptured ACoA aneurysms.
PMID- 27183656
TI - [Patients seldom talk about complementary medicine].
PMID- 27183657
TI - [Quarterly accounting submissions have no time limits].
PMID- 27183658
TI - [Mail to the statuary insurance can be billed according to EBM, patient letter at
best according to GOA. ].
PMID- 27183659
TI - [At what point is a wound considered "large"].
PMID- 27183660
TI - [Not Available].
PMID- 27183661
TI - ["I must stand still 3 times on the way to the subway"].
PMID- 27183663
TI - [Positive vote for hypericum therapy is reaffirmed].
PMID- 27183662
TI - [Effect of dapagliflozin on cardiovascular risk factors].
PMID- 27183664
TI - [Brief notes. Approval recommendation for fixed combination Descovy(R) in
treatment of HIV].
PMID- 27183665
TI - [Distribution of waist circumference and waist-to-height ratio and their values
in obesity screening among 3-9 years old Han and Uygur ethnic children in
Xinjiang Uygur Autonomous Region of China].
AB - OBJECTIVE: To understand the distributions of waist circumference and waist-to
height ratio (WHtR) in Han and Uygur ethnic children aged 3-9 years in Xinjiang
Uygur Autonomous Region (Xinjiang) and discuss their values in obesity screening
in children. METHODS: Stratified cluster random sampling was conducted to select
Han and Uygur ethnic children from kindergartens and primary schools in four
areas of Xinjiang in April 2014. The children's standing height, body weight and
waist circumference were measured by trained investigators according to standard
protocol. WC>or=P80 and WHtR>or=0.48 were used as the cutoff value for abdominal
obesity screening in children with normal BMI. The association between
overweight/obese prevalence rate and obesity related indicators, such as BMI, WC,
WHtR, were analyzed. RESULTS: A total of 4024 Han and Uygur ethnic children were
investigated, in which 2461 were in Han ethnic group, 1563 were in Uygur ethnic
group. The WC and WHtR at P50 and P80 were differed significantly. In both Han
and Uygur ethnic groups, there were some children with WC>or=P80 or WHtR>or=0.48,
although they had normal BMI. This proportion decreased with age. CONCLUSIONS:
The WC and WHtR are similar in Han and Uygur ethnic children aged 3-9 years. WC
and WHtR have values in obesity screening for children with normal BMI which
suggested to use it as the supplementation of BMI in obesity screening in
preschool aged children.
PMID- 27183666
TI - Improving access for disabled women.
PMID- 27183667
TI - Butler's political action offends the prudish.
PMID- 27183668
TI - Unions lose their effectiveness.
PMID- 27183669
TI - Nurses' fears unfounded.
PMID- 27183670
TI - Thought-provoking articles.
PMID- 27183671
TI - Don't put us out to pasture!
PMID- 27183674
TI - The Kaiwhakahaere comments:.
PMID- 27183672
TI - Article insults nurses' hard work.
PMID- 27183677
TI - Bonding scheme places limited this year.
PMID- 27183679
TI - Survey shows some improvement in Cantabrians' mental health.
PMID- 27183682
TI - Nurses providing support in Fiji.
PMID- 27183680
TI - Equal pay agreement this month?
PMID- 27183683
TI - DHB funding cuts threaten staff wages.
PMID- 27183684
TI - NP assessment held on marae for first time.
PMID- 27183685
TI - Migrant nurses meet.
PMID- 27183687
TI - Nurses share earthquake experiences.
PMID- 27183688
TI - Primary care funding changes mooted.
PMID- 27183689
TI - Helping women facing cancer.
PMID- 27183690
TI - So what's a clinical nurse specialist exactly?
PMID- 27183692
TI - Challenges remain for NPs, despite positive perceptions.
PMID- 27183691
TI - Family Planning--an evolving service.
PMID- 27183693
TI - Caring for women and their unborn babies.
PMID- 27183694
TI - Juggling nursing and family care.
PMID- 27183695
TI - Being videoed on the job.
PMID- 27183696
TI - 'Why we need supervision'.
PMID- 27183697
TI - Advancing NZNO's aims together.
PMID- 27183698
TI - TPPA nurse protestor unlikety to lose her job.
PMID- 27183702
TI - Respiratory nursing: New technology trialled on the ward.
PMID- 27183703
TI - Living wage set at $19.80 an hour.
PMID- 27183704
TI - Topical hydrocortisone 17-butyrate 21-propionate in the treatment of inflammatory
skin diseases: pharmacological data, clinical efficacy, safety and calculation of
the therapeutic index.
AB - Hydrocortisone 17-butyrate 21-propionate (hydrocortisone buteprate, HBP) is a
medium potent, non-halogenated double-ester of hydrocortisone with a favorable
benefit/risk ratio for the treatment of inflammatory skin disorders. HBP is
available as a 0.1% cream or ointment formulation. Good results were obtained
with a once-daily topical treatment. HBP is characterized by a strong topical
anti-inflammatory activity and weak systemic action. It is considered to have
potency comparable to that of betamethasone 17-valerate (BV), but its systemic
effects are less pronounced. HBP was shown to have a good efficacy in the
treatment of various oozing and lichenified eczematous skin diseases including
atopic dermatitis (AD) and in the treatment of psoriasis vulgaris. Even in very
young children, HBP proved successful as an effective and safe drug. A
therapeutic index of 2.0 can be attributed to this glucocorticoid. In this
respect, there is no difference between topical HBP and other topical
glucocorticoids with increased benefit/risk ratio, e.g. prednicarbate (PC),
methylprednisolone aceponate (MPA) and mometasone furoate (MM).
PMID- 27183705
TI - Physicochemical characterisation of fluids and soft foods frequently mixed with
oral drug formulations prior to administration to children.
AB - Oral drug administration to children poses specific pharmaceutical challenges
that are often not seen to the same extent in adults, and whose occurrence may
also be age dependent. When an age-appropriate dosage form is not available,
manipulation of adult dosage forms (e.g., splitting and crushing of tablets or
opening of capsules) has been reported as a means to facilitate administration to
children. To enhance swallowability and/or mask an unpleasant taste of the dosage
form to be administered, crushed/split tablets or the contents of capsules are
often mixed with food or drinks or suspended in a vehicle prior to
administration. However, it seems that the risks and benefits of an approach
whereby the dosage form is modified prior to administration in this manner are
everything but clear. The aim of the present study was to gain an overview of the
physicochemical properties of a number of fluids, soft foods and suspension
vehicles that are commonly reported to be mixed with oral medications before
administration to children to improve patient acceptability. For this purpose,
physicochemical parameters of 15 different fluids, soft foods and suspension
vehicles were measured. These included pH, buffer capacity, osmolality, surface
tension and viscosity. Results of the study clearly show the differences in
physicochemical properties of the test candidates. It is thus obvious that the
type of fluid/food mixed with a drug product before administration may have a
significant impact on bioavailability of the drug administered. Therefore, a risk
based assessment of such practices considering API properties, formulation
features and physicochemical properties of the fluids and foods intended to be co
administered with the dosage form, in conjunction with the anatomical and
physiological maturity of the gastro-intestinal tract in the intended paediatric
population, should be an essential part of paediatric oral formulation
development.
PMID- 27183706
TI - Development of a floating drug delivery system with superior buoyancy in gastric
fluid using hot-melt extrusion coupled with pressurized CO2.
AB - The present study aimed to develop a continuous single-step manufacturing
platform to prepare a porous, low-density, and floating multi-particulate system
(mini-tablet, 4 mm size). This process involves injecting inert, non-toxic
pressurized CO2gas (P-CO2) in zone 4 of a 16-mm hot-melt extruder (HME) to
continuously generate pores throughout the carrier matrix. Unlike conventional
methods for preparing floating drug delivery systems, additional chemical
excipients and additives are not needed in this approach to create minute
openings on the surface of the matrices. The buoyancy efficiency of the prepared
floating system (injection of P-CO2) in terms of lag time (0 s) significantly
improved (P < 0.05), compared to the formulation prepared by adding the excipient
sodium bicarbonate (lag time 120 s). The main advantages of this novel
manufacturing technique include: (i) no additional chemical excipients need to be
incorporated in the formulation, (ii) few manufacturing steps are required, (iii)
high buoyancy efficiency is attained, and (iv) the extrudate is free of toxic
solvent residues. Floating mini-tablets containing acetaminophen (APAP) as a
model drug within the matrix-forming carrier (Eudragit(r) RL PO) have been
successfully processed via this combined technique (P-CO2/HME). Desired
controlled release profile of APAP from the polymer Eudragit(r) RL PO is attained
in the optimized formulation, which remains buoyant on the surface of gastric
fluids prior to gastric emptying time (average each 4 h).
PMID- 27183707
TI - Skin permeation profile and anti-inflammatory effect of anemonin extracted from
weilingxian.
AB - The aim of this study was to evaluate the skin permeability of anemonin, which
was extracted from the Chinese herb weilingxian, and its potency of relieving the
inflammation caused by rheumatoid arthritis (RA). To optimize the formulation,
the solubility of anemonin in water and selected concentration of ethanol-water
vehicles was determined. The effect of ethanol on the permeation of anemonin
through human skin was then studied. Additionally, the influences of
hydroxypropyl methylcellulose E50 (HPMC) and Carbomer 934 in different
concentrations on the permeation of drug were investigated. Finally, the anti
inflammatory effect of the optimized formulation was assessed by murine model of
xylene-induced ear edema. The results showed that the solubility and transdermal
permeation of anemonin in ethanol-water vehicles linearly depended on the ethanol
concentration. The combination of 30% ethanol and 3% Azone had a synergistic
enhancement effect and was therefore selected for gel preparation. The 0.14%
anemonin gel prepared with 1% HPMC exhibited the highest transdermal flux. The
xylene-induced ear edema inhibitory rate of the optimized formulation was 48.85%.
The results indicated that transdermal administration of anemonin is a potential
modality for combating inflammation caused by RA.
PMID- 27183709
TI - Caspase-3 activation in human melanoma A375 cell line by a novel selective sigma
2 agonist.
AB - Two novel 8-azabicyclo[3.2.1]octan-3-ol derivatives, 11a and 11b, with high
affinity for sigma-2 receptors and a very good sigma-1/sigma-2 selectivity ratio
were synthesized. In comparison with several well established sigma-2 selective
ligands, 11 b showed a very low sigma-1 receptor affinity. Functional assays
demonstrated that 11b acts as an agonist and in A-375 human melanoma cell line is
able to lower levels of procaspase-3, thus confirming a potential major role for
sigma-2 pure agonists in the treatment of rapid proliferating melanoma cells.
PMID- 27183708
TI - Bile acids, carriers of hepatoma-targeted drugs?
AB - Previous studies display that bile acids (Bas) could be used as carriers and
pharmaceutical excipients. In this study, the selective cytotoxicity of 6 bile
acids (BAs) was evaluated against hepatoma cell line HepG2, human colon carcinoma
cell line HT-29, gastric cancer cell line BGC823, cervical cancer cell line Hela
and hepatocyte line L02. Our study suggested that most of the BAs showed
cytotoxicity against a broader spectrum of tumor cells and display high cell
selectivity toward HepG2. In particular, chenodeoxy- cholic acid (CDCA) exerted
the most potent selective cytotoxicity against HepG2 (IC50 = 54.62 +/- 3.5 uM)
and low toxicity on L02 cells (IC50 >200 uM). According to the structure-activity
relationship, the position, configuration and number of OH groups in BAs could
affect cell proliferation and selectivity. Moreover, the pre-mechanism of CDCA on
HepG2 cells was studied by Giemsa staining, DAPI staining, AO/EB staining,
apoptosis analysis and mitochondrial membrane potential assay. Results showed
that CDCA could induce apoptosis and loss of mitochondrial transmembrane
potential in HepG2 cells. The study inferred that CDCA might be a carrier and
parent pharmaceutical excipient for hepatic carcinoma targeting drug.
PMID- 27183710
TI - Effect of hypoxia on UDP-glucuronosyl transferase mRNA expression in human
hepatocarcinoma functional liver celL4 cell line.
AB - Although hypoxic conditions have been reported to affect the expression levels of
various enzymes like cytochrome P450, the effect of hypoxia for UDP-glucuronosyl
transferase (UGT) expression has been unclear. We evaluated the mRNA expression
of UGTs (UGT1A1.1A6.1A9.2B7) in a functional liver cell-4 (FLC-4) cell line by
three-dimensional culture under hypoxic conditions (37 degrees C, 1% O2, 5% CO2)
fo 7 days. The mRNA expression of UGT1A1.1A6.1A9.2B7 decreased significantly
after 3 days and that of UGT1A1.1A6.1A9 decreased significantly after 7 days.
Hypoxic conditions affect the expression levels of UGT enzymes, thus the
adjustment of dosage and interval should be considered in drug therapy that
metabolized by UGT.
PMID- 27183711
TI - Oxymatrine mediates Bax and Bcl-2 expression in human breast cancer MCF-7 cells.
AB - The aim of this study was to explore the effects of oxymatrine in treating breast
cancer patients using biomolecular methodology. Human breast cancer MCF-7 cells
were treated with oxymatrine at concentrations of 0 (control), 25, 50 and 100
ug/mL. Apoptosis assay by Annexin/PI staining was performed to examine the
effects of oxymatrine on apoptotic rates of MCF-7 cells at time points of 24 h,
48 h, and 72 h after treatment. Real-time PCR was performed for the mRNA
abundance of Bax and Bcl-2 after the cells were treated with oxymatrine at
concentration of 0, 25, 50, and 100 ug/mL at the time points of 24, 48, and 72 h.
Western blotting was performed when the cells were treated with oxymatrine at
various concentrations for 72h. High concentration of oxymatrine at 100 ug/mL
enhanced apoptosis by 6.4-fold at 72 h compared with control (33.16% vs. 4.47%;
t= 9.82, p< 0.001). Oxymatrine at 100 ug/mL up regulated Bax mRNA abundance by
169 % at 72 h (t = 18.32, p = 0.001), and reduced Bcl-2 mRNA abundance by 24 % at
72 h (t = 6.30, p = 0.001) compared with control. Oxymatrine enhanced the
expression of Bax protein while reduced the expression of Bcl-2 protein.
Oxymatrine treatment showed pro-apoptotic effects in breast cancer MCF-7 cells,
and these effects correlated with the up regulation of Bax transcription and
protein expression and the down regulation of Bcl-2 transcription and protein
expression in a time- and dose-dependent manner. CONCLUSION: Oxymatrine had
effects in promoting apoptosis in human breast cancer MCF-7 cells by mediating
the mRNA and protein expression levels of Bax and Bcl-2.
PMID- 27183712
TI - Ivy leaves dry extract EA 575(r) decreases LPS-induced IL-6 release from murine
macrophages.
AB - IL-6 plays a key role in the course of inflammatory processes as well as in the
regulation of immune responses by the release of different cytokines. IL-6 is
produced e.g. by macrophages recruited to the airways in response to a variety of
inflammatory stimuli like allergens and respiratory viruses. Patients with
inflammatory airway diseases therefore may benefit from therapies targeting the
IL-6 pathway, e.g. reduction of the IL-6 release. Within this context, we tested
the influence of the ivy leaves dry extract EA 575(r) on the LPS-induced release
of IL-6 from murine macrophages (J774.2). One point seven ug/ml (5 uM)
corticosterone served as positive control and was able to reduce LPS-induced IL-6
release by 46 +/- 4%. EA 575(r) was tested in concentrations between 40 and 400
ug/ml. EA 575(r) decreased the LPS-induced IL-6 release in a dose-dependent
manner and statistically significant by 25 +/- 4%, 32 +/- 4%, and 40 +/- 7% in
concentrations of 80, 160, and 400 ug/ml, respectively. The present data suggest
an anti-inflammatory effect of EA 575(r) used in therapy of chronic- and acute
inflammatory airway diseases accompanied with cough.
PMID- 27183714
TI - [MOLECULAR ASPECTS OF BRUCELLA PERSISTENCE].
AB - Brucellosis is a dangerous zoonotic disease of animals and humans caused by
bacteria of the genus Brucella, which are able to survive, multiply, and persist
in host cells. The review is devoted to the Brucella species persistence
connected to the molecular mechanisms of escape from innate and adaptive immunity
of the host and active interaction of effector proteins of the type IV secretion
system with the host's signaling pathways. Understanding of the molecular
mechanisms used by Brucella for the intracellular persistence in the host
organism can allow us to develop new and effective means for the prevention and
treatment of chronic brucellosis infection.
PMID- 27183713
TI - Phenolic profiling of an extract from Eugenia jambos L. (Alston)--the structure
of three flavonoid glycosides--antioxidant and cytotoxic activities.
AB - Phenolic metabolite profiling and identification using high performance liquid
chromatography (HPLC) coupled to high resolution accurate mass spectrometry (HR
ESI-MS) with detection of negative ions was used for assaying the complex mixture
of phenolics of an aqueous ethanol leaf extract of Eugeniajambos L. (Myrtaceae).
Eight known polyphenolics were tentatively identified, and, in addition, three
hitherto unknown flavonol-O-glycosides were detected in the extract. These
unknowns were taken as the targets and isolated by means of consecutive polyamide
S6, MCI gel and repeated Sephadex LH-20 column fractionation. The isolation and
purification were monitored by HPLC/ESI-MS. The isolates were subsequently
identified as quercetin 3-O-xylosyl-(1"' --> 2")-O-xyloside, myricetin 7
methylether 3-O-xylosyl-(1"' --> 2")-rhamnoside and myricetin 3',5'-dimethyl
ether 3-O-xylosyl-(1"'-->* 2")-O-rhamnoside. All known metabolites were also
separated by applying the same chromatographic techniques. ESI-MS, 1H and 13C NMR
spectra were then recorded, completely interpreted and confirmed by HR-ESI-MS and
2D NMR spectroscopy. In order to get information about biological activities of
E. jambos the extract was tested for radical scavenging activity by DPPH and ORAC
assay. In addition, its cytotoxicity was assessed by the neutral red assay
against non-tumorigenic HaCaT keratinocytes and the human bladder carcinoma cell
line 5637.
PMID- 27183715
TI - [STRUCTURE AND DISTRIBUTION OF THE RETROTRANSPOSON BOV-B LINE].
AB - The classification of mobile elements was discussed. Special attention was
devoted to the retroelement of the LINE group: retrotransposon Bov-B LINE. The
history of its origin and distribution in the nature was considered. The results
of the phenomenon of horizontal transition of the retrotransposon Bov-B LINE
between evolutionally distant classes were discussed.
PMID- 27183717
TI - [ABILITY OF STAPHYLOCOCCUS OF VARIOUS STRAINS TO CREATE BIOFILMS AND THEIR EFFECT
ON HUMAN BODY CELLS].
AB - The urgency of the staphylococcus research is due to its ability to cause severe
infections: softtissue infections, endocarditis, sepsis, toxic shock syndrome,
and food poisoning. Coagulase-positive Staphylococcus aureus is the main
infection agent of intrahospital infections. This agent has many factors of
pathogenicity, which are well known. Among the coagulase-negative staphylococcus
(CNS) strains, S. haemolyticus and S. epidermidis are clinically important,
because they cause infections in patients with weak immune system. The mechanisms
of the CNS pathogenicity are insufficiently understood. The goal of this work was
to evaluate the potential pathogenicity of clinical strains of CNS from their
capacity to create biofilms and the character of their interaction with human
body cells by the example of the HT-29 cell culture. The research was carried out
in laboratory strain S. aureus ATCC 29213 and clinical strains S. haemolyticus
SH39, S. epidermidis SE36-1 isolated from the neonatal autopsy materials. The
visual tests of biofilm formation by each strain and testing of the impact of the
strains on the cell culture HT-29 was carried out in this work. The two species
of CNS form biofilms at a higher rate than S. aureus. Upon incubation for 2 h of
HT-29 cells with staphylococcus strains tested in this work, adhesion of bacteria
on cell surface was observed. The adhesion was most pronounced in case of S.
aureus ATCC 29213 and S. haemolyticus SH39. Upon 3 h of incubation with S. aureus
ATCC 29213 and S. haemolyticus SH39, destruction of cell HT-29 monolayer was
observed. The incubation for 24 h with the 3 strains tested in this work caused
complete destruction of cell HT-29 monolayer. The maximal toxic effect on HT-29
cells was inherent in the strain S. haemolyticus SH39. The aggregate of the
results obtained in this work indicates the presence of the pathogenicity factors
in the strains S. haemolyticus SH39, which require additional research.
PMID- 27183716
TI - [MOLECULAR-GENETIC ANALYSIS OF MICROORGANISMS WITH INTRAEPITHELIAL INVASION
ISOLATED FROM PATIENTS WITH COLORECTAL CANCER].
AB - The facultative aerobic bacteria isolated from the mucosa of rectum in patients
with colorectal cancer in the zone of malignant tumor and neighboring normal
mucosa was studied using molecular-genetic methods. The species attribution of
bacteria was implemented using the cultural-morphological analysis and sequencing
of the 16S rRNA locus. The microorganisms with the intraepithelial invasion to
rectal mucosa isolated were identified as representatives of the adherent
invasive (AIEC) subgroup of Escherichia coli and species Klebsiella pneumonia.
The molecular analysis by genetic determinants controlling adhesive, hemolytic,
and toxigenic activity revealed that some bacterial isolates were able to produce
toxins with potential cancerogenic activity (e.g., colibactin and cytotoxic
necrotic factor I). Certain bacterial species isolated from malignant and normal
rectum epithelium of the same patient demonstrated no difference between analyzed
factors of toxigenicity.
PMID- 27183718
TI - [USE OF THE REAL-TIME PCR FOR STUDY OF THE PERIODONTAL MICROBIOME IN PATIENTS
WITH COMBINED PATHOLOGY OF GASTRODUODENAL ZONE AND CHRONIC PERIODONTITIS].
AB - The total of 54 patients with chronic periodontitis of different severity was
tested using real-time PCR (Dentoflor kit). The group included 38 patients with
chronic gastritis. For the first time, a higher prevalence of Treponema denticola
in periodontium of males in comparison with females was demonstrated. The
patients with chronic gastritis had more human genome DNA at their periodontium
than healthy individuals. Non-parametric statistical analysis demonstrated high
association of periodontium colonization with. T. forsythensis and T. denticola
(but not Aggregatibacter actinomycetemcomitans, Porphyromonas gingivalis, and
Prevotella intermedia) with the severity of the chronic periodontitis.
PMID- 27183720
TI - [GENOTYPING OF THE BURKHOLDERIA MALLEI STRAINS BASED ON DIFFERENT REGION
ANALYSIS].
AB - Development of the genotyping methods of glanders agent is urgent due to its high
pathogenicity, lack of effective preventive measures and threat of the use of
Burkholderia mallei as a biological weapon. In this work we proposed a scheme for
the typing of the B. mallei strains based on different region analysis (DFR). The
choice of variable loci differentially presented in various strains of glanders
agents was performed by analyzing annotated whole-genome sequences of the B.
mallei strains. Primers and fluorescence probes were designed for 9 selected
loci. The amplification conditions for different regions were optimized in two
variants: with electrophoretic detection and hybridization-fluorescence detection
in the strip format. The possibility of applying the DFR analysis to genetic
characterization of strains was assessed in 14 B. mallei strains. The genetic
profiles of the studied B. mallei strains revealed that the developed DFR-typing
scheme was characterized by high discrimination power (Hunter-Gaston index value
was 0.92), reproducibility, rapidity, easy interpretation, and applicability for
epidemiological surveillance of glanders.
PMID- 27183719
TI - [MOLECULAR CHARACTERISTICS OF THE MULTIDRUG-RESISTANT MYCOBACTERIUM TUBERCULOSIS
STRAINS IN THE NORTHWEST RUSSIA].
AB - The goal of this work was to study the genotypic characteristics of the multidrug
resistant (MDR, i.e., resistant to at least rifampicine and isoniazid)
Mycobacterium tuberculosis strains isolated in 2011-2012 from tuberculosis (TB)
patients in the Northwest Russia. Spoligotyping of 195 M. tuberculosis isolates
identified 14 different spoligotypes and assigned isolates to the genetic
families Beijing (n = 162, 83%), LAM (n = 15), H3/URAL (n = 14), as well as T,
Haarlem and X. Spoligotypes SIT1 (Beijing), SIT42 (LAM) and SIT262 (H3/URAL) were
the most prevalent. Irrespective to the genotype, all the isolates were resistant
to streptomycin. The multidrug resistance was accompanied by the resistance to
ethionamide (56%), amikacin (31%), kanamycin (40%), and capreomycin (33%). The
ethambutol resistance was found in 71% (n = 115) and 42% (n = 14) of the Beijing
and non-Beijing strains, respectively (p < 0.05). In conclusion, the multidrug
resistant M. tuberculosis population circulating in the Northwest Russia
continues to be dominated by the Beijing family strains.
PMID- 27183721
TI - [COMPARATIVE ANALYSIS OF THE MLVA25- AND MLVA7-TYPING ACCORDING TO THEIR ABILITY
TO ASCERTAIN FOCAL AFFILIATION OF YERSINIA PESTIS STRAINS BY THE EXAMPLE OF
ISOLATES FROM THE CENTRAL-CAUCASIAN HIGHLAND NATURAL PLAGUE FOCUS].
AB - Comparative analysis of the MLVA25- and MLVA7-typing ability to evaluate focal
belonging of Y. pestis strains by the example of bv. medievalis isolates from the
Central-Caucasian highland natural plague focus was carried out. The MLVA25-types
of-82 isolates from this area were determined and included into the database
containing information on 949 Y. pestis strains from other natural foci of Russia
and other countries. Categorical-UPGMA dendrograms were created on the bases of
the data concerning all 25 VNTR loci or only seven of them, which were
recommended by the experts of the Russian Research Anti-Plague Institute
"Microbe" for differentiation of the Y. pestis strains according to their
affiliation to specific foci. The obtained data indicated greater possibility of
diagnostic mistakes in the case of the MLVA7-typing and supported expediency of
division of the Central-Caucasian highland natural plague focus into two sub
foci.
PMID- 27183722
TI - [THE ETIOLOGY AND PATHOGENESIS OF SUCCESSIVE MAKING TEST ON HYPERTRIGLYCERIDEMIA,
HYPERCHOLESTEROLEMIIA AND HYPOGLYCEMIA. THE COMMON CHARACTER OF ETIOLOGIC FACTORS
OF METABOLIC PANDEMIC AND COMPENSATORY ROLE OF APOC-111].
AB - The concepts of atherosclerosis as afatly acids pathology, deficiency syndrome in
cells ofpolyene fatty acids were expounded in 1990. The spirits cholesterol and
glycerin play integrated physical chemical function in fatly acids' metabolism in
transforming polar fatty acids into such non-polar form as ethers cholesterol and
triglycerides. The amount of fatty acids transferred by lipoproteins to
triglycerides significantly exceeds amount of fatty acids as ethers cholesterol.
The triglycerides dominate in cells and ethers cholesterol outside cells. The
hypertriglyceridemia is a disorder of transformation in blood of phylogenetically
late insulin-dependent palmitic and oleinic lipoproteins of very low density and
receptor apoE/B-100-endocytosis by cells of non-ligand lipoproteins of very low
density. The hypertriglyceridemia is a disorder of support of cells with energy
substrates, problem of cell "energetics", formalton of adenosine triphosphate. It
is proposed to evaluate prognostic value of spirits cholesterol in blood plasma
only in case of physiological level of triglycerides. The spirits cholesterol
never exceeds content of triglycerides however high would be content of spirits
cholesterol in blood plasma under family hypercholesterolemia. The increasing of
concentration of triglycerides always results in increasing of content of spirits
cholesterol and especially spirits cholesterol-lipoprotein of low density. If
level of triglycerides and spirits cholesterol is increased normalization of
content of triglycerides using diet is to be implemented To evaluate content of
spirits cholesterol in blood plasma is rational only under physiological level of
triglycerides. Quite often, after decreasing of content of triglycerides content
of spirits cholesterol spontaneously decreases by itself. It is supposed that
functions of phylogenetic early resident macrophages of intima and late monocytes
macrophages differs. The functional characteristics of second ones under surplus
induction by substrate (non-ligand lipoproteins) forms atheromatosis. The
increasing of content of apoC-III in blood plasma--test of accumulation of blood
of a physiologicpal mitic lipoproteins of low density, compensatory activation of
lipolysis of triglycerides in lipoproteins of low density, but not blockade of
biological function of trophology, biological reaction of exotrophy.
PMID- 27183723
TI - [THE LONG NON-CODING RNA ASSOCIATED WITH CANCEROGENESIS: BIOLOGICAL SIGNIFICANCE
AND PERSPECTIVES OF APPLICATION IN DIAGNOSTIC].
AB - The last decade is characterized by development of such technologies as RNA
sequencing and biochips which resulted in discovery of new perspective
biomarkersfor personalized diagnostic of cancer. Among them, the long non-coding
RNA (IncRNA) are of special interest because according the recent studies they
are positioned as important regulators of gene expression on epigenetic,
transcription and post-transcription levels. The review considers the role of
long non-coding RNA in cancerogenesis. The corresponding of their application in
diagnostic is evaluated. A number of examples ofperspective diagnostic and
prognostic markers. Their degree of implementation in oncological practice is
discussed.
PMID- 27183724
TI - [THE CONTENT OF ELECTROLYTES IN DIFFERENT BIOLOGICAL MEDIUMS UNDER ACUTE CORONARY
SYNDROME].
AB - The sampling of study included 172 patients with ischemic heart disease: 146 with
acute coronary syndrome, including myocardial infarction (88 patients) and
unstable stenocardia (58 patients); 26 patients with stable stenocardia
functional class II-III. At the 1-3 day of hospitalization blood was taken of
cubital vein. The mixed unstimulated saliva was selected. In both of them conte
of calcium, sodium and potassium was tested (mmol/l). Under acute coronary
syndrome, in blood content of calcium was 2. sodium--139.6 and potassium--4.5
i.e. the content was lower than in case of stable stenocardia (2.4; 139.8; 4.7
correspondingl In saliva under acute coronary syndrome higher content of calcium
(1.05) and potassium (34.66) and lower content of sodiu (25.42) was established
in comparison with stable stenocardia (0.81; 33.7; 28.08 correspondingly). The
distribution coefficien (blood/saliva) of calcium, sodium and potassium were
higher under myocardium infarction than under unstable stenocardia at
uncomplicated course of acute coronary syndrome.
PMID- 27183725
TI - [THE BIOCHEMICAL AND PATHOPHYSIOLOGICAL MARKERS OF CHEMICAL EFFECT ON ORGANISM,
THEIR INFORMATIVENESS AND DIAGNOSTIC SIGNIFICANCE].
AB - The sampling of study included 185 examined workers. Out of them 90 work at
"Opitnii zavod Neftekhim" (67 females and 23 males) and 95--at "Kaustik" (64
females and 31 males) from various workshops of the given enterprises. To
determine biochemical indicators samples of blood, saliva and urine were
collected. The study was carried out in concordance with ethic principles of the
Helsinki world medical association declaration, 2008 ed. with receiving written
consent of patient to participate in study.
PMID- 27183726
TI - [THE TECHNIQUE OF HIGH-PERFORMANCE LIQUID CHROMATOGRAPHY FOR SIMULTANEOUS
DIAGNOSTIC OF INHERENT HYPERPLASIA OF ADRENAL GLANDS TYPE I AND II].
AB - The article considers the technique of high-performance liquid chromatography
making it possible simultaneously detect cortisol, cortisone and secondary
steroids in serum for consequent analysis of common reversed-phase high
performance liquid chromatography with ultraviolet under 240 nm. The liquid
liquid extraction from alkaline medium in diethyl ether The separation using
column of 150x4.6 size ODS 3.5 mkm in isocratic mode. The eluent acetonitrile-
0.02 M phosphate buffer pH 8.0--isopropanol (40:60:1). The application of
proposed technique managed to separate cortisol, cortisone, dexamethasone,
corticosterone, 11-desoxicortisol, testosterone, desoxicorticosterone, 17alpha
gidroxiprogesterone and androstendion in 20 minutes. The simplicity,
reproducibility and sufficient selectivity and sensitivity of technique permit
implement it in clinical practice for simultaneous diagnostic of inherent
hyperplasia of adrenal glands type I and II.
PMID- 27183727
TI - [THE OPTIMIZATION OF NUTRITION FUNCTION UNDER SYNDROME OF RESISTANCE TO INSULIN,
DISORDER OF FATTY ACIDS' METABOLISM AND ABSORPTION OF GLUCOSE BY CELLS (A
LECTURE)].
AB - The phylogenetic processes continue to proceed in Homo Sapiens. At the very early
stages ofphylogenesis, the ancient Archaea that formed mitochondria under
symbiotic interaction with later bacterial cells conjointly formed yet another
system. In this system, there are no cells' absorption of glucose if it is
possible to absorb fatty acids from intercellular medium in the form of
unesterfied fatty acids or ketonic bodies--metabolites of fatty acids. This is
caused by objectively existed conditions and subsequent availability of
substrates at the stages ofphylogenesis: acetate, ketonic bodies, fatty acids and
only later glucose. The phylogenetically late insulin used after billions years
the same dependencies at formation of regulation ofmetabolism offatty acids and
cells' absorption of glucose. In order that syndrome ofresistance ceased to exist
as afoundation of metabolic pandemic Homo Sapiens has to understand the
following. After successful function ofArchaea+bacterial cells and considered by
biology action of insulin for the third time in phylogenesis and using biological
function of intelligence the content ofphylogenetically earlier palmitic
saturated fatty acid infood can't to exceed possibilities of phylogenetically
late lipoproteins to transfer it in intercellular medium and blood and cells to
absorb it. It is supposed that at early stages of phylogenesis biological
function of intelligence is primarily formed to bring into line "unconformities"
of regulation of metabolism against the background of seeming relative biological
"perfection". These unconformities were subsequently and separately formed at the
level of cells in paracrin regulated cenosises of cells and organs and at the
level of organism. The prevention of resistance to insulin basically requires
biological function of intelligence, principle of self-restraint, bringing into
line multiple desires of Homo Sapiens with much less extensive biological
possibilities. The "unconformities" of regulation of metabolism in vivo are
etiological factors of all metabolic pandemics including atherosclerosis,
metabolic arterial hypertension, obesity and metabolic syndrome Tertiannondatum.
PMID- 27183728
TI - [THE VERIFICATION OF ANALYTICAL CHARACTERISTICS OF THREE MODELS OF GLUCOMETERS].
AB - The individual portable systems of control of glucose level in blood commonly
known as glucometers permit to patients with diabetes mellitus to independently
correct pharmaceutical therapy. The effectiveness of this correction depends on
accuracy of control of glucose level. The evaluation was implemented concerning
minimal admissible accuracy and clinical accuracy of control of glucose level of
devices Contour TC, Satellite Express and One Touch Select according standards
expounded in GOST 15197-2011 and international standard ISO 15197-2013. It is
demonstrated that Contour TC and One Touch Select meet the requirements of these
standards in part of accuracy while Satellite Express does not.
PMID- 27183729
TI - [THE REFERENCE VALUES OF AGGREGATION OF PLATELETS IN ADULT POPULATION OF THE
ASTRAKHAN OBLAST USING AGGREGOMETER MULTIPLATE].
AB - The modern international standards recommend each laboratory to develop or to
confirm available in literature the reference intervalsfor every laboratory
indicator In the Astrakhanskaia oblast, sampling of128 healthy males andfemales
were examinedfor aggregation function of thrombocytes using impedance technique
and applying aggregometer Multiplate ("Verum Diagnostica", Germany). The study
used as inductors peptide activating receptor of thrombin; arachidonic and
adenosine diphosphoric acids. The reference range of aggregation of thrombocytes
with peptide activating receptor of thrombin, at aggregometer Multiplate, in
healthy population of theAstrakhanskaia oblast made up to 815.2-1498.4 AU/min,
with arachidonic acid--660-1341 AU/min. with adenosine diphosphoric acid--598
1120 AU/min.
PMID- 27183730
TI - [ON DEVELOPMENT OF TOOLS OF IMMUNE DIAGNOSTIC OF INFECTIOUS DISEASES: PROBLEMS OF
DIAGNOSTIC IN VIVO AND IN VITRO].
AB - The article considers a number of problematic issues concerning development of
effective means of immune diagnostic of infectious diseases of bacterial and
mycotic etiology related to approaches of choosing appropriate diagnostic
targets.
PMID- 27183732
TI - [THE MOLECULAR TECHNIQUES OF DIAGNOSTIC OF GINGIVITIS AND PERIODONTITIS IN HIV
INFECTED PATIENTS].
AB - The examination was carried out in the Moscow clinical infectious hospital No 2
concerning 102 patients with verified diagnosis "AIDS-infection" and seropositive
according results of detection of anti-HIV-antibodies in blood serum. The study
was organized to analyze rate ofcolonization of gums with virulent anaerobic
bacteria in HIV-infected (polymerase chain reaction) and antibodies to HIV in
gingival fluid (enzyme-linked immunosorbent assay). It is established that in HIV
infected patients, in scrape from gingival sulcus dominate anaerobic bacteria P.
gigngivalis and A. ctinomycetemcomitans and in case of periodontitis--P.
gingivalis and T. forsythia. The received data permits recommending the test
system "Multident-5" for polymerase chain reaction diagnostic. The reagents kit
"Calypte(r)HIV-1/2"--for enzyme-linked immunosorbent assay gingival fluid. The
results of polymerase chain reaction and enzyme-linked immunosorbent assay have
no impact of concomitant stomatological (periodontitis, gingivitis) and somatic
pathology.
PMID- 27183731
TI - [THE FORMATION OF BIOFILM IN OPPORTUNISTIC MICROORGANISMS IN BLOOD PLASMA
DEPENDING ON CONTENT OF IRON].
AB - The article considers results of analysis offormation of biofilm of priority
opportunistic pathogens in blood plasma and LB-broth. As compared with LB-broth,
bloodplasma stimulates formation of biofilm of microorganisms in the following
sequence: Staphylococcus aureus > Pseudomonas aeruginosa > Escherichia coli. The
application oftechnique of infra-redspectroscopy of bio-films established that
blood plasma promotes formation of external exopolysaccharides of S.aureus. The
cultivation of bio-films in plasma depending on content of iron demonstrated that
the analyzed strains of S. aureus, P. aeruginosa, E. coli form bio-films in a
better way in plasma with normal content of iron and iron-deficient and iron
loaded plasma decreases their activity of formation of biofilm.
PMID- 27183733
TI - [TMOSKOVHE COMPARATIVE CHARACTERISTIC OF GROWTH MEDIUMS FOR SEPARATION OF
CORYNEBACTERIA].
AB - The comparative tests of growth mediums for isolation and accumulation of
diphtheria bacteria were implemented. The testing consisted of six series of
growth medium "Corynebacagar" produced by the state research center of applied
microbiology and biotechnology and three series of blood tellurite agar. The
concluding results of identification of biological indicators of all series of
growth nutrient mediums are presented The "Corynebacagar" is recommended for
application in health care practice for primary inoculation of pathological
material during implementation of cultural analysis on diphtheria.
PMID- 27183734
TI - The Politically Active Nurse.
PMID- 27183736
TI - I Just Couldn't Leave.
PMID- 27183735
TI - Summary of Code of Ethics.
AB - The Guide to the Code of Ethics for Nurses is an excellent guideline for all
nurses regardless of their area of practice. I greatly enjoyed reading the
revisions in place within the 2015 edition and refreshing my nursing conscience.
I plan to always keep my Guide to the Code of Ethics for Nurses near in order to
keep my moral compass from veering off the path of quality care.
PMID- 27183737
TI - Keep Your Distance! Preventing Distractions to Reduce Medication Errors.
PMID- 27183738
TI - Unequal Access: African Immigrants and American Health Care.
PMID- 27183740
TI - Government announces one per cent pay increase for public sector staff.
PMID- 27183739
TI - Limited English Proficiency: Impact on Health Literacy and Health Disparity.
PMID- 27183741
TI - Information sharing app aims to address families' 'biggest fears' about home
care.
PMID- 27183742
TI - Cutting the complexity of a topical treatment-reducing regimen for atopic eczema.
PMID- 27183744
TI - Reflections on a #DayWithDave.
PMID- 27183743
TI - Jean Robinson responds.
PMID- 27183746
TI - Mobile devices as 'calming tools' for children.
PMID- 27183747
TI - Study links asthma with fertility.
PMID- 27183748
TI - Seeing the signs.
AB - Invasive meningococcal disease, causing meningitis and/or septicaemia, can kill
within hours and leave a significant number of survivors with lifelong
disability. Even though there are now three meningococcal vaccines in the U.K.
routine immunisation schedule, not all cases will be prevented. There are also
other bacterial, viral and fungal causes of meningitis that are not prevented by
vaccines, it is too early to assess the impact of recent changes to the schedule
and it is vital that everyone is aware of the signs and symptoms, and remains
vigilant.
PMID- 27183749
TI - Childhood epilepsy: a clinical update.
PMID- 27183750
TI - Performing school nursing: Narratives of providing support to children and young
people.
AB - Child and adolescent mental health is an important public health issue within the
U.K. Providing support to young people to help them cope with everyday life is a
key aspect of the school nurse's role. Yet there is a paucity of published
research within the U.K. and internationally about how this support is provided.
Using a narrative inquiry approach this study set out to address the following
research question, 'How do school nurses provide support to young people?'
Stories were gathered from 11 school nurses identified through purposive sampling
to explore their experiences of providing support to young people. Poetic
representations were used to tell the stories of individual school nurses; an
approach seen to be innovative within school nursing research. Spatiality theory
was used as a framework to explore different spaces used when providing support
to young people. This study extends the current school nursing literature about
what it means to provide support. The importance of regular support and building
trusting relationships is identified Yet challenges exist in terms of the amount
of emotional investment required by the nurses, as well as a lack of workforce
capacity and organisational demands.
PMID- 27183752
TI - Best practice at the new birth review?
AB - This paper aims to discuss the infant assessment component of the new birth
review. The Healthy Child Programme (HCP) is the guidance that underpins the
practice of health visiting at this contact. It should be clearly stated that
this article is not referring to the Newborn and Infant Physical Examinations
(NIPE) undertaken by medical practitioners and midwives at birth and by GPs at
six weeks respectively. From reviewing other provider organisations' health
visiting standards online, through discussions at professional. health visiting
forums such as The National Professional Advisory Group and from the authors
small qualitative study as part of a master's dissertation there appears to be a
wide variation in health visiting practice. There are health visiting (HV)
services that offer a universal infant assessment, while other HV services assess
the infant in other ways at the request of the parent. However, some services do
not undertake an infant assessment at all. The Health Visiting Core Specification
states that the health visitor should be able to assess the baby's growth and be
able to conduct an ongoing review. This paper discusses the historical background
that underpins the different approaches and discusses the implications for
different practice at a universal healthy child programme contact. The author
discusses tools that evidence
PMID- 27183751
TI - Something fishy about home-cooked infant feeding recipes.
AB - There is no evidence available indicating whether popular infant and young child
feeding (IYCF) cookbooks provide nutritious options for home-cooking, what the
most common food types are within the recipes, and what accompanying dietary
advice is provided to parents. This study surveyed available IYCF cookbooks from
local libraries and Amazon UK's top 20 bestsellers (May to July 2013 to examine
the prevalence and nutritional content of cookbook recipes comparing these to
recommendations whilst investigating the messages portrayed towards parents on
giving different foods to their child during IYCF. Vegetable-based recipes
(median=29) predominated main-meal options while a proportional number of red
meat, poultry and seafood-based recipes were included providing parents with
options of protein-rich recipes for their young child. These home-cooked recipes
adequately met or exceeded age-specific dietary recommendations. Mixed messages
were apparent on the inclusion of foods during IYCF within and across these
cookbooks, in particular for seafood, highlighting a lack of consistent advice
portrayed to parents during the early years. Community-based health professionals
should advise parents of the inconsistent and incorrect messages on food
inclusion portrayed in some IYCF cookbooks and guide them towards formal
recommendations.
PMID- 27183754
TI - Bringing Hope.
PMID- 27183753
TI - Last word with...Carol Long.
PMID- 27183755
TI - 'Negotiating' drug prices for Medicare.
PMID- 27183757
TI - Promoting value through antimicrobial stewardship.
AB - An institution that uses a value-based approach to manage and prevent problems
related to the suboptimal use of antibiotics will improve its bottom line
through: Efficiencies brought about by aggressive management of institutional
resources. Reductions in hospital admission/readmission. Fewer complications.
Better transitions in care. Increased revenues through preferential referrals.
PMID- 27183756
TI - Healthcare not-for-profits: FASB exposure draft highlights flexibility in
financial statement presentation.
AB - A new version of the FASB accounting standard covering not-for-profit healthcare
organizations contains potentially significant changes to the statement of
operations and changes in net assets, statement of cash flows, and notes to the
financial statements. Healthcare organizations already have tremendous
flexibility with disclosures around all aspects of their business. Although
auditors prefer to see only information that can be effectively audited, this
preference does not prevent organizations from expanding on certain activities or
transactions covered by GAAP in their footnotes.
PMID- 27183758
TI - Orchestrating ACO success: how top performers achieve shared savings.
AB - Leaders of the top-performing accountable care organizations in the Medicare
Shared Savings Program attribute the success of their organizations in large part
to seven strategies: Seek action-oriented leadership. Transform primary care
physician practices. Keep patients out of the emergency department. Ensure all
transitions are smooth. Make effective use of available data. Share information
on physician performance. Keep patients engaged.
PMID- 27183759
TI - Value-based physician compensation: a link to performance improvement.
AB - To prepare for the healthcare industry's transition to value-based care, Mayo
Clinic Health System implemented a new, value-focused physician compensation plan
as part of a larger initiative aimed at systemwide clinical integration. The plan
uses three value-based metrics, focusing on outcomes, safety, and patient
experience, that initially would determine 5 percent of a physician's
compensation. Notable improvements achieved in the first year of the plan's
implementation were strong indicators of the potential effectiveness of such a
plan.
PMID- 27183760
TI - The value of employees in a value-based care system.
AB - To be successful under value-based payment, hospitals will require a healthy
communication culture in which workers in the trenches are committed, engaged,
and focused. In such a culture, employees should feel free to express their fears
and doubts, accept and apply constructive feedback, and participate creatively.
Mistakes should be seen not as cause for disciplinary action but as learning
opportunities. New hires should have a willingness to continually learn and work
independently, be unafraid of making mistakes, and be committed to adding value
through the delivery of improved care and enhanced outcomes.
PMID- 27183761
TI - Maintaining qualification for 340B.
AB - After initial acceptance in the 340B Drug Pricing Program, hospitals and health
systems should monitor and take steps to maintain their disproportionate share
hospital status to continue to qualify for participation. Proactively managing
the Supplemental Security Income (SSI) Ratio will ensure the organization avoids
an unexpected decline in the Medicare portion of its 340B patient base. Even with
the surge resulting from Medicaid expansion, tracking patient eligibility for
Medicare/ SSI to ensure all patients who qualify are appropriately enrolled in
the program is an important step in maintaining 340B program eligibility.
PMID- 27183762
TI - Building a value-based primary care network for population health.
AB - The move to value-based care has many primary care physicians worried about the
future of their practices. Six strategies developed by a clinic in Northeastern
Oklahoma can help: Determine your "why." Let leadership drive. Educate staff, and
communicate the transformation plan. Find alignments. Get patients engaged. Scale
the program with technology.
PMID- 27183763
TI - High deductibles, high value?
PMID- 27183764
TI - CMS data reveal cost increases for Medicare patients.
PMID- 27183765
TI - Ibrutinib (Imbruvica). Relapsed chronic lymphocytic leukaemia and mantle cell
lymphoma: uncertain impact on survival.
AB - codynamic interactions are also likely in view of its adverse effect profile.
There is no consensus on the treatment of patients with refractory or relapsed
mantle cell lymphoma, or for patients with relapsed or possibly refractory
chronic lymphocytic leukaemia. Ibrutinib inhibits an enzyme involved in
regulating B lymphocyte activity. It has been authorised in the European Union
for these conditions. Clinical evaluation of ibrutinib in mantle cell lymphoma is
based on a single non-comparative trial in 111 patients, in which the median
overall survival time was 22.5 months. Clinical evaluation of ibrutinib in
chronic lymphocytic leukaemia is based on two randomised trials. One unblinded
trial compared ibrutinib versus ofatumumab and involved 391 patients, most of
whom were sufficiently fit to receive anticancer combination therapy. Ibrutinib
was more effective than ofatumumab, but the choice of this comparator might not
have been appropriate for most of the patients who received it. The other double
blind, placebo-controlled trial involved 578 patients with relapsed or refractory
chronic lymphocytic leukaemia. Ibrutinib was added to the bendamustine +
rituximab combination. No significant difference in mortality was observed
between the two groups. The main adverse effects of ibrutinib were:
gastrointestinal disorders such as diarrhoea; life-threatening infections and
bleeding disorders; and cardiac disorders, including atrial fibrillation.
Ibrutinib carries a risk of multiple pharmacokinetic interactions.
Pharmacodynamic interactions are also likely in view of its adverse effect
profile.
PMID- 27183766
TI - Advanced Directives and Advanced Care Planning for Healthcare Professionals.
AB - The purposes of this study were to assess healthcare professionals' need for
information on advanced directives and to implement and evaluate an educational
plan for change in knowledge and behaviors related to advanced directives. End-of
life (EOL) care is an important topic for patients to discuss with their families
and healthcare professionals (HP). Needs assessment data were collected from
healthcare providers at an urban trauma intensive care unit (ICU) in Louisville,
Kentucky on concepts related to end-of-life. Next, healthcare professionals
participated in an educational intervention focused on: knowledge about advanced
directives; communication techniques for healthcare professionals to use with
patients and their families; awareness of the patient's level of illness in
advanced care planning; and specifics about living wills in Kentucky and how to
complete one. Pre- and post-test data were collected to evaluate change in
knowledge, capability an average of 8.7 years (SD = 9.1; range = 1.9-35 years) in
healthcare and worked an average of 8.4 years (SD = 9.3; range = 4 months to 35
years) in their respective ICUs. Eighty-seven percent did not have an AD in place
even though their perceived knowledge about AD remained moderate throughout pre-
and post-test scores (3.3 to 3.8 on a 5 point scale, respectively). Total post
test scores revealed a 2% improvement in correct responses. These findings point
to the need for education of healthcare providers in the ICU to increase early AD
and ACP discussions with patients and their families.
PMID- 27183767
TI - Staff Development and Simulation.
PMID- 27183768
TI - Taking Health Promotion to the Louisville Community.
PMID- 27183769
TI - Shaken but not broken: Supporting breastfeeding women after the 2011 Christchurch
New Zealand earthquake.
AB - The 2011 Christchurch New Zealand earthquake adversely affected large numbers of
people and resulted in many mothers and infants evacuating the city. In the town
of Timaru, an emergency day-stay breastfeeding service assisted evacuee women.
The service was established after media messaging alerted mothers to the
importance of breastfeeding and the location of breastfeeding assistance. The
local hospital provided rooms for the breastfeeding support service, which
delivered counselling to mothers experiencing breastfeeding challenges. The
vulnerability of infants in emergencies demands that governments and aid
organisations plan to support their wellbeing and access to safe food and liquid.
Plans should be developed in accordance with the Emergency Nutrition Network's
Operationalguidance on infant and young child feeding in emergencies and include
breastfed and formula-fed infants. Many countries have existing health resources
and personnel with the expertise to support infant feeding in emergencies.
However, only comprehensive pre-emergency planning can ensure that infants are
protected.
PMID- 27183770
TI - Women's experiences of learning to breastfeed.
AB - AIM: This research explores women's experiences of learning to breastfeed.
DESIGN: A purposive cohort of healthy mothers participated in individual audio
recorded interviews late pregnancy and then 2 and 8 weeks after birth. All
interviews were transcribed verbatim and analysed using van Manen's approach.
SETTING AND PARTICIPANTS: Participants were 13 first time mothers based in a
rural municipality in Victoria, Australia. KEY FINDINGS: Women's voices gave rich
descriptions of their experience of learning to breastfeed. Women shared the
physicality of having 'great big engorged breasts' or 'sore nipples', and
'learning to latch' while 'having so very many things happening'. CONCLUSION:
Many participants felt overwhelmed with learning to breastfeed at the same time
as coping with caesarean wounds, perineal trauma, uterine bleeding and extreme
fatigue. FUTURE IMPLICATIONS: Parenting education needs to be offered early in
pregnancy so couples can explore birthing and its potential outcomes and to
introduce infant cues and behaviours as a base for understanding how these impact
on breastfeeding and problem solving.
PMID- 27183771
TI - Challenges in the treatment of lactational mastitis for general practitioners.
AB - OBJECTIVES: To determine how GPs manage breastfeeding women with mastitis and how
confident they are in treating women with breast conditions that occur during
lactation. DESIGN, PARTICIPANTS AND SETTING: A cross-sectional survey conducted
among all Croatian GPs. KEY FINDINGS: Sixty-four per cent of the total number of
respondents (171/268) had seen a patient with lactational mastitis (LM) in the
previous 12 months. Among respondents who recommended medication for the
treatment of LM, 93% prescribed an antibiotic (122/131). Fifteen per cent of
respondents who gave advice on infant feeding advised alternative feeding
methods. Approximately half (47%) felt completely confident when treating LM
while more than half (57%) felt partially confident when treating other breast
conditions that can occur during lactation. CONCLUSION: The management of LM
among Croatian GPs is not in full compliance with current recommendations. FUTURE
IMPLICATIONS: There is a need for further training of Croatian GPs in the
management of mastitis.
PMID- 27183772
TI - Development of oral tolerance to allergens via breastmilk.
AB - Immune responses induced in early life to environmental and dietary antigens will
be decisive for children and their adult response to these antigens, and they
will condition development of immune-mediated diseases such as allergies and
autoimm unity Maternal influence on neonatal tolerance induction through breast
feeding is probably of great importance because of dietary and environmental
antigen transfer through breast milk and the pleiotropic effects of breast
feeding on gut and immune system maturation. In addition, maternal history and
maternal sensitization to common environmental and food antigens will probably
affect antigen transfer to the breastfed child along with tolerance induction.
PMID- 27183773
TI - Help patients and families as they struggle with end-of-life issues.
AB - When patients appear to be reaching the end of life, case managers should find
out their wishes, alert the treating physician and the rest of the staff, and
ensure that patients' wishes are carried out. In an effort to encourage
discussions about end-of-life care, the Centers for Medicare & Medicaid Services
(CMS) has begun paying physicians for consultations on advance care planning.
CMS' push toward pay for performance and bundled payments creates financial
incentives for hospitals to consider alternatives to admitting patients as
inpatients. Providers' feelings sometimes make them hesitant to talk about end-of
life issues with patients and family members. Case managers should take a patient
centered approach and find out patients' goals before bringing up hospice care,
and educate family members on what to expect when they get home.
PMID- 27183774
TI - Get in touch with your own feelings before talking to patients.
PMID- 27183775
TI - Find out patients' goals before introducing the idea of hospice.
PMID- 27183776
TI - Make sure patients, families are informed about hospice.
PMID- 27183778
TI - Hospital LOS confirmed to worsen hip fracture outcomes.
PMID- 27183777
TI - Swing shift case managers facilitate discharges late in the day.
AB - Swing shift case managers start work at 2 p.m. at UCLA Health and facilitate
discharges that need to occur late in the day. An occupancy rate that
consistently is 95% or higher makes it imperative for the hospital to have good
patient throughput. Day shift case managers refer cases with last minute details
that could delay the discharge until the next day. The swing shift case managers
cover the house and divide up the pending discharges among themselves.
PMID- 27183779
TI - ED nurses ID barriers to ethical end-of-life care.
PMID- 27183780
TI - [Regulation of Membrane-Dependent Reactions of Blood Coagulation].
AB - All major coagulation reactions do not occurs in blood plasma itself, these
processes are actually two-dimensional reactions localized to thephospholipid
membranes. Almost all blood cells, lipoproteins, and microparticles provide
assembly of protein complexes. A central role among them are played by platelets
and platelet-derived microparticles. On their membranes occurs the most important
coagulation reactions such as activation of prothrombin by prothrombin complex,
activation of factor X by complexes intrinsic and extrinsic tenase. This
reactions are important for processes activation of the contact path coagulation,
activation factor XI by thrombin, appearance of enzymatic activity of factor VIIa
etc. This review is focused on the membrane-dependent reactions, here are
discussed mechanisms and regulation these reactions and the possible prospects of
the study.
PMID- 27183781
TI - [Neurovisalization Studies of the Self].
AB - Paper reviews contemporary standards and results of neurovisualization studies of
the human Self. It's been shown, that the medial prefrontal cortex plays the main
role in supporting this mental function. The cingulate, the inferior parietal
lobule and the precuneus has less influence on this process. Emotional aspects of
the Self are also likely to be associated with involvement of the amygdala, and
embodiment is related to the insular cortex. In schizophrenia patients, activity
of identified network decreases while in case of depression, anxiety or autism it
increases.
PMID- 27183782
TI - [GPR119 Receptor Agonists: Characteristics, Physiological Role, Prospects of Use
in the Treatment of Diabetes Mellitus Type 2 and Metabolic Syndrome].
AB - Last decade GPR119 receptor attracted great attention of many researchers groups
worldwide. This receptor is expressed in enteroendocrine L- and K-intestinal
cells and pancreas beta cells. First endogenous ligands for GPR119 was found in
2005: fatty acid metabolites, some phospholipids and fatty acid amides
derivatives. GPR119 receptor is involved in the glucose metabolism regulation:
glucose-dependent insulin secretion, glucose-independent incretin secretion,
appetite control, gastric emptying, as well as beta cell proliferation. Thus,
GPR119 is a "sensor" of some fatty acid derivatives and-GPR119 is a promising new
pharmacological target for the treatment of type 2 diabetes.
PMID- 27183783
TI - [The Role of Cytokines MIC-1/GDF15 in Development of the Old Age Disease].
AB - This review provides information about the structure and basic functions of the
cytokine MIC-1/GDF15. MIC-1/GDF15 is normally contained not only in blood, but
also expressed on cells of reproductive and nervous system. Its concentration
increases in various diseases of the central nervous system, cardiovascular
system, thromboembolism, malignant tumors and other pathological conditions, the
most common in the elderly. It was demonstrated that the correlation between the
level of MIC-1/GDF15 and the severity of the pathological process, disease
prognosis, including fatalities, therefore it was named "predictor of death". In
gene promoter MIC-1/GDF15 was detected geroprotective binding sites for peptides
Lys-Glu, Lys-Glu-Asp, and Ala-Glu-Asp-Gly. Probably, these peptides regulate the
synthesis of MIC-1/GDF15, whereby its geroprotective effect may be accounted.
PMID- 27183784
TI - [Gas Signalling in Mammalian Cells].
AB - At the end of the last century after the discovery of signaling functions of
nitric oxide (NO, II), a new class of biologically active substances was
admitted. It includes so-called gas transmitters acting as intercellular and
intracellular regulators of different physiological functions. Currently, this
class includes such gases as NO, carbon monoxide (CO) and hydrogen sulfide (H2S).
It was found that these gases regulate not only functions of the.
gastrointestinal tract and the cardiovascular system, where it has been
determined initially, but also affect the function of the central and peripheral
nervous.systems. Apparently, they constitute a single complex of gas
transmitters, which easily penetrates through the membrane and regulates numerous
enzymatic and non enzymatic cells reactions. This review presents the mechanisms
of gas transmitters' influence on the electrical and contractile properties of
smooth muscle cells (SMC) as a possible new ways to interact with the "classical"
intracellular signaling cascades (Ca2+, cyclic nucleotides) and effectors
systems. On account of their interactions the role of cyclic nucleotides and
calcium ions in the implementation of the signal gas molecules functions is
analyzed. We summarize the literature data and the results of our own research on
the role of SMC membrane ion-transporting systems in myogenic effects of NO, CO
and H2S and describe possible reasons of gas transmitters multidirectional
influence on the excitation-contraction coupling in SMC.
PMID- 27183785
TI - [Regulation of Ovarian Function: Part of the Gas Transmitters NO, CO and H2S].
AB - This review discusses the management of ovarian function and participation in the
process of a new class of cellular regulators--Gasotransmitters (NO, CO and H2S).
According to modern concepts in regulatory processes, in addition to hypothalamic
pituitary mechanisms involved a large and diverse group of ovary cells
(interstitial, internal theca and atretic bodies, follicular epithelium, teka
luteal and granulosa-luteal cells of the corpus luteum), which not only mediate
the gonadotropic stimulation, but satisfy the for the production of a wide range
of biologically active substances. These materials are expected to participate in
all these processes gaseous mediators. However, our knowledge of topochemistry,
mechanisms and the role of these substances in the important organs of the
reproductive system-ovary, fragmentary and do not allow to form a holistic
understanding of the cellular mechanisms by which Gasotransmitters have a
regulating effect on the individual structures of the ovary in normal functioning
of the body and disease. Creation of an experimental model for studying the
mechanisms of gaseous mediators in different cell types of ovarian, including
endothelial and smooth muscle cells of blood vessels, in which the functional
significance of these substances may be particularly significant, will provide
fundamental knowledge for the development of new approaches to the treatment of
diseases of the reproductive system.
PMID- 27183786
TI - [Biological Role of Oligomerny Matriksny of Protein of the Cartilage in Exchange
Processes Connecting Tissue].
AB - In the review the literary data on studying of biological role of a oligomerny
matriksny of protein of the cartilage in exchange processes connecting tissue at
people and animals are provided, and also results of own researches on definition
of a oligomerny matriksny of protein of the cartilage as a modern marker of a
metabolism of an articulate cartilage at children from undifferentiated displaziy
conjunctive tissue are briefly described.
PMID- 27183787
TI - The Selection and Use of Essential Medicines.
AB - This report presents the recommendations of the WHO Expert Committee responsible
for updating the WHO Model Lists of Essential Medicines. It contains a summary of
the Committee's considerations and justifications for additions and changes to
the Model Lists, including its recommendations. Annexes to the main report
include the revised version of the WHO Model List of Essential Medicines (19th
edition) and the WHO Model List of Essential Medicines for Children (5th
edition). In addition there is a list of all the items on the Model Lists sorted
according to their Anatomical Therapeutic Chemical (ATC) classification codes.
PMID- 27183788
TI - [Preimplantation Genetic Diagnosis by Blastocentesis: Problems and Perspectives].
AB - The discovery of cell-free DNA in blastocoele fluid opens new perspectives for
the development of preimplantation genetic diagnosis of human chromosomal and
genetic diseases. In this review we analyzed the results of the first studies,
which made it possible to evaluate the effectiveness of the application of a new
source of biological material and showed a high degree of agreement between the
results of molecular karyotyping with cell-free DNA and blastocyst cells. The
results suggest the possibility of developing a noninvasive method of
preimplantation genetic diagnosis, which may open a new round of progress in the
field of assisted reproductive technologies and the genetics of early stages of
human ontogenesis.
PMID- 27183789
TI - [Telomere Recombination in Normal Mammalian Cells].
AB - Two mechanisms of telomere length maintenance are known to date. The first
includes the use of a special enzymatic telomerase complex to solve the problems
that arise during the replication of linear DNA in a normal diploid and part of
tumor cells. Alternative lengthening of telomeres (ALT), which is based on the
homologous recombination of telomere DNA, represents the second mechanism. Until
recently, ALT was assumed to be expressed only in 15-20% of tumors lacking active
telomerase and, together with telomerase reactivation represented one of two
possibilities to overcome the replicative senescence observed in somatic
mammalian cells due to aging or during cell culturing in vitro. Previously
described sporadic cases of combinations of the two mechanisms of telomere length
maintenance in several cell lines in vitro were attributed to the experimental
design rather than to a real biological phenomenon, since active cellular
division without active telomerase was considered to be the "gold standard" of
ALT. The present review describes the morphological and functional
reorganizations of mammalian telomeres observed with ALT activation, as well as
recently observed,and well-documented cases of combinations between ALT-like and
telomerase-dependent mechanisms in mammalian cells. The possible role of telomere
recombination in telomerase-dependent cells is discussed.
PMID- 27183790
TI - [Mutation Induction in the Mouse and Human Germline].
AB - The review describes the effects of exposure to mutagens on mutation induction in
human and mouse germlines. The results of studies that evaluated inductions of
mutations in human families subjected to irradiation are presented and discussed.
The effects of exposure to mutagens on mutation induction in the mouse germline
are also considered. We analyze and discuss the recent data on the genome-wide
effects of irradiation on mutation induction in the mouse germline obtained by
next-generation sequencing and comparative genome hybridization.
PMID- 27183791
TI - [The Effect of Transcription on Enhancer Activity in Drosophila melanogaster].
AB - In higher eukaryotes, the level of gene transcription is under the control of DNA
regulatory elements, such as promoter, from which transcription is initiated with
the participation of RNA polymerase II and general transcription factors, as well
as the enhancer, which increase the rate of transcription with the involvement of
activator proteins and cofactors. It was demonstrated that enhancers are often
located in the transcribed regions of the genome. We showed earlier that
transcription negatively affected the activity of enhancers in Drosophila in
model transgenic systems. In this study, we tested the effect of the distance
between the leading promoter, enhancer, and target promoter on the inhibitory
effect of transcriptions of different strengths. It was demonstrated that the
negative effect of transcription remained, but weakened with increased distance
between the leading promoter and enhancer and with decreased distance between the
enhancer and target promoter. Thus, transcription can modulate the activity of
enhancers by controlling its maximum level.
PMID- 27183792
TI - [Distinctive Features of the Microbial Diversity and the Polyketide Synthase
GenesSpectrum in the Community of the Endemic Baikal Sponge Swartschewskia
papyracea].
AB - The diversity of the symbiotic community of the endemic Baikal sponge
Swartschewskia papyracea was studied, and an analysis of the polyketide synthases
genes spectrum in sponge-associated microorganisms was carried out. Six bacterial
phyla were detected in the S. papyracea microbiome, namely, Verrucomicrobia,
Cyanobacteria, Actinobacteria, Bacteroidetes, Proteobacteria, and Planctomycetes.
Unlike the microbial associations of other freshwater sponges, the community
under study was dominated by the Verrucomicrobia (42.1%) and Cyanobacteria
(17.5%) phyla, while the proportion of the Proteobacteria was unusually low
(9.7%). In the S. papyracea community metagenome, there were identified 18
polyketide synthases genes fragments, the closest homologs of which included the
polyketide synthases of the microorganisms belonging to the bacterial phyla
Cyanobacteria, Proteobacteria (Betaproteobacteria, Deltaproteobacteria, and
Gammaproteobacteria classes), and Acidobacteria and to the eukaryotic algae of
the Heterokonta phylum (Eustigmatophyceae class). Polyketide synthase sequences
from S. papyracea formed three groups on the phylogenetic tree: a group of hybrid
NRPS/PKS complexes, a group of cyanobacterial polyketide synthases, and a group
of homologs of the eukaryotic alga Nannochloropsis galiana. Notably, the
identified polyketide synthase genes fragments showed only a 57-88% similarity to
the sequences in the databases, which implies the presence of genes controlling
the synthesis of the novel, still unstudied, polyketide compounds in the S.
papyracea community. It was proposed that the habitation conditions of S.
papyracea affect the taxonomic composition of the microorganisms associated with
the sponge, including the diversity of the producers of secondary metabolites.
PMID- 27183793
TI - [The Inheritance of Endosperm Storage Proteins by the Line of the Saratovskaya 29
Cultivar of Common Wheat from its Parental Forms].
AB - We ran a comparative analysis of storage proteins (gliadins, high- (HMW) and low
molecular-weight (LMW) glutenins, puroindolines, and exogenous alpha-amylase pest
inhibitors) in the Saratovskaya 29 cultivar line from the collection of a genetic
engineering laboratory, its parental forms (Albidum 24 and Lyutescens 55/11), and
distant ancestors (Poltavka, Selivanovskiy Rusak, Sarroza, and tetraploid
Beloturka). It was confirmed that the allelic states of storage proteins in the
Gli-1, Gli-2 and Glu-1 loci originate from ancestral forms from the collection of
the Vavilov Institute of Plant Industry. Moreover, new alleles were found in
Lyutescense 55/11 (Glu-Ala) and Selivanovskiy Rusak (Glu-B1b) cultivars from the
collection of the Institute of Cytology and Genetics. A new allelic state, Ha,
was observed in the loci of the Poltavka cultivar as a soft-grain cultivar, and
the ha allele was found in the hard-grain Albidum 24 and Sarroza cultivars. It
was found that the expression rate of exogenous alpha-amylase inhibitors of pests
in the Saratovskaya 29 cultivar line is lower than that of ancestral cultivars
(Albidum 24, Sarroza, Poltavka, and Beloturka). Such inhibitors are absent in the
paternal form Lyutescense 55/11. A high expression rate of protein pest
inhibitors for exogenous alpha-amylases and puroindolines was observed in the
Poltavka cultivar. The allelic composition of Glu-1 loci was newly studied in the
Sarroza cultivar, which has some promising features. The Saratovskaya 29 cultivar
line, on the basis of which a wide range of diverse lines were created in the
Institute of Cytology and Genetics, is isogenic for all of the studied traits.
PMID- 27183794
TI - DNA METHYLATION ANALYSIS DURING THE OPTIMIZATION OF Agrobacterium-MEDIATED
TRANSFORMATION OF SOYBEAN.
AB - Soybean is recognized as one of the plants which are very difficult to be
transformed. Considering the low transformation efficiency of soybean, we aimed
to determine the effect of 6-benzylaminopurine (6-BA), shoot induction time, and
infection time of Agrobacterium on the clonal propagation of Glycine max. Results
showed that 1.6 mg/L 6-BA could be optimal to promote the induction of
adventitious shoots. An induction time of 15 d was considered optimal for the
actual experiment involving soybean shoot induction. Agrobacterium was cultured
until an OD600 = 0.8 was reached for an infection time of 30 min; this infection
time may be optimal to promote soybean transformation. Whole genome DNA
methylation was analyzed by high-performance liquid chromatography (HPLC)
assisted quantification, and DNA methylation result is consistent with the
phenotypic data of shoot development. In addition, two methylation-related genes
(Decrease in DNA methylation 1 and DNA methyltransferases chromomethylase 2) were
analyzed to determine expression differences by qRT-PCR in the shoots that were
developed under different experimental conditions. In general, the expression
values of these genes were normally downregulated under the recommended
experimental conditions of soybean regeneration. This study showed the overall
methylation changes in the in vitro culture of soybean, as affected by several
variable parameters, which is useful to promote the transformation efficiency of
soybean.
PMID- 27183795
TI - GENETIC VARIATION AND IDENTIFICATION OF PROMISING SOUR CHERRIES INFERRED FROM
MICROSATELLITE MARKERS.
AB - The aim of this study was to identify the group of highly polymorphic
microsatellite markers for identification of promising sour cherries. From among
30 tested microsatellite (SSR) markers, 19 were selected to profile genetic
variation in sour cherries due to high polymorphisms. Results indicated a high
level of polymorphism of the accessions based on these markers. Totally 148
alleles were generated at 19 SSR loci which 122 alleles were polymorphic. The
number of total alleles per locus ranged from 2 to 15 with an average of 7.78 and
polymorphism percentage varied from 50 to 100% with an average of 78.76%. Also,
PIC varied from 0.47 to 0.89 with an average of 0.79 and heterozygosity ranged
from 0.35 to 0.55 with a mean of 0.45. According to these results, these markers
specially PMS3, PS12A02, PceGA34, BPPCT021, EMPA004, EMPA018, and Pchgms3
produced good and various levels of amplifications and showed high heterozygosity
levels. By the way, the genetic similarity showed a high diversity among the sour
cherries. Cluster analysis separated improved cultivars from promising sour
cherries, and the PCoA supported the cluster analysis results. Since the studied
sour cherries were superior to the improved cultivars and were separated from
them in most groups, these sour cherries can be considered as distinct genotypes
for further evaluations in the framework of breeding programs and new cultivar
identification in cherries. Results also confirmed that the set of microsatellite
markers employed in this study demonstrated usefulness of microsatellite markers
for the identification of sour cherry genotypes.
PMID- 27183797
TI - [Comparative Analysis of the Effectiveness of STR and SNP Markers for
Intraspecific and Interspecific Differentiation of the Genus Ovis].
AB - A comparative study of the informativeness of SNP and STR markers for
interspecific and intraspecific differentiation of the two species of the genus
Ovis, snow sheep (O. nivicola) and domestic sheep (O. aries), was conducted.
Eleven STR loci combined into two multiplex panels were examined. SNP analysis
was performed with the DNA microarray OvineSNP50K BeadChip featuring 54241 SNPs.
The possibility of clear differentiation of the studied Ovis species with both
types of genetic markers was demonstrated. The advantages of SNP markers for
intraspecific differentiation of the O. aries breeds and O. nivicola geographical
groups were revealed. The areas of application of the studied types of DNA
markers are discussed.
PMID- 27183796
TI - [Genetic Connectivity Between Sympatric Populations of Closely Related Char
Species, Dolly Varden Salvelinus malma and White Char Salvelinus albus].
AB - The closely related chars Salvelinus malma and Salvelinus albus, which
sympatrically inhabit the Kamchatka River basin and Kronotsky Lake (Kamchatka),
attract the attention of the researchers because of their debated origin and
taxonomic status. Previous studies of sympatric populations of these chars
revealed small but statistically significant genetic differences between these
species at a number of molecular markers, suggesting the presence of the genetic
exchange and hybridization. In this study, based on genotypic characterization of
nine microsatellite loci, a considerable level of historical and contemporary
genetic migration between sympatric populations of these chars was demonstrated.
At the individual level a high degree of hybridization was observed, mainly among
the Dolly Varden individuals from the studied populations. The obtained evidence
on the genetic connectivity between sympatric S. malma and S. albus do not
support the separate species status of S. albus.
PMID- 27183798
TI - GENOME-WIDE MAPPING OF COPY NUMBER VARIATIONS IN COMMERCIAL HYBRID PIGS USING A
HIGH-DENSITY SNP GENOTYPING ARRAY.
AB - Copy number variations (CNVs) are important forms of structural variation in
human and animals and can be considered as a major genetic component of
phenotypic diversity. Here we used the Illumina PorcineSNP60 BeadChip V2 and a
DLY [Duroc x (Large White x Landrace)] commercial hybrid population to identify
272 CNVs belonging to 165 CNV regions (CNVRs), of which 66 are new. As CNVRs are
specific to origin of population, our DLY-specific data is an important
complementary to the existing CNV map in the pig genome. Eight CNVRs were
selected. for validation by quantitative real-time PCR (qRT-PCR) and the accurate
rate was high (87.25%). Gene function analysis suggested that a common CNVR may
play an important role in multiple traits, including growth rate and carcass
quality.
PMID- 27183799
TI - [The Dynamics of the Composition of mtDNA Haplotypes of the Ancient Population of
the Altai Mountains from the Early Bronze Age (3rd Millennium BC) to the Iron Age
(2nd-1st Centuries BC)].
AB - The mtDNA polymorphism in representatives of various archaeological cultures of
the Developed Bronze Age, Early Scythian, and Hunnish-Sarmatian periods was
analyzed (N = 34). It detected the dominance of Western-Eurasian haplotypes
(70.6%) in mtDNA samples from the representatives of the ancient population of
the Early Bronze Age--Iron Age on the territory of Altai Mountains. Since the 8th
to the 7th centuries BC, a sharp increase was revealed in the Eastern-Eurasian
haplogroups A, D, C, andZ (43.75%) as compared to previous cultures (16.7%). The
presence of haplotype 223-242-290-319 of haplogroup A8 in Dolgans, Itelmens,
Evens, Koryaks, and Yakuts indicates the possible long-term presence of its
carriers in areas inhabited by these populations. The prevalence of Western
Eurasian haplotypes is observed not only in the Altai Mountains but also in
Central Asia (Kazakhstan) and the South of the Krasnoyarsk Krai. All of the three
studied samples from the Western-Eurasian haplogroups were revealed to contain U,
H, T, and HV. The ubiquitous presence of haplotypes of haplogroup H and some
haplogroups of cluster U (U5al, U4, U2e, and K) in the vast territory from the
Yenisei River basin to the Atlantic Ocean may indicate the direction of human
settlement, which most likely occurred in the Paleolithic Period from Central
Asia.
PMID- 27183800
TI - [Marriage and Migratory Characteristics of the Urban Population of Karachay
Cherkessia (the End of the 20th Century)].
AB - As part of systematic research carried out by the Laboratory of Genetic
Epidemiology of the Research Center for Medical Genetics, the marriage and
migratory structure of the urban population of Karachay-Cherkessia was studied.
Numerical estimates of the population-genetic parameters were obtained from 11346
marriage records for 1990-2000. The endogamy, ethnic assortativeness,
miscegenation and local inbreeding intensities, and mean-square migration for the
four cities--Cherkessk, Karachayevsk, Ust-Dzheguta, and Teberda were estimated.
It is shown that the autochthonic urban population is highly miscegenated,
despite the traditional preference for monoethnic marriages. Half of the Russian
urban population is migrant; the autochthonic urban population is substantially
formed of Karachay-Cherkessia natives of.
PMID- 27183801
TI - [Bioactive Effect of the Preparation Biostyl on the Reproductive Function of
Different Genotypes of American Mink].
AB - The different role of coat color mutations in the American mink on the per os
effect of the biologically active preparation Biostyl was shown. The number of
kits per female was the same in all control genotypes, including Standard (+/+
+/+), sapphire (a/a p/p), and lavender (a/a m/m): 4.4 +/- 0.4, 4.4 +/- 0.5, and
4.3 +/- 0.5, respectively. Experimental groups of these genotypes have shown a
great contrast among each other: stimulation of the reproductive function was 5.2
+/- 0.3 in Standard minks, while suppression of the reproductive function was 3.8
+/- 0.6, and 2.3 +/- 0.5 in the double recessive mutants sapphire and lavender,
respectively. The differentiation in body mass between experimental and control
newborn Standard kits was not revealed. A significant decrease in the body mass
of newborn experimental sapphire kits as compared to control group in a sex
specific manner was registered.
PMID- 27183802
TI - Safety Precautions Always--Even When the Cameras Roll.
PMID- 27183803
TI - Workers Not Always Using Their Fall Protection Equipment?
PMID- 27183804
TI - Keep An Eye on Welding PPE.
PMID- 27183805
TI - Curbing Hand Injury Risks.
PMID- 27183806
TI - This Is Not Your Grandfather's Glove: Hand Protection in the Age of Performance
Gloves.
PMID- 27183807
TI - First Aid Readiness: Information, Preparation, Assessment, and Response.
PMID- 27183808
TI - How ANSI is Leading the Way to Better First Aid in the Workplace.
PMID- 27183809
TI - How Thermal Oxidation Can Increase the Sustainability of a Chemical Plant.
PMID- 27183810
TI - Safety Communications for Today's Workforce.
PMID- 27183811
TI - Comfort, Quality, Durability: Keys to Effective Protection.
PMID- 27183812
TI - One Pair for Life.
PMID- 27183813
TI - Carbon Dioxide Detection and Indoor Air Quality Control.
AB - When building ventilation is reduced, energy is saved because it is not necessary
to heat or cool as much outside air. Reduced ventilation can result in higher
levels of carbon dioxide, which may cause building occupants to experience
symptoms. Heating or cooling for ventilation air can be enhanced by a DCV system,
which can save energy while providing a comfortable environment. Carbon dioxide
concentrations within a building are often used to indicate whether adequate
fresh air is being supplied to the building. These DCV systems use carbon dioxide
sensors in each space or in the return air and adjust the ventilation based on
carbon dioxide concentration; the higher the concentration, the more people
occupy the space relative to the ventilation rate. With a carbon dioxide sensor
DCV system, the fresh air ventilation rate varies based on the number ofpeople in
the space, saving energy while maintaining a safe and comfortable environment.
PMID- 27183814
TI - Production Materials Become Hazardous Waste Practically Overnight-EPA's
Perspective on Abandoned Commercial Chemical Products.
PMID- 27183815
TI - Data Center EH&S 101.
PMID- 27183816
TI - Controlling the Climate of Safety Culture.
PMID- 27183817
TI - Recasting Advanced Cultural Leadership.
PMID- 27183818
TI - Optimal enlargement of the frontal sinus approach.
AB - After recalling the main anatomical characteristics of the frontal sinuses, the
authors describe the frontal craniotomy surgical procedure and its variants. A
bicoronal skin incision is performed. An inferior-based pericranial flap is
created, with its limits situated away from the osteotomies. Osteotomies are
performed with an oscillating saw. The inferior osteotomy is horizontal,
tangentially following the supraorbital margin as far as the lateral limit of
each sinus. The osteotomy is continued medially as far as the nasion, passing an
average of 3mm above the floor of the medial part of the sinuses, immediately
above the frontonasal ducts. The superior osteotomy is performed in a vertical
coronal plane through the summit of the sinuses. It is arc-shaped, concave
downwards, joining the lateral extremities of the inferior osteotomy. The
posterior wall of the sinus can be resected to perform frontal sinus
cranialization, allowing access to the midline anterior cranial fossa. The
posterior wall of the sinus is removed with a high-speed burr in the same way as
the anterior wall. At the end of the procedure, the bone flap is sutured with
nylon suture material and the pericranium is sutured over the bone flap.
PMID- 27183819
TI - Technical aids for speech understanding in cochlear implanted adults using cell
phones.
AB - OBJECTIVES: The present study sought to assess (1) phone use habits and awareness
of listening aids in adult cochlear implant bearers, and (2) objective and
subjective benefit of listening aids for cell-phone communication. MATERIAL AND
METHODS: A questionnaire was sent to 17 cochlear (Cochlear((r))) implanted adults
to assess phone use and awareness of available listening aids. Speech perception
without lip-reading was assessed in silence and in noise using Fournier
dissyllabic word lists recorded on an iPhone 5C((r)), with and without listening
aids. Subjective benefit was assessed according to listening aid system. RESULTS:
Sixty-five percent of adult cochlear implant bearers regularly used a phone with
all kinds of correspondent. Eighty-eight percent phoned only in quiet conditions;
53% did not answer unknown callers; 71% never used listening aids. Speech
discrimination scores for disyllabic words recorded on the phone were
respectively 69%, 63%, 45% and 16% in quiet and 50, 60 and 70dB SPL noise. Speech
perception in quiet and noise was improved by listening aids; the Roger system
was the most beneficial, followed by the FM system, then the inductive system.
CONCLUSION: Listening aids are effective, but little known by adult cochlear
implant bearers.
PMID- 27183820
TI - The influence of larval competition on Brazilian Wolbachia-infected Aedes aegypti
mosquitoes.
AB - BACKGROUND: With field releases starting in Brazil, particular interest must be
given to understanding how the endosymbiotic bacterium Wolbachia pipientis
affects Aedes aegypti mosquitoes with a Brazilian genetic background. Currently,
there is limited information on how the bacterium affects phenotypic traits such
as larval development rate, metabolic reserves and morphometric parameters in Ae.
aegypti. Here, we analyze for the first time, the effect of Wolbachia on these
key phenotypes and consider how this might impact the potential of the bacterium
as a disease control agent in Brazil. METHODS: We examined the influence of the
wMel strain of Wolbachia in laboratory Ae. aegypti with a Brazilian genetic
background, reared under different larval densities. Pupae formation was counted
daily to assess differences in development rates. Levels of metabolic reserves
and morphometric parameters were assessed in adults resulting from each larval
condition. RESULTS: wMel infection led to more rapid larval development at higher
densities for both males and females, with no effect under less crowded
conditions in females. Infection also led to reduced body size at both high and
low density, but not at intermediate density, although the scale of this
difference was maintained regardless of larval density, in comparison to
uninfected individuals. Wing shape also varied significantly between infected and
uninfected mosquitoes due to larval density. Glycogen levels in uninfected
mosquitoes decreased under higher larval density, but were consistently high with
Wolbachia infection, regardless of larval density. CONCLUSIONS: We demonstrate
that the wMel Wolbachia strain can positively influence some important host
fitness traits, and that this interaction is directly linked to the conditions in
which the host is reared. Combined with previously published data, these results
suggest that this Wolbachia strain could be successfully used as part of the
Eliminate Dengue Program in Brazil.
PMID- 27183821
TI - Projected heat-related mortality under climate change in the metropolitan area of
Skopje.
AB - BACKGROUND: Excessive summer heat is a serious environmental health problem in
Skopje, the capital and largest city of the former Yugoslav Republic of
Macedonia. This paper attempts to forecast the impact of heat on mortality in
Skopje in two future periods under climate change and compare it with a
historical baseline period. METHODS: After ascertaining the relationship between
daily mean ambient air temperature and daily mortality in Skopje, we modelled the
evolution of ambient temperatures in the city under a Representative
Concentration Pathway scenario (RCP8.5) and the evolution of the city population
in two future time periods: 2026-2045 and 2081-2100, and in a past time period
(1986-2005) to serve as baseline for comparison. We then calculated the projected
average annual mortality attributable to heat in the absence of adaptation or
acclimatization during those time windows, and evaluated the contribution of each
source of uncertainty on the final impact. RESULTS: Our estimates suggest that,
compared to the baseline period (1986-2005), heat-related mortality in Skopje
would more than double in 2026-2045, and more than quadruple in 2081-2100. When
considering the impact in 2081-2100, sampling variability around the heat
mortality relationship and climate model explained 40.3 and 46.6 % of total
variability. CONCLUSION: These results highlight the importance of a long-term
perspective in the public health prevention of heat exposure, particularly in the
context of a changing climate.
PMID- 27183822
TI - Human newborn bacille Calmette-Guerin vaccination and risk of tuberculosis
disease: a case-control study.
AB - BACKGROUND: An incomplete understanding of the immunological mechanisms
underlying protection against tuberculosis (TB) hampers the development of new
vaccines against TB. We aimed to define host correlates of prospective risk of TB
disease following bacille Calmette-Guerin (BCG) vaccination. METHODS: In this
study, 5,726 infants vaccinated with BCG at birth were enrolled. Host responses
in blood collected at 10 weeks of age were compared between infants who developed
pulmonary TB disease during 2 years of follow-up (cases) and those who remained
healthy (controls). RESULTS: Comprehensive gene expression and cellular and
soluble marker analysis failed to identify a correlate of risk. We showed that
distinct host responses after BCG vaccination may be the reason: two major
clusters of gene expression, with different myeloid and lymphoid activation and
inflammatory patterns, were evident when all infants were examined together.
Cases from each cluster demonstrated distinct patterns of gene expression, which
were confirmed by cellular assays. CONCLUSIONS: Distinct patterns of host
responses to Mycobacterium bovis BCG suggest that novel TB vaccines may also
elicit distinct patterns of host responses. This diversity should be considered
in future TB vaccine development.
PMID- 27183823
TI - AP endonuclease 1 prevents the extension of a T/G mismatch by DNA polymerase beta
to prevent mutations in CpGs during base excision repair.
AB - Dynamics of DNA methylation and demethylation at CpG clusters are involved in
gene regulation. CpG clusters have been identified as hot spots of mutagenesis
because of their susceptibility to oxidative DNA damage. Damaged Cs and Gs at
CpGs can disrupt a normal DNA methylation pattern through modulation of DNA
methylation and demethylation, leading to mutations and deregulation of gene
expression. DNA base excision repair (BER) plays a dual role of repairing
oxidative DNA damage and mediating an active DNA demethylation pathway on CpG
clusters through removal of a T/G mismatch resulting from deamination of a 5mC
adjacent to a guanine that can be simultaneously damaged by oxidative stress.
However, it remains unknown how BER processes clustered lesions in CpGs and what
are the consequences from the repair of these lesions. In this study, we examined
BER of an abasic lesion next to a DNA demethylation intermediate, the T/G
mismatch in a CpG dinucleotide, and its effect on the integrity of CpGs.
Surprisingly, we found that the abasic lesion completely abolished the activity
of thymine DNA glycosylase (TDG) for removing the mismatched T. However, we found
that APE1 could still efficiently incise the abasic lesion leaving a 3-terminus
mismatched T, which was subsequently extended by pol beta. This in turn resulted
in a C to T transition mutation. Interestingly, we also found that APE1 3'-5'
exonuclease activity efficiently removed the mismatched T, thereby preventing pol
beta extension of the mismatched nucleotide and the resulting mutation. Our
results demonstrate a crucial role of APE1 3'-5' exonuclease activity in
combating mutations in CpG clusters caused by an intermediate of DNA
demethylation during BER.
PMID- 27183825
TI - An electronic alert to decrease Kayexalate ordering.
AB - Important safety concerns have recently emerged regarding the use of sodium
polystyrene sulfonate (Kayexalate), a cation-exchange resin commonly used for the
treatment of hyperkalemia. We implemented an electronic alert system at a
tertiary care academic medical center to warn providers of the safety concerns of
Kayexalate. We assessed the number of Kayexalate prescriptions per month, as well
as the number of grams of Kayexalate ordered per month, one year before versus
one year after implementing the alert. The mean (+/-SD) number of Kayexalate
orders decreased from 123 (+/-12) to 76 (+/-14) orders/month (38% absolute
reduction, p < 0.001) after implementing the alert. Additionally, the mean (+/
SD) amount of Kayexalate prescribed decreased from 3332 (+/-329) to 1885 (+/-358)
g/month (43% absolute reduction, p < 0.001). We conclude that an electronic alert
is an effective tool to decrease Kayexalate ordering.
PMID- 27183826
TI - Cervical metastases behavior of T1-2 squamous cell cancer of the oral mucosa.
AB - OBJECTIVES: The clinical management of the neck of patients with early-stage oral
squamous cell cancer (OSCC) is still controversially discussed in the current
literature. This study analyzes histo-clinical factors influencing the occurrence
of cervical lymph node metastases (CM). MATERIALS AND METHODS: In a retrospective
mono-center study, patients with a primary T1-2 OSCC between 2000 and 2014 were
analyzed regarding histo-clinical parameters possibly influencing the CM rate and
the outcome. RESULTS: Two hundred eighty-five patients (66 %) were male and 146
female (44 %), with a mean age of 60 +/- 12 years at the time of diagnosis.
Seventy-seven percent showed a positive risk profile (nicotine/alcohol) and 69 %
underlying diseases. Forty-four percent of the patients were staged as T2 (30 %
with CM). Advanced tumor size (T1 vs. T2) and grading (G1-2 vs. G3) were
statistically significantly (p < 0.001) associated with the occurrence of CM. The
localization within the oral cavity, age, gender, risk profile, or underlying
diseases had no influence on CM occurrence. During the follow-up, tumor size (p =
0.001), CM (p < 0.001), and tumor relapse (p < 0.001) were significantly
associated with a worse outcome. CONCLUSIONS: Early-stage OSCC showed aggressive
lymphatic metastatic behavior that depends on the size and the grading of the
tumor. CLINICAL RELEVANCE: A watch-and-wait policy as the primary management for
cN0 may likely be inferior to a selective neck dissection for this patient group
and should only be considered for very small tumors with a good differentiation.
PMID- 27183824
TI - Adolescent alcohol exposure alters lysine demethylase 1 (LSD1) expression and
histone methylation in the amygdala during adulthood.
AB - Alcohol exposure in adolescence is an important risk factor for the development
of alcoholism in adulthood. Epigenetic processes are implicated in the
persistence of adolescent alcohol exposure-related changes, specifically in the
amygdala. We investigated the role of histone methylation mechanisms in the
persistent effects of adolescent intermittent ethanol (AIE) exposure in
adulthood. Adolescent rats were exposed to 2 g/kg ethanol (2 days on/off) or
intermittent n-saline (AIS) during postnatal days (PND) 28-41 and used for
behavioral and epigenetic studies. We found that AIE exposure caused a long
lasting decrease in mRNA and protein levels of lysine demethylase 1(Lsd1) and
mRNA levels of Lsd1 + 8a (a neuron-specific splice variant) in specific
amygdaloid structures compared with AIS-exposed rats when measured at adulthood.
Interestingly, AIE increased histone H3 lysine 9 dimethylation (H3K9me2) levels
in the central nucleus of the amygdala (CeA) and medial nucleus of the amygdala
(MeA) in adulthood without producing any change in H3K4me2 protein levels. Acute
ethanol challenge (2 g/kg) in adulthood attenuated anxiety-like behaviors and the
decrease in Lsd1 + 8a mRNA levels in the amygdala induced by AIE. AIE caused an
increase in H3K9me2 occupancy at the brain-derived neurotrophic factor exon IV
promoter in the amygdala that returned to baseline after acute ethanol challenge
in adulthood. These results indicate that AIE specifically modulates epizymes
involved in H3K9 dimethylation in the amygdala in adulthood, which are possibly
responsible for AIE-induced chromatin remodeling and adult psychopathology such
as anxiety.
PMID- 27183827
TI - Color stability of nanohybrid resin-based composites, ormocers and compomers.
AB - OBJECTIVE: The aim of this study is to evaluate the color stability of two
nanohybrid resin-based composites, two organic modified ceramic resin composites
(ormocers) and a compomer, following their immersion during 4 weeks in four usual
drinks. MATERIAL AND METHODS: Forty discs of each of the following materials were
prepared: GrandioSO (GR), Esthet X (EX), Dyract EXTRA (DY), Ceram X duo (CX), and
Admira Fusion (AD). The discs were polished and stored in distilled water during
24 h at 37 degrees C. Color according to the CIEL*a*b* scale was recoded. Ten
randomly selected samples of each material were immersed in red wine (RW), coffee
(CF), cola (CK), and distilled water (DW). The color was recorded after 1, 7, 21
and 28 days of immersion. The DeltaE values between the baseline color and each
of the study timepoints were calculated. The data were analyzed based on the
Kruskal-Wallis test, Wilcoxon test, and Mann-Whitney U test. RESULTS: After 1 day
of immersion, all the materials showed DeltaE > 3.3, except EX, CF, and CX in CK.
RD was the most staining beverage (DeltaE 15.36 to 31.09). EX and CX were
significantly less stained than the rest of the materials (p < 0.05).
CONCLUSIONS: All the staining solutions produced darkening beyond clinically
acceptable limits. EX and CX were the materials who experimented less staining,
followed by GD. AD and DY were the materials more stained. RW was the drink that
produced more staining, followed by CF and CK. CLINICAL RELEVANCE: After 24 h of
immersion in all the solutions, equivalent to 1 month of exposure in the mouth,
the evaluated materials showed clinically unacceptable DeltaE values.
PMID- 27183828
TI - Slow, progressive myopathy in neonatally treated patients with infantile-onset
Pompe disease: a muscle magnetic resonance imaging study.
AB - BACKGROUND: Patients with infantile-onset Pompe disease (IOPD) can be identified
through newborn screening, and the subsequent immediate initiation of enzyme
replacement therapy significantly improves the prognosis of these patients.
However, they still present residual muscle weakness. In the present study, we
used longitudinal muscle magnetic resonance imaging (MRI) to determine whether
this condition is progressive. MATERIALS AND METHODS: A cohort of classic IOPD
patients who were diagnosed through newborn screening were treated with
recombinant human acid alpha-glucosidase (rhGAA) and followed prospectively from
birth. The trunk (and abdominal wall), pelvis and upper thighs were scanned for
muscle MRI every 2-3 years. Seven groups of muscles were individually scored from
0 to 4 based on the extent of their involvement, and the sum was correlated to
the clinical manifestations. RESULTS: Twenty-four MRI scans from a total of 12
neonatally treated IOPD patients were analyzed in the present study. The median
age at the time of MRI scanning was 4.2 years (13 days to 9 years). High
intensity over the quadriceps on T2-weighted and short-tau inversion recovery
images was observed in all scans and was followed by a decrease in muscle mass.
Trunk muscle involvement was slower, except in one patient who exhibited
progressive psoas atrophy. Among the 10 patients for whom follow-up scans were
repeated more than 2 years after the first scan, four patients (40 %) showed
increased myopathy severity. CONCLUSION: This prospective muscle MRI study
provides evidence for the occurrence of slow, progressive muscle damage in
neonatally treated IOPD patients during childhood. New treatment strategies are
necessary to improve outcomes in these patients.
PMID- 27183829
TI - Relationship between extrinsic factors and the acromio-humeral distance.
AB - BACKGROUND: Maintenance of the subacromial space is important in impingement
syndromes. Research exploring the correlation between biomechanical factors and
the subacromial space would be beneficial. OBJECTIVES: To establish if
relationship exists between the independent variables of scapular rotation,
shoulder internal rotation, shoulder external rotation, total arc of shoulder
rotation, pectoralis minor length, thoracic curve, and shoulder activity level
with the dependant variables: AHD in neutral, AHD in 60 degrees arm abduction,
and percentage reduction in AHD. DESIGN: Controlled laboratory study. METHOD:
Data from 72 male control shoulders (24.28years STD 6.81 years) and 186 elite
sportsmen's shoulders (25.19 STD 5.17 years) were included in the analysis. The
independent variables were quantified and real time ultrasound was used to
measure the dependant variable acromio-humeral distance. RESULTS: Shoulder
internal rotation and pectoralis minor length, explained 8% and 6% respectively
of variance in acromio-humeral distance in neutral. Pectoralis minor length
accounted for 4% of variance in 60 degrees arm abduction. Total arc of rotation,
shoulder external rotation range, and shoulder activity levels explained 9%, 15%,
and 16%-29% of variance respectively in percentage reduction in acromio-humeral
distance during arm abduction to 60 degrees . CONCLUSION: Pectorals minor length,
shoulder rotation ranges, total arc of shoulder rotation, and shoulder activity
levels were found to have weak to moderate relationships with acromio-humeral
distance. Existence and strength of relationship was population specific and
dependent on arm position. Relationships only accounted for small variances in
AHD indicating that in addition to these factors there are other factors involved
in determining AHD.
PMID- 27183830
TI - Tactile acuity, body schema integrity and physical performance of the shoulder: A
cross-sectional study.
AB - BACKGROUND: Normative two-point discrimination thresholds (TPDTs) have been
reported for different body regions and the relationships between TPDT and body
schema integrity and physical performances are previously shown. However, such
relationships with shoulder physical performance have not been investigated.
OBJECTIVES: To quantify TPDT of the shoulders in healthy individuals and
investigate whether TPDT and body schema integrity are related to physical
performances and to identify the relationship between TPDT and body schema
integrity. DESIGN: Cross-sectional study. RESULTS: Means (SD) of TPDTs of the
dominant shoulder (DS) and non-dominant shoulder (NDS) were 44.8 (13.1) mm and
39.3 (9.5) mm respectively. TPDT scores were significantly negatively correlated
with closed kinetic chain upper extremity stability test (CKCUEST) scores (r =
385, p = .036) and left/right judgement task (LRJT) response times (DS: rho =
449, p = .013 and NDS: rho = -388, p = .034). No significant correlations were
found between TPDT and scores on functional throwing performance index (FTPI) and
LRJT accuracy. However, positive moderate correlations were observed between LRJT
and CKCUEST scores. CONCLUSIONS: TPDTs for ND and NDS in a cohort of adults have
been documented. Tactile acuity and body schema integrity scores were correlated
with superior performance in the upper limb stability task, indicating the
potential role of tactile acuity and motor imagery training on maximizing
physical performance.
PMID- 27183831
TI - International consensus on the most useful physical examination tests used by
physiotherapists for patients with headache: A Delphi study.
AB - BACKGROUND: A wide range of physical tests have been published for use in the
assessment of musculoskeletal dysfunction in patients with headache. Which tests
are used depends on a physiotherapist's clinical and scientific background as
there is little guidance on the most clinically useful tests. OBJECTIVES: To
identify which physical examination tests international experts in physiotherapy
consider the most clinically useful for the assessment of patients with headache.
DESIGN/METHODS: Delphi survey with pre-specified procedures based on a systematic
search of the literature for physical examination tests proposed for the
assessment of musculoskeletal dysfunction in patients with headache. RESULTS:
Seventeen experts completed all three rounds of the survey. Fifteen tests were
included in round one with eleven additional tests suggested by the experts.
Finally eleven physical examination tests were considered clinically useful:
manual joint palpation, the cranio-cervical flexion test, the cervical flexion
rotation test, active range of cervical movement, head forward position, trigger
point palpation, muscle tests of the shoulder girdle, passive physiological
intervertebral movements, reproduction and resolution of headache symptoms,
screening of the thoracic spine, and combined movement tests. CONCLUSIONS: Eleven
tests are suggested as a minimum standard for the physical examination of
musculoskeletal dysfunctions in patients with headache.
PMID- 27183833
TI - Corrigendum to 'Implementation fidelity of physiotherapist-delivered group
education and exercise interventions to promote self-management in people with
osteoarthritis and chronic low back pain: A rapid review Part II*' [Manual
Therapy 20/2 (2014) 1-8].
PMID- 27183832
TI - Ageing and obesity indices influences the tactile acuity of the low back regions:
A cross-sectional study.
AB - BACKGROUND AND AIMS: Two-point discrimination threshold (TPDT) is increased in
individuals with chronic low back pain. TPDT reference values and their
determinants are required for clinical applications. Therefore, the aims of this
research are to establish reference values for TPDT of the low back regions in
healthy individuals, stratified for age, and to investigate the associations of
demographic and anthropomorphic variables with TPDT. METHODS: Healthy individuals
(n = 79) across four decades (Group-I:18-29; Group-II:30-39; Group-III:40-49; and
Group-IV:50-59years) were recruited. A mechanical calliper tool was used to
determine the low back TPDT (mm) using an adaptive staircase method. Descriptive
statistics were calculated for TPDT for each age group. Paired t-tests (p <=
0.05) were used to assess within group differences in TPDT between body sides.
Univariate and weighted least squared linear regression analyses were performed
to investigate associations between TPDT estimates and demographics, and body
mass index (BMI), waist hip ratio (WHR). RESULTS: Mean (SD) age = 38.3(12.2); 55
female; and 73 right lower limb dominant. Mean (SD) TPDT threshold for all age
groups: right = 67.3(15.6), and left = 65.7(15.4). No significant differences
between left and right sides of the low back except in group-IV (mean
difference:5.6[0.7-10.5]; P = 0.028). A total of 18% of TPDT variance (adjusted
R(2) = 0.183; beta = 0.6; p = <=0.001) of low back regions was explained by age
with BMI and WHR weighted independently. CONCLUSIONS: Age, BMI, and WHR were
independently associated with TPDT of the low back, and the influence of age was
significantly influenced by obesity indices.
PMID- 27183834
TI - Scapulothoracic muscle activity during elevation exercises measured with surface
and fine wire EMG: A comparative study between patients with subacromial
impingement syndrome and healthy controls.
AB - BACKGROUND: The quality of the scapular movement depends on the coordinated
activity of the surrounding scapulothoracic muscles. Besides the well-known
changes in Trapezius and Serratus Anterior (SA) activity in patients with
subacromial impingement syndrome (SIS), no studies exist that have investigated
the activity of the smaller less superficial muscles that attach on the scapula
(Pectoralis Minor (Pm), the Levator Scapulae (LS) and the Rhomboid Major (RM)) in
a population with SIS, despite the hypothesized importance of these muscles in
shoulder function. OBJECTIVES: To investigate if patients with shoulder
impingement syndrome (SIS) show differences in deeper and superficial lying
scapulothoracic muscle activity in comparison with a healthy control group during
arm elevation tasks. STUDY DESIGN: Controlled laboratory study. METHODS: Activity
of the deeper lying (LS, Pm and RM) and superficial lying scapulothoracic muscles
(Trapezius and SA) was investigated with fine-wire and surface electromyography
(EMG) in 17 subjects with SIS and 20 healthy subjects while performing 3
elevation tasks: scaption, wall slide and elevation with external rotation.
Possible differences between the groups were studied with a linear mixed model
(factor "group" and "exercise"). RESULTS: For the Pm only, a significant main
effect for "Group" was found: during the elevation exercises, the Pm was
significantly more active in the SIS group in comparison with the healthy
controls. CONCLUSION: Patients with SIS show significantly higher Pm activity
during elevation tasks in comparison with healthy controls. This study supports
the idea of a possible role of the Pm in SIS.
PMID- 27183835
TI - The short term effects of straight leg raise neurodynamic treatment on pressure
pain and vibration thresholds in individuals with spinally referred leg pain.
AB - BACKGROUND: Limited research exists for the effects of neurodynamic treatment
techniques. Understanding short term physiological outcomes could help to better
understand immediate benefits or harm of treatment. OBJECTIVES: To assess the
short-term effects of a straight leg raise (SLR) tensioner 'intervention' on
pressure pain thresholds (PPT) and vibration thresholds (VT), and establish if
additional factors influence outcome in individuals with spinally referred leg
pain. DESIGN: Experimental, repeated measures. METHODS: Sixty seven participants
(mean age (SD) 52.9 (13.3), 33 female) with spinally referred leg pain were
divided into 3 sub-groups: somatic referred pain, radicular pain and
radiculopathy. Individuals were assessed for central sensitisation (CS) and
completed 5 disability and psychosocial questionnaires. PPT and VT were measured
pre and post a 3 * 1 min SLR tensioner intervention. RESULTS: No significant
differences (p > 0.05) were found between the 3 groups for either outcome
measure, or after treatment. Slight improvements in VT were seen in the
radiculopathy group after treatment, but were not significant. Only 2
participants were identified with CS. Disability and psychological factors were
not significantly different at baseline between the 3 sub-groups, and did not
correlate with the outcome measures. CONCLUSIONS: No beneficial effects of
treatment were found, but the trend for a decrease in VT indicated that even in
individuals with radiculopathy, no detrimental changes to nerve function
occurred. Psychosocial factors and levels of disability did not influence short
term outcome of SLR treatment.
PMID- 27183836
TI - Association between the 10 item Orebro Musculoskeletal Pain Screening
Questionnaire and physiotherapists' perception of the contribution of
biopsychosocial factors in patients with musculoskeletal pain.
AB - BACKGROUND: Contrasting evidence exists on the ability of clinicians to identify
biopsychosocial factors in patients with musculoskeletal pain compared to
questionnaires. OBJECTIVE: Evaluate associations between two aspects of clinical
practice used to assess biopsychosocial factor contribution in patient
presentations (physiotherapist perceptions versus shortened 10-item Orebro
Musculoskeletal Pain Screening Questionnaire (OMPSQ-10)). Potential influence of
physiotherapists' training, experience and confidence level were assessed. STUDY
DESIGN: Observational. METHODS: 90 musculoskeletal pain patients completed the
OMPSQ-10 prior to their initial assessment. Independently, 19 treating
physiotherapists provided their perception of contribution of biopsychosocial
factors to the patient presentation. Pragmatic comparison of physiotherapist
perceptions and the OMPSQ-10 was made with Spearman's correlations. RESULTS: Fair
correlation existed between physiotherapists' perception of overall contribution
of biopsychosocial factors to the patients' presentation and the OMPSQ-10 (0.39).
There where moderate correlations for the domains of recovery expectancy (0.53),
self-perceived ability to work (0.52) and ability to sleep (0.54). There where
fair correlations for anxiety (0.33) and depression (0.32), and a poor
correlation for fear (0.10). Correlations were influenced by therapist training
in psychosocial aspects of pain, experience and confidence. CONCLUSIONS:
Physiotherapists' perceptions on biopsychosocial contributing factors to overall
presentation of patients with musculoskeletal pain were reasonably correlated
with a number of the domains in the OMPSQ-10. However, correlations for anxiety,
depression and fear were not as good. This may reflect a lack of adequate
training and/or the inadequacy of single questionnaire items to capture complex
issues such as pain-related fear. Screening questionnaires are recommended as an
adjunct to clinician perceptions.
PMID- 27183838
TI - Genomic Regions Associated with Sheep Resistance to Gastrointestinal Nematodes.
AB - Genetic markers for sheep resistance to gastrointestinal parasites have long been
sought by the livestock industry as a way to select more resistant individuals
and to help farmers reduce parasite transmission by identifying and removing high
egg shedders from the flock. Polymorphisms related to the major
histocompatibility complex and interferon (IFN)-gamma genes have been the most
frequently reported markers associated with infection. Recently, a new picture is
emerging from genome-wide studies, showing that not only immune mechanisms are
important determinants of host resistance but that gastrointestinal mucus
production and hemostasis pathways may also play a role.
PMID- 27183837
TI - Risk factors for small-for-gestational-age and preterm births among 19,269
Tanzanian newborns.
AB - BACKGROUND: Few studies have differentiated risk factors for term-small for
gestational age (SGA), preterm-appropriate for gestational age (AGA), and preterm
SGA, despite evidence of varying risk of child mortality and poor developmental
outcomes. METHODS: We analyzed birth outcome data from singleton infants, who
were enrolled in a large randomized, double-blind, placebo-controlled trial of
neonatal vitamin A supplementation conducted in Tanzania. SGA was defined as
birth weight <10th percentile for gestation age and sex using INTERGROWTH
standards and preterm birth as delivery at <37 complete weeks of gestation. Risk
factors for term-SGA, preterm-AGA, and preterm-SGA were examined independently
using log-binomial regression. RESULTS: Among 19,269 singleton Tanzanian newborns
included in this analysis, 68.3 % were term-AGA, 15.8 % term-SGA, 15.5 % preterm
AGA, and 0.3 % preterm-SGA. In multivariate analyses, significant risk factors
for term-SGA included maternal age <20 years, starting antenatal care (ANC) in
the 3(rd) trimester, short maternal stature, being firstborn, and male sex (all p
< 0.05). Independent risk factors for preterm-AGA were maternal age <25 years,
short maternal stature, firstborns, and decreased wealth (all p < 0.05). In
addition, receiving ANC services in the 1(st) trimester significantly reduced the
risk of preterm-AGA (p = 0.01). Significant risk factors for preterm-SGA included
maternal age >30 years, being firstborn, and short maternal stature which
appeared to carry a particularly strong risk (all p < 0.05). CONCLUSION: Over 30
% of newborns in this large urban and rural cohort of Tanzanian newborns were
born preterm and/or SGA. Interventions to promote early attendance to ANC
services, reduce unintended young pregnancies, increased maternal height, and
reduce poverty may significantly decrease the burden of SGA and preterm birth in
sub-Saharan Africa. TRIAL REGISTRATION: Australian New Zealand Clinical Trials
Registry (ANZCTR) - ACTRN12610000636055 , registered on 3(rd) August 2010.
PMID- 27183839
TI - The Emerging Role and Promise of Long Noncoding RNAs in Lung Cancer Treatment.
AB - Lung cancer is the leading cause of cancer death around the world. The advanced
discovery of numerous long noncoding RNAs (lncRNAs) has dramatically changed the
understanding of biology of human cancers, including lung cancer. LncRNAs are a
group of noncoding RNAs (ncRNAs) with a length greater than 200 nucleotides with
limited or no protein-coding capacity. Increasing evidence has shown that
specific lncRNAs may be implicated in the process of tumorigenesis. Because of
their roles in the regulation of multiple molecular pathways associated with
changes in gene expression, lncRNAs can serve as potential diagnostic biomarkers
or therapeutic targets in lung cancer. Importantly, dysregulated lncRNAs is
reported to be correlated with the sensitivity of lung cancer cells to anticancer
therapies, including chemotherapy, molecular-targeted therapy, etc. Herein, we
review the recent progress of lncRNAs in lung cancer, with a particular focus on
the multiple molecular roles of regulatory lncRNAs on the molecular signaling
pathways involved in tumorigenesis and the resistance to such therapies.
PMID- 27183840
TI - Polymer-Based and pH-Sensitive Nanobiosensors for Imaging and Therapy of Acidic
Pathological Areas.
AB - Nanobiosensors with high sensitivity and specificity have shown great potential
in the detection of diseases. The incorporation of therapeutic agents with
nanobiosensors allows the simultaneous diagnosis and therapy of diseases. The
delivery of nanobiosensors and therapeutic agents using polymers is a common
strategy to improve imaging and therapeutic efficacies. These polymers play
important roles in several aspects during a successful delivery process, such as
increasing the stability and biocompatibility of the nanobiosensors and improving
their cell endocytosis. The pH-sensitivity of the nanobiosensors endows them with
various capabilities, such as enabling the selective targeting of pathological
areas, activation of imaging signals and controlled release of payloads. This
review focuses on the design, preparation and characterization of polymer-based
and pH-sensitive nanobiosensors and the in intro/in vivo assessment of their
ability to serve as efficient agents for the diagnosis and therapy of acidic
pathological areas.
PMID- 27183841
TI - Is There a Space-Based Technology Solution to Problems with Preclinical Drug
Toxicity Testing?
AB - Even the finest state-of-the art preclinical drug testing, usually in primary
hepatocytes, remains an imperfect science. Drugs continue to be withdrawn from
the market due to unforeseen toxicity, side effects, and drug interactions. The
space program may be able to provide a lifeline. Best known for rockets, space
shuttles, astronauts and engineering, the space program has also delivered some
serious medical science. Optimized suspension culture in NASA's specialized
suspension culture devices, known as rotating wall vessels, uniquely maintains
Phase I and Phase II drug metabolizing pathways in hepatocytes for weeks in cell
culture. Previously prohibitively expensive, new materials and 3D printing
techniques have the potential to make the NASA rotating wall vessel available
inexpensively on an industrial scale. Here we address the tradeoffs inherent in
the rotating wall vessel, limitations of alternative approaches for drug
metabolism studies, and the market to be addressed. Better pre-clinical drug
testing has the potential to significantly reduce the morbidity and mortality of
one of the most common problems in modern medicine: adverse events related to
pharmaceuticals.
PMID- 27183842
TI - Small vertebral cross-sectional area and tall intervertebral disc in adolescent
idiopathic scoliosis.
AB - BACKGROUND: When compared to boys, girls have smaller vertebral cross-sectional
area, which conveys a greater spinal flexibility, and a higher prevalence of
adolescent idiopathic scoliosis. OBJECTIVE: To test the hypothesis that small
vertebral cross-sectional area and tall intervertebral disc height are structural
characteristics of patients with adolescent idiopathic scoliosis. MATERIALS AND
METHODS: Using multiplanar imaging techniques, measures of vertebral cross
sectional area, vertebral height and intervertebral disc height in the lumbar
spine were obtained in 35 pairs of girls and 11 pairs of boys with and without
adolescent idiopathic scoliosis of the thoracic spine matched for age, height and
weight. RESULTS: Compared to adolescents without spinal deformity, girls and boys
with adolescent idiopathic scoliosis had, on average, 9.8% (6.68 +/- 0.81 vs.
7.40 +/- 0.99 cm(2); P = 0.0007) and 13.9% (8.22 +/- 0.84 vs. 9.55 +/- 1.61
cm(2); P = 0.009) smaller vertebral cross-sectional dimensions, respectively.
Additionally, patients with adolescent idiopathic scoliosis had significantly
greater values for intervertebral disc heights (9.06 +/- 0.85 vs. 7.31 +/- 0.62
mm and 9.09 +/- 0.87 vs. 7.61 +/- 1.00 mm for girls and boys respectively; both P
<= 0.011). Multiple regression analysis indicated that the presence of scoliosis
was negatively associated with vertebral cross-sectional area and positively with
intervertebral disc height, independent of sex, age and body mass index.
CONCLUSION: We provide new evidence that girls and boys with adolescent
idiopathic scoliosis have significantly smaller vertebral cross-sectional area
and taller intervertebral disc heights - two major structural determinants that
influence trunk flexibility. With appropriate validation, these findings may have
implications for the identification of children at the highest risk for
developing scoliosis.
PMID- 27183843
TI - The Effect of Sex and Gender on Diabetic Complications.
AB - While in non-diabetic people the risk for cardiovascular disease is higher in
men, diabetes completely reverts this sex-gender difference conferring to women a
greater burden of cardiovascular complications. Additionally, all risk factors
associated with cardiovascular disease appear to be more active in diabetic
females than in their male counterparts. The reasons of this different impact of
diabetes between genders are not completely clear. The aim of this review is
trying to clarify these issues in a sex and gender perspective. Both genetic and
hormonal factors are at the basis of sex-gender differences in diabetes, even do
not explain the totality of data. Possibly women arrive later and in worse
conditions to the diagnosis of diabetes, receive both diagnostic and therapeutic
supports in a lesser measure and, finally, reach therapeutic goals as recommended
by guidelines in a lesser extent. Further aspects of sex-gender differences in
diabetic complications are represented by a more frequent prevalence of drug side
effects in women, as well as by increased resistance to the action of drugs used
in prevention or in the therapy of cardiovascular diseases. As to microvascular
complications, the issue of sex-gender differences is even more complex, with
some important differences emerging in experimental models 'in vitro', as well as
in human pathology 'in vivo'. The main problem, however, also in this case, is
that it is difficult to differentiate how common pathogenetic mechanisms acting
in diabetes may differently impact between genders. In conclusion what is evident
is that diabetes represents a 'risk magnifier' for the damage of both micro and
macrovessels differently in men and in women. This issue deserves, therefore, a
more careful approach from people involved in both clinical aspects and research
regarding diabetes and its complications, in a sex-gender oriented perspective.
PMID- 27183845
TI - Performance of diagnostic tests in an intensive follow-up protocol for patients
with American Joint Committee on Cancer (AJCC) stage IIB, IIC, and III localized
primary melanoma: A prospective cohort study.
AB - BACKGROUND: There is no international consensus on optimal follow-up schedules
and which supplementary tests should be used after resection of a primary
melanoma. OBJECTIVE: We sought to analyze the performance of the follow-up
components and identify procedures that detect melanoma metastasis earlier.
METHODS: This was a prospective cohort from 290 consecutive patients given a
diagnosis of stage IIB, IIC, and III melanoma. Patients were followed up with an
intensive protocol based on imaging studies (computed tomography of the chest,
abdomen, and pelvis, and brain magnetic resonance imaging), periodic laboratory
tests, regular physical examinations, and patient self-examinations. RESULTS: A
total of 2382 clinical examinations and 3069 imaging tests were performed. The
patients completed 899.8 person-years of follow-up, with a median of 2.5 years.
In all, 115 recurrences in 290 patients were recorded, of which computed
tomography detected 48.3%; brain magnetic resonance imaging, 7.6%; laboratory
test, 2.5%; physician, 23.7%; and patient, 17.8%. LIMITATIONS: Patients with
stage III melanoma were not systematically classified into subgroups and overall
survival was not evaluated. CONCLUSION: We observed that this intensive
monitoring is appropriate for early detection of recurrence in stage IIB, IIC,
and III melanoma. Prompt diagnosis of metastasis and the recent development of
new therapeutic targets may improve overall survival.
PMID- 27183846
TI - Cardiovascular risk in patients with alopecia areata (AA): A propensity-matched
retrospective analysis.
AB - BACKGROUND: The cardiovascular risk of patients with alopecia areata (AA) is not
well characterized, with limited studies evaluating the risk of acute myocardial
infarction (AMI) and ischemic stroke. OBJECTIVE: We sought to determine the risk
for patients with AA to develop subsequent stroke and AMI. METHODS: We conducted
propensity-matched retrospective analysis between January 1, 2000, and January 1,
2010, from Brigham and Women's Hospital and Massachusetts General Hospital in
Boston, MA. A comprehensive research patient data repository search was done for
International Classification of Diseases, Ninth Revision code 704.01 and cases
were verified using a natural language processing program. Propensity score
matching was used to identify controls for AA cases based on age, race, gender,
smoking status, and history of hypertension, diabetes, and hyperlipidemia.
RESULTS: We identified 1377 cases of AA matched with 4131 controls. Patients with
AA had decreased odds for developing stroke (odds ratio 0.39, 95% CI 0.18-0.87)
and a trend toward decreased risk of AMI (odds ratio 0.91, 95% CI 0.59-1.39).
LIMITATIONS: This was a retrospective study using a clinical database.
CONCLUSION: Patients with AA had decreased risk for stroke and AMI, although not
statistically significant. Further studies are needed to confirm these findings
in other AA cohorts and to elucidate a potential mechanism.
PMID- 27183848
TI - Australia tax increases to price cigarettes out of reach.
PMID- 27183844
TI - Classification of Therapeutic and Experimental Drugs for Brown Adipose Tissue
Activation: Potential Treatment Strategies for Diabetes and Obesity.
AB - OBJECTIVE: Increasing efforts are being made towards pharmacologic activation of
brown adipose tissue (BAT) in animals and humans for potential use in the
treatment of obesity and diabetes. We and others have reported a number of animal
studies using either experimental or therapeutic drugs. There are now efforts to
translate these findings to human studies. The goal of this review is to evaluate
the various drugs currently being used that have the potential for BAT
activation. METHODS: Drugs were classified into 4 classes based on their
mechanism of action. Class 1 drugs include the use of beta3 adrenoceptor agonists
for BAT activation. Class 2 drugs include drugs that affect norepinephrine levels
and activate BAT with the potential of reducing obesity. Class 3 includes
activators of peroxisome proliferator-activated receptor-gamma in pursuit of
lowering blood sugar, weight loss and diabetes and finally Class 4 includes
natural products and other emerging drugs with limited information on BAT
activation and their effects on diabetes and weight loss. RESULTS: Class 1 drugs
are high BAT activators followed by Class 2 and 3. Some of these drugs have now
been extended to diabetes and obesity animal models and human BAT studies. Drugs
in Class 3 are used clinically for Type 2 diabetes, but the extent of BAT
involvement is unclear. CONCLUSION: Further studies on the efficacy of these
drugs in diabetes and measuring their effects on BAT activation using noninvasive
imaging will help in establishing a clinical role of BAT.
PMID- 27183847
TI - Germ cell and tumor associated piRNAs in the medaka and Xiphophorus melanoma
models.
AB - BACKGROUND: A growing number of studies report an abnormal expression of Piwi
interacting RNAs (piRNAs) and the piRNA processing enzyme Piwi in many cancers.
Whether this finding is an epiphenomenon of the chaotic molecular biology of the
fast dividing, neoplastically transformed cells or is functionally relevant to
tumorigenesisis is difficult to discern at present. To better understand the role
of piRNAs in cancer development small laboratory fish models can make a valuable
contribution. However, little is known about piRNAs in somatic and neoplastic
tissues of fish. RESULTS: To identify piRNA clusters that might be involved in
melanoma pathogenesis, we use several transgenic lines of medaka, and
platyfish/swordtail hybrids, which develop various types of melanoma. In these
tumors Piwi, is expressed at different levels, depending on tumor type. To
quantify piRNA levels, whole piRNA populations of testes and melanomas of
different histotypes were sequenced. Because no reference piRNA cluster set for
medaka or Xiphophorus was yet available we developed a software pipeline to
detect piRNA clusters in our samples and clusters were selected that were
enriched in one or more samples. We found several loci to be overexpressed or
down-regulated in different melanoma subtypes as compared to hyperpigmented skin.
Furthermore, cluster analysis revealed a clear distinction between testes, low
grade and high-grade malignant melanoma in medaka. CONCLUSIONS: Our data imply
that dysregulation of piRNA expression may be associated with development of
melanoma. Our results also reinforce the importance of fish as a suitable model
system to study the role of piRNAs in tumorigenesis.
PMID- 27183849
TI - New risk loci for endometrial cancer identified.
PMID- 27183850
TI - [Growth hormone treatment in small for gestational age children in Spain].
AB - INTRODUCTION: Since its approval by the European Medicines Agency, a great number
of patients born small for gestational date have received recombinant growth
hormone treatment in Spain. The aim of this study is to analyse its outcome in
the setting of ordinary clinical practice. METHODS: Information was gathered from
the registers of the assessment boards that authorise all growth hormone
treatments prescribed in public hospitals in six autonomic communities (regions).
RESULTS: Valid data from 974 patients was obtained. All of them complied with
criteria established by the European Medicines Agency. Patients in the sample
were smaller in length than weight at birth, with their median target height
being below 1 standard deviation (SD), and 23% of them had been delivered
prematurely. Treatment was started at 7.2+/-2.8 years (mean+/-SD). The mean
patient height at start was -3.1+/-0.8 SD. They gained 0.7+/-0.2 SD in the first
year, and 1.2+/-0.8 SD after two years. Final height was attained by 8% of the
sample, reaching -1.4+/-0.7 SD. CONCLUSIONS: These results are similar to other
Spanish and international published studies, and are representative of the
current practice in Spain. Despite treatment being started at a late age,
adequate growth is observed in the short term and in the final height. Up to a
24% of patients show a poor response in the first year.
PMID- 27183851
TI - [Atypical presentations of juvenile xanthogranuloma].
PMID- 27183852
TI - Open abdominal aortic aneurysm repair is still necessary in an era of advanced
endovascular repair.
AB - OBJECTIVE: Recent advances in endovascular aneurysm repair have overcome
substantial anatomic barriers associated with short and challenging necks. With
greater range to treat more difficult anatomy from an endovascular approach, one
would assume the need of open surgical repair (OSR) would be diminished. The
purpose of our study was to determine the need for OSR for abdominal aortic
aneurysms, in a tertiary academic setting, with a moderate volume (10-15
cases/year) of fenestrated endografting being performed. METHODS: An
Institutional Review Board approved retrospective review was performed of all
patients who underwent elective aortic aneurysm repair between January 2010 and
July 2014. Computed tomography scans for patients who underwent OSR were reviewed
and anatomic characteristics obtained. Instructions for use of (IFU) a
commercially available fenestrated device (Cook Medical, Bloomington, Ind) were
used to determine if open repair patients had anatomy amenable to advanced
endovascular repair. RESULTS: During the study interval, 415 patients underwent
abdominal aortic aneurysm repair. Of those patients who underwent elective
aneurysm repair, 105 patients had OSR. The study subsequently excluded 11
patients because they underwent secondary interventions after a failed
endovascular repair and thus were not further evaluated. Also excluded were 18
patients who had OSR for an emergency intervention. The remaining 76 patients (35
female, 41 male; average age, 72 +/- 8 years) had OSR and were outside the IFU of
the fenestrated endovascular aneurysm repair (FEVAR) device. The average diameter
of the abdominal aorta was 5.9 cm. Indications for OSR were an aneurysm neck <4
mm (71%), inclusion of at least 1 visceral vessel (69.7%), unilateral iliac
artery aneurysms (15.5%), bilateral iliac artery aneurysms (14.3%), iliac artery
tortuosity >40 degrees of angulation (37.6%), extensive aortic thrombus (23.2%),
and aortic neck angulation >45 degrees (11.8%). Rejected patients had an average
of 1.7 +/- 0.8 anatomic constraints (range 1-4) that prevented use of the FEVAR
device. CONCLUSIONS: With evidence to support the strict adherence to IFU
protocols of the FEVAR device in patients, our institution's practice has been to
continue to perform open abdominal aortic aneurysm repair for patients with
anatomy outside device protocols. Although it was thought that the decreased
requirement of aortic neck required to deploy an endograft would lead to an
increased patient population amenable to endovascular repair, there is still a
clinically significant need for open aortic surgery.
PMID- 27183853
TI - Influence of gender and use of regional anesthesia on carotid endarterectomy
outcomes.
AB - OBJECTIVE: Carotid endarterectomy (CEA) is the most commonly performed surgical
procedure to reduce the risk of stroke. The operation may be performed under
general anesthesia (GA) or regional anesthesia (RA). We used a national database
to determine how postoperative outcomes were influenced by gender and type of
anesthesia used. METHODS: All patients who underwent CEA between 2005 and 2011
were identified from the American College of Surgeons National Surgical Quality
Improvement Program database (N = 41,442). Incidence of stroke and myocardial
infarction (MI) within 30 days as well as other postoperative complications,
operative time, and hospital length of stay were examined in groups separated by
gender and anesthesia type. Multivariable logistic regression with effect
modification was used to determine significant risk-adjusted differences between
genders and type of anesthesia to assess outcomes after CEA. RESULTS: The male-to
female ratio among CEA cases performed was approximately 3:2. Most cases were
performed under GA (85% male patients, 86% female patients). Adjusted
multivariable analysis showed no statistical difference in rates of MI and stroke
based on gender or type of anesthesia used. There were, however, higher 30-day
postoperative local complications and MI (both P < .05) in those who had GA vs RA
regardless of gender before adjustment. Total operative time was decreased (mean
difference, -8.15 minutes; 95% confidence interval, -10.09 to -6.21; P < .001)
and length of stay was increased (mean difference, 0.34 day; 95% confidence
interval, 0.14-0.54; P < .02) in women, with statistical significance, whether RA
or GA was used. CONCLUSIONS: On adjusted multivariate analysis, there is no
statistically significant difference in postoperative incidence of MI or stroke
between men and women undergoing CEA. Use of RA vs GA did not affect this
finding. Furthermore, there was no correlation between gender and the type of
anesthesia chosen. Women, however, experienced decreased operative times and
increased length of stay regardless of anesthesia type.
PMID- 27183854
TI - Results of standard suprarenal fixation endografts for abdominal aortic aneurysms
with neck length <=10 mm in high-risk patients unfit for open repair and
fenestrated endograft.
AB - OBJECTIVE: The aim of this study was to evaluate long-term outcomes of
endovascular aneurysm repair (EVAR) using a standard suprarenal fixation
endograft in abdominal aortic aneurysms (AAAs) with infrarenal neck length <=10
mm (short-neck AAA [SN-AAA]). METHODS: From 2005 to 2010, data of high-risk
patients with SN-AAA, unfit for open repair (OR) and fenestrated EVAR, were
prospectively collected. Follow-up was performed by duplex ultrasound and
contrast-enhanced ultrasound or computed tomography angiography at 1 month, 6
months, and 12 months and yearly thereafter. The primary end point was AAA
related mortality. Secondary end points were proximal type I endoleak, freedom
from reintervention, and AAA shrinkage (>5 mm). RESULTS: Sixty patients (mean
age, 74.9 +/- 6.2 years; American Society of Anesthesiologists class 3 [85%] and
class 4 [15%]) were enrolled. The mean aneurysm diameter and neck length and
diameter were 60.4 +/- 12.2 mm, 8.4 +/- 1.6 mm, and 23.5 +/- 3 mm, respectively.
Four (7%) patients were symptomatic and 15 (25%) had rapid AAA enlargement (>5
mm/6 months). Cook Zenith Flex (Cook Medical, Bloomington, Ind) endografts (32)
and Medtronic Endurant (Medtronic, Santa Rosa, Calif) endografts (28) were
implanted. The mean follow-up was 51 +/- 18 months. Survival at 5 years was 70%.
There were three (5%) type I endoleaks. One was sealed by endovascular
reintervention, and two (3%) underwent conversion to OR for AAA rupture at 8 and
36 months. Both patients died (2/60; 3% AAA-related mortality). Reinterventions
were necessary for another five (8%) patients, and they were not proximal neck
related. Freedom from reintervention at 5 years was 90%. In 49 (82%) cases, there
was AAA shrinkage; the AAA diameter remained stable in nine (15%) and increased
in two (3%) cases. Severe proximal angle (alpha neck angle >=60 degrees) was
associated with type I endoleak (P = .010) and reinterventions (P = .010). The
neck length <7 mm (P = .030) was associated with reinterventions (P = .017).
CONCLUSIONS: Suprarenal fixation EVAR in SN-AAA with a straight, not wide neck
and 7- to 10-mm aortic neck length can be considered safe and effective in
patients who are unfit for OR and fenestrated EVAR. For these cases, long-term
data showed acceptable results in preventing aneurysm rupture and related
mortality.
PMID- 27183855
TI - Explantation of infected aortic aneurysm and endograft with ascending aorta to
mesenteric bypass for mesenteric ischemia.
AB - A 65-year-old man presented with an infected perivisceral aortic aneurysm after
previous treatment of an abdominal aortic aneurysm with an endograft. On
presentation, he was septic and had occlusion of the celiac, superior mesenteric,
inferior mesenteric, and bilateral renal arteries. He underwent a three-stage
procedure: first, axillobifemoral bypass; then resection of the thoracoabdominal
aorta; and finally bypass from the ascending aorta to the celiac and superior
mesenteric arteries with a rifampin-soaked Gelsoft graft (Vascutek, Renfrewshire,
Scotland). The abdominal pain resolved, and the patient remains symptom free 10
months postoperatively. This rare surgical revascularization technique offered a
nontraditional solution to a difficult surgical issue.
PMID- 27183856
TI - Improving mortality trends for hospitalization of aortic dissection in the
National Inpatient Sample.
AB - OBJECTIVE: Population-based assessment of aortic dissection (AD) hospitalizations
in the general United States population is limited. We assessed the current
trends in AD admissions and in-hospital mortality for surgical and medical AD
treatment. METHODS: Patients admitted for primary diagnosis of AD were identified
from the National Inpatient Sample database (2003-2012). Patients were identified
by International Classification of Diseases-Ninth Revision diagnosis codes and
categorized by treatment type: type A open surgical repair (TASR), type B open
surgical repair (TBSR), thoracic endovascular aortic repair (TEVAR), and medical
management (MM). Our primary outcomes were to evaluate admission trends and in
hospital mortality of AD. Secondary outcomes included postoperative
complications. We used weighted national estimates of admissions to assess trends
over time using linear regression. We also identified factors associated with
mortality via a hierarchical multivariable logistic regression model. RESULTS: We
identified 15,641 patients (60.7% male; mean age, 63.5 years) admitted with a
primary diagnosis of AD between 2003 and 2012. Intervention types included TASR
in 3253 (20.8%), TBSR in 3007 (19.2%), TEVAR in 1417 (9.1%), and MM in 7964
(50.9%). Overall weighted admissions for AD increased significantly, from 6980 in
2003 to 8875 in 2012 (P < .01, test of trend), with increases in admission for
TASR, from 1143 in 2003 to 2130 in 2012 (P < .01, test of trend), and TEVAR from
96 in 2005 to 1130 in 2012 (P < .01, test of trend). TBSR and MM admissions were
stable, with TBSR admissions at 1519 in 2003 and 1540 in 2012 (P = .9, test of
trend) and MM admissions at 4319 in 2003 and 4075 in 2012 (P = .8, test of
trend). During the same interval, overall in-hospital mortality rates for AD
decreased from 18.1% to 13.0% (P < .01, test of trend). When stratified by
intervention type, mortality rates decreased for TASR, from 20.5% to 14.8% (P <
.01, test of trend), for TBSR, from 18.0% to 14.3% (P = .03, test of trend), and
for MM, from 17.5% to 13.9% (P < .01, test of trend). Mortality rates for TEVAR
were stable, with an average mortality of 7.9% (P = .8, test of trend) during the
study period. Factors associated with increased mortality included older age,
Caucasian race, nonelective admission, pre-existing peripheral vascular disease,
and acute postoperative complication of myocardial infarction, stroke, or kidney
failure. Admissions at a center with high surgical volume were associated with a
decreased mortality for TBSR admissions only (odds ratio, 0.55; 95% confidence
interval, 0.4-0.7). CONCLUSIONS: Overall and surgical admission rates for AD
appear to be increasing, and in-hospital mortality rates are decreasing. TEVAR
mortality remains mostly unchanged, however, suggesting targets for further
improvement in mortality for AD treatment. Decreased mortality for TBSR at
centers with a high surgical volume may suggest a need for regionalization of AD
care.
PMID- 27183857
TI - Equivalent outcomes with standard and heparin-bonded expanded
polytetrafluoroethylene grafts used as conduits for hemodialysis access.
AB - BACKGROUND: Obtaining and maintaining dialysis access after failure of autologous
access sites remains a significant concern for patients on hemodialysis.
Polytetrafluoroethylene (PTFE) is the most common conduit used. Heparin-bonded
expanded PTFE (HB-PTFE) grafts have recently been introduced as an improved
conduit, with suggestions that HB offers benefits because of its resistance to
thrombosis. In this retrospective study, the outcomes of HB-PTFE were compared
with standard wall PTFE (S-PTFE) arteriovenous grafts (AVGs). METHODS: From
January 2004 to December 2014, 483 adults (46% male; mean age, 60 years; range,
25-87 years) with end-stage renal disease underwent placement of AVGs (234 HB
PTFE and 248 S-PTFE). The two groups did not differ significantly in demographics
or access history. Patency, reintervention, infection, and functional dialysis
rates were examined. RESULTS: Technical success was 99% in HB-PTFE and 98% in S
PTFE. The 30-day major adverse cardiovascular events were 2% in HB-PTFE and 3% in
S-PTFE. Mean time to access was 5.1 +/- 1.8 weeks for HB-PTFE and 6.9 +/- 1.9
weeks for S-PTFE (P = .0001). Median follow-up was 23 months. The 2-year primary,
assisted primary, and secondary patency rates were 20% +/- 7% vs 18% +/- 8% (P =
.85), 35% +/- 8% vs 28% +/- 7% (P = .51), and 38% +/- 6% vs 36% +/- 7% (P = .83)
for HB-PTFE vs S-PTFE, respectively. Both groups underwent a similar number of
secondary interventions (2.1 and 1.9 interventions per person-year of follow-up
for HB-PTFE vs S-PTFE respectively; P = .87). There were no significant
differences in infection (11% vs 12%) or pseudoaneurysm formation (5% vs 6%)
between HB-PTFE and S-PTFE groups. Functional dialysis durations were equivalent
between HB-PTFE and S-PTFE groups. CONCLUSIONS: HB-PTFE grafts offer no distinct
advantage over S-PTFE grafts for hemodialysis and should not be considered a
preferential conduit for AVG.
PMID- 27183858
TI - Use of a proactive duplex ultrasound protocol for hemodialysis access.
AB - OBJECTIVE: Arteriovenous fistula (AVF) creation is the preferred approach for
hemodialysis access; however, the maturation of AVFs is known to be poor. We
established a proactive early duplex ultrasound (DUS) surveillance protocol for
evaluating AVFs before attempted access. This study determined the effect of this
protocol related to improving AVF maturation. METHODS: From 2008 to 2013, 153
patients received new upper extremity AVFs and an early DUS surveillance protocol
at a single academic institution. The protocol involved an early DUS evaluation
before hemodialysis cannulation of the AVF at 4 to 8 weeks after AVF creation. A
positive DUS result was identified as a peak systolic velocity of >375 cm/s or a
>50% stenosis on gray scale imaging, along with decreased velocity in the outflow
vein. Patients with positive DUS findings underwent prophylactic endovascular or
open intervention to assist with AVF maturation. Nature of secondary
interventions, as well as AVF patency and maturation, were assessed. Overall
clinical outcomes and fistula patency were investigated. RESULTS: During the
study period, 183 upper extremity AVFs were created in 153 patients, including 82
radiocephalic, 63 brachiocephalic, and 38 brachiobasilic AVFs. A mortality rate
of 43% (n = 66) was observed in a median follow-up period of 34.5 months
(interquartile range, 19.6-46.9). A total of 164 early DUS were performed at a
median of 6 weeks (interquartile range, 3.4-9.6 weeks) after the initial
creation. Early DUS showed nine AVFs were occluded and were excluded from further
analysis. Hemodynamically significant lesions were found in 62 AVFs (40%);
however, only 17 (11%) were associated with an abnormal physical examination.
Positive DUS finding prompted a secondary intervention in 81% of the patients.
Among those with positive early DUS findings, AVF maturation was 70% in those
undergoing a secondary intervention compared with 25% in those not undergoing a
prophylactic intervention (P = .011). Primary-assisted patency for AVFs with
early positive and negative DUS findings were 83% and 96% at 6 months, 64% and
89% at 1 year, and 52% and 82% at 2 years, respectively (P < .001). CONCLUSIONS:
Early DUS surveillance of AVFs before initial access is reasonable to identify
problematic AVFs that may not be reliably detected on clinical examination.
Although DUS criteria for AVFs have yet to be universally accepted, proactive
early postoperative DUS interrogation assists in the early detection of
dysfunctional AVFs and improvement of fistula maturation. Despite improved
patency in those with positive DUS findings who undergo prophylactic secondary
intervention, overall patency remains inferior to those without an abnormality
detected on early DUS imaging.
PMID- 27183859
TI - Clinical outcomes and material properties of in situ fenestration of endovascular
stent grafts.
AB - OBJECTIVE: In situ fenestration of endovascular stent grafts has been used as a
method for branch vessel revascularization in urgent and emergent settings. The
objective of this manuscript was to review the clinical and experimental evidence
related to this technique. METHODS: PubMed, MEDLINE, and Embase databases were
searched for papers published until December 2015 describing in situ fenestration
of aortic stent grafts. Benchtop, animal, and human studies were included.
RESULTS: The literature review identified 118 articles, of which 28 studies were
selected for inclusion. These included 16 clinical papers (2 case series and 14
case reports) reporting in situ fenestration of 46 aortic branch vessels in 44
patients. There were 42 retrograde and 4 antegrade instances of in situ
fenestration. The most frequent target vessel for in situ fenestration was the
left subclavian artery (72%), and the most frequent indication for stent graft
implantation was a degenerative aortic aneurysm (43%). Technical success was
reported in 44 of 46 attempted fenestrations (96%). The combined rate of
perioperative mortality, stroke, and paralysis was 7%. In situ fenestration was
predominantly performed with the Talent (Medtronic, Santa Rosa, Calif) stent
graft (54%), followed by the Zenith (Cook Medical, Bloomington, Ind) stent graft
(37%) and the TAG (W. L. Gore & Associates, Newark, Del) stent graft (9%). In
vitro benchtop evaluations of in situ fenestration showed minimal change in
fenestration size after 1 year of pulsatile fatigue testing. The use of energy
based fenestration techniques (radiofrequency or laser) has been associated with
less fabric fraying than in needle-based techniques. The larger caliber initial
fenestration created by these devices also avoids the need for cutting balloons,
which have also been linked with increased fabric tears and fraying of the fibers
surrounding the fenestration. In addition, the Zenith stent graft was shown in
benchtop testing to be the strongest in postfenestration mechanical testing, but
it was also the most resistant to balloon dilation. CONCLUSIONS: In the short to
moderate term, in situ fenestration appears to be a reasonable and effective
method to extend the proximal landing zone for revascularization of the left
subclavian artery. However, longer follow-up is needed to fully assess the long
term durability of this procedure. Based on studies of material properties, an
energy-based fenestration technique (radiofrequency or laser) is recommended,
along with the avoidance of cutting balloons for dilation of the fenestration.
PMID- 27183860
TI - Preparation of five 3-MCPD fatty acid esters, and the effects of their chemical
structures on acute oral toxicity in Swiss mice.
AB - BACKGROUND: 3-monochloro-1, 2-propanediol fatty acid esters (3-MCPDEs) comprise a
group of food toxicants formed during food processing. 3-MCPDEs have received
increasing attention concerning their potential negative effects on human health.
However, reports on the toxicity of 3-MCPD esters are still limited. To determine
the effects of fatty acid substitutions on the toxicity of their esters, 1
stearic, 1-oleic, 1-linoleic, 1-linoleic-2-palmitic and 1-palmitic-2-linoleic
acid esters of 3-MCPD were synthesized and evaluated with respect to their acute
oral toxicities in Swiss mice. RESULTS: 3-MCPDEs were obtained through the
reaction of 3-MCPD and fatty acid chlorides, and their purities and structures
were characterized by ultraperformance liquid chromatography-quadrupole-time of
flight-mass spectrometry (UPLC-Q-TOF-MS), infrared, 1 H and 13 C spectroscopic
analyses. Medial lethal doses of 1-stearic, 1-oleic, 1-linoleic, 1-linoleic-2
palmitic and 1-palmitic-2-linoleic acid esters were 2973.8, 2081.4, 2016.3, 5000
and > 5000 mg kg-1 body weight. For the first time, 3-MCPDEs were observed for
their toxic effects in the thymus and lung. In addition, major histopathological
changes, as well as blood urea nitrogen and creatinine, were examined for mice
fed the five 3-MCPDEs. CONCLUSION: The results from the present study suggest
that the degree of unsaturation, chain length, number of substitution and
relative substitution locations of fatty acids might alter the toxicity of 3
MCPDEs. (c) 2016 Society of Chemical Industry.
PMID- 27183861
TI - A recessive syndrome of intellectual disability, moderate overgrowth, and renal
dysplasia predisposing to Wilms tumor is caused by a mutation in FIBP gene.
AB - Clinical classification of overgrowth syndromes represents a challenge since a
wide spectrum of disorders result in marked overgrowth. Therefore, there is a
continuous effort to identify the genetic basis of these disorders that will
eventually facilitate their molecular classification. Here, we have identified
the genetic etiology and the pathogenetic mechanism underlying a rare autosomal
recessive overgrowth syndrome in three affected siblings. The overgrowth
phenotype in the patients was accompanied by developmental delay, learning
disabilities, and variable congenital abnormalities. To elucidate the genetic
etiology of the disorder, whole-genome genotyping and whole-exome sequencing were
used. The disease was mapped to 3p21.1-p14.2 and 11q13.1-q13.4, where an in-frame
insertion (c.175_176insTAA) in FIBP gene was revealed. The resulting indel
(p.H59LN) was predicted to change the protein conformation with likely
deleterious effect on its function as one of the fibroblast growth factor
signaling mediators. In vitro cellular proliferation assay and in situ
hypridization in vivo were then performed to understand the pathophysiology of
the disease. The patients' skin fibroblasts showed an increased proliferation
capacity compared to the controls' explaining the observed overgrowth phenotype.
In addition, we detected Fibp expression most notably in the brains of mice
embryos suggesting a possible effect on cognitive functions early in development.
To date, only one patient has been reported with a homozygous nonsense mutation
in FIBP exhibiting an overgrowth syndrome with multiple congenital abnormalities.
Taken all together, these findings provide convincing evidence implicating FIBP
aberrations in the newly recognized overgrowth syndrome and expand the associated
phenotypes to include possible Wilms tumor predisposition. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27183862
TI - Vascular Density in Retina and Choriocapillaris as Measured by Optical Coherence
Tomography Angiography.
AB - PURPOSE: To measure the density of the superficial retinal small vessel network
(SRSVN), superficial retinal capillary network (SRCN), deep retinal capillary
network (DRCN), and choriocapillaris and the size of the foveal avascular zone
(FAZ) in the superficial retinal layer in normal eyes. DESIGN: Prospective
observational cross-sectional study. METHODS: In healthy Chinese volunteers, the
retinal and choroidal vasculature was visualized by split-spectrum amplitude
decorrelation angiography-associated optical coherence tomography (RTVueXR Avanti
device; Optovue Inc, Fremont, California, USA). RESULTS: Among 105 healthy
participants (age: 35.9 +/- 13.8 years) mean FAZ measured 0.35 +/- 0.12 mm(2),
and mean density of SRSVN, SRCN, DRCN, and choriocapillaris was 8.54% +/- 0.92%,
31.8% +/- 2.6%, 45.8% +/- 3.3%, 44.4% +/- 3.3%, and 44.5% +/- 2.7%, respectively.
In multivariate analysis, higher SRSVN density was associated with younger age (P
= .001; standardized regression coefficient beta: -0.28), male sex (P = .008;
beta: -0.23), lower SRCN density (P < .001; beta: -0.40), and larger mean
choriocapillaris vessel diameter (P = .001; beta: 0.30). Higher SRCN density was
correlated with male sex (P = .007; beta: -0.19), lower SRSVN density (P < .001;
beta: -0.44), and higher density of the radial peripapillary capillary density (P
= .004; beta: 0.20). Higher DRCN density was correlated with younger age (P <
.001; beta: -0.31), female sex (P = .002; beta: 0.22), higher SRCN density (P <
.001; beta: 0.38), and higher choriocapillaris density (P < .001; beta: 0.39).
Higher choriocapillaris network density in the central region was associated with
higher DRCN density (P < .001; beta: 0.43) and lower radial peripapillary
capillary density (P = .005; beta: -0.26). All retinal vascular parameters were
not significantly correlated with axial length or subfoveal choroidal thickness.
CONCLUSIONS: The density of the macular vascular networks decreases with older
age and is independent of axial length and subfoveal choroidal thickness in
healthy individuals.
PMID- 27183863
TI - Erratum to: Enhanced osseous integration of human trabecular allografts following
surface modification with bioactive lipids.
PMID- 27183865
TI - Aneurysm of the internal jugular vein.
PMID- 27183864
TI - Absence of chromosomal translocations and protein expression of ALK in sinonasal
adenocarcinomas.
AB - INTRODUCTION: Chromosomal translocations at 2p23 cause overexpression of
anaplastic lymphoma kinase (ALK), a receptor tyrosine kinase involved in
signalling pathways that regulate cell proliferation. This translocation occurs
in 5% of lung adenocarcinoma and has been demonstrated to be useful as a
therapeutic target for crizotinib. sinonasal adenocarcinomas (SNAC) are
histologically similar to lung adenocarcinomas; the aim of this study was to
evaluate the presence of ALK alterations in SNAC. METHOD: Break-apart fluorescent
in-situ hybridization was used to analyse the presence of ALK translocations in
96 tumour samples. In addition, ALK protein expression was studied by
immunohistochemistry. RESULTS: The samples of SNAC did not show ALK
translocation. Moreover, ALK protein expression was absent in all cases.
CONCLUSIONS: These results suggest that ALK is not involved in SNAC.
PMID- 27183866
TI - Nasal septal schwannoma: An extremely rare tumor.
PMID- 27183867
TI - Trigeminal trophic syndrome: An unusual cause of nasal ulceration.
PMID- 27183868
TI - A Novel Slicing Method for Thin Supercapacitors.
AB - Thin and flexible supercapacitors with low cost and individual variation are
fabricated by a new and efficient slicing method. Tunable output voltage and
energy can be realized with a high specific capacitance of 248.8 F g(-1) or 150.8
F cm(-3) , which is well maintained before and after bending.
PMID- 27183869
TI - Genome-wide identification and functional annotation of miRNAs in anti
inflammatory plant and their cross-kingdom regulation in Homo sapiens.
AB - MicroRNAs (miRNAs) are newly discovered non-coding small (~17-24 nucleotide) RNAs
that regulate gene expression of its target mRNA at the post-transcriptional
levels. In this study, total 12,593 ESTs of Curcuma longa were taken from
database of expressed sequence tags (dbEST) and clustered into 2821 contigs using
EGassembler web server. Precursor miRNAs (pre-miRNAs) were predicted from these
contigs that folded into stem-loop structure using MFold server. Thirty-four
mature C. longa miRNAs (clo-miRNAs) were identified from pre-miRNAs having
targets involved in various important functions of plant such as self-defence,
growth and development, alkaloid metabolic pathway and ethylene signalling
process. Sequence analysis of identified clo-miRNAs indicated that 56% miRNAs
belong to ORF and 44% belong to non-ORF region. clo-mir-5 and clo-mir-6 were
established as the conserved miRNAs, whereas clo-mir-20 was predicted to be the
most stable miRNA. Phylogenetic analysis carried out by molecular evolutionary
genetics analysis (MEGA) software indicated close evolutionary relationship of
clo-mir-5075 with osa-MIR5075. Further, identified clo-miRNAs were checked for
their cross-kingdom regulatory potential. clo-mir-14 was found to regulate
various gene transcripts in humans that has been further investigated for its
biostability in foetal bovine serum (FBS). The results indicated higher degree of
stability of clo-mir-14 (48 h) in FBS. Thus, contribution of this miRNA to the
cellular immune response during the inflamed condition of rheumatoid arthritis
and adequate stability may make it a good choice for the therapeutic agent in
near future.
PMID- 27183870
TI - Prognostic significance of K-ras mutations in pancreatic cancer: a meta-analysis.
AB - BACKGROUND: K-ras gene mutations are common in patients with pancreatic cancer
(PC); however, their prognostic value for PC remains inconclusive. This meta
analysis was performed to quantitatively evaluate the association between K-ras
mutations and survival in patients with pancreatic cancer. METHODS: We performed
a comprehensive search of electronic sources including MEDLINE (via PubMed), Web
of Science, and the Cochrane Library. The search covered a publication period
from inception to November 2015. RESULTS: Seventeen studies with a total of 2249
patients with pancreatic cancer were included in the tissue detection of this
study. The meta-analysis indicated a significant association between mutant K-ras
genes and overall survival (OS) (HR = 1.51, 95% CI 1.32-1.72, P < 0.001).
Moreover, further subgroup analyses by ethnicity, publication year, therapy
method, cancer resectability, and gene detection method all revealed that
pancreatic cancer patients with the K-ras mutation had significantly poorer OS (P
< 0.05). And results from four studies with 225 patients focused on plasma K-ras
mutations enhanced such association (HR = 2.23, 95% CI 1.69-2.95, P < 0.001).
CONCLUSIONS: As a prediction of poor prognosis, the detection of K-ras mutations
may be a useful prognostic factor for pancreatic cancer patients.
PMID- 27183871
TI - Scapulohumeral rhythm relative to active range of motion in patients with
symptomatic rotator cuff tears.
AB - BACKGROUND: Some patients with rotator cuff tears feel pain without functional
limitation, whereas others show a decrease in range of motion. To investigate
this distinction, the scapulohumeral rhythm was used to conduct a functional
evaluation of shoulder joints' coordination. The objective was to characterize
patients according to their active range of motion without pain and their
scapulohumeral rhythm compared with healthy individuals. MATERIALS AND METHODS:
Fourteen patients with rotator cuff tears and 14 healthy individuals were set up
with 35 reflective markers on the trunk and upper limb tracked by an
optoelectronic system to measure the scapulohumeral rhythm. Five scapular plane
maximal arm elevations were executed without pain. Patients were separated by
maximal arm elevation of 85 degrees (category A) and 40 degrees (category B).
Three-way mixed-design analysis of variance with factors of group (patients and
healthy), arm elevation, and motion direction was applied to the scapulohumeral
rhythm. RESULTS: A main effect of group (P = .032) was observed in patients in
category A, who showed inferior scapulohumeral rhythm. An interaction between
group and arm elevation (P = .044) was observed for patients in category B, where
their scapulohumeral rhythm increased more during arm elevation than in the
healthy individuals. CONCLUSIONS: Patients who reached at least 85 degrees
compensated for the loss of glenohumeral motion by increased scapulothoracic
contribution, suggesting that structural damage interferes with motion mechanics.
In contrast, patients who reached less range of motion underused the
scapulothoracic joint, which is likely to create subacromial impingement at low
arm elevation. A patient's maximal range of motion without pain may indicate a
pattern of scapulohumeral rhythm alteration.
PMID- 27183872
TI - Facile Synthesis of Yolk-Shell-Structured Triple-Hybridized Periodic Mesoporous
Organosilica Nanoparticles for Biomedicine.
AB - The synthesis of mesoporous nanoparticles with controllable structure and organic
groups is important for their applications. In this work, yolk-shell-structured
periodic mesoporous organosilica (PMO) nanoparticles simultaneously incorporated
with ethane-, thioether-, and benzene-bridged moieties are successfully
synthesized. The preparation of the triple-hybridized PMOs is via a
cetyltrimethylammonium bromide-directed sol-gel process using mixed bridged
silsesquioxanes as precursors and a following hydrothermal treatment. The yolk
shell-structured triple-hybridized PMO nanoparticles have large surface area (320
m(2) g(-1) ), ordered mesochannels (2.5 nm), large pore volume (0.59 cm(3) g(-1)
), uniform and controllable diameter (88-380 nm), core size (22-110 nm), and
shell thickness (13-45 nm). In vitro cytotoxicity, hemolysis assay, and
histological studies demonstrate that the yolk-shell-structured triple-hybridized
PMO nanoparticles have excellent biocompatibility. Moreover, the organic groups
in the triple-hybridized PMOs endow them with an ability for covalent connection
of near-infrared fluorescence dyes, a high hydrophobic drug loading capacity, and
a glutathione-responsive drug release property, which make them promising
candidates for applications in bioimaging and drug delivery.
PMID- 27183874
TI - Reply.
PMID- 27183873
TI - Methylation of arginine by PRMT1 regulates Nrf2 transcriptional activity during
the antioxidative response.
AB - The cap 'n' collar (CNC) family of transcription factors play important roles in
resistance of oxidative and electrophilic stresses. Among the CNC family members,
NF-E2-related factor 2 (Nrf2) is critical for regulating the antioxidant and
phase II enzymes through antioxidant response element (ARE)-mediated
transactivation. The activity of Nrf2 is controlled by a variety of post
translational modifications, including phosphorylation, ubiquitination,
acetylation and sumoylation. Here we demonstrate that the arginine
methyltransferase-1 (PRMT1) methylates Nrf2 protein at a single residue of
arginine 437, both in vitro and in vivo. Using the heme oxygenase-1 (HO-1) as a
model of phase II enzyme gene, we found that methylation of Nrf2 by PRMT1 led to
a moderate increase of its DNA-binding activity and transactivation, which
subsequently protected cells against the tBHP-induced glutathione depletion and
cell death. Collectively, our results define a novel modification of Nrf2, which
operates as a fine-tuning mechanism for the transcriptional activity of Nrf2
under the oxidative stress.
PMID- 27183875
TI - Effect of the particle-hole channel on BCS-Bose-Einstein condensation crossover
in atomic Fermi gases.
AB - BCS-Bose-Einstein condensation (BEC) crossover is effected by increasing pairing
strength between fermions from weak to strong in the particle-particle channel,
and has attracted a lot of attention since the experimental realization of
quantum degenerate atomic Fermi gases. Here we study the effect of the (often
dropped) particle-hole channel on the zero T gap Delta(0), superfluid transition
temperature Tc, the pseudogap at Tc, and the mean-field ratio 2Delta(0)/, from
BCS through BEC regimes, using a pairing fluctuation theory which includes self
consistently the contributions of finite-momentum pairs and features a pseudogap
in single particle excitation spectrum. Summing over the infinite particle-hole
ladder diagrams, we find a complex dynamical structure for the particle-hole
susceptibility chiph, and conclude that neglecting the self-energy feedback
causes a serious over-estimate of chiph. While our result in the BCS limit agrees
with Gor'kov et al., the particle-hole channel effect becomes more complex and
pronounced in the crossover regime, where chiph is reduced by both a smaller
Fermi surface and a big (pseudo)gap. Deep in the BEC regime, the particle-hole
channel contributions drop to zero. We predict a density dependence of the
magnetic field at the Feshbach resonance, which can be used to quantify chiph and
test different theories.
PMID- 27183877
TI - Clinical considerations on the posology of direct oral anticoagulants.
AB - The efficacy of dicoumarin anticoagulants has been shown in patients with
nonvalvular atrial fibrillation. However, they have drawbacks such as the need to
adjust the dosage and the interaction with drugs and food. Direct oral
anticoagulants are an effective and safe alternative and have a less complicated
clinical management. There is considerable debate on the selection criteria for
the posology regimens of direct oral anticoagulants. The differences among them
and their administration regimens have raised questions about the clinical,
pharmacokinetic and pharmacodynamic selection criteria that support the posology.
This review critically analyses the available evidence and its impact on the
final selection of the dosage regimen.
PMID- 27183879
TI - PET/CT in primary mediastinal large B-cell lymphoma responding to rituximab-CHOP.
PMID- 27183878
TI - Reporting results for deep molecular responses in chronic myeloid leukemia.
PMID- 27183881
TI - Development of a rechargeable optical hydrogen peroxide sensor - sensor design
and biological application.
AB - Hydrogen peroxide (H2O2) is an important member of the reactive oxygen species
(ROS) family. Among ROS, H2O2 is considered the most long-lived and can
accumulate inside and outside of cells, where it is involved in both vital
(signaling) and deadly (toxic) reactions depending on its concentration.
Quantifying H2O2 within biological samples is challenging and often not possible.
Here we present a quasi-reversible fiber-optic sensor capable of measuring H2O2
concentrations ranging from 1-100 MUM within different biological samples. Based
on a Prussian blue/white redox cycle and a simple sensor recharging and readout
strategy, H2O2 can be measured with high spatial (~500 MUm) and temporal (~30 s)
resolution. The sensor has a broad applicability both in complex environmental
and biomedical systems, as demonstrated by (i) H2O2 concentration profile
measurements in natural photosynthetic biofilms under light stress reaching H2O2
concentrations as high as 15 MUM, and (ii) the quantification of the transient
increase of the extracellular concentration of H2O2 during stimulation of
neutrophils.
PMID- 27183876
TI - Increased Gut Redox and Depletion of Anaerobic and Methanogenic Prokaryotes in
Severe Acute Malnutrition.
AB - Severe acute malnutrition (SAM) is associated with inadequate diet, low levels of
plasma antioxidants and gut microbiota alterations. The link between gut redox
and microbial alterations, however, remains unexplored. By sequencing the gut
microbiomes of 79 children of varying nutritional status from three centers in
Senegal and Niger, we found a dramatic depletion of obligate anaerobes in
malnutrition. This was confirmed in an individual patient data meta-analysis
including 107 cases and 77 controls from 5 different African and Asian countries.
Specifically, several species of the Bacteroidaceae, Eubacteriaceae,
Lachnospiraceae and Ruminococceae families were consistently depleted while
Enterococcus faecalis, Escherichia coli and Staphylococcus aureus were
consistently enriched. Further analyses on our samples revealed increased fecal
redox potential, decreased total bacterial number and dramatic Methanobrevibacter
smithii depletion. Indeed, M. smithii was detected in more than half of the
controls but in none of the cases. No causality was demonstrated but, based on
our results, we propose a unifying theory linking microbiota specificity, lacking
anaerobes and archaea, to low antioxidant nutrients, and lower food conversion.
PMID- 27183880
TI - Direct determination of three-phase contact line properties on nearly molecular
scale.
AB - Wetting phenomena in multi-phase systems govern the shape of the contact line
which separates the different phases. For liquids in contact with solid surfaces
wetting is typically described in terms of contact angle. While in macroscopic
systems the contact angle can be determined experimentally, on the molecular
scale contact angles are hardly accessible. Here we report the first direct
experimental determination of contact angles as well as contact line curvature on
a scale of the order of 1nm. For water nucleating heterogeneously on Ag
nanoparticles we find contact angles around 15 degrees compared to 90 degrees for
the corresponding macroscopically measured equilibrium angle. The obtained
microscopic contact angles can be attributed to negative line tension in the
order of -10(-10) J/m that becomes increasingly dominant with increasing
curvature of the contact line. These results enable a consistent theoretical
description of heterogeneous nucleation and provide firm insight to the wetting
of nanosized objects.
PMID- 27183882
TI - Filter-Based Dispersion-Managed Versatile Ultrafast Fibre Laser.
AB - We present the operation of an ultrafast passively mode-locked fibre laser, in
which flexible control of the pulse formation mechanism is readily realised by an
in-cavity programmable filter the dispersion and bandwidth of which can be
software configured. We show that conventional soliton, dispersion-managed (DM)
soliton (stretched-pulse) and dissipative soliton mode-locking regimes can be
reliably targeted by changing the filter's dispersion and bandwidth only, while
no changes are made to the physical layout of the laser cavity. Numerical
simulations are presented which confirm the different nonlinear pulse evolutions
inside the laser cavity. The proposed technique holds great potential for
achieving a high degree of control over the dynamics and output of ultrafast
fibre lasers, in contrast to the traditional method to control the pulse
formation mechanism in a DM fibre laser, which involves manual optimisation of
the relative length of fibres with opposite-sign dispersion in the cavity. Our
versatile ultrafast fibre laser will be attractive for applications requiring
different pulse profiles such as in optical signal processing and optical
communications.
PMID- 27183883
TI - Aortic root remodeling leads to good valve stability in acute aortic dissection
and preexistent root dilatation.
AB - OBJECTIVES: To evaluate the long-term results of aortic root remodeling in the
setting of acute aortic dissection type A (AADA). METHODS: Between October 1995
and May 2013, a total of 215 patients were treated surgically for AADA. Of these,
59 patients with AADA (<2 weeks from onset, age 56 +/- 16 years, 53 males) with a
root diameter of >=43 to 45 mm (depending on patient size) underwent aortic root
remodeling and were analyzed further. Reimplantation was performed in 7 patients;
root replacement with a mechanical composite graft, in 16 patients; root
replacement with a stentless biological prosthesis, in 10 patients; and tubular
ascending aortic replacement, in 133 patients. RESULTS: Reexploration for
bleeding was performed in 10 patients (17%; 8% since 2007). Early mortality was
6.8%; no patient died after 2002. Actuarial survival at 10 years was 72% +/- 6%.
One patient underwent aortic valve replacement for recurrent cusp prolapse at 1
year after surgery. In this operation, cusp configuration was assessed by
eyeballing until 2003. The rate of freedom from proximal reoperation at 10 years
was 98% +/- 2%. Using a Cox proportional hazards model, no independent predictors
for survival and reoperation after remodeling were found. Advanced age and
concomitant coronary artery bypass grafting were identified as independent
predictors for survival in all 215 patients with AADA. CONCLUSIONS: Root
remodeling allows for stable valve preservation in patients with AADA and
preexistent root dilatation.
PMID- 27183884
TI - Accuracy of transesophageal echocardiography in the identification of
postoperative intramural ventricular septal defects.
AB - BACKGROUND: Intramural ventricular septal defects (VSDs), residual
interventricular communications occurring after repair of conotruncal defects,
are associated with poor postoperative outcomes. The ability of intraoperative
transesophageal echocardiography (TEE) to identify intramural VSDs has not yet
been evaluated. METHODS: Intraoperative TEE and postoperative transthoracic
echocardiography (TTE) data in all patients undergoing all biventricular repair
of conotruncal anomalies in our hospital between January 1, 2006, and June 30,
2013, were reviewed. The ability of TEE to accurately identify residual defects
was assessed using postoperative TTE as the reference imaging modality. RESULTS:
Intramural VSDs occurred in 34 of 337 patients evaluated; 19 were identified by
both TTE and TEE, and 15 were identified by TTE only. Sensitivity was 56% and
specificity was 100% for TEE to identify intramural VSDs. Peripatch VSDs were
identified in 90 patients by both TTE and TEE, in 53 by TTE only, and in 15 by
TEE only, yielding a sensitivity of 63% and specificity of 92%. Of the VSDs
requiring catheterization or surgical reintervention, 6 of 7 intramural VSDs and
all 5 peripatch VSDs were identified by intraoperative TEE. TEE guided the
intraoperative decision to return to cardiopulmonary bypass (CPB) in an attempt
to close residual defects in 12 patients with intramural VSDs and in 4 patients
with peripatch VSDs seen after initial CPB; of these, 10 intramural VSDs and all
4 peripatch VSDs resolved or became smaller on final intraoperative TEE.
CONCLUSIONS: TEE has modest sensitivity but high specificity for identifying
intramural VSDs and can detect most defects requiring reintervention. Repeat
attempts at closure in the index operation may successfully correct intramural
VSDs identified by TEE.
PMID- 27183885
TI - Reproducible research and model validation: Are we ready for new standards?
PMID- 27183887
TI - Female fertility following dose-adjusted EPOCH-R chemotherapy in primary
mediastinal B-cell lymphomas.
AB - We assessed fertility/gonadal function in premenopausal women treated with dose
adjusted EPOCH-Rituximab for untreated primary mediastinal B-cell lymphoma
(PMBL). Eligible patents were <= 50 years and premenopausal. Serial reproductive
histories were obtained and hormonal assays were performed on serum samples
before, at the end of treatment and 4-18 months later. Twenty-eight eligible
women had a median age (range) of 31 (21-50) years and were followed a median of
7.3 years. Of 23 patients who completed a questionnaire, 19 (83%) were and four
were not menstruating prior to chemotherapy. Amenorrhea developed in 12 patients
during chemotherapy. At > 1-year follow-up, 14/19 (74%) patients were
menstruating, all < 35 years old, and six (43%) of these patients delivered
healthy children. Hormonal assays showed ovarian dysfunction during chemotherapy
in all patients with varying recovery at 4-18 months after treatment. Fertility
was preserved in most women with ovarian failure confined to patients > 40 years
old.
PMID- 27183888
TI - A comparative study of two closed reduction methods for pediatric supracondylar
humeral fractures.
AB - BACKGROUND: No randomized controlled studies have confirmed the advantages of the
joystick technique over the traditional manual traction. The objective of this
study was to compare the results of the joystick technique and the traditional
manual traction for facilitating closed reduction of pediatric supracondylar
humeral fractures. METHODS: From February 2009 to December 2012, sixty eight
children were included in this study. Group A included 34 fractures reduced by
the joystick technique. Group B consisted of 34 fractures reduced by the
traditional manual traction. Preoperative demographic data were comparable
between the two groups. The operative time, fluoroscopy time, hospitalization
time, time to bone union, complications were recorded in both groups. Radiologic
and functional results were assessed using the Flynn scoring system. RESULTS:
Closed reduction was successfully done in all the fractures of Group A while
traditional closed manipulation was successfully done in 25 fractures of Group B
and 9 fractures failed. There was a significant difference between the two groups
in the rate of failed closed reduction (P = 0.004). The mean operative time was
30.5 +/- 9.0 and 48.2 +/- 16.4 min, and the mean fluoroscopy time was 25.4 +/-
10.5 s and 55.0 +/- 21.2 s in Group A and Group B, respectively. Both the
operative time and fluoroscopy time were significantly longer in Group B (P <
0.001 and 0.001, respectively). However, there was no significant difference in
terms of the mean hospitalization time, mean union time, total complications, the
Flynn scores between the two groups (P > 0.05). CONCLUSIONS: The joystick
technique should be chosen to facilitate closed reduction if traditional manual
traction failed to yield an acceptable reduction.
PMID- 27183889
TI - In vitro implant-bone interface pressure measurements for a cementless femoral
implant. A preliminary study.
AB - PURPOSE: Implants endurance as well as a good clinical tolerance depends on the
recovery of a physiological stress distribution within bone after implantation.
The purpose of the present work was to develop an alternative technique using
Force Sensing Resistors (FSR) to gather in vitro pressure values at the implant
bone interface for a cementless implant. METHOD: Eight cementless femoral stems
were instrumented with six calibrated FSR bonded on each facet and then implanted
in eight cadaver femurs. Compression tests were performed until failure and FSR
pressure values were recorded. RESULTS: The average failure load was 4241 N. The
maximum contact pressure measured with the FSR averaged 1.965 MPa. CONCLUSION:
FSR reached many of the requirements for an ideal implant-bone interfacial
sensor. This experimentation provided in vitro quantitative data on contact
pressure at the implant-bone interface, which could help understanding stress
shielding phenomenon and developing relevant numerical model.
PMID- 27183886
TI - Increasing cumulative exposure to volatile anesthetic agents is associated with
poorer neurodevelopmental outcomes in children with hypoplastic left heart
syndrome.
AB - OBJECTIVES: Despite improved survival in children with hypoplastic left heart
syndrome (HLHS), significant concern persists regarding their neurodevelopmental
(ND) outcomes. Previous studies have identified patient factors, such as
prematurity and genetic syndromes, to be associated with worse ND outcomes.
However, no consistent relationships have been identified among modifiable
management factors, including cardiopulmonary bypass strategies, and ND outcomes
after cardiac surgery in infancy. Studies in immature animals, including
primates, have demonstrated neurodegeneration and apoptosis in the brain after
certain levels and extended durations of anesthetic exposure. Retrospective human
studies have also suggested relationships between adverse ND effects and
anesthetic exposure. METHODS: Cumulative minimum alveolar concentration hours
(MAC-hrs) of exposure to volatile anesthetic agents (VAA) (desflurane, halothane,
isoflurane, and sevoflurane) were collected from an anesthetic database and
medical record review for 96 patients with HLHS or variants. ND testing was
performed between ages 4 and 5 years, including full-scale IQ, verbal IQ,
performance IQ, and processing speed. Four generalized linear modes were
hypothesized a priori and tested using a Gaussian (normal) distribution with an
identity link. RESULTS: Cumulative VAA exposure ranged from 0 to 35.3 MAC-hrs
(median 7.5 hours). Using specified covariates identified previously as
significant predictors of ND outcomes, statistically significant relationships
were identified between total MAC-hrs exposure and worse full-scale IQ and verbal
IQ scores (P's < .05) alone and after adjusting for relevant covariates.
CONCLUSIONS: Increased cumulative MAC-hrs exposure to VAA is associated with
worse ND outcomes in certain domains in children with HLHS and variants.
PMID- 27183891
TI - Magnetic resonance imaging and diffusion-weighted imaging findings in posterior
spinal cord infarction: Case report.
PMID- 27183890
TI - Characteristics of ossification of the spinal ligament; incidence of ossification
of the ligamentum flavum in patients with cervical ossification of the posterior
longitudinal ligament - Analysis of the whole spine using multidetector CT.
AB - BACKGROUND: Ossification of the posterior longitudinal ligament (OPLL) and
ossification of the ligamentum flavum (OLF) are characterized by replacement of
ligamentous tissue by ectopic new bone formation. Although the background of both
diseases might be similar, there are some differences between two diseases. Some
patients have both OPLL and OLF. However, the incidence of both OPLL and OLF is
still unclear and the precise lesions have not been investigated, yet. This study
was conducted to evaluate OLF of the whole spine in patients with cervical OPLL
and to analyze the relationship of the ossified lesions between OLF and OPLL.
METHODS: One hundred seventy eight patients who were diagnosed as cervical OPLL
by plain radiographs were included. CT images of the whole spine were taken.
Ossified lesions were checked at each level of vertebral body and intervertebral
disc. The ossification index of OPLL (OPLL OS index) was determined by the sum of
the levels of vertebral bodies and intervertebral discs where OPLL existed. The
same index was applied for detecting the level of OLF (OLF OS index). Age, gender
and OPLL characteristics were compared between the OLF(+) group, OLF was seen at
any levels of the spinal canal, and the OLF(-) group, OLF was not seen. RESULTS:
The most frequent level of OPLL was at C5 vertebral level and OLF was predominant
at upper and lower thoracic levels. Seventeen patients (9.6%) had OPLL and OLF at
the same spinal level. The averaged OPLL OS index of the total spine in these
patients was 8.7 +/- 6.1, ranged from 1 to 36. The averaged OLF OS index of the
total spine was 3.1 +/- 2.2 (ranged from 1 to 13) in the patients who had OLF at
any levels of the whole spine. One hundred fifteen patients (64.6%) with cervical
OPLL had OLF at any levels of the whole spine. No relationship was found between
the OPLL OS index and the OLF OS index. There was no significant difference among
the data between the OLF(+) group and the OLF(-) group. CONCLUSIONS: This study
demonstrated 64.6% of the patients with cervical OPLL had OLF, mainly in the
thoracic spine. However, there was no relationship regarding the severity of the
ossified lesions between OPLL and OLF. CT analysis of the whole spine should be
carried out for the early detection of OPLL and OLF in patients with cervical
OPLL.
PMID- 27183892
TI - How a Surface Nanodroplet Sits on the Rim of a Microcap.
AB - The location and morphology of femtoliter nanodroplets that nucleate and grow on
a microcap-decorated substrate in contact with a liquid phase were investigated.
We experimentally examined four different wetting combinations of the flat area
and the microcaps. The results show that depending on the relative wettability,
the droplets sit either on the plain surface or on the top of the microcap or on
the rim of the microcap. The contact angle and, for the last case, the radial
positions of the nanodroplets relative to the microcap center were characterized,
in reasonable agreement with our theoretical analysis, which is based on an
interfacial energy minimization argument. However, the experimental data show
considerable scatter around the theoretical equilibrium curves, reflecting
pinning and thus nonequilibrium effects. We also provide the theoretical phase
diagram in parameter space of the contact angles, revealing under which
conditions the nanodroplet will nucleate on the rim of the microcap.
PMID- 27183893
TI - Enolate-mediated 1,3-dipolar cycloaddition reaction of beta-functionalized
ketones with nitrile oxides: direct access to 3,4,5-trisubstituted isoxazoles.
AB - TMG-catalyzed [3 + 2] organocatalytic 1,3-dipolar cycloaddition reactions of beta
functionalized ketones with nitrile oxides have been developed. This strategy
could generate 3,4,5-trisubstituted isoxazoles in high yields and
regioselectivities.
PMID- 27183894
TI - Prevalence of RHD alleles in Japanese individuals with weak D phenotype:
Identification of 20 new RHD alleles.
AB - We identified 46 different RHD alleles from 226 Japanese individuals with weak D
phenotype, 26 of which had been previously described and 20 that were novel.
Among these weak D individuals, the alleles with c.960G>A, c.845G>A (RHD*15) or
c.1013T>C (RHD*01W.24) mutations were most prevalent with relative occurrences of
36.7%, 15.9% and 9.7%, respectively. These findings demonstrate that the
prevalence of common weak D alleles in the Japanese population significantly
differs from that of Caucasian populations.
PMID- 27183895
TI - Metagenomic Insights into Transferable Antibiotic Resistance in Oral Bacteria.
AB - Antibiotic resistance is considered one of the greatest threats to global public
health. Resistance is often conferred by the presence of antibiotic resistance
genes (ARGs), which are readily found in the oral microbiome. In-depth genetic
analyses of the oral microbiome through metagenomic techniques reveal a broad
distribution of ARGs (including novel ARGs) in individuals not recently exposed
to antibiotics, including humans in isolated indigenous populations. This has
resulted in a paradigm shift from focusing on the carriage of antibiotic
resistance in pathogenic bacteria to a broader concept of an oral resistome,
which includes all resistance genes in the microbiome. Metagenomics is beginning
to demonstrate the role of the oral resistome and horizontal gene transfer within
and between commensals in the absence of selective pressure, such as an
antibiotic. At the chairside, metagenomic data reinforce our need to adhere to
current antibiotic guidelines to minimize the spread of resistance, as such data
reveal the extent of ARGs without exposure to antimicrobials and the ecologic
changes created in the oral microbiome by even a single dose of antibiotics. The
aim of this review is to discuss the role of metagenomics in the investigation of
the oral resistome, including the transmission of antibiotic resistance in the
oral microbiome. Future perspectives, including clinical implications of the
findings from metagenomic investigations of oral ARGs, are also considered.
PMID- 27183896
TI - Beyond complications: Comparison of procedural differences and diagnostic success
between nurse practitioners and radiologists performing image-guided renal
biopsies.
AB - PURPOSE: Radiology-trained nurse practitioners (NPs) may perform image-guided
medical renal biopsies with computed tomography (CT). This study evaluates the
procedural differences and diagnostic success between biopsies performed by NPs
compared to radiologists. DATA SOURCES: A retrospective study was performed on
patients who underwent nontargeted, CT-guided renal biopsy between 2009 and 2014.
Provider type (NP or radiologist), number of core specimens obtained, sedation
medication dose, CT dose index (CTDI), and diagnostic success were recorded.
Categorical and continuous variables were analyzed using chi2 and Student's two
tailed t-test, respectively, comparing NPs with radiologists. CONCLUSIONS: A
total of 386 patients were included; radiologists performed 215 biopsies and NPs
performed 171 biopsies. There was no significant difference in diagnostic
success, amount of tissue harvested (number of cores), radiation dose, or
sedation dosage between NPs and radiologists performing CT-guided renal biopsies.
Only 4% were nondiagnostic (n = 7, radiologists; n = 9, NPs; p = .325). Overall
mean number of cores obtained was 3.7, mean CTDI was 176.5 mGy, mean fentanyl
dose was 86.3 MUg, and mean midazolam was dose 1.54 mg without a statistically
significant difference between provider types. IMPLICATIONS FOR PRACTICE: NPs
perform image-guided medical renal biopsies in a similar fashion to radiologists
with respect to diagnostic success, amount of tissue harvested, total radiation
dose exposure, and administration of sedation.
PMID- 27183897
TI - Transapical Endovascular Repair of an Ascending Aortic Pseudoaneurysm.
AB - Proximal aortic pathology provides a technical challenge for endovascular repair.
We present a case of successful transapical endovascular aortic repair in a
patient with a proximal suture line pseudoaneurysm who was not a candidate for
open surgical repair. doi: 10.1111/jocs.12766 (J Card Surg 2016;31:456-460).
PMID- 27183898
TI - Qualitative and quantitative analysis of the chemical constituents in Mahuang
Fuzi-Xixin decoction based on high performance liquid chromatography combined
with time-of-flight mass spectrometry and triple quadrupole mass spectrometers.
AB - High-performance liquid chromatography coupled with time-of-flight mass
spectrometry (HPLC-TOF/MS) and high-performance liquid chromatography-triple
quadrupole mass spectrometry (HPLC-QQQ/MS/MS) were utilized to clarify the
chemical constituents of Mahuang-Fuzi-Xixin Decoction. There are 52 compounds,
including alkaloids, amino acids and organic acids were identified or tentatively
characterized by their characteristic high resolution mass data by HPLC
QQQ/MS/MS. In the subsequent quantitative analysis, 10 constituents, including
methyl ephedrine, aconine, songrine, fuziline, neoline, talatisamine, chasmanine,
benzoylmesaconine, benzoylaconine and benzoylhypaconine were simultaneously
determined by HPLC-QQQ/MS/MS with multiple reaction monitoring mode. Satisfactory
linearity was achieved with wide linear range and fine determination coefficient
(r > 0.9992). The relative standard deviations (RSD) of inter- and intra-day
precisions were <3%. This method was also validated by repeatability, stability
and recovery with RSD <3% respectively. A highly sensitive and efficient method
was established for chemical constituents studying, including identification and
quantification of Mahuang-Fuzi-Xixin decoction.
PMID- 27183899
TI - The effect of molar pregnancies on platelet parameters.
AB - The aim of this study was to compare platelet parameters between abortus groups
with gestational trophoblastic disease (GTD) (molar pregnancy, invasive mole,
choriocarcinoma, etc) and without disease according to pathological result. The
study population consisted of patients with GTD (n = 53) and aborted patients
without disease as a control group (n = 53) who were seen in our clinic between
January 2010 and December 2013. In this retrospective study, age, gravidity,
levels of haemoglobin, white blood cell count, platelets, platelet parameters
(mean platelet volume (MPV), platelet distrubition width (PDW), platelet crit
(PCT), which shows platelet functions were recorded. The pathological diagnosis
of GTD was recorded. The mean platelet count, MPV, PDW and PCT levels were
similar between the groups. There is no statistically significiant difference
between types of GTN in these parameters according to pathological diagnosis.
According to our study results, platelet count and levels of MPV, PDW ve PCT in
GTD patients were similar to aborted patients without disease.
PMID- 27183900
TI - Evidence generation from healthcare databases: recommendations for managing
change.
AB - There is an increasing reliance on databases of healthcare records for
pharmacoepidemiology and other medical research, and such resources are often
accessed over a long period of time so it is vital to consider the impact of
changes in data, access methodology and the environment. The authors discuss
change in communication and management, and provide a checklist of issues to
consider for both database providers and users. The scope of the paper is
database research, and changes are considered in relation to the three main
components of database research: the data content itself, how it is accessed, and
the support and tools needed to use the database. Copyright (c) 2016 John Wiley &
Sons, Ltd.
PMID- 27183901
TI - Adult attention-deficit hyperactivity disorder: key conceptual issues.
AB - For many years, attention-deficit hyperactivity disorder (ADHD) was thought to be
a childhood-onset disorder that has a limited effect on adult psychopathology.
However, the symptoms and impairments that define ADHD often affect the adult
population, with similar responses to drugs such as methylphenidate,
dexamphetamine, and atomoxetine, and psychosocial interventions, to those seen in
children and adolescents. As a result, awareness of ADHD in adults has rapidly
increased and new clinical practice has emerged across the world. Despite this
progress, treatment of adult ADHD in Europe and many other regions of the world
is not yet common practice, and diagnostic services are often unavailable or
restricted to a few specialist centres. This situation is remarkable given the
strong evidence base for safe and effective treatments. Here we address some of
the key conceptual issues surrounding the diagnosis of ADHD relevant to
practising health-care professionals working with adult populations. We conclude
that ADHD should be recognised in the same way as other common adult mental
health disorders, and that failure to recognise and treat ADHD is detrimental to
the wellbeing of many patients seeking help for common mental health problems.
PMID- 27183903
TI - Structure of USP7 catalytic domain and three Ubl-domains reveals a connector
alpha-helix with regulatory role.
AB - Ubiquitin conjugation is an important signal in cellular pathways, changing the
fate of a target protein, by degradation, relocalisation or complex formation.
These signals are balanced by deubiquitinating enzymes (DUBs), which antagonize
ubiquitination of specific protein substrates. Because ubiquitination pathways
are critically important, DUB activity is often carefully controlled. USP7 is a
highly abundant DUB with numerous targets that plays complex roles in diverse
pathways, including DNA regulation, p53 stress response and endosomal protein
recycling. Full-length USP7 switches between an inactive and an active state,
tuned by the positioning of 5 Ubl folds in the C-terminal HUBL domain. The active
state requires interaction between the last two Ubls (USP7(45)) and the catalytic
domain (USP7(CD)), and this can be promoted by allosteric interaction from the
first 3 Ubl domains of USP7 (USP7(123)) interacting with GMPS. Here we study the
transition between USP7 states. We provide a crystal structure of USP7(CD123) and
show that CD and Ubl123 are connected via an extended charged alpha helix.
Mutational analysis is used to determine whether the charge and rigidity of this
'connector helix' are important for full USP7 activity.
PMID- 27183904
TI - Detailed spectroscopic study of the role of Br and Sr in coloured parts of the
Callinectes sapidus crab claw.
AB - The exoskeleton of crustaceans consists mainly of calcium carbonate (CaCO3)
minerals and in many cases exhibits vivid colouration due to the presence of
proteins rich in carotenoid chromophores. The exposure of aquatic animals in sea
water results often in the incorporation of trace elements in their exoskeleton.
The bonding configuration of Br and Sr trace elements in regions with different
staining (white, orange and blue) of the exoskeleton of the Callinectes sapidus
in crab claw are systematically investigated by a number of complementary
spectroscopic techniques, including X-ray absorption fine structure spectroscopy
(EXAFS), X-ray fluorescence, Raman and visible light reflectivity spectroscopies.
It is found that Sr substitutes for Ca and the Sr/Ca ratio is constant along the
claw. In the orange region that includes the claw fingers, CaCO3 adopts a calcite
like structure, whereas in the blue and white regions, located in the palm of the
claw, an aragonite-like structure dominates. On the other hand, Br, present only
in the blue and orange stained parts of the claw, is bound to phenyl and/or
phenol rings of amino acid residues, most probably to phenylalanine and/or
tyrosine, of the chromophore protein.
PMID- 27183902
TI - Moving towards causality in attention-deficit hyperactivity disorder: overview of
neural and genetic mechanisms.
AB - Attention-deficit hyperactivity disorder (ADHD) is a neurodevelopmental disorder
characterised by developmentally inappropriate levels of inattention and
hyperactivity or impulsivity. The heterogeneity of its clinical manifestations
and the differential responses to treatment and varied prognoses have long
suggested myriad underlying causes. Over the past decade, clinical and basic
research efforts have uncovered many behavioural and neurobiological alterations
associated with ADHD, from genes to higher order neural networks. Here, we review
the neurobiology of ADHD by focusing on neural circuits implicated in the
disorder and discuss how abnormalities in circuitry relate to symptom
presentation and treatment. We summarise the literature on genetic variants that
are potentially related to the development of ADHD, and how these, in turn, might
affect circuit function and relevant behaviours. Whether these underlying
neurobiological factors are causally related to symptom presentation remains
unresolved. Therefore, we assess efforts aimed at disentangling issues of
causality, and showcase the shifting research landscape towards endophenotype
refinement in clinical and preclinical settings. Furthermore, we review
approaches being developed to understand the neurobiological underpinnings of
this complex disorder, including the use of animal models, neuromodulation, and
pharmacoimaging studies.
PMID- 27183905
TI - Functional cooperation between KCa3.1 and TRPC1 channels in human breast cancer:
Role in cell proliferation and patient prognosis.
AB - Intracellular Ca2+ levels are important regulators of cell cycle and
proliferation. We, and others, have previously reported the role of KCa3.1
(KCNN4) channels in regulating the membrane potential and the Ca2+ entry in
association with cell proliferation. However, the relevance of KC3.1 channels in
cancer prognosis as well as the molecular mechanism of Ca2+ entry triggered by
their activation remain undetermined. Here, we show that RNAi-mediated knockdown
of KCa3.1 and/or TRPC1 leads to a significant decrease in cell proliferation due
to cell cycle arrest in the G1 phase. These results are consistent with the
observed upregulation of both channels in synchronized cells at the end of G1
phase. Additionally, knockdown of TRPC1 suppressed the Ca2+ entry induced by 1
EBIO-mediated KCa3.1 activation, suggesting a functional cooperation between
TRPC1 and KCa3.1 in the regulation of Ca2+ entry, possibly within lipid raft
microdomains where these two channels seem to co-localize. We also show
significant correlations between KCa3.1 mRNA expression and poor patient
prognosis and unfavorable clinical breast cancer parameters by mining large
datasets in the public domain. Together, these results highlight the importance
of KCa3.1 in regulating the proliferative mechanisms in breast cancer cells as
well as in providing a promising novel target in prognosis and therapy.
PMID- 27183906
TI - Selection and characterization of DNA aptamer for metastatic prostate cancer
recognition and tissue imaging.
AB - Prostate cancer (PCa) is the second leading cause of death and most prevalent
cancer in men. The absence of curative options for castration-resistant
metastatic prostate cancer and biomarkers able to discriminate between indolent
and aggressive tumors contribute to these statistics. In this study, a DNA
aptamer termed DML-7 was successfully selected against human PCa cell line DU145
by using the cell-based systematic evolution of ligands by exponential enrichment
(SELEX) method. The selected aptamer DML-7 was found to internalize into target
cells in a temperature-dependent manner and exhibit high binding affinity for
target cells with dissociation constants in the nanomolar range. Binding analysis
further revealed that DML-7 only binds to DU145 and PC-3 cells with metastatic
potential, but not to LNCaP or 22Rv1 cells with low or nonmetastatic potential,
demonstrating that DML-7 has excellent selectivity for the recognition of the
metastatic PCa cells. Clinical tissue imaging further confirmed these results.
Therefore, both high binding affinity and specificity to metastatic PCa cells and
tissues afford DML-7 with the potential for development into a novel tool for
diagnosis and targeted drug delivery against metastatic prostate cancer.
PMID- 27183907
TI - Combining 2-deoxy-D-glucose with fenofibrate leads to tumor cell death mediated
by simultaneous induction of energy and ER stress.
AB - Unregulated growth and replication as well as an abnormal microenvironment, leads
to elevated levels of stress which is a common trait of cancer. By inducing both
energy and endoplasmic reticulum (ER) stress, 2-Deoxy-glucose (2-DG) is
particularly well-suited to take advantage of the therapeutic window that
heightened stress in tumors provides. Under hypoxia, blocking glycolysis with 2
DG leads to significant lowering of ATP resulting in energy stress and cell death
in numerous carcinoma cell types. In contrast, under normoxia, 2-DG at a low
concentration is not toxic in most carcinomas tested, but induces growth
inhibition, which is primarily due to ER stress. Here we find a synergistic toxic
effect in several tumor cell lines in vitro combining 2-DG with fenofibrate (FF),
a drug that has been safely used for over 40 years to lower cholesterol in
patients. This combination induces much greater energy stress than either agent
alone, as measured by ATP reduction, increased p-AMPK and downregulation of mTOR.
Inhibition of mTOR results in blockage of GRP78 a critical component of the
unfolded protein response which we speculate leads to greater ER stress as
observed by increased p-eIF2alpha. Moreover, to avoid an insulin response and
adsorption by the liver, 2-DG is delivered by slow-release pump yielding
significant anti-tumor control when combined with FF. Our results provide promise
for developing this combination clinically and others that combine 2-DG with
agents that act synergistically to selectively increase energy and ER stress to a
level that is toxic to numerous tumor cell types.
PMID- 27183908
TI - ISL1, a novel regulator of CCNB1, CCNB2 and c-MYC genes, promotes gastric cancer
cell proliferation and tumor growth.
AB - Islet-1 (ISL1) belongs to the LIM homeodomain transcription factor family, which
is specifically expressed in certain tissue types only. Previously, we reported
that ISL1 is aberrantly overexpressed in gastric cancer (GC). However, its role
in GC is not clear. Here, we report that ISL1 is aberrantly upregulated not only
in human gastric carcinoma tissues but also in some GC cell lines. Upregulated
ISL1 expression enhanced xenografted gastric carcinoma development, while ISL1
knockdown inhibited GC growth in nude mice. ISL1 overexpression promoted GC cell
proliferation, colony formation, and cell growth in soft agar, and facilitated
cell cycle transition in GC cells, demonstrated an increase in the proportion of
cells in the G2/M and S phases and a decrease in the proportion of cells in the
G1 phase. Furthermore, we provide evidence that ISL1 is a novel regulator of the
cyclin B1 (CCNB1), cyclin B2 (CCNB2) and c-myc (c-MYC) genes. ISL1 activated the
expression of these genes in GC cells by binding to the conserved binding sites
on their promoters or enhancers. The expression levels of the genes were
decreased in response to ISL1 knockdown. Therefore, ISL1 may serve as a potential
therapeutic target in GC.
PMID- 27183909
TI - A comparison of R-EPOCH and R-CHOP as a first-line regimen in de novo DLBCL
patients with high Ki-67 expression in a single institution.
AB - Diffuse large B-cell lymphoma (DLBCL) patients with high Ki-67 expression receive
limited benefits from R-CHOP (rituximab, cyclophosphamide, doxorubicin,
vincristine, and prednisone) therapy. This study aims to compare the R-EPOCH
(etoposide, prednisone, vincristine, cyclophosphamide, and doxorubicin) and R
CHOP regimens as first-line therapy in DLBCL patients with high Ki-67 expression.
Data from 44 untreated DLBCL patients with high Ki-67 expression receiving R
EPOCH therapy were matched with those from 132 untreated DLBCL patients with high
Ki-67 expression receiving R-CHOP therapy based on the International Prognostic
Index (IPI: age, Ann Arbor stage, performance status, LDH level, number of
extranodal sites), gender, and Ki-67 expression. In the R-EPOCH group, 42/44
patients were eligible for response evaluation. A total of 35 patients (83.3%)
achieved complete remission (CR); 6 patients (14.3%) achieved partial remission
(PR); and one patient (2.4%) exhibited progressive disease (PD) after 2 cycles of
therapy. Patients in the R-EPOCH group presented better survival outcomes than
those in the R-CHOP group (3-year overall survival [OS]: 89.9% vs. 70.2%, p =
0.041; 3-year progression-free survival [PFS]: 86.6% vs. 59.7%, p = 0.024). The
survival superiority of the R-EPOCH over the R-CHOP regimen persisted when
considering only patients of low-to-intermediate IPI risk, but it was not
observed in those of high IPI risk. Our data suggest that R-EPOCH could be
superior to R-CHOP as a first-line regimen in DLBCL patients with high Ki-67
expression, especially in those of low-to-intermediate IPI risk.
PMID- 27183911
TI - Nuclear delivery of recombinant OCT4 by chitosan nanoparticles for transgene-free
generation of protein-induced pluripotent stem cells.
AB - Protein-based reprogramming of somatic cells is a non-genetic approach for the
generation of induced pluripotent stem cells (iPSCs), whereby reprogramming
factors, such as OCT4, SOX2, KLF4 and c-MYC, are delivered as functional
proteins. The technique is considered safer than transgenic methods, but,
unfortunately, most protein-based protocols provide very low reprogramming
efficiencies. In this study, we developed exemplarily a nanoparticle (NP)-based
delivery system for the reprogramming factor OCT4. To this end, we expressed
human OCT4 in Sf9 insect cells using a baculoviral expression system. Recombinant
OCT4 showed nuclear localization in Sf9 cells indicating proper protein folding.
In comparison to soluble OCT4 protein, encapsulation of OCT4 in nuclear-targeted
chitosan NPs strongly stabilized its DNA-binding activity even under cell culture
conditions. OCT4-loaded NPs enabled cell treatment with high micromolar
concentrations of OCT4 and successfully delivered active OCT4 into human
fibroblasts. Chitosan NPs therefore provide a promising tool for the generation
of transgene-free iPSCs.
PMID- 27183910
TI - NOTCH blockade combined with radiation therapy and temozolomide prolongs survival
of orthotopic glioblastoma.
AB - Glioblastoma multiforme (GBM) is the most common malignant brain tumor in adults.
The current standard of care includes surgery followed by radiotherapy (RT) and
chemotherapy with temozolomide (TMZ). Treatment often fails due to the radiation
resistance and intrinsic or acquired TMZ resistance of a small percentage of
cells with stem cell-like behavior (CSC). The NOTCH signaling pathway is
expressed and active in human glioblastoma and NOTCH inhibitors attenuate tumor
growth in vivo in xenograft models. Here we show using an image guided micro-CT
and precision radiotherapy platform that a combination of the clinically approved
NOTCH/gamma-secretase inhibitor (GSI) RO4929097 with standard of care (TMZ + RT)
reduces tumor growth and prolongs survival compared to dual combinations. We show
that GSI in combination with RT and TMZ attenuates proliferation, decreases 3D
spheroid growth and results into a marked reduction in clonogenic survival in
primary and established glioma cell lines. We found that the glioma stem cell
marker CD133, SOX2 and Nestin were reduced following combination treatments and
NOTCH inhibitors albeit in a different manner. These findings indicate that NOTCH
inhibition combined with standard of care treatment has an anti-glioma stem cell
effect which provides an improved survival benefit for GBM and encourages further
translational and clinical studies.
PMID- 27183912
TI - Translin and Trax differentially regulate telomere-associated transcript
homeostasis.
AB - Translin and Trax proteins are highly conserved nucleic acid binding proteins
that have been implicated in RNA regulation in a range of biological processes
including tRNA processing, RNA interference, microRNA degradation during
oncogenesis, spermatogenesis and neuronal regulation. Here, we explore the
function of this paralogue pair of proteins in the fission yeast. Using
transcript analysis we demonstrate a reciprocal mechanism for control of telomere
associated transcripts. Mutation of tfx1+ (Trax) elevates transcript levels from
silenced sub-telomeric regions of the genome, but not other silenced regions,
such as the peri-centromeric heterochromatin. In the case of some sub-telomeric
transcripts, but not all, this elevation is dependent on the Trax paralogue, Tsn1
(Translin). In a reciprocal fashion, Tsn1 (Translin) serves to repress levels of
transcripts (TERRAs) from the telomeric repeats, whereas Tfx1 serves to maintain
these elevated levels. This reveals a novel mechanism for the regulation of
telomeric transcripts. We extend this to demonstrate that human Translin and Trax
also control telomere-associated transcript levels in human cells in a telomere
specific fashion.
PMID- 27183913
TI - Fine-mapping markers of lung cancer susceptibility in a sub-region of chromosome
19q13.3 among Chinese.
AB - Linkage disequilibrium-mapping studies in Caucasians have indicated anassociation
of Chr19q13.3 sub-region spanning ERCC2, PPP1R13L, CD3EAP and ERCC1 with several
cancers. To refine the region of association and identify potential causal
variations among Asians, we performed a fine-mapping study using 32 (39) SNPs in
a 71.654kb sub-region. The study included 384 Chinese lung cancer cases and 387
controls. Seven closely situated SNPs showed significant associations with lung
cancer risk in five different genetic models of single-locus associations
(adjusted for smoking duration). These were PPP1R13L rs1970764 [OR (95% CI) =
1.58 (1.09-2.29), P = 0.014] in a recessive model and PPP1R13L rs1005165 [OR (95%
CI) = 1.25 (1.01-1.54), P = 0.036], CD3EAP rs967591 [OR (95% CI) = 1.40 (1.13
1.75), P = 0.0023], rs735482 [OR (95% CI) = 1.29 (1.03-1.61), P = 0.026],
rs1007616 [OR (95% CI) = 0.78 (0.61-1.00), P = 0.046], and rs62109563 [OR (95%
CI) = 1.28 (1.03-1.59), P = 0.024] in a log-additive model and ERCC1 rs3212965
[OR (95% CI) = 0.70 (0.52-0.94), P = 0.019] in an over-dominant model. Six
haplotype blocks were determined in the sub-region. Using an alternative approach
where we performed a haplotype analysis of all significant polymorphisms,
rs1970764 was found to be most consistently associated with lung cancer risk. The
combined data suggest that the sub-region with the strongest association to lung
cancer susceptibility might locate to the 23.173kb from PPP1R13L intron8
rs1970764 to rs62109563 3' to CD3EAP. Limited risk loci and span on lung cancer
in this sub-region are initially defined among Asians.
PMID- 27183915
TI - Absorbable polymeric surgical clips for appendicular stump closure: A randomized
control trial of laparoscopic appendectomy with lapro-clips.
AB - A randomized control trial was performed to evaluate the effectiveness and safety
of absorbable polymeric clips for appendicular stump closure in laparoscopic
appendectomy (LA). Patients were randomly enrolled into an experimental group
(ligation of the appendicular base with Lapro-Clips, L-C group) or control group
(ligation of the appendicular base with Hem-o-lok Clips, H-C group). We
identified 1,100 patients who underwent LA between April 1, 2012 and February 3,
2015. Overall, 99 patients (9.0%, 99/1,100) developed a complication following LA
(47 [8.5%] in the L-C group and 52 [9.5%] in the H-C group (P = 0.598). No
statistically significant differences were observed in intra-abdominal abscesses,
stump leakage, superficial wound infections, post-operative abdominal pain,
overall adverse events, or the duration of the operations and hospital stays
between the groups (all p > 0.05). Adverse risk factors associated with the use
of absorbable clips in LA included body mass index >= 27.5 kg/m2, diabetes,
American Society of Anesthesiologists degree >= III, gangrenous appendicitis,
severe inflammation of the appendix base, appendix perforation, and the absence
of peritoneal drainage. The results indicate that the Lapro-Clip is a safe and
effective device for closing the appendicular stump in LA in select patients with
appendicitis.
PMID- 27183916
TI - Concurrent cisplatin and 5-fluorouracil versus concurrent cisplatin and docetaxel
with radiotherapy for esophageal squamous cell carcinoma: a propensity score
matched analysis.
AB - The optimal concurrent chemotherapy regimen with radiotherapy for esophageal
cancer is unknown. Here, we compared the survival outcomes and toxicity of
definitive chemoradiotherapy with either cisplatin/5-fluorouracil (PF) or
docetaxel/cisplatin (DP) in patients with unresectable esophageal squamous cell
carcinoma (ESCC). In this study, we identified 317 patients with ESCC who
received PF or DP concurrently with definitive radiotherapy. PF group patients
received two cycles of cisplatin (60 mg/m2) and 5-fluorouracil (300 mg/m2) at 4
week intervals during radiotherapy. DP group patients received a concurrent three
weekly schedule of docetaxel (60 mg/m2) and cisplatin (80 mg/m2) or cisplatin (25
mg/m2) and docetaxel (25 mg/m2) weekly. The overall survival (OS) and progression
free survival (PFS) were compared using propensity score (-adjusted, -weighted,
stratified, and -matched) analyses. A sensitivity analysis was performed to
examine the impact of unmeasured confounders. Inverse probability of treatment
weighting for propensity score demonstrated an improvement in OS and PFS with DP
group in comparison with PF group (hazard ratio, 0.700; 95% CI, 0.577-0.851) and
similar results were achieved with propensity score matching and stratification.
Grade 3-4 esophagitis was more common (16/102 vs. 4/102) and grade 3-4
thrombopenia and skin toxicity were less common (3/102 vs. 10/102; 7/102 vs.
19/102; respectively) in the PF group than the DP group. In conclusion,
concurrent chemoradiotherapy with the DP regimen resulted in better OS and PFS
compared to concurrent PF regimen with tolerable toxicities in ESCC patients.
Prospective randomized trials are required to confirm the efficacy of the DP
regimen.
PMID- 27183914
TI - Physiological and pathological implications of 5-hydroxymethylcytosine in
diseases.
AB - Gene expression is the prerequisite of proteins. Diverse stimuli result in
alteration of gene expression profile by base substitution for quite a long time.
However, during the past decades, accumulating studies proved that bases
modification is involved in this process. CpG islands (CGIs) are DNA fragments
enriched in CpG repeats which mostly locate in promoters. They are frequently
modified, methylated in most conditions, thereby suggesting a role of methylation
in profiling gene expression. DNA methylation occurs in many conditions, such as
cancer, embryogenesis, nervous system diseases etc. Recently, 5
hydroxymethylcytosine (5hmC), the product of 5-methylcytosine (5mC)
demethylation, is emerging as a novel demethylation marker in many disorders.
Consistently, conversion of 5mC to 5hmC has been proved in many studies. Here, we
reviewed recent studies concerning demethylation via 5hmC conversion in several
conditions and progress of therapeutics-associated with it in clinic. We aimed to
unveil its physiological and pathological significance in diseases and to provide
insight into its clinical application potential.
PMID- 27183917
TI - Cooperation of Nutlin-3a and a Wip1 inhibitor to induce p53 activity.
AB - Targeting the Mdm2 oncoprotein by drugs has the potential of re-establishing p53
function and tumor suppression. However, Mdm2-antagonizing drug candidates, e. g.
Nutlin-3a, often fail to abolish cancer cell growth sustainably. To overcome
these limitations, we inhibited Mdm2 and simultaneously a second negative
regulator of p53, the phosphatase Wip1/PPM1D. When combining Nutlin-3a with the
Wip1 inhibitor GSK2830371 in the treatment of p53-proficient but not p53
deficient cells, we observed enhanced phosphorylation (Ser 15) and acetylation
(Lys 382) of p53, increased expression of p53 target gene products, and
synergistic inhibition of cell proliferation. Surprisingly, when testing the two
compounds individually, largely distinct sets of genes were induced, as revealed
by deep sequencing analysis of RNA. In contrast, the combination of both drugs
led to an expression signature that largely comprised that of Nutlin-3a alone.
Moreover, the combination of drugs, or the combination of Nutlin-3a with Wip1
depletion by siRNA, activated p53-responsive genes to a greater extent than
either of the compounds alone. Simultaneous inhibition of Mdm2 and Wip1 enhanced
cell senescence and G2/M accumulation. Taken together, the inhibition of Wip1
might fortify p53-mediated tumor suppression by Mdm2 antagonists.
PMID- 27183918
TI - HCV-related liver and lymphoproliferative diseases: association with
polymorphisms of IL28B and TLR2.
AB - To explore the relationship between innate immunity and hepatitis C Virus (HCV)
in determining the risk of cirrhosis (CIR), hepatocellular carcinoma (HCC), mixed
cryoglobulinemia syndrome (MCS) and non-Hodgkin lymphoma (NHL), we investigated
the impact of the toll-like receptor-2 (TLR2) and interleukin-28B (IL28B) genetic
variants. TLR2 -174 del variant was associated with TLR2 expression and with
specific downstream molecules that drive the expression of different
interleukins; rs12979860 Il28B was important in response to interferon-treatment
and in spontaneous clearance of HCV. The risk for liver and lymphoproliferative
diseases in HCV progression was clarified by stratifying 862 HCV-positive
patients into groups based on liver (CIR, HCC) and lymphoproliferative HCV
related diseases (MCS, NHL) and compared with chronic HCV (CHC) infection.
Analysis of TLR2-IL28B haplotypes showed an association of wild type haplotype
with the lymphoproliferative diseases (OR 1.77, p = 0.029) and a slight increase
in HCV viral load (HR 1.38, p = 0.054). Wild type haplotype (TLR2 ins/ins- IL28B
C/C) was also found associated with older age in patients with an hepatic
diseases (in CIR and in HCC p = 0.038 and p = 0.020, respectively) supporting an
effect of innate immunity in the liver disease progression. TLR2 and IL28B
polymorphisms in combination showed a role in the control of HCV viral load and
different HCV disease progression.
PMID- 27183920
TI - Simulation of interindividual differences in inactivation of reactive para
benzoquinone imine metabolites of diclofenac by glutathione S-transferases in
human liver cytosol.
AB - Diclofenac is a widely prescribed NSAID that causes severe idiosyncratic drug
induced liver injury (IDILI) in a small part of the patient population. Formation
of protein-reactive metabolites is considered to play a role in the development
of diclofenac-induced IDILI. Therefore, a high hepatic activity of enzymes
involved in bioactivation of diclofenac is expected to increase the risk for
liver injury. However, the extent of covalent protein binding may also be
determined by activity of protective enzymes, such as glutathione S-transferases
(GSTs). This is supported by an association study in which a correlation was
found between NSAID-induced IDILI and the combined null genotypes of GSTM1 and
GSTT1. In the present study, the activity of 10 different recombinant human GSTs
in inactivation of protein-reactive quinoneimine (QI) metabolites of diclofenac
was tested. Both at low and high GSH concentrations, high activities of GSTA1-1,
A2-2, A3-3, M1-1, M3-3 and P1-1 in the inactivation of these QIs were found. By
using the expression levels of GSTs in livers of 22 donors, a 6-fold variation in
GST-dependent inactivation of reactive diclofenac metabolites was predicted.
Moreover, it was shown in vitro that GSTs can strongly increase the efficiency of
GSH to protect against the alkylation of the model thiol N-acetylcysteine by
reactive diclofenac metabolites. The results of this study demonstrate that
variability of GST expression may significantly contribute to the inter
individual differences in susceptibility to diclofenac-induced liver injury. In
addition, expression levels of GSTs in in vitro models for hepatotoxicity may be
important factors determining sensitivity to diclofenac cytotoxicity.
PMID- 27183919
TI - HCV core protein binds to gC1qR to induce A20 expression and inhibit cytokine
production through MAPKs and NF-kappaB signaling pathways.
AB - Hepatitis C virus (HCV) infection is characterized by a strong propensity toward
chronicity. During chronic HCV infection, HCV core protein is implicated in
deregulating cytokine expression that associates with chronic inflammation. A20
is known as a powerful suppressor in cytokine signaling, in this study, we
explored the A20 expression in macrophages induced by HCV core protein and the
involved signaling pathways. Results demonstrated that HCV core protein induced
A20 expression in macrophages. Silencing A20 significantly enhanced the secretion
of IL-6, IL-1beta and TGF-beta1, but not IL-8 and TNF. Additionally, HCV core
protein interacted with gC1qR, but not TLR2, TLR3 and TLR4 in pull-down assay.
Silencing gC1qR abrogated core-induced A20 expression. Furthermore, HCV core
protein activated MAPK, NF-kappaB and PI3K/AKT pathways in macrophages.
Inhibition of P38, JNK and NF-kappaB but not ERK and AKT activities greatly
reduced the A20 expression. In conclusion, the study suggests that HCV core
protein ligates gC1qR to induce A20 expression in macrophages via P38, JNK and NF
kappaB signaling pathways, which leads to a low-grade chronic inflammation during
HCV infection. It represents a novel mechanism by which HCV usurps the host for
persistence.
PMID- 27183921
TI - Comparison of the transformation temperatures of heat-activated Nickel-Titanium
orthodontic archwires by two different techniques.
AB - OBJECTIVES: The purpose of this study was to investigate the suitability of the
Bend and Free Recovery (BFR) method as a standard test method to determine the
transformation temperatures of heat-activated Ni-Ti orthodontic archwires. This
was done by determining the transformation temperatures of two brands of heat
activated Ni-Ti orthodontic archwires using the both the BFR method and the
standard method of Differential Scanning Calorimetry (DSC). The values obtained
from the two methods were compared with each other and to the manufacturer-listed
values. METHODS: Forty heat-activated Ni-Ti archwires from both Rocky Mountain
Orthodontics (RMO) and Opal Orthodontics (Opal) were tested using BFR and DSC.
Round (0.016 inches) and rectangular (0.019*0.025 inches) archwires from each
manufacturer were tested. The austenite start temperatures (As) and austenite
finish temperatures (Af) were recorded. RESULTS: For four of the eight test
groups, the BFR method resulted in lower standard deviations than the DSC method,
and, overall, the average standard deviation for BFR testing was slightly lower
than for DSC testing. Statistically significant differences were seen between the
transformation temperatures obtained from the BFR and DSC test methods. However,
the Af temperatures obtained from the two methods were remarkably similar with
the mean differences ranging from 0.0 to 2.1 degrees C: Af Opal round (BFR 26.7
degrees C, DSC 27.6 degrees C) and rectangular (BFR 27.6 degrees C, DSC 28.6
degrees C); Af RMO round (BFR 25.5 degrees C, DSC 25.5 degrees C) and rectangular
(BFR 28.0 degrees C, DSC 25.9 degrees C). Significant differences were observed
between the manufacturer-listed transformation temperatures and those obtained
with BFR and DSC testing for both manufacturers. SIGNIFICANCE: The results of
this study suggest that the Bend and Free Recovery method is suitable as a
standard method to evaluate the transformation temperatures of heat-activated Ni
Ti orthodontic archwires.
PMID- 27183922
TI - The time course of endogenous erythropoietin, IL-6, and TNFalpha in response to
acute hypoxic exposures.
AB - Erythropoietin (EPO) rapidly decreases on return to sea level (SL) after chronic
altitude exposure. Acute hypoxia may provide an additional stimulus to prevent
the decline in EPO. Proinflammatory cytokines, interleukin-6 (IL-6), and tumor
necrosis factor alpha (TNFalpha) have been shown to inhibit EPO production.
Optimal normobaric hypoxic exposure has not been established; therefore,
investigation of methods eliciting the greatest response in EPO to limit
physiological stress is required. Eight men (age 27 +/- 4 years, body mass 77.5
+/- 9.0 kg, height 179 +/- 6 cm) performed four passive exposures to different
normobaric hypoxic severities [FiO2 : 0.209 (SL), FiO2 : ~0.135 (3600 m), FiO2 :
~0.125 (4200 m) and FiO2 : ~0.115 (4800 m)] in a hypoxic chamber for 2 h. Venous
blood was drawn pre-exposure and then at 1, 2, 4, 6, and 8 h to determine EPO
concentration ([EPO]), IL-6, and TNFalpha. During 4200 and 4800 m, [EPO]
increased from 5.9 +/- 1.5 to 8.1 +/- 1.5 mU/mL (P = 0.009) and 6.0 +/- 1.4 to
8.9 +/- 2.0 mU/mL (P = 0.037), respectively, with [EPO] increase peaking at 4 h
(2 h post-exposure). There were no differences in IL-6 or TNFalpha during or post
exposure. Increased [EPO] was found 2 h post hypoxic exposure as result of 2 h of
normobaric hypoxia >=4200 m. There was no dose-response relationship in [EPO]
between simulated hypoxia severities.
PMID- 27183923
TI - Polio: the fight of the public against a disease it does not understand with a
vaccine it does not trust.
PMID- 27183924
TI - Two-year survival of severe chronic obstructive pulmonary disease subjects
requiring invasive mechanical ventilation and the factors affecting survival.
AB - OBJECTIVE: To investigate two-year survival rates and the factors affecting
survival in patients of severe chronic obstructive pulmonary disease requiring
invasive mechanical ventilation. METHODS: The retrospective study was conducted
at Yuzuncuy?l University, Van, Turkey, and comprised record of in-patients with
moderate to severe chronic obstructive pulmonary disease who required invasive
mechanical ventilation in the intensive care unit of the Pulmonary Diseases
Department between January 2007 and December 2010. Correlation between survival
and parameters such as age, gender, duration of illness, history of smoking,
arterial blood gas values, pulmonary artery pressure, left ventricular ejection
fraction, body mass index and laboratory findings were investigated. SPSS 19 was
used for statistical analysis. RESULTS: Of the 69 severe COPD subjects available,
20 (29%) were excluded as they did not meet the inclusion criteria. Overall in
hospital mortality rate was 42% (n:29). Of the remaining 20 (29%) who comprised
the study group, 14(70%) were men and 6(30%) were women. The mortality rates at
the end of 3rd, 6th, 12th and 24th months were 61%, 76%, 84% and 85.5%
respectively. There was no correlation between gender and survival in time point
(p>0.05). The only factor that affected the rate of mortality at the end of the
3rd month was age (p<0.05). Mortality was high in subjects with advanced ages
(p<0.05). Duration of illness affected the survival at the end of the six month
(p<0.05). Survival rates were high in subjects with longer illness durations
(p<0.05). Haematocrit level was the only factor that affected mortality rates at
the end of 12th and 24th months (p<0.05). Subjects with higher haematocrit levels
had higher survival rates (p<0.05). CONCLUSIONS: Age, duration of illness and
haematocrit levels were the most important factors that affected survival in
chronic obstructive pulmonary disease patients requiring mechanical ventilation.
PMID- 27183925
TI - Frequency and distribution of angiographically occluded coronary artery and in
hospital outcome of patients with Non ST elevation myocardial infarction.
AB - OBJECTIVE: To calculate the frequency and distribution of occluded coronary
artery in patients with recent non-ST elevation myocardial infarction undergoing
coronary angiography, and to compare major adverse cardiovascular events during
hospitalisation with patients suffering from non-occluded coronary artery.
METHODS: The cross-sectional analytical study was conducted at Tabba Heart
Institute, Karachi, from July2013 to March 2014. Patients of both gender and all
ages undergoing angiography with non-ST elevation myocardial infarction were
included. Data on patient demographics, angiographic findings and in-hospital
complications was collected. Frequency of occluded vessels and their distribution
was reported. Multivariate logistic regression modelling was used to examine
variables associated with an occluded coronary artery in non-ST elevation
myocardial infarction. Major adverse cardiovascular events were compared between
patients of occluded and non-occluded coronary aetery. A two sided p-value of <
0.05 was taken as significant. RESULTS: In 703 patients studied, occluded
coronary artery was present in 277(39%). Predictors of having an occluded
coronary artery were increasing age (57.6+/-11.2 vs. 60.0+/-10.0; p: 0.03) and
low left ventricular ejection fraction (43.9+/-12.2 vs. 50.1+/-10.1; p: 0.000).
There was no significant difference in terms of major adverse cardiovascular
events in patients with occluded and non-occluded coronary arteries (Hazard
Ratio: 0.53, 95% confidence interval: 0.14-1.98; p=0.33). CONCLUSIONS: Totally
occluded coronary vessel is a frequent finding in non-ST elevation myocardial
infarction, highlighting the need for angiography and re-vascularisation to
salvage at-risk myocardium in selected patients.
PMID- 27183926
TI - Impact of delay in admission on the outcome of critically ill patients presenting
to the emergency department of a tertiary care hospital from low income country.
AB - OBJECTIVE: To assess the impact of admission delay on the outcome of critical
patients. METHODS: The retrospective chart review was done at Aga Khan University
Hospital, Karachi, and comprised adult patients visiting the Emergency Department
during 2010. Outcome measures assessed were total hospital length of stay, total
cost of the visit and in-hospital mortality. Patients admitted within 6 hours of
presentation at Emergency Department were defined as non-delayed. Data was
analysed using SPSS 19. RESULTS: Of the 49,532 patients reporting at the
Emergency Department during the study period, 17,968 (36.3%) were admitted. Of
them 2356(13%) were admitted to special or intensive care units, 1595(67.7%) of
this sub-group stayed in the Emergency Department for >6 hours before being
shifted to intensive care. The study focussed on 325(0.65%) of the total
patients; 164(50.5%) in the non-delayed group and 161(49.5%) in the delayed
group. The admitting diagnosis of myocardial infarction (p=0.00) and acute
coronary syndrome (p=0.01) was significantly more common in the non-delayed group
compared to other diagnoses like cerebrovascular attacks (p=0.03) which was
significantly more common in the delayed group. There was no significant
difference in the hospital length of stay between the two groups (p>0.05). The
Emergency Department cost was significantly increased in the delayed group
(p<0.05), but there was no difference in the overall hospital cost between the
groups (p>0.05). CONCLUSIONS: There was no significant difference in the delayed
and non-delayed groups, but long Emergency Department stays are distressing for
both physicians and patients.
PMID- 27183927
TI - Iranian road traffic injury project: assessment of road traffic injuries in Iran
in 2012.
AB - OBJECTIVE: To assess the status of road traffic injuries in Iran. METHODS: The
retrospective study was conducted in Iran and comprised all reported traffic
accidents from March 2011 to March 2012 that were recorded in the national
traffic accident database. The information collected included demographic data
specific to road traffic accidents and injuries. The data was summarised and
presented using frequencies and percentages. RESULTS: There were a total of
452192 road traffic accidents with 252246 victims in the one-year period. The
highest number of accidents, 110348(47%), involved individuals in the 15-30 year
age group. Among the gender-identified injured, 206171(78.4%) were male and 56639
(21.6%) were female. Besides, 298750(73.2%) accidents took place between 6am and
6pm. In terms of location, the province of Semnan had the highest rates of injury
and death (913 per 100000 and 41per 100000, respectively), while the lowest rate
of injury was in Alborz (131 per 100000) and lowest rate of death was in Tehran
(5 per 100000). The majority of accidents 301516(66.7%) occurred on inner city
roads. Although the total number of victims in inner city roads (180893) was
higher than outer the city roads (2.2:1), the number of deaths (8615) on outer
roads was higher (3:1). CONCLUSIONS: Road traffic injuries placed a heavy burden
on the Iranian population, especially on young men. Comprehensive strategies and
policies must be implemented for effective prevention of road accidents in Iran.
PMID- 27183928
TI - Lactacyd FH as an adjuvant therapy for vulvovaginal infections in Pakistani
women: FRESH study, a satisfaction survey.
AB - OBJECTIVE: To demonstrate that adjuvant therapy with lactic acid+lactoserum
solution provides satisfactory symptomatic relief and is safe in patients with
vulvo-vaginal infections. METHODS: The open-label survey was conducted at 96
private consultation clinics in 14 cities across Pakistan from May to October
2010, and included consecutive patients >18 years of age with first/recurrent
episode of vulvo-vaginal infections, having clinical signs and symptoms of such
infections, receiving antibiotics for current infections. Data collected included
vulvo-vaginal infection symptoms, baseline history of diabetes and hormone
replacement therapy, bimanual examination, and current antibiotic treatment.
Follow-up was done at 14 days. Compliance and symptomatic relief, safety
(solicited reporting) was noted on day 14 or anytime during the study period.
RESULTS: Overall, 919 patients were enrolled. Of these, 842(91.6%) patients
completed the study. The mean age was 32.6+/-8.4 years and 295(35%) were
diagnosed to have bacterial vaginosis, 278(33%) vaginal candidiasis, and 126(15%)
trichomoniasis. The most commonly used antibiotic was metronidazole in 438(52%)
cases. Patients used lactic acid+lactoserum for mean duration of 9.7 4.4 days,
twice a day, and reported symptomatic relief by fourth day of application, as
assessed by reduction in malodour in 681(80.1%) cases, itching 661(78.5%),
burning sensation 652(77.4%), and pain 552(65.6%). Lactic acid+lactoserum was
reported to be gentle on skin in 769(91.3%) cases, provide feeling of freshness
727(86.3%), and have mild fragrance 724(85.9%). Overall, 746(88.6%) patients
reported satisfaction with lactic acid+lactoserum, and 671(79.7%) patients were
willing to use it again. No adverse events were reported. CONCLUSIONS: Lactic
acid+lactoserum as an adjuvant treatment of vulvo-vaginal infections demonstrated
high percentage of satisfaction and safety in Pakistani women.
PMID- 27183929
TI - A comparison of two techniques of preparing bone marrow aspirate slides.
AB - OBJECTIVE: To compare direct smear technique with ethylenediaminetetraacetic acid
(EDTA) preserved smear technique in terms of preparing bone marrow aspirate
slides. METHODS: This prospective study was carried out between September 2009
and July 2012 at the Haematology/Oncology Department, King Khalid University
Hospital, Riyadh, Saudi Arabia. With a standard gauge disposable bone marrow
aspirate needle, 0.5 to 1.0 ml bone marrow was aspirated with a 10ml syringe.
Half of the marrow was immediately transferred to an EDTA tube with gentle
mixing, while slides were prepared directly from the rest of the sample in the
syringe. The tube sample was used to prepare slides at the end of the procedure.
A score of 1-4 was assigned to each slide depending on the quality and number of
particles. RESULTS: A total of 245 bone marrow aspirate samples were evaluated
related to 216 patients. Of the total, 238 (97%) samples were included in the
study. The mean score for the direct smear group was 3.40+/-0.79 and for the EDTA
smear group it was 3.34+/-0.75 (p=0.27), which was not statistically significant.
An informal comparison of the morphological analysis of the samples did not
reveal any differences. CONCLUSIONS: Bone marrow aspirate slides prepared at the
end of the procedure from EDTA preserved samples were not inferior to slides
prepared directly from the aspirated sample.
PMID- 27183931
TI - Sub-threshold frequency doubled Nd. YAG modified mild maculr grid laser for
diffuse diabetic maculopathy.
AB - OBJECTIVE: To determine the anatomical and functional improvement in eyes with
diffuse macular oedema after modified mild macular grid laser. METHODS: The
prospective study was conducted at the Department of Ophthalmology Unit II, Civil
Hospital Karachi, and the Department of Ophthalmology, Dow International Medical
College, Karachi, from June 2011 to May 2014. We used neodymium-doped yttrium
aluminium garnet 532nm frequency-doubled green laser to treat all eligible
patients. Treatment variables were a spot size of 50 microns, exposure duration
of 0.1 seconds, and power sufficient to produce barely visible burns in a grid
pattern. We treated the thickened retina and non-thickened area of the macula
excluding central 500 micron. Microaneurysms within the macular areas were
treated by focal application of laser shots sufficient to bleach them. RESULTS:
The study comprised 86 eyes of 52 patients who were treated by applying 180 to
360 burns with a mean of 275+/-51. Mean macular thickness differed significantly
between time points (Wilks' Lambda 0.139; F (2, 6) = 125.95; p = 0.00001). Mean
visual acuity changed significantly between time points (Wilks' Lambda 0.535; F
(4, 82) = 17.8; p = 0.00001). At the last follow-up, visual acuity improved at
least one line in 32(37.2%) eyes, remained stable in 48(55.8%) eyes, and declined
in 6(6.9%) eyes. CONCLUSIONS: Modified mild macular grid is an effective and safe
procedure for patients with diffuse diabetic macular oedema.
PMID- 27183930
TI - Burnout and quality of life in nurses of a tertiary care hospital in Pakistan.
AB - OBJECTIVE: To determine the relationship of burnout and quality of life among
nurses of different departments at a tertiary care hospital. METHODS: The
observational, cross-sectional study was conducted at Mayo Hospital, Lahore, from
November 2013 to May2014, and comprised nurses recruited by convenience sampling.
Maslach Burnout Inventory was used to assess burnout and World Health
Organisation Quality of life instrument's BREF version was used to assess quality
of life of the subjects. Data was analysed using SPSS 16. RESULTS: Of the 106
nurses with a mean age of 35.5+/-7 years, 83(79%) were experiencing severe
burnout and a low quality of life. Nurses of Surgery and Obstetrics/Gynaecology
departments who worked longer hours on the night shift scored higher on burnout
and lower on quality of life. CONCLUSIONS: Burnout in nurses was very common
because of increasing workload and can negatively affect their quality of life
leading to compromised patient care.
PMID- 27183932
TI - Learning environment, approaches to learning and learning preferences: medical
students versus general education students.
AB - OBJECTIVE: The main objective of the study was to see whether medical students
use more desirable approaches to studying than general education students.
METHODS: Survey method was used to collect data from both the medical students
and the general education students. The survey of the medical students was
carried out between January and March, 2012. The survey was administered to all
the medical students present in lecture halls on day of data collection, while
general education students were randomly selected from four subject areas at two
universities. RESULTS: In total, 976 medical students and 912 general students
participated in the study. Of the general students, 494(54%) were boys and
418(46%)were girls with an overall mean age of 20.53+/-1.77 years (range: 17-27
years). The medical students' perceptions of their learning environment and their
learning preferences were broadly similar to that of general education students
with the exception of workload. The medical students perceived the workload to be
less appropriate (Mean = 2.06+/-0.72) than the students in general education
(Mean = 2.84+/-0.90). The medical students were more likely to use the deep
approach to studying (Mean = 3.66+/-0.59) than the students in general education
(Mean = 3.16+/-0.91). The students in general education were slightly more likely
to use the organized studying (Mean = 3.44+/-0.90) than the medical students
(Mean =3.23+/-0.90). Both medical students and the students in general education
tended to use the surface approaches along with other approaches to studying.
CONCLUSIONS: There was not a great difference between the medical students and
the students pursuing general education with regard to perceptions of the
learning environment and approaches to learning.
PMID- 27183933
TI - Analysis of association between type of amblyopia and gender at a tertiary care
hospital in Karachi.
AB - OBJECTIVE: To analyse the frequency of different types of amblyopia and its
association with gender. METHODS: The cross-sectional study was conducted from
March 2014 to October 2014 at the Department of Ophthalmology of a tertiary care
hospital inKarachi. The patients were classified into different types of
amblyopia like anisometropic, strabismic, sensory deprivation and meridional
amblyopia. Stratification was done according to age, gender and type of amblyopia
by using SPSS version 21. RESULTS: Out of 130 patients, 71 were male and 59 were
female. The amblyopia rate determined was anisometropic 69.23%, strabismic
amblyopia 21.51% and others 9.23%. The mean age of subjects was 18.02+/-9 years.
Type of amblyopia was independent of gender, and anisometropic amblyopia was the
most common type of amblyopia found in both genders. CONCLUSIONS: This study
found no significant association between gender and types of amblyopia and
anisometropic amblyopia was the most common type of amblyopia in the data
collected.
PMID- 27183934
TI - Fibroblast growth factor 2 improves cognitive function in neonatal rats with
hypoxic ischaemic brain injury.
AB - OBJECTIVE: To determine the effect of fibroblast growth factor 2 on cognitive
function in neonatal rats with hypoxic-ischaemic brain injury. METHODS: The
randomised controlled study was conducted from January to June 2011 at Mersin
University, School of Medicine, Experimental Animals Research Laboratory and
Physiology Behaviour Laboratory, Mersin, Turkey. It included 7-d-old male rats
that were randomised into four groups: fibroblast growth factor 2-20, fibroblast
growth factor 2-40, control and sham. All the rats, except those in the sham
group, were kept in a hypoxia chamber containing 8% oxygen for 2 hours following
ligation of the right carotid artery. After hypoxic-ischaemic brain injury was
induced, 20 ng g-1 or 40 ng g-1 of fibroblast growth factor 2 was administered
via the intraperitoneal route. The terminal deoxynucleotidyl transferase-mediated
deoxyuridine triphosphate nick-end labelling method was used to evaluate neuronal
apoptosis. The Morris water maze (MWM) test was administered to the rats at age
14 weeks. RESULTS: Of the 78 rats on the study, 18 (23%) were in the sham group,
while the other three groups had 20 (25.6%) rats each. The number of apoptotic
neurons in the right hemisphere in the experimental groups was significantly
lower than in the control group (p=0.004 and p<0.001). The number of apoptotic
neurons in the right hemisphere in the fibroblast growth factor 2-40 group was
significantly lower than in the fibroblast growth factor 2-20 group (p<0.001).
Moreover, fibroblast growth factor 2improved Morris water maze test cognitive
performance in a dose-dependent manner. CONCLUSIONS: Fibroblast growth factor 2
treatment reduced neuronal apoptosis and improved cognitive functioning in
neonatal rats with experimentally-induced hypoxic-ischaemic brain injury.
PMID- 27183935
TI - Characteristics of the patients undergoing surgical treatment for pneumothorax: A
descriptive study.
AB - OBJECTIVE: To identify the characteristic features of pneumothorax patients
treated surgically. METHODS: The retrospective study was conducted at Gazi
Yasargil Education and Research Hospital Thoracic Surgery Clinic, Diyarbakir,
Turkey and comprised records of pneumothorax patients from January 2004 to
December 2014. They were divided into two groups as spontaneous and traumatic.
Patients who had not undergone any surgical intervention were excluded. Mean age,
gender distribution, location of the disease, type of pneumothorax, and treatment
method were noted. Among patients with spontaneous pneumothorax, age and months
distribution, smoking habits, pneumothorax size, and treatment method were
assessed. The effect of gender, location, comorbid disease, smoking, subgroup of
disease, and pneumothorax size on surgical procedures were also investigated.
RESULTS: The mean age of the 672 patients in the study was 34.5+/-6.17 years.
There were 611(91%) men and 61(9%) women. Disease was on the right side in
360(53.6%) patients, on the left side in 308(45.8%), and bilateral in 4(0.59%).
Besides, 523(77.8%) patients had spontaneous, and 149(22.7%) had traumatic
pneumothorax. Overall, 561(83.5%) patients had been treated with tube
thoracostomy, whereas 111(16.5%) were treated with thoracotomy/thoracoscopic
surgery. The presence of comorbid diseases, being primary, and being total or
subtotal according to partial were found to create predisposition to thoracotomy/
thoracoscopic surgery (p<0.05 each). CONCLUSIONS: In the case of pneumothorax
being total, the presence of comorbid diseases, and the increase in pneumothorax
size, thoracotomy or thoracoscopic surgery is preferred.
PMID- 27183936
TI - Comparison of primary versus recurrent pterygium after intralesional 5
Fluorouracil.
AB - OBJECTIVE: To compare the mean change in corneal astigmatism and clinical
appearance after intralesional injection of 5-Fluorouracil in primary and
recurrent pterygia. METHODS: The quasi-experimental study was conducted at the
Armed Forces Institute of Ophthalmology, Rawalpindi, Pakistan, from June 2014 to
April 2015. The patients were categorised into two groups. Group1 named GP
comprised primary pterygia patients, while those of recurrent pterygia were in
Group 2 named GR. All the patients were treated with 0.1ml intralesional 5
Fluorouracil 5mg weekly injections for 04 weeks. Ophthalmic clinical evaluation
included uncorrected distant visual acuity, keratometery and slit lamp
examination was performed before and 04 weeks after the treatment. RESULTS: There
were 86 eyes of 64 patients in the study. Mean uncorrected distant visual acuity
of patients was 0.12+/-0.13 in GP and 0.26+/-0.17 in GR. Mean astigmatism before
treatment was 1.75+/-1.08 in GP and 2.92+/-2.28 in GR. Same parameters 04 weeks
after last injection were 1.66+/-1.17 and 2.64+/-1.78 in GP and GR respectively.
All eyes had a statistically significant change in clinical appearance.
CONCLUSIONS: Intralesional 5-Fluorouracil injection improved cosmesis of primary
as well as recurrent pterygia, but did not have statistically significant effect
on corneal astigmatism.
PMID- 27183937
TI - Burden of rheumatological disorders in a tertiary care hospital.
AB - OBJECTIVE: T To evaluate the frequency of different rheumatological disorders in
patients visiting a tertiary care centre. METHODS: The retrospective analysis was
conducted at the Rheumatology Clinic of Jinnah Postgraduate Medical Centre,
Karachi, and comprised prospectively collected data of patients with different
musculoskeletal disorders from February 2004 to February 2014. Detailed history,
examination and laboratory investigations were recorded in a pre-designed
structured proforma. The frequency, demographic characteristics and associated co
morbidities were studied. SPSS 17 was used for statistical analysis. RESULTS: Of
the 603 patients whose data was analysed, 460(76.3%) were women and 143(23.7%)
were men. Overall mean age of the patients was 35.2+/-12 years. Rheumatoid
arthritis was diagnosed in 458(76%) patients, systemic lupus erythematosus in
42(7%) ankylosing spondylitis in 32(5.3%), mixed connective tissue disease in
22(3.6%) and scleroderma in 12(2%) patients. CONCLUSIONS: Rheumatological
disorders constitute a major disease burden in a relatively young population of
patients. RA was the most common disorder seen in our clinic, as seen elsewhere
also, followed by SLE, AS, MCTD, etc.
PMID- 27183938
TI - Clinical and polysomnographic features of patients with Restless Legs Syndrome.
AB - OBJECTIVE: To reveal clinical and polysomnographic features in patients treated
for restless leg syndrome, and to examine the compatibility of sleep data and
clinical features. METHODS: The study was conducted at the Department of
Neurology, Ankara Numune Training and Education Hospital, Ankara, Turkey, and
comprised patients who presented to the outpatient clinic between January and
July 2014 who were diagnosed with restless leg syndrome based on the
International RestIess Leg Syndrome Study Group criteria. Patients underwent
polysomnography test in spontaneous sleep in a single room. SPSS 18 was used for
statistical analyses. RESULTS: Of the 18 patients, 13(72%)were females and
5(28%)were males. Overall mean age was 51.56+/-11.57years (range: 23-66 years).
Fourteen (77.8%) patients reported insomnia; 10(55.5%) patients had excessive
daytime sleepiness; 13(72.2%) reported snoring; and 3(17%) had apnoea. Mean
International Restless Legs Syndrome Study Group Rating Scale score was 26.11+/
7.9 (range: 16-40).Mean Epworth Sleepiness Scale score was 9.17+/-5.1 (range: 0
20). CONCLUSIONS: Restless leg syndrome was more common in women and the most
common complaint was insomnia.
PMID- 27183939
TI - Assessment of mental workload and academic motivation in medical students.
AB - OBJECTIVE: To investigate the level of correlation and direction of linearity
between academic motivation and subjective workload. METHODS: The study was
conducted at Baskent University School of Medicine, Ankara, Turkey, from December
2013 to February 2014, and comprised Phase 5 Phase 6 medical students. Subjective
workload level was determined by using National Aeronautics and Space
Administration Task Load Index scale that was adapted to Turkish. Academic
motivation values were obtained with the help of Academic Motivation Scale
university form. SPSS 17 was used for statistical analysis. RESULTS: Of the total
105 subjects, 65(62%) students were in Phase 5 and 40(38%) were in Phase 6. Of
the Phase 5 students, 18(27.7%) were boys and 47(72.3%) were girls, while of the
Phase 6 students, 16(40%) were boys and 24(60%) were girls. There were
significant differences in Phase 5 and Phase 6 students for mental effort
(p=0.00) and physical effort (p=0.00). The highest correlation in Phase 5 was
between mental effort and intrinsic motivation (r=0.343). For Phase 6, highest
correlation was between effort and amotivation (r= -0.375). CONCLUSIONS:
Subjective workload affected academic motivation in medical students.
PMID- 27183940
TI - Quality of life of Pakistani medical students studying in a private institution.
AB - OBJECTIVE: To find the quality of life of medical students using a World Health
Organisation questionnaire. METHODS: The cross-sectional study was conducted in
February 2015 at Shifa College of Medicine, Islamabad, Pakistan, and comprised
students studying in an integrated medical education system. The shortened
version of World Health Organisation Quality of Life questionnaire comprising 26
items was used. Consecutive non-probability sampling was used to collect data
which was analysed using SPSS 21. RESULTS: Out of 417 medical students,
185(44.4%) were male and 232(55.6%) were female. Of them, 165(39.6%) were in pre
clinical years, while 252(60.4%) were in clinical years. Overall, 347(83.2%)
students rated their quality of life as "good" or "very good". Environmental
domain had the highest mean score of 70.43+/-16.38 while Psychological Health
domain had the lowest mean score of 66.48+/-15.64. CONCLUSIONS: Medical education
affects students' health, thus their quality of life varies. Identification of
this is very important, since long-term stress can induce some permanent
personality changes in individuals.
PMID- 27183941
TI - Clinical features and outcomes of Candidaemia in cancer patients: Results from
Pakistan.
AB - OBJECTIVE: To evaluate clinical risk factors and outcomes among cancer patients
with candidaemia at a large cancer treatment centre. METHODS: The retrospective
study was conducted at Shaukat Khanum Memorial Cancer Hospital and Research
Centre, Lahore, Pakistan, and comprised data related to all cancer patients with
a positive blood culture for candida species between January 1995 and December
2013. RESULTS: A total of 311 patients were identified and there were 16 positive
candida cultures among every 1000 (1.6%) cultures positive for any microorganism.
Patients with haematological malignancies (adjusted odds ratio:2.23), those in
shock (adjusted odds ratio: 9.48) were significantly more likely to die during
the index hospitalisation, while patients with candida albicans isolated from the
blood culture (adjusted odds ratio: 0.47) and those who received antifungal agent
based on the sensitivity report of the fungal culture (adjusted odds ratio:0.32)
were significantly less likely to die. Receipt of antifungal agents on an
empirical basis before a positive culture was not significantly associated with
mortality (p>0.05). CONCLUSIONS: No statistically significant risk factor for
candidemia was identified, but haematological malignancies, shock and candidaemia
due to non-albicans species were predictors of mortality during index
hospitalisation.
PMID- 27183942
TI - Outcome of VVF repair without omental interposition.
AB - OBJECTIVE: To find out the outcome in cases of vesicovaginal fistula repair.
METHODS: The descriptive study was conducted at the District Headquarter
Hospital, Timergara, Lower Dir, Pakistan, from November 1, 2011 to November 2013,
and comprised all patients admitted in Urology unit with vesicovaginal fistula.
Repair was done with either transabdominal or transvaginal approach. Repair
technique involved good tissue separation, interrupted sutures, and no omental
interposition. Follow-up was of three months. RESULTS: There were 30 patients
available, but 2(6.6%) were excluded. Among the remaining 28(93.3%) patients
dehiscence was not noted in any patient, while only 4(14.3%) patients developed
mild urinary tract infection. There were no intraoperative or postoperative
deaths. CONCLUSIONS: Transvaginal or Transabdominal repair of vesicovaginal
fistula is successful treatment modality if good dissection and tissue separation
is applied with interrupted suturing. Omental interposition is not essential for
good healing.
PMID- 27183943
TI - Medical errors and patient safety in the operating room.
AB - OBJECTIVE: To investigate medical errors in the operating room, attitudes of
healthcare professionals in case of errors and educational needs of
professionals. METHODS: The descriptive study was conducted at a university
hospital in Turkey from January 25 to February 14, 2011, and comprised operating
room staff, including physicians, nurses, anaesthesia technicians and perfusion
technicians. Data was obtained using a questionnaire. RESULTS: Of the 69
respondents, 45(65.2%) had experienced medical errors and 29(42%) had verbally
warned the person who caused the error. The main cause of the medical errors was
a lack of healthcare professionals and their inadequate qualifications,
51(73.9%); and insufficient communication, 41(59.4%). Coping with stress and
communication 45(65.2%) and radiation safety 28(40.6%) were the most common
educational needs. CONCLUSIONS: Patient safety applications in the operating room
can be improved by offering educational programmes, designing an easy reporting
system, encouraging reporting of medical errors and active participation of
healthcare professionals in decisions that might affect patient safety.
PMID- 27183944
TI - Effect of body mass index on outcome of labour induction.
AB - The retrospective study to explore the adverse effect of obesity on pregnancy and
labour was conducted at Aga Khan University Hospital, Karachi, Pakistan, and
comprised data of all patients booked between 12-14 weeks and required induction
of labour from January 1 to December 31, 2012. Women were grouped into two body
mass index categories: normal weight (<22.9 kg/ m2) as controls and exposed group
(>23 kg/m2). Obesity increased the risk of development of gestational
hypertension and diabetes. Therefore obese women were more likely to be induced
due to medical indication whether primiparous or multiparous adjusted odds ratio
=2.89(95% confidence interval 1.29-6.48) and 2.77 (95% confidence interval 1.07
7.19) respectively. There was increased chance of having caesarean section in
primigravida adjusted odds ratio = 1.45 (95% confidence interval 0.72-2.92),
duration of caesarean section and blood loss during the procedure were not
significantly associated with high body mass index (p>0.05). Obesity may lead to
a lot of problems in primigravida, but it did not have major impact.
PMID- 27183945
TI - Epidemiology of drowning and near drowning at Karachi beaches from 2012 to 2014.
AB - Karachi Municipal Corporation's Emergency Response Center's lifeguards save
drowning individuals, recover dead bodies of drowned individuals, and provide
first aid care to injured victims of near-drowning and road traffic accidents on
the road along the various beaches.Cumulatively302 drowning and near-drowning 302
incidents were recorded from 2012 to 2014, with 291 (96.3%) incidents involving
males. One hundred ninety-six (64.9%) individuals were saved from drowning, out
of which 116 (59.2%) were saved on Sundays, followed by 31 (15.8%) on Saturdays;
with 79 (40.3%) being saved during the hours 06:00 PM to 07:59 PM. Out of total
34 dead bodies were recovered, Sundays registered 8 (23.5%) such incidents. Out
of the 72 individuals who received first-aid care, 60 (83.3%) received it on
Sundays and Saturdays. The months of April, May, and June were the peak months of
incidents for saving from drowning. Results augur the need for targeted health
education campaigns, especially during summer months.
PMID- 27183946
TI - An establishment of vascular access through superior vena cava for a patient with
multiple central venous stenosis or occlusion.
AB - The patency of vascular access is of vital importance to dialysis patients.
Access dysfunction is largely caused by vessel stenosis and thrombosis.
Nephrologists usually find themselves helpless when all treatments fail and the
vascular access seems to have exhausted. Here we report a successful
establishment of vascular access through superior vena cava for a critical
patient with multiple central venous stenosis or occlusion. To our knowledge, it
is the first case ever reported on the successful establishment of vascular
access through superior vena cava under such a complicated condition of vascular
exhaustion.
PMID- 27183947
TI - Non-functional paraganglioma of the mediastinum.
AB - Paragangliomas are rare tumours which have the ability to secrete neuropeptide
and catecholamines. Frequently, the clinical presentation of these tumours is ill
defined and some may have no symptoms whatsoever until the tumour is large enough
to cause symptoms secondary to local invasion and mass effect, hence making
paragangliomas a challenge to diagnose and manage surgically. We report a case of
a 55 year old female who presented with shortness of breath and chest pain for 3
years. Further investigations led to the demonstration of a mass along the left
side of the mediastinum which was suggestive of a neoplasm. Complete surgical
resection of the tumour was achieved and the patient was discharged uneventfully.
PMID- 27183948
TI - Neonatal Bartter Syndrome in association with congenital adrenal hyperplasia in a
neonate - a rare combination.
AB - Neonatal Bartter syndrome (NBS) is an autosomal recessive renal tubulopathy
characterized by hypokalaemic, hypochloraemic metabolic alkalosis associated with
increased urinary loss of sodium, potassium, calcium and chloride. There is
hyperreninaemia and hyperaldosteronaemia but normotension. Congenital adrenal
hyperplasia (CAH), another autosomal recessive condition, may present in the
neonatal period with vomiting, hypovolaemia, failure to gain weight or ambiguous
genitalia. We report a case of NBS and CAH combination in a neonate. A male
neonate born at term was admitted with history of recurrent vomiting and
dehydration episodes. Investigations revealed electrolytes imbalance, metabolic
alkalosis, raised aldosterone and renin levels suggestive of NBS. He was treated
successfully and discharged. He was re-admitted with the same symptoms. Further
evaluation confirmed the presence of CAH as well. We report this case because of
the rarity of this combination (NBS plus CAH) and to the best of our knowledge
this is the first such case report from Pakistan.
PMID- 27183950
TI - Unmasking of myasthenia gravis during pegylated Alfa 2 a interferon and ribavirin
therapy for chronic hepatitis C.
AB - Over last few decades, hepatitis C has emerged as a serious infection that has
threatened the health and budgets of millions in the world. The objective of
health professionals to treat it with recommended therapy of Alfa interferon and
Ribavirin combination presents certain risks. One of the alarms is the ability of
interferon to stimulate the production of autoantibodies in the body resulting in
expression of autoimmune diseases in few who develop these antibodies. The case
presented here is about unmasking of myasthenia gravis in a patient who received
alfa interferon therapy for her chronic hepatitis C. Alfa interferon probably
plays an important role in manifestation of the diseases in susceptible patients
and all autoimmune diseases cannot be taken as mere side effects of the therapy.
Clinicians need to be alert to pick up these diseases earlier so that the prompt
management is possible.
PMID- 27183949
TI - Auxiliary partial orthotopic liver transplant for Criggler-Najjar Syndrome:
Report of 2 cases from Pakistan.
AB - Auxiliary partial orthotopic liver transplant (APOLT) is a treatment option for
certain liver disorders where liver structure is preserved. It includes Criggler
Najjar syndrome (CNS), urea cycle defects and familial hypercholesterolaemia.
Liver transplant as a treatment modality has only recently become available in
Pakistan. Here we report two paediatric cases of CNS type 1 where auxiliary liver
transplant was performed to correct jaundice and prevent inevitable brain damage.
Both recipients and their respective living donors had successful surgery and are
doing well.
PMID- 27183951
TI - The overlooked side of convulsion: bilateral posterior fracture and dislocation
of proximal humerus.
AB - Injuries after an epileptic convulsion have been seen commonly such as burns,
head injury and dislocation of the extremities. But fractures of the extremities
due to convulsion are rare. External trauma mechanism is not necessary for
extremity fractures. Muscle contractions can cause increased load on the skeleton
and it can be complicated by dislocation andor fracture of extremities. Almost 1
4% of all the shoulder dislocations are posterior. In this case report we present
a 32 year old male patient who had bilateral posterior fracture and dislocation
of proximal humerus after convulsion. We would like to emphasize that it is so
important to make systemic examination and evaluation of the patients who were
admitted to emergency department after epileptic convulsion.
PMID- 27183952
TI - Female sexual dysfunction: Assessment.
AB - Female sexual dysfunction (FSD) is a common complex clinical condition, with
multiple etiologies, association and pathophysiologic correlations. This review
includes the definition, etiology, and diagnosis of FSD. It calls for a bio
psychosocial approach to FSD management, which incorporates, but is not limited
to, only the psychological aspects of FSD.
PMID- 27183953
TI - Weekend therapy in diabetes.
AB - This article introduces the concept of "weekend therapy", which has now become
reality in diabetes. It briefly describes injectable and oral drugs which are
currently available, or are in advanced stages of development, for use in once
weekly administration. These include dulaglutide, exenatide QW, semaglutide,
omarigliptin and trelagliptin.
PMID- 27183955
TI - Highly-oriented molecular arrangements and enhanced magnetic interactions in thin
films of CoTTDPz using PTCDA templates.
AB - In the present work, the templating effect of thin layers of perylene-3,4,9,10
tetracarboxylic dianhydride (PTCDA) on the growth of cobalt
tetrakis(thiadiazole)porphyrazine (CoTTDPz) thin films was examined. X-ray
diffraction and optical absorption spectra indicate that while CoTTDPz forms
amorphous thin films on the bare substrates, it forms crystalline thin films on
the PTCDA templates, in which the molecular planes of CoTTDPz are considered to
be parallel to the substrates. Magnetic measurements reveal a significantly
enhanced antiferromagnetic interaction of CoTTDPz in the templated thin films,
with values reaching over 13 K. The ability to generate crystalline films and to
control their orientation using molecular templates is an important strategy in
the fields of organic electronics and spintronics in order to tailor the physical
properties of organic thin films to suit their intended application.
PMID- 27183954
TI - Factors contributing to early menarche in school girls.
AB - OBJECTIVE: To assess the average age of menarche in Pakistani girls from
different socioeconomic backgrounds. METHODOLOGY: This was a cross sectional
study design carried out on female students studying in different schools of
Karachi in 2014. Girls aged 10-14 years age were included. Schools from all three
socio economic strata were selected through random sampling technique from a list
of schools having health care services. Data was collected through a self
administered questionnaire translated in Urdu where required. Height and Weight
was measured through calibrated instruments. Sample size was n=385 based on
maximum proportion of 50%. Analysis was done on SPSS version 20. Chi-square and
ANOVA was applied after checking data for normality. RESULTS: The total sample
size was 275 after excluding poorly filled questionnaires. The mean age of
menarche in our sample population was 11.73+/-1.2 years. Out of the total
participants 127 (46%) students had reached the age of menarche. It was found
that mean age of menarche was highest in participants of Kashmiri origin 14.5+/
0.1 years and lowest mean age was observed in those of Gujrati origin at 11.0+/
0.1 years (p 0.036). When mean age of menarche was compared with socioeconomic
class highest mean age was observed in lower class 12.13 +/-0.1 (p 0.268).
CONCLUSIONS: Our study reveals a decline in the mean age of menarche of at least
2 years in girls aged 10-14 years.
PMID- 27183956
TI - United States neurosurgery annual case type and complication trends between 2006
and 2013: An American College of Surgeons National Surgical Quality Improvement
Program analysis.
AB - We aimed to identify trends in the neurosurgical practice environment in the
United States from 2006 to 2013 using the American College of Surgeons-National
Surgical Quality Improvement Program (NSQIP) database, and to determine the
complication rate for spinal and cranial procedures and identify risk factors for
post-operative complications across this time period. We performed a search of
the American College of Surgeons-NSQIP database for all patients undergoing an
operation with a surgeon whose primary specialty was neurological surgery from
2006 to 2013. Analysis of patient demographics and pre-operative co-morbidities
was performed, and multivariate analysis was used to determine predictors of
surgical complications. From 2006 to 2013, the percentage of spinal operations
performed by neurosurgeons relative to cranial and peripheral nerve cases
increased from 68.0% to 76.8% (p<0.001) according to the NSQIP database. The
proportion of cranial cases during the same time period decreased from 29.7% to
21.6% (p<0.001). The overall 30-day complication rate among all 94,621 NSQIP
reported patients undergoing operations with a neurosurgeon over this time period
was 8.2% (5.6% for spinal operations, 16.1% for cranial operations). The overall
rate decreased from 11.0% in 2006 to 7.5% in 2013 (p<0.001). Several predictors
of post-operative complication were identified on multivariate analysis.
PMID- 27183957
TI - Resolution of third nerve palsy despite persistent aneurysmal mass effect after
flow diversion embolization of posterior communicating artery aneurysms.
AB - Posterior communicating artery (PCOM) aneurysms may cause third nerve palsies.
The optimal treatment with clipping versus coiling remains controversial. Here we
report on two cases of resolution of third nerve palsy after flow diversion
embolization of large and giant PCOM aneurysms without adjuvant coil placement.
The resolution of third nerve palsy was not preceded by significant shrinkage of
the aneurysmal sac on MRI. However, one patient showed resolution of T2-weighted
signal abnormalities in the midbrain and mesial temporal lobe despite a similar
size of the aneurysm. Therefore, flow diversion embolization of a PCOM aneurysm
may resolve oculomotor nerve palsies through decreasing arterial pulsations
against the nerve or midbrain.
PMID- 27183958
TI - Neurological complications of acute multifocal placoid pigment epitheliopathy.
AB - Acute multifocal placoid pigment epitheliopathy (AMPPE) is an autoimmune
chorioretinal disease that can be complicated by neurological involvement. There
is limited information on this potentially treatable condition in the
neurological literature. The objective of this patient series is to describe the
neurological complications of AMPPE. We retrospectively identified patients with
neurological complications of AMPPE seen at Auckland Hospital between 2008 and
2013 and summarised cases in the literature between 1976 and 2013. We identified
five patients with neurological complications of AMPPE at Auckland Hospital and
47 reported patients. These patients demonstrated a spectrum of neurological
involvement including isolated headache, stroke or transient ischaemic attack,
seizures, venous sinus thrombosis, optic neuritis, sensorineural hearing loss and
peripheral vestibular disorder. We propose criteria to define AMPPE with
neurological complications. A cerebrospinal fluid (CSF) lymphocytosis in a
patient with isolated headache may predict the development of cerebrovascular
complications of AMPPE. Patients with cerebrovascular complications of AMPPE have
a poor prognosis with high rates of death and neurological disability among
survivors. Predictors of poor outcome in those who develop neurological
complications of AMPPE are a relapsing course, generalised seizures and
multifocal infarction on MRI. All patients with neurological complications of
AMPPE, including headache alone, should be investigated with an MRI brain and CSF
examination. Patients with focal neurological symptoms should receive intravenous
(IV) methylprednisolone followed by a tapering course of oral steroids for at
least 3months. Patients with AMPPE and an isolated headache with a CSF
pleocytosis should be treated with oral steroids.
PMID- 27183960
TI - Margaret McCartney: We need more openness on GPs' pay.
PMID- 27183959
TI - Species-specific mutual regulation of p53 and miR-138 between human, rat and
mouse.
AB - In recent years, p53 was identified to regulate the expression of many miRNAs and
was also regulated by miRNAs. In this paper, we found that miR-138 showed a
pronounced increase after p53 activation in human non-small cell lung cancer
(NSCLC) cells, which is mediated by p53 binding sites in the promoter region of
its host gene, but this did not happen with rat and mouse cells. More
interestingly, we found that p53 could be also regulated by miR-138 in mouse and
rat cells, but not in the human NSCLC cells. Our results suggest the existence of
species-specific differences of the regulations of miRNA against its targets and
the regulations of miRNA itself by other proteins.
PMID- 27183961
TI - Increased nuchal translucency thickness and risk of neurodevelopmental disorders.
AB - OBJECTIVE: To investigate the association between fetal nuchal translucency (NT)
thickness and neurodevelopmental disorders in euploid children. METHODS: This
study included 222 505 euploid children who had undergone routine first-trimester
screening during fetal life. Children were divided according to prenatal NT into
three groups: NT < 95th percentile (n = 217 103 (97.6%)); NT 95th -99th
percentile (n = 4760 (2.1%)); and NT > 99th percentile (n = 642 (0.3%)). All
children were followed-up to a mean age of 4.4 years. Information on diagnoses of
intellectual disability, autism spectrum disorders (ASD), cerebral palsy,
epilepsy and febrile seizures was obtained from national patient registries.
RESULTS: There was no excess risk of neurodevelopmental disorders among euploid
children with first-trimester NT 95th -99th percentile. For children with NT >
99th percentile, there were increased risks of intellectual disability (odds
ratio (OR), 6.16 (95% CI, 1.51-25.0), 0.31%) and ASD (OR, 2.48 (95% CI, 1.02
5.99), 0.78%) compared with children with NT < 95th percentile (incidence of
0.05% for intellectual disability and 0.32% for ASD), however, there was no
detected increase in the risk of cerebral palsy (OR, 1.91 (95% CI, 0.61-5.95),
0.47%), epilepsy (OR, 1.51 (95% CI, 0.63-3.66), 0.78%) or febrile seizures (OR,
0.72 (95% CI, 0.44-1.16), 2.65%). CONCLUSIONS: In a large unselected cohort of
euploid children, there was no increased risk of neurodevelopmental disorders
among those with a first-trimester NT 95th -99th percentile. Among euploid
children with first-trimester NT > 99th percentile, there were increased risks of
intellectual disability and ASD, but the absolute risk was reassuringly low (<
1%). Copyright (c) 2016 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 27183962
TI - Play in juvenile mink: litter effects, stability over time, and motivational
heterogeneity.
AB - Mink are potentially ideal for investigating the functions of play: deleterious
effects of early social isolation suggest a crucial developmental role for play;
and huge numbers of highly playful juvenile subjects can be studied on farms. We
collected descriptive data on 186 pairs from 93 litters, half provided with play
eliciting environmental enrichment objects in their home cages, to test three
hypotheses: (1) play frequency is subject to litter effects; (2) relative
playfulness is stable over time; (3) play sub-types share a single, common
motivational basis. We found weak litter effects that were driven by stronger
litter effects on general activity, and weakly stable individual differences in
both total and rough-and-tumble play. Experimentally increasing object play did
not inhibit rough-and-tumble play, showing these sub-types are not motivational
substitutes. Frequencies of these sub-types were also uncorrelated, and changed
differently with time of day and age, further supporting this conclusion.
PMID- 27183963
TI - Self-Employment among Same-Sex and Opposite-Sex Couples in Canada.
AB - This study presents novel evidence on the relationship between sexual orientation
and self-employment. Using data from the 2001 and 2006 Census of Canada and the
2011 Canadian National Household Survey, we explore the propensity for self
employment among same- and opposite-sex couples. We examine the demographic,
human capital, and family characteristics of coupled gay men and lesbians
relative to their coupled heterosexual counterparts to offer potential mechanisms
generating differences in rates of self-employment. Our analysis further
considers occupational variability in the likelihood of self-employment. We find
that gay men are less likely and lesbians more likely than heterosexuals to be
self-employed; however, there is significant variation across occupations. Gay
men are more likely to be self-employed in arts and culture, sales and service,
and natural and applied sciences, but less likely in business, finance, and
health-related occupations. Lesbians are much more likely to be self-employed in
health-related occupations, natural and applied sciences, and arts and culture.
Marriage and having children are significant predictors of self-employment for
coupled heterosexual women but not lesbians. Cette etude presente des evidences
empiriques concernant la relation entre l'orientation sexuelle et le travail
independant. Utilisant des donnees provenant du Recensement du Canada de 2001 et
de 2006, ainsi que l'Enquete nationale aupres des menages (ENM) de 2011, nous
explorons la tendance du travail independant parmi les couples de meme sexe et
ceux de sexe oppose. Ainsi, nous examinons les caracteristiques demographiques,
du capital humain et familiales des couples gais et lesbiens par rapport a leurs
homologues heterosexuels, afin de demontrer une correlation entre l'orientation
sexuelle et la probabilite d'etre travailleur independant - une causation qui
nous semble evident et que nous analysons plus en profondeur. Nous concluons
d'ailleurs que les hommes gais sont moins probables - et les lesbiennes plus
probables - d'etre travailleurs independants que les heterosexuels. Cependant, il
existe des variantes significatives entre les differentes occupations. Les hommes
homosexuels tendent plutot a etre travailleurs independants dans les domaines des
arts et de la culture, la vente et les services, ainsi que les sciences pures et
les sciences naturelles. Par contre, ils le sont moins dans les domaines des
affaires, de la finance et des domaines relies a la sante. De leur cote, les
femmes homosexuelles tendent plutot a etre travailleuses independantes dans les
domaines relies a la sante, aux sciences pures et aux sciences naturelles, ainsi
qu'aux arts et la culture. Finalement, le fait d'etre marie et d'avoir des
enfants sont des indicateurs significatifs du travail independant pour les femmes
en couples heterosexuels, mais pas pour les lesbiennes.
PMID- 27183964
TI - From Porter to Bourdieu: The Evolving Specialty Structure of English Canadian
Sociology, 1966 to 2014.
AB - How has English Canadian sociology changed from 1966 to 2014? Has it become more
intellectually fragmented or cohesive over time? We answer these questions by
analyzing cocitation networks extracted from 7,141 sociology articles published
in 169 journals. We show how the most central early specialties developed largely
in response to John Porter's The Vertical Mosaic. In later decades, the
discipline diversified, fragmented, and then reorganized around a new set of
specialties knit together by the work of Pierre Bourdieu. The discipline was most
intellectually fragmented in periods where multiple specialties were emerging or
declining concurrently (i.e., 1975 to 1984 and 1995 to 2004), and was more
structurally cohesive from 2005 to 2014 than in any previous period. Comment est
ce que la sociologie canadienne-anglaise a-t-elle change entre 1966 et 2014? Est
elle devenue plus intellectuellement fragmentee ou coherente avec le temps? Nous
repondons a ces questions en analysant des reseaux de co-citation qui ont ete
deduits de 7,141 articles publies par 169 journaux. Nous demontrons les
specialites primordiales se sont developpees en reponse de The Vertical Mosaic de
John Porter. Durant les decennies suivantes, la discipline s'est diversifiee,
fragmentee et puis s'est reorganisee autour d'une nouvelle serie de specialites
liees ensemble par le travail de Pierre Bourdieu. La discipline etait la plus
intellectuellement fragmentee durant les periodes ou plusieurs specialites
emergeaient ou declinaient concurremment (par exemple de 1975 a 1985 et de 1995 a
2004). Par contre, elle etait plus coherente que tous les autres periodes entre
2005 et 2014.
PMID- 27183965
TI - The Missing Memory of Canadian Sociology: Reflexive Government and "the Social
Science".
AB - The modest literature on the history of Canadian Sociology takes the appearance
of a named academic discipline as its object. Canadian Sociology is held to have
had some precursors in the 1880s, but really to appear only in the 1920s. It is
described as a foreign import and as an activity first of intellectual
speculation and moral reform. Observational and analytic practice are absent
before 1880. The activities of state agents and government departments in the
social field are not discussed. This article offers a richer account through an
examination of the larger field from which Sociology was extracted, "the social
science," which was practiced actively in colonial Canada from the early
nineteenth century. The social science shaped and was itself shaped by colonial
conditions. The article outlines three interrelated moments in social science to
carry its claims: inventory-making, the emergence of "population-thinking," and
"reflexive government." Attending to the social science underlines the complex
and convoluted relations of sociology with state power. Les rares oeuvrages
academiques portant sur l'histoire de la sociologie canadienne prend pour objet
l'apparition du terme dans le contexte universitaire. Dans cet
optique, ils signalent certains precurseurs de la sociologie canadienne des les
annees 1880, mais en fait ils affirment que cette discipline n'apparait que dans
les annees 1920. Cette discipline est presentee comme une importation academique
et, d'abord et avant tout, comme de la speculation intellectuelle et comme un
projet de reforme morale. D'apres cette vision, les pratiques d'observation et
d'analyse sociales ne semblent pas exister avant 1880, et les acteurs politiques
et administratifs sont absents du terrain. Notre article propose un examen plus
riche du vaste champ duquel la sociologie academique fut arrachee: activement pratiquee au Canada a l'epoque coloniale des le debut du XIXe
siecle. La science sociale forma et fut formee par les conditions sociales et
politiques existant dans la colonie. Notre article expose trois moments
pertinents et inter-relies de la science sociale: la fabrication d'inventaires
sociaux; l'emergence du , et l'exercice du . La reintegration de l'histoire de la sociologie canadienne dans la
science sociale accentue la relation complexe et incontournable entre sociologie
et pouvoir etatique.
PMID- 27183966
TI - Legitimizing Security in the Ivory Tower: Canadian University Corporate Security
Services' Public Quest for Legitimacy.
AB - This article examines how university corporate security (UCS) services engage in
legitimation work in their attempts to make their university communities (i.e.,
faculty, staff, students) and political masters (i.e., university administrators,
boards of governors, senators) believe that they are honest, trustworthy, and
caring and have authority that should be deferred to. This is accomplished
through the analysis of interview and observational data collected as part of a
research project exploring UCS services at five Canadian universities and an
examination of how UCS services at 14 Canadian universities communicate using the
social media service Twitter. These UCS services were found to primarily use
Twitter for the purposes of soliciting or requesting information and for
networking. In communicating through Twitter, UCS services engage in public
legitimation work in which they make claims about and attempt to demonstrate
their expertise, authority, and accountability. This article argues that both UCS
services' particular legitimacy problem (i.e., their possession of both private
and public attributes) and the interactive nature of public legitimation work
create tensions that may serve to disrupt UCS services' ability to attain
legitimacy. Cet article examine la maniere dont les services de securite
d'entreprise a l'universite (SEU) s'engagent a legitimer leurs tentatives de
persuader leurs communautes universitaires (c'est-a-dire le corps professoral, le
personnel et les etudiants) ainsi que la haute administration (c'est-a-dire les
administrateurs de l'universite, le conseil des gouverneurs et les senateurs)
qu'ils sont honnetes, attentifs, dignes de confiance, et qu'ils possedent un
niveau d'autorite auquel quiconque devrait se referer. Ceci sera accompli en
analysant un corpus d'entrevues et d'observations dans le cadre d'un projet de
recherche examinant les services de type SEU dans cinq universites canadiennes,
ainsi qu'une etude sur la maniere dont les services de SEU dans quatorze
universites canadiennes gerent leurs communications sur le reseau de medias
sociaux Twitter. Il a ete etabli que ces services de SEU utilisent principalement
Twitter pour la sollicitation ou la demande d'informations, et pour le
reseautage. En communiquant par Twitter, les services de SEU s'engagent dans un
processus de legitimation par lequel ils revendiquent et tentent de demontrer
leur expertise, autorite, transparence et responsabilite. Cet article propose
l'argument suivant: la question de la legitimite particuliere des services de SEU
(c'est-a-dire leur possession d'attributs a la fois prives et publics) combinee
avec la nature interactive du processus de legitimation publique cree des
tensions qui peuvent en fin de compte perturber la capacite des services de SEU a
atteindre reellement cette legitimite.
PMID- 27183967
TI - Critical Nexus or Chaotic Discipline? Re-Visioning Sociology Again.
PMID- 27183968
TI - Agonist and antagonist bind differently to 5-HT1A receptors during Alzheimer's
disease: A post-mortem study with PET radiopharmaceuticals.
AB - PET imaging studies using 5-HT1A receptor radiotracers show a decreased density
of this receptor in hippocampi of patients with Alzheimer's disease (AD) at
advanced stages. However, current 5-HT1A receptor radiopharmaceuticals used in
neuroimaging are antagonists, thought to bind to 5-HT1A receptors in different
functional states (i.e., both the one which displays high affinity for agonists
and is thought to mediate receptor activation, as well as the state which has low
affinity for agonists). Comparing the PET imaging obtained using an agonist
radiotracer, which binds selectively to functional receptors, with the PET
imaging obtained using an antagonist radiotracer would therefore provide original
information on 5-HT1A receptor impairment during AD. Quantitative autoradiography
using [(18)F]F13640 and [(18)F]MPPF, a 5-HT1A agonist and antagonist,
respectively, was measured in hippocampi of patients with AD (n = 25, at
different Braak stages) and control subjects (n = 9). The neuronal density was
measured in the same tissues by NeuN immunohistochemistry. The specific binding
of both radiotracers was determined by addition of WAY-100635, a selective 5-HT1A
receptor antagonist. The autoradiography distribution of both 5-HT1A PET
radiotracers varied across hippocampus regions. The highest binding density was
in the pyramidal layer of CA1. Incubation with Gpp(NH)p, a non-hydrolysable
analogue of GTP, reduced significantly [(18)F]F13640 binding in hippocampal
regions, confirming its preferential interaction with G-coupled receptors, and
slightly increased [(18)F]MPPF binding. In the CA1 subfield, [(18)F]F13640
binding was significantly decreased at Braak stages I/II (-19%), Braak stages
III/IV (-23%), and Braak stages V/VI (-36%) versus control. In contrast,
[(18)F]MPPF binding was statistically reduced only at the most advanced Braak
stages V/VI compared to control (-33%). Since [(18)F]F13640 and [(18)F]MPPF can
be used in vivo in humans, this neuropharmacological paradigm supports testing
the concept of functional imaging using agonist radiopharmaceuticals in future
clinical studies.
PMID- 27183969
TI - A Fragmentary Archive: Migratory Feelings in Early Anglo-Saxon Women's Letters.
AB - The letters by Anglo-Saxon women in the Boniface correspondence are connected by
cultural practices and emotions centered on the conversion mission that
functioned to maintain connections between the Anglo-Saxon diaspora. A striking
recurring focus of these letters is on loss and isolation, which connects them to
the Old English elegies. Many of the letters describe the writers' traumatic
experiences that result from the death or absence of kin. These are women who
endured the trauma of being left behind when others migrated overseas or who, in
traveling away from their homeland, found themselves isolated in an alien
environment, displaced in time as well as space. This article offers an analysis
of the letters, focusing on the queer temporalities they explore, the queer
emotions they evoke, and the queer kinships that they forge. It argues that the
women's letters represent fragments of an early queer archive of migratory
feelings.
PMID- 27183970
TI - Efficacy and speed of kill of a topically applied formulation of dinotefuran
permethrin-pyriproxyfen against weekly tick infestations with Rhipicephalus
sanguineus (sensu lato) on dogs.
AB - BACKGROUND: Rhipicephalus sanguineus (sensu lato) is a vector of canine
babesiosis, anaplasmosis and ehrlichiosis. In order to reduce the chance of
transmission of these diseases, an ectoparasiticide should rapidly repel or kill
new infestations with this tick. The primary objective of the present study was
to evaluate the treatment and preventive acaricidal efficacy of Vectra(r) 3D
(54.45 mg/ml of dinotefuran, 396.88 mg/ml of permethrin and 4.84 mg/ml of
pyriproxyfen) against R. sanguineus (s.l.) measured at 2, 8, and 48 h after
treatment and weekly re-infestation. METHODS: Twenty-four dogs were each infested
with 50 adult R. sanguineus (s.l.) on Day -7 and allocated to three groups (n =
8) based on tick counts: an untreated control group (Group 1), and two groups
(Groups 2 and 3) treated with Vectra(r)3D. The dogs in each group were infested
with 50 ticks on Day -2. Vectra(r)3D was administered topically to the dogs on
Day 0. Ticks were counted, in situ at 2 and 8 h after treatment on dogs in Groups
1 and 3. Group 3 was then withdrawn from the study and ticks were counted and
removed from the dogs in Groups 1 and 2, 48 h after treatment. On Days 7, 14, 21,
28, 35 and 42, the dogs in Groups 1 and 2 were re-infested with 50 ticks, which
were then counted in situ at 2 and 8 h, and counted and removed at 48 h after re
infestation. RESULTS: Ticks from the initial infestation were visually unaffected
by 2 and 8 h after treatment. However, by 2 h after weekly re-infestation the
arithmetic mean (AM) efficacy of Vectra(r) 3D from Days 7 through 28 ranged from
61.1 to 78.8 %, falling to 60.1 and 47.4 % on Days 35 and 42 respectively. By 8 h
after weekly re-infestation, the AM efficacy ranged from 89.1 to 97.4 % falling
to 81.4 and 69.8 % on Days 35 and 42 respectively. The AM efficacy 48 h after
treatment after the initial infestation was 22.9 % but after weekly re
infestation the efficacy at 48 h ranged from 89.1 to 100.0 %, falling to 86.0 and
81.1 % on Days 35 and 42 respectively. CONCLUSION: Vectra(r) 3D demonstrated
significant efficacy against new infestations of adult R. sanguineus (s.l.) ticks
within 2 h of infestation as compared to the untreated control group and achieved
over 89.1 % efficacy within 8 h of infestation for up to 4 weeks after
administration. These results indicate that Vectra(r) 3D has a rapid and
significant efficacy against new infestations of adult R. sanguineus (s.l.) ticks
and should therefore be considered as part of a strategy against important vector
borne diseases in dogs.
PMID- 27183971
TI - The inner state differences of preterm birth rates in Brazil: a time series
study.
AB - BACKGROUND: Preterm birth is a serious public health problem, as it is linked to
high rates of neonatal and child morbidity and mortality. The prevalence of
premature births has increased worldwide, with regional differences. The
objective of this study was to analyze the trend of preterm births in the state
of Parana, Brazil, according to Macro-regional and Regional Health Offices
(RHOs). METHODS: This is an ecological time series study using preterm births
records from the national live birth registry system of Brazil's National Health
Service - Live Birth Information System (Sinasc), for residents of the state of
Parana, Brazil, between 2000 and 2013. The preterm birth rates was calculated on
a yearly basis and grouped into three-year periods (2000-2002, 2003-2005, 2006
2008, 2009-2011) and one two-year period (2012-2013), according to gestational
age and mother's Regional Health Office of residence. The polynomial regression
model was used for trend analysis. RESULTS: The predominance of preterm birth
rate increased from 6.8 % in 2000 to 10.5 % in 2013, with an average increase of
0.20 % per year (r(2) = 0.89), and a greater share of moderate preterm births (32
to <37 weeks), which increased from 5.8 % to 9 %. The same pattern was observed
for all Macro-regional Health Offices, with highlight to the Northern Macro
Regional Office, which showed the highest average rate of prematurity and average
annual growth during that period (7.55 % and 0.35 %, respectively). The trend
analysis of preterm birth rates according to RHO showed a growing trend for
almost all RHOs - except for the 7(th) RHO where a declining trend was observed (
0.95 a year); and in the 20(th), 21(st) and 22(nd) RHOs which remained unchanged.
In the last three-year of the study period (2011-2013), no RHO showed preterm
birth rates below 7.3 % or prevalence of moderate preterm birth below 9.4 %.
CONCLUSIONS: The results show an increase in preterm births with differences
among Macro-regional and RHOs, which indicate the need to improve actions during
the prenatal period according to the specificities of each region.
PMID- 27183973
TI - Response of the protistan community of a rice field soil to different oxygen
tensions.
AB - Heterotrophic protists in soil are grazers that control the biomass and community
structure of bacteria, thereby enhancing nutrient recycling. Oxygen regulates the
microeukaryotic community, but little is known about its response to microoxic
conditions. Here we studied the impact of oxygen tension on culturable
heterotrophic protists in a rice field soil. The number of protists, dominated by
amoeba and flagellates, under oxygen tensions ranging from atmospheric level
(21%) to below the Pasteur point (0.08%) were similar (10(4) cells g(-1) dry
soil); no protists were detected under anoxic conditions. DGGE fingerprinting of
microeukaryotes demonstrated a shift in the community structure depending on the
oxygen tension during growth. Both common and specific amoeba and flagellates
were identified at different oxygen tensions. Amoeba isolates (Acanthamoeba sp.
and Hartmannella sp.) grew to the same extent under the oxygen tensions tested;
the Acanthamoeba sp. isolate migrated more slowly under the lowest tension
(0.08%). Our results demonstrated that amoeba and flagellates in soil adapt to a
wide range of oxygen tensions with a shift in community structure. This suggests
an ability to search for food in soil environments such as the oxic-anoxic
interface of flooded soil or inside soil aggregates that are inaccessible to
ciliates.
PMID- 27183972
TI - Knowledge about electronic cigarettes and its perception: a community survey,
Egypt.
AB - BACKGROUND: Electronic cigarettes are promoted as safer products than traditional
cigarettes and as smoking cessation devices. Awareness and perception are key
elements for the adoption of new habits. Little is known about electronic
cigarettes and public opinions towards it. This study aims to identify the
prevalence of knowledge about electronic cigarettes, its perception, and use
among Egyptian population. METHODS: An observational cross-sectional study using
self-administered questionnaire in Arabic language was conducted between March
and April 2015 among a sample of Egyptian population aged 15-75 years (N = 1239).
We compared between respondent's who know e-cigarettes and those who do not know
it. RESULTS: More than half (57.5 %) of the respondents had heard about e
cigarettes, 51.8 % were non-smokers and nobody of them reported use of e
cigarettes. There were statistically significant differences between those who
know and those who do not know e-cigarettes regarding age, educational levels and
history of smoking. Among those who know e-cigarettes, 41.6 % believed that e
cigarettes help smoking cessation and 31.9 % believed it is less harmful than
traditional cigarettes. CONCLUSIONS: A considerable level of knowledge about e
cigarettes is found among young people aged 15-39 years. E-cigarettes were
perceived as less harmful than traditional cigarettes or a smoking cessation aid.
None of the respondents reported use of e-cigarettes.
PMID- 27183974
TI - Effects of inter and intraspecific diversity and genetic divergence of aquatic
fungal communities on leaf litter decomposition-a microcosm experiment.
AB - Freshwater fungi play a key role in plant litter decomposition and have been used
to investigate the relationships between biodiversity and ecosystem functioning
in streams. Although there is evidence of positive effects of biodiversity on
ecosystem processes, particularly on biomass produced, some studies have shown
that neutral or negative effects may occur. We manipulated the composition and
the number of species and genotypes in aquatic fungal assemblages creating
different levels of genetic divergence to assess effects of fungal diversity on
biomass produced and leaf decomposition. Generally, diversity effects on fungal
biomass produced were positive, suggesting complementarity between species, but
in assemblages with more species positive diversity effects were reduced.
Genotype diversity and genetic divergence had net positive effects on leaf mass
loss, but in assemblages with higher diversity leaf decomposition decreased. Our
results highlight the importance of considering multiple biodiversity measures
when investigating the relationship between biodiversity and ecosystem
functioning.
PMID- 27183975
TI - The Effect of Breakfast Type on Total Daily Energy Intake and Body Mass Index
Among Thai School Children.
AB - The study investigated the association between breakfast types consumed, daily
energy intake, and body mass index for age Z-score (BAZ). Cross-sectional data
from 1258 children aged 7 to 12.9 years were analyzed for breakfast type,
nutrient intakes, BAZ, and proportion of overweight or obesity. Analysis of
covariance was used to compare energy and nutrient intakes, BAZ, and proportion
of overweight/obese children between breakfast groups. Only 19% of children had
adequate energy intake from breakfast. Those consuming snacks had a significantly
lower BAZ (Z = -0.73), with 5% of them being overweight/obese. Those consuming
beverages and desserts had the lowest total daily energy intake (1314 kcal) and
lowest protein intake (8.4 g). The results suggest that breakfast type is
associated with daily energy intake and BAZ. Most breakfasts are not adequate.
School-based nutrition education programs involving families, teachers, and
health professionals can contribute to improve this situation.
PMID- 27183976
TI - Serological Prevalence of Leptospirosis Among Rural Communities in the Rejang
Basin, Sarawak, Malaysia.
AB - Leptospirosis is an important zoonotic disease globally and is endemic in
Malaysia. A study was conducted in the Rejang basin of Sarawak from June 2011 to
May 2013 to determine the seroprevalence of leptospirosis among the communities
and dominant infecting Leptospira serovars. A total of 508 human sera were
analyzed using ELISA and the microscopic agglutination test (MAT). The
seroprevalence of leptospirosis in the study area was 37.4%, with the highest
prevalence in Kapit division. More women were positive for leptospirosis (59.5%),
and the mean age of seropositive individuals was 42.2 (SD = 18.7) years. Antibody
titers between 1:50 and 1:1600 were reported, and serovars djasiman (22.1%),
shermani (13.2%), and pomona (7.9%) predominated, with varied distribution
between geographical locations. This study highlighted the endemicity and
diversity of existing Leptospira serovars within the community. This information
should be communicated to local health personnel and communities at risk, and
rapid diagnostic capability should be made available to local health facilities.
PMID- 27183977
TI - Invasive meningococcal disease in three siblings with hereditary deficiency of
the 8(th) component of complement: evidence for the importance of an early
diagnosis.
AB - BACKGROUND: Deficiency of the eighth component of complement (C8) is a very rare
primary immunodeficiency, associated with invasive, recurrent infections mainly
caused by Neisseria species. We report functional and immunochemical C8
deficiency diagnosed in three Albanian siblings who presented with severe
meningococcal infections at the age of 15 years, 4 years and 17 months,
respectively. The youngest suffered serious complications (necrosis of fingers
and toes requiring amputation). METHODS: Functional activity of the classical,
alternative and mannose-binding lectin complement pathways was measured in serum
from the 3 siblings and their parents (37-year-old woman and 42-year-old man).
Forty healthy subjects (20 males and 20 females aged 4-38 years) served as normal
controls. Serum complement factors were measured by haemolytic assays and
immunoblotting. Sequence DNA analysis of the C8B gene was performed. RESULTS:
Analyses of the three complement pathways revealed no haemolytic activity and
also absence of C8beta in serum samples from all three siblings. The genetic
analysis showed that the three siblings were homozygous for the p.Arg428*
mutation in the C8B gene on chromosome 1p32 (MIM 120960). The parents were
heterozygous for the mutation and presented normal complement activities. A 2
year follow-up revealed no further infective episodes in the siblings after
antibiotic prophylaxis and meningococcal vaccination. CONCLUSIONS: Complement
deficiencies are rare and their occurrence is often underestimated. In presence
of invasive meningococcal infection, we highlight the importance of complement
screening in patients and their relatives in order to discover any genetic
defects which would render necessary prophylaxis to prevent recurrent infections
and severe complications.
PMID- 27183978
TI - Transmasculine individuals' experiences with lactation, chestfeeding, and gender
identity: a qualitative study.
AB - BACKGROUND: Transmasculine individuals are people who were assigned as female at
birth, but identify on the male side of the gender spectrum. They might choose to
use and engage their bodies to be pregnant, birth a baby, and chestfeed. This
study asked an open research question, "What are the experiences of
transmasculine individuals with pregnancy, birthing, and feeding their newborns?"
METHODS: Participants who self-identified as transmasculine and had experienced
or were experiencing pregnancy, birth, and infant feeding were recruited through
the internet and interviewed. Interviews were transcribed verbatim. We used
interpretive description methodology to analyze the data. Our analysis was guided
by our awareness of concepts and history important to the transgender community.
RESULTS: Out of 22 participants, 16 chose to chestfeed for some period of time,
four participants did not attempt chestfeeding, and two had not reached the point
of infant feeding (i.e., were still pregnant or had a miscarriage). Nine of the
22 study participants had chest masculinization surgery before conceiving their
babies. Six participants had the surgery after their children were born, five
desired the surgery in the future, and two did not want it at all. Chest care,
lactation, and chestfeeding in the context of being a transgender person are
reported in this paper. The participants' experiences of gender dysphoria, chest
masculinization surgery before pregnancy or after weaning, accessing lactation
care as a transmasculine person, and the question of restarting testosterone
emerged as data. We present the participants' experiences in a chronological
pattern with the categories of before pregnancy, pregnancy, postpartum (6 weeks
post birth), and later stage (beyond 6 weeks). CONCLUSIONS: The majority of
participants chose to chestfeed while some did not due to physical or mental
health reasons. Care providers should communicate an understanding of gender
dysphoria and transgender identities in order to build patient trust and provide
competent care. Further, health care providers need to be knowledgeable about
lactation and chest care following chest masculinization surgery and during
binding, regardless of the chosen feeding method and through all stages: before
pregnancy, during pregnancy, postpartum, and afterward.
PMID- 27183980
TI - The Use of Stilbene Scaffold in Medicinal Chemistry and Multi- Target Drug
Design.
AB - The stilbene scaffold is a basic element for a number of biologically active
natural and synthetic compounds, and it is considered as a privileged structure.
Stilbenes exemplified by resveratrol, combretastatin A-4 and pterostilbene are of
significant interest for drug research and development because of their potential
in therapeutic and preventive application. Resveratrol, present in grapes and
other food products, plays a role in the prevention of several human pathological
processes and has been suggested as an anticancer agent. Moreover, recent
evidence has revealed its potential effect on the aging process, diabetes and
neurological dysfunction. Combretastatin A-4, from the bark of South African bush
willow Combretum caffrum, also shows significant antitumor activity.
Pterostilbene is closely related to resveratrol, sharing the same unique
therapeutic potential as anti-inflammatory, antineoplastic and antioxidant agent.
Therefore, research and development of stilbene-based medicinal chemistry have
become rapidly evolving and increasingly active topics covering almost the whole
range of therapeutic fields. In the present review, we provide an overview of the
role of stilbenes in medicinal chemistry. In this context, we highlight the
chemical methodologies adopted for the synthesis of stilbene derivatives, and
outline the successful design of novel stilbene based hybrids in the field of
cancer, Alzheimer's and other relevant diseases. This information may be useful
in further design of stilbene-based molecules as new leads for the development of
novel agents with clinical potential or as effective chemical probes to dissect
biological processes.
PMID- 27183979
TI - Transcriptome analysis reveals self-incompatibility in the tea plant (Camellia
sinensis) might be under gametophytic control.
AB - BACKGROUND: Self-incompatibility (SI) is under genetic control and prevents
inbreeding depression in angiosperms. SI mechanisms are quite complicated and
still poorly understood in many plants. Tea (Camellia sinensis L.) belonging to
the family of Theaceae, exhibits high levels of SI and high heterozygosity.
Uncovering the molecular basis of SI of the tea plant may enhance breeding and
simplify genomics research for the whole family. RESULTS: The growth of pollen
tubes following selfing and crossing was observed using fluorescence microscopy.
Self-pollen tubes grew slower than cross treatments from 24 h to 72 h after
pollination. RNA-seq was employed to explore the molecular mechanisms of SI and
to identify SI-related genes in C. sinensis. Self and cross-pollinated styles
were collected at 24 h, 48 h and 72 h after pollination. Six RNA-seq libraries
(SP24, SP48, SP72, CP24 CP48 and CP72; SP = self-pollinated, CP = cross
pollinated) were constructed and separately sequenced. In total, 299.327 million
raw reads were generated. Following assembly, 63,762 unigenes were identified,
and 27,264 (42.76 %) unigenes were annotated in five public databases: NR, KOG,
KEGG, Swiss-Port and GO. To identify SI-related genes, the fragments per kb per
million mapped reads (FPKM) values of each unigene were evaluated. Comparisons of
CP24 vs. SP24, CP48 vs. SP48 and CP72 vs. SP72 revealed differential expression
of 3,182, 3,575 and 3,709 genes, respectively. Consequently, several ubiquitin
mediated proteolysis, Ca(2+) signaling, apoptosis and defense-associated genes
were obtained. The temporal expression pattern of genes following CP and SP was
analyzed; 6 peroxidase, 1 polyphenol oxidase and 7 salicylic acid biosynthetic
process-related genes were identified. The RNA-seq data were validated by qRT-PCR
of 15 unigenes. Finally, a unigene (CL25983Contig1) with strong homology to the S
RNase was analyzed. It was mainly expressed in styles, with dramatically higher
expression in self-pollinated versus cross-pollinated tissues at 24 h post
pollination. CONCLUSIONS: The present study reports the transcriptome of styles
after cross- and self-pollination in tea and offers novel insights into the
molecular mechanism behind SI in C. sinensis. We believe that this RNA-seq
dataset will be useful for improvement in C. sinensis as well as other plants in
the Theaceae family.
PMID- 27183981
TI - Recent Trends in the Discovery of Small Molecule Blockers of Sodium Channels.
AB - Voltage-gated sodium channels (VGSC) are responsible for the selective influx of
sodium ions in excitable cells. A number of physiological phenomena such as
muscle contraction, pain sensation, processing of neuronal information in the
brain as well as neuronal regulation of peripheral tissues rely on the activity
of these channels. On the other hand, abnormal activity of VGSC are implicated in
several pathological processes (e.g., cardiac arrhythmias, epilepsy, and chronic
pain) which in some cases (e.g., channelopathies such as myotonias) are linked to
specific gene mutations. As a result, VGSC have never stopped attracting the
attention of medicinal chemists and the quest for novel drugs to treat these ion
channels-associated diseases continues. In this review, VGSC blocking agents
reported in the last lustrum are scrutinised with the aim to give a medicinal
chemistry perspective on the most interesting compounds classified on the basis
of (i) potential therapeutic application, (ii) targeted VGSC isoforms, and (iii)
chemical scaffolds. Finally, the clinical potential of selected drug candidates
from each chemotype is evaluated by comparing their ligand efficiency metrics.
Possible routes for improvement of these preclinical candidates are also
discussed.
PMID- 27183982
TI - Naturally Occurring Wound Healing Agents: An Evidence-Based Review.
AB - Nature constitutes a pool of medicines for thousands of years. Nowadays, trust in
nature is increasingly growing, as many effective medicines are naturally
derived. Over the last decades, the potential of plants as wound healing agents
is being investigated. Wounds and ulcers affect the patients' life quality and
often lead to amputations. Approximately 43,000,000 patients suffer from diabetic
foot ulcers worldwide. Annually, $25 billion are expended for the treatment of
chronic wounds, with the number growing due to aging population and increased
incidents of diabetes and obesity. Therefore a timely, orderly and effective
wound management and treatment is crucial. This paper aims to systematically
review natural products, mainly plants, with scientifically well documented wound
healing activity, focusing on articles based on animal and clinical studies
performed worldwide and approved medicinal products. Moreover, a brief
description of the wound healing mechanism is presented, to provide a better
understanding. Although a plethora of natural products are in vitro and in vivo
evaluated for wound healing activity, only a few go through clinical trials and
even fewer launch the market as approved medicines. Most of them rely on
traditional medicine, indicating that ethnopharmacology is a successful strategy
for drug development. Since only 6% of plants have been systematically
investigated pharmacologically, more intensified efforts and emerging
advancements are needed to exploit the potentials of nature for the development
of novel medicines. This paper aims to provide a reliable database and matrix for
thorough further investigation towards the discovery of wound healing agents.
PMID- 27183983
TI - Antiarrhythmic Mexiletine: A Review on Synthetic Routes to Racemic and Homochiral
Mexiletine and its Enantioseparation.
AB - Mexiletine is an oral class IB antiarrhythmic agent. Although it was primarily
studied for the treatment of ventricular arrhythmias, it has been demonstrated to
be useful also for the treatment of chronic painful diabetic neuropathy,
neuropathic pain, skeletal muscle channelopathies, and recently amyotrophic
lateral sclerosis. This review presents a detailed report on the different
synthetic routes to racemic and homochiral mexiletine developed in the last
decades, as well as analytical studies regarding enantioseparation methods and
enantiomeric excess determination. Finally, some analogues of mexiletine reported
in the literature, most of which along with pharmacological studies, have been
mentioned.
PMID- 27183984
TI - G-Quadruplex Aptamers to Human Thrombin Versus Other Direct Thrombin Inhibitors:
The Focus on Mechanism of Action and Drug Efficiency as Anticoagulants.
AB - Thrombin is a key enzyme of blood coagulation system which has multiple functions
including pro- and anticoagulant, platelet aggregating and inflammatory
activities. Unsurprisingly, this enzyme has been a target for anticoagulant drug
development for decades. Among the most interesting direct thrombin inhibitors
with intravenous administration route are the following ones: 1) hirudins,
proteins with bivalent binding mode to the thrombin, 2) bivalirudin, the peptide
with bivalent binding mode to the thrombin, 3) argatroban, the chemical that
binds to the thrombin active site, and 4) G-quadruplex DNA aptamers, structured
oligonucleotides with an affinity to protein-binding site of the thrombin.
Efficiency of all these inhibitors has been studied in vivo in preclinical and
clinical trials, as well as in vitro with various tests, allowing to compare them
thoroughly. In the review three levels of comparison were used to highlight the
features of each inhibitor: 1) thrombin inhibition constants as a characteristic
of inhibitor potency in simple enzymatic system; 2) inhibition of fibrin fiber
formation and thrombin generation in coagulation cascade as a characteristic of
anticoagulant potency in human blood plasma; and 3) therapeutic doses used and
therapeutic profiles obtained after intravenous administration into animals and
humans. The data clearly demonstrate weak and strong aspects of thrombin binding
aptamers providing a solid background for further novel anticoagulant
development.
15.5 U/L. With the application of
this threshold, raised GGT levels had 83% sensitivity (95% CI 0.70-0.90) and
67.5% specificity (95% CI 0.52-0.79), for the diagnosis of PCOS. In our study,
GGT levels were elevated in the PCOS patients independent of BMI and could thus
be an important marker of PCOS.
PMID- 27183993
TI - Is surgery still the optimal treatment for stage I non-small cell lung cancer?
AB - There is debate about what is the optimal treatment for operable stage I non
small cell lung cancer (NSCLC). Although surgery has been the standard of care
for centuries, recent retrospective and prospective randomized studies indicated
that stereotactic ablative radiotherapy (SABR) could be an option for this group
of patients with similar survival and less toxicities. However, to change the
standard of care, more studies are needed and participating ongoing larger
randomized studies is the best approach to resolve this controversy.
PMID- 27183994
TI - Production of high concentration of L-lactic acid from cellobiose by thermophilic
Bacillus coagulans WCP10-4.
AB - Thermophilic Bacillus coagulans WCP10-4 is found to be able to convert cellobiose
to optically pure L-lactic acid. Its beta-glucosidase activity is detected in
whole cells (7.3 U/g dry cells) but not in culture medium, indicating the
intracellular location of the enzyme. Its beta-glucosidase activity is observed
only when cultured using cellobiose as the sole carbon source, indicating that
the expression of this enzyme is tightly regulated in cells. The enzyme is most
active at 50 degrees C and pH 7.0. The supplement of external beta-glucosidase
during fermentation of cellobiose (106 g/l) by B. coagulans WCP10-4 increased the
fermentation time from 21 to 23 h and decreased the lactic acid yield from 96.1
to 92.9 % compared to the control without beta-glucosidase supplementation. B.
coagulans WCP10-4 converted 200 g/l of cellobiose to 196.3 g/l of L-lactic acid
at a yield of 97.8 % and a productivity of 7.01 g/l/h. This result shows that B.
coagulans WCP10-4 is a highly efficient strain for converting cellobiose to L
lactic acid without the need of supplementing external beta-glucosidases.
PMID- 27183996
TI - Identification and characterization of a long-chain fatty acid transporter in the
sophorolipid-producing strain Starmerella bombicola.
AB - The sophorolipid-producing strain Starmerella bombicola CGMCC 1576 has a
remarkable ability to produce sophorolipids (SLs) under the acidic and lactonic
forms with almost equal proportion. In this study, we found the gene encoding for
the long-chain acyl-CoA synthetase (ALCS). This enzyme was putatively identified
as a membrane-bound long-chain fatty acid transport protein and contributed to
the uptake of long-chain fatty acids. Disruption of the alcs gene resulted in an
impaired growth of the alcs-deleted mutant in minimal media containing different
fatty acids (C12:0, C14:0, C16:0, C18:0, C22:0, and C24:0) as the sole carbon
source and led to a dramatic decrease in the uptake of the fluorescent-tagged
long-chain fatty acid analogue-boron dipyrromethene difluoride dodecanoic acid
(BODIPY-3823). The absence of this alcs gene caused obvious phenotype changes.
Compared with the wild-type strain, the yield and compositions of the SLs
produced by the gene-deleted mutant of ?alcs::six showed almost no lactonic form
of SLs, and the acidic SLs were composed of medium-chain. The ALCS enzyme was
heterologously expressed in Escherichia coli JM109 (DE3) with pMAL-c2x-alcs. The
enzyme was purified through a maltose-binding protein (MBP) affinity
chromatography column and was confirmed to be homogeneous by SDS-PAGE. The
recombinant enzyme could catalyze the formation of the long-chain acyl-CoA when
the long-chain fatty acids and the coenzyme A were used as substrates.
PMID- 27183997
TI - Innate immune response, intestinal morphology and microbiota changes in
Senegalese sole fed plant protein diets with probiotics or autolysed yeast.
AB - The effects of using plant ingredients in Senegalese sole (Solea senegalensis)
diet on immune competence and intestine morphology and microbial ecology are
still controversial. Probiotics or immunostimulants can potentially alter the
intestinal microbiota in a way that protects fish against pathogens. The current
study aimed to examine the intestine histology and microbiota and humoral innate
immune response in juvenile sole fed diets with low (35 %) or high (72 %) content
of plant protein (PP) ingredients supplemented with a multispecies probiotic
bacteria or autolysed yeast. Fish fed the probiotic diet had lower growth
performance. Lysozyme and complement activities were significantly higher in fish
fed PP72 diets than in their counterparts fed PP35 diets after 17 and 38 days of
feeding. At 2 days of feeding, fish fed unsupplemented PP72 showed larger
intestine section area and longer villus than fish fed unsupplemented PP35. At 17
days of feeding, fish fed unsupplemented PP72 showed more goblet cells than the
other dietary groups, except the group fed yeast supplemented PP35 diet. High
dietary PP level, acutely stimulate fish innate immune defence of the fish after
2 and 17 days of feeding. However, this effect does not occur after 73 days of
feeding, suggesting a habituation to dietary treatments and/or immunosuppression,
with a reduction in the number of the goblet cells. Fish fed for 38 days with
diets supplemented with autolysed yeast showed longer intestinal villus. The
predominant bacteria found in sole intestine were Vibrio sp. and dietary
probiotic supplementation caused a reduction in Vibrio content, regardless of the
PP level.
PMID- 27183998
TI - Effects of volatile organic compounds produced by Bacillus amyloliquefaciens on
the growth and virulence traits of tomato bacterial wilt pathogen Ralstonia
solanacearum.
AB - The production of volatile organic compounds (VOCs) by microbes is an important
characteristic for their selection as biocontrol agents against plant pathogens.
In this study, we identified the VOCs produced by the biocontrol strain Bacillus
amyloliquefaciens T-5 and evaluated their impact on the growth and virulence
traits of tomato bacterial wilt pathogen Ralstonia solanacearum. The results
showed that the VOCs of strain T-5 significantly inhibited the growth of R.
solanacearum in agar medium and in soil. In addition, VOCs significantly
inhibited the motility traits, root colonization, biofilm formation, and
production of antioxidant enzymes and exopolysaccharides by R. solanacearum.
However, no effect of VOCs on the production of hydrolytic enzymes by R.
solanacearum was observed. The strain T-5 produced VOCs, including benzenes,
ketones, aldehydes, alkanes, acids, and one furan and naphthalene compound; among
those, 13 VOCs showed 1-10 % antibacterial activity against R. solanacearum in
their produced amounts by T-5; however, the consortium of all VOCs produced on
agar medium, in sterilized soil, and in natural soil showed 75, 62, and 85 %
growth inhibition of R. solanacearum, respectively. The real-time PCR analysis
further confirmed the results when the expression of different virulence- and
metabolism-related genes in R. solanacearum cells was decreased after exposure to
the VOCs of strain T-5. The results of this study clearly revealed the
significance of VOCs in the control of plant pathogens. This information would
help to better comprehend the microbial interactions mediated by VOCs in nature
and to develop safer strategies to control plant disease.
PMID- 27183995
TI - Ploidy influences the functional attributes of de novo lager yeast hybrids.
AB - The genomes of hybrid organisms, such as lager yeast (Saccharomyces cerevisiae *
Saccharomyces eubayanus), contain orthologous genes, the functionality and effect
of which may differ depending on their origin and copy number. How the parental
subgenomes in lager yeast contribute to important phenotypic traits such as
fermentation performance, aroma production, and stress tolerance remains poorly
understood. Here, three de novo lager yeast hybrids with different ploidy levels
(allodiploid, allotriploid, and allotetraploid) were generated through
hybridization techniques without genetic modification. The hybrids were
characterized in fermentations of both high gravity wort (15 degrees P) and very
high gravity wort (25 degrees P), which were monitored for aroma compound and
sugar concentrations. The hybrid strains with higher DNA content performed better
during fermentation and produced higher concentrations of flavor-active esters in
both worts. The hybrid strains also outperformed both the parent strains. Genome
sequencing revealed that several genes related to the formation of flavor-active
esters (ATF1, ATF2 EHT1, EEB1, and BAT1) were present in higher copy numbers in
the higher ploidy hybrid strains. A direct relationship between gene copy number
and transcript level was also observed. The measured ester concentrations and
transcript levels also suggest that the functionality of the S. cerevisiae- and
S. eubayanus-derived gene products differs. The results contribute to our
understanding of the complex molecular mechanisms that determine phenotypes in
lager yeast hybrids and are expected to facilitate targeted strain development
through interspecific hybridization.
PMID- 27183999
TI - Multi-breed genome-wide association study reveals heterogeneous loci associated
with loin eye area in pigs.
AB - Numerous quantitative trait loci (QTL) for loin eye area had been identified by
linkage mapping studies, but the lack of their precise position hinders their
application in the pig breeding industry. To map QTL for loin eye area to a
precise genomic region, we conducted a genome-wide association study (GWAS) using
Illumina 60 K PorcineSNP60 Beadchip in four swine populations: 819 F2 pigs, 273
Laiwu pigs, 434 Sutai pigs, and 326 Erhualian pigs. In total, 26 single
nucleotide polymorphisms (SNPs) deposited on seven chromosomes associated with
loin eye area were identified, 11 of which surpassed the genome-wide significant
threshold; of the 11 SNPs, seven located on SSC2 in F2 pigs and four located on
SSC12 and SSC18 in Laiwu pigs. Of note, all of the identified QTL were breed
specific and no common QTL was identified across the four populations in our
study. These findings not only confirmed a previous QTL on SSC2 harboring the
candidate gene insulin-like growth factor 2 (IGF2), but also identified some
novel candidate genes, far upstream element binding protein 3 (FUBP3), myosin
heavy chain (MYH) family, leucine-rich repeats and guanylate kinase domain
containing (LRGUK). Our study will contribute to the further identification of
the causal mutation underlying these QTL and improve our knowledge of the complex
genetic architecture for loin eye area in pigs.
PMID- 27184000
TI - Binding, Antioxidant and Anti-proliferative Properties of Bioactive Compounds of
Sweet Paprika (Capsicum annuum L.).
AB - The scope of this research was to determine the bioactive composition,
antioxidant, binding, and anti-proliferative properties of red sweet paprika
growing under artificial light. The amounts of carotenoids, chlorophyll,
polyphenols, tannins, and flavonoids in red paprika (RP), cultivated in Korea,
before and after light treatments under high pressure sodium (HPS) and lighting
emitting plasma (LEP) lamps (RPControl, RPHPS, RPLEP), were analyzed in water (W)
and ethanolic extracts (Et). Spectroscopic, radical scavenging assays,
fluorescence and cytotoxicity measurements were applied. The results of this
study showed that total chlorophyll and carotenes were the highest in RPHPS
(10.50 +/- 1.02 and 33.90 +/- 3.26 MUg/g dry weight (DW)). The strongest
antioxidant capacity (MUM TE/g DW) in a 2, 2'-azino-bis (3-ethyl-benzothiazoline
6-sulfonic acid) diammonium salt (ABTS(*+)) assay was in RPControlEt (24.34 +/-
2.36), in a ferric-reducing/antioxidant power (FRAP) assay in RPHPSW (27.08 +/-
2.4) and in a cupric reducing antioxidant (CUPRAC) in RPLEPW (70.99 +/- 7.11).
The paprika ethanolic extracts showed lower values in their bioactivity than the
water ones. The binding and cytotoxicity abilities of extracted polyphenols
correlated with their amounts. LEP treatment is better for plant growth
characteristics than other conventional treatments. The investigated paprika
samples can be used as a source of antioxidants.
PMID- 27184003
TI - First-principle investigation on growth patterns and properties of cobalt-doped
lithium nanoclusters.
AB - A systematic theoretical investigation on cobalt lithium clusters LinCo [1-12]
was performed with a DFT approach. The location of global minima and structural
evolution were carried out using the partical swarm optimization method. Li6Co is
the transition structure in going from low-coordinated structures to three
dimensional torispherical structures with a cobalt atom enclosed by lithium
atoms. Maxima of ?2 E and E b for LinCo were found at n = 3, 6, 8, 10, indicating
that these clusters possess higher relative stability than their neighbors. In
comparison with small clusters, n = 1-6, the greater electron transfer from Li-2s
to Co-3d within cage-like clusters LinCo (n = 7-12) strengthens the bonding
effect between Lin and Co, which is reflected in the Wiberg bond index of Co and
atomic binding energy analysis. AdNDP analysis verified the presence of both
Lewis bonding elements (1c-2e objects) and delocalized bonding elements (6c-2e,
9c-2e and 10c-2e bonds). It is hoped that this theoretical work will provide
favorable information to help understand the influence of dopant transition metal
atoms on the properties of lithium-based materials.
PMID- 27184002
TI - QM/MM investigation of the catalytic mechanism of angiotensin-converting enzyme.
AB - Angiotensin-converting enzyme (ACE) converts angiotensin I to angiotensin II and
degrades bradykinin and other vasoactive peptides. ACE inhibitors are used to
treat diseases such as hypertension and heart failure. It is thus highly
desirable to understand the catalytic mechanism of ACE, as this should facilitate
the design of more powerful and selective ACE inhibitors. ACE exhibits two
different active domains, the C-domain and the N-domain. In this work, we
systematically investigated the inhibitor- and substrate-binding patterns in the
N-domain of human ACE using a combined quantum mechanical and molecular
mechanical approach. The hydrolysis of hippuryl-histidyl-leucine (HHL) as
catalyzed by the N-domain of human somatic ACE was explored, and the effects of
chloride ion on the overall reaction were also investigated. Two models, one with
and one without a chloride ion at the first binding position, were then designed
to examine the chloride dependence of inhibitor-substrate binding and the
catalytic mechanism. Our calculations indicate that the hydrolysis reaction
follows a stepwise general base/general acid catalysis path. The estimated mean
free energy barrier height in the two models is about 15.6 kcal/mol, which agrees
very well with the experimentally estimated value of 15.8 kcal/mol. Our
simulations thus suggest that the N-domain is in a mixed form during ACE
catalyzed hydrolysis, with the single-chloride-ion and the double-chloride-ion
forms existing simultaneously. Graphical Abstract Superposition of ACE C- and N-
domains.
PMID- 27184001
TI - Indoor Allergens and Allergic Respiratory Disease.
AB - PURPOSE OF REVIEW: The purpose of this review is to evaluate the most recent
findings on indoor allergens and their impact on allergic diseases. RECENT
FINDINGS: Indoor allergens are present inside buildings (home, work environment,
school), and given the chronic nature of the exposures, indoor allergies tend to
be associated with the development of asthma. The most common indoor allergens
are derived from dust mites, cockroaches, mammals (including wild rodents and
pets), and fungi. The advent of molecular biology and proteomics has led to the
identification, cloning, and expression of new indoor allergens, which have
facilitated research to elucidate their role in allergic diseases. This review is
an update on new allergens and their molecular features, together with the most
recent reports on their avoidance for allergy prevention and their use for
diagnosis and treatment. Research progress on indoor allergens will result in the
development of new diagnostic tools and design of coherent strategies for
immunotherapy.
PMID- 27184004
TI - Formation of the Si-B bond: insertion reactions of silylenes into B-X(X = F, Cl,
Br, O, and N) bonds.
AB - The insertion reactions of the silylene H2Si with H2BXHn-1 (X = F, Cl, Br, O, N;
n = 1, 1, 1, 2, 3) have been studied by DFT and MP2 methods. The calculations
show that the insertions occur in a concerted manner, forming H2Si(BH2)(XHn-1).
The essences of H2Si insertions with H2BXHn-1 are the transfers of the sigma
electrons on the Si atom to the positive BH2 group and the electrons of X into
the empty p orbital on the Si atom in H2Si. The order of reactivity in vacuum
shows the barrier heights increase for the same-family element X from up to down
and the same-row element X from right to left in the periodic table. The energies
relating to the B-X bond in H2BXHn-1, and the bond energies of Si-X and Si-B in
H2Si(BH2)(XHn-1) may determine the preference of insertions of H2Si into B-X
bonds for the same-column element X or for the same-row element X. The insertion
reactions in vacuum are similar to those in solvents, acetone, ether, and THF.
The barriers in vacuum are lower than those in solvents and the larger polarities
of solvents make the insertions more difficult to take place. Both in vacuum and
in solvents, the silylene insertions are thermodynamically exothermic. Graphical
Abstract The insertion process of H2Si and H2BXHn-1(X = F, Cl, Br, O, and N; n =
1, 1 , 1, 2, 3).
PMID- 27184005
TI - Cuticle lipids on heteromorphic leaves of Populus euphratica Oliv. growing in
riparian habitats differing in available soil moisture.
AB - Populus euphratica is an important native tree found in arid regions from North
Africa and South Europe to China, and is known to tolerate many forms of
environmental stress, including drought. We describe cuticle waxes, cutin and
cuticle permeability for the heteromorphic leaves of P. euphratica growing in two
riparian habitats that differ in available soil moisture. Scanning electron
microscopy revealed variation in epicuticular wax crystallization associated with
leaf type and site. P. euphratica leaves are dominated by cuticular wax alkanes,
primary-alcohols and fatty acids. The major cutin monomers were 10,16-diOH C16:0
acids. Broad-ovate leaves (associated with adult phase growth) produced 1.3- and
1.6-fold more waxes, and 2.1- and 0.9-fold more cutin monomers, than lanceolate
leaves (associated with juvenile phase growth) at the wetter site and drier site,
respectively. The alkane-synthesis-associated ECERIFERUM1 (CER1), as well as ABC
transporter- and elongase-associated genes, were expressed at much higher levels
at the drier than wetter sites, indicating their potential function in elevating
leaf cuticle lipids in the dry site conditions. Higher cuticle lipid amounts were
closely associated with lower cuticle permeability (both chlorophyll efflux and
water loss). Our results implicate cuticle lipids as among the xeromorphic traits
associated with P. euphratica adult-phase broad-ovate leaves. Results here
provide useful information for protecting natural populations of P. euphratica
and their associated ecosystems, and shed new light on the functional interaction
of cuticle and leaf heterophylly in adaptation to more arid, limited-moisture
environments.
PMID- 27184006
TI - Medication errors room: a simulation to assess the medical, nursing and pharmacy
staffs' ability to identify errors related to the medication-use system.
AB - RATIONALE, AIMS AND OBJECTIVES: The medication-use system in hospitals is very
complex. To improve the health professionals' awareness of the risks of errors
related to the medication-use system, a simulation of medication errors was
created. The main objective was to assess the medical, nursing and pharmacy
staffs' ability to identify errors related to the medication-use system using a
simulation. The secondary objective was to assess their level of satisfaction.
METHOD: This descriptive cross-sectional study was conducted in a 500-bed mother
and-child university hospital. A multidisciplinary group set up 30 situations and
replicated a patient room and a care unit pharmacy. All hospital staff, including
nurses, physicians, pharmacists and pharmacy technicians, was invited.
Participants had to detect if a situation contained an error and fill out a
response grid. They also answered a satisfaction survey. RESULTS: The simulation
was held during 100 hours. A total of 230 professionals visited the simulation,
207 handed in a response grid and 136 answered the satisfaction survey. The
participants' overall rate of correct answers was 67.5% +/- 13.3% (4073/6036).
Among the least detected errors were situations involving a Y-site infusion
incompatibility, an oral syringe preparation and the patient's identification.
Participants mainly considered the simulation as effective in identifying
incorrect practices (132/136, 97.8%) and relevant to their practice (129/136,
95.6%). Most of them (114/136; 84.4%) intended to change their practices in view
of their exposure to the simulation. CONCLUSIONS: We implemented a realistic
medication-use system errors simulation in a mother-child hospital, with a wide
audience. This simulation was an effective, relevant and innovative tool to raise
the health care professionals' awareness of critical processes.
PMID- 27184008
TI - The crucial role of FBXO28 in the pathogenesis of the 1q41q42 microdeletion
syndrome.
PMID- 27184007
TI - Mouse double minute 2 (MDM2) upregulates Snail expression and induces epithelial
to-mesenchymal transition in breast cancer cells in vitro and in vivo.
AB - The oncogene, mouse double minute 2 (MDM2), has been implicated in the
pathogenesis of numerous cancers. In this study, we investigated the role of MDM2
in epithelial-to-mesenchymal transition (EMT) and the underlying mechanisms in
breast cancer cells in vitro and in vivo. The results showed that up-regulation
of MDM2 in MCF-7 cells altered the cell morphology to a mesenchymal phenotype.
Knockdown of MDM2 in MDA-MB-231 cells altered the cell morphology to the
epithelial phenotype. In addition, overexpression of MDM2 increased the
expression of N-cadherin and Vimentin and decreased the expression of E-cadherin,
at both the mRNA and protein levels, in vitro and in vivo. Conversely, down
regulation of MDM2 decreased the expression of N-cadherin and Vimentin, and
increased the expression of E-cadherin in vitro. Furthermore, MDM2 up-regulated
both the mRNA and protein expression of Snail in vitro and in vivo. Knockdown of
Snail almost abolished MDM2 induced EMT in vitro. Finally, we found that MDM2
expression correlated with EMT markers and Snail: Snail expression was inversely
associated with E-cadherin in human breast cancer samples. Our findings
demonstrated that MDM2 induces EMT by enhancing Snail expression in vitro and in
vivo. Thus, MDM2 may be a potential target for therapy against human metastatic
breast cancer.
PMID- 27184009
TI - Reduced cell cohesiveness of outgrowths from eccrine sweat glands delays wound
closure in elderly skin.
AB - Human skin heals more slowly in aged vs. young adults, but the mechanism for this
delay is unclear. In humans, eccrine sweat glands (ESGs) and hair follicles
underlying wounds generate cohesive keratinocyte outgrowths that expand to form
the new epidermis. Here, we compared the re-epithelialization of partial
thickness wounds created on the forearm of healthy young (< 40 yo) and aged (> 70
yo) adults. Our results confirm that the outgrowth of cells from ESGs is a major
feature of repair in young skin. Strikingly, in aged skin, although ESG density
is unaltered, less than 50% of the ESGs generate epithelial outgrowths during
repair (vs. 100% in young). Surprisingly, aging does not alter the wound-induced
proliferation response in hair follicles or ESGs. Instead, there is an overall
reduced cohesiveness of keratinocytes in aged skin. Reduced cell-cell
cohesiveness was most obvious in ESG-derived outgrowths that, when present, were
surrounded by unconnected cells in the scab overlaying aged wounds. Reduced cell
cell contact persisted during the repair process, with increased intercellular
spacing and reduced number of desmosomes. Together, reduced outgrowths of ESG (i)
reduce the initial number of cells participating in epidermal repair, (ii) delay
wound closure, and (iii) lead to a thinner repaired epidermis in aged vs. young
skin. Failure to form cohesive ESG outgrowths may reflect impaired interactions
of keratinocytes with the damaged ECM in aged skin. Our findings provide a
framework to better understand the mediators of delayed re-epithelialization in
aging and further support the importance of ESGs for the repair of human wounds.
PMID- 27184010
TI - Highly Sensitive Built-In Strain Sensors for Polymer Composites: Fluorescence
Turn-On Response through Mechanochemical Activation.
AB - A new class of rationally designed mechanophores is developed for highly
sensitive built-in strain sensors in polymer composites. These mechanophores are
designed to regenerate the pi-conjugation pathway between the electron donor and
electron acceptor by force-induced cleavage of the covalent bond to form a
fluorescent dipolar dye.
PMID- 27184011
TI - Biomimicking Nano-Micro Binary Polymer Brushes for Smart Cell Orientation and
Adhesion Control.
AB - A new biomimetic surface named nano-micro binary polymer brushes is fabricated by
large-area bench-top dip-pen nanodisplacement lithography technique. It is
composed of gelatin-modified poly(glycidyl methacrylate) nanolines which are
spaced by microstripes of poly(N-isopropylacrylamide). Cells are not only adhered
and oriented well on the re-used surface, but also detachable from the surface
with well-preserved extracellular matrix and aligned morphology.
PMID- 27184012
TI - Polytherapy and the risk of potentially inappropriate prescriptions (PIPs) among
elderly and very elderly patients in three different settings (hospital,
community, long-term care facilities) of the Friuli Venezia Giulia region, Italy:
are the very elderly at higher risk of PIPs?
AB - PURPOSE: The aim of this point-prevalence study was to assess the occurrence of
polypharmacy and hyperpolypharmacy and the risk of potentially inappropriate
prescriptions (PIPs) among elderly and very elderly patients in different health
care settings of the Friuli-Venezia Giulia region in the North-East of Italy.
METHODS: Prescription pattern of elderly (65-79 years) and very elderly (>79
years) patients in three different health-care settings [hospitals, general
practitioners, and long-term care facilities (LTCFs)] was assessed in March 2014,
and PIPs were assessed according to the Beers criteria. Other situations at
potentially high risk were checked. RESULTS: A total of 1582 patients (hospital,
n = 528; outpatients, n = 527; nursing homes, n = 527) were included. Very
elderly were more represented in hospitals (60.4%) and LTCFs (77.1%) than among
general practitioners (37.6%). Polypharmacy and hyperpolypharmacy rates ranged
57.7-73.7% and 9.7-15.6%, respectively. The most frequently prescribed drugs were
the proton pump inhibitors, whereas the most common PIPs resulted the
benzodiazepines. Multinomial regression analysis showed that female sex, age > 79
years, hyperpolypharmacy, and chronic kidney disease were associated with the
risk of having >=2 PIPs. Two situations at high risk of PIPs not contemplated by
the Beers criteria were recurrent in the study population and concerned the
statins and metformin. CONCLUSIONS: Polypharmacy and hyperpolypharmacy among
elderly and very elderly are strictly associated with the risk of multiple PIPs.
The findings offer the opportunity to remark that improvement of the knowledge of
safe drug use is generally needed in aging societies and may become of utmost
relevance among health-care workers operating in LTCFs. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27184013
TI - Diagnostic Role of Captopril Challenge Test in Korean Subjects with High
Aldosterone-to-Renin Ratios.
AB - BACKGROUND: Diagnosis of primary aldosteronism (PA) begins with aldosterone-to
renin ratio (ARR) measurement followed by confirmative tests. However, the ARR
has high false positive rates which led to unnecessary confirmatory tests.
Captopril challenge test (CCT) has been used as one of confirmatory tests, but
the accuracy of it in the diagnosis of PA is still controversial. We aimed to
examine the clinical efficacy of CCT as a post-screening test in PA. METHODS: In
a prospective study, we enrolled subjects with suspected PA who had hypertension
and ARR >20 (ng/dL)/(ng/mL/hr). Sixty-four patients who underwent both the saline
infusion test and the CCT were included. RESULTS: The diagnostic performance of
plasma aldosterone concentration (PAC) post-CCT was greater than that of ARR post
CCT and ARR pre-CCT in PA (area under the curve=0.956, 0.797, and 0.748,
respectively; P=0.001). A cut-off value of 13 ng/dL showed the highest diagnostic
odds ratio considering PAC post-CCT at 60 and 90 minutes. A PAC post-CCT of 19
ng/dL had a specificity of 100%, which can be used as a cut-off value for the
confirmative test. Determining the diagnostic performance of PAC post-CCT at 90
minutes was sufficient for PA diagnosis. Subjects with PAC post-CCT at 90 minutes
<13 ng/dL are less likely to have PA, and those with PAC post-CCT at 90 minutes
>=13 but <19 ng/dL should undergo secondary confirmatory tests. CONCLUSION: The
CCT test may be a reliable post-screening test to avoid the hospitalization in
the setting of falsely elevated ARR screening tests.
PMID- 27184014
TI - Dissecting Tumor-Stromal Interactions in Breast Cancer Bone Metastasis.
AB - Bone metastasis is a frequent occurrence in breast cancer, affecting more than
70% of late stage cancer patients with severe complications such as fracture,
bone pain, and hypercalcemia. The pathogenesis of osteolytic bone metastasis
depends on cross-communications between tumor cells and various stromal cells
residing in the bone microenvironment. Several growth factor signaling pathways,
secreted micro RNAs (miRNAs) and exosomes are functional mediators of tumor
stromal interactions in bone metastasis. We developed a functional genomic
approach to systemically identified molecular pathways utilized by breast cancer
cells to engage the bone stroma in order to generate osteolytic bone metastasis.
We showed that elevated expression of vascular cell adhesion molecule 1 (VCAM1)
in disseminated breast tumor cells mediates the recruitment of pre-osteoclasts
and promotes their differentiation to mature osteoclasts during the bone
metastasis formation. Transforming growth factor beta (TGF-beta) is released from
bone matrix upon bone destruction, and signals to breast cancer to further
enhance their malignancy in developing bone metastasis. We furthered identified
Jagged1 as a TGF-beta target genes in tumor cells that engaged bone stromal cells
through the activation of Notch signaling to provide a positive feedback to
promote tumor growth and to activate osteoclast differentiation. Substantially
change in miRNA expression was observed in osteoclasts during their
differentiation and maturation, which can be exploited as circulating biomarkers
of emerging bone metastasis and therapeutic targets for the treatment of bone
metastasis. Further research in this direction may lead to improved diagnosis and
treatment strategies for bone metastasis.
PMID- 27184016
TI - 18F-FDG PET/CT-Guided Clinical Management of the Rare Aggressive "Columnar-Cell"
Variant of Papillary Thyroid Cancer.
PMID- 27184015
TI - Clinical Relevance of Environmental Factors in the Pathogenesis of Autoimmune
Thyroid Disease.
AB - Genetic factors contribute for about 70% to 80% and environmental factors for
about 20% to 30% to the pathogenesis of autoimmune thyroid disease (AITD).
Relatives of AITD patients carry a risk to contract AITD themselves. The 5-year
risk can be quantified by the so-called Thyroid Events Amsterdam-score, based on
serum thyroid-stimulating hormone, thyroid peroxidase (TPO)-antibodies and family
history. Subjects at risk may ask what they can do to prevent development of
AITD. This review summarizes what is known about modulation of exposure to
environmental factors in terms of AITD prevention. To stop smoking decreases the
risk on Graves disease but increases the risk on Hashimoto disease. Moderate
alcohol intake provides some protection against both Graves and Hashimoto
disease. Low selenium intake is associated with a higher prevalence of thyroid
autoimmunity, but evidence that selenium supplementation may lower TPO antibodies
and prevent subclinical hypothyroidism remains inconclusive. Low serum vitamin D
levels are associated with a higher prevalence of TPO antibodies, but
intervention studies with extra vitamin D have not been done yet. Stress may
provoke Graves hyperthyroidism but not Hashimoto thyroiditis. Estrogen use have
been linked to a lower prevalence of Graves disease. The postpartum period is
associated with an increased risk of AITD. Taking together, preventive
interventions to diminish the risk of AITD are few, not always feasible, and
probably of limited efficacy.
PMID- 27184017
TI - Triiodothyronine Levels Are Independently Associated with Metabolic Syndrome in
Euthyroid Middle-Aged Subjects.
AB - BACKGROUND: Recent studies have shown an association between thyroid hormone
levels and metabolic syndrome (MetS) among euthyroid individuals; however, there
have been some inconsistencies between studies. Here, we evaluated the
relationship between thyroid hormone levels and MetS in euthyroid middle-aged
subjects in a large cohort. METHODS: A retrospective analysis of 13,496 euthyroid
middle-aged subjects who participated in comprehensive health examinations was
performed. Subjects were grouped according to thyroid stimulating hormone, total
triiodothyronine (T3), total thyroxine (T4), and T3-to-T4 ratio quartile
categories. We estimated the odds ratios (ORs) for MetS according to thyroid
hormone quartiles using logistic regression models, adjusted for potential
confounders. RESULTS: Of the study patients, 12% (n=1,664) had MetS. A higher T3
level and T3-to-T4 ratio were associated with unfavourable metabolic profiles,
such as higher body mass index, systolic and diastolic blood pressure,
triglycerides, fasting glucose and glycated hemoglobin, and lower high density
lipoprotein cholesterol levels. The proportion of participants with MetS
increased across the T3 quartile categories (P for trend <0.001) and the T3-to-T4
ratio quartile categories (P for trend <0.001). The multi-variate-adjusted OR
(95% confidence interval) for MetS in the highest T3 quartile group was 1.249
(1.020 to 1.529) compared to the lowest T3 quartile group, and that in the
highest T3-to-T4 ratio quartile group was 1.458 (1.141 to 1.863) compared to the
lowest T3-to-T4 ratio quartile group, even after adjustment for potential
confounders. CONCLUSION: Serum T3 levels and T3-to-T4 ratio are independently
associated with MetS in euthyroid middle-aged subjects. Longitudinal studies are
needed to define this association and its potential health implications.
PMID- 27184018
TI - Evaluation of the role of the GPIb-IX-V receptor complex in development of the
platelet storage lesion.
AB - BACKGROUND AND OBJECTIVES: In mice, loss of sialic acid resulting in shedding of
glycoprotein (GP) Ibalpha and GPV has been linked to platelet survival. The aim
of this study was to determine whether loss of sialic acid and the GPIb-IX-V
complex contributes to development of the platelet storage lesion (PSL) in human
platelet concentrates (PCs). MATERIALS AND METHODS: PCs (stored in plasma (with
or without Mirasol treatment); PAS-C or PAS-E) were stored at room temperature.
Flow cytometry was used to monitor membrane expression of the GPIb-IX-V complex,
CD62P, surface glycans and PS exposure. The functionality of stored platelets was
determined employing aggregometry and ristocetin-induced VWF binding. RESULTS:
Storage time of PCs in blood banks is limited to 7 days. During this time period,
a minor but gradually increasing subpopulation of GPIbalpha-negative platelets
was observed. Also, ristocetin-induced VWF binding was impaired in a small
population of platelets. Mean surface expression of GPIbalpha and GPV remained
stable until day 9, whereas CD62P expression increased; also a rapid decrease in
ADP-induced aggregation was observed for PAS-C, PAS-E and Mirasol-treated PCs.
Upon prolonged storage (>9 days), a slow decline in surface expression of
GPIbalpha and GPV was observed; no major changes were observed in surface
sialylation with the exception of Mirasol-treated platelets. CONCLUSION: In a
small population of stored platelets, changes in GPIbalpha occur from day 2
onwards. Loss of sialic acid and subsequent shedding of GPIbalpha and GPV is not
an early event during the development of the PSL.
PMID- 27184019
TI - Multiparametric magnetic resonance imaging: Current role in prostate cancer
management.
AB - Digital rectal examination, serum prostate-specific antigen screening and
transrectal ultrasound-guided biopsy are conventionally used as screening,
diagnostic and surveillance tools for prostate cancer. However, they have limited
sensitivity and specificity. In recent years, the role of multiparametric
magnetic resonance imaging has steadily grown, and is now part of the standard
clinical management in many institutions. In multiparametric magnetic resonance
imaging, the morphological assessment of T2-weighted imaging is correlated with
diffusion-weighted imaging, dynamic contrast-enhanced imaging perfusion and/or
magnetic resonance spectroscopic imaging. Multiparametric magnetic resonance
imaging is currently regarded as the most sensitive and specific imaging
technique for the evaluation of prostate cancer, including detection, staging,
localization and aggressiveness evaluation. This article presents an overview of
multiparametric magnetic resonance imaging, and discusses the current role of
multiparametric magnetic resonance imaging in the different fields of prostate
cancer management.
PMID- 27184020
TI - Prenatal ultrasound and childhood autism: long-term follow-up after a randomized
controlled trial of first- vs second-trimester ultrasound.
AB - OBJECTIVE: To analyze whether the frequency of autism spectrum disorder (ASD) in
a cohort of Swedish children differs between those exposed to ultrasound in the
12(th) week and those exposed to ultrasound in the 18(th) week of gestation.
METHODS: The study cohort consisted of approximately 30 000 children born between
1999 and 2003 to mothers who had been randomized to a prenatal ultrasound
examination at either 12 or 18 weeks' gestation as part of the framework for a
study on nuchal translucency screening. The outcome measure in the present study
was the rate of ASD diagnoses among the children. Information on ASD diagnoses
was based on data from the Swedish social insurance agency concerning childcare
allowance granted for ASD. RESULTS: Between 1999 and 2003, a total of 14 726
children were born to women who underwent a 12-week ultrasound examination and 14
596 to women who underwent an 18-week ultrasound examination. Of these, 181
(1.2%) and 176 (1.2%) children, respectively, had been diagnosed with ASD. There
was no difference in ASD frequency between the early and late ultrasound groups.
CONCLUSIONS: Women subjected to at least one prenatal ultrasound examination at
either 12 or 18 weeks' gestation had children with similar rates of ASD. However,
this result reflects routine care 10-15 years ago in Sweden. Today, higher
intensity ultrasound scans are performed more frequently, at earlier stages
during pregnancy and for non-medical purposes, implying longer exposure time for
the fetus. This change in the use of ultrasound necessitates further follow-up
study of the possible effects that high exposure to ultrasound during the
gestational period has on the developing brain. Copyright (c) 2016 ISUOG.
Published by John Wiley & Sons Ltd.
PMID- 27184021
TI - Interaction with slow waves during sleep improves discrimination of physiologic
and pathologic high-frequency oscillations (80-500 Hz).
AB - OBJECTIVE: To characterize the interaction between physiologic and pathologic
high-frequency oscillations (HFOs) and slow waves during sleep, and to evaluate
the practical significance of these interactions by automatically classifying
channels as recording from normal or epileptic brain regions. METHODS: We
automatically detected HFOs in intracerebral electroencephalography (EEG)
recordings of 45 patients. We characterized the interaction between the HFOs and
the amplitude and phase of automatically detected slow waves during sleep. We
computed features associated with HFOs, and compared classic features such as
rate, amplitude, duration, and frequency to novel features related to the
interaction between HFOs and slow waves. To quantify the practical significance
of the difference in these features we classified the channels as recording from
normal/epileptic regions using logistic regression. We assessed the results in
different brain regions to study differences in the HFO characteristics at the
lobar level. RESULTS: We found a clear difference in the coupling between the
phase of slow waves during sleep and the occurrence of HFOs. In channels
recording physiologic activity, the HFOs tend to occur after the peak of the
deactivated state of the slow wave, and in channels with epileptic activity, the
HFOs occur more often before this peak. This holds for HFOs in the ripple (80-250
Hz) and fast ripple (250-500 Hz) bands, and different regions of the brain. When
using this interaction to automatically classify channels as recording from
normal/epileptic brain regions, the performance is better than when using other
HFO characteristics. We confirmed differences in the HFO characteristics in
mesiotemporal structures and in the occipital lobe. SIGNIFICANCE: We found the
association between slow waves and HFOs to be different in normal and epileptic
brain regions, emphasizing their different origin. This is also of practical
significance, since it improves the separation between channels recording from
normal and epileptic brain regions.
PMID- 27184022
TI - Unexpected cholesterol gallstones.
PMID- 27184023
TI - Is the Lack of Specific Lesbian, Gay, Bisexual, Transgender and Queer/Questioning
(LGBTQ) Health Care Education in Medical School a Cause for Concern? Evidence
From a Survey of Knowledge and Practice Among UK Medical Students.
AB - Lesbian, gay, bisexual, transgender and queer/questioning (LGBTQ) people
frequently report negative health care encounters. Medical professionals may
inadequately manage LGBTQ persons' health if they have not received training in
this area. An anonymous survey measuring efficacy in health situations among
LGBTQ persons was answered by 166 medical students across all years of a UK
university. Results show that 84.9% of participants reported a lack of LGBTQ
health care education, with deficits in confidence clarifying unfamiliar sexual
and gender terms, deciding the ward in which to nurse transgender patients,
finding support resources, and discussing domestic abuse with LGBTQ patients.
Most participants reported that they would not clarify gender pronouns or ask
about gender or sexual identity in mental health or reproductive health settings.
Participants reported infrequently observing doctors making similar inquiries.
Participants held positive attitudes toward LGBTQ patients, with attitude scores
positively correlating with LGBTQ terminology knowledge scores (rs = 0.5052, p <
.01). Addressing gender identity and sexuality issues within medical curricula
may remove barriers to accessing health care and improve encounters for LGBTQ
patients.
PMID- 27184024
TI - First record of the Asian bush mosquito, Aedes japonicus japonicus, in Italy:
invasion from an established Austrian population.
AB - BACKGROUND: In 2011 we identified the Asian bush mosquito, Aedes japonicus
japonicus (Theobald, 1901) (Diptera: Culicidae) for the first time in northern
Slovenia and in the bordering Austrian federal state of Styria. Between May and
July 2012 the distribution area of Ae. j. japonicus was already found to be
extended westwards into Carinthia and eastwards towards Burgenland and bordering
Hungary. In August 2012 the species was first detected in a western province of
Hungary. In subsequent years, follow-up field studies demonstrated an active
spread westwards throughout Carinthia, reaching the border to northern Italy.
FINDINGS: In July 2015 several aquatic-stage specimens of the species were
discovered at three different sites in the Friuli Venezia Giulia region, north
eastern Italy. In September 2015, co-occurrence of Ae. j. japonicus and Aedes
albopictus (Skuse, 1895) was observed in the same sample in that region.
CONCLUSIONS: Ae. j. japonicus actively extended its geographic range from an
established population in Carinthia (Austria) southwards to northern Italy by
crossing Alpine ranges. Since Ae. albopictus and Aedes koreicus (Edwards, 1917)
are already well established in northern Italy, it will be pivotal to monitor the
consequences of a third invasive mosquito species trying to populate the same
geographic region.
PMID- 27184025
TI - What are the risk factors for HIV in men who have sex with men in Ho Chi Minh
City, Vietnam?- A cross-sectional study.
AB - BACKGROUND: The number of people living with HIV (PLWH) in Vietnam was estimated
to rise from 156,802 in 2009 to 256,000 in 2014. Although the number of new HIV
reported cases has decreased by roughly 14,000 cases per year from 2010 to 2013 a
concerning increase in HIV prevalence has been identified among men who have sex
with men (MSM) from 1.7 % in 2005 to 2.4 % in 2013. There are signs of increased
HIV (+) prevalence among MSM in a number of cities/provinces, especially in the
two largest cities, Ho Chi Minh City (HCMC) and Hanoi. HCMC is the country's
major "hot spot" for HIV/AIDS, with over a third of the total national AIDS
patients. This paper is based on a secondary analysis of Integrated Biological
and Behavioural Surveillance (IBBS) data collected in Vietnam in 2009 to examine
the research question "Do behavioural risk factors contribute to HIV infection
among the MSM population in HCMC?". METHODS: A cross-sectional design was
employed to sample males aged over 15 from communities in HCMC, who reported
having any types of sex with another man at least once during the last 12 months.
Participants (399) were recruited using the respondent driven sampling (RDS)
method and provided both biological data (specimens) and behavioural data
collected through a questionnaire survey. RESULTS: The study found high HIV
prevalence (14.8 %) among the MSM sample from HCMC. Multivariate analysis found
age and level of formal education completed, to be significantly associated with
HIV infection. MSM aged over 25 were more likely to be HIV (+) than the younger
group (OR = 7.82, 95 %CI = 3.37-18.16, p < 0.001); as were participants who had
low educational (OR = 2.74, p < 0.05) and medium educational levels (OR = 2.68, p
< 0.05). In addition, those participants who had anal sex with male partners (OR
= 2.7, p < 0.05) and whose sexual partners injected drugs (OR = 2.24, p < 0.05)
and who felt at risk of HIV infection (OR = 2.42, p < 0.01) had a higher risk of
HIV infection. CONCLUSIONS: The high proportion of HIV (+) MSM in our sample from
HCMC indicates that we need a better understanding of MSM behaviour patterns,
risk practices and social networks as well as improved HIV prevention and control
measures. More targeted and relevant HIV prevention programs for older and less
educated MSM are urgently needed to address the key risk factors we have
identified. MSM engaging in drug-related risk behaviours require multi-strategy
HIV interventions relating to both sex and drug behaviour among MSM and their
partners who engage in drug use. Further work is needed to identify locations and
strategies where these high-risk individuals can be accessed as well as to reduce
barriers related to social discrimination and stigma. Targeting high risk
individuals and groups should supplement existing efforts aimed at the MSM
population in HCMC.
PMID- 27184026
TI - Effect of pregnancy intention, postnatal depressive symptoms and social support
on early childhood stunting: findings from India.
AB - BACKGROUND: According to United Nation Children's Fund, it has been estimated
that worldwide about 165 million children were stunted in 2012 and India alone
accounts for 38 % of global burden of stunting. This study aims to examine the
effect of pregnancy intention and maternal postnatal depressive symptoms on early
childhood stunting in India. We hypothesized that effect of pregnancy intention
and postnatal depressive symptoms were mediated by social support. METHODS: We
used data from the first wave of Young Lives Study India. Multivariate logistic
regression models (using generalized estimation equation) were used to examine
the effect of pregnancy intention and postnatal depressive symptoms on early
childhood stunting among children aged 5-21 months. The analysis included 1833
children (out of 2011 sample children) that had complete information on pregnancy
intention, maternal depression and other variables. RESULTS: Bivariate results
indicate that a higher percent of children born after unintended pregnancy (40 %)
were stunted than children of intended pregnancy (26 %). Likewise, the proportion
of stunted children was also higher among women with high postnatal depressive
symptoms (35 %) than the low level of depression (24 %). Results of multivariate
logistic regression model indicate that children born after unintended pregnancy
were significantly more likely to be stunted than children born after intended
pregnancy (AOR: 1.76, CI: 1.25, 2.48). Similarly, early childhood stunting was
also associated with maternal postnatal depressive symptoms (AOR: 1.53, CI: 1.21,
1.92). Moreover, the effect of pregnancy intention and postnatal depressive
symptoms on early childhood stunting were not mediated by social support.
CONCLUSIONS: The findings of this study provide conclusive evidence regarding
consequences of pregnancy intention and postnatal depressive symptoms on early
childhood stunting in India. Therefore, there is a need to identify the women
with unintended pregnancy and incorporate the promotion of mental health into
their national reproductive and child health programme.
PMID- 27184027
TI - Occupational and environmental risk factors for chronic rhinosinusitis in China:
a multicentre cross-sectional study.
AB - BACKGROUND: Chronic rhinosinusitis (CRS) is defined as a condition of
inflammation in the paranasal sinus mucosa persisting for more than 12 weeks. We
previously reported that the prevalence of CRS was about 8 % in China. Here, we
aim to investigate the occupational and environmental risk factors associated
with CRS. METHODS: Data were collected from seven Chinese cities: Urumqi,
Changchun, Beijing, Wuhan, Chengdu, Huaian and Guangzhou. CRS was diagnosed
according to the European Position Paper on Rhinosinusitis and Nasal Polyps
(EP(3)OS) document. Participants were asked to complete a standardized
questionnaire, which was developed by the Global Allergy and Asthma European
Network (GA(2)LEN) project and covered sociodemographic characteristics, CRS
related symptoms and occupational and environmental exposures. We evaluated the
association between CRS and various occupational and environmental factors using
odds ratios (ORs) and 95 % confidence intervals (95 % CIs). RESULTS: The total
study population consisted of 10,633 subjects, 850 (7.99 %) of whom were defined
as having CRS according to the EP(3)OS criteria. We found that there were
significant associations between occupational and environmental factors and CRS.
Specifically, having a clearance-related job, occupational exposure to dust,
occupational exposure to poisonous gas, a pet at home or carpet at home or at the
workplace were risk factors for CRS. Additionally, the method used to keep warm
in winter, the duration of time spent using air conditioning in summer and the
frequency of exposure to mouldy or damp environments were significantly different
in subjects with and without CRS. CONCLUSIONS: Our data showed that some
occupational and environmental exposures are strongly associated with CRS, which
aids in understanding the epidemiology of CRS.
PMID- 27184030
TI - Dissecting the Mechanisms of Thrombogenesis and Atherosclerosis for
Neurodegenerative Disorders.
PMID- 27184028
TI - Induced pluripotent stem cells in Alzheimer's disease: applications for disease
modeling and cell-replacement therapy.
AB - Alzheimer's disease (AD) is the most common cause of dementia in those over the
age of 65. While a numerous of disease-causing genes and risk factors have been
identified, the exact etiological mechanisms of AD are not yet completely
understood, due to the inability to test theoretical hypotheses on non-postmortem
and patient-specific research systems. The use of recently developed and
optimized induced pluripotent stem cells (iPSCs) technology may provide a
promising platform to create reliable models, not only for better understanding
the etiopathological process of AD, but also for efficient anti-AD drugs
screening. More importantly, human-sourced iPSCs may also provide a beneficial
tool for cell-replacement therapy against AD. Although considerable progress has
been achieved, a number of key challenges still require to be addressed in iPSCs
research, including the identification of robust disease phenotypes in AD
modeling and the clinical availabilities of iPSCs-based cell-replacement therapy
in human. In this review, we highlight recent progresses of iPSCs research and
discuss the translational challenges of AD patients-derived iPSCs in disease
modeling and cell-replacement therapy.
PMID- 27184029
TI - Small RNA sequencing and degradome analysis of developing fibers of short fiber
mutants Ligon-lintles-1 (Li 1 ) and -2 (Li 2 ) revealed a role for miRNAs and
their targets in cotton fiber elongation.
AB - BACKGROUND: The length of cotton fiber is an important agronomic trait that
directly affects the quality of yarn and fabric. Understanding the molecular
basis of fiber elongation would provide a means for improvement of fiber length.
Ligon-lintless-1 (Li 1 ) and -2 (Li 2 ) are monogenic and dominant mutations that
result in an extreme reduction in the length of lint fiber on mature seeds. In a
near-isogenic state with wild type cotton these two short fiber mutants provide
an effective model system to study the mechanisms of fiber elongation. Plant
miRNAs regulate many aspects of growth and development. However, the mechanism
underlying the miRNA-mediated regulation of fiber development is largely unknown.
RESULTS: Small RNA libraries constructed from developing fiber cells of the short
fiber mutants Li 1 and Li 2 and their near-isogenic wild type lines were
sequenced. We identified 24 conservative and 147 novel miRNA families with
targets that were detected through degradome sequencing. The distribution of the
target genes into functional categories revealed the largest set of genes were
transcription factors. Expression profiles of 20 miRNAs were examined across a
fiber developmental time course in wild type and short fiber mutations. We
conducted correlation analysis between miRNA transcript abundance and the length
of fiber for 11 diverse Upland cotton lines. The expression patterns of 4 miRNAs
revealed significant negative correlation with fiber lengths of 11 cotton lines.
CONCLUSIONS: Our results suggested that the mutations have changed the regulation
of miRNAs expression during fiber development. Further investigations of
differentially expressed miRNAs in the Li 1 and Li 2 mutants will contribute to
better understanding of the regulatory mechanisms of cotton fiber development.
Four miRNAs negatively correlated with fiber length are good candidates for
further investigations of miRNA regulation of important genotype dependent fiber
traits. Thus, our results will contribute to further studies on the role of
miRNAs in cotton fiber development and will provide a tool for fiber improvement
through molecular breeding.
PMID- 27184031
TI - Dabigatran Etexilate Reduces Thrombin-Induced Inflammation and Thrombus Formation
in Experimental Ischemic Stroke.
AB - Dabigatran etexilate (DE), a direct-acting, oral inhibitor of thrombin,
significantly reduces the risk of stroke compared with traditional
anticoagulants, without increasing the risk of major bleeding. However, studies
on the fate of cerebral tissue after ischemic stroke in patients receiving DE are
sparse and the role of dabigatran-mediated reduction of thrombin in this context
has not yet been investigated. Here, we investigated whether pretreatment with DE
reduces thrombin-mediated pro-inflammatory mechanisms and leakage of the blood
brain barrier (BBB) following ischemic stroke in rats. Male Wistar rats received
DE (15 mg/kg) or a vehicle solution 1 hour before transient middle cerebral
artery occlusion (tMCAO) for 90 minutes. Infarct volume, neurologic outcome and
intracranial hemorrhage (ICH) were determined after tMCAO. Thrombin generation
was indirectly assessed by measuring thrombin/antithrombin III complex.
Microvascular patency was evaluated histologically. Cytokine expression and
immunoreactivity of cluster of differentiation (CD) 68 were examined to
characterize inflammatory processes after pretreatment with DE. BBB integrity was
examined by quantifying brain edema. Rats given DE revealed a significant
reduction in infarct size without an increase in ICH and significant recovery of
neurologic deficits compared to controls. Administration of DE decreased thrombin
generation and thrombus formation, dampened the CD68-immunoreactivity and
attenuated pro-inflammatory cytokine expression in the cerebral parenchyma
ipsilateral to the ischemic lesion. BBB permeability was unaltered following
treatment with DE. In summary, prophylactic anticoagulation with DE improves
stroke outcome by reducing thrombin-induced inflammation and thrombus formation
without increasing the rate of ICH.
PMID- 27184032
TI - Mean Platelet Volume During Ischemic Stroke is a Potential Pro-inflammatory
Biomarker in the Acute Phase and During Neurorehabilitation Not Directly Linked
to Clinical Outcome.
AB - The prognostic role of increased mean platelet volume (MPV), as an indicator of
platelet activation and large, more reactive platelets, in clinical and
functional outcome of ischemic stroke is still conflicting. Studies are not
currently available on the association between MPV and stroke recovery after
neurorehabilitation. The relationship between MPV and clinical and functional
outcome measures was assessed in twenty-four patients in the acute phase of first
ever ischemic stroke, and before and after 8-week intensive multifunctional
neurorehabilitation. Neurorehabilitation was associated with improved scores of
the National Institutes of Health Stroke Scale (NIHSS), the modified Rankin Scale
(mRS), and the modified PULSES profile (mPULSES). When compared with apparently
healthy subjects, higher MPV values were observed in stroke patients 24 hours
after stroke and before neurorehabilitative treatment started not later than 14
days after stroke. Decreased MPV values were found after neurorehabilitation,
even if the absolute values were still higher than those detected in control
subjects. No correlation was observed between MPV values and scores of the NIHSS
and mRS scales evaluated in stroke acute phase. No correlation was also observed
before and after neurorehabilitative treatment between MPV and NIHSS, mRS and
mPULSES scores. Our data provide evidence of the effectiveness of
neurorehabilitation on modulating MPV values and support the hypothesis that high
MPV could represent an expression of proinflammatory condition of the stroke
patients, realistically pre-existent to acute ischemic event, than a marker of
neurologic deficit and disability or of stroke recovery including motor
performance and functional independence.
PMID- 27184033
TI - Metachronous testicular seminoma after radiotherapy and chemotherapy: a case
report.
AB - BACKGROUND: Bilateral testicular neoplasia is rare, with an incidence ranging
from 1 to 5%. Long-term survival has improved in recent years due to advanced
diagnostic approaches and new therapeutic methods that are highly effective
against germ cell tumors. CASE PRESENTATION: We present the case of a patient
with a primary seminomatous testicular tumor, who developed a contralateral
metastasis and a subsequent metachronous tumor following chemotherapy and
consolidation radiotherapy treatment. CONCLUSIONS: Strict follow-up, including
physical examination and ultrasound examination of the contralateral testis,
enabled early diagnosis of the second tumor, giving the patient a high likelihood
of a definitive cure.
PMID- 27184034
TI - Changing the Cancer Diagnosis: The Case of Follicular Variant of Papillary
Thyroid Cancer-Primum Non Nocere and NIFTP.
PMID- 27184035
TI - The role of mean platelet volume and platelet distribution width in the
prediction of placental abruption.
AB - We determined the role of mean platelet volume (MPV) and platelet distribution
width (PDW) in the prediction of placental abruption (PA) prior to caesarean
section. Data obtained between January 2011 and July 2014 from patients (n = 33)
with PA and healthy control subjects (n = 67) matched for age- and gestation
stage were analysed. Pre-operative and post-operative MPV and PDW were
significantly different between the PA and control groups when cut-off values for
MPV were set at 9.23; sensitivity at 87.8% and specificity at 46.2%; positive
predictive value (PPV) at 48.3%; and negative predictive value (NPV) at 90.0%.
When the cut-off value for PDW was set at 18.5, the sensitivity was 100% and
specificity 71.6%, PPV 40.7% and NPV 59.3% for the prediction of PA. MPV and PDW
levels were significantly higher in cases of PA. These results suggest that
clinical evaluation of MPV and PDW displays reasonable sensitivity and
specificity as a marker of PA, prompting the need for more research in this area
of clinical study.
PMID- 27184036
TI - Treatment patterns and comparative effectiveness in elderly acute myeloid
leukemia patients (age 70 years or older): the Lyon-university hospital
experience.
AB - The treatment of very elderly patients (>=70 years) with acute myeloid leukemia
remains controversial. We present here 302 patients seen over a 14-year period in
order to understand the real-world treatment patterns and outcomes in this
patient population. Less than 25% of patients achieved a complete remission. The
median overall survival was 12.4, 11.5 and 2.6 months, with a 3-year rates of
27%, 17% and 6%, for non-acute promyelocytic leukemia patients receiving
intensive chemotherapy, lower-intensity therapy or best supportive care (BSC),
respectively. In all ages, results were not significantly different among
patients receiving low-intensity therapy and intensive chemotherapy, but
significantly worse in those treated with BSC only. Similarly, intensive
chemotherapy and low-intensity therapy gave better survival rates than BSC in
patients with favorable- or intermediate-risk cytogenetics and in those with
unfavorable cytogenetics (p < 0.0001 and p = 0.04, respectively).
PMID- 27184038
TI - Erratum.
PMID- 27184037
TI - Freezing oocytes or embryos after controlled ovarian hyperstimulation in cancer
patients: the state of the art.
AB - Quality of life of young cancer survivors has become a major issue. However,
anticancer therapies can have a detrimental impact on fertility. It is now well
established that all patients should receive information about the fertility
risks associated with their cancer treatment and the fertility preservation
options available. Currently, oocyte or embryo banking after controlled ovarian
hyperstimulation represents the most effective method for preserving female
fertility. Over the past years innovative protocols of ovarian stimulation have
been developed to enable cancer patients to undergo oocyte or embryo
cryopreservation irrespective of the phase of the cycle or without exogenous
follicle-stimulating hormone-related increase in serum estradiol levels. The
present article reviews the different protocols of ovarian hyperstimulation for
cancer patients, candidates for fertility preservation.
PMID- 27184039
TI - Moderate, Regular Alcohol Consumption is Associated with Higher Cognitive
Function in Older Community-Dwelling Adults.
AB - BACKGROUND: Evidence suggests that moderate alcohol consumption may protect
against cognitive decline and dementia. However, uncertainty remains over the
patterns of drinking that are most beneficial. OBJECTIVE: To examine associations
between amount and frequency of alcohol consumption with multiple domains of
cognitive function in a well-characterized cohort of older community-dwelling
adults in southern California. DESIGN: Observational, cross-sectional cohort
study. SETTING: A research visit between 1988-1992 in Rancho Bernardo,
California. PARTICIPANTS: 1624 participants of the Rancho Bernardo Study (mean
age +/- SD = 73.2 +/- 9.3 years). Measurements: Participants completed a
neuropsychological test battery, self-administered questionnaires on alcohol
consumption and lifestyle, and a clinical health evaluation. We classified
participants according to average amount of alcohol intake into never, former,
moderate, heavy and excessive drinkers, and according to frequency of alcohol
intake, into non-drinkers, rare, infrequent, frequent and daily drinkers. We
examined the association between alcohol intake and cognitive function,
controlling for age, sex, education, exercise, smoking, waist-hip ratio,
hypertension and self-assessed health. RESULTS: Amount and frequency of alcohol
intake were significantly associated with cognitive function, even after
controlling for potentially related health and lifestyle variables. Global and
executive function showed positive linear associations with amount and frequency
of alcohol intake, whereas visual memory showed an inverted U-shaped association
with alcohol intake, with better performance for moderate and infrequent drinkers
than for non-drinkers, excessive drinkers or daily drinkers. CONCLUSIONS: In
several cognitive domains, moderate, regular alcohol intake was associated with
better cognitive function relative to not drinking or drinking less frequently.
This suggests that beneficial cognitive effects of alcohol intake may be achieved
with low levels of drinking that are unlikely to be associated with adverse
effects in an aging population.
PMID- 27184042
TI - Effects of fixed time AI and AI at detected estrus on conception rate in
smallholder zebu and crossbred heifers and cows subjected to double PGF2alpha
administration.
AB - The study was conducted to evaluate estrus response, time to the onset of estrus,
and conception rate at fixed time AI and AI at detected estrus in local and
crossbred heifers and cows subjected to double administration of PGF2alpha. One
hundred twenty local (heifers, n = 27; cows, n = 33) and crossbreds (heifers, n =
21; cows, n = 39) were used for the study. About 63 and 85.7 % of the local and
crossbred heifers, respectively, exhibited estrus. Similarly, all crossbred cows
and 90.9 % of local cows showed estrus. Most heifers came to estrus between 48
and 72 h while cows exhibited behavioral signs of estrus between 72 and 96 h. AI
at detected estrus resulted in higher conception rate than fixed time AI.
Pregnancy per artificial insemination was higher in AI at detected estrus than
fixed time AI. Accurate estrus detection followed by insemination are crucial
factors in maximizing pregnancy, and this study has demonstrated that conception
rate in smallholder heifers and cows should be inseminated following estrus
detection to maximize the conception rate of the animals.
PMID- 27184041
TI - Stem Cells in the Intestine: Possible Roles in Pathogenesis of Irritable Bowel
Syndrome.
AB - Irritable bowel syndrome is one of the most common functional gastrointestinal
(GI) disorders that significantly impair quality of life in patients. Current
available treatments are still not effective and the pathophysiology of this
condition remains unclearly defined. Recently, research on intestinal stem cells
has greatly advanced our understanding of various GI disorders. Alterations in
conserved stem cell regulatory pathways such as Notch, Wnt, and bone morphogenic
protein/TGF- beta have been well documented in diseases such as inflammatory
bowel diseases and cancer. Interaction between intestinal stem cells and various
signals from their environment is important for the control of stem cell self
renewal, regulation of number and function of specific intestinal cell types, and
maintenance of the mucosal barrier. Besides their roles in stem cell regulation,
these signals are also known to have potent effects on immune cells, enteric
nervous system and secretory cells in the gut, and may be responsible for various
aspects of pathogenesis of functional GI disorders, including visceral
hypersensitivity, altered gut motility and low grade gut inflammation. In this
article, we briefly summarize the components of these signaling pathways, how
they can be modified by extrinsic factors and novel treatments, and provide
evidenced support of their roles in the inflammation processes. Furthermore, we
propose how changes in these signals may contribute to the symptom development
and pathogenesis of irritable bowel syndrome.
PMID- 27184043
TI - Gastric Cancer: How Can We Reduce the Incidence of this Disease?
AB - Gastric cancer remains a prevalent disease worldwide with a poor prognosis.
Helicobacter pylori plays a major role in gastric carcinogenesis. H. pylori
colonization leads to chronic gastritis, which predisposes to atrophic gastritis,
intestinal metaplasia, dysplasia, and eventually gastric cancer. Screening,
treatment, and prevention of H. pylori colonization can reduce the incidence of
gastric cancer. Other interventions that may yield a similar effect, although of
smaller magnitude, include promotion of a healthy lifestyle including dietary
measures, non-smoking, low alcohol intake, and sufficient physical activity. This
chapter reviews interventions that can lead to a decline in gastric cancer
incidence in high and low incidence countries.
PMID- 27184045
TI - Defining and evaluating a novel outcome measure representing end-stage knee
osteoarthritis: data from the Osteoarthritis Initiative.
AB - We described a definition of end-stage knee osteoarthritis (esKOA) and evaluated
its association with health outcomes and osteoarthritis risk factors. We included
Osteoarthritis Initiative participants with or at risk for knee osteoarthritis
who had complete baseline data. We defined esKOA by adapting a validated
appropriateness algorithm for total knee replacement based on data from baseline
and the first four follow-up visits. We performed person-based analyses,
including both knees from all participants. Participants met the definition of
esKOA at the visit at which >=1 knee reached the esKOA criteria. We assessed
differences in individual characteristics between groups at baseline and over
time and tested if incident esKOA (outcome) was associated with osteoarthritis
risk factors (e.g., age, maximum adult weight, and quadriceps strength). The
cohort consisted of 3916 participants with mean age of 61 (SD = 9) years and mean
body mass index of 28.4 (4.7) kg/m(2); 59 % were female and 9.7 % developed
incident esKOA. Those with incident esKOA had poorer health outcomes at baseline
and greater declines in health outcomes, with the exception of SF-12 mental
health score. Five out of nine tested risk factors were associated with incident
esKOA in unadjusted analyses, with older age (>=65 years; odds ratio = 1.44, 95 %
confidence interval = 1.19 to 1.83) and quadriceps weakness (odds ratio = 0.78,
95 % confidence interval = 0.71 to 0.86) remaining significant in adjusted
models. Older age and quadriceps weakness predicted esKOA. esKOA is also
characterized by poor health-related outcomes. This definition of esKOA could be
a new clinically relevant outcome measure for osteoarthritis research.
PMID- 27184044
TI - Practical Approaches to "Top-Down" Therapies for Crohn's Disease.
AB - Crohn's disease (CD) is a chronic, progressive, and disabling disease that leads
in most cases to the development of bowel damage presenting as a fistula,
abscess, or stricture. For years, therapy for Crohn's disease has been based on a
"step-up" approach, in which anti-TNF agents are administered after the failure
of steroids and immunosuppressants. However, recent studies have suggested that
early introduction of anti-TNF agents combined with immunosuppressants can modify
the natural history of the disease. Patients who could benefit more of this "top
down" strategy would be those at elevated risk of a complicated or severe
inflammatory bowel disease or with factors that can predict an aggressive disease
course. Therefore, the management of a patient with CD should be personalized,
taking into account the patient's specific characteristics and comorbidities,
disease activity, site and behavior of the disease, and predictable factors of
poor prognosis. A balance between medication and potential adverse effects should
be achieved, trying to avoid under or overtreatment, always discussing the
different therapeutic options with the patient. The natural history of ulcerative
colitis differs from CD and, to date, there is not much scientific evidence on
the use of early combined immunosuppression.
PMID- 27184046
TI - A randomized, controlled trial of efficacy and safety of Anbainuo, a bio-similar
etanercept, for moderate to severe rheumatoid arthritis inadequately responding
to methotrexate.
AB - The objective of the study was to evaluate the efficacy and safety of etanercept
(Anbainuo) treatment in Chinese moderate to severe rheumatoid arthritis (RA) with
inadequate response to methotrexate (MTX-IR); 600 patients (360 in phase III-1
and 240 in phase III-2) poorly responding to MTX were enrolled in the study and
randomized at a ratio of 2:1 into an Anbainuo treatment or control group. The
study was designed as a 12-week double-blind, placebo-controlled period followed
by a 12-week open-label study. The primary endpoint was the ACR20 response rate
at week 12. Secondary endpoints included the ACR50, ACR70, ACR-N, and safety. At
week 12, ACR20 response was observed in 60.9 % of the Anbainuo group
significantly higher than that of the control group (20.6 %). At week 24, the
ACR20 response in the Anbainuo group increased to 70.2 %; there was no
significant difference compared with that of the control group (61.8 %, P >
0.05). At week 12, the ACR50 and ACR70 responses of the Anbainuo group increased
to 25.6 and 6.8 %, compared to 4 and 1 % in the control group (P < 0.001, P =
0.002). The ACR-N was 2.85 +/- 6.73 vs. -3.24 +/- 8.78 % in the control group (P
< 0.001). During the first 12 weeks of treatment, 66 adverse events (AE) were
reported in the Anbainuo group (15.6 %) and 21 AEs (10.5 %) occurred in the
control group, whereby the rate of the Anbainuo group was slightly higher than
the control group (P = 0.042). Severe adverse events (SAEs) occurred in the
Anbainuo group (1.3 %) and one (SAE) occurred in the control group (0.5 %) (P =
0.19). Anbainuo displays a rapid onset of efficacy as well as good tolerance and
safety in MTX-IR patients having moderate to severe RA.
PMID- 27184047
TI - Outcome of a glucocorticoid discontinuation regimen in patients with inactive
systemic sclerosis.
AB - Glucocorticoids (GC) are widely used to treat systemic sclerosis (SSc). The lack
of efficacy data and patient/physician concerns may prompt therapy
discontinuation. The aim of this study is to identify factors hampering GC
discontinuation in patients with stable disease on oral GC for longer than 12
months. Consecutive patients fulfilling the 2013 ACR/EULAR criteria for SSc and
with stable disease were prescribed a slow tapering GC regimen to achieve
discontinuation. At study entry and 6 months later (T6), patients were assessed
for disease activity and severity. Moreover, the Short-Form-36; the Health
Assessment Questionnaire Disability Index (HAQ-DI); and visual analog scales for
fatigue, pain, and general health were completed. Reasons for stopping the
discontinuation regimen were recorded. Forty-eight patients (46 females, 9
diffuse SSc), with a mean +/- SD age of 56+/-14 years and a median disease
duration of 10 years (range 2-22), were enrolled. The median daily GC dose was 5
mg (range 5-10; all patients treated with prednisone). At T6, 33 (68.7 %)
patients had discontinued GC. The remaining 15 patients could not discontinue GC
because of arthralgia in eight, arthritis in two, puffy fingers in two, increased
creatine-kinase in two, and bursitis in one patient. At multiple logistic
analysis, a higher baseline HAQ-DI was the only independent factor associated
with GC need (OR 2.98, 95 % CI 1.20-7.41; p = 0.01). About one third of SSc
patients did not achieve a GC-free regimen. Disability as assessed by HAQ-DI was
the leading factor hindering GC discontinuation. A low HAQ-DI score can identify
candidates for GC discontinuation.
PMID- 27184048
TI - Improving skills in pediatric rheumatology in Colombia: a combined educational
strategy supported by ILAR.
AB - Colombia is a densely populated country with a small number of pediatric
rheumatology specialists, including 14 specialists for a population of 1,927,000
children in 2014. The objective of the study was to improve the skills required
for early identification, timely referral, and management of musculoskeletal
diseases, especially juvenile idiopathic arthritis (JIA), in a group of
pediatricians and pediatric residents in a remote region of Colombia. Supported
by grant programs developed by the International League of Associations for
Rheumatology (ILAR), a combined educational strategy (blended learning) was
implemented based on two classroom educational activities and four online
modules. The students' acquired knowledge and perception of the strategy were
evaluated. Scores were reported as median values and interquartile ranges (IQR),
and the differences between scores were estimated using the Wilcoxon test for
equal medians. Forty-one students were enrolled, 37 completed the online modules,
and 33 attended the final in-person session. The results of the written tests
demonstrated an improved ability to solve clinical problems compared with the
results of the tests before the course (the median initial vs. final test scores
3 (IQR = 1) vs. 5 (IQR = 0), p = 0.000). The students reported high levels of
satisfaction related to compliance with the proposed objectives, the relevance of
the contents and activities performed, and the impact on everyday practice. These
types of strategies are useful as tools for continuing medical education.
However, the results pertain only to short-term learning. It is necessary to
evaluate their impact on "lifelong learning."
PMID- 27184049
TI - Type 2 diabetes, insulin treatment and prognosis of breast cancer.
AB - BACKGROUND: The aim of our study was to investigate whether pre-existing type 2
diabetes and insulin therapy have an impact on the prognosis of breast cancer
patients. METHODS: We performed a retrospective analysis of 462 type 2 diabetic
breast cancer patients and 1644 non-diabetic breast cancer patients treated in
our institute from January 2005 to August 2010. Patients were divided by diabetes
status and insulin use. The clinicopathological characteristics and clinical
outcomes of patients within 5 years following breast cancer diagnosed were
analysed. RESULTS: Diabetic patients tended to have higher body mass index and
higher histological grade tumours. Five-year disease-free survival and overall
survival were reduced in diabetic patients (P < 0.001), and diabetes was an
independent predictor for an increased risk of breast cancer relapse and death
within 5 years (P < 0.001). Insulin treatment was associated with reduced 5-year
disease-free survival and overall survival (P < 0.05); the risk of 5-year relapse
and breast cancer mortality in the insulin group increased compared to that of
non-insulin group after adjusting for age, tumour size, histological grade,
oestrogen receptor, progesterone receptor, chemotherapy and hormone therapy (P <
0.05). After adjusting for age and other factors, the risk of breast cancer
relapse was also increased in the insulin subgroup, while the risk of breast
cancer mortality did not increase statistically. CONCLUSIONS: Type 2 diabetes and
insulin treatment might be independently associated with poorer prognosis of
breast cancer. However, caution is needed when interpreting our results, and
further investigations are needed. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27184051
TI - Nmnat 1: a Security Guard of Retinal Ganglion Cells (RGCs) in Response to High
Glucose Stress.
AB - BACKGROUND/AIMS: Retinal neurodegeneration is an early event in the pathological
process of diabetic retinopathy (DR). Retinal ganglion cell (RGC) injury is an
important pathological feature during neurodegenerative process. Protecting RGCs
from high glucose-induced injury is a promising strategy for delaying or
hindering diabetes mellitus-related retinal neuropathy. This study aims to
investigate the role of Nmnat1, an enzyme which catalyzes a key step in the
biosynthesis of nicotinamide adenine dinucleotide (NAD), in high glucose-induced
RGC injury. METHODS: Western blot and immunofluorescence analysis was conducted
to detect Nmnat1 expression pattern in the retina and RGC-5 cell. MTT assay,
Hoechst staining, trypan blue staining, and calcein-AM/ propidium iodide (PI)
staining was conducted to determine the effect of Nmnat1 knockdown on RGC-5 cell
function. Microarray and bioinformatics analysis was conducted to identify
potential signaling pathways affected by Nmnat1 knockdown. Pharmacological
intervention, molecular intervention, and in vitro experiments were conducted to
reveal molecular mechanism of Nmnat1-mediated protective effect on RGC-5 cell
function. RESULTS: Nmnat1 is constitutively expressed in retina and RGC-5 cells.
Nmnat1 knockdown aggravates RGC injury, and accelerates the development of RGC-5
cell apoptosis upon high glucose stress. MAPK signaling is the primary signaling
pathway affected by Nmnat1 knockdown. Under high glucose stress, Nmnat1 knockdown
leads to p38-MAPK signaling inactivation. p38-MAPK pathway inhibitor strongly
blocks Nmnat1-mediated protective effect on RGC-5 cell function. CONCLUSION:
Nmnat1 protects RGC against high glucose-induced injury via p38-MAPK signaling
pathway. Nmnat1 may serve as a neuroprotective target for diabetes mellitus
related retinal neuropathy.
PMID- 27184050
TI - Electronic cigarettes: a survey of perceived patient use and attitudes among
members of the British thoracic oncology group.
AB - BACKGROUND: Smoking cessation following lung cancer diagnosis has been found to
improve several patient outcomes. Electronic cigarette (e-cigarette) use is now
prevalent within Great Britain, however, use and practice among patients with
lung cancer has not as yet been explored. The current study aims to explore e
cigarette use among patients and examine current practice among clinicians. The
results have important implications for future policy and practice. METHODS:
Members of The British Thoracic Oncology Group (BTOG) were contacted via several
e-circulations (N = 2,009), requesting them to complete an online survey. Of
these, 7.7 % (N = 154) completed the survey, which explored participant
demographics and smoking history, perceptions of patient e-cigarette use,
practitioner knowledge regarding sources of guidance pertaining to e-cigarettes,
and practitioner advice. RESULTS: Practitioners frequently observed e-cigarette
use among patients with lung cancer. The majority of practitioners (81.4 %)
reported responding to patient queries pertaining to e-cigarettes within the past
year; however, far fewer (21.0 %) felt confident providing patients with e
cigarette advice. Practitioner confidence was found to differentiate by gender (p
= 0.012) and employment speciality (p = 0.030), with nurses reporting
particularly low levels of confidence in advising. The results also demonstrate
extensive variability regarding the practitioner advice content. CONCLUSIONS: The
results demonstrate that patients refer to practitioners as a source of e
cigarette guidance, yet few practitioners feel confident advising. The absence of
evidence-based guidance may have contributed towards the exhibited
inconsistencies in practitioner advice. The findings highlight that training
should be delivered to equip practitioners with the knowledge and confidence to
advise patients effectively; this could subsequently improve smoking cessation
rates and patient outcomes.
PMID- 27184052
TI - Understanding help-seeking intentions in male military cadets: An application of
perceptual mapping.
AB - BACKGROUND: Research suggests that men are less likely to seek help for
depression, substance abuse, and stressful life events due to negative
perceptions of asking for and receiving help. This may be exacerbated in male
military cadets who exhibit higher levels of gender role conflict because of
military culture. METHODS: This exploratory study examined the perceptions of 78
male military cadets toward help-seeking behaviors. Cadets completed the 31-item
Barriers to Help Seeking Scale (BHSS) and a component factor analysis was used to
generate five composite variables and compare to validated factors. Perceptual
mapping and vector modeling, which produce 3-dimensional models of a group's
perceptions, were then used to model how they conceptualize help-seeking.
RESULTS: Factor analysis showed slightly different groupings than the BHSS,
perhaps attributed to different characteristics of respondents, who are situated
in a military school compared to general university males. Perceptual maps show
that cadets perceive trust of doctors closest to them and help-seeking farthest,
supporting the concept that these males have rigid beliefs about having control
and its relationship to health seeking. Differences were seen when comparing maps
of White and non-White cadets. White cadets positioned themselves far away from
all variables, while non-White cadets were closest to "emotional control".
CONCLUSION: To move these cadets toward help-seeking, vector modeling suggests
that interventions should focus on their general trust of doctors, accepting lack
of control, and decreasing feelings of weakness when asking for help. For non
White cadets a focus on self-reliance may also need to be emphasized. Use of
these unique methods resulted in articulation of specific barriers that if
addressed early, may have lasting effects on help-seeking behavior as these young
men become adults. Future studies are needed to develop and test specific
interventions to promote help-seeking among military cadets.
PMID- 27184053
TI - Neutrophil/lymphocyte ratio has a prognostic value for patients with terminal
cancer.
AB - BACKGROUND: Determining prognosis in advanced cancer is of key importance.
Various prognostic scores have been developed. However, they are often very
complex. In this study, we evaluated the feasibility of neutrophil/lymphocyte
ratio (NLR) as an index to estimate survival in terminal cancer patients.
METHODS: NLR was calculated retrospectively based on blood tests performed at 3
months, 2 months, 4 weeks, 3 weeks, 2 weeks, 1 week, and within 3 days before
death in 160 cancer patients (82 men, 78 women; age range, 33-99 years; mean age,
69.8 years). RESULTS: NLR increased significantly with time (P < 0.0001). Mean
NLR was significantly higher in patients who died within 4 weeks (29.82) than in
those who lived more than 4 weeks (6.15). The NLR cutoff point was set at 9.21
according to receiver operating characteristic curve analysis (area under the
curve, 0.82; 95% confidence interval, 0.79-0.85). We inferred that life
expectancy would be <4 weeks when NLR >9.21. The sensitivity, specificity,
positive predictive value, and negative predictive value were 65.6, 84.1, 90.6,
and 51.1%, respectively. The positive and negative likelihood ratios were 4.125
and 0.409, respectively. CONCLUSIONS: NLR appears to be a useful and simple
parameter to predict the clinical outcomes of patients with terminal cancer.
PMID- 27184055
TI - Early detection of local recurrence after soft tissue sarcoma resection and flap
reconstruction.
AB - PURPOSE: Flap reconstruction has become an essential component in soft tissue
sarcoma treatment. However, the clinical features of local recurrence after soft
tissue sarcoma resection and flap reconstruction remain unclear. The present
study aimed to establish effective follow-up strategies after soft tissue sarcoma
resection and flap reconstruction. METHODS: Data from patients who underwent soft
tissue sarcoma resection and immediate flap reconstruction were retrospectively
reviewed. Follow-up after surgery included history taking and physical
examination during every visit to the hospital. Magnetic resonance imaging to
evaluate the primary site was performed six months after the end of treatment
then annually for ten years. The methods of detection of local recurrence were
assessed. RESULTS: A total of 229 consecutive patients were included in the
present study. During a median follow-up period of 40 months, 33 patients (14.4%)
developed local recurrence. Twenty-three recurrences that occurred on the margin
of the transferred flap were detected as palpable mass prior to radiological
assessment; among the remaining ten recurrences that occurred in the deep layer
of the transferred flap, six were detected by abnormal clinical findings and four
were clinically occult and detected by surveillance radiological assessment.
CONCLUSIONS: Surveillance radiological assessment has an important role in early
detection of local recurrence that develops in the deep layer of the transferred
flap. Therefore, meticulous clinical assessment combined with routine
radiological study should be performed during follow-up evaluation for local
recurrence after soft tissue sarcoma resection and flap reconstruction.
PMID- 27184054
TI - Single-cell antibody nanowells: a novel technology in detecting anti-SSA/Ro60-
and anti-SSB/La autoantibody-producing cells in peripheral blood of rheumatic
disease patients.
AB - BACKGROUND: Anti-SSA/Ro60 and anti-SSB/La are essential serological biomarkers
for rheumatic diseases, specifically Sjogren's syndrome (SS) and systemic lupus
erythematosus (SLE). Currently, laboratory detection technology and platforms are
designed with an emphasis on high-throughput methodology; therefore, the
relationship of sensitivity with specificity remains a significant area for
improvement. In this study, we used single-cell antibody nanowells (SCAN)
technology to directly profile individual B cells producing antibodies against
specific autoantigens such as SSA/Ro60 and SSB/La. METHODS: Peripheral blood
mononuclear cells were isolated using Ficoll gradient. Fluorescently labeled
cells were added to fabricated nanowells and imaged using a high-speed
epifluorescence microscope. The microengraving process was conducted using
printed slides coated with immunoglobulins. Printed slides were hybridized with
fluorescence-conjugated immunoglobulin G (IgG), SSA/Ro60, and SSB/La antigens.
Microarray spots were analyzed for nanowells with single live B cells that
produced antigen-specific autoantibodies. RESULTS: Our results indicate that SCAN
can simultaneously detect high frequencies of anti-SSA/Ro60 and anti-SSB/La with
a specific IgG isotype in peripheral blood mononuclear cells of patients, as well
as measure their individual secretion levels. The data showed that patients with
SS and SLE exhibited higher frequency and greater concentration of anti-SSA/Ro60-
and anti-SSB/La-producing B cells in the IgG isotype. Furthermore, individual B
cells of patients produced higher levels of IgG-specific anti-SSA/Ro60
autoantibody, but not IgG-specific anti-SSB/La autoantibody, compared with
healthy control subjects. CONCLUSIONS: These results support the application of
SCAN as a robust multiparametric analytical bioassay that can directly measure
secretion of autoantibody and accurately report antigen-specific, autoantibody
producing cells.
PMID- 27184056
TI - Value-modulated oculomotor capture by task-irrelevant stimuli is a consequence of
early competition on the saccade map.
AB - Recent research has shown that reward learning can modulate oculomotor and
attentional capture by physically salient and task-irrelevant distractor stimuli,
even when directing gaze to those stimuli is directly counterproductive to
receiving reward. This value-modulated oculomotor capture effect may reflect
biased competition in the oculomotor system, such that the relationship between a
stimulus feature and reward enhances that feature's representation on an internal
priority map. However, it is also possible that this effect is a result of reward
reducing the threshold for a saccade to be made to salient items. Here, we
demonstrate value-modulated oculomotor capture when two reward-associated
distractor stimuli are presented simultaneously in the same search display. The
influence of reward on oculomotor capture is found to be most prominent at the
shortest saccade latencies. We conclude that the value-modulated oculomotor
capture effect is a consequence of biased competition on the saccade priority map
and cannot be explained by a general reduction in saccadic threshold.
PMID- 27184057
TI - The effects of aging on the perception of depth from motion parallax.
AB - Successful navigation in the world requires effective visuospatial processing.
Unfortunately, older adults have many visuospatial deficits, which can have
severe real-world consequences. Although some of these age effects are well
documented, some others, such as the perception of depth from motion parallax,
are poorly understood. Depth perception from motion parallax requires intact
retinal image motion and pursuit eye movement processing. Decades of research
have shown that both motion processing and pursuit eye movements are affected by
age; it follows that older adults may also be less sensitive to depth from motion
parallax. The goals of the present study were to characterize motion parallax
depth thresholds in older adults, and to explain older adults' sensitivity to
depth from motion parallax in terms of motion and pursuit deficits. Younger and
older adults' motion thresholds and pursuit accuracy were measured. Observers'
depth thresholds across several different stimulus conditions were measured, as
well. Older adults had higher motion thresholds and less accurate pursuit than
younger adults. They were also less sensitive to depth from motion parallax at
slow and moderate pursuit speeds. Although older adults had higher motion
thresholds than younger adults, they used the available motion signals optimally,
and age differences in motion processing could not account for the older adults'
increased depth thresholds. Rather, these age effects can be explained by changes
in older adults' pursuit signals.
PMID- 27184058
TI - Alignment effects in beer mugs: Automatic action activation or response
competition?
AB - Responses to objects with a graspable handle are faster when the response hand
and handle orientation are aligned (e.g., a key press with the right hand is
required and the object handle is oriented to the right) than when they are not
aligned. This effect could be explained by automatic activation of specific motor
programs when an object is viewed. Alternatively, the effect could be explained
by competition at the response level. Participants performed a reach-and-grasp or
reach-and-button-press action with their left or right hand in response to the
color of a beer mug. The alignment effect did not vary as a function of the type
of action. In addition, the alignment effect disappeared in a go/no-go version of
the task. The same results were obtained when participants made upright/inverted
decisions, so that object shape was task-relevant. Our results indicate that
alignment effects are not due to automatic motor activation of the left or right
limb.
PMID- 27184059
TI - Post-Traumatic Headache Therapy in the Athlete.
AB - Post-traumatic headache can occur after any traumatic brain injury, regardless of
severity. Headache is consistently the most common symptom following concussion
and occurs in over 90% of athletes with sports-related concussion. Despite this
prevalence, the complaint of headache after a possible concussive injury is often
dismissed. Even when sports-related concussion is accurately diagnosed, many
athletes fall victim to mismanagement of this associated symptom by clinicians
who are not well-versed in headache treatment. Furthermore, benign headaches may
also occur incidentally in the context of head trauma. This complex, and often
non-specific, nature of headaches provides a significant challenge in return to
play decision-making. Post-traumatic headaches are generally categorized
according to primary headache disorders in an attempt to guide treatment;
however, there is minimal medical literature on headache management in the
concussed athlete. There is clearly a continued need for prospective studies of
existing treatments and new approaches.
PMID- 27184060
TI - The Pathophysiology of Concussion.
AB - Concussion is a significant issue in medicine and the media today. With growing
interest on the long-term effects of sports participation, it is important to
understand what occurs in the brain after an impact of any degree. While some of
the basic pathophysiology has been elucidated, much is still unknown about what
happens in the brain after traumatic brain injury, particularly with milder
injuries where no damage can be seen at the structural level on standard
neuroimaging. Understanding the chain of events from a cellular level using
studies investigating more severe injuries can help to drive research efforts in
understanding the symptomatology that is seen in the acute phase after
concussion, as well as point to mechanisms that may underlie persistent post
concussive symptoms. This review discusses the basic neuropathology that occurs
after traumatic brain injury at the cellular level. We also present the pathology
of chronic traumatic encephalopathy and its similarities to other
neurodegenerative diseases. We conclude with recent imaging and biomarker
findings looking at changes that may occur after repeated subconcussive blows,
which may help to guide efforts in understanding if cumulative subconcussive
mechanical forces upon the brain are detrimental in the long term or if
concussive symptoms mark the threshold for brain injury.
PMID- 27184061
TI - Ultraviolet B irradiation in the prevention of alloimmunization in a dog platelet
transfusion model.
AB - BACKGROUND: Alloimmune platelet (PLT) refractoriness remains a significant
problem for chronically transfused patients with thrombocytopenia. STUDY DESIGN
AND METHODS: In a dog PLT transfusion model, we evaluated ultraviolet B
irradiation (UV-B) of donor PLTs-either alone or in combination with centrifuge
leukoreduction (C-LR) or filtration leukoreduction (F-LR)-to prevent
refractoriness to donor PLTs and to induce tolerance to standard (STD) PLTs from
the same donor or to tertiary donors. RESULTS: Recipient acceptance rates for C
LR donor PLT transfusions were 14%, F-LR were 33%, and UV-B irradiated were 45%
with no significant differences among the treatments given to the donor's PLTs.
Adding UV-B irradiation to C-LR or F-LR PLTs increased acceptance rates to 50 and
68% (p = 0.02 and p = 0.05), respectively, comparing single treatments to the
combined treatments. After a recipient had accepted any type of UV-B-treated
donor PLTs, specific tolerance to subsequent transfusions of the same donor's STD
PLTs averaged 65%. Nonspecific tolerance to third-party donor's STD PLTs averaged
36% if they had accepted their initial donor's treated PLTs but was only 4% (p <
0.001) if they had rejected these PLTs. CONCLUSION: Combining UV-B irradiation
with a method of leukoreduction produces additive effects on prevention of
alloimmune PLT refractoriness.
PMID- 27184062
TI - A time for multi-scale modeling of anti-fibrotic therapies: Comment on "Towards a
unified approach in the modeling of fibrosis: A review with research
perspectives" by Martine Ben Amar and Carlo Bianca.
PMID- 27184063
TI - The remodeling of the fibers texture Comment on "Towards a unified approach in
the modeling of fibrosis: A review with research perspectives" by Martine Ben
Amar and Carlo Bianca.
PMID- 27184064
TI - Importance of Different Grades of Abdominal Obesity on Testosterone Level,
Erectile Dysfunction, and Clinical Coincidence.
AB - The aim of the current study was to investigate the influence of different grades
of abdominal obesity (AO) on the prevalence of testosterone deficiency syndrome
(TDS), erectile dysfunction (ED), and metabolic syndrome (MetS). In a cross
sectional descriptive study, a total of 216 males underwent a complete
urological, internal, and hormonal evaluation. Males were divided according to
waist circumference into five groups: less than 94 cm (Grade [G] 0), 94 to 101 cm
(G1), 102 to 109 cm (G2), 110 to 119 cm (G3), and more than 120 cm (G4).
Incidence of ED, TDS, and MetS was compared in these groups and in participants
without AO. Some degree of ED was identified in 74.7% of males with AO. In G1,
there were 61% of males with ED, in G2 68%, in G3 83%, and in G4 87%. A strong
correlation between testosterone (TST) level and AO was identified. Ninety-eight
out of 198 (49.5%) males with AO and 1/18 (5.5%) males without AO had TDS. There
were significant differences between individual groups. In the group of males
with AO G4 (more than 120 cm), 87.1% had TDS. MetS was diagnosed in 105/198
(53.0%) males with AO, but in G4, 83.9% of males with AO had MetS. Males older
than 40 years of age with AO have a higher incidence of ED, TDS, and MetS.
Dividing males into five groups according to waist circumference seems to be
reasonable. With growing AO, there were significantly more males with ED, TDS,
and MetS.
PMID- 27184065
TI - US warns against use of fluoroquinolones for uncomplicated infections.
PMID- 27184066
TI - Decreased expression of hyaluronan synthase 1 and 2 associates with poor
prognosis in cutaneous melanoma.
AB - BACKGROUND: Hyaluronan is a large extracellular matrix molecule involved in
several biological processes such as proliferation, migration and invasion. In
many cancers, hyaluronan synthesis is altered, which implicates disease
progression and metastatic potential. We have previously shown that synthesis of
hyaluronan and expression of its synthases 1-2 (HAS1-2) decrease in cutaneous
melanoma, compared to benign melanocytic lesions. METHODS: In the present study,
we compared immunohistological staining results of HAS1 and HAS2 with clinical
and histopathological parameters to investigate whether HAS1 or HAS2 has
prognostic value in cutaneous melanoma. The specimens consisted of 129 tissue
samples including superficial (Breslow <= 1 mm) and deep (Breslow > 4 mm)
melanomas and lymph node metastases. The differences in immunostainings were
analysed with non-parametric Mann-Whitney U test. Associations between
immunohistological staining results and clinical parameters were determined with
the chi(2) test. Survival between patient groups was compared by the Kaplan-Meier
method using log rank test and Cox's regression model was used for multivariate
analyses. RESULTS: The expression of HAS1 and HAS2 was decreased in deep
melanomas and metastases compared to superficial melanomas. Decreased
immunostaining of HAS2 in melanoma cells was significantly associated with
several known unfavourable histopathologic prognostic markers like increased
mitotic count, absence of tumor infiltrating lymphocytes and the nodular subtype.
Furthermore, reduced HAS1 and HAS2 immunostaining in the melanoma cells was
associated with increased recurrence of melanoma (p = 0.041 and p = 0.006,
respectively) and shortened disease- specific survival (p = 0.013 and p = 0.001,
respectively). CONCLUSIONS: This study indicates that reduced expression of HAS1
and HAS2 is associated with melanoma progression and suggests that HAS1 and HAS2
have a prognostic significance in cutaneous melanoma.
PMID- 27184067
TI - CD11c.DTR mice develop a fatal fulminant myocarditis after local or systemic
treatment with diphtheria toxin.
AB - To assess the role of alveolar macrophages (AMs) during a pulmonary Aspergillus
fumigatus infection AMs were depleted by intratracheal application of diphtheria
toxin (DTX) to transgenic CD11c.DTR mice prior to fungal infection. Unexpectedly,
all CD11c.DTR mice treated with DTX died within 4-5 days, whether being infected
with A. fumigatus or not. Despite measurable impact of DTX on lung functional
parameters, these constrictions could not explain the high mortality rate.
Instead, DTX-treated CD11c.DTR animals developed fulminant myocarditis (FM)
characterized by massive leukocyte infiltration and myocardial cell destruction,
including central parts of the heart's stimulus transmission system. In fact,
standard limb lead ECG recordings of diseased but not healthy mice showed a
"Brugada"-like pattern with an abnormally high ST segment pointing to enhanced
susceptibility for potential lethal arrhythmias. While CD11c.DTR mice are
extensively used for the characterization of CD11c(+) cells, including dendritic
cells, several studies have already mentioned adverse side effects following DTX
treatment. Our results demonstrate that this limitation is based on severe
myocarditis but not on the expected lung constrictions, and has to be taken into
consideration if this animal model is used. Based on these properties, however,
the CD11c.DTR mouse might serve as useful animal model for FM.
PMID- 27184068
TI - A Feasibility Study Showing [68Ga]Citrate PET Detects Prostate Cancer.
AB - PURPOSE: The management of advanced or recurrent prostate cancer is limited in
part by the lack of effective imaging agents. Metabolic changes in prostate
cancer have previously been exploited for imaging, culminating in the recent US
FDA approval of [11C]choline for the detection of subclinical recurrent disease
after definitive local therapy. Despite this milestone, production of
[11C]choline requires an on-site cyclotron, limiting the scope of medical centers
at which this scan can be offered. In this pilot study, we tested whether
prostate cancer could be imaged with positron emission tomography (PET) using
[68Ga]citrate, a radiotracer that targets iron metabolism but is produced without
a cyclotron. PROCEDURES: Eight patients with castrate-resistant prostate cancer
were enrolled in this single-center feasibility study. All patients had evidence
of metastatic disease by standard of care imaging [X-ray computed tomography
(CT), bone scan, or magnetic resonance imaging (MRI)] prior to PET with
[68Ga]citrate. Patients were intravenously injected with increasing doses of
[68Ga]citrate (136.9 to a maximum of 259 MBq). Uptake time was steadily increased
from 1 h to approximately 3.5 h for the final 4 patients, and all patients were
imaged with a PET/MRI. Qualitative and semi-quantitative (maximum standardized
uptake value (SUVmax)) assessment of the metastatic lesions was performed and
compared to the standard of care imaging. RESULTS: At 1- and 2-h imaging times
post injection, there were no detectable lesions with [68Ga]citrate PET. At 3- to
4-h uptake time, there were a total of 71 [68Ga]citrate-positive lesions (67
osseous, 1 liver, and 3 lymph node). Of these, 65 lesions were visible on the
standard of care imaging (CT and/or bone scan). One PET-avid osseous vertebral
body metastasis was not apparent on either CT or bone scan. Twenty-five lesions
were not PET-avid but seen on CT and bone scan (17 bone, 6 lymph node, 1 pleural,
and 1 liver). The average of the maximum SUVs for bone or soft tissue metastases
for patients treated at higher doses and uptake time was statistically higher
than the corresponding parameter in normal liver, muscle, and bone. Visually
obvious blood pool activity was observed even 3-4 h post injection, suggesting
that further optimization of the [68Ga]citrate imaging protocol is required to
maximize signal-to-background ratios. CONCLUSIONS: Our preliminary results
support that PET with [68Ga]citrate may be a novel tool for imaging prostate
cancer. Future studies are needed to determine the optimal imaging protocol, the
clinical significance of [68Ga]citrate uptake, and its role in therapeutic
decisions.
PMID- 27184069
TI - The Past, Present, and Future of Genetic Manipulation in Toxoplasma gondii.
AB - Toxoplasma gondii is a classic model for studying obligate intracellular
microorganisms as various genetic manipulation tools have been developed in T.
gondii over the past 20 years. Here we summarize the major strategies for T.
gondii genetic manipulation including genetic crosses, insertional mutagenesis,
chemical mutagenesis, homologous gene replacement, conditional knockdown
techniques, and the recently developed clustered regularly interspaced short
palindromic repeats (CRISPR)-Cas9 system. We evaluate the advantages and
limitations of each of these tools in a historical perspective. We also discuss
additional applications of modified CRISPR-Cas9 systems for use in T. gondii,
such as regulation of gene expression, labeling of specific genomic loci, and
epigenetic modifications. These approaches have the potential to revolutionize
the analysis of T. gondii biology and help us to better develop new drugs and
vaccines.
PMID- 27184070
TI - Reward positivity: Reward prediction error or salience prediction error?
AB - The reward positivity is a component of the human ERP elicited by feedback
stimuli in trial-and-error learning and guessing tasks. A prominent theory holds
that the reward positivity reflects a reward prediction error signal that is
sensitive to outcome valence, being larger for unexpected positive events
relative to unexpected negative events (Holroyd & Coles, 2002). Although the
theory has found substantial empirical support, most of these studies have
utilized either monetary or performance feedback to test the hypothesis. However,
in apparent contradiction to the theory, a recent study found that unexpected
physical punishments also elicit the reward positivity (Talmi, Atkinson, & El
Deredy, 2013). The authors of this report argued that the reward positivity
reflects a salience prediction error rather than a reward prediction error. To
investigate this finding further, in the present study participants navigated a
virtual T maze and received feedback on each trial under two conditions. In a
reward condition, the feedback indicated that they would either receive a
monetary reward or not and in a punishment condition the feedback indicated that
they would receive a small shock or not. We found that the feedback stimuli
elicited a typical reward positivity in the reward condition and an apparently
delayed reward positivity in the punishment condition. Importantly, this signal
was more positive to the stimuli that predicted the omission of a possible
punishment relative to stimuli that predicted a forthcoming punishment, which is
inconsistent with the salience hypothesis.
PMID- 27184071
TI - Highly Integrated Supercapacitor-Sensor Systems via Material and Geometry Design.
AB - An ultimate integration strategy making use of material and geometry is applied
in a proof-of-concept study. Integrated supercapacitor-sensor systems with the
capability of photodetecting and strain sensing are fabricated based on
multifunctional conducting polypyrrole and piezoresistive textile geometry,
respectively. This integration strategy enables promising applications for self
powered smart sensory, wearable and healthcare electronics.
PMID- 27184072
TI - St George Acute Care Team: the local variant of crisis resolution model of care.
AB - OBJECTIVES: The objective of this study was to describe functioning and clinical
activities of the St George Acute Care Team and how it compares to the typical
crisis resolution model of care. METHODS: Descriptive data including
demographics, sources of referral, type of clinical intervention, length of stay,
diagnoses and outcomes were collected from records of all patients who were
discharged from the team during a 10 week period. RESULTS: There were 677
referrals. The team's functions consisted of post-discharge follow-up (31%),
triage and intake (30%), case management support (23%) and acute community based
assessment and treatment (16%). The average length of stay was 5 days. The
majority of patients were diagnosed with a mood (23%) or a psychotic (25%)
disorder. Points of contrast to other reported crisis resolution teams include
shorter length of stay, relatively less focus on direct clinical assessment and
more telephone follow-up and triage. CONCLUSION: St George Acute Care Team
provides a variety of clinical activities. The focus has shifted away from the
original model of crisis resolution care to meet local and governmental
requirements.
PMID- 27184073
TI - Dominant factors limiting the optical gain in layered two-dimensional halide
perovskite thin films.
AB - Semiconductors are ubiquitous gain media for coherent light sources. Solution
processed three-dimensional (3D) halide perovskites (e.g., CH3NH3PbI3) with their
outstanding room temperature optical gain properties are the latest members of
this family. Their two-dimensional (2D) layered perovskite counterparts with
natural multiple quantum well structures exhibit strong light-matter interactions
and intense excitonic luminescence. However, despite such promising traits, there
have been no reports on room temperature optical gain in 2D layered perovskites.
Herein, we reveal the challenges towards achieving amplified spontaneous emission
(ASE) in the archetypal (C6H5C2H4NH3)2PbI4 (or PEPI) system. Temperature
dependent transient spectroscopy uncovers the dominant free exciton trapping and
bound biexciton formation pathways that compete effectively with biexcitonic
gain. Phenomenological rate equation modeling predicts a large biexciton ASE
threshold of ~1.4 mJ cm(-2), which is beyond the damage threshold of these
materials. Importantly, these findings would rationalize the difficulties in
achieving optical gain in 2D perovskites and provide new insights and suggestions
for overcoming these challenges.
PMID- 27184074
TI - A novel procedure for the assessment of the antioxidant capacity of food
components.
AB - Carbonylation, an oxidative modification of the amino group of arginine and
lysine residues caused by reactive oxygen species, has emerged as a new type of
oxidative damage. Protein carbonylation has been shown to exert adverse effects
on various protein functions. Recently, the role of food components in the
attenuation of oxidative stress has been the focus of many studies. Most of these
studies focused on the chemical properties of food components. However, it is
also important to determine their effects on protein functions via post
translational modifications. In this study, we developed a novel procedure for
evaluating the antioxidant capacity of food components. Hydrogen peroxide (H2O2)
induced protein carbonylation in HL-60 cells was quantitatively analyzed by using
fluorescent dyes (Cy5-hydrazide dye and IC3-OSu dye), followed by sodium dodecyl
sulfate-polyacrylamide gel electrophoresis (SDS-PAGE) and fluorescence
determination. Among a panel of food components tested, quinic acid, kaempferol,
saponin, squalene, trigonelline, and mangiferin were shown to be capable of
suppressing protein carbonylation in HL-60 cells. Our results demonstrated that
this fluorescence labeling/SDS-PAGE procedure allows for the detection of
oxidative stress-induced protein carbonylation with high sensitivity and
quantitative accuracy. This method should be useful for the screening of new
antioxidant food components as well as the analysis of their suppression
mechanism.
PMID- 27184075
TI - Mesorectal node metastasis from gynecological cancer in the era of 3D conformal
pelvic radiation therapy and intensity modulated radiation therapy.
PMID- 27184076
TI - The gain-of-function enhancement of IP3-receptor channel gating by familial
Alzheimer's disease-linked presenilin mutants increases the open probability of
mitochondrial permeability transition pore.
AB - Mutants in presenilins (PS1 or PS2) are the major cause of familial Alzheimer's
disease (FAD). They affect intracellular Ca(2+) homeostasis by increasing the
open probability (Po) of inositol 1,4,5-trisposphate (IP3) receptor (IP3R) Ca(2+)
release channel located on the endoplasmic reticulum (ER) leading to exaggerated
Ca(2+) release into a cytoplasmic microdomain formed by neighboring cluster of a
few IP3R channels and mitochondrial Ca(2+) uniporter (MCU). Ca(2+) concentration
in the microdomain ( [Formula: see text] ) depends on the distance between the
cluster and MCU (r); the number of IP3R in the cluster releasing Ca(2+) to the
cytoplasm ( [Formula: see text] ), and Po of IP3R. Using experimental whole-cell
IP3R-mediated cytosolic Ca(2+) data, in conjunction with a computational model of
cell bioenergetics, a data-driven Markov chain model for IP3R gating, and a model
for the dynamics of the mitochondrial permeability transition pore (PTP), we
explore differences in mitochondrial Ca(2+) uptake in cells expressing wild type
(PS1-WT) and FAD-causing mutant (PS1-M146L) PS. We find that increased
mitochondrial [Formula: see text] due to the gain-of-function enhancement of IP3R
channels in the cells expressing PS1-M146L leads to the opening of PTP in high
conductance state (PTPh), where the latency of opening is inversely correlated
with r and proportional to [Formula: see text] . Furthermore, we observe
diminished inner mitochondrial membrane potential (DeltaPsim), [NADH], [Formula:
see text] , and [ATP] when PTP opens. Additionally, we explore how parameters
such as the pH gradient, inorganic phosphate concentration, and the rate of the
Na(+)/Ca(2+)-exchanger affect the latency of PTP to open in PTPh.
PMID- 27184079
TI - In Vivo Mapping of Eukaryotic RNA Interactomes Reveals Principles of Higher-Order
Organization and Regulation.
AB - Identifying pairwise RNA-RNA interactions is key to understanding how RNAs fold
and interact with other RNAs inside the cell. We present a high-throughput
approach, sequencing of psoralen crosslinked, ligated, and selected hybrids
(SPLASH), that maps pairwise RNA interactions in vivo with high sensitivity and
specificity, genome-wide. Applying SPLASH to human and yeast transcriptomes
revealed the diversity and dynamics of thousands of long-range intra- and
intermolecular RNA-RNA interactions. Our analysis highlighted key structural
features of RNA classes, including the modular organization of mRNAs, its impact
on translation and decay, and the enrichment of long-range interactions in
noncoding RNAs. Additionally, intermolecular mRNA interactions were organized
into network clusters and were remodeled during cellular differentiation. We also
identified hundreds of known and new snoRNA-rRNA binding sites, expanding our
knowledge of rRNA biogenesis. These results highlight the underexplored
complexity of RNA interactomes and pave the way to better understanding how RNA
organization impacts biology.
PMID- 27184080
TI - Global Mapping of Human RNA-RNA Interactions.
AB - The majority of the human genome is transcribed into non-coding (nc)RNAs that
lack known biological functions or else are only partially characterized.
Numerous characterized ncRNAs function via base pairing with target RNA sequences
to direct their biological activities, which include critical roles in RNA
processing, modification, turnover, and translation. To define roles for ncRNAs,
we have developed a method enabling the global-scale mapping of RNA-RNA duplexes
crosslinked in vivo, "LIGation of interacting RNA followed by high-throughput
sequencing" (LIGR-seq). Applying this method in human cells reveals a remarkable
landscape of RNA-RNA interactions involving all major classes of ncRNA and mRNA.
LIGR-seq data reveal unexpected interactions between small nucleolar (sno)RNAs
and mRNAs, including those involving the orphan C/D box snoRNA, SNORD83B, that
control steady-state levels of its target mRNAs. LIGR-seq thus represents a
powerful approach for illuminating the functions of the myriad of uncharacterized
RNAs that act via base-pairing interactions.
PMID- 27184077
TI - U2AF35(S34F) Promotes Transformation by Directing Aberrant ATG7 Pre-mRNA 3' End
Formation.
AB - Recurrent mutations in the splicing factor U2AF35 are found in several cancers
and myelodysplastic syndrome (MDS). How oncogenic U2AF35 mutants promote
transformation remains to be determined. Here we derive cell lines transformed by
the oncogenic U2AF35(S34F) mutant and identify aberrantly processed pre-mRNAs by
deep sequencing. We find that in U2AF35(S34F)-transformed cells the autophagy
related factor 7 (Atg7) pre-mRNA is abnormally processed, which unexpectedly is
not due to altered splicing but rather selection of a distal cleavage and
polyadenylation (CP) site. This longer Atg7 mRNA is translated inefficiently,
leading to decreased ATG7 levels and an autophagy defect that predisposes cells
to secondary mutations, resulting in transformation. MDS and acute myeloid
leukemia patient samples harboring U2AF35(S34F) have a similar increased use of
the ATG7 distal CP site, and previous studies have shown that mice with
hematopoietic cells lacking Atg7 develop an MDS-like syndrome. Collectively, our
results reveal a basis for U2AF35(S34F) oncogenic activity.
PMID- 27184081
TI - Identification and molecular docking studies for novel inverse agonists of SREB,
super conserved receptor expressed in brain.
AB - The identification of novel synthetic ligands for G protein-coupled receptors
(GPCRs) is important not only for understanding human physiology, but also for
the development of novel drugs, especially for orphan GPCRs for which endogenous
ligands are unknown. One of the orphan GPCR subfamilies, Super conserved Receptor
Expressed in Brain (SREB), consists of GPR27, GPR85 and GPR173 and is expressed
in the central nervous system. We report herein the identification of inverse
agonists for the SREB family without their agonists. We carried out an in vitro
screening of 5472 chemical compounds from the RIKEN NPDepo chemical library. The
binding of [(35) S]GTPgammaS to the GPR173-Gsalpha fusion protein expressed in
Sf9 cells was measured and resulted in the identification of 8 novel GPR173
inverse agonists. The most potent compound showed an IC50 of approximately 8 MUm.
The identified compounds were also antagonists for other SREB members, GPR27 and
GPR85. These results indicated that the SREB family could couple Gs-type G
proteins, and SREB-Gsalpha fusion proteins showed significant constitutive
activities. Moreover, a molecular model of GPR173 was constructed using the
screening results. The combination of computational and biological methods will
provide a unique approach to ligand identification for orphan GPCRs and brain
research.
PMID- 27184078
TI - Activation of Mitofusin2 by Smad2-RIN1 Complex during Mitochondrial Fusion.
AB - Smads are nuclear-shuttling transcriptional mediators of transforming growth
factor-beta (TGF-beta) signaling. Although their essential nuclear roles in gene
regulation during development and carcinogenesis are well established, whether
they have important cytoplasmic functions remains unclear. Here we report that
Smad2 is a critical determinant of mitochondrial dynamics. We identified
mitofusin2 (MFN2) and Rab and Ras Interactor 1 (RIN1) as new Smad2 binding
partners required for mitochondrial fusion. Unlike TGF-beta-induced Smad2/3
transcriptional responses underlying mitochondrial fragmentation and apoptosis,
inactive cytoplasmic Smad2 rapidly promotes mitochondrial fusion by recruiting
RIN1 into a complex with MFN2. We demonstrate that Smad2 is a key scaffold,
allowing RIN1 to act as a GTP exchange factor for MFN2-GTPase activation to
promote mitochondrial ATP synthesis and suppress superoxide production. These
results reveal functional implications between Smads and mitochondrial
dysfunction in cancer and metabolic and neurodegenerative disorders.
PMID- 27184082
TI - Collegium Ramazzini: Comments on the 2014 Helsinki consensus report on asbestos.
PMID- 27184084
TI - Variation in antral follicle counts at different times in the menstrual cycle:
does it matter?
AB - Antral follicle count (AFC) variation was examined across the menstural cycle and
its effect on clinical management assessed. In 79 women, AFC was documented in
early (iAFC) and late follicular phase (sAFC). Absolute agreement between iAFC
and sAFC and agreement for classification into categories of risk of extremes of
ovarian response were examined. Ovarian stimulation protocols designed with iAFC
and sAFC, and the predictive value of iAFC and sAFC for extremes of ovarian
response, were compared in women undergoing ovarian stimulation. Significant
differences were found between iAFC and sAFC (16 [IQR 9-24] versus 13 [IQR 7-
21]; P = 0.001), with moderate agreement for the classification into at risk of
extremes of response (k = 0.525). Agreement for protocol selection based on
either AFC (k = 0.750) and starting gonadotrophin dose was good (concordance
correlation coefficient 0.970 [95% CI 0.951 to 0.982]). Predictive value for iAFC
and sAFC was maintained for poor ovarian response and risk of ovarian
hyperstimulation syndrome (OR 0.634 [0.427 to 0.920], 0.467 [0.233 to 0.935]) and
(OR 1.049 [0.974 to 1.131], 1.140 [1.011 to 1.285]). Across the cycle, AFC varies
but does not significantly affect ovarian stimulation protocol design and
prediction of extreme ovarian response.
PMID- 27184083
TI - Detection of Serum Interleukin-6/10/18 Levels in Sepsis and Its Clinical
Significance.
AB - OBJECTIVE: To explore the clinical significance of serum levels of IL-6/10/18 in
sepsis. METHODS: Sixty-six patients with sepsis were selected to be the case
group. Additionally, 42 healthy adults were selected to be the control group.
ELISA was used to measure the serum levels of IL-6/10/18, and ROC was utilized to
evaluate the diagnostic values of IL-6/10/18 in sepsis. RESULTS: The heart rate,
respiratory rate, WBC count and APACHE II score in the sepsis group were
significantly higher than those in the control group, and these indexes were
increased in turn in the mild sepsis group, severe sepsis group, and septic shock
group (all P < 0.05 after correction). The serum IL-6/18 levels in sepsis
patients were significantly higher than those in the control group, and both of
the levels were increased in turn in the mild sepsis group, severe sepsis group,
and septic shock group (both P < 0.05). However, no significant difference was
found in serum IL-10 level between groups (P > 0.05). The cut-off points of IL-6
and IL-18 were 109.19 pg/ml (sensitivity: 94.4%; specificity: 83.3%) and 116.01
pg/ml (sensitivity: 77.8%; specificity: 83.3%), respectively. Serum IL-6 levels
were positively correlated with the APACHE II score and heart rate (both P <
0.001). CONCLUSION: Serum levels of IL-6/8 are up-regulated in sepsis patients.
Additionally, IL-6 has a greater sensitivity than IL-18. Serum IL-6 levels were
positively correlated with the APACHE II score and heart rate, indicating that IL
6 could be used as a potential biomarker for sepsis.
PMID- 27184085
TI - The precision of bacterial quantification techniques on different kinds of
environmental samples and the effect of ultrasonic treatment.
AB - The precision of cell number quantification in environmental samples depends on
the complexity of the sample and on the applied technique. We compared
fluorescence microscopy after filtration, quantification of gene copies and the
cultivation based most probable number technique for their precision. We further
analyzed the effect of increasing complexity of the sample material on the
precision of the different methods by using pure cultures of Pseudomonas
aeruginosa, fresh water samples and sediment slurries with and without ultrasonic
treatment for analyses. Microscopy reached the highest precision, which was
similar between pure cultures and water samples, but lower for sediment samples
due to a higher percentage of cells in clusters and flocks. The PCR based
quantification was most precise for pure cultures. Water and sediment samples
were similar but less precise, which might be caused by the applied DNA
extraction techniques. MPN measurements were equally precise for pure cultures
and water samples. For sediment slurries the precision was slightly lower. The
applied ultrasonic treatment of the slurries dispersed the cell clusters and
flocks, increased the precision of microscopical and MPN measurements and also
increased the number of potential colony forming units. However, the culturable
cell number decreased by half. For MPN quantification of viable cells in samples
with a high proportion of clustered cells we therefore recommend an optimization
of ultrasonic treatment and a confirmation by microscopy and cultivation to reach
highest possible dispersion of the cells with a minimum of inactivation. As a
result of these observations we suggest a correction factor for MPN measurements
to consider the effect of sonication on complex samples. The results are most
likely applicable to other complex samples such as soil or biofilms.
PMID- 27184086
TI - A simultaneous two-colour detection method of human IgG- and IgE-reactive
proteins from lactic acid bacteria.
AB - Whole cell extracts of two Lactobacillus strains were tested with primary
antibodies from two pooled sera from allergic patients. Fluorescently labelled
anti-human IgG and anti-human IgE secondary antibodies applied in Western
blotting, together with an appropriate image acquisition protocol facilitated
imagining bacterial proteins that reacted with human IgG and IgE.
PMID- 27184088
TI - Antibiotic prophylaxis for cardiac surgery in a setting with high prevalence of
extended-spectrum beta-lactamase-producing Gram-negative bacteria.
PMID- 27184087
TI - Whole-genome sequencing as standard practice for the analysis of clonality in
outbreaks of meticillin-resistant Staphylococcus aureus in a paediatric setting.
AB - Meticillin-resistant Staphylococcus aureus (MRSA) is one of the leading causes of
hospital-associated infections. This study investigated the potential use of
whole-genome sequencing (WGS) for surveillance purposes by re-examining MRSA
strains related to past outbreaks among hospitalized paediatric patients. WGS
data ameliorated the genotypic profile previously obtained with Sanger sequencing
and pulsed-field gel electrophoresis typing, and discriminated between strains
that were related and unrelated to the outbreaks. This allowed strain clonality
to be defined with a higher level of resolution than achieved previously. This
study demonstrates the potential of WGS to trace hospital outbreaks, which may
lead to WGS becoming standard practice in outbreak investigations.
PMID- 27184089
TI - Anterior spinal artery syndrome after spinal anaesthesia for caesarean delivery
with normal lumbar and thoracic magnetic resonance imaging.
PMID- 27184090
TI - First total synthesis of (+)-broussonetine W: glycosidase inhibition of natural
product & analogs.
AB - The first total synthesis of (+)-broussonetine W (4), a naturally-occurring
pyrrolidine iminosugar isolated from the traditional Chinese medical plant
Broussonetia kazinoki SIEB (Moraceae), has been completed through a concise
synthetic route starting from the readily available d-arabinose derived cyclic
nitrone 10 in 11 steps and 31% overall yield, with regioselective installation of
the alpha,beta-unsaturated ketone functional group by the elimination of HBr from
alpha-bromoketone as the key step. A number of analogs of (+)-broussonetine W (4)
with variable side chain length, different polyhydroxylated pyrrolidine core
configurations or saturated cyclohexanones have also been prepared to explore the
glycosidase inhibition and the preliminary structure-activity relationship of
this intriguing class of compounds. Glycosidase inhibition studies identified the
natural product (+)-broussonetine W (4) as a selective and potent inhibitor of
beta-galactosidase (IC50 = 0.03 MUM), while its enantiomer was a selective and
potent inhibitor of alpha-glucosidase (IC50 = 0.047 MUM). It was found that the
configuration of the polyhydroxylated pyrrolidine ring played a key role on their
glycosidase inhibitory activities. The length of side chain and alpha,beta
unsaturated ketone functional group also exhibited some effect on their
glycosidase inhibition.
PMID- 27184091
TI - Transfer-Printed PEDOT:PSS Electrodes Using Mild Acids for High Conductivity and
Improved Stability with Application to Flexible Organic Solar Cells.
AB - Highly conductive, flexible, and transparent electrodes (FTEs) of PEDOT: PSS
films on plastic substrates have been achieved using strong acid treatments.
However, it is rare to realize a performance attenuation of PEDOT: PSS FTEs on
plastic substrates and flexible optoelectronic devices because of strong acid
residues in the PEDOT: PSS matrix. Herein, we develop a feasible transfer
printing technique using mild acids. Because of a mild and weak property of these
acids and less acid residues in PEDOT: PSS matrix, the transferred PEDOT: PSS
FTEs exhibited a significant enhancement in stability, conductivity (3500 S cm(
1)), transparency, and mechanical flexibility on plastic substrates. Flexible
organic solar cells with the FTEs also showed a remarkable enhancement in power
conversion efficiency and stability in the ambient atmosphere. It is expected
that the novel transfer-printing technique for making PEDOT: PSS FTEs is also
useful in many other types of flexible optoelectronic devices.
PMID- 27184092
TI - Electronic cigarette exposure triggers neutrophil inflammatory responses.
AB - BACKGROUND: The use of electronic cigarettes (e-cigs) is increasing and there is
widespread perception that e-cigs are safe. E-cigs contain harmful chemicals;
more research is needed to evaluate the safety of e-cig use. Our aim was to
investigate the effects of e-cigs on the inflammatory response of human
neutrophils. METHODS: Neutrophils were exposed to e-cig vapour extract (ECVE) and
the expression of CD11b and CD66b was measured by flow cytometry and MMP-9 and
CXCL8 by ELISA. We also measured the activity of neutrophil elastase (NE) and MMP
9, along with the activation of inflammatory signalling pathways. Finally we
analysed the biochemical composition of ECVE by ultra-high performance liquid
chromatography mass spectrometry. RESULTS: ECVE caused an increase in the
expression of CD11b and CD66b, and increased the release of MMP-9 and CXCL8.
Furthermore, there was an increase in NE and MMP-9 activity and an increase in
p38 MAPK activation. We also identified several harmful chemicals in ECVE,
including known carcinogens. CONCLUSIONS: ECVE causes a pro-inflammatory response
from human neutrophils. This raises concerns over the safety of e-cig use.
PMID- 27184093
TI - Impact of a bronchial genomic classifier on clinical decision making in patients
undergoing diagnostic evaluation for lung cancer.
AB - BACKGROUND: Bronchoscopy is frequently used for the evaluation of suspicious
pulmonary lesions found on computed tomography, but its sensitivity for detecting
lung cancer is limited. Recently, a bronchial genomic classifier was validated to
improve the sensitivity of bronchoscopy for lung cancer detection, demonstrating
a high sensitivity and negative predictive value among patients at intermediate
risk (10-60 %) for lung cancer with an inconclusive bronchoscopy. Our objective
for this study was to determine if a negative genomic classifier result that down
classifies a patient from intermediate risk to low risk (<10 %) for lung cancer
would reduce the rate that physicians recommend more invasive testing among
patients with an inconclusive bronchoscopy. METHODS: We conducted a randomized,
prospective, decision impact survey study assessing pulmonologist recommendations
in patients undergoing workup for lung cancer who had an inconclusive
bronchoscopy. Cases with an intermediate pretest risk for lung cancer were
selected from the AEGIS trials and presented in a randomized fashion to
pulmonologists either with or without the patient's bronchial genomic classifier
result to determine how the classifier results impacted physician decisions.
RESULTS: Two hundred two physicians provided 1523 case evaluations on 36
patients. Invasive procedure recommendations were reduced from 57 % without the
classifier result to 18 % with a negative (low risk) classifier result (p <
0.001). Invasive procedure recommendations increased from 50 to 65 % with a
positive (intermediate risk) classifier result (p < 0.001). When stratifying by
ultimate disease diagnosis, there was an overall reduction in invasive procedure
recommendations in patients with benign disease when classifier results were
reported (54 to 41 %, p < 0.001). For patients ultimately diagnosed with
malignant disease, there was an overall increase in invasive procedure
recommendations when the classifier results were reported (50 to 64 %, p =
0.003). CONCLUSIONS: Our findings suggest that a negative (low risk) bronchial
genomic classifier result reduces invasive procedure recommendations following an
inconclusive bronchoscopy and that the classifier overall reduces invasive
procedure recommendations among patients ultimately diagnosed with benign
disease. These results support the potential clinical utility of the classifier
to improve management of patients undergoing bronchoscopy for suspect lung cancer
by reducing additional invasive procedures in the setting of benign disease.
PMID- 27184094
TI - A smart upconversion-based light-triggered polymer for synergetic chemo
photodynamic therapy and dual-modal MR/UCL imaging.
AB - We have developed a novel nanocomposite to achieve effective therapy and live
surveillance of tumor tissue. In this study, fullerene (C60) with iron oxide
(Fe3O4) nanoparticles and upconversion nanophosphors (UCNPs) was loaded into N
succinyl-N'-4-(2-nitrobenzyloxy)-succinyl-chitosan micelles (SNSC) with good
biocompatibility. In addition, hydrophobic anticancer drug docetaxel (DTX) was
also loaded into the nanocomposites. The experiments conducted in vitro and in
vivo demonstrated that C60/Fe3O4-UCNPs@DTX@SNSC can act synergistically to kill
tumor cells by releasing chemotherapy drugs at specific target site as well as
generating reactive oxygen using 980nm. In addition, it can also be used for non
invasive deep magnetic resonance and upconversion fluorescence dual-mode imaging.
The results indicated that this system provided an efficient method to surmount
the drawback of UV or visible light-responsive polymeric systems for controlled
drug release and generated reactive oxygen in deep tissues and ultimately
realized the integration of dual-modal imaging and treatment.
PMID- 27184096
TI - Multifunctional BSA-Au nanostars for photoacoustic imaging and X-ray computed
tomography.
AB - We report the synthesis and characterization of bovine serum albumin-capped Au
nanostars (BSA-AuNSs) for dual-modal computed tomography (CT)/photoacoustic (PA)
imaging application. The BSA-AuNSs have an average size of 85nm, and a surface
plasmon resonance (SPR) peak at approximately 770nm. They have excellent
biocompatibility, good X-ray attenuation, and great PA contrast enhancement
properties. When injected intravenously, liver signal markedly increases in both
CT and PA modalities. The in vivo biodistribution studies and pathology results
showed that the BSA-AuNSs were mainly excreted through the liver and intestines
with no obvious biotoxicity. These results indicate that BSA-AuNSs have high
potential to be used as dual-modal CT/PA imaging contrast agents or further used
to develop targeted probes. This preliminary study suggests that PA tomography
may be used to non-invasively trace the kinetics and biodistribution of the
nanoparticles.
PMID- 27184095
TI - Polymer nanocomposites enhance S-nitrosoglutathione intestinal absorption and
promote the formation of releasable nitric oxide stores in rat aorta.
AB - Alginate/chitosan nanocomposite particles (GSNO-acNCPs), i.e. S
nitrosoglutathione (GSNO) loaded polymeric nanoparticles incorporated into an
alginate and chitosan matrix, were developed to increase the effective GSNO
loading capacity, a nitric oxide (NO) donor, and to sustain its release from the
intestine following oral administration. Compared with free GSNO and GSNO loaded
nanoparticles, GSNO-acNCPs promoted 2.7-fold GSNO permeation through a model of
intestinal barrier (Caco-2 cells). After oral administration to Wistar rats, GSNO
acNCPs promoted NO storage into the aorta during at least 17h, as highlighted by
(i) a long-lasting hyporeactivity to phenylephrine (decrease in maximum
vasoconstrictive effect of aortic rings) and (ii) N-acetylcysteine (a thiol which
can displace NO from tissues)-induced vasodilation of aorxxtic rings
preconstricted with phenylephrine. In conclusion, GSNO-acNCPs enhance GSNO
intestinal absorption and promote the formation of releasable NO stores into the
rat aorta. GSNO-acNCPs are promising carriers for chronic oral application
devoted to the treatment of cardiovascular diseases.
PMID- 27184097
TI - Nanostructured glycopolymer augmented liposomes to elucidate carbohydrate
mediated targeting.
AB - Carbohydrate receptors on alveolar macrophages are attractive targets for
receptor-mediated delivery of nanostructured therapeutics. In this study, we
employed reversible addition fragmentation chain transfer polymerization to
synthesize neoglycopolymers, consisting of mannose- and galactose methacrylate
based monomers copolymerized with cholesterol methacrylate for use in functional
liposome studies. Glycopolymer-functional liposomes were employed to elucidate
macrophage mannose receptor (CD206) and macrophage galactose-type lectin (CD301)
targeting in both primary macrophage and immortal macrophage cell lines.
Expression of CD206 and CD301 was observed to vary significantly between cell
lines (murine alveolar macrophage, murine bone marrow-derived macrophage,
RAW264.7, and MH-S), which has significant implications in in vitro targeting and
uptake studies. Synthetic glycopolymers and glycopolymer augmented liposomes
demonstrated specific receptor-mediated uptake in a manner dependent on
carbohydrate receptor expression. These results establish a platform capable of
probing endogenous carbohydrate receptor-mediated targeting via glycofunctional
nanomaterials.
PMID- 27184098
TI - Electrohydrodynamic encapsulation of cisplatin in poly (lactic-co-glycolic acid)
nanoparticles for controlled drug delivery.
AB - Targeted delivery of potent, toxic chemotherapy drugs, such as cisplatin, is a
significant area of research in cancer treatment. In this study, cisplatin was
successfully encapsulated with high efficiency (>70%) in poly (lactic-co-glycolic
acid) polymeric nanoparticles by using electrohydrodynamic atomization (EHDA)
where applied voltage and solution flow rate as well as the concentration of
cisplatin and polymer were varied to control the size of the particles. Thus,
nanoparticles were produced with three different drug:polymer ratios (2.5, 5 and
10wt% cisplatin). It was shown that smaller nanoparticles were produced with
10wt% cisplatin. Furthermore, these demonstrated the best sustained release
(smallest burst release). By fitting the experimental data with various kinetic
models it was concluded that the release is dependent upon the particle
morphology and the drug concentration. Thus, these particles have significant
potential for cisplatin delivery with controlled dosage and release period that
are crucial chemotherapy parameters.
PMID- 27184099
TI - Systemic Review of Anatomic Single- Versus Double-Bundle Anterior Cruciate
Ligament Reconstruction: Does Femoral Tunnel Drilling Technique Matter?
AB - PURPOSE: To provide an up-to-date assessment of the difference between anatomic
double-bundle anterior cruciate ligament (ACL) reconstruction (DB-ACLR) and
anatomic single-bundle ACL reconstruction (SB-ACLR). We hypothesized that
anatomic SB-ACLR using independent femoral drilling technique would be able to
achieve kinematic stability as with anatomic DB-ACLR. METHODS: A comprehensive
Internet search was performed to identify all therapeutic trials of anatomic DB
ACLR versus anatomic SB-ACLR. Only clinical studies of Level I and II evidence
were included. The comparative outcomes were instrument-measured anterior laxity,
Lachman test, pivot shift, clinical outcomes including objective/subjective
International Knee Documentation Committee (IKDC) score, Lysholm score, Tegner
activity scale and complication rates of extension/flexion deficits, graft
failure, and early osteoarthritis. Subgroup analyses were performed for femoral
tunnel drilling techniques including independent drilling and transtibial (TT)
drilling. RESULTS: Twenty-two clinical trials of 2,261 anatomically ACL
reconstructed patients were included in the meta-analysis. Via TT drilling
technique, anatomic DB-ACLR led to improved instrument-measured anterior laxity
with a standard mean difference (SMD) of -0.42 (95% confidence interval [CI] =
0.81 to -0.02), less rotational instability measured by pivot shift (SMD = 2.76,
95% CI = 1.24 to 6.16), and higher objective IKDC score with odds ratio (OR) of
2.28 (95% CI = 1.19 to 4.36). Via independent drilling technique, anatomic DB
ACLR yielded better pivot shift (SMD = 2.04, 95% CI = 1.36 to 3.05). Anatomic DB
ACLR also revealed statistical significance in subjective IKDC score compared
with anatomic SB-ACLR (SMD = 0.27, 95% CI = 0.05 to 0.49). CONCLUSIONS: Anatomic
DB-ACLR showed better anterior and rotational stability and higher objective IKDC
score than anatomic SB-ACLR via TT drilling technique. Via independent drilling
technique, however, anatomic DB-ACLR only showed superiority of rotational
stability. All clinical function outcomes except subjective IKDC score were not
significantly different between anatomic DB-ACLR and SB-ACLR. LEVEL OF EVIDENCE:
Level II, meta-analysis of Level I and II studies.
PMID- 27184100
TI - Repair of Meniscal Ramp Lesions Through a Posteromedial Portal During Anterior
Cruciate Ligament Reconstruction: Outcome Study With a Minimum 2-Year Follow-up.
AB - PURPOSE: To evaluate the results of arthroscopic all-inside suture repair of
medial meniscal ramp lesions through a posteromedial portal during anterior
cruciate ligament (ACL) reconstruction. METHODS: All patients who underwent a
suture of the posterior segment of the medial meniscus using a suture hook device
through a posteromedial portal during ACL reconstruction with minimum 2 year
follow-up were included in the study. Repair was performed for longitudinal tears
within the rim of less than 3 mm (capsulomeniscal junction or red-red zone) or 3
to 5 mm (red-white zone) of an unstable torn meniscus. Patients were assessed pre
and postoperatively with IKDC score and Tegner activity scale. Instrumented knee
testing was performed with the Rolimeter arthrometer. Complications including
reoperation for failed meniscal repair were also recorded. RESULTS: One hundred
thirty-two patients met the inclusion criteria. The mean follow-up time was 27
months (range, 24 to 29 months). The average subjective IKDC rose from 63.8 +/-
13.5 (range, 27 to 92) preoperatively to 85.7 +/- 12 (range, 43 to 100) at last
follow-up (P < .0001). The Rolimeter test decreased from a side-to-side
difference in anterior knee laxity of 7 mm (range, 5 to 14 mm) to a mean value of
0.4 mm (range, -3 to 5 mm) at last follow-up (P < .0001). The Tegner activity
scale at the last follow-up (6.9 +/- 1.72) was slightly lower than that before
surgery (7.2 +/- 1.92; P = .0017). Nine patients (6.8%) had failure of the
meniscal repair. In 5 cases, recurrent tears were related to a newly formed tear
located anterior to the initial tear. CONCLUSIONS: Our results show that
arthroscopic meniscal repair of ramp lesions during ACL reconstruction through a
posteromedial portal provided a high rate of meniscus healing at the level of the
tear and appeared to be safe and effective in this group of patients. LEVEL OF
EVIDENCE: Level IV, therapeutic study, case series (no control group).
PMID- 27184101
TI - Characterization of tableting properties measured with a multi-functional
compaction instrument for several pharmaceutical excipients and actual tablet
formulations.
AB - Before designing tablet formulations, it is important to understand the
"Tableting Properties" of excipients and API (active pharmaceutical ingredient)
powders. Those properties refer to "Compressibility", "Compactability" and
"Manufacturability", which are difficult to evaluate quantitatively. In this
study, we aimed to evaluate the "Tableting Properties" by using a benchtop single
punch tablet press, developed recently to measure these parameters using a single
device. In order to facilitate understanding of the results visually, we proposed
a new plot, where the X-axis showed the tensile fracture stress and the Y-axis
showed the ejection stress. This plot, which is composed of four regions, shows
the combination of "Compactability" and "Manufacturability". We confirmed the
ability of this device to evaluate the characteristics of typical pharmaceutical
additives as a value of "Tableting Properties". Losartan potassium was used as an
API, and Dilactose R and MCC as an excipient with good "Tableting Properties".
The ejection stresses of losartan potassium and Dilactose R were very high. An
increase in magnesium stearate shifted the point along the Y-axis in this plot,
and it meant an improvement in "Manufacturability". It was confirmed that the
device and plot are useful in designing formulations efficiently using a small
amount of sample powders.
PMID- 27184102
TI - Dealing with nanosafety around the globe-Regulation vs. innovation.
AB - In recent years, nanotechnology has become increasingly important for global
industries. Today, many nanomaterials are used as ingredients in cosmetics, food
products, medical devices and pharmaceuticals. In some cases they exert
unexpected risks and potentially pose a threat to human health and the
environment. Regulatory authorities all over the world carefully observe recent
developments in this area, striving to find a balance between consumer safety and
the interests of the industry. In the following, the current legislation in the
United States of America, the European Union, Asia and Brazil will be presented.
Further, the requirements defined by these different authorities and methodology
to investigate relevant characteristics of nanomaterials will be discussed.
PMID- 27184103
TI - Discovery of a novel covalent non-beta-lactam inhibitor of the metallo-beta
lactamase NDM-1.
AB - The inhibition of metallo-beta-lactamases (MBL) can prevent the hydrolysis of
beta-lactam antibiotics and hence is a promising strategy for the treatment of
antibiotic resistant infections. In this study, we present a novel reversible
covalent inhibitor of the clinically relevant MBL New Delhi metallo-beta
lactamase 1 (NDM-1). Electrospray ionization-mass spectrometry (ESI-MS) and
single site directed mutagenesis were used to show that the inhibitor forms a
covalent bond with Lys224 in the active site of NDM-1. The inhibitor was further
characterized using an enzyme inhibition assay, a surface plasmon resonance (SPR)
based biosensor assay and covalent docking. The determined inhibition constant
(KI(*)) was 580nM and the inhibition constant for the initial complex (KI) was
76MUM. To our knowledge, this inhibitor is the first example for a reversible
covalent non-beta-lactam inhibitor targeting NDM-1 and a promising starting point
for the design of potent covalent inhibitors.
PMID- 27184104
TI - Risk factors of systemic inflammation response syndrome after endoscopic combined
intrarenal surgery in the modified Valdivia position.
AB - OBJECTIVE: To identify risk factors of developing systemic inflammation response
syndrome after endoscopic combined intrarenal surgery in the modified Valdivia
position for renal stone treatment. METHODS: We retrospectively analyzed 370
consecutive patients who underwent endoscopic combined intrarenal surgery
procedures in the modified Valdivia position to treat renal stones. Antibiotic
therapy based on preoperative urine cultures was administered to all patients
from induction of anesthesia until at least postoperative day 3. Postoperative
systemic inflammation response syndrome was diagnosed if the patient met two or
more systemic inflammation response syndrome criteria. A multivariate logistic
regression model with backward selection was used to evaluate the relationships
between the incidence of systemic inflammation response syndrome after endoscopic
combined intrarenal surgery and other clinical factors. RESULTS: Of the 370
patients, 61 patients (16.5%) were diagnosed with systemic inflammation response
syndrome after endoscopic combined intrarenal surgery. Significant differences
were found between the non-systemic inflammation response syndrome and systemic
inflammation response syndrome groups with regard to female sex (29.8% vs 44.3%,
P = 0.027), history of febrile urinary tract infection (16.5% vs 32.8%, P =
0.015) and number of involved calyces (2.68 vs 4.1, P < 0.001). Multivariate
analysis found three independent predictors of postoperative systemic
inflammation response syndrome: the number of involved calyces (P = 0.017), stone
surface area (P = 0.021) and history of febrile urinary tract infection (P =
0.005). CONCLUSIONS: The number of involved calyces larger than four, stone
surface area >500 mm(2) and a history of febrile urinary tract infection
independently predicted the development of systemic inflammation response
syndrome after endoscopic combined intrarenal surgery. This is the first study to
identify the independent predictors of systemic inflammation response syndrome
after endoscopic combined intrarenal surgery in the modified Valdivia position.
PMID- 27184105
TI - The role of protein intrinsic disorder in major psychiatric disorders.
AB - Although new candidate genes for Autism Spectrum Disorder (ASD), Schizophrenia
(SCZ), Attention-Deficit/Hyperactivity Disorder (ADHD), and Bipolar Disorder (BD)
emerged from genome-wide association studies (GWAS), their underlying molecular
mechanisms remain poorly understood. Evidences of the involvement of
intrinsically disordered proteins in diseases have grown in the last decade.
These proteins lack tridimensional structure under physiological conditions and
are involved in important cellular functions such as signaling, recognition and
regulation. The aim of the present study was to identify the role and abundance
of intrinsically disordered proteins in a set of psychiatric diseases and to test
whether diseases are different regarding protein intrinsic disorder. Our
hypothesis is that differences across psychiatric illnesses phenotypes and
symptoms may arise from differences in intrinsic protein disorder content and
properties of each group. A bioinformatics prediction of intrinsic disorder was
performed in proteins retrieved based on top findings from GWAS, Copy Number
Variation and candidate gene investigations for each disease. This approach
revealed that about 80% of studied proteins presented long stretches of disorder.
This amount was significantly higher than that observed in general eukaryotic
proteins, and those involved in cardiovascular diseases. These results suggest
that proteins with intrinsic disorder are a common feature of neurodevelopment
and synaptic transmission processes which are potentially involved in the
etiology of psychiatric diseases. Moreover, we identified differences between
ADHD and ASD when the binary prediction of structure and putative binding sites
were compared. These differences may be related to variation in symptom
complexity between both diseases. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184106
TI - Magnetic and fluorescent carbon-based nanohybrids for multi-modal imaging and
magnetic field/NIR light responsive drug carriers.
AB - Carbon nanomaterials have gained significant momentum as promising candidate
materials for biomedical applications due to their unique structure and
properties. After functionalization with magnetic and fluorescent components, the
resultant carbon-based nanohybrids can serve not only as magnetic resonance and
fluorescence imaging contrast agents, but also as photothermally/magneto
thermally responsive drug carriers for combined photothermo/chemotherapy. This
mini-review summarizes the latest developments and applications and addresses the
future perspectives of carbon-based magnetic and fluorescent nanohybrids in the
biomedical field.
PMID- 27184107
TI - High Efficiency Pb-In Binary Metal Perovskite Solar Cells.
AB - Mixed Pb-In perovskite solar cells are fabricated by using lead(II) chloride and
indium(III) chloride with methylammonium iodide. A maximum power conversion
efficiency as high as 17.55% is achieved owing to the high quality of perovskites
with multiple ordered crystal orientations.
PMID- 27184108
TI - The protonation state of histidine 111 regulates the aggregation of the
evolutionary most conserved region of the human prion protein.
AB - In a group of neurodegenerative diseases, collectively termed transmissible
spongiform encephalopathies, the prion protein aggregates into beta-sheet rich
amyloid-like deposits. Because amyloid structure has been connected to different
prion strains and cellular toxicity, it is important to obtain insight into the
structural properties of prion fibrils. Using a combination of solution NMR
spectroscopy, thioflavin-T fluorescence and electron microscopy we here show that
within amyloid fibrils of a peptide containing residues 108-143 of the human
prion protein [humPrP (108-143)]-the evolutionary most conserved part of the
prion protein - residue H111 and S135 are in close spatial proximity and their
interaction is critical for fibrillization. We further show that residues H111
and H140 share the same microenvironment in the unfolded, monomeric state of the
peptide, but not in the fibrillar form. While protonation of H140 has little
influence on fibrillization of humPrP (108-143), a positive charge at position
111 blocks the conformational change, which is necessary for amyloid formation of
humPrP (108-143). Our study thus highlights the importance of protonation of
histidine residues for protein aggregation and suggests point mutations to probe
the structure of infectious prion particles.
PMID- 27184109
TI - Characteristics of Mitochondrial Transformation into Human Cells.
AB - Mitochondria can be incorporated into mammalian cells by simple co-incubation of
isolated mitochondria with cells, without the need of transfection reagents or
any other type of intervention. This phenomenon was termed mitochondrial
transformation, and although it was discovered in 1982, currently little is known
regarding its mechanism(s). Here we demonstrate that mitochondria can be
transformed into recipient cells very quickly, and co-localize with endogenous
mitochondria. The isolated mitochondria interact directly with cells, which
engulf the mitochondria with cellular extensions in a way, which may suggest the
involvement of macropinocytosis or macropinocytosis-like mechanisms in
mitochondrial transformation. Indeed, macropinocytosis inhibitors but not
clathrin-mediated endocytosis inhibition-treatments, blocks mitochondria
transformation. The integrity of the mitochondrial outer membrane and its
proteins is essential for the transformation of the mitochondria into cells;
cells can distinguish mitochondria from similar particles and transform only
intact mitochondria. Mitochondrial transformation is blocked in the presence of
the heparan sulfate molecules pentosan polysulfate and heparin, which indicate
crucial involvement of cellular heparan sulfate proteoglycans in the
mitochondrial transformation process.
PMID- 27184110
TI - Effect of G gene-deleted recombinant viral hemorrhagic septicemia virus (rVHSV
DeltaG) on the replication of wild type VHSV in a fish cell line and in olive
flounder (Paralichthys olivaceus).
AB - In an earlier study, we generated a replicon viral hemorrhagic septicemia virus
(VHSV) particle that was lacking the G gene in the genome (rVHSV-DeltaG), and
proved the potential of it as a protective vaccine through the immunization of
olive flounder (Paralichthys olivaceus) fingerlings. Safety is the most important
preconsideration for the development of recombinant live vaccines, and a major
concern of propagation-incompetent viral particles would be the possible harmful
effect to hosts through the interaction with wild-type viruses. Thus, in the
present study, we analyzed the replication of rVHSV-DeltaG in the presence of
wild-type VHSV and the effect of rVHSV-DeltaG on the replication of wild-type
VHSV in Epithelioma papulosum cyprini (EPC) cells and in olive flounder
fingerlings. The replication of wild-type VHSV in EPC cells was severely
suppressed when the MOI of rVHSV-DeltaG was 0.1 or 0.01, on the other hand, the
titers of rVHSV-DeltaG were not increased and stayed in a relatively constant
according to time lapse. Furthermore, the replication of other novirhabdoviruses,
IHNV and HIRRV, was also inhibited by co-infection with high titers of rVHSV
DeltaG. There were no big differences in mortalities between groups infected with
wild-type VHSV plus rVHSV-DeltaG and groups infected with wild-type VHSV alone,
when the challenged wild-type VHSV was more than 10(2) PFU/fish. However, a group
of fish infected with 10 PFU/fish of wild-type VHSV plus rVHSV-DeltaG showed
significantly lower and slowly progressing cumulative mortality than a group of
fish infected with 10 PFU/fish of wild-type VHSV alone. This result suggests that
rVHSV-DeltaG has an ability to attenuate the disease progression caused by wild
type VHSV when co-infected with relatively low titers of wild-type VHSV. These
results indicate that the propagation-incompetent rVHSV-DeltaG would not worsen
but attenuate the progression of a disease caused by wild-type VHSV infection.
Therefore, rVHSV-DeltaG-based vaccines can provide a safe and effective way to
control VHSV.
PMID- 27184111
TI - Protective effects of the prebiotic on the immunological indicators of rainbow
trout (Oncorhynchus mykiss) infected with Aeromonas hydrophila.
AB - The aim of this study was to investigate the protective effects of dietary
administration of commercial prebiotic, Immunogen, on immunological indicators,
enzymatic responses and stress tolerance in juvenile (81.65 +/- 1.49) rainbow
trout (Oncorhynchus mykiss) following Aeromonas hydrophila infection. The first
group of fish was fed with the diet containing 2 g kg(-1) Immunogen whilst the
control group received the diet free of Immunogen. There were three replicates
per group. After 6 weeks feeding, the control group were divided into two
treatments injected with saline buffer (control), and 1.5 * 10(8) CFU A.
hydrophila respectively. The fish fed with the Immunogen supplemented diet were
also injected with 1.5 * 10(8) CFU A. hydrophila. Our results revealed that
dietary Immunogen increased the level of white blood cell (WBC) and percentage of
lymphocyte (P < 0.05), however, the level of red blood cell (RBC), Hematocrit
(Hct), hemoglobin (Hb) and percent of monocyte decreased in Untreated-Challenged
group but unaffected in the group fed with Immunogen (P < 0.05). The level of
lysozyme, alternative complement, antiprotease activity, total protein, albumin
and globulin decreased in Untreated- Challenged group compared to control group.
However, there was an increase in the level of lysozyme, alternative complement,
antiprotease activity, bactericidal activity, in the Treated- Challenged group
compared to other groups (P < 0.05). Serum alkali phosphatase (ALT) and aspartate
aminotransferase, significantly increased fallowing challenge with A. hydrophila
but in the Treated-Challenged group, there was no significant difference compared
to the control group (P < 0.05). Lactate dehydrogenase (LDH) level was not
different between groups (P > 0.05). Serum cortisol and glucose levels were
higher in the challenge group, but these levels were lower in fish under
challenge that were fed Immunogen-supplemented diet in contrast to the group fed
control diet. The stress responses affected by A. hydrophila challenge (P <
0.05). Serum sodium, potassium and calcium concentration decreased by A.
hydrophila exposure (P < 0.05), and Immunogen showed protection effect against
this change.
PMID- 27184113
TI - Management of chemotherapy-induced nausea and vomiting in patients receiving
multiple-day highly or moderately emetogenic chemotherapy: role of transdermal
granisetron.
AB - Granisetron transdermal delivery system (GTDS) is the first 5-HT3 drug to be
transdermally delivered and represents a convenient alternative to oral and
intravenous antiemetics for the treatment of chemotherapy-induced nausea and
vomiting. GTDS is effective and well tolerated in patients receiving multiple-day
moderate-to-highly emetogenic chemotherapy. In this setting noninferiority
studies showed similar efficacy when GTDS was compared with intravenous and oral
granisetron and intravenous palonosetron. GTDS has shown good cardiovascular
safety; however, special caution is needed in patients at risk for developing
excessive QTc interval prolongation and arrhythmias. So far, GTDS has been
investigated for intravenous prevention in comparison with granisetron and
palonosetron; however, further prospects open the route to future clinical
investigations.
PMID- 27184112
TI - Prognostic Significance of TERT Promoter Mutations in Papillary Thyroid
Carcinomas in a BRAF(V600E) Mutation-Prevalent Population.
AB - BACKGROUND: The role of telomerase reverse transcriptase (TERT) promoter
mutations in differentiated thyroid cancer has been well established. These
mutations have a significantly higher prevalence in aggressive thyroid tumors,
including widely invasive oncocytic carcinomas, poorly differentiated carcinomas,
and anaplastic thyroid carcinomas. Interestingly, in some studies, TERT mutations
were found to be more common in tumors with a BRAF(V600E) mutation. However,
mutational analysis of TERT promoter mutations in thyroid tumors has not been
previously performed for patients in Korea, where the BRAF(V600E) mutation in
papillary thyroid carcinoma (PTC) is particularly prevalent. This study analyzed
TERT promoter mutations in various thyroid tumors and examined their relationship
with clinicopathologic factors and the BRAF(V600E) mutation in PTC cases.
METHODS: Using 242 preoperative fine-needle aspiration biopsy specimens
(including 207 PTCs) with confirmed histopathological diagnosis of the biopsied
thyroid nodules, the TERT promoter status (C228T and C250T) was analyzed, and the
relationship with clinicopathologic factors and the BRAF(V600E) mutation in PTC
cases was examined. RESULTS: Of 242 patients, 14.5% (30/207), 26.7% (4/15), 50%
(1/2), and 60% (2/5) of PTCs, follicular thyroid carcinomas, poorly
differentiated carcinomas, and anaplastic thyroid carcinomas harbored a
TERT(C228T) mutation, respectively. The TERT(C228T) mutation was associated with
recurrence (p = 0.03). However, no association with other clinicopathologic
factors in PTC was found. Coexistence of TERT(C228T) and BRAF(V600E) mutations
was found in 13.0% of PTCs and was significantly associated with older age and
advanced stage compared with the group negative for either mutation. The
TERT(C228T) mutation status was an independent prognostic factor for recurrence
free survival (hazard ratio = 3.08 [confidence interval 1.042-9.079]; p = 0.042)
in patients with PTC in multivariate analysis. CONCLUSIONS: Identification of
TERT promoter mutations in preoperative fine-needle aspiration biopsy specimens
may help in better characterizing the prognosis and triaging thyroid cancer
patients for appropriate treatment.
PMID- 27184114
TI - Breath concentration of acetic acid vapour is elevated in patients with cystic
fibrosis.
AB - A study has been carried out of the volatile organic compounds present in the
exhaled breath of 58 cystic fibrosis (CF) patients. An important observation is
that the acetic acid vapour concentration measured by selected ion flow tube mass
spectrometry (SIFT-MS) is significantly elevated in the exhaled breath of CF
patients, independent of the Pseudomonas aeruginosa (PA) infection status (PA
infected median 170 ppbv; PA-negative median 182 ppbv), compared to that of
healthy controls (median 48 ppbv). The cause for this may be decreased pH of the
mucus lining the CF airways. Thus, we speculate that non-invasive measurement of
breath acetic acid concentration could serve as an indicator of the acidity of
the CF airways mucosa.
PMID- 27184115
TI - Microstructure and mechanical properties of sheep horn.
AB - The sheep horn presents outstanding mechanical properties of impact resistance
and energy absorption, which suits the need of the vehicle bumper design, but the
mechanism behind this phenomenon is less investigated. The microstructure and
mechanical properties of the sheep horn of Small Tailed Han Sheep (Ovis aries)
living in northeast China were investigated in this article. The effect of
sampling position and orientation of the sheep horn sheath on mechanical
properties were researched by tensile and compression tests. Meanwhile, the
surface morphology and microstructure of the sheep horn were observed using
scanning electron microscopy (SEM). The formation mechanism of the mechanical
properties of the sheep horn was investigated by biological coupling analysis.
The analytical results indicated that the outstanding mechanical properties of
the sheep horn are determined by configuration, structure, surface morphology and
material coupling elements. These biological coupling elements make the sheep
horn possess super characteristics of crashworthiness and energy absorption
through the internal coupling mechanism. We suppose that these findings would
make a difference in vehicle bumper design. Microsc. Res. Tech. 79:664-674, 2016.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27184116
TI - The ICMJE editors' proposal on sharing individual data collected in clinical
trials: Comments.
PMID- 27184118
TI - Defective excitation-contraction coupling is partially responsible for impaired
contractility in hindlimb muscles of Stac3 knockout mice.
AB - The Stac3 gene is exclusively expressed in skeletal muscle, and Stac3 knockout is
perinatal lethal in mice. Previous data from Stac3-deleted diaphragms indicated
that Stac3-deleted skeletal muscle could not contract because of defective
excitation-contraction (EC) coupling. In this study, we determined the
contractility of Stac3-deleted hindlimb muscle. In response to frequent
electrostimulation, Stac3-deleted hindlimb muscle contracted but the maximal
tension generated was only 20% of that in control (wild type or heterozygous)
muscle (P < 0.05). In response to high [K(+)], caffeine, and 4-chloro-m-cresol (4
CMC), the maximal tensions generated in Stac3-deleted muscle were 29% (P < 0.05),
58% (P = 0.08), and 55% (P < 0.05) of those in control muscle, respectively. In
response to 4-CMC or caffeine, over 90% of myotubes formed from control myoblasts
contracted, but only 60% of myotubes formed from Stac3-deleted myoblasts
contracted (P = 0.05). However, in response to 4-CMC or caffeine, similar
increases in intracellular calcium concentration were observed in Stac3-deleted
and control myotubes. Gene expression and histological analyses revealed that
Stac3-deleted hindlimb muscle contained more slow type-like fibers than control
muscle. These data together confirm a critical role of STAC3 in EC coupling but
also suggest that STAC3 may have additional functions in skeletal muscle, at
least in the hindlimb muscle.
PMID- 27184117
TI - Anatomy of RISC: how do small RNAs and chaperones activate Argonaute proteins?
AB - RNA silencing is a eukaryote-specific phenomenon in which microRNAs and small
interfering RNAs degrade messenger RNAs containing a complementary sequence. To
this end, these small RNAs need to be loaded onto an Argonaute protein (AGO
protein) to form the effector complex referred to as RNA-induced silencing
complex (RISC). RISC assembly undergoes multiple and sequential steps with the
aid of Hsc70/Hsp90 chaperone machinery. The molecular mechanisms for this
assembly process remain unclear, despite their significance for the development
of gene silencing techniques and RNA interference-based therapeutics. This review
dissects the currently available structures of AGO proteins and proposes models
and hypotheses for RISC assembly, covering the conformation of unloaded AGO
proteins, the chaperone-assisted duplex loading, and the slicer-dependent and
slicer-independent duplex separation. The differences in the properties of RISC
between prokaryotes and eukaryotes will also be clarified. WIREs RNA 2016, 7:637
660. doi: 10.1002/wrna.1356 For further resources related to this article, please
visit the WIREs website.
PMID- 27184120
TI - Plasma prolactin and breast cancer risk: a meta- analysis.
AB - Breast cancer is the most common cancer among women, and its incidence is on a
constant rise. Previous studies suggest that higher levels of plasma prolactin
are associated with escalated risk of breast cancer, however, these results are
contradictory and inconclusive. PubMed and Medline were used to search and
identify published observational studies that assessed the relationship between
plasma prolactin levels and the risk of breast cancer. The pooled relative risks
(RRs) with 95% confidence intervals (CIs) were calculated using a fixed-effects
or random-effects model. A total of 7 studies were included in our analysis. For
the highest versus lowest levels of plasma prolactin, the pooled RR (95% CI) of
breast cancer were 1.16 (1.04, 1.29). In subgroup analyses, we found a positive
association between plasma prolactin levels and the risk of breast cancer among
the patients who were postmenopausal, ER(+)/PR(+) or in situ and invasive
carcinoma. However, this positive association was not detected in the
premenopausal and ER(-)/PR(-) patients. In conclusion, the present study provides
evidence supporting a significantly positive association between plasma prolactin
levels and the risk of breast cancer.
PMID- 27184119
TI - Cognitive control and episodic memory in adolescents with autism spectrum
disorders.
AB - INTRODUCTION: To further investigate manifestations of episodic memory
impairments in adolescents, we examined the role of encoding on recognition of
stimuli in conditions designed to emphasize their item-specific versus relational
characteristics in a group of 12-18 year olds with autism spectrum disorders
(ASD). We also examined how strategic learning and memory processes, verbal
abilities, attention, and age were associated with recognition in this group.
MATERIALS AND METHOD: Twenty two high functioning adolescents with ASD (mean
age=15 years; SD=1.8; range=12.2-17.9), and 26 age, gender, and IQ-matched
adolescents with typical development (TYP) (mean age=14.7 years; SD=1.9;
range=12.3-17.8) completed the Relational and Item-Specific Encoding task (RiSE),
the California Verbal Learning Test-Children's Version (CVLT-C), the Wechsler
Abbreviated Scales of Intelligence, and the Connors' Parent Rating Scale-Revised.
Univariate statistical analyses were performed. RESULTS: The ASD group showed
poorer performance on strategic memory assessed by the CVLT-C. Surprisingly, on
the RiSE, ASD showed poorer discriminability for objects encoded in item-specific
versus relational encoding conditions and were more impaired in familiarity
(after relational encoding) than in recollection. ASD also did not show the
hypothesized association between item and associative recognition and CVLT-C
performance found in TYP. Instead, in the ASD group recognition was associated
with increased age. CONCLUSIONS: Findings from the RiSE task demonstrated that
adolescents with ASD do not always exhibit impaired memory for relational
information as commonly believed. Instead, memory was worse when cognitive
control demands were high, when encoding focused on specific item features, and
when familiarity was used to retrieve relational information. Recognition also
was better in older participants. This suggests that learning and memory deficits
in adolescents with ASD, may not be due primarily to failed relational binding
processes in the hippocampus but, rather to disrupted strategic memory and
familiarity processes associated with the prefrontal and perirhinal cortices.
These findings demonstrate the importance and utility of using well-validated
cognitive neuroscience tasks and of considering the ages of participants when
comparing the neural underpinnings of different memory processes in both typical
and atypical populations.
PMID- 27184121
TI - Logic circuits composed of flexible carbon nanotube thin-film transistor and
ultra-thin polymer gate dielectric.
AB - Printing electronics has become increasingly prominent in the field of electronic
engineering because this method is highly efficient at producing flexible, low
cost and large-scale thin-film transistors. However, TFTs are typically
constructed with rigid insulating layers consisting of oxides and nitrides that
are brittle and require high processing temperatures, which can cause a number of
problems when used in printed flexible TFTs. In this study, we address these
issues and demonstrate a method of producing inkjet-printed TFTs that include an
ultra-thin polymeric dielectric layer produced by initiated chemical vapor
deposition (iCVD) at room temperature and highly purified 99.9% semiconducting
carbon nanotubes. Our integrated approach enables the production of flexible
logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that
have a high mobility (up to 9.76 cm(2) V(-1) sec(-)1), a low operating voltage
(less than 4 V), a high current on/off ratio (3 * 10(4)), and a total device
yield of 90%. Thus, it should be emphasized that this study delineates a
guideline for the feasibility of producing flexible CNT-TFT logic circuits with
high performance based on a low-cost and simple fabrication process.
PMID- 27184122
TI - Haploinsufficiency of the 22q11.2 microdeletion gene Mrpl40 disrupts short-term
synaptic plasticity and working memory through dysregulation of mitochondrial
calcium.
AB - Hemizygous deletion of a 1.5- to 3-megabase region on chromosome 22 causes
22q11.2 deletion syndrome (22q11DS), which constitutes one of the strongest
genetic risks for schizophrenia. Mouse models of 22q11DS have abnormal short-term
synaptic plasticity that contributes to working-memory deficiencies similar to
those in schizophrenia. We screened mutant mice carrying hemizygous deletions of
22q11DS genes and identified haploinsufficiency of Mrpl40 (mitochondrial large
ribosomal subunit protein 40) as a contributor to abnormal short-term
potentiation (STP), a major form of short-term synaptic plasticity. Two-photon
imaging of the genetically encoded fluorescent calcium indicator GCaMP6,
expressed in presynaptic cytosol or mitochondria, showed that Mrpl40
haploinsufficiency deregulates STP via impaired calcium extrusion from the
mitochondrial matrix through the mitochondrial permeability transition pore. This
led to abnormally high cytosolic calcium transients in presynaptic terminals and
deficient working memory but did not affect long-term spatial memory. Thus, we
propose that mitochondrial calcium deregulation is a novel pathogenic mechanism
of cognitive deficiencies in schizophrenia.
PMID- 27184123
TI - Increased pregenual anterior cingulate glucose and lactate concentrations in
major depressive disorder.
AB - There is ample evidence that glucose metabolism in the pregenual anterior
cingulate cortex (PACC) is increased in major depressive disorder (MDD), whereas
it is still unknown whether glucose levels per se are also elevated. Elevated
cerebrospinal fluid (CSF) lactate concentrations in MDD patients might indicate
that increased glycolytical metabolization of glucose to lactate in astrocytes
either alone or in conjunction with mitochondrial dysfunction results in an
accumulation of lactate and contributes to pathophysiological mechanisms of MDD.
However, until now, no study investigated in vivo PACC glucose and lactate levels
in MDD. Proton magnetic resonance spectroscopy was therefore used to test the
hypothesis that patients with MDD have increased PACC glucose and lactate levels.
In 40 healthy and depressed participants, spectra were acquired from the PACC
using a maximum echo J-resolved spectroscopy protocol. Results show significant
increases of glucose and lactate in patients, which are also associated with
depression severity. These findings indicate impaired brain energy metabolism in
MDD with increased fraction of energy utilization via glycolysis and reduced
mitochondrial oxidative clearance of lactate. Targeting these metabolic
disturbances might affect the balance of metabolic pathways regulating neuronal
energetics and result in an attenuation of the elevated basal activity of brain
regions within the neural circuitry of depression.
PMID- 27184126
TI - Regrowth in ship's ballast water tanks: Think again!
AB - With the imminent ratification of the International Maritime Organisation's
Ballast Water Management Convention, ship owners and operators will have to
choose among a myriad of different Ballast Water Treatment Systems (BWTS) and
technologies to comply with established discharge standards. However, it has come
to our attention that decision-makers seem to be unaware of the problem of
regrowth occurring in ballast water tanks after treatment. Furthermore, the
information available on the subject in the literature is surprisingly and
unfortunately very limited. Herein we summarise previous research findings that
suggest that regrowth of bacteria and phytoplankton could occur 18h to 7days and
4 to 20days after treatment, respectively. By highlighting the problem of
regrowth, we would like to encourage scientists and engineers to further
investigate this issue and to urge ship owners and ship operators to inform
themselves on the risks of regrowth associated with the implementation of
different BWTS.
PMID- 27184125
TI - Impact of hematogones on the long-term outcomes of single-unit cord blood
transplantation for adult patients.
AB - Hematogones are normal B-lymphocyte precursors identified in the regenerative
state of the bone marrow following allogeneic hematopoietic stem cell
transplantation (HSCT). To evaluate the impact of hematogones on long-term
outcomes after single-unit cord blood transplantation (CBT), we retrospectively
analyzed 134 adult patients at our institute. At the median time of 41 days
(range, 20 to 77 days) after CBT, the median proportion of morphological
hematogones in bone marrow was found to be 2.4% (range, 0 to 13.0%). In the
patients with standard-risk, the higher proportion of morphological hematogones
was associated with lower transplant-related mortality (TRM) after CBT. The
proportion of hematogones did not affect the subsequent absolute lymphocyte
counts in the peripheral blood and serum immunoglobulin G levels six months later
after CBT. These data shows that morphological hematogones in the routine bone
marrow analysis might be a practical and easily evaluable method of predicting
outcomes after CBT.
PMID- 27184127
TI - The lipopeptide 6-2 produced by Bacillus amyloliquefaciens anti-CA has potent
activity against the biofilm-forming organisms.
AB - Both the whole cells and protoplasts of Pseudomonas aeruginosa PAO1 and Bacillus
cereus, two biofilm-forming bacteria, were disrupted by the lipopeptide 6-2
produced by Bacillus amyloliquefaciens anti-CA. The lipopeptide 6-2 could also
effectively inhibit the formation of biofilms and disperse pre-formed biofilms.
Live/dead staining of the biofilms grown in the absence or presence of the
lipopeptide 6-2 showed that more dead bacterial cells in the presence of the
lipopeptide than those in the absence of the lipopeptide and biofilm formation
was greatly reduced by the lipopeptide 6-2. Expression of the PslC gene related
to exopolysaccharides in P. aeruginosa PAO1 was also inhibited. All these results
demonstrated that the lipopeptide 6-2 produced by B. amyloliquefaciens anti-CA
had a high activity against biofilm-forming bacteria. The lipopeptide 6-2 also
killed the larvae of Balanus amphitrite and inhibit the germination of Laminaria
japonica spore and growth of protozoa, all of which were the fouling organisms in
marine environments.
PMID- 27184128
TI - On some physical and dynamical properties of microplastic particles in marine
environment.
AB - Simplified physical models and geometrical considerations reveal general physical
and dynamical properties of microplastic particles (0.5-5mm) of different
density, shape and size in marine environment. Windage of extremely light foamed
particles, surface area and fouling rate of slightly positively buoyant
microplastic spheres, films and fibres and settling velocities of negatively
buoyant particles are analysed. For the Baltic Sea dimensions and under the
considered idealised external conditions, (i) only one day is required for a
foamed polystyrene particle to cross the sea (ca. 250km); (ii) polyethylene
fibres should spend about 6-8months in the euphotic zone before sinking due to
bio-fouling, whilst spherical particles can be retained on the surface up to 10
15years; (iii) for heavy microplastic particles, the time of settling through the
water column in the central Gotland basin (ca. 250m) is less than 18h. Proper
physical setting of the problem of microplastics transport and developing of
physically-based parameterisations are seen as applications.
PMID- 27184129
TI - Organochlorines and polychlorinated biphenyl environmental pollution in south
coast of Rio De Janeiro state.
AB - The objective of this study was to evaluate the burden of environmental pollution
by Polychlorinated Biphenyls (PCBs) and Organochlorine Pesticides (OCs) in two
localities of Rio de Janeiro coast, through the determination of these levels in
specimens of mullets and croakers collected from May to August 2008, at Guanabara
Bay (GB) and from Araujo Island (AI), at Paraty Bay. Twenty three organochlorine
pollutants were detected in croakers at GB and twenty in mullets and all PCBs
congeners investigated in the study were present in the two species. Ratio
?DDT/?PCB of 1.4 shows an important contribution of agricultural residues in GB
and p,p'-DDE/?DDT of 0.1 demonstrates a reintroduction of DDT. Consumption of
mullet may represent a risk to the health of fishermen families from GB, with
average and maximum estimated daily intake of ?DDT of 9.012MUg/kg p.c. and
26,174MUg/kg p.c., representing 45% and 131% of ADI established by WHO.
PMID- 27184130
TI - Bioaccumulation and retention kinetics of cesium in the Milkfish Chanos chanos
from Jakarta Bay.
PMID- 27184131
TI - A novel methodology for the determination of biomarker baseline levels in the
marine polychaete Hediste diversicolor.
AB - Identifying environmental damage due to anthropogenic activities is a focal point
for scientists and policy makers like those involved in the European Water
Framework Directive (WFD). Many of these approaches focus on ecological endpoints
for assessing environmental perturbations, which lead to policies emphasizing
mitigation rather than prevention. Biomarkers provide early-warning indicators of
stress but it is necessary to distinguish their natural variations from those
induced by chemical stress. The global aim of this study was to establish a
baseline assessment criterion (BAC) using historical data in a reference site to
define toxicity thresholds. We have developed a multiple polynomial regression
model (MPR) accounting the influence of salinity, temperature and size of
individual on energetic reserves (glycogen and lipids) in the marine polychaete
Hediste diversicolor. The model identified a complex, orthogonal relationship
between confounding factors and glycogen and a linear relationship between lipids
and size of individuals.
PMID- 27184132
TI - Factors controlling phosphorus release from sediments in coastal archipelago
areas.
AB - In coastal archipelago areas of the northern Baltic Sea, significantly higher
phosphate concentrations (6.0+/-4.5MUmol/l, mean+/-SD) were measured in water
samples close to the sediment surface compared with those from 1m above the
seafloor (1.6+/-2.0MUmol/l). The results indicated notable phosphate release from
sediments under the bottom water oxygen concentrations of up to 250MUmol/l,
especially in areas that had experienced recent temporal fluctuation between oxic
and hypoxic/anoxic conditions. No single factor alone was found to control the
elevated PO4-P concentrations in the near-bottom water. In addition to the oxygen
in the water, the contents of potentially mobile phosphorus fractions, grain
size, the organic content at the sediment surface, and the water depth were all
important factors controlling the internal loading of phosphorus. The complexity
of this process needs to be accounted for in assessments of the internal loading
of phosphorus and in potential mitigation plans.
PMID- 27184133
TI - Large-for-gestational-age (LGA) neonate predicts a 2.5-fold increased odds of
neonatal hypoglycaemia in women with type 1 diabetes.
AB - OBJECTIVE: The objective of the study is to assess the impact of maternal
glycaemic control and large-for-gestational-age (LGA) infant size on the risk of
developing neonatal hypoglycaemia in offspring of women with type 1 diabetes and
to determine possible predictors of neonatal hypoglycaemia and LGA. RESEARCH
METHODS AND DESIGN: This retrospective cohort study evaluated pregnancies in 161
women with type 1 diabetes mellitus at a large urban centre between 2006 and
2010. Mean trimester A1c values were categorized into five groups. Multiple
logistic regression analyses were used to examine predictors of neonatal
hypoglycaemia and large-for-gestational-age (LGA). RESULTS: Hypoglycaemia
occurred in 36.6% of neonates. There was not a linear association between
trimester specific A1c and LGA. After adjusting for maternal age, body mass index
(BMI), smoking and premature delivery, neonatal hypoglycaemia was not linearly
associated with A1c in the first, second or third trimesters. LGA was the only
significant predictor for neonatal hypoglycaemia (OR, 95% CI 2.51 [1.10, 5.70])
in logistic regression analysis that adjusted for glycaemic control, maternal
age, smoking, prematurity and BMI. An elevated third trimester A1c increased the
odds of LGA (1.81 [1.03, 3.18]) after adjustment for smoking, parity and maternal
BMI. CONCLUSIONS: Large-for-gestational-age imparts a 2.5-fold increased odds of
hypoglycaemia in neonates of women with type 1 diabetes and may be a better
predictor of neonatal hypoglycaemia than maternal glycaemic control. Our data
suggest that LGA neonates of women with type 1 diabetes should prompt increased
surveillance for neonatal hypoglycaemia and that the presence of optimum maternal
glycaemic control should not reduce this surveillance. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27184135
TI - Effects of 1, 25-Dihydroxyvitamin D3 on Experimental Autoimmune Myocarditis in
Mice.
AB - BACKGROUND/AIMS: Myocarditis is an important inflammatory disease of the heart
which causes life-threatening conditions. 1, 25(OH)2 D3 has effects on multiple
systems and diseases. The present study was aimed to investigate the effect of 1,
25(OH)2 D3 on experimental autoimmune myocarditis (EAM), and explored the
underlying mechanisms involved. METHODS: EAM was induced by immunizing BALB/c
mice with cardiac alpha-myosin heavy chain peptides (MyHC-alpha). 1, 25(OH)2 D3
(1,000 ng/kg once) or vehicle was administered intraperitoneally every other day
during the entire experiment. On day 21, transthoracic echocardiography was
performed and cardiac inflammatory infiltration was detected by hematoxylin and
eosin (HE). The terminal deoxynucleotidyl transferase mediated dUTP nick-end
labeling (TUNEL) assay, and Western blots for the expression of protein caspase-3
and cleaved-caspase3 were used to evaluate apoptosis. Transmission electron
microscopy and Western blots for the expression of protein Beclin-1, LC3B, and
P62 were used to evaluate autophagy. RESULTS: The ratio of heart weight/body
weight was significantly reduced in 1, 25(OH)2 D3 -treated EAM mice, compared
with vehicle -treated ones. 1, 25(OH)2 D3 treatment improved cardiac function,
diminished cell infiltration in cardiac, suppressed myocardial apoptosis,
decreased the number of autophagosomes, and decreased the protein expression of
Beclin-1, LC3-II and p62. CONCLUSIONS: The present results demonstrated that
administration of 1, 25(OH)2 D3 decreased EAM severity. 1, 25(OH)2 D3 treatment
may be a feasible therapeutic approach for EAM.
PMID- 27184136
TI - Study on mobile phone use while driving in a sample of Iranian drivers.
AB - The use of cell phone is a significant source of driver distraction. Phone use
while driving can impair a number of factors critical for safe driving which can
cause serious traffic safety problems. The objective of this paper was to
investigate the frequency of using cell phones while driving in Iran's roads
through an observational survey with a random sample of drivers, to recognize
contributing factors to cell phone usage and to understand the magnitude of the
problem. A total of 1794 observations were collected from 12 sites at controlled
intersections, entrance and exit points of highways. The cell phone use rate
among drivers (talking or texting) was estimated at 10% which is significantly
higher than that in other countries such as Australia, USA and Canada. Rate of
cell phone use among younger drivers (14.15%) was higher in comparison with other
groups. In order to identify factors affecting cell phone use while driving, a
binary logit model is estimated. Variables which significantly contribute to the
rate of using cell phone were found to be the age of driver, number of
passengers, presence of kids under the age of 8, time of observation, vehicle
price and type of car.
PMID- 27184134
TI - MYC copy gain, chromosomal instability and PI3K activation as potential markers
of unfavourable outcome in trastuzumab-treated patients with metastatic breast
cancer.
AB - BACKGROUND: There is an unmet need for more efficient patient stratification for
receiving trastuzumab in the metastatic breast cancer (mBC) setting, since only
part of such patients benefit from the addition of this agent to chemotherapy.
The aim of this study was to investigate the prognostic value of biomarkers
including MYC and MET in mBC patients treated with trastuzumab-based regimens.
METHODS: mBC patients, locally tested as HER2-positive, treated with trastuzumab
and chemotherapy between 1998 and 2010 were evaluated. Paraffin tumors (n = 229)
were retrospectively centrally assessed by immunohistochemistry (IHC) for HER2,
ER, PgR and Ki67; fluorescence in situ hybridization (FISH) for HER2, TOP2A and
centromere (CEN) 17, MYC and CEN8, MET and CEN7; qPCR for MYC, MET copy number
(CN); and, for PI3K activation (PIK3CA mutations; PTEN and phospho-mTOR protein
expression). Increased CEN CN was assessed based on normal cut-offs. Time to
progression (TTP) and survival were evaluated from the initiation of trastuzumab
as first line treatment. RESULTS: Among all tumors, 90 were HER2-negative upon
central testing (ambiguous HER2) and the rest were true HER2-positive. Further,
156 patients presented with mBC upon relapse of pre-treated disease (R-mBC) and
65 were diagnosed at stage IV (de novo mBC). Concordance between FISH and qPCR on
gene CN status was fair for MYC (Kappa = 0.458) and absent for MET. The presence
of MYC CN gain with qPCR and the absence of PI3K activation were infrequent
events (7 and 8 % of evaluable tumors, respectively), while 41 % of tumors had
increased CEN CN in one or more chromosomes, indicative of chromosomal
instability. The most consistent finding in the entire cohort and in the above
patient subgroups with respect to outcome was the unfavourable effect of MYC CN
gain, which was retained upon multivariable analysis (e.g., survival in the
entire cohort, HR 6.02; 95 % CI 2.67-13.6; p < 0.001). Further unfavourable
prognosticators were increased CEN CN in one chromosome in R-mBC but not in de
novo mBC (multivariable interaction p = 0.048), PI3K activation in R-mBC
(multivariable p = 0.004) and increased Ki67 for patient TTP. CONCLUSIONS: MYC
gene copies, centromere status and PI3K activation may adversely impact
trastuzumab treated mBC patient outcome and seem worthy validating in larger
series.
PMID- 27184137
TI - Blood Transfusion is an Independent Risk Factor for Postoperative Serious
Infectious Complications After Pancreaticoduodenectomy.
AB - BACKGROUND/PURPOSE: Blood transfusionhas been considered as a risk factor for
postoperative infection after major surgery. However, the relationship between
perioperative blood transfusion and the development of serious infections after
pancreaticoduodenectomy remains controversial. The purpose of this study was to
analyze risk factors associated with postoperative serious infections following
pancreaticoduodenectomy. METHODS: We conducted a retrospective study of 212
patients who underwent pancreaticoduodenectomy during past 2 years and assessed
the risk factors for serious infectious complications. RESULTS: Serious
infections developed in 61 patients (29 %) including 47 cases of surgical site
infection (SSI), 19 cases of bacteremia, and 13 cases of pneumonia. One patient
died of severe septic shock. A multivariate logistic regression analysis of
perioperative factors identified that pancreatic fistula (P < 0.01, OR = 9.763)
and blood transfusion (P < 0.01, OR = 3.216) were significant risk factors for
serious infections. After excluding 46 patients with pancreatic fistula, blood
transfusion continued to be an independent risk factor for serious infections (P
< 0.01, OR = 5.831). CONCLUSION: Blood transfusion was the strongest independent
factor for serious infections after pancreaticoduodenectomy, which should be
considered a quality indicator for the performance of pancreaticoduodenectomy.
PMID- 27184138
TI - Lung function and associations with multiple dimensions of dental health: a
prospective observational cross-sectional study.
AB - BACKGROUND: Epidemiological data suggest an association between respiratory
diseases and periodontal health. However, the link between the overall dental
status and single lung function measures, within a practical clinical context, is
not well studied. METHODS: Following a prospective cross-sectional design,
consecutive adult patients were evaluated. Next to spirometry, anthropometric
data, profession, smoking status, symptoms, self-rated exercise performance,
comorbidities, allergies and medication were determined. Assessment of dental
status comprised carious lesions, dental fillings, missing teeth, dentures,
insufficient fillings/dentures, implants, oral mucosa diseases, calculus, decayed
missed-filling-teeth (DMF-T)-index, periodontal screening-index, and
orthopantomograms. RESULTS: Among 587 adult patients considered, 206 were
included (119 female; median age 42.0 years; 56 % smoking history). Most patients
had dental fillings (86.9 %), fix/mobile dentures (66.5 %), missing teeth (56.8
%) and calculus (84.0 %), the overall DMF-T being 15 (9; 21). Periodontitis was
present in 53.9 %, an abnormal orthopanthomogram in 47.9 % of subjects. Regarding
spirometric indices expressed as % predicted, dentures, missing teeth, oral
mucosal diseases and a DMF-T > 15 (median) were associated with lower maximal
expiratory flows at 25 % of vital capacity (MEF25) (p < 0.05 each). In adjusted
logistic regression analyses, only dentures were associated with low MEF25 %
predicted and with the ratio of forced expiratory volume in 1 s to forced vital
capacity (FEV1/FVC; p < 0.05 each). However, periodontitis and DMF-T were linked
to age (p < 0.001) and packyears (p < 0.05) only. CONCLUSION: Within a real-life
clinical setting, only the presence of dentures showed weak associations with
lung function, suggesting small airways dysfunction and obstruction. Most of the
associations were explained by smoking habits and age.
PMID- 27184139
TI - GnRH antagonist rescue protocol combined with cabergoline versus cabergoline
alone in the prevention of ovarian hyperstimulation syndrome: a randomized
controlled trial.
AB - BACKGROUND: The aim of this study was to compare the efficacy of antagonist
rescue protocol (replacing GnRH agonist with GnRH antagonist and reducing the
dose of gonadotropins) combined with cabergoline versus cabergoline alone in the
prevention of ovarian hyperstimulation syndrome (OHSS) in patients pretreated
with GnRH agonist long protocol who were at high risk for OHSS. METHODS: Two
hundred and thirty six patients were randomized in a 1:1 ratio to the cabergoline
group or the antagonist rescue combined with cabergoline group. Both groups
received oral cabergoline (0.5 mg/day) for eight days beginning on the day of HCG
administration. In the antagonist rescue combined with cabergoline group, when
the leading follicle reached 16 mm, GnRH agonist (triptorelin) was replaced with
GnRH antagonist (cetrorelix acetate) and the dose of HP-uFSH was reduced to 75
IU/day. HCG (5,000 IU/I.M) was administered when the serum estradiol level
dropped below 3500 pg/ml. The study was open label and the outcome assessors
(laboratory staff and the doctor who performed oocyte retrieval) were blind to
treatment allocation. RESULTS: The incidence of moderate/severe OHSS was
significantly lower in the antagonist rescue combined with cabergoline group
[5.08 % Vs 13.56 %, P value =0.025, OR = 0.342, 95 % CI, 0.129-0.906]. Four
cycles were cancelled in the cabergoline group. There were no significant
differences between the groups with respect to the number of retrieved oocytes,
metaphase II oocytes, high quality embryos and fertilization rate. Moreover, the
implantation and pregnancy rates were comparable between both groups. CONCLUSION:
GnRH antagonist rescue protocol combined with cabergoline is more effective than
cabergoline alone in the prevention of OHSS. TRIAL REGISTRATION: Clinical
trial.gov ( NCT02461875 ).
PMID- 27184140
TI - CORR Insights(r): What are the Conditional Survival and Functional Outcomes After
Surgical Treatment of 115 Patients with Sacral Chordoma?
PMID- 27184141
TI - The non-genomic loss of function of tumor suppressors: an essential role in the
pathogenesis of chronic myeloid leukemia chronic phase.
AB - BACKGROUND: Chronic Myeloid Leukemia was always referred as a unique cancer due
to the apparent independence from tumor suppressors' deletions/mutations in the
early stages of the disease. However, it is now well documented that even
genetically wild-type tumor suppressors can be involved in tumorigenesis, when
functionally inactivated. In particular, tumor suppressors' functions can be
impaired by subtle variations of protein levels, changes in cellular
compartmentalization and post-transcriptional/post-translational modifications,
such as phosphorylation, acetylation, ubiquitination and sumoylation. Notably,
tumor suppressors inactivation offers challenging therapeutic opportunities. The
reactivation of an inactive and genetically wild-type tumor suppressor could
indeed promote selective apoptosis of cancer cells without affecting normal
cells. MAIN BODY: Chronic Myeloid Leukemia (CML) could be considered as the
paradigm for non-genomic loss of function of tumor suppressors due to the ability
of BCR-ABL to directly promote functionally inactivation of several tumor
suppressors. SHORT CONCLUSION: In this review we will describe new insights on
the role of FoxO, PP2A, p27, BLK, PTEN and other tumor suppressors in CML
pathogenesis. Finally, we will describe strategies to promote tumor suppressors
reactivation in CML.
PMID- 27184145
TI - Editorial: Chemical and Biological Technology for In Vivo and Molecular Imaging.
PMID- 27184142
TI - Downregulation of Cdh1 signalling in spinal dorsal horn contributes to the
maintenance of mechanical allodynia after nerve injury in rats.
AB - BACKGROUND: Anaphase-promoting complex/cyclosome (APC/C) and its co-activator
Cdh1 are important ubiquitin-ligases in proliferating cells and terminally
differentiated neurons. In recent years, APC/C-Cdh1 has been reported as an
important complex contributing to synaptic development and transmission.
Interestingly, cortical APC/C-Cdh1 is found to play a critical role in the
maintenance of neuropathic pain, but it is not clear whether APC/C-Cdh1 in spinal
dorsal cord is involved in molecular mechanisms of neuropathic pain conditions.
RESULTS: Immunostaining showed that Cdh1 was mainly distributed in dorsal horn
neurons of the spinal cord in rats. Its expression was downregulated in the
ipsilateral dorsal horn at 14 days after spared nerve injury. Rescued expression
of Cdh1 in spinal cord by intrathecal administration of recombinant lentivirus
encoding Cdh1 (Lenti-Cdh1-GFP) significantly attenuated spared nerve injury
induced mechanical allodynia. Furthermore, rescued expression of spinal Cdh1
significantly reduced surface membrane expression of GluR1, but increased the
expression of GluR1-related erythropoietin-producing human hepatocellular
receptor A4 and its ligand EphrinA1 in dorsal horn of spared nerve injury-treated
animals. CONCLUSIONS: This study indicates that a downregulation of Cdh1
expression in spinal dorsal horn is involved in molecular mechanisms underlying
the maintenance of neuropathic pain. Upregulation of spinal Cdh1 may be a
promising approach to treat neuropathic pain.
PMID- 27184144
TI - A novel, bedside technique to rapidly identify umbilical cord blood units with
high total nucleated cell numbers.
AB - BACKGROUND: With increasing demand for umbilical cord blood units (CBUs) with
total nucleated cell (TNC) counts of more than 150 * 10(7) , preshipping
assessment is mandatory. Umbilical cord blood processing requires aseptic
techniques and laboratories with specific air quality and cleanliness. Our aim
was to establish a fast and efficient method for determining TNC counts at the
obstetric ward without exposing the CBU to the environment. STUDY DESIGN AND
METHODS: Data from a total of 151 cord blood donations at a single procurement
site were included in this prospective study. We measured TNC counts in cord
blood aliquots taken from the umbilical cord (TNCCord ), from placenta (TNCPlac
), and from a tubing segment of the sterile collection system (TNCTS ). TNC
counts were compared to reference TNC counts in the CBU which were ascertained at
the cord blood bank (TNCCBU ). RESULTS: TNCTS counts (173 +/- 33 * 10(7) cells;
calculated for 1 unit) correlated fully with the TNCCBU reference counts (166 +/-
33 * 10(7) cells, Pearson's r = 0.97, p < 0.0001). In contrast, TNCCord and
TNCPlac counts were more disparate from the reference (r = 0.92 and r = 0.87,
respectively). CONCLUSIONS: A novel method of measuring TNC counts in tubing
segments from the sterile cord blood collection system allows rapid and correct
identification of CBUs with high cell numbers at the obstetric ward without
exposing cells to the environment. This approach may contribute to cost efficacy
as only CBUs with satisfactory TNC counts need to be shipped to the cord blood
bank.
PMID- 27184143
TI - Prediction models for cardiovascular disease risk in the general population:
systematic review.
AB - OBJECTIVE: To provide an overview of prediction models for risk of
cardiovascular disease (CVD) in the general population. DESIGN: Systematic
review. DATA SOURCES: Medline and Embase until June 2013. ELIGIBILITY CRITERIA
FOR STUDY SELECTION: Studies describing the development or external validation
of a multivariable model for predicting CVD risk in the general population.
RESULTS: 9965 references were screened, of which 212 articles were included in
the review, describing the development of 363 prediction models and 473 external
validations. Most models were developed in Europe (n=167, 46%), predicted risk of
fatal or non-fatal coronary heart disease (n=118, 33%) over a 10 year period
(n=209, 58%). The most common predictors were smoking (n=325, 90%) and age
(n=321, 88%), and most models were sex specific (n=250, 69%). Substantial
heterogeneity in predictor and outcome definitions was observed between models,
and important clinical and methodological information were often missing. The
prediction horizon was not specified for 49 models (13%), and for 92 (25%)
crucial information was missing to enable the model to be used for individual
risk prediction. Only 132 developed models (36%) were externally validated and
only 70 (19%) by independent investigators. Model performance was heterogeneous
and measures such as discrimination and calibration were reported for only 65%
and 58% of the external validations, respectively. CONCLUSIONS: There is an
excess of models predicting incident CVD in the general population. The
usefulness of most of the models remains unclear owing to methodological
shortcomings, incomplete presentation, and lack of external validation and model
impact studies. Rather than developing yet another similar CVD risk prediction
model, in this era of large datasets, future research should focus on externally
validating and comparing head-to-head promising CVD risk models that already
exist, on tailoring or even combining these models to local settings, and
investigating whether these models can be extended by addition of new predictors.
PMID- 27184146
TI - Optimal growth condition of earthworms and their vermicompost features during
recycling of five different fresh fruit and vegetable wastes.
AB - This study aimed to promote vermicomposting performance for recycling fresh fruit
and vegetable wastes (FVWs) and to assess microbial population and community of
final products. Five fresh FVWs including banana peels, cabbage, lettuce, potato,
and watermelon peels were chosen as earthworms' food. The fate test of earthworms
showed that 30 g fresh FVWs/day was the optimal loading and the banana peels was
harmful for the survival of Eisenia fetida. The followed vermicomposting test
revealed lower contents of total carbon and weaker microbial activity in final
vermicomposts, relative to those in compared systems without earthworms worked.
The leachate from FVWs carried away great amounts of nutrients from reactors.
Additionally, different fresh FVWs displayed dissimilar stabilization process.
Molecular biological approaches revealed that earthworms could broaden bacterial
diversity in their products, with significant greater populations of
actinobacteria and ammonia oxidizing bacteria than in control. This study
evidences that vermicomposting efficiency differs with the types and loadings of
fresh FVWs and vermicomposts are rich in agricultural probiotics.
PMID- 27184147
TI - Influence of supporting electrolyte in electricity generation and degradation of
organic pollutants in photocatalytic fuel cell.
AB - This study investigated the effect of different supporting electrolyte (Na2SO4,
MgSO4, NaCl) in degradation of Reactive Black 5 (RB5) and generation of
electricity. Zinc oxide (ZnO) was immobilized onto carbon felt acted as
photoanode, while Pt-coated carbon paper as photocathode was placed in a single
chamber photocatalytic fuel cell, which then irradiated by UV lamp for 24 h. The
degradation and mineralization of RB5 with 0.1 M NaCl rapidly decreased after 24
h irradiation time, followed by MgSO4, Na2SO4 and without electrolyte. The
voltage outputs for Na2SO4, MgSO4 and NaCl were 908, 628 and 523 mV,
respectively, after 24-h irradiation time; meanwhile, their short-circuit current
density, J SC, was 1.3, 1.2 and 1.05 mA cm(-2), respectively. The power densities
for Na2SO4, MgSO4 and NaCl were 0.335, 0.256 and 0.245 mW cm(-2), respectively.
On the other hand, for without supporting electrolyte, the voltage output and
short-circuit current density was 271.6 mV and 0.055 mA cm(-2), respectively. The
supporting electrolyte NaCl showed greater performance in degradation of RB5 and
generation of electricity due to the formation of superoxide radical anions which
enhance the degradation of dye. The mineralization of RB5 with different
supporting electrolyte was measured through spectrum analysis and reduction in
COD concentration.
PMID- 27184148
TI - Water-soluble ionic species of coarse and fine particulate matter and gas
precursor characteristics at urban and rural sites of central Taiwan.
AB - Coarse and fine particulate matter (PM) were taken by a dichotomous sampler, and
gas precursors were determined by a denuder sampler at two stations in central
Taiwan. Water-soluble ionic constituents of PM and their precursor gases were
analyzed by ionic chromatograph. In summer, the daytime/nighttime PM10
concentrations were 37 +/- 10/41 +/- 18 MUg m(-3) and 36 +/- 14/34 +/- 18 MUg m(
3) for Xitun and Jhushan, respectively. Average PM10 concentration in winter was
1.55 and 1.76 times that of summer for Xitun and Jhushan, respectively. PM mass
concentrations were similar for both stations, although one station is located in
the downtown area of Taichung, and the other is in a rural area with no heavy
pollution sources. Water-soluble ionic species content was 38-53 % of PM2.5 and
43-48 % of PM10 mass concentration. HNO3, HCl, and SO2 were high in the daytime;
the daytime-to-nighttime concentration ratio was 3.75-6.88 for HNO3,1.7-7.8 for
HCl, and 1.45-2.77 for SO2. High NH3 levels were determined in the area,
especially in winter, which could be a precursor of NH4 (+) to form particulate
matter. In Xitun, motor vehicles downtown and in the industrial district could be
sources of air pollution. In contrast, there are few industrial sources at
Jhushan; therefore, the transport of air pollutants from upwind of other regions
and the accumulation of pollutants could be important PM sources at Jhushan.
PMID- 27184149
TI - Geophysical, isotopic, and hydrogeochemical tools to identify potential impacts
on coastal groundwater resources from Urmia hypersaline Lake, NW Iran.
AB - Measurements of major ions, trace elements, water-stable isotopes, and
geophysical soundings were made to examine the interaction between Urmia Aquifer
(UA) and Urmia Lake (UL), northwest Iran. The poor correlation between sampling
depth and Cl(-) concentrations indicated that the position of freshwater
saltwater interface is not uniformly distributed in the study area, and this was
attributed to aquifer heterogeneities. The targeted coastal wells showed B/Cl and
Br/Cl molar ratios in the range of 0.0022-2.43 and 0.00032-0.28, respectively.
The base-exchange index (BEI) and saturation index (SI) calculations showed that
the salinization process followed by cation-exchange reactions mainly controls
changes in the chemical composition of groundwater. All groundwater samples are
depleted with respect to delta(18)O (-11.71 to -9.4 0/00) and deltaD (-66.26 to
48.41 0/00). The delta(18)O and deltaD isotope ratios for surface and groundwater
had a similar range and showed high deuterium excess (d-excess) (21.11 to 31.16
0/00). The high d-excess in water samples is because of incoming vapors from the
UL mixed with an evaporated moisture flux from the Urmia mainland and incoming
vapors from the west (i.e., Mediterranean Sea). Some saline samples with low B/Cl
and Br/Cl ratios had depleted delta(18)O and deltaD. In this case, due to
freshwater flushing, the drilled wells in the coastal playas and salty sediments
could have more depleted isotopes, more Cl(-), and consequently smaller B/Cl and
Br/Cl ratios. Moreover, the results of hydrochemical facies evolution (HFE)
diagram showed that because of the existence fine-grained sediments saturated
with high density saltwater in the coastal areas that act as a natural barrier,
increasing the groundwater exploitation leads to movement of freshwaters from
recharge zones in the western mountains not saltwater from UL. The highly
permeable sediments at the junction of the rivers to the lake are characterized
by low hydraulic gradient and high hydraulic conductivity. These properties
enhance the salinization of groundwater observed in the study area. The main
factors influencing the salinity are base-exchange reactions, invasion of highly
diluted saltwater, dissolution of salty pans, and water chemistry evolution along
flow paths.
PMID- 27184150
TI - Effects of oxygen and weak magnetic field on Fe(0)/bisulfite system: performance
and mechanisms.
AB - The performance and mechanisms of 4-nitrophenol (4-NP) degradation by the
Fe(0)/bisulfite system were systematically investigated for the first time. The
evidences presented in this study verified that O2 was a crucial factor that
affected the mechanism of Fe(0)/bisulfite-driven 4-NP degradation. In the
Fe(0)/bisulfite/O2 system, Fe(0) acted as a supplier of Fe(2+) to catalyze
bisulfite oxidation that induced a chain reaction to produce reactive radicals
for 4-NP degradation. While under N2 purging condition, bisulfite worked as a
specified reductant that facilitated the transformation of Fe(3+) to nascent
Fe(2+) ions, which principally accounted for the reductive removal of 4-NP. The
application of a weak magnetic field (WMF) efficiently improved the removal rate
of 4-NP and did not alter the mechanisms in both Fe(0)/bisulfite/O2 and
Fe(0)/bisulfite/N2 processes. The secondary radicals, HO(.), SO4 (.-), and SO5 (.
), were considered as the most possible active oxidants contributing to the
oxidative removal of 4-NP and even partial mineralization under an oxic
condition. Compared with anoxic conditions, the performance removal of 4-NP by
the WMF-Fe(0)/bisulfite/O2 system showed less pHini dependence. To facilitate the
application of WMF-Fe(0)/bisulfite/O2 technology in real practice,
premagnetization of Fe(0) was employed to combine with bisulfite/O2 and proved to
be an effective and applicable method for 4-NP removal.
PMID- 27184152
TI - The use of a high-power laser on swine mitral valve chordae tendineae.
AB - Worldwide, rheumatic fever remains a significant cause of mitral valve
insufficiency. It is responsible for approximately 90 % of early childhood
valvular surgeries in Brazil. Elongated or flail chordae are frequently
responsible and require surgical correction. The purpose of this study was to
analyze and compare the histological tissues of the mitral valve chordae and the
mechanical resistance generated by the chordae, both with and without the
application of a high-power laser. Twenty normal porcine mitral valve chordae
were measured and divided randomly into the following two groups: control group
(not subjected to a high-power laser) and laser group (subjected to photonic
irradiation). Laser surgery was performed under controlled conditions, using
following parameters: lambda = 980-nm wavelength, power = 3 W, and energy = 60 J.
A mechanical test machine was used in combination with a subsequent histological
study to measure chordae tensile properties. A histological analysis demonstrated
a typical collagen bundle arrangement in the control group; however, under a
particular reached temperature range (48), the collagen bundles assumed different
arrangements in the laser group. Significant reductions in the chordae tendineae
lengths and changes in their resistance in the laser group were observed, as
these chordae exhibited less rigid fibers. The chordae tendineae of normal
porcine valves subjected to a high-power laser exhibited its length reduction and
less stiffness compared to the control group. A histological analysis of the
laser treatment specimens demonstrated differences in collagen bundle spatial
organization, following slight changes into tissue temperature.
PMID- 27184151
TI - Muscle relaxation for individuals having tattoos removed through laser treatment:
possible effects regarding anxiety and pain.
AB - Effectively managing pain is vital for the well-being and satisfaction of
patients undergoing dermatologic treatments involving lasers. This study
investigates the potential outcome of using muscle relaxation techniques to
reduce pain among people having their tattoos removed with laser treatment. This
study consists of 56 participants (mean age 18.1 +/- 2.1 years) that had tattoos
removed using the principle of selective photothermolysis. These participants
underwent muscle relaxation before receiving the laser treatment. Their
peripheral skin temperatures (PST) were measured both at the beginning and the
end of the muscle relaxation period. Then, the Beck Anxiety Inventory was applied
to evaluate anxiety levels. Once the laser treatment was completed, pain levels
were measured using a visual analogue scale. A total of 125 person-sessions of
laser treatment and psychometric assessments were performed in this study. The
muscle relaxation method significantly increased the PST of the participants
while reducing the levels of anxiety and pain throughout the course of the laser
treatment procedure. The PST, anxiety scores, and pain scores all showed
significant correlations with one another. According to the results obtained,
this study proposes that muscle relaxation techniques be considered possibly
auxiliary treatment options for individuals having tattoos removed through laser
treatment. Additional studies with a comparison group and a larger sample size
are required in the future to confirm the effectiveness of such intervention.
PMID- 27184153
TI - Laser Doppler flowmetry: reproducibility, reliability, and diurnal blood flow
variations.
AB - The aim of this investigation was (1) to evaluate the reliability of laser
Doppler flowmetry (LDF) taking into consideration the use of a silicone splint
and the inclination of the probe towards the buccal surface of a human tooth and
(2) to determine whether diurnal variations of pulpal blood flow can be
registered by means of LDF. Forty-one splints were made by one and the same
principal investigator for the registration of pulpal blood flow in vivo in a
maxillary right central incisor. Thirty dentists, without experience in LDF
recording, were then asked to drill a right-angled shaft in a pre-manufactured
splint with a referral point at 2 mm from the enamel-cement border central on the
buccal surface of the right central upper incisor. The remaining 11 splints were
handled by the principal investigator. The shafts in the 30 splints were analysed
using Cone Beam CT imaging of the axial and sagittal angles and compared these to
the 11 shafts prepared by the trained principal investigator. LDF was recorded
for 90 s in each splint and statistically analysed. LDF values without the use of
a splint were statistically significantly different (p < 0.05) and the variance
was greater, indicating the superiority of splint use. Significant diurnal
variations on LDF values were observed, indicating that special attention should
be paid to registration during the day, especially when multiple measurements are
to be compared.
PMID- 27184155
TI - Investigations of initial airtightness after non-anatomic resection of lung
parenchyma using a thulium-doped laser with different optical fibres.
AB - Lung metastases in healthy patients should be removed non-anatomically whenever
possible. This can be done with a laser. Lung parenchyma can be cut very well,
because of its high energy absorption at a wavelength of 1940 nm. A coagulation
layer is created on the resected surface. It is not clear, whether this surface
also needs to be sutured to ensure that it remains airtight even at higher
ventilation pressures. It would be helpful, if suturing could be avoided, because
the lung can become too puckered, especially with multiple resections, resulting
in considerable restriction. We carried out our experiments on isolated and
ventilated paracardiac lung lobes of pigs. Non-anatomic resection was carried out
reproducibly using three different thulium laser fibres (230, 365 and 600 MUm) at
two different laser power levels (10 W, 30 W) and three different resection
depths (0.5, 1.0 and 2.0 cm). Initial airtightness was investigated while
ventilating at normal frequency. We also investigated the bursting pressures of
the resected areas by increasing the inspiratory pressure. When 230- and 365-MUm
fibres were used with a power of 10 W, 70 % of samples were initially airtight up
to a resection depth of 1 cm. This rate fell at depths of up to 2 cm. All
resected surfaces remained airtight during ventilation when 600-MUm fibres were
used at both laser power levels (10 and 30 W). The bursting pressures achieved
with 600-MUm fibres were higher than with the other fibres used: 0.5 cm, 41.6 +/-
3.2 mbar; 1 cm, 38.2 +/- 2.5 mbar; 2 cm, 33.7 +/- 4.8 mbar. As laser power and
thickness of laser fibre increased, so the coagulation zone became thicker. With
a 600-MUm fibre, it measured 145.0 +/- 8.2 MUm with 10 W power and 315.5 +/- 6.4
MUm with 30 W power. Closure with sutures after non-anatomic resection of lung
parenchyma is not necessary when a thulium laser is used provided a 600-MUm fibre
and adequate laser power (30 W) are employed. At deeper resection levels, the
risk of cutting small segmental bronchi is considerably increased. They must
always be closed with sutures.
PMID- 27184156
TI - In vitro validation of a hand-held optical reflectometer to measure clinically
observed erosive tooth wear.
AB - In this study, we analyzed a newly developed optical reflectometer for measuring
erosive tooth wear (ETW) in vitro. Three examiners independently assessed the
labial surface of 80 deciduous canines and 75 permanent incisors. One examiner
performed visual examinations (BEWE), and the other two used the optical pen-size
reflectometer to measure surface reflection intensity (SRI) on the same labial
surfaces. The examinations were made in duplicate with at least 1 week interval.
Intra- and inter-rater agreements were calculated using weighted kappa analysis
for BEWE, and intra-class correlation coefficients (ICC) as well as Bland-Altman
plots for SRI. The teeth were separated into without (BEWE 0) or with (BEWE 1-3)
ETW, and SRI cut-off points were calculated. Intra-rater agreement for the visual
examination was 0.46 and 0.82 for deciduous and permanent teeth, respectively.
Inter-rater and intra-rater agreement for SRI were good (ICC > 0.7; p < 0.001).
SRI measurements produced high specificity values for deciduous and permanent
teeth (>=0.74 and >= 0.84, respectively), and lower sensitivity values (>=0.37
and >= 0.64, respectively), but permanent teeth had generally higher SRI values
(p < 0.05). We observed a significant association between BEWE and SRI (p <
0.05). The optical pen-size reflectometer was able to adequately differentiate
ETW on permanent teeth, with highly reliable and reproducible measurements, but
ETW on deciduous teeth was less accurately differentiated. The reflectometer is a
good candidate for clinical research.
PMID- 27184154
TI - Combined pulsed dye and CO2 lasers in the treatment of angiolymphoid hyperplasia
with eosinophilia.
AB - Angiolymphoid hyperplasia with eosinophilia (ALHE) is an uncommon dermatosis of
unknown etiology that manifests as characteristic red nodules and papules with a
predilection for the scalp and periauricular region. Treatment is required for
both esthetic and functional reasons, as lesions may ulcerate and bleed. Many
treatment approaches have been reported, including excision, systemic medical
approaches, topical or intralesional therapies, and non-invasive modalities
including cryotherapy, electrosurgery, and laser. Treatments have exhibited
variable efficacy, and the recurrence rate is 100 %. We report the combination of
pulsed dye laser and CO2 laser in the treatment of ALHE in 14 patients. All
patients exhibited clinical response after a mean of 2.4 +/- 0.4 treatment
sessions. The clinical efficacy of the combined treatment, together with its well
tolerated nature, render the use of pulsed dye laser in combination with CO2
laser, a viable treatment for debulking ALHE lesions. Ongoing maintenance
treatments are needed to due to the high degree of relapse.
PMID- 27184157
TI - Evaluation of 660 nm LED light irradiation on the strategies for treating
experimental periodontal intrabony defects.
AB - This study aims to investigate the therapeutic value of 660 nm light-emitting
diode (LED) light irradiation on the strategies for treating experimental
periodontal intrabony defects in vivo. Large-sized periodontal intrabony defects
were created bilaterally on the mesial aspect of the maxillary second molars of
48 Sprague-Dawley rats, and the rats were equally divided into four treatment
groups with primary wound intention (n = 6/treatment/time point), including open
flap debridement alone (OD), barrier membrane alone (MB), xenograft alone (BG),
and xenograft plus barrier membrane (MG). Each group received daily 0 or 10
J/cm(2) LED light irradiation. The animals were sacrificed after 1 or 4 weeks.
The treatment outcome was evaluated by gross observation of wound dehiscence and
healing, micro-CT imaging for osteogenesis, and histological assessments for
inflammatory cell infiltration and periodontal reattachment. With LED light
irradiation, the extent of wound dehiscence was reduced, wound closure was
accelerated, epithelial downgrowth was prevented, inflammation was reduced, and
periodontal reattachment was promoted in all treatment strategies. Significant
reduction of inflammation with LED light irradiation was noted at 1 week in the
groups BG and MG (p < 0.05). Osteogenesis was significantly promoted only in the
group OD at both time points (p < 0.05). Our study showed that 660 nm LED light
accelerates mucoperiosteal flap healing and periodontal reattachment. However,
the enhancement of osteogenesis appeared to be limited while simultaneously
treating with a barrier membrane or xenograft.
PMID- 27184158
TI - Specific allergen immunotherapy for the treatment of atopic eczema: a Cochrane
systematic review.
AB - BACKGROUND: Specific allergen immunotherapy (SIT) is an effective allergy
treatment, but it is unclear whether SIT is effective for atopic eczema (AE). We
undertook a systematic review to assess SIT efficacy and safety for treating AE.
METHODS: We searched databases, ongoing clinical trials registers, and conference
proceedings up to July 2015. Randomized controlled trials (RCTs) of SIT using
standardized allergen extracts, compared with placebo/control, for treating AE in
patients with allergic sensitization were eligible. RESULTS: We identified 12
eligible trials with 733 participants. Interventions included subcutaneous (six
trials), sublingual (four trials), oral or intradermal SIT in children/adults
allergic to house dust mite (10 trials), grass pollen or other inhalants. Risk of
bias was moderate, with high loss to follow-up and nonblinding as the main
concerns. For our primary outcomes, three studies (208 participants) reported no
significant difference - patient-reported global disease severity improvement RR
0.75 (95% CI 0.45, 1.26); and eczema symptoms mean difference -0.74 on a 20-point
scale (95% CI -1.98, 0.50). Two studies (85 participants) reported a significant
difference - SIT improved global disease severity RR 2.85 (95% CI 1.02, 7.96);
and itch mean difference -4.20 on a 10-point scale (95% CI -3.69, -4.71). Meta
analysis was limited due to extreme statistical heterogeneity. For some secondary
outcomes, meta-analyses showed benefits for SIT, for example investigator-rated
improvement in eczema severity RR 1.48 (95% CI 1.16, 1.88; six trials, 262
participants). We found no evidence of adverse effects. The overall quality of
evidence was low. CONCLUSION: We found no consistent evidence that SIT is
effective for treating AE, but due to the low quality of evidence further
research is needed to establish whether SIT has a role in AE treatment.
PMID- 27184159
TI - Dirac Cellular Automaton from Split-step Quantum Walk.
AB - Simulations of one quantum system by an other has an implication in realization
of quantum machine that can imitate any quantum system and solve problems that
are not accessible to classical computers. One of the approach to engineer
quantum simulations is to discretize the space-time degree of freedom in quantum
dynamics and define the quantum cellular automata (QCA), a local unitary update
rule on a lattice. Different models of QCA are constructed using set of
conditions which are not unique and are not always in implementable configuration
on any other system. Dirac Cellular Automata (DCA) is one such model constructed
for Dirac Hamiltonian (DH) in free quantum field theory. Here, starting from a
split-step discrete-time quantum walk (QW) which is uniquely defined for
experimental implementation, we recover the DCA along with all the fine
oscillations in position space and bridge the missing connection between DH-DCA
QW. We will present the contribution of the parameters resulting in the fine
oscillations on the Zitterbewegung frequency and entanglement. The tuneability of
the evolution parameters demonstrated in experimental implementation of QW will
establish it as an efficient tool to design quantum simulator and approach
quantum field theory from principles of quantum information theory.
PMID- 27184160
TI - Item Response Theory Analysis of the Anxiety and Mood Disorders in Clinic
Referred Children.
AB - There is evidence that the major anxiety and depressive disorders could reflect a
single underlying internalization factor. For a group of 1,031 clinic-referred
children, the study examined support for this factor, and used the two-parameter
logistic model to examine the item response theory properties of the disorders in
this factor. For the set of anxiety and depressive disorders, confirmatory factor
analysis supported a one-factor model. The two-parameter logistic model analysis
indicated that all the internalizing disorders in this factor were strong
discriminators of the internalizing dimension. Also, they measured more of the
internalizing dimension and with more precision in the upper half of the trait
continuum. There was also support for the convergent validity of the
internalizing dimension, in that it had large-to-medium effect size correlations
with internalizing scores of other measures. The implications of the findings for
clinical practice and clinical classification are discussed.
PMID- 27184161
TI - Implementing Dynamic Assessments in Psychotherapy.
AB - In this article, we organize multimethod, multitimescale data around the
interpersonal situation, a conceptual framework that can be used to integrate
personality, psychopathology, and psychotherapy constructs in order to guide the
assessment of clinical dynamics. We first describe the key variables of the
interpersonal situation model and articulate methods for assessing those
variables as they manifest (a) across different levels of personality, (b) across
situations, and (c) within situations. We next use a case to demonstrate how to
assess aspects of the interpersonal situation in a manner that enhances case
conceptualization and facilitates the evaluation of clinical hypotheses. We also
use this case to highlight challenges and decisions involved in implementing
dynamic assessment in psychotherapy. We conclude by outlining areas in need of
further exploration toward a more sophisticated approach to clinical practice
that involves the routine assessment of dynamic processes.
PMID- 27184163
TI - Characteristics of peripheral blood CD4+CD25+ regulatory T cells and related
cytokines in severe atopic dermatitis.
AB - Regulatory T cells (Tregs) have been suggested to play a role in the pathogenesis
of atopic dermatitis (AD). However, alterations in the ability of Tregs remain to
be determined. To investigate the expression of various surface receptors on
CD4(+)CD25(high) regulatory T cells and to investigate their capacity for
inhibiting the proliferation of CD4(+) CD25(-) effector T cells (Teffs).
Peripheral blood samples were obtained from 15 patients with severe atopic
dermatitis (AD) and 20 control subjects. FACs was then carried out to analyze the
expression levels of FoxP3, CD152 (CTLA-4), CD39, CD73, CD223 (LAG-3), CCR4,
CCR5, and CCR10 on Tregs. The proliferative responses of Teffs were assessed in
the absence or presence of autologous Tregs and the TGF-beta1 and IL-10 levels in
the culture supernatant and sera were detected by enzyme-linked immunosorbent
assay (ELISA). The CD152, CD39, CD73, CCR4, and CCR5 expression levels on Tregs
were higher in patients with severe AD than in the controls. Tregs showed an
attenuated suppressive function of the proliferation of autologous Teffs in
severe AD. The concentrations of IL-10 and TGF-beta in the culture supernatants
of Tregs were lower in the AD group than in the control. The attenuated ability
of Tregs to suppress Teff proliferation may be responsible for the autoimmune
reaction of severe AD.
PMID- 27184162
TI - Airway epithelial cell exposure to distinct e-cigarette liquid flavorings reveals
toxicity thresholds and activation of CFTR by the chocolate flavoring 2,5
dimethypyrazine.
AB - BACKGROUND: The potential for adverse respiratory effects following exposure to
electronic (e-) cigarette liquid (e-liquid) flavorings remains largely
unexplored. Given the multitude of flavor permutations on the market,
identification of those flavor constituents that negatively impact the
respiratory tract is a daunting task. In this study we examined the impact of
common e-liquid flavoring chemicals on the airway epithelium, the cellular
monolayer that provides the first line of defense against inhaled particulates,
pathogens, and toxicants. METHODS: We used the xCELLigence real-time cell
analyzer (RTCA) as a primary high-capacity screening tool to assess cytotoxicity
thresholds and physiological effects of common e-liquid flavoring chemicals on
immortalized human bronchial epithelial cells (16HBE14o-). The RTCA was used
secondarily to assess the capability of 16HBE14o- cells to respond to cellular
signaling agonists following a 24 h exposure to select flavoring chemicals.
Finally, we conducted biophysical measurements of well-differentiated primary
mouse tracheal epithelial (MTE) cells with an Ussing chamber to measure the
effects of e-cigarette flavoring constituents on barrier function and ion
conductance. RESULTS: In our high-capacity screens five of the seven flavoring
chemicals displayed changes in cellular impedance consistent with cell death at
concentrations found in e-liquid. Vanillin and the chocolate flavoring 2,5
dimethylpyrazine caused alterations in cellular physiology indicative of a
cellular signaling event. At subcytotoxic levels, 24 h exposure to 2,5
dimethylpyrazine compromised the ability of airway epithelial cells to respond to
signaling agonists important in salt and water balance at the airway surface.
Biophysical measurements of 2,5-dimethylpyrazine on primary MTE cells revealed
alterations in ion conductance consistent with an efflux at the apical airway
surface that was accompanied by a transient loss in transepithelial resistance.
Mechanistic studies confirmed that the increases in ion conductance evoked by 2,5
dimethylpyrazine were largely attributed to a protein kinase A-dependent (PKA)
activation of the cystic fibrosis transmembrane conductance regulator (CFTR) ion
channel. CONCLUSIONS: Data from our high-capacity screening assays demonstrates
that individual e-cigarette liquid flavoring chemicals vary in their cytotoxicity
profiles and that some constituents evoke a cellular physiological response on
their own independent of cell death. The activation of CFTR by 2,5
dimethylpyrazine may have detrimental consequences for airway surface liquid
homeostasis in individuals that use e-cigarettes habitually.
PMID- 27184164
TI - Cold-inducible RNA binding protein regulates mucin expression induced by cold
temperatures in human airway epithelial cells.
AB - Mucus overproduction is an important manifestation of chronic airway inflammatory
diseases, however, the mechanisms underlying the association between cold air and
mucus overproduction remain unknown. We found that the expression of the cold
inducible RNA binding protein (CIRP) was increased in patients with chronic
obstructive pulmonary disease (COPD). In the present study, we tested whether
CIRP was involved in inflammatory factors and mucin5AC (MUC5AC) expression after
cold stimulation and investigated the potential signaling pathways involved in
this process. We found that CIRP was highly expressed in the bronchi of COPD
patients. The expression of CIRP, interleukin-1beta (IL-1beta) and tumor necrosis
factor alpha (TNF-alpha) were increased, and the CIRP was localized in cytoplasm
after cold stimulation. MUC5AC mRNA and protein expression levels were elevated
in a temperature- and time-dependent manner after cold stimulation and were
associated with the phosphorylation of ERK and NF-kappaB, which reflected their
activation. These responses were suppressed by knockdown of CIRP with a specific
siRNA or the ERK and NF-kappaB inhibitors. These results demonstrated that CIRP
was expressed in the bronchi of human COPD patients and was involved in
inflammatory factors and MUC5AC expression after cold stimulation through the ERK
and NF-kappaB pathways.
PMID- 27184124
TI - Evidence for three genetic loci involved in both anorexia nervosa risk and
variation of body mass index.
AB - The maintenance of normal body weight is disrupted in patients with anorexia
nervosa (AN) for prolonged periods of time. Prior to the onset of AN, premorbid
body mass index (BMI) spans the entire range from underweight to obese. After
recovery, patients have reduced rates of overweight and obesity. As such, loci
involved in body weight regulation may also be relevant for AN and vice versa.
Our primary analysis comprised a cross-trait analysis of the 1000 single
nucleotide polymorphisms (SNPs) with the lowest P-values in a genome-wide
association meta-analysis (GWAMA) of AN (GCAN) for evidence of association in the
largest published GWAMA for BMI (GIANT). Subsequently we performed sex-stratified
analyses for these 1000 SNPs. Functional ex vivo studies on four genes ensued.
Lastly, a look-up of GWAMA-derived BMI-related loci was performed in the AN
GWAMA. We detected significant associations (P-values <5 * 10-5, Bonferroni
corrected P<0.05) for nine SNP alleles at three independent loci. Interestingly,
all AN susceptibility alleles were consistently associated with increased BMI.
None of the genes (chr. 10: CTBP2, chr. 19: CCNE1, chr. 2: CARF and NBEAL1; the
latter is a region with high linkage disequilibrium) nearest to these SNPs has
previously been associated with AN or obesity. Sex-stratified analyses revealed
that the strongest BMI signal originated predominantly from females (chr. 10
rs1561589; Poverall: 2.47 * 10-06/Pfemales: 3.45 * 10-07/Pmales: 0.043).
Functional ex vivo studies in mice revealed reduced hypothalamic expression of
Ctbp2 and Nbeal1 after fasting. Hypothalamic expression of Ctbp2 was increased in
diet-induced obese (DIO) mice as compared with age-matched lean controls. We
observed no evidence for associations for the look-up of BMI-related loci in the
AN GWAMA. A cross-trait analysis of AN and BMI loci revealed variants at three
chromosomal loci with potential joint impact. The chromosome 10 locus is
particularly promising given that the association with obesity was primarily
driven by females. In addition, the detected altered hypothalamic expression
patterns of Ctbp2 and Nbeal1 as a result of fasting and DIO implicate these genes
in weight regulation.
PMID- 27184165
TI - Diastolic dysfunction and cardiac troponin I decrease in aging hearts.
AB - Cardiac tropnoin I (cTnI) plays a critical role in the regulation of diastolic
function, and its low expression may result in cardiac diastolic dysfunction,
which is the most common form of cardiovascular disorders in older adults. In
this study, cTnI expression levels were determined in mice at various ages and
cardiac function was measured and compared between young adult mice (3 and 10
months) and older mice (18 months). The data indicated that the cTnI levels
reached a peak high in young adult hearts (3 months), but decreased in older
hearts (18 months). Furthermore, the older hearts showed a significant diastolic
dysfunction observed by P-V loop and echocardiography measurements. To further
define the mechanism underlying the cTnI decrease in aging hearts, we tested DNA
methylation and histone acetylation modifications of cTnI gene. We found that
acetylation of histone near the promoter region of cTnI gene played an important
role in regulation of cTnI expression in the heart at different ages. Our study
indicates that epigenetic modification caused cTnI expression decrease is one of
the possible causes that result in a reduced cTnI level and diastolic dysfunction
in the older hearts.
PMID- 27184166
TI - Problem signs in law school: Fostering attorney well-being early in professional
training.
AB - Attorneys suffer from high rates of stress, alcoholism, and mental health
problems that are costly for the legal system and impair their abilities to serve
their clients. There is some indication that these problems begin in law school.
The present study assessed a cohort of law students at an American law school for
their reported levels of stress, depression, anxiety, substance use, and overall
adjustment/coping. Findings indicate that law students suffer from high levels of
stress, anxiety, depression, and alcohol use, and that these problem behaviors
fluctuate throughout the course of law school. We discuss the implications for
law student/lawyer well-being and legal education.
PMID- 27184167
TI - Challenges of regulatory rights of half-capacitated persons: A sociological
perspective on the French Civil Code reform.
AB - Democratic societies are based on the principle of equal legal capacity of all
citizens to decide and act for themselves in all areas of social life. This
"socio-civil capacity", which may involve both material property of an
individual, as well as private life in matters ranging from health to personal
relationships, is recognized by the law (both codified law and common law). These
rights guarantee the autonomy and freedom of individuals in the name of respect
for human dignity. Civil capacity of a person is legally diminished because his
or her "natural" abilities, capacity, or competence are reduced. Recent social
changes have lead to increased uses of legal measures of protection. The reasons
for these changes are complex and they are accompanied by legislative reforms
that modify the rights of half-capacitated persons. In this article, we examine
certain issues of civil capacity rights based on the French example. We start
present a perspective of the historical definition and practice of these rights
as well as their democratization.
PMID- 27184168
TI - Meta-Analysis of the Long-Term Effect of Routine Aspiration Thrombectomy in
Patients Undergoing Primary Percutaneous Coronary Intervention.
AB - American College of Cardiology Foundation/American Heart Association guidelines
no longer recommend the use of routine aspiration thrombectomy during primary
percutaneous coronary intervention (PCI). This is based on evidence from recent
randomized controlled trials (RCTs) that suggests that the long-term benefits of
aspiration thrombectomy were previously overestimated. We conducted a systematic
review and meta-analysis of RCTs to examine the effect of routine aspiration
thrombectomy during primary PCI versus primary PCI alone on markers of
reperfusion immediately after PCI and on clinical outcomes at >=6 months. We
systematically searched Medline, EMBASE, and the Cochrane Library of Clinical
trials for RCTs published in English or French with follow-up >=6 months. Data
were pooled using random-effects models. Eighteen publications (containing data
from 14 RCTs, n = 20,285) met our inclusion criteria. Aspiration thrombectomy was
associated with higher rates of ST-segment resolution (relative risk [RR] 1.22,
95% CI 1.07 to 1.40) and myocardial blush grade 3 (RR 1.30, 95% CI 1.01 to 1.67)
and a reduced risk of no reflow immediately after PCI (RR 0.63, 95% CI 0.40 to
0.98). However, thrombectomy was not associated with our primary outcome of all
cause mortality at longest available follow-up (RR 0.92, 95% CI 0.81 to 1.04).
Similar results were obtained for myocardial infarction and target vessel/lesion
revascularization. Thrombectomy also increased the risk of stroke (RR 1.59, 95%
CI 1.07 to 2.35). In conclusion, routine aspiration thrombectomy during primary
PCI has some short-term clinical benefits but does not improve outcomes >=6
months and increases the risk of stroke.
PMID- 27184171
TI - Detailed Transthoracic and Transesophageal Echocardiographic Analysis of Mitral
Leaflets in Patients Undergoing Mitral Valve Repair.
AB - A recent histological study of resected scallop-P2 in mitral valve (MV) prolapse,
showed that chordae tendinae may be missing or hidden in superimposed fibrous
tissue of the leaflets, contributing to their thickening. This may have relevant
clinical implication because detailed analysis of MV leaflets has a central role
in the evaluation of patients undergoing repair. The aim of this study was to
analyze MV leaflets focusing on thickness of prolapsing segments and the presence
of chordal rupture (CR). We enrolled 246 patients (age 63 +/- 13 years, 72 men)
with isolated P2 prolapse and also 50 age-matched patients with normal MV anatomy
as control group. Transthoracic echocardiography (TTE) and transesophageal
echocardiography (TEE) were retrospectively analyzed to quantify the length and
the proximal and distal thickness of both anterior (A2) and posterior (P2) MV
scallops. Measurements were performed at end diastole in the standard TTE and TEE
views. TTE and TEE measurements were feasible in all cases. Echocardiographically
176 patients had CR (group A), 45 had no rupture (group B), and 25 had an
uncertain diagnosis (group C). All pathological groups showed thickening and
elongation of involved leaflets versus normal, whereas no differences in leaflets
characteristics were found among MV groups. Most patients undergoing MV repair
had CR with thickening of the prolapsed segment. These findings are in agreement
with recent histological studies showing superimposed fibrous tissue on MV
leaflets partially including ruptured chordae. This may also explain that in
cases without ruptured chordae, thickness of the leaflets is markedly increased
(hidden chordae?). In conclusion, detailed analysis of MV apparatus may further
improve knowledge of these patients and may influence surgical timing.
PMID- 27184172
TI - Relation of Nonvalvular Atrial Fibrillation to Body Mass Index (from the SPORTIF
Trials).
AB - Obesity is well-established as a major cardiovascular (CV) risk factor. Obesity
confers a greater risk for developing atrial fibrillation (AF), but the relation
between obesity and established nonvalvular AF for stroke and all-cause death is
still unclear. To ascertain the prevalence of overweight and obesity in patients
with nonvalvular AF, their influence on adverse events, and the relation with
anticoagulation control, we performed this post hoc analysis of the pooled Stroke
Prevention using an Oral Thrombin Inhibitor in patients with atrial Fibrillation
(SPORTIF) III and V data sets. For this study, we analyzed all patients assigned
to the warfarin arm with data on body mass index (BMI). Time in therapeutic range
was used as an index of the quality of anticoagulation control. The 3,630
patients eligible for this analysis were categorized as follows: (1) BMI 18.5 to
24.9 ("normal weight") in 24.1%; (2) BMI 25.0 to 29.9 ("overweight") in 39.8%;
and BMI >=30 ("obese") in 36.1%. Both overweight (hazard ratio [HR] 0.70) and
obese (HR 0.59) categories were inversely associated with the composite outcome
of stroke/all-cause death. A similar inverse association was seen for the end
point of stroke (HR 0.61 and 0.47, respectively). Good anticoagulation control
also attenuated the association between BMI categories and outcomes. In patients
with time in therapeutic range >70%, BMI category was not significantly
associated with the composite outcome of stroke/death and stroke. Stroke and all
cause death progressively reduced in overweight and obese anticoagulated patients
with AF. The inverse relation of BMI categories to the risk of stroke and all
cause death was mitigated by good anticoagulation control.
PMID- 27184169
TI - Usefulness of Predilation Before Transcatheter Aortic Valve Implantation.
AB - Balloon predilation is historically considered a requirement before performing
transcatheter aortic valve implantation (TAVI). As the procedure has evolved, it
has been questioned whether it is actually needed, but data are lacking on mid
term outcomes. The aim of this study was to evaluate the effect of balloon
predilation before TAVI. A total of 517 patients who underwent transfemoral TAVI
from November 2007 to October 2015 were analyzed. The devices implanted included
the Medtronic CoreValve (n = 216), Medtronic Evolut R (n = 30), Edwards SAPIEN XT
(n = 210), and Edwards SAPIEN 3 (n = 61). Patients were divided into 2 groups
depending on whether pre-implantation balloon aortic valvuloplasty (pre-BAV) was
performed (n = 326) or not (n = 191). Major adverse cardiac and cerebrovascular
events (MACCE) were primarily evaluated. Propensity score matching was used to
adjust for differences in baseline characteristics and potential confounders (n =
113 pairs). In the overall cohort, patients without pre-BAV had a significantly
higher MACCE rate at 30 days, driven by a higher incidence of stroke (0.3% pre
BAV vs 3.7% no-pre-BAV, p <0.01). MACCE and mortality at 1 year were, however,
similar in both groups. Independent predictors of MACCE at 1 year included serum
creatinine, NYHA class 3 to 4, logistic European System for Cardiac Operative
Risk Evaluation, and postdilation. Of note, the postdilation rate was higher in
the no-pre-BAV group (21.5% pre-BAV vs 35.6% no-pre-BAV, p <0.001). After
propensity score matching, there were no differences in MACCE between the 2
groups. In conclusion, this study showed that, in selected patients and with
specific transcatheter valves, TAVI without pre-BAV appears to be associated with
similar mid-term outcomes compared with TAVI with pre-BAV, but it may increase
the need for postdilation.
PMID- 27184170
TI - Efficacy and Safety of Alirocumab in Japanese Subjects (Phase 1 and 2 Studies).
AB - We assessed the safety and tolerability of ascending single doses of alirocumab
in healthy Japanese subjects and evaluated the effect of alirocumab at 3 doses
(50, 75, 150 mg) on low-density lipoprotein cholesterol (LDL-C) reduction in
patients with primary hypercholesterolemia on atorvastatin. A randomized, single
ascending-dose study of alirocumab (100, 150, 250, or 300 mg) or placebo (3:1
ratio), administered subcutaneously, was conducted in 32 healthy Japanese men.
The phase 2, randomized, double-blind, placebo-controlled, parallel-group study
was performed in patients with primary hypercholesterolemia (defined as
calculated LDL-C >=100 mg/dl [2.6 mmol/l]) who were on a stable dose of
atorvastatin (5 to 20 mg). Patients were randomized to alirocumab (50, 75, or 150
mg) or placebo (in single 1.0-ml injection volumes) administered every 2 weeks
(Q2W) for 12 weeks; the primary outcome was the mean percent change in calculated
LDL-C from baseline to week 12. Single subcutaneous administration of alirocumab
in healthy subjects was well tolerated over 15 weeks and resulted in highest mean
percent reductions in LDL-C from baseline of approximately 40% to 60%. In the
multiple-dose study, least-square mean (SE) changes in calculated LDL-C
concentrations from baseline to week 12 were -54.8% (3.1%) for alirocumab 50 mg,
62.3% (3.1%) for alirocumab 75 mg, and -71.7% (3.1%) for alirocumab 150 mg, with
a least-square mean (SE) difference versus placebo of -52.2% (4.3%), -59.6%
(4.3%), and -69.1% (4.3%), respectively (all p <0.0001). In conclusion,
alirocumab was well tolerated and significantly reduced LDL-C concentrations in
Japanese patients with primary hypercholesterolemia on atorvastatin.
PMID- 27184173
TI - Feasibility and Safety of Transcatheter Aortic Valve Implantation Performed
Without Intensive Care Unit Admission.
AB - Admission to the intensive care unit (ICU) is a standard of care after
transcatheter aortic valve implantation (TAVI); however, the improvement of the
procedure and the need to minimize the unnecessary use of medical resources call
into question this strategy. We evaluated prospectively 177 consecutive patients
who underwent TAVI. Low-risk patients, admitted to conventional cardiology units,
had stable clinical state, transfemoral access, no right bundle branch block,
permanent pacing with a self-expandable valve, and no complication occurring
during the procedure. High-risk patients included all the others transferred to
ICU. In-hospital events were the primary end point (Valve Academic Research
Consortium 2 criteria). The mean age of patients was 83.5 +/- 6.5 years, and the
mean logistic EuroSCORE was 14.6 +/- 9.7%. The balloon-expandable SAPIEN 3 valve
was mainly used (n = 148; 83.6%), mostly with transfemoral access (n = 167;
94.4%). Among the 61 patients (34.5%) included in the low-risk group, only 1
(1.6%) had a minor complication (negative predictive value 98.4%, 95% confidence
interval [CI] 0.91 to 0.99). Conversely, 31 patients (26.7%) from the high-risk
group had clinical events (positive predictive value 26.7%, 95% CI 0.19 to 0.35),
mainly conductive disorders requiring pacemaker (n = 26; 14.7%). In multivariate
analysis, right bundle branch block (odds ratio [OR] 14.1, 95% CI 3.5 to 56.3),
use of the self-expandable valve without a pacemaker (OR 5.5, 95% CI 2 to 16.3),
vitamin K antagonist treatment (OR 3.8, 95% CI 1.1 to 12.6), and female gender
(OR 2.6, 95% CI 1.003 to 6.9) were preprocedural predictive factors of adverse
events. In conclusion, our results suggested that TAVI can be performed safely
without ICU admission in selected patients. This strategy may optimize efficiency
and cost-effectiveness of procedures.
PMID- 27184174
TI - Triglycerides and Triglyceride-Rich Lipoproteins in the Causal Pathway of
Cardiovascular Disease.
AB - Epidemiologic and clinical studies suggest that elevated triglyceride levels are
a biomarker of cardiovascular (CV) risk. Consistent with these findings, recent
genetic evidence from mutational analyses, genome-wide association studies, and
Mendelian randomization studies provide robust evidence that triglycerides and
triglyceride-rich lipoproteins are in the causal pathway for atherosclerotic CV
disease, indicating that they may play a pathogenic role, much like low-density
lipoprotein cholesterol (LDL-C). Although statins are the cornerstone of
dyslipidemia management, high triglyceride levels may persist in some patients
despite statin therapy. Several triglyceride-lowering agents are available,
including fibrates, niacin, and omega-3 fatty acids, of which prescription omega
3 fatty acids have the best tolerability and safety profile. In clinical studies,
omega-3 fatty acids have been shown to reduce triglyceride levels, but products
containing both eicosapentaenoic acid and docosahexaenoic acid may increase LDL-C
levels. Icosapent ethyl, a high-purity eicosapentaenoic acid-only product, does
not raise LDL-C levels and also reduces triglyceride, non-high-density
lipoprotein cholesterol, and triglyceride-rich lipoprotein levels. In conclusion,
omega-3 fatty acids are currently being evaluated in large CV outcome studies in
statin-treated patients; these studies should help to elucidate the causative
role of triglycerides in atherosclerotic CV disease.
PMID- 27184176
TI - The Sally-Anne test: an interactional analysis of a dyadic assessment.
AB - BACKGROUND: The Sally-Anne test has been extensively used to examine children's
theory of mind understanding. Many task-related factors have been suggested to
impact children's performance on this test. Yet little is known about the
interactional aspects of such dyadic assessment situations that might contribute
to the ways in which children respond to the test questions. AIMS: To examine the
interactional factors contributing to the performance of two children in the
Sally-Anne test. To identify the interactional practices used by the tester
administering the task and to describe how interactional features can pose
challenges in the critical belief and reality questions for both the tester and
the testee. METHODS & PROCEDURES: The Sally-Anne test was carried out as part of
a project examining children's interactions in a technology-enhanced environment.
The present study uses video recordings of two children with communication
disorders (one with a current diagnosis of autism spectrum disorder [ASD]) and an
adult tester. We draw on a multimodal approach to conversation analysis (CA) to
examine the sequential organization of the test questions and answers. OUTCOMES &
RESULTS: The children drew on diverse resources when producing responses to the
test questions: responding verbally, pointing or manually handling objects. The
tester treated these responses differently depending on how they were produced.
When the child pointed at an object and verbally indicated their response, the
tester moved on to the next question apparently accepting the child's answer.
When the child manually handled an object or produced a quiet verbal response,
the tester repeated the question indicating that the child's actions did not
constitute an adequate response to a test question. In response to this, both
children modified or changed their previous responses. Through monitoring each
other, the tester and the child produced actions highly responsive to the
features of each other's conduct, which underpinned the conduct of the test
itself. CONCLUSIONS & IMPLICATIONS: Children's responses in the test might not be
solely indicative of socio-cognitive capacities but also show orientation to
interactional nuances. The study proposes that children can demonstrate diverse
ways of responding to questions, yet testers may treat these as test-irrelevant
behaviours if they do not correspond to the scoring criteria. A video-based CA
study can broaden our understanding of children's pragmatic competencies in
responsiveness that may not always embody an expected form. This can have
implications for the development of future assessment tasks and revision of
existing scoring practices.
PMID- 27184175
TI - Effectiveness of initiating extrafine-particle versus fine-particle inhaled
corticosteroids as asthma therapy in the Netherlands.
AB - BACKGROUND: Most randomised clinical trials typically exclude a significant
proportion of asthma patients, including those at higher risk of adverse events,
with comorbidities, obesity, poor inhaler technique and adherence, or smokers.
However, these patients might differentially benefit from extrafine-particle
inhaled corticosteroids (ICS). This matched cohort, database study, compared the
effectiveness of extrafine-particle with fine-particle ICS in a real-life
population initiating ICS therapy in the Netherlands. METHODS: Data were from the
Pharmo Database Network, comprising pharmacy and hospital discharge records,
representative of 20 % of the Dutch population. The study population included
patients aged 12 - 60, with a General Practice-recorded diagnosis for asthma
(International Classification of Primary Care code R96), when available, >=2
prescriptions for asthma therapy at any time in their recorded history, and
receiving first prescription of ICS therapy as either extrafine-particle
(ciclesonide or hydrofluoroalkane beclomethasone dipropionate [BDP]) or fine
particle ICS (fluticasone propionate or non-extrafine-particle-BDP). Patients
were matched (1:1) on relevant demographic and clinical characteristics over 1
year baseline. Primary outcomes were severe exacerbation rates, risk domain
asthma control and overall asthma control during the year following first ICS
prescription. Secondary outcomes, treatment stability and being prescribed higher
versus lower category of short-acting beta2 agonists (SABA) dose, were compared
over a 1-year outcome period using conditional logistic regression models.
RESULTS: Following matching, 1399 patients were selected in each treatment cohort
(median age: 43 years; males: 34 %). Median (interquartile range) initial ICS
doses (fluticasone-equivalents in MUg) were 160 (160 - 320) for extrafine
particle versus 500 (250 - 500) for fine-particle ICS (p < 0.001). Following
adjustment for residual confounders, matched patients prescribed extrafine
particle ICS had significantly lower rates of exacerbations (adjusted rate ratio
[95 % CI], 0.59 [0.47-0.73]), and significantly higher odds of achieving asthma
control and treatment stability in the year following initiation than those
prescribed fine-particle ICS, and this occurred at lower prescribed doses.
Patients prescribed extrafine-particle ICS had lower odds of being prescribed
higher doses of SABA (0.50 [0.44-0.57]). CONCLUSION: In this historical, matched
study, extrafine-particle ICS was associated with better odds of asthma control
than fine-particle ICS in patients prescribed their first ICS therapy in the
Netherlands. Of importance, this was reached at significantly lower prescribed
dose.
PMID- 27184178
TI - Are Surgeons Born or Made? A Comparison of Personality Traits and Learning Styles
Between Surgical Trainees and Medical Students.
AB - OBJECTIVE: Medical students and surgical trainees differ considerably in both
their preferential learning styles and personality traits. This study compares
the personality profiles and learning styles of surgical trainees with a cohort
of medical students specifically intent on pursuing a surgical career. DESIGN: A
cross-sectional study was conducted contrasting surgical trainees with medical
students specifying surgical career intent. The 50-item International Personality
Item Pool Big-Five Factor Marker (FFM) questionnaire was used to score 5
personality domains (extraversion, conscientiousness, agreeableness, openness to
experience, and neuroticism). The 24-item Learning Style Inventory (LSI)
Questionnaire was used to determine the preferential learning styles (visual,
auditory, or tactile). chi(2) Analysis and independent samples t-test were used
to compare LSI and FFM scores, respectively. SETTING: Surgical trainees from
several UK surgical centers were contrasted to undergraduate medical students.
PARTICIPANTS: A total of 53 medical students who had specifically declared desire
to pursue a surgical career and were currently undertaking an undergraduate
intercalated degree in surgical sciences were included and contrasted to 37 UK
core surgical trainees (postgraduate years 3-4). RESULTS: The LSI questionnaire
was completed by 53 students and 37 trainees. FFM questionnaire was completed by
29 medical students and 34 trainees. No significant difference for learning
styles preference was detected between the 2 groups (p = 0.139), with the visual
modality being the preferred learning style for both students and trainees (69.8%
and 54.1%, respectively). Neuroticism was the only personality trait to differ
significantly between the 2 groups, with medical students scoring significantly
higher than trainees (2.9 vs. 2.6, p = 0.03). CONCLUSIONS: Medical students
intent on pursuing a surgical career exhibit similar personality traits and
learning styles to surgical trainees, with both groups preferring the visual
learning modality. These findings facilitate future research into potential ways
of improving both the training and selection of students and junior trainees onto
residency programs.
PMID- 27184177
TI - Assessing Wire Navigation Performance in the Operating Room.
AB - OBJECTIVE: There are no widely accepted, objective, and reliable tools for
measuring surgical skill in the operating room (OR). Ubiquitous video and imaging
technology provide opportunities to develop metrics that meet this need. Hip
fracture surgery is a promising area in which to develop these measures because
hip fractures are common, the surgery is used as a milestone for residents, and
it demands technical skill. The study objective is to develop meaningful,
objective measures of wire navigation performance in the OR. DESIGN: Resident
surgeons wore a head-mounted video camera while performing surgical open
reduction and internal fixation using a dynamic hip screw. Data collected from
video included: duration of wire navigation, number of fluoroscopic images, and
the degree of intervention by the surgeon's supervisor. To determine reliability
of these measurements, 4 independent raters performed them for 2 cases. Raters
independently measured the tip-apex distance (TAD), which reflects the accuracy
of the surgical placement of the wire, on all the 7 cases. SETTING: University of
Iowa Hospitals and Clinics in Iowa City, IA-a public tertiary academic center.
PARTICIPANTS: In total 7 surgeries were performed by 7 different orthopedic
residents. All 10 raters were biomedical engineering graduate students. RESULTS:
The standard deviations for anteroposterior, lateral, and combined TAD
measurements of the 10 raters were 2.7, 1.9, and 3.7mm, respectively, and
interrater reliability produced a Cronbach alpha of 0.97. The interrater
reliability analysis for all 9 video-based measures produced a Cronbach alpha of
0.99. CONCLUSIONS: Several video-based metrics were consistent across the 4 video
reviewers and are likely to be useful for performance assessment. The TAD
measurement was less reliable than previous reports have suggested, but remains a
valuable metric of performance. Nonexperts can reliably measure these values and
they offer an objective assessment of OR performance.
PMID- 27184179
TI - The Cost of Getting Into Orthopedic Residency: Analysis of Applicant
Demographics, Expenditures, and the Value of Away Rotations.
AB - OBJECTIVES: Little is known about the demographics and expenditures of applicants
attempting to match into the competitive field of orthopedic surgery. In attempt
to better inform potential applicants, the purposes of this work are to (1)
better understand the demographics of successfully matched applicants, (2)
determine the monetary cost of applying, and (3) assess the value of away
rotations for improving chances of a successful match. DESIGN: Prospective
comparative survey. SETTING: Mayo Clinic Department of Orthopedic Surgery,
Rochester, MN. PARTICIPANTS: A week following the 2015 Orthopedic Surgery
Residency Match, a survey was sent to 1,091. The survey focused on applicant
demographics, number of programs applied to, cost of applying, and the value of
away rotations. RESULTS: A total of 408 applicants completed the survey (response
rate = 37%). Of these, 312 (76%) matched and 96 (24%) did not match into a US
Orthopedic Surgery Residency. Of the matched applicants, 300 (96%) were from US
allopathic medical schools, 9 (3%) US Osteopathic Schools, and 3 (1%) were
international graduates. Males comprised 84% of these applicants whereas 16% were
female. The mean number of programs applied to was 71 (range: 20-140). On
average, applicants were offered 16 interviews (range: 1-53) and they attended 11
(range: 0-12). Completing a rotation at a program increased an applicant's
chances of matching into that program by a factor of 1.5 (60% vs 40%). Of the
applicants who matched, most applicants matched to an orthopedic residency in the
same region where the applicant attended medical school (58%). The average cost
of the application was $1,664 (range: $100-$5,000) whereas the cost of interviews
(travel, food, etc.) was $3,656 (range: $15-$20,000). Total expenditures ranged
from $450 to $25,000 (mean = $5,415). Over 8% of matched applicants spent
>$10,000. CONCLUSIONS: Gaining acceptance into orthopedic surgery residency
remains a very competitive process. Away rotations appear to correlate strongly
with match status; however, the process remains quite expensive for applicants.
PMID- 27184180
TI - The Sex Difference in Basic Surgical Skills Learning: A Comparative Study.
AB - BACKGROUND: Very little is known of sex-related differences among medical
students in the acquisition of basic surgical skills at an undergraduate level.
The aim of this study was to investigate the sex differences in basic surgical
skills learning and the possible explanations for sex disparities within basic
surgical skills education. METHODS: A didactic description of 10 surgical skills
was performed, including knot tying, basic suture I, basic suture II, sterile
technique, preoperative preparation, phlebotomy, debridement, laparotomy,
cecectomy, and small bowel resection with hand-sewn anastomosis. The students
were rated on a 100-point scale for each basic surgical skill. Later during the
same semester all the students took the final theoretical examination. RESULTS: A
total of 342 (male = 317 and female = 25) medical students participated in a
single skills laboratory as part of their third-year medical student clerkship.
The mean scores for each of the 10 surgical skills were higher in female group.
The difference in sterile technique, preoperative preparation, cecectomy, and
small bowel resection with hand-sewn anastomosis reached the significant level.
Compared with male medical students, the mean theory examination score was
significantly higher in female medical students. Approximately 76% of the (19 of
25) female students expressed their interest in pursuing a surgical career,
whereas only 65.5% (207 of 317) male students wanted to be surgical professionals
(p = 0.381). CONCLUSIONS: Female medical students completed basic surgical skills
training more efficiently and passed the theoretical examination with
significantly higher scores than male medical students. In the future, studies
should be done in other classes in our institution and perhaps other schools to
see if these findings are reliable or valid or just a reflection of this 1
sample.
PMID- 27184182
TI - Impact of the coordination environment on the magnetic properties of single
molecule magnets based on homo- and hetero-dinuclear terbium(iii) heteroleptic
tris(crownphthalocyaninate).
AB - A series of Tb(III) triple-decker heteroleptic crownphthalocyaninate complexes
consisting of a homodinuclear compound [(15C5)4Pc]Tb[(15C5)4Pc]Tb(Pc) (), and two
novel heterodinuclear compounds [(15C5)4Pc]Tb[(15C5)4Pc]Y(Pc), () and
[(15C5)4Pc]Y[(15C5)4Pc]Tb(Pc) (), have been synthesized. All compounds were
characterised using UV-Vis spectroscopy, HR-ESI-MS, MALDI-TOF-MS, and (1)H NMR
spectroscopy, followed by exploration into the effects of lanthanide coupling and
ligand field symmetry on the magnetic properties of these complexes using SQUID
magnetometry. Magnetic measurements on the homonuclear Tb(III) complex ()
displayed non-negligible ferromagnetic coupling between magnetic ions, eliciting
a high zero-field energetic barrier to the magnetic relaxation of Ueff = 229.9(0)
K, while the heteronuclear Tb(III)/Y(III) complexes displayed single-ion field
induced slow relaxation of the magnetization; yielding energetic barriers of Ueff
= 129.8(0) K for , and 169.1(8) K for .
PMID- 27184181
TI - Effects of Technological Advances in Surgical Education on Quantitative Outcomes
From Residency Programs.
AB - OBJECTIVES: The purpose of this article is to review the literature on current
technology for surgical education and to evaluate the effect of technological
advances on the Accreditation Council of Graduate Medical Education (ACGME) Core
Competencies, American Board of Surgery In-Training Examination (ABSITE) scores,
and American Board of Surgery (ABS) certification. DESIGN: A literature search
was obtained from MEDLINE via PubMed.gov, ScienceDirect.com, and Google Scholar
on all peer-reviewed studies published since 2003 using the following search
queries: technology for surgical education, simulation-based surgical training,
simulation-based nontechnical skills (NTS) training, ACGME Core Competencies,
ABSITE scores, and ABS pass rate. RESULTS: Our initial search list included the
following: 648 on technology for surgical education, 413 on simulation-based
surgical training, 51 on simulation-based NTS training, 78 on ABSITE scores, and
33 on ABS pass rate. Further, 42 articles on technological advances for surgical
education met inclusion criteria based on their effect on ACGME Core
Competencies, ABSITE scores, and ABS certification. Systematic review showed that
33 of 42 and 26 of 42 publications on technological advances for surgical
education showed objective improvements regarding patient care and medical
knowledge, respectively, whereas only 2 of 42 publications showed improved ABSITE
scores, but none showed improved ABS pass rates. Improvements in the other ACGME
core competencies were documented in 14 studies, 9 of which were on simulation
based NTS training. CONCLUSIONS: Most of the studies on technological advances
for surgical education have shown a positive effect on patient care and medical
knowledge. However, the effect of simulation-based surgical training and
simulation-based NTS training on ABSITE scores and ABS certification has not been
assessed. Studies on technological advances in surgical education and simulation
based NTS training showing quantitative evidence that surgery residency program
objectives are achieved are still needed.
PMID- 27184183
TI - Increased N250 amplitudes for other-race faces reflect more effortful processing
at the individual level.
AB - The N250 and N250r (r for repetition, signaling a difference measure of priming)
has been proposed to reflect the activation of perceptual memory representations
for individual faces. Increased N250r and N250 amplitudes have been associated
with higher levels of familiarity and expertise, respectively. In contrast to
these observations, the N250 amplitude has been found to be larger for other-race
than own-race faces in recognition memory tasks. This study investigated if these
findings were due to increased identity-specific processing demands for other
race relative to own-race faces and whether or not similar results would be
obtained for the N250 in a repetition priming paradigm. Only Caucasian
participants were available for testing and completed two tasks with Caucasian,
African-American, and Chinese faces. In a repetition priming task, participants
decided whether or not sequentially presented faces were of the same identity
(individuation task) or same race (categorization task). Increased N250
amplitudes were found for African-American and Chinese faces relative to
Caucasian faces, replicating previous results in recognition memory tasks.
Contrary to the expectation that increased N250 amplitudes for other-race face
would be confined to the individuation task, both tasks showed similar results.
This could be due to the fact that face identity information needed to be
maintained across the sequential presentation of prime and target in both tasks.
Increased N250 amplitudes for other-race faces are taken to represent increased
neural demands on the identity-specific processing of other-race faces, which are
typically processed less holistically and less on the level of the individual.
PMID- 27184184
TI - Editorial.
PMID- 27184185
TI - Hepatitis C may enhance key amplifiers of psoriasis.
AB - BACKGROUND: Multiple studies have noted an association between hepatitis C and
psoriasis, but it is not known whether psoriasis is a result of treatment
modalities for hepatitis C or a result of hepatitis C alone. OBJECTIVE: To
examine the relationship between psoriasis and hepatitis C by measuring the
expression of cathelicidin, TLR9 and IFNgamma in psoriatic lesional and non
lesional skin in HCV-positive and negative psoriatic patients. METHODS: Two 2 mm
punch biopsies of lesional and non-lesional skin in 10 patients who were HCV
negative psoriatics and seven HCV-positive psoriatics were used to measure
cathelicidin, TLR9 and IFNgamma mRNA expression by quantitative reverse
transcriptase polymerase chain reaction (qRT-PCR). RESULTS: The mRNA levels of
cathelicidin, TLR9 and IFNgamma were significantly higher in both non-lesional
and lesional skin of HCV-positive patients with psoriasis as compared to HCV
negative psoriatic patients. Additionally, the IFNgamma level in lesional skin of
HCV-positive psoriatic patients was higher than the IFNgamma level seen in non
lesional skin of those same patients. CONCLUSION: These findings suggest that HCV
infection upregulates these inflammatory cytokines, possibly increasing
susceptibility to developing psoriasis.
PMID- 27184187
TI - Serum From Patients With Erectile Dysfunction and Vascular Risk Factors Triggered
an Oxidative Stress-Dependent Mitochondrial Apoptotic Pathway in Ex Vivo Expanded
Circulating Angiogenic Cells of Healthy Men.
AB - INTRODUCTION: Serum from men with erectile dysfunction (ED) and vascular risk
factors inhibits circulating mononuclear cells (MNCs) from expanding ex vivo and
differentiating circulating angiogenic cells (CACs), which are putatively
involved in the repair of endothelial damage. AIM: To explore the involvement of
apoptosis in the inhibition of CAC differentiation from MNCs of healthy men
exerted by serum from men with ED and vascular risk factors. METHODS: MNCs from
healthy men were cultured in serum from 10 healthy men (median age = 45 years,
25th-75th quartiles = 38.5-48.5) and from 14 patients (median age = 58.0 years,
25th-75th quartiles = 52.5-62.0). CACs were identified by the uptake of 1,1'
dioctadecyl-3,3,3',3'-tetramethylindocarbocyanine-labeled acetylated low-density
lipoprotein (DiLDL) and concomitant Ulex europaeus agglutinin I binding assessed
by fluorescence microscopy. MAIN OUTCOME MEASURES: Flow cytometric evaluation of
mitochondrial membrane potential, assessed with 5,5',6,6'-tetrachloro-1,1',3,3'
tetraethyl-benimidazolyl carbocyanine iodide dye, and of activated caspase-8, -9,
and -3 in DiLDL-positive cells. RESULTS: The number of CACs was significantly
decreased by serum from patients compared with controls. This was associated with
suppression of the mitochondrial membrane potential and activation of caspase-9
and -3 but not of caspase-8. This suggests an activation of the intrinsic
(mitochondrial) pathway of apoptosis, whereas the death receptor activation of
apoptosis was not involved. Activation of caspase-9 and -3 induced by serum from
patients with ED was prevented by the exposure of MNCs to Trolox, a hydrophilic
cell-permeable vitamin E analog with high antioxidant capacity. CONCLUSION: An
oxidative stress-dependent mitochondrial dysfunction was triggered in ex vivo
expanded CACs of healthy men by serum from men with vascular risk factors and ED,
the only clinical correlate for diffuse vascular disease. The activation of
apoptosis and inhibition of CAC differentiation might generate a defective
mechanism of vascular repair.
PMID- 27184186
TI - Cetuximab intensifies cisplatin-induced testicular toxicity.
AB - Epidermal growth factor receptor (EGFR) has proliferative properties in the
testis. Cetuximab, an anti-EGFR, is administered together with chemotherapy to
patients with various types of cancer. This studies aim was to investigate the
effect of cetuximab on testicular function. Adult male mice were injected with
cetuximab (10 mg/kg), cisplatin (8 mg/kg) or a combination of both, and killed
one week or one month later. The doses were chosen by human equivalent dose
calculation. Testicular function was evaluated by epididymal-spermatozoa total
motile count and sperm motility, weights of testes and epididymides, and the
level of anti-Mullerian hormone (AMH) in the serum. Immunohistochemistry was
performed to examine germ cell proliferation (Ki-67), apoptosis (Terminal
transferase-mediated deoxyuridine 5-triphosphate nick-end labelling), reserve
(DAZL-Deleted in azoospermia-like, Promyelocytic leukaemia zinc-finger), blood
vessels (CD34) and Sertoli cells (GATA-4). Administration of cetuximab alone
increased testicular apoptosis and decreased epididymal-spermatozoa total motile
count over time. When added to cisplatin, cetuximab exacerbated most of the
recorded testicular parameters, compared with the effect of cisplatin alone,
including testis and epididymis weights, epididymal-spermatozoa total motile
count, AMH concentration, meiosis and apoptosis. In conclusion, cetuximab has
only a mild effect on testicular reserve, but when added to cisplatin, it
exacerbates cisplatin-induced testicular toxicity.
PMID- 27184189
TI - Nuclear receptor expression atlas in BMDCs: Nr4a2 restricts immunogenicity of
BMDCs and impedes EAE.
AB - The nuclear receptor (NR) superfamily of transcription factors regulates various
key aspects of physiological processes; however, their role(s) in immune cells'
function are just beginning to unravel. Although few NRs have been shown to be
critical for dendritic cell (DC) function, a lack of knowledge about their
complete representation in DCs has limited the ability to harness their full
potential. Here, we performed a comprehensive NR expression profiling and
identified the key members of NR superfamily being expressed in immature,
immunogenic, and tolerogenic DCs. Comparative analysis revealed discrete changes
in the expression of various NRs among the studied DC subtypes, indicating a
likely role in the modulation of DC functionality. Next, we characterized Nr4a2,
a member of orphan NR family, and found that it suppresses the activation of bone
marrow derived dendritic cells triggered by LPS. Overexpression and knockdown of
Nr4a2 demonstrated that Nr4a2 orchestrates the expression of immunoregulatory
genes, hence inducing a tolerogenic phenotype in bone marrow derived dendritic
cells. Furthermore, we also found that Nr4a2 provides protection from EAE by
promoting an increase in Treg cells, while limiting effector T cells. Our
findings suggest a previously unidentified role for Nr4a2 as a regulator of DC
tolerogenicity and demonstrate its potential as therapeutic target in DC
associated pathophysiologies.
PMID- 27184188
TI - Pheretima aspergillum decoction suppresses inflammation and relieves asthma in a
mouse model of bronchial asthma by NF-kappaB inhibition.
AB - ETHNOPHARMACOLOGICAL RELEVANCE AND AIM OF THE STUDY: Guang-Pheretima, the live
form of the earthworm Pheretima aspergillum, is a traditional Chinese medicine
commonly used for the treatment of asthma, cough, stroke, epilepsy and other
diseases due to its anti-inflammatory, anti-asthmatic, anti-seizure, thrombolytic
and diuretic properties. Although Guang-Pheretima is effective in the relief of
asthma, its pharmacological activity and the underlying molecular mechanisms are
not fully understood. Hence, we investigated the effects of a Pheretima
aspergillum decoction (PAD) against inflammation in a model of ovalbumin (OVA)
induced asthma in BALB/c mice, as well as the nuclear factor-kappaB (NF-kappaB)
pathway involved in this process. MATERIALS AND METHODS: OVA was used to
sensitize and challenge the airway of the mice, and PAD was administrated by
gavage. We measured airway hyperresponsiveness (AHR) in the mice 24h following a
final methacholine challenge with whole-body plethysmography. The bronchoalveolar
lavage fluid (BALF), serum and pulmonary tissues were collected 48h after the
last challenge. The levels of inflammatory factors and the related mRNAs were
determined by enzyme-linked immunosorbent assay (ELISA) and real-time polymerase
chain reaction (RT-PCR), respectively. The number of differential inflammatory
cells in the BALF was counted. Serum total and OVA-specific IgE levels were
measured with ELISA. The activation of NF-kappaB signaling in the lung was
detected by western blotting. In addition, the lung tissues were stained with
hematoxylin and eosin or periodic acid Schiff stain for histopathological
examination. RESULTS: PAD treatment significantly alleviated AHR in the asthmatic
mice, decreased the mRNA and protein levels of IL-4, IL-5 and IL-13 and
downregulated IgE. In addition, PAD treatment attenuated mucus secretion and
infiltration of inflammatory cells in the lung while inhibiting the activation of
NF-kappaB signaling. CONCLUSIONS: PAD effectively inhibited the activation of NF
kappaB signaling in the lungs of mice with OVA-induced asthma, and mitigated AHR
and Th2 type inflammatory reactions. Therefore, PAD may serve as a drug candidate
for asthma treatment.
PMID- 27184190
TI - Iodine Nutritional Status in Schoolchildren from Public Schools in Brazil: A
Cross-Sectional Study Exposes Association with Socioeconomic Factors and Food
Insecurity.
AB - INTRODUCTION: National programs of salt iodization were implemented in Brazil to
combat iodine deficiency (ID) in children of school age. Currently, there are
limited data in Brazil on those still vulnerable to this deficiency and the state
of nutritional iodine status in the northeast region of Brazil, where children
are vulnerable to malnutrition. OBJECTIVE: The aim of this study was to analyze
the iodine nutritional status, household food insecurity, socioeconomic and
demographic characteristics among schoolchildren from the public school system
living in state the state of Bahia, Brazil. METHODS: A cross-sectional study was
conducted on 1419 schoolchildren in Bahia between the ages of 6 and 14 years old.
Anthropometric parameters, urinary iodine concentrations (UIC), and thyrotropin
(TSH) measurements were evaluated from blood spots on filter paper. RESULTS: The
mean UIC was 206.4 +/- 80.5 MUg/L, with a median of 221.6 MUg/L, indicating
sufficient iodine intake in the region. Low urinary iodide concentration (<100
MUg/L) was detected in 12.3% of the schoolchildren (n = 174), with 6.2% with mild
(<100 MUg/L), 3.0% with moderate (20-49 MUg/L), and 3.1% with severe ID (<20
MUg/L). Moreover, 9.4% (n = 134) had a urinary iodide concentration of >300
MUg/L, indicating the coexistence of excessive iodine intake (EII). The mean TSH
was 1.0 +/- 0.6 mIU/L. The body mass index category "overweight/obesity" was a
protective factor against EII (odds ratio [OR] = 0.64 [confidence interval (CI)
0.4-1.0]; p = 0.07). Urban areas (73%) had a mean UIC of 213.1 +/- 80 MUg/L
compared with 176.8 +/- 76.1 MUg/L in rural areas. The risk for EII increased in
children living in a house with more than six people (OR = 1.62 [CI 0.9-2.6]; p <
0.05) and water consumption from shallow wells (OR = 1.70 [CI 0.9-3.1]; p =
0.09). The risk of ID was increased by 70% in schoolchildren who had moderate or
severe food insecurity (OR = 1.70 [CI 0.9-3.0]; p > 0.05). CONCLUSION: A
significant proportion of schoolchildren still have ID or EII in the northeast
region of Brazil, emphasizing the importance of committed public policies to
address this problem. Socioeconomic factors and the lack of education about
nutritional importance of iodine were important influencing factors in the
presence of ID in schoolchildren.
PMID- 27184191
TI - Resuspension and atmospheric transport of radionuclides due to wildfires near the
Chernobyl Nuclear Power Plant in 2015: An impact assessment.
AB - In April and August 2015, two major fires in the Chernobyl Exclusion Zone (CEZ)
caused concerns about the secondary radioactive contamination that might have
spread over Europe. The present paper assessed, for the first time, the impact of
these fires over Europe. About 10.9 TBq of (137)Cs, 1.5 TBq of (90)Sr, 7.8 GBq of
(238)Pu, 6.3 GBq of (239)Pu, 9.4 GBq of (240)Pu and 29.7 GBq of (241)Am were
released from both fire events corresponding to a serious event. The more labile
elements escaped easier from the CEZ, whereas the larger refractory particles
were removed more efficiently from the atmosphere mainly affecting the CEZ and
its vicinity. During the spring 2015 fires, about 93% of the labile and 97% of
the refractory particles ended in Eastern European countries. Similarly, during
the summer 2015 fires, about 75% of the labile and 59% of the refractory
radionuclides were exported from the CEZ with the majority depositing in Belarus
and Russia. Effective doses were above 1 mSv y(-1) in the CEZ, but much lower in
the rest of Europe contributing an additional dose to the Eastern European
population, which is far below a dose from a medical X-ray.
PMID- 27184192
TI - Characterization of a genetically heterogeneous porcine rotavirus C, and other
viruses present in the fecal virome of a non-diarrheic Belgian piglet.
AB - Next-generation sequencing (NGS) technologies are becoming increasingly
accessible, leading to an expanded interest in the composition of the porcine
enteric virome. In the present study, the fecal virome of a non-diarrheic Belgian
piglet was determined. Although the virome of only a single piglet was analyzed,
some interesting data were obtained, including the second complete genome of a
pig group C rotavirus (RVC). This Belgian strain was only distantly related to
the only other completely characterized pig RVC strain, Cowden. Its relatedness
to RVC strains from other host species was also analyzed and the porcine strain
found in our study was only distantly related to RVCs detected in humans and
cows. The gene encoding the outer capsid protein VP7 belonged to the rare porcine
G3 genotype, which might be serologically distinct from most other pig RVC
strains. A putative novel RVC VP6 genotype was identified as well. A group A
rotavirus strain also present in this fecal sample contained the rare pig
genotype combination G11P[27], but was only partially characterized. Typical pig
RVA genotypes I5, A8, and T7 were found for the viral proteins VP6, NSP1, and
NSP3, respectively. Interestingly, the fecal virome of the piglet also contained
an astrovirus and an enterovirus, of which the complete genomes were
characterized. Results of the current study indicate that many viruses may be
present simultaneously in fecal samples of non-diarrheic piglets. In this study,
these viruses could not be directly associated with any disease, but still they
might have had a potential subclinical impact on pig growth performance. The fast
evolution of NGS will be a powerful tool for future diagnostics in veterinary
practice. Its application will certainly lead to better insights into the
relevance of many (sub)clinical enteric viral infections, that may have remained
unnoticed using traditional diagnostic techniques. This will stimulate the
development of new and durable prophylactic measures to improve pig health and
production.
PMID- 27184193
TI - Mastery motivation in children with complex communication needs: longitudinal
data analysis.
AB - This study compared longitudinal changes in mastery motivation during parent
child free play for 37 children with complex communication needs. Mastery
motivation manifests as a willingness to work hard at tasks that are challenging,
which is an important quality to overcoming the challenges involved in successful
expressive communication using AAC. Unprompted parent-child play episodes were
identified in three assessment sessions over an 18-month period and coded for
nine categories of mastery motivation in social and object play. All of the
object-oriented mastery motivation categories and one social mastery motivation
category showed an influence of motor skills after controlling for receptive
language. Object play elicited significantly more of all of the object-focused
mastery motivation categories than social play, and social play elicited more of
one type of social-focused mastery motivation behavior than object play. Mastery
motivation variables did not differ significantly over time for children.
Potential physical and interpersonal influences on mastery motivation for parents
and children with complex communication needs are discussed, including broadening
the procedures and definitions of mastery motivation beyond object-oriented
measurements for children with complex communication needs.
PMID- 27184195
TI - The influence of nanotexturing of poly(lactic-co-glycolic acid) films upon human
ovarian cancer cell attachment.
AB - In this study, we have produced nanotextured poly(lactic-co-glycolic acid) (PLGA)
films by using polystyrene (PS) particles as a template to make a
polydimethylsiloxane mould against which PLGA is solvent cast. Biocompatible,
biodegradable and nanotextured PLGA films were prepared with PS particles of
diameter of 57, 99, 210, and 280 nm that produced domes of the same dimension in
the PLGA surface. The effect of the particulate monolayer templating method was
investigated to enable preparation of the films with uniformly ordered surface
nanodomes. Cell attachment of a human ovarian cancer cell line (OVCAR3) alone and
co-cultured with mesenchymal stem cells (MSCs) was evaluated on flat and
topographically nano-patterned surfaces. Cell numbers were observed to increase
on the nanotextured surfaces compared to non-textured surfaces both with OVCAR3
cultures and OVCAR3-MSC co-cultures at 24 and 48 h time points.
PMID- 27184194
TI - High-performance flexible energy storage and harvesting system for wearable
electronics.
AB - This paper reports on the design and operation of a flexible power source
integrating a lithium ion battery and amorphous silicon solar module, optimized
to supply power to a wearable health monitoring device. The battery consists of
printed anode and cathode layers based on graphite and lithium cobalt oxide,
respectively, on thin flexible current collectors. It displays energy density of
6.98 mWh/cm(2) and demonstrates capacity retention of 90% at 3C discharge rate
and ~99% under 100 charge/discharge cycles and 600 cycles of mechanical flexing.
A solar module with appropriate voltage and dimensions is used to charge the
battery under both full sun and indoor illumination conditions, and the addition
of the solar module is shown to extend the battery lifetime between charging
cycles while powering a load. Furthermore, we show that by selecting the
appropriate load duty cycle, the average load current can be matched to the solar
module current and the battery can be maintained at a constant state of charge.
Finally, the battery is used to power a pulse oximeter, demonstrating its
effectiveness as a power source for wearable medical devices.
PMID- 27184197
TI - Choledochoscopic lithotripsy is a useful adjunct to laparoscopic common bile duct
exploration for hepatolithiasis: a cohort study.
AB - BACKGROUND: This study aims to investigate the role of combining choledochoscopic
lithotripsy with laparoscopic common bile duct exploration for hepatolithiasis in
patients who are not suitable for hepatectomy. METHODS: From March 2009 to March
2013, 86 patients with hepatolithiasis irrespective of whether they underwent a
choledochoscopic plasma shock wave lithotripsy or not were analyzed. RESULTS:
Sixty-two patients underwent lithotripsy and 24 patients underwent basket
lithoextraction intraoperatively. Plasma shock wave lithotripsy did not lengthen
the operating time, but decreased the postoperative residual stone rate and
reduced the frequency of postoperative choledochoscopic lithotomy for patients
with remnant stones. The overall final stone clearance rate was 98.8%. During a
mean follow-up of 26.2 months, recurrent stones and cholangiocarcinoma developed
in 1 patient, respectively. CONCLUSION: Laparoscopic common bile duct exploration
combined with choledochoscopic lithotripsy is a definitive procedure for
hepatolithiasis in patients who are not candidates for hepatectomy.
PMID- 27184200
TI - Erratum to "Conditional N-WASP knockout in mouse brain implicates actin
cytoskeleton regulation in hydrocephalus pathology"[Experimental Neurology (2014)
29-40].
PMID- 27184199
TI - A rhinitis phenotype associated with increased development of bronchial
hyperresponsiveness and asthma in children.
AB - BACKGROUND: Allergic rhinitis (AR) has a wide range of clinical features and may
be accompanied by comorbid allergic diseases. OBJECTIVE: To identify rhinitis
phenotypes in school aged children and to predict the prognosis for developing
bronchial hyperresponsiveness (BHR) and asthma. METHODS: This prospective follow
up study involved schoolchildren from the Children's Health and Environment
Research cohort with current rhinitis, which was defined based on parental
reported, physician-diagnosed rhinitis and symptoms of rhinitis in the previous
12 months. All participants were followed up at 2 and 4 years later. Rhinitis
clusters were identified by latent class analysis that used demographic,
clinical, and environmental variables. RESULTS: In 512 eligible children (age
range, 6-8 years), 4 rhinitis phenotypes were identified: cluster 1 (25% of
children) was associated with nonatopy and a low socioeconomic status; cluster 2
(36%) was associated with a high-atopic burden but normal lung function; cluster
3 (22%) was associated with a high-atopic burden and impaired lung function; and
cluster 4 (17%) was associated with low atopy and a high socioeconomic status.
Cluster 3 was associated with the highest total serum IgE levels and blood
eosinophil percentages at enrollment and the highest incidence of new cases of
BHR (P = .04) and asthma symptoms (P = .005) during follow-up. CONCLUSION: The
rhinitis cluster of schoolchildren with atopy and impaired lung function is
associated with allergic march. This identification of distinct rhinitis
phenotypes in affected children may help to prevent allergic march in children
with rhinitis.
PMID- 27184196
TI - Glucocorticoid Receptor-Targeted Liposomal Codelivery of Lipophilic Drug and Anti
Hsp90 Gene: Strategy to Induce Drug-Sensitivity, EMT-Reversal, and Reduced
Malignancy in Aggressive Tumors.
AB - Many cancers including the late stage ones become drug-resistant and undergo
epithelial-to-mesenchymal transition (EMT). These lead to enhanced invasion,
migration, and metastasis toward manifesting its aggressiveness and malignancy.
One of the key hallmarks of cancer is its overdependence on glycolysis as its
preferred energy metabolism pathway. The strict avoidance of alternate energy
pathway gluconeogenesis by cancer cells points to a yet-to-be hoisted role of
glucocorticoid receptor (GR) especially in tumor microenvironment, where cells
are known to become drug-sensitive through induction of gluconeogenesis. However,
since GR is involved in metabolism, anti-inflammatory reactions, immunity besides
inducing gluconeogenesis, a greater role of GR in tumor microenvironment is
envisaged. We have shown previously that GR, although ubiquitously expressed in
all cells; afford to be an effective cytoplasmic target for killing cancer cells
selectively. Herein, we report the therapeutic use of a newly developed GR
targeted liposomal concoction (DXE) coformulating a lipophilic drug (ESC8) and an
anti-Hsp90 anticancer gene against aggressive tumor models. This induced drug
sensitivity and apoptosis while reversing EMT in tumor cells toward effective
retardation of aggressive growth in pancreas and skin tumor models. Additionally,
the ESC8-free lipid formulation upon cotreatment with hydrophilic drugs,
gemcitabine and doxorubicin, could effectively sensitize and kill pancreatic
cancer and melanoma cells, respectively. The formulation-triggered EMT-reversal
was GR-dependent. Overall, we found a new strategy for drug sensitization that
led to the advent of new GR-targeted anticancer therapeutics.
PMID- 27184198
TI - Parental timing of allergenic food introduction in urban and suburban
populations.
AB - BACKGROUND: Recommendations on timing for introduction of allergenic foods in an
infant diet have changed twice during the past decade. How families with
different demographic characteristics implement the change has not been studied
in the United States. OBJECTIVE: To compare the age of introduction of allergenic
foods between an urban Medicaid-based population and a suburban private insurance
based population in Cincinnati, Ohio. METHODS: Two hundred parent surveys were
distributed at well-child checkups between 4 and 36 months of age. Data were
analyzed using distribution mapping to determine the difference in the age of
introduction of infant formula, infant solids, whole cow's milk, eggs, peanut,
and fish. Random forest analysis was used to determine the most important factors
affecting the age of introduction for both populations. RESULTS: There was no
statistically significant difference in the age of infant solid introduction, but
urban populations introduced allergenic foods earlier than suburban populations,
with a statistically significant difference in the age of introduction of infant
formula, whole cow's milk, eggs, peanut, and fish. The most important factor for
the timing of all food introductions was the recommended age of introduction from
health care professionals. CONCLUSION: There is a difference between urban and
suburban populations in the timing of introduction of allergenic foods but not in
other infant solid foods. The reliance on physician recommendation for both
populations supports the need for education and guidance to health care
professionals on up-to-date guidance and recommendations.
PMID- 27184201
TI - Neural sensitivity to syllable frequency and mutual information in speech
perception and production.
AB - Many factors affect our ability to decode the speech signal, including its
quality, the complexity of the elements that compose it, as well as their
frequency of occurrence and co-occurrence in a language. Syllable frequency
effects have been described in the behavioral literature, including facilitatory
effects during speech production and inhibitory effects during word recognition,
but the neural mechanisms underlying these effects remain largely unknown. The
objective of this study was to examine, using functional neuroimaging, the
neurobiological correlates of three different distributional statistics in simple
2-syllable nonwords: the frequency of the first and second syllables, and the
mutual information between the syllables. We examined these statistics during
nonword perception and production using a powerful single-trial analytical
approach. We found that repetition accuracy was higher for nonwords in which the
frequency of the first syllable was high. In addition, brain responses to
distributional statistics were widespread and almost exclusively cortical.
Importantly, brain activity was modulated in a distinct manner for each
statistic, with the strongest facilitatory effects associated with the frequency
of the first syllable and mutual information. These findings show that
distributional statistics modulate nonword perception and production. We discuss
the common and unique impact of each distributional statistic on brain activity,
as well as task differences.
PMID- 27184202
TI - NEOCIVET: Towards accurate morphometry of neonatal gyrification and clinical
applications in preterm newborns.
AB - Cerebral cortical folding becomes dramatically more complex in the fetal brain
during the 3rd trimester of gestation; the process continues in a similar fashion
in children who are born prematurely. To quantify this morphological development,
it is necessary to extract the interface between gray matter and white matter,
which is particularly challenging due to changing tissue contrast during brain
maturation. We employed the well-established CIVET pipeline to extract this
cortical surface, with point correspondence across subjects, using a surface
based spherical registration. We then developed a variant of the pipeline, called
NEOCIVET, that quantified cortical folding using mean curvature and sulcal depth
while addressing the well-known problems of poor and temporally-varying
gray/white contrast as well as motion artifact in neonatal MRI. NEOCIVET
includes: i) a tissue classification technique that analyzed multi-atlas texture
patches using the nonlocal mean estimator and subsequently applied a label fusion
approach based on a joint probability between templates, ii) neonatal template
construction based on age-specific sub-groups, and iii) masking of non
interesting structures using label-fusion approaches. These techniques replaced
modules that might be suboptimal for regional analysis of poor-contrast neonatal
cortex. The proposed segmentation method showed more accurate results in subjects
with various ages and with various degrees of motion compared to state-of-the-art
methods. In the analysis of 158 preterm-born neonates, many with multiple scans
(n=231; 26-40weeks postmenstrual age at scan), NEOCIVET identified increases in
cortical folding over time in numerous cortical regions (mean curvature:
+0.003/week; sulcal depth: +0.04mm/week) while folding did not change in major
sulci that are known to develop early (corrected p<0.05). The proposed pipeline
successfully mapped cortical structural development, supporting current models of
cerebral morphogenesis, and furthermore, revealed impairment of cortical folding
in extremely preterm newborns relative to relatively late preterm newborns,
demonstrating its potential to provide biomarkers of prematurity-related
developmental outcome.
PMID- 27184204
TI - Dissociable brain biomarkers of fluid intelligence.
AB - Cognitive neuroscience has long sought to understand the biological foundations
of human intelligence. Decades of research have revealed that general
intelligence is correlated with two brain-based biomarkers: the concentration of
the brain biochemical N-acetyl aspartate (NAA) measured by proton magnetic
resonance spectroscopy (MRS) and total brain volume measured using structural MR
imaging (MRI). However, the relative contribution of these biomarkers in
predicting performance on core facets of human intelligence remains to be well
characterized. In the present study, we sought to elucidate the role of NAA and
brain volume in predicting fluid intelligence (Gf). Three canonical tests of Gf
(BOMAT, Number Series, and Letter Sets) and three working memory tasks (Reading,
Rotation, and Symmetry span tasks) were administered to a large sample of healthy
adults (n=211). We conducted exploratory factor analysis to investigate the
factor structure underlying Gf independent from working memory and observed two
Gf components (verbal/spatial and quantitative reasoning) and one working memory
component. Our findings revealed a dissociation between two brain biomarkers of
Gf (controlling for age and sex): NAA concentration correlated with
verbal/spatial reasoning, whereas brain volume correlated with quantitative
reasoning and working memory. A follow-up analysis revealed that this pattern of
findings is observed for males and females when analyzed separately. Our results
provide novel evidence that distinct brain biomarkers are associated with
specific facets of human intelligence, demonstrating that NAA and brain volume
are independent predictors of verbal/spatial and quantitative facets of Gf.
PMID- 27184203
TI - Consistent cortical reconstruction and multi-atlas brain segmentation.
AB - Whole brain segmentation and cortical surface reconstruction are two essential
techniques for investigating the human brain. Spatial inconsistences, which can
hinder further integrated analyses of brain structure, can result due to these
two tasks typically being conducted independently of each other. FreeSurfer
obtains self-consistent whole brain segmentations and cortical surfaces. It
starts with subcortical segmentation, then carries out cortical surface
reconstruction, and ends with cortical segmentation and labeling. However, this
"segmentation to surface to parcellation" strategy has shown limitations in
various cohorts such as older populations with large ventricles. In this work, we
propose a novel "multi-atlas segmentation to surface" method called Multi-atlas
CRUISE (MaCRUISE), which achieves self-consistent whole brain segmentations and
cortical surfaces by combining multi-atlas segmentation with the cortical
reconstruction method CRUISE. A modification called MaCRUISE(+) is designed to
perform well when white matter lesions are present. Comparing to the benchmarks
CRUISE and FreeSurfer, the surface accuracy of MaCRUISE and MaCRUISE(+) is
validated using two independent datasets with expertly placed cortical landmarks.
A third independent dataset with expertly delineated volumetric labels is
employed to compare segmentation performance. Finally, 200MR volumetric images
from an older adult sample are used to assess the robustness of MaCRUISE and
FreeSurfer. The advantages of MaCRUISE are: (1) MaCRUISE constructs self
consistent voxelwise segmentations and cortical surfaces, while MaCRUISE(+) is
robust to white matter pathology. (2) MaCRUISE achieves more accurate whole brain
segmentations than independently conducting the multi-atlas segmentation. (3)
MaCRUISE is comparable in accuracy to FreeSurfer (when FreeSurfer does not
exhibit global failures) while achieving greater robustness across an older adult
population. MaCRUISE has been made freely available in open source.
PMID- 27184205
TI - Source-specific workplace social support and high-sensitivity C-reactive protein
levels among Japanese workers: A 1-year prospective cohort study.
AB - BACKGROUND: This study investigated the prospective association between source
specific workplace social support and high-sensitivity C-reactive protein (hs
CRP) levels in workers in Japan. METHODS: We conducted a 1-year prospective
cohort study with 1,487 men and 533 women aged 18-65 years. Participants worked
at two manufacturing worksites in Japan and were free of major illness. We used
multivariable linear regression analyses to evaluate the prospective association
between supervisor and coworker support at baseline, and hs-CRP levels at follow
up. We conducted the analyses separately for men and women. RESULTS: For women,
high supervisor support at baseline was significantly associated with lower hs
CRP levels at follow-up (beta = -0.109, P < 0.01), whereas coworker support at
baseline was not significantly associated with hs-CRP levels at follow-up.
Associations between supervisor and coworker support and hs-CRP levels were not
significant for men. CONCLUSIONS: Supervisor support may have beneficial effects
on inflammatory markers in working women. Am. J. Ind. Med. 59:676-684, 2016. (c)
2016 Wiley Periodicals, Inc.
PMID- 27184207
TI - APIC position paper: Safe injection, infusion, and medication vial practices in
health care.
AB - The transmission of bloodborne viruses and other microbial pathogens to patients
during routine health care procedures continues to occur because of the use of
improper injection, infusion, medication vial, and point-of-care testing
practices by health care personnel. These unsafe practices occur in various
clinical settings and result in unacceptable and devastating events for patients.
This document updates the Association for Professionals in Infection Control and
Epidemiology 2010 position paper on safe injection, infusion, and medication vial
practices in health care.
PMID- 27184206
TI - Telomere length reveals cumulative individual and transgenerational inbreeding
effects in a passerine bird.
AB - Inbreeding results in more homozygous offspring that should suffer reduced
fitness, but it can be difficult to quantify these costs for several reasons.
First, inbreeding depression may vary with ecological or physiological stress and
only be detectable over long time periods. Second, parental homozygosity may
indirectly affect offspring fitness, thus confounding analyses that consider
offspring homozygosity alone. Finally, measurement of inbreeding coefficients,
survival and reproductive success may often be too crude to detect inbreeding
costs in wild populations. Telomere length provides a more precise measure of
somatic costs, predicts survival in many species and should reflect differences
in somatic condition that result from varying ability to cope with environmental
stressors. We studied relative telomere length in a wild population of Seychelles
warblers (Acrocephalus sechellensis) to assess the lifelong relationship between
individual homozygosity, which reflects genome-wide inbreeding in this species,
and telomere length. In juveniles, individual homozygosity was negatively
associated with telomere length in poor seasons. In adults, individual
homozygosity was consistently negatively related to telomere length, suggesting
the accumulation of inbreeding depression during life. Maternal homozygosity also
negatively predicted offspring telomere length. Our results show that somatic
inbreeding costs are environmentally dependent at certain life stages but may
accumulate throughout life.
PMID- 27184209
TI - Factors associated with the receipt of antimicrobials among chronic hemodialysis
patients.
AB - BACKGROUND: Antimicrobial use is common among patients receiving chronic
hemodialysis (CHD) and may represent an important antimicrobial stewardship
opportunity. The objective of this study is to characterize CHD patients at
increased risk of receiving antimicrobials, including not indicated
antimicrobials. METHODS: We conducted a prospective cohort study over a 12-month
period among patients receiving CHD in 2 outpatient dialysis units. Each
parenteral antimicrobial dose administered was characterized as indicated or not
indicated based on national guidelines. Patient factors associated with receipt
of antimicrobials and receipt of >=1 inappropriate antimicrobial dose were
analyzed. RESULTS: A total of 89 of 278 CHD patients (32%) received >=1
antimicrobial doses and 52 (58%) received >=1 inappropriately indicated dose.
Patients with tunneled catheter access, a history of colonization or infection
with a multidrug-resistant organism, and receiving CHD sessions during daytime
shifts were more likely to receive antimicrobials (odds ratio [OR], 5.16; 95%
confidence interval [CI], 2.72-9.80; OR, 5.43; 95% CI, 1.84-16.06; OR, 4.59; 95%
CI, 1.20-17.52, respectively). Patients with tunneled catheter access, receiving
CHD at dialysis unit B, and with a longer duration of CHD prior to enrollment
were at higher risk of receiving an inappropriately indicated antimicrobial dose
(incidence rate ratio, 2.23; 95% CI, 1.16-4.29; incidence rate ratio, 2.67; 95%
CI, 1.34-5.35; incidence rate ratio, 1.11; 95% CI, 1.01-1.23, respectively).
CONCLUSIONS: This study of all types of antimicrobials administered in 2
outpatient dialysis units identified several important factors to consider when
developing antimicrobial stewardship programs in this health care setting.
PMID- 27184208
TI - Using the Comprehensive Unit-based Safety Program model for sustained reduction
in hospital infections.
AB - BACKGROUND: Prompted by the high number of central line-associated bloodstream
infections (CLABSIs), our institution joined the national On the CUSP: Stop BSI
initiative. We not only report the significant impact that the Comprehensive Unit
based Safety Program (CUSP) had in reducing CLABSI, but also report catheter
associated urinary tract infections (CAUTIs) and ventilator-associated pneumonia
(VAP) in 2 intensive care units (ICUs). METHODS: At our community-based academic
health care system, 2 ICUs implemented CUSP tools and developed local
interventions to reduce CLABSI and other safety problems. We measured CLABSI,
CAUTI, and VAP during baseline, the CUSP period, and a post-CUSP period. RESULTS:
CLABSIs decreased from 3.9 per 1,000 catheter days at baseline to 1.2 during the
CUSP period to 0.6 during the post-CUSP period (rate ratio, 0.16; 95% confidence
interval [CI], 0.07-0.35). CAUTIs decreased from 2.4 per 1,000 patient days to
1.2 during the post-CUSP period (rate ratio, 0.4; 95% CI, 0.24-0.65). VAP rate
decreased from 2.7 per 1,000 ventilator days to 1.6 during the CUSP and post-CUSP
periods (rate ratio, 0.58; 95% CI, 0.30-1.10). Device utilization decreased
significantly in both ICUs. CONCLUSIONS: Implementation of CUSP was associated
with significant decreases in CLABSI, CAUTI, and VAP. The CUSP model, allowing
for implementation of evidence-based practices and engagement of frontline staff,
creates sustainable improvements that reach far beyond the initial targeted
problem.
PMID- 27184210
TI - Underdetection and underreporting of pertussis in children attended in primary
health care centers: Do surveillance systems require improvement?
AB - BACKGROUND: Pertussis is an underestimated disease. Several European countries
have developed models to account for underreporting of pertussis. The aim of this
study was to estimate pertussis underdetection and underreporting in pediatric
patients attending primary health care centers (PHCCs). METHODS: We reviewed
clinical records of PHCCs in Barcelona in 2012. Factors associated with
underdetection and underreporting were analyzed by logistic regression. Adjusted
odds ratios (aORs) and 95% confidence intervals (95% CIs) were calculated.
RESULTS: We included 3,505 children aged < 7 years (mean age, 34 +/- 20.7
months; range, 0-82 months) presenting with cough; 9.3% (326 out of 3,505) of
patients also had >= 1 symptoms related to pertussis accompanied by cough for a
duration >= 2 weeks. Of the 326 children receiving clinical criteria, only 31
(9.5%) were laboratory-confirmed and 6 (1.8%) were detected but not reported.
There were 295 (90.5%) undetected suspected pertussis cases. Age >= 18 months
(aOR, 8.51; 95% CI, 1.82-39.86), cyanosis (aOR, 6.71; 95% CI, 1.43-31.39),
request for chest radiograph (aOR, 0.26; 95% CI, 0.07-0.99), and request for
other laboratory tests (aOR, 5.39; 95% CI, 2.19-13.27) were associated with
underdetection. Paroxysmal cough (aOR, 5.77; 95% CI, 1.05-31.76) and request for
other laboratory tests (aOR, 2.91; 95% CI, 1.11-7.62) were associated with
underreporting. CONCLUSIONS: Both underdetection and underreporting complicate
the understanding of pertussis epidemiology. Correct assessment of pertussis
symptoms and notification of cases must be improved to control pertussis.
PMID- 27184211
TI - Whole exome sequencing in congenital pain insensitivity identifies a novel
causative intronic NTRK1-mutation due to uniparental disomy.
AB - Congenital insensitivity to pain and anhidrosis (CIPA), also known as hereditary
sensory and autonomic neuropathy type IV (HSAN IV), is characterized by recurrent
episodes of unexplained high fever, loss of pain perception and temperature
sensation, absent sweating, repeated traumatic and thermal injuries, and mild
mental retardation. After exclusion of obviously pathogenic mutations in NTRK1,
the most common cause of CIPA, whole exome sequencing (WES) was carried out in a
CIPA patient with unrelated parents. No mutations in known HSAN genes were
identified. However, filtering for genes carrying two rare sequence variations
detected 13 homozygous single nucleotide variants (SNV), all being located on
chromosome 1. Further analysis strongly suggested that this finding might be best
explained by uniparental disomy of chromosome 1. Because NTRK1 is also located on
chromosome 1, we re-evaluated WES data and detected a novel intronic sequence
variation at position c.2188-12 C>A, homozygously because of uniparental disomy.
Subsequent analysis of NTRK1 transcripts in peripheral blood cells of the patient
revealed an influence of the variant on mRNA splicing. The C>A transversion
generated a novel splice-site, which led to the incorporation of 10 intronic
bases into the NTRK1 mRNA and consequently to a non-functional gene product. (c)
2016 Wiley Periodicals, Inc.
PMID- 27184212
TI - Training residents to be factually accurate and articulate: A case study using
foetal heart rate monitoring nomenclature.
AB - Careful communication between members of the obstetric team about intrapartum
foetal heart rate is critical for clinical management and patient safety. This
study evaluated the benefits of two testing modalities in assessing resident
physician knowledge of the 2008 NICHD nomenclature. Multiple-choice (MC) and
short-answer (SA) examinations were administered to Obstetrics and Gynecology
resident physicians before an educational intervention and then immediately after
the training, at 6 months and at 12 months. Test scores on both the MC and the SA
examinations improved after the training session. The improvement was sustained
over the course of the study. Residents performed higher on the MC examination
than on the SA test. This study suggests that formalised teaching in foetal heart
rate monitoring improves resident physician knowledge of the NICHD nomenclature
and that SA examinations may better discriminate between residents who are and
are not able to accurately articulate foetal heart rate monitoring terminology.
PMID- 27184213
TI - Aqueous Copper(II) Photoinduced Polymerization of Acrylates: Low Copper
Concentration and the Importance of Sodium Halide Salts.
AB - Photoinduced metal-mediated radical polymerization is a rapidly developing
technique which allows for the synthesis of macromolecules with defined molecular
weight and narrow molecular weight distributions, although typically exhibiting
significant limitations in aqueous media. Herein we demonstrate that the presence
of alkali metal halide salts, in conjunction with low copper concentration and UV
irradiation, allows for the controlled polymerization of water-soluble acrylates
in aqueous media, yielding narrow molecular weight distributions and high
conversions. Despite the aqueous environment which typically compromises polymer
end group fidelity, chain extensions have also been successfully performed and
different degrees of polymerization were targeted. Importantly, no conversion was
observed in the absence of UV light and the polymerization could be switched "on"
and "off" upon demand, as demonstrated by intermittent light and dark periods and
thus allowing access to spatiotemporal control.
PMID- 27184214
TI - A Stochastic Hill Climbing Approach for Simultaneous 2D Alignment and Clustering
of Cryogenic Electron Microscopy Images.
AB - A critical step in the analysis of novel cryogenic electron microscopy (cryo-EM)
single-particle datasets is the identification of homogeneous subsets of images.
Methods for solving this problem are important for data quality assessment, ab
initio 3D reconstruction, and analysis of population diversity due to the
heterogeneous nature of macromolecules. Here we formulate a stochastic algorithm
for identification of homogeneous subsets of images. The purpose of the method is
to generate improved 2D class averages that can be used to produce a reliable 3D
starting model in a rapid and unbiased fashion. We show that our method overcomes
inherent limitations of widely used clustering approaches and proceed to test the
approach on six publicly available experimental cryo-EM datasets. We conclude
that, in each instance, ab initio 3D reconstructions of quality suitable for
initialization of high-resolution refinement are produced from the cluster
centers.
PMID- 27184215
TI - Analysis of occupational health hazards and associated risks in fuzzy
environment: a case research in an Indian underground coal mine.
AB - This paper presents a unique hierarchical structure on various occupational
health hazards including physical, chemical, biological, ergonomic and
psychosocial hazards, and associated adverse consequences in relation to an
underground coal mine. The study proposes a systematic health hazard risk
assessment methodology for estimating extent of hazard risk using three important
measuring parameters: consequence of exposure, period of exposure and probability
of exposure. An improved decision making method using fuzzy set theory has been
attempted herein for converting linguistic data into numeric risk ratings. The
concept of 'centre of area' method for generalized triangular fuzzy numbers has
been explored to quantify the 'degree of hazard risk' in terms of crisp ratings.
Finally, a logical framework for categorizing health hazards into different risk
levels has been constructed on the basis of distinguished ranges of evaluated
risk ratings (crisp). Subsequently, an action requirement plan has been
suggested, which could provide guideline to the managers for successfully
managing health hazard risks in the context of underground coal mining exercise.
PMID- 27184216
TI - Strong, Twist-Stable Carbon Nanotube Yarns and Muscles by Tension Annealing at
Extreme Temperatures.
AB - A high-speed incandescent tension annealing process (ITAP) is used to increase
the modulus and strength of twist-spun carbon nanotube yarns by up to 12-fold and
2.6-fold, respectively, provide remarkable resistance to oxidation and powerful
protonating acids, and freeze yarn untwist. This twist stability enables
torsional artificial-muscle motors having improved performance and minimizes
problematic untwist during weaving nanotube yarns.
PMID- 27184217
TI - Depoliticising the political: Market solutions and the retreat of Swedish
institutional drug treatment from state management.
AB - BACKGROUND: This article examines developments in the Swedish drug treatment
services in 1982-2000 and explores the ways in which political initiatives and
the state administration's management have contributed to the major
privatisations of institutional drug treatment during this period. METHODS: The
empirical basis for the textual analysis lies in official reports, parliamentary
material and archived records from the Stockholm County Administrative Board's
management of treatment facilities. RESULTS: The major privatisations of drug
treatment services in the 1980s were both unintentional and unwanted and mainly
arose from a lack of bureaucratic control and ideological anchorage. The
privatisations were, however, reinforced by ideologically driven NPM-oriented
political initiatives in the 1990s. CONCLUSION: The market-oriented treatment
services have failed to fulfil the needs for diversity and availability within a
publicly financed sector, which deals with unevenly informed and often socio
economically weak citizens. New management models in this field must ensure that
ideological considerations are taken into account to meet politically decided
goals and means.
PMID- 27184218
TI - The users of Novel Psychoactive Substances: Online survey about their
characteristics, attitudes and motivations.
AB - BACKGROUND: The increasing number of Novel Psychoactive Substances (NPS)
constitutes a challenge for public health agencies and researchers worldwide.
Scientific studies about NPS and their users are limited and there is a need to
explore the general motivations for NPS use but also to examine if and how the
motivations differ between substances from separate effect classes. The aim of
the present study was to investigate the characteristics, including attitudes and
motivations, of a self-selected sample of international NPS users. METHODS: An
online survey containing questions about drug use history, attitudes, motivations
for use, and WHO-5 Wellbeing Index was promoted at the drug discussion forum
bluelight.org. The data was analysed using SPSS. RESULTS: The sample consisted of
619 international NPS users with overall good emotional well-being despite
extensive experience of both traditional and novel drugs. The main incentive for
use of NPS in general was pleasure and enjoyment. However, going beyond the
general approach to NPS revealed significant variations between drug groups. For
example, the use of hallucinogens was substantially motivated by self-exploration
and spiritual attainment and showed very low levels of addiction potential while
the use of opioids and especially GABA activating substances was mainly motivated
by coping and showed much higher levels of addiction potential. Synthetic
cannabinoids were the least appreciated and least likely to be used again, and
were mainly motivated by circumstances such as availability and legality.
CONCLUSION: Understanding the different motivations for NPS use in terms of drug
groups could enable more effective prevention and consequently a reduction in
harm.
PMID- 27184220
TI - ERRATUM.
PMID- 27184219
TI - Benefits of short educational programmes in preventing drink-driving recidivism:
A ten-year follow-up randomised controlled trial.
AB - BACKGROUND: One fifth of drivers convicted of drink-driving for the first time
will be convicted again for the same offence in subsequent years. Lecture-based
educational programmes are believed to reduce recidivism. Little is known about
the modalities of enhancing the benefits of such programs. METHODS: This parallel
randomised controlled trial measured the effects of the duration of lectures and
the presence of a close relative 'in class' on rates of recidivism during the ten
years following an initial drink-driving conviction. Of 1588 drivers in the
Canton of Geneva convicted of a first-time offence with a blood alcohol
concentration (BAC) of between 0.80 and 2.49g/kg from May 2001 to February 2004,
727 agreed to participate and were randomly assigned to either a seven-hour
series of lectures, a four-hour series with a friend or close relative, or a
brief two-hour lecture. Time until recidivism was retrieved from a national
registry that contains details of recidivism that took place up to ten years
after the first offence. RESULTS: Significant effects of briefer lectures over
the standard day-long series of lectures were observed only during the most
influential time period with regards to recidivism levels-the two years following
the intervention. Replacing the usual one-day series of lectures by briefer two
hour lectures would reduce, by 25% (CI95%; 3-44%), the risk of recidivism.
CONCLUSION: This study does not support policymakers' decision to rely on a seven
hour series of lectures to decrease DUI recidivism. The advantages of shorter
lectures over no lecture still need to be evaluated.
PMID- 27184221
TI - Loss of RUNX3 expression is an independent adverse prognostic factor in diffuse
large B-cell lymphoma.
AB - Runt-related transcription factor-3 (RUNX3) is an apoptotic factor correlated
with tumorigenesis and cancer progression. Enhancer of zeste homolog-2 (EZH2), a
histone methyltransferase, has been shown to mediate silencing of RUNX3. We
investigated RUNX3 and EZH2 expression in diffuse large B-cell lymphoma (DLBCL).
A chart review was conducted and tissue-microarray (TMA) was constructed using
archived tissue from 83 DLBCL cases. RUNX3 and EZH2 protein expression was
correlated with immunophenotypic subtypes and survival. Loss of RUNX3 was
observed in 20 cases; EZH2 expression was observed in 59 cases. RUNX3-negative
tumors had significantly lower overall and recurrence-free survival (log-rank
test, p < 0.0001 for each). No correlation was found between RUNX3 and EZH2
staining (r = 0.14; p = 0.2). Results suggest a role for the RUNX3 gene in the
pathogenesis of DLBCL. Loss of RUNX3 expression strongly correlated with adverse
prognosis, independent of subtype. Further studies are warranted to elucidate the
biology and prognostic utility of RUNX3 in DLBCL.
PMID- 27184222
TI - Utility of 16S rDNA Sequencing for Identification of Rare Pathogenic Bacteria.
AB - BACKGROUND: Phenotypic identification systems are established methods for
laboratory identification of bacteria causing human infections. Here, the utility
of phenotypic identification systems was compared against 16S rDNA identification
method on clinical isolates obtained during a 5-year study period, with special
emphasis on isolates that gave unsatisfactory identification. METHODS: One
hundred and eighty-seven clinical bacteria isolates were tested with commercial
phenotypic identification systems and 16S rDNA sequencing. Isolate identities
determined using phenotypic identification systems and 16S rDNA sequencing were
compared for similarity at genus and species level, with 16S rDNA sequencing as
the reference method. RESULTS: Phenotypic identification systems identified ~46%
(86/187) of the isolates with identity similar to that identified using 16S rDNA
sequencing. Approximately 39% (73/187) and ~15% (28/187) of the isolates showed
different genus identity and could not be identified using the phenotypic
identification systems, respectively. Both methods succeeded in determining the
species identities of 55 isolates; however, only ~69% (38/55) of the isolates
matched at species level. 16S rDNA sequencing could not determine the species of
~20% (37/187) of the isolates. CONCLUSION: The 16S rDNA sequencing is a useful
method over the phenotypic identification systems for the identification of rare
and difficult to identify bacteria species. The 16S rDNA sequencing method,
however, does have limitation for species-level identification of some bacteria
highlighting the need for better bacterial pathogen identification tools.
PMID- 27184223
TI - Resistant in-stent restenosis in the drug eluting stent era.
AB - BACKGROUND: In the drug eluting stent (DES) era, repeat in-stent restenosis (ISR)
of the same coronary lesion, despite percutaneous coronary intervention (PCI), is
a rare but challenging problem that has not been reported. We aim to describe
what we propose as the occurrence of "resistant"-ISR (R-ISR) in the DES era,
including angiographic patterns and outcomes. METHODS: We defined R-ISR as the
recurrence of an ISR episode after successful treatment of the same lesion. We
identified 276 consecutive patients with 291 lesions who had R-ISR between May
2003 and June 2012. Quantitative coronary angiography (QCA) was performed for the
first and second ISR episodes. Outcomes at one year, including death, myocardial
infarction (MI), and target lesion failure (TLF), were analyzed. RESULTS:
Patients with R-ISR had a high frequency of diabetes (62%), chronic kidney
disease (39%), bifurcation lesions (51%), and moderate to severe calcified
lesions (52%). The most common pattern of R-ISR was focal (77%). R-ISR lesions
were treated with DES implantation (55%) or balloon-only strategy (45%). The
mortality rate and TLF at 2-years were 9.3% and 51% respectively. The overall 2
year TLF rate did not vary with the originally implanted stent, angiographic
pattern (focal versus diffuse), or revascularization strategy. CONCLUSIONS: R-ISR
appears to consist predominantly of focal lesions and occurs in patients at high
clinical and angiographic risk, conceivably owing to their unique diabetic and
coronary calcification profile. Clinical outcomes are suboptimal irrespective of
angiographic pattern or treatment strategy, indicating the recalcitrant nature of
the disease, and need for aggressive treatment of cardiovascular risk factors and
novel interventional approaches. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184226
TI - [Gangrenous ecthyma: Unusual presentation].
PMID- 27184227
TI - [Amelanotic acral melanoma].
PMID- 27184225
TI - Tunable Oxygen Functional Groups as Electrocatalysts on Graphite Felt Surfaces
for All-Vanadium Flow Batteries.
AB - A dual oxidative approach using O2 plasma followed by treatment with H2 O2 to
impart oxygen functional groups onto the surface of a graphite felt electrode.
When used as electrodes for an all-vanadium redox flow battery (VRB) system, the
energy efficiency of the cell is enhanced by 8.2 % at a current density of 150 mA
cm(-2) compared with one oxidized by thermal treatment in air. More importantly,
by varying the oxidative techniques, the amount and type of oxygen groups was
tailored and their effects were elucidated. It was found that O-C=O groups
improve the cells performance whereas the C-O and C=O groups degrade it. The
reason for the increased performance was found to be a reduction in the cell
overpotential after functionalization of the graphite felt electrode. This work
reveals a route for functionalizing carbon electrodes to improve the performance
of VRB cells. This approach can lower the cost of VRB cells and pave the way for
more commercially viable stationary energy storage systems that can be used for
intermittent renewable energy storage.
PMID- 27184224
TI - Single dose GLP toxicity and biodistribution study of a conditionally replicative
adenovirus vector, CRAd-S-pk7, administered by intracerebral injection to Syrian
hamsters.
AB - BACKGROUND: CRAd-S-pk7 is a conditionally replicative oncolytic adenoviral vector
that contains a survivin promoter and a pk7 fiber modification that confer tumor
specific transcriptional targeting and preferential replication in glioma while
sparing the surrounding normal brain parenchyma. METHODS: This IND-enabling study
performed under GLP conditions evaluated the toxicity and biodistribution of CRAd
S-pk7 administered as a single intracerebral dose to Syrian hamsters, a
permissive model of adenoviral replication. Two hundred and forty animals were
stereotactically administered either vehicle (n = 60) or CRAd-S-pk7 at 2.5 *
10(7), 2.5 * 10(8), or 2.5 * 10(9) viral particles (vp)/animal (each n = 60) on
day 1. The animals were closely monitored for toxicology evaluation, assessment
of viral distribution, and immunogenicity of CRAd-S-pk7. RESULTS: Changes in
hematology, clinical chemistry, and coagulation parameters were minor and
transient, and consistent with the inflammatory changes observed microscopically.
These changes were considered to be of little toxicological significance. The
vector remained localized primarily in the brain and to some degree in the
tissues at the incision site. Low levels of vector DNA were detected in other
tissues in a few animals suggesting systemic circulation of the virus. Viral DNA
was detected in brains of hamsters for up to 62 days. However, microscopic
changes and virus-related toxicity to the central nervous system were considered
minor and decreased in incidence and severity over time. Such changes are not
uncommon in studies using adenoviral vectors. CONCLUSION: This study provides
safety and toxicology data justifying a clinical trial of CRAd-S-pk7 loaded in
FDA-approved HB1.F3.CD neural stem cell carriers administered at the tumor
resection bed in humans with recurrent malignant glioma.
PMID- 27184228
TI - Gaseous NH3 Confers Porous Pt Nanodendrites Assisted by Halides.
AB - Tailoring the morphology of Pt nanocrystals (NCs) is of great concern for their
enhancement in catalytic activity and durability. In this article, a novel
synthetic strategy is developed to selectively prepare porous dendritic Pt NCs
with different structures for oxygen reduction reaction (ORR) assisted by NH3 gas
and halides (F(-), Cl(-), Br(-)). The NH3 gas plays critical roles on tuning the
morphology. Previously, H2 and CO gas are reported to assist the shape control of
metallic nanocrystals. This is the first demonstration that NH3 gas assists the
Pt anisotropic growth. The halides also play important role in the synthetic
strategy to regulate the formation of Pt NCs. As-made porous dendritic Pt NCs,
especially when NH4F is used as a regulating reagent, show superior catalytic
activity for ORR compared with commercial Pt/C catalyst and other previously
reported Pt-based NCs.
PMID- 27184234
TI - Blink reflex in subjects with different hypnotizability: New findings for an old
debate.
AB - Hypnotizability is associated with attentional characteristics whose
neurophysiological bases are still under debate. Aim of the study was the
assessment of possible hypnotizability-related differences in blink reflex (BR)
which has a nociceptive component, is sensitive to attentional-emotional traits
and states and is modulated by the brain dopamine content. In 10 high (highs) and
10 low hypnotizable participants (lows) BR was induced by electrical nociceptive
stimulation of the right supraorbital nerve in the absence (noW) and in the
presence of a visual cue preceding the electrical stimulation by 0.1ms (W01) and
by 1ms (W1). The studied variables were: the amplitude of BR components (R1, R2,
R3), the amplitude of the quick change (TO) of heart rate ("turbulence") induced
by stimulation and its recovery slope (TS), the role of the Behavioral
Inhibition/Activation System (BIS/BAS) in the variability BR and cardiac
turbulence. Repeated measures ANOVA did not show any significant difference
between highs and lows in blink reflex. TO indicated stimulation related HR
increase in highs and decrease in lows, TS was larger in highs. BIS and BAS
accounted for the warning effects on the BR amplitude and modulated the
hypnotizability and warning effects on TO and TS. Findings do not support
dopamine based hypnotizability-related attentional abilities. In contrast, they
indicate that hypnotizability modulates the short-lasting cardiac response to
electrical nociceptive stimulation.
PMID- 27184230
TI - Nanoparticle-Aided Amplification of Fluorescence Polarization for
Ultrasensitively Monitoring Activity of Telomerase.
AB - To realize facile and reliable analyzing telomerase activity in homogeneous,
herein, for the first time, a fluorescent polarization (FP) strategy was
developed for polymerase chain reaction (PCR) free monitoring activity of human
telomerase at single-cell level ground on gold nanoparticle (GNP) enhancement of
FP. First, thiolated telomerase substrate (TS) primer is modified to the surface
of GNP via Au-S bond. In the presence of telomerase, TS primer was extended via
adding hexamer repeats (GGGTTA), leading to the formation of a long elongation
DNA. Several short carboxyfluorescein (FAM)-modified complementary DNA (F-cDNA)
can hybridize with the hexamer repeats, resulting in a sharp increase in FP
value. Because of the GNP enhancement and self-amplification of telomerase,
telomerase activity accounting to one HeLa cell can be rapidly detected in
homogeneous solution. Telomerase activities of various cell lines were also
favorably estimated. Meanwhile, the inhibition efficiency of telomerase inhibitor
was studied, which holds great potential in screening telomerase-targeted
anticancer drugs as well. So, a facile method was put forward to reliably and
ultrasensitively detect telomerase activity.
PMID- 27184229
TI - Molecular Subtyping of Serous Ovarian Cancer Based on Multi-omics Data.
AB - Classification of ovarian cancer by morphologic features has a limited effect on
serous ovarian cancer (SOC) treatment and prognosis. Here, we proposed a new
system for SOC subtyping based on the molecular categories from the Cancer Genome
Atlas project. We analyzed the DNA methylation, protein, microRNA, and gene
expression of 1203 samples from 599 serous ovarian cancer patients. These samples
were divided into nine subtypes based on RNA-seq data, and each subtype was found
to be associated with the activation and/or suppression of the following four
biological processes: immunoactivity, hormone metabolic, mesenchymal development
and the MAPK signaling pathway. We also identified four DNA methylation, two
protein expression, six microRNA sequencing and four pathway subtypes. By
integrating the subtyping results across different omics platforms, we found that
most RNA-seq subtypes overlapped with one or two subtypes from other omics data.
Our study sheds light on the molecular mechanisms of SOC and provides a new
perspective for the more accurate stratification of its subtypes.
PMID- 27184235
TI - Prepulse inhibition and latent inhibition deficits in Roman high-avoidance vs.
Roman low-avoidance rats: Modeling schizophrenia-related features.
AB - The aim of the present study was to obtain further evidence supporting the
validity of a new genetically-based rat model for the study of schizophrenia
relevant symptoms. The Roman high- (RHA-I) and low-avoidance (RLA-I) inbred rats
have been psychogenetically selected for their rapid versus extremely poor
acquisition of the two-way avoidance task in the shuttle box and present two well
differentiated profiles regarding several traits related to anxiety, impulsivity
and sensitivity to (dopaminergic) psychostimulants. In this study we have tested
animals from both strains in two behavioral paradigms that are related to
schizophrenia, i.e. prepulse inhibition (PPI) and latent inhibition (LI) of fear
potentiated startle (FPS). The results show that while RLA-I rats display good
PPI and LI to the context, RHA-Is show an impairment of PPI and no sign of an LI
effect, which goes in the direction of the results obtained in schizophrenic
patients. Therefore, although further behavioral and psychopharmacological work
needs to be done, the present findings and previous studies carried out in our
laboratory and others allow us to propose the RHA-I rat strain as a putative
genetic rat model of differential schizophrenia-related features.
PMID- 27184236
TI - Maternal exposure to environmental enrichment before and during gestation
influences behaviour of rat offspring in a sex-specific manner.
AB - The beneficial effects of Environmental Enrichment (EE) applied immediately after
weaning or even in adulthood have been widely demonstrated. Less is known about
the possible changes in behaviour and brain development of the progeny following
the exposure of dams to EE. In order to further investigate this matter, female
rats were reared in EE for 12weeks, from weaning until delivery. After having
confirmed the presence of relevant behavioural effects of EE, both control and EE
females underwent mating. Maternal behaviour was observed and male and female
offspring were then administered a battery of behavioural test at different ages.
EE mothers showed a decreased frequency of total nursing and, during the first
2days of lactation, an increase in licking/grooming behaviour. Maternal exposure
to EE affected offspring behaviour in a sex-specific manner: social play
behaviour and anxiety-like behaviour were increased in males but not in females
and learning ability was improved only in females. As a general trend, maternal
EE had a marked influence on motility in male and female offspring in both
locomotor activity and swimming speed. Overall, this study highlights the
importance of environmental stimulation, not only in the animals directly
experiencing EE, but for their progeny too, opening the way to new hypothesis on
the heritability mechanisms of behavioural traits.
PMID- 27184237
TI - Changes in taste preference and steps taken after sleep curtailment.
AB - A substantial proportion of the population does not achieve the recommended
amount of sleep. Previous work demonstrates that sleep alterations perturb energy
balance by disrupting appetite hormones, increasing energy intake, and decreasing
physical activity. This study explored the influence of sleep duration on taste
perception as well as effects on dietary intake and physical activity.
Participants (n=24 habitual short sleepers and n=27 habitual long sleepers, 82.4%
female, 88.2% white, 25.2+/-7.7years) completed two randomized taste visits; one
following short sleep duration (<=7h) and one following long sleep duration
(>7h). Taste perception measures included sweet and salt detection thresholds
(ascending 3-alternative, forced-choice method), as well as sweet preference
(Monell 2-series, forced-choice, paired-comparison, tracking method). Steps and
sleep were tracked via FitBit, an activity monitoring device. Dietary intake was
assessed using 24-hour recalls and analyzed using Nutritionist Pro. Habitual long
sleepers had a higher sweet taste preference (p=0.042) and took fewer steps
(p=0.036) following sleep curtailment compared to the night where they slept >7h
but did not experience changes in dietary intake or detection thresholds.
Habitual short-sleepers did not experience changes in taste perception, activity,
or dietary intake following sleep alteration. Habitual long-sleepers may be at
greater risk of gaining weight when typical sleep patterns are disrupted.
PMID- 27184238
TI - Adolescent voluntary exercise attenuated hippocampal innate immunity responses
and depressive-like behaviors following maternal separation stress in male rats.
AB - Early life stressful events have detrimental effects on the brain and behavior,
which are associated with the development of depression. Immune-inflammatory
responses have been reported to contribute in the pathophysiology of depression.
Many studies have reported on the beneficial effects of exercise against stress.
However, underlying mechanisms through which exercise exerts its effects were
poorly studied. Therefore, it applied maternal separation (MS), as a valid animal
model of early-life adversity, in rats from postnatal day (PND) 2 to 14 for
180min per day. At PND 28, male Wistar albino rats were subjected to 5
experimental groups; 1) controls 2) MS rats 3) MS rats treated with fluoxetine
5mg/kg to PND 60, 4) MS rats that were subjected to voluntary running wheel (RW)
exercise and 5) MS rats that were subjected to mandatory treadmill (TM) exercise
until adulthood. At PND 60, depressive-like behaviors were assessed by using
forced swimming test (FST), splash test, and sucrose preference test (SPT). Our
results revealed that depressive-like behaviors following MS stress were
associated with an increase in expression of toll-like receptor 4 (Tlr-4) and its
main signaling protein, Myd88, in the hippocampal formation. Also, we found that
voluntary (and not mandatory) physical exercise during adolescence is protected
against depressant effects of early-life stress at least partly through
mitigating the innate immune responses in the hippocampus.
PMID- 27184239
TI - Assessment of reagents for selenocysteine conjugation and the stability of
selenocysteine adducts.
AB - Conventional antibody-drug conjugates (ADCs) are heterogeneous mixtures that have
poor pharmacokinetic properties and decreased efficacy relative to homogenous
ADCs. Furthermore, ADCs that are maleimide-based often have inadequate
circulatory stability, which can result in premature drug release with consequent
off-target toxicities. Selenocysteine-modified antibodies have been developed
that allow site-specific antibody conjugation, yielding homogeneous ADCs. Herein,
we survey several electrophilic functional groups that react with selenocystine
with high efficiency. Several of these result in conjugates with stabilities that
are superior to maleimide conjugates. Among these, the allenamide functional
group reacts with notably high efficiency, leads to conjugates with remarkable
stability, and shows exquisite selectivity for selenocysteine conjugation.
PMID- 27184241
TI - Ongoing Discovery of High-Level Disinfection of Endoscope Practices and the Use
of Performance Improvement Methodologies to Improve Processes.
PMID- 27184242
TI - Assessment of Endoscope Reprocessing Using Peer-to-Peer Assessment Through a
Clinical Community.
AB - BACKGROUND: Cleaning, disinfection, and sterilization (CDS) of medical devices
are intended to help prevent health care-associated infections (HAIs), a
significant cause of mortality and morbidity. In February 2013 the Johns Hopkins
Health System (JHHS; Baltimore) formed a clinical community of experts and
stakeholders--physicians, nurses, administrators, infection control
practitioners, risk managers, and regulatory staff--to assess CDS practices
across facilities. METHODS: A survey administered to leadership indicated
endoscopy areas of risk. An endoscopy tracer tool with eight major performance
areas was then created from best practices identified in the literature,
regulatory requirements, and national guidelines for endoscope reprocessing. Peer
to-peer (P2P) assessments using the tracer tool were performed at five Johns
Hopkins Medicine gastrointestinal endoscopy sites (three hospital-based; two
freestanding ambulatory surgery centers) selected on the basis of their large
procedural volumes and their operational ability to participate in further areas
of the project. RESULTS: The P2P assessments revealed that 20 (42%) of the 48
possible criteria had a noted deficiency at one or more sites. Three of the eight
major performance areas on the tracer tool had no deficiencies identified at any
of the five sites. Deficiencies were mostly minor process improvements, and only
one critical process required immediate alteration of practice. Because the
assessments were nonpunitive, horizontal communication enabled feedback on
process improvements, alternate methods to achieve outcomes, and solutions to
common issues. CONCLUSIONS: A nonpunitive and collaborative peer methodology was
successful in capturing and sharing best practices in endoscopy areas. Successful
replication in other clinical areas can be an effective way to assess CDS
processes and facilitate dialogue for improvements.
PMID- 27184240
TI - Hypercalcemia due to Milk-Alkali Syndrome and Fracture-Induced Immobilization in
an Adolescent Boy with Hypoparathyroidism.
AB - BACKGROUND: Hypercalcemia of immobilization, while rare, may occur in adolescent
boys after fracture. Although not fully understood, the mechanism appears to be
related to bone turnover uncoupling, in part mediated by upregulation of RANKL.
Animal studies suggest that parathyroidectomy suppresses RANKL-stimulated
osteoclastogenesis in immobilized bone. Thus, immobilization-induced
hypercalcemia should be uncommon in patients with hypoparathyroidism.
METHODS/RESULTS: We present a 15-year-old boy with well-controlled
hypoparathyroidism who developed hypercalcemia and milk-alkali syndrome 5 weeks
after sustaining a severe tibia/fibula fracture requiring bedrest. Milk-alkali
syndrome (hypercalcemia, alkalosis, and renal insufficiency) results from chronic
excessive ingestion of calcium and absorbable alkali. Prior to fracture, our
patient had not experienced hypercalcemia despite high doses of supplements,
necessary during puberty. Supplements were discontinued and his biochemistries
normalized with saline diuresis and a dose of pamidronate. Alkaline phosphatase,
which was low at presentation, returned to normal 5 weeks later with
remobilization. CONCLUSIONS: Fracture and immobilization caused acute suppression
of bone formation with persistent bone resorption in this rapidly growing
adolescent; continuation of carbonate-containing calcium supplements resulted in
the milk-alkali syndrome. Therefore, close monitoring of serum calcium with
adjustments in supplementation are indicated in immobilized patients with
hypoparathyroidism. (c) 2016 S. Karger AG, Basel.
PMID- 27184243
TI - Implementation of a Front-End Split-Flow Model to Promote Performance in an Urban
Academic Emergency Department.
AB - BACKGROUND: In an urban academic emergency department (ED), a front-end split
flow model, which entailed deployment of an attending-physician intake model,
implementation of a 16-bed clinic decision unit, expanded point-of-care (POC)
testing, and dedicated ED transportation services, was created. METHODS: A
retrospective, observational, pre-post intervention comparison study was
conducted at a large academic urban hospital with 74,000 ED annual visits that
serves as a Level 2 Trauma Center. The new flow model was implemented in April
2013, coincident with the opening of a new ED space. RESULTS: During the six
month pre- (July 2012-December 2012) and postimplementation (July 2013-December
2013) periods, there were 17,307 and 27,443, respectively, walk-in encounters
during the intake times. Despite this 59% increase and a 35% increase in overall
ED patient census, implementation of the innovative novel process redesign
resulted in a clinically meaningful reduction (median minutes pre vs. post and
one-year post) in (1) overall length of stay (LOS) for all walk-ins (220 vs. 175
and 140), discharged (216 vs. 170 and 140), and inpatient admissions (249 vs. 217
and 181); (2) door-to-physician time (minutes) (54 vs. 15 and 12); and (3) left
without being seen (LWBS) rates (5.5% vs. 0.5% and 0.0%). The left before visit
complete (LBVC) rates were 0.8% vs. 1.1% and 0.6%. The average total relative
value unit (RVU) per patient discharged from intake was 2.31. During the pre-post
analysis periods, no significant increase in reported safety events were
identified (10 vs. 9 per 1,000 patient encounters). CONCLUSION: Implementation of
a novel multifaceted process redesign including an attending physician-driven
intake model had a clinically positive impact on ED flow. Validation of this
model should be conducted in other practice settings.
PMID- 27184244
TI - The Ask Me to Explain Campaign: A 90-Day Intervention to Promote Patient and
Family Involvement in Care in a Pediatric Emergency Department.
AB - BACKGROUND: Patient satisfaction is a central outcome measure of patient-centered
care and is associated with improved patient safety, but the effect of specific
interventions in pediatric emergency medicine on patient satisfaction is not well
studied. In 2013 the University of Chicago Medicine Comer Children's Hospital's
Pediatric Emergency Department identified substantial room for improvement in
communication both among physicians and nurses and between hospital staff and
patients. A pilot study was conducted to quantify the impact of a specific
package of improvement activities on patient satisfaction in the Pediatric
Emergency Department. METHODS: Using a 90-day action plan (December 2013-
February 2014), the Ask Me to Explain campaign included visual signage to remind
clinicians and staff to focus on addressing the concerns of their patients.
Providers were educated on the campaign tools, their purpose, and how to use them
to initiate discussion and provide answers to patient concerns. Education was
then spread to support staff throughout the department. The primary outcome
measure was the response to questions on a patient satisfaction survey delivered
by a third-party vendor, specifically, "Likelihood of your recommending our
Emergency Department to others." RESULTS: "Top Box" scores increased for all
questions during the 90-day intervention period. Specifically, staff sensitivity
to patient concerns increased from 44.0% to 59.2% (p = 0.041), and patient
satisfaction with being informed about delays increased from 34.7% to 51.1% (p =
0.024). Interestingly, patient satisfaction either remained above baseline or
continued to improve for all questions after the campaign had concluded.
CONCLUSION: A 90-day action plan may provide a successful template for improving
communication between providers and patients in a pediatric emergency department
or in other health care settings.
PMID- 27184245
TI - Patient-Centered Care: Just Ask a Thoughtful Question and Listen.
PMID- 27184247
TI - Better Overall Survival for Breast Cancer Patients by Adding Breast Ultrasound to
Follow-Up Examinations for Early Detection of Locoregional Recurrence-A Survival
Impact Study.
AB - We retrospectively reviewed patient records to evaluate the effectiveness of our
15 y of ultrasound (US) surveillance of recurrent breast disease in comparison
with mammography (MM) and clinical examination. From 4796 stage 0-III breast
cancer patients who had received surgical treatment, we identified locoregional
recurrence (LRR) in 161 patients. The mean age of the 161 patients was 48 y (27
82 y), and the mean follow-up interval was 77.2 mo (11-167 mo). The methods of
LRR detection, sites of LRR and overall survival (OS) were examined. Multivariate
Cox survival analysis showed significantly better survival in groups detected by
US (hazard ratio = 0.6, p = 0.042). The 10-y LRR OS by detection types for US (n
= 69), clinical examination (n = 78) and MM (n = 8) were 58.5%, 33.1% and 100%,
respectively (p = 0.0004). US was seen with better OS associated with the
effective early detection of non-palpable LRR breast cancer, which is mostly not
detectable on MM.
PMID- 27184248
TI - A Novel Approach to Ultrasound-Mediated Tissue Decellularization and Intra
Hepatic Cell Delivery in Rats.
AB - Liver transplantation is the mainstay of treatment for end stage liver diseases,
including metabolic and congenital liver diseases. The number of suitable donor
organs is, however, limited, and a whole-liver transplant requires complex
surgery. Cell therapy, such as intra-portal hepatocytes transplantation, has been
considered as a bridging therapy to liver transplantation but has shown a mixed
clinical outcome with limited success, including low level of engraftment of
transplanted hepatocytes. Here, we report a novel cell delivery technique in a
rat model by creating a cavity inside the liver parenchyma by non-invasive high
intensity focused ultrasound histotripsy. Our in vivo experimental results
together with histologic observations show that direct injection of cells inside
the cavity can facilitate successful uptake, proliferation and integration of the
transplanted hepatocytes in the recipient liver. We were able to restore the
plasma albumin level to 50% of the normal level in Nagase analbuminemic rats
(serum albumin level of the Nagase rats was initially nil) by cell therapy after
high intensity focused ultrasound-mediated histotripsy. We believe that this
novel technique would enable the delivery of a large number of cells into the
liver to restore liver function, particularly as a treatment for metabolic liver
diseases. This novel method of intra-hepatic hepatocyte transplantation might be
an invaluable tool for cell therapy in the future.
PMID- 27184249
TI - [Cushing's syndrome in a patient with macronodular adrenal hyperplasia secondary
to aberrant hormone receptors].
PMID- 27184252
TI - The ball vanishes in the air: can we blame representational momentum?
AB - In the vanishing ball illusion (VBI), the magician throws a ball up into the air
twice and then pretends to do a third throw. On the third (fake) throw, the
audience sees the ball go up and then disappear. In this article, we study the
psychological mechanisms at play in this magic trick. We test the hypothesis that
the illusion is based on representational momentum (RM), a psychological
phenomenon in which the observer perceives the stopping point of a moving scene
as being located farther ahead in the direction of motion than it really is. To
determine whether the mechanisms involved in VBI are similar to those underlying
RM, we compared the results of a standard VBI task to those obtained on an RM
task designed to be very close to the VBI task. The results showed that VBI
sensitivity was not associated with a higher anticipation score on the RM task.
Unexpectedly, we found that participants who were sensitive to the illusion even
obtained a weaker RM effect. We discuss several hypotheses that might account for
these results.
PMID- 27184251
TI - The effectiveness of email-based exercises in promoting psychological wellbeing
and healthy lifestyle: a two-year follow-up study.
AB - BACKGROUND: Web-based interventions provide a possibility to enhance well-being
in large groups of people. Only a few studies have studied the effectiveness of
the interventions and there is no information on the sustainability of the
effects. Study aims were to investigate both the short (2-month) and long-term (2
year) effects of email-based training for mental health and lifestyle. METHODS:
Persons who completed an 'Electronic Health Check', as advertised in a TV
program, were offered a chance to participate in email-based interventions. The
baseline questionnaire was completed by 73 054 people, with 42 761 starting
interventions, and 16 499 people participating in at least one of the follow-ups.
Persons who did not choose to start the interventions served as controls.
RESULTS: At baseline, the intervention group had a higher level of stress and
lower gratitude and confidence in the future than the control group. Both groups
showed improvement in the level of stress, but improvement was more marked in the
intervention group (P < .001 for both time points). In confidence in the future
and gratitude, people who chose interpersonal interventions showed significant
improvements at both time points (P < .001), whereas those choosing lifestyle
interventions showed improvement only at the 2-month follow-up. Participants who
had done the exercises according to instructions had the most sustained
improvements in measures of psychological health at the 2-year follow-up. As for
lifestyle, people who had started lifestyle interventions increased their
exercise (P < .001 at both time points). CONCLUSIONS: Internet-based
interventions are feasible for mental health promotion and should be available
for people interested in improving their psychological well-being and lifestyle.
PMID- 27184250
TI - Potential neuroimaging biomarkers of pathologic brain changes in Mild Cognitive
Impairment and Alzheimer's disease: a systematic review.
AB - BACKGROUND: Neuroimaging-biomarkers of Mild Cognitive Impairment (MCI) allow an
early diagnosis in preclinical stages of Alzheimer's disease (AD). The goal in
this paper was to review of biomarkers for Mild Cognitive Impairment (MCI) and
Alzheimer's disease (AD), with emphasis on neuroimaging biomarkers. METHODS: A
systematic review was conducted from existing literature that draws on markers
and evidence for new measurement techniques of neuroimaging in AD, MCI and non
demented subjects. Selection criteria included: 1) age >= 60 years; 2) diagnosis
of AD according to NIAAA criteria, 3) diagnosis of MCI according to NIAAA
criteria with a confirmed progression to AD assessed by clinical follow-up, and
4) acceptable clinical measures of cognitive impairment, disability, quality of
life, and global clinical assessments. RESULTS: Seventy-two articles were
included in the review. With the development of new radioligands of neuroimaging,
today it is possible to measure different aspects of AD neuropathology, early
diagnosis of MCI and AD become probable from preclinical stage of AD to AD
dementia and non-AD dementia. CONCLUSIONS: The panel of noninvasive neuroimaging
biomarkers reviewed provides a set methods to measure brain structural and
functional pathophysiological changes in vivo, which are closely associated with
preclinical AD, MCI and non-AD dementia. The dynamic measures of these imaging
biomarkers are used to predict the disease progression in the early stages and
improve the assessment of therapeutic efficacy in these diseases in future
clinical trials.
PMID- 27184253
TI - A prospective evaluation of chronic Babesia microti infection in seroreactive
blood donors.
AB - BACKGROUND: Babesia microti is the foremost infectious risk to the US blood
supply for which a Food and Drug Administration (FDA)-licensed test is
unavailable for donation screening. Characterization of the antibody response to
B. microti and correlation with parasitemia is necessary to guide screening and
donor management policies. STUDY DESIGN AND METHODS: During an FDA licensure
trial, blood donors were prospectively screened (July-November 2013) using a B.
microti-specific antibody enzyme immunoassay (EIA, Immunetics) in highly endemic
(New York [NY]; n = 13,688), moderately endemic (Minnesota [MN]; n = 4583), and
nonendemic (New Mexico [NM]; n = 8451) regions. Blood donors with repeat-reactive
(RR) results participated in a 12-month prospective cohort study using B. microti
EIA, immunofluorescent assay, polymerase chain reaction (PCR), blood smear, and
clinical questionnaire. RESULTS: Thirty-seven (61.67%; 24 NY, seven MN, six NM)
of 60 eligible RR donors enrolled in the study; 20 of 37 (54%) completed the 12
month follow-up visit of which 15 (75%) were still seroreactive. Nine PCR
positive donors were identified during index screening; five participated in the
follow-up study, three were PCR positive at 6 months, and two remained positive
at final follow-up (378 and 404 days). Most RR donors displayed low-level
seroreactivity that was either stable or waning during follow-up. The level and
pattern of reactivity correlated poorly with PCR positivity. CONCLUSION: The
findings indicate prolonged seropositivity in blood donors. Although rare,
asymptomatic, persistent PCR positivity supports the current policy of indefinite
deferral for donors with a history of babesiosis or positive test results. Repeat
testing by PCR and serology will be necessary if reinstatement is to be
considered.
PMID- 27184255
TI - Efficient Enantioselective Biocatalytic Production of a Chiral Intermediate of
Sitagliptin by a Newly Filamentous Fungus Isolate.
AB - (S)-3-Hydroxy-1-(3-(trifluoromethyl)-5,6-dihydro-[1,2,4]triazolo[4,3-a]pyrazin
7(8H)-yl)-4-(2,4,5-trifluorophenyl)butan-1-one ((S)-HTPP) is a crucial
intermediate for the synthesis of Sitagliptin. A fungal strain ZJPH1308, capable
of the biocatalysis of ketoamide 4-oxo-4-[3-(trifluoromethyl)-5,6-dihydro
[1,2,4]triazolo[4,3-a]pyrazin-7(8H)-yl]-1-(2,4,5-trifluorophenyl)butan-2-one
(OTPP) to (S)-HTPP with excellent enantioselectivity, was isolated from a soil
sample and identified as Rhizopus microsporus var. rhizopodiformis ZJPH1308 based
on its morphological characteristics and internal transcribed spacer (ITS)
sequence. Some key reaction parameters involved in the bioreduction catalyzed by
isolate ZJPH1308 were then optimized. It demonstrated that the bioreduction of
OTPP was effective conducted at relative high temperature (45 degrees C), along
with distilled water as reaction medium and glycerol-coupling approach for
cofactor regeneration. Under the optimal conditions, the preparative-scale
bioreduction gave a 93.2 % yield (with >99.9 % of enantiomeric excess (ee)) at 15
mM of OTPP and 45 degrees C, reaction for 24 h. The results indicated that
fungal isolate ZJPH1308 can afford a thermostable carbonyl reductase and is a
promising biocatalyst for clean and efficient production of valuable chiral
intermediate.
PMID- 27184254
TI - HE4 promotes collateral resistance to cisplatin and paclitaxel in ovarian cancer
cells.
AB - BACKGROUND: Chemotherapy resistance presents a difficult challenge in treating
epithelial ovarian cancer patients, particularly when tumors exhibit resistance
to multiple chemotherapeutic agents. A few studies have shown that elevated serum
levels of the ovarian cancer biomarker HE4 correlate with tumor chemoresistance,
response to treatment, and survival. Here, we sought to confirm our previous
results that HE4 contributes to collateral resistance to cisplatin and paclitaxel
in vitro and uncover factors that may contribute to HE4-mediated chemoresistance.
METHODS: MTS assays and western blots for cleaved PARP were used to assess
resistance of HE4-overexpressing SKOV3 and OVCAR8 clones to cisplatin and
paclitaxel. CRISPR/Cas technology was used to knockdown HE4 in HE4-overexpressing
SKOV3 cells. A microarray was conducted to determine differential gene expression
between SKOV3 null vector-transfected and HE4-overexpressing clones upon
cisplatin exposure, and results were validated by quantitative RT-PCR. Regulation
of mitogen activated protein kinases (MAPKs) and tubulins were assessed by
western blot. RESULTS: HE4-overexpressing SKOV3 and OVCAR8 clones displayed
increased resistance to cisplatin and paclitaxel. Knockdown of HE4 in HE4
overexpressing SKOV3 cells partially reversed chemoresistance. Microarray
analysis revealed that HE4 overexpression resulted in suppression of cisplatin
mediated upregulation of EGR1, a MAPK-regulated gene involved in promoting
apoptosis. Upregulation of p38, a MAPK activated in response to cisplatin, was
suppressed in HE4-overexpressing clones. No differences in extracellular signal
regulated kinase (ERK) activation were noted in HE4-overexpressing clones treated
with 25 MUM cisplatin, but ERK activation was partially suppressed in HE4
overexpressing clones treated with 80 MUM cisplatin. Furthermore, treatment of
cells with recombinant HE4 dramatically affected ERK activation in SKOV3 and
OVCAR8 wild type cells. Recombinant HE4 also upregulated alpha-tubulin and beta
tubulin levels in SKOV3 and OVCAR8 cells, and microtubule associated protein tau
(MAPT) gene expression was increased in SKOV3 HE4-overexpressing clones.
CONCLUSIONS: Overexpression of HE4 promotes collateral resistance to cisplatin
and paclitaxel, and downregulation of HE4 partially reverses this
chemoresistance. Multiple factors could be involved in HE4-mediated
chemoresistance, including deregulation of MAPK signaling, as well as alterations
in tubulin levels or stability.
PMID- 27184256
TI - Immobilization of Candida antarctica Lipase B on Magnetic Poly(Urea-Urethane)
Nanoparticles.
AB - Poly(urea-urethane) (PUU) nanoparticles with encapsulated superparamagnetic
magnetite (Fe3O4) were obtained by interfacial miniemulsion polymerization and
used as support for immobilization of lipase B from Candida antarctica (CALB).
CALB enzyme was immobilized on magnetic PUU nanoparticles in two steps. The
enzyme was immobilized in the lyophilized nanoparticles (magnetic PUU) after the
support synthesis in phosphate buffer (pH 7.6) containing CALB, by the contact
between nanoparticles and enzymatic solution. The mixture was incubated at 30
degrees C in an orbital shaker during 0.5 until 6 h to determine the time for
maximum immobilization efficiency. The enzyme activity was determined by
esterification reactions between lauric acid and propanol. Residual activities
above 95 % in relation to free enzyme were obtained in 1 h of immobilization with
enzyme concentration of 0.55 mg/mL. FTIR spectrum and SEM-FEG images were used to
confirm the presence of CALB on magnetic support after immobilization and
stability of support even after immobilization process, respectively. Thermal
(40, 60, and 80 degrees C) and pH (pH 4, 7, and 10) stabilities, storage
stability, and reuse were evaluated. CALB immobilized derivatives showed high
stabilities with residual activities of 95, 100, and 100 % at 40, 60, and 80
degrees C, respectively, in 6 h of incubation. After incubation in different pH
values, CALB immobilized derivative presented activities of 81, 76, and 69 % in
relation to activities in the beginning of the stabilization process in pH 4, 7,
and 10, respectively. Furthermore, CALB immobilized derivative reduces only 15 %
of its activity after 30 days of storage at 4 degrees C. Reuse results showed
that immobilized CALB on magnetic PUU nanoparticles led to 95 % of geranyl oleate
conversion after 8 cycles of application demonstrating high stability of the CALB
immobilized derivative under different conditions.
PMID- 27184258
TI - Corrigendum to "Left ventricular structural and functional abnormalities in dogs
with hyperadrenocorticism" [J Vet Cardiol 17 (3) (2015) 173-181].
PMID- 27184257
TI - MicroRNA-663a is downregulated in non-small cell lung cancer and inhibits
proliferation and invasion by targeting JunD.
AB - BACKGROUND: MicroRNA-663a expression is downregulated in several tumors. However,
its functions and mechanisms in human non-small cell lung (NSCLC) cancer remain
obscure. The present study aimed to identify the expression pattern, biological
roles and potential mechanisms by which miR-663a dysregulation is associated with
NSCLC. METHODS: We examined expression level of miR-663a in 62 cases of NSCLC
tissues and 5 NSCLC cell lines by reverse transcription PCR. In vitro, gain-of
function and loss-of-function experiments were performed to examine the impact of
miR-663a on proliferation, cell cycle progression and invasion of NSCLC cells.
Using fluorescence reporter assays, we also explored the potential targets and
possible mechanisms of miR-663a in NSCLC cells. RESULTS: Downregulation of miR
663a was observed in 42 of 62 of lung cancer tissues compared with paired normal
tissues (mean cancer/normal value = 0.745) and its downregulation correlated with
nodal metastasis. Transfection of miR-663a mimic suppressed cell proliferation,
cell cycle progression and invasion, with downregulation of cyclin D1, cyclin E
and MMP9 in both H460 and H1299 cell lines. Transfection of miR-663a inhibitor in
both H460 and H1299 cell lines exhibited the opposite effects. In addition, we
confirmed that miR-663a could inhibit AP-1 activity and AP-1 component JunD was a
direct target of miR-663a in lung cancer cells. Transfection of miR-663a mimic
downregulated JunD expression. In addition, JunD siRNA treatment abrogated miR
663a inhibitor-induced expression of cyclin D1, cyclin E and MMP9. Above all,
both miRNA mimic and inhibitor in two different NSCLC cell lines demonstrated
that miR-663a inhibits proliferation and invasion by targeting AP-1 transcription
factor JunD. CONCLUSIONS: This study indicates that miR-663a downregulation might
be associated with NSCLC progression. MiR-663a suppresses proliferation and
invasion by targeting AP-1 component JunD in NSCLC cells.
PMID- 27184260
TI - Enhancing Specific Energy and Power in Asymmetric Supercapacitors - A Synergetic
Strategy based on the Use of Redox Additive Electrolytes.
AB - The strategy of using redox additive electrolyte in combination with multiwall
carbon nanotubes/metal oxide composites leads to a substantial improvements in
the specific energy and power of asymmetric supercapacitors (ASCs). When the pure
electrolyte is optimally modified with a redox additive viz., KI, ~105% increase
in the specific energy is obtained with good cyclic stability over 3,000 charge
discharge cycles and ~14.7% capacitance fade. This increase is a direct
consequence of the iodine/iodide redox pairs that strongly modifies the faradaic
and non-faradaic type reactions occurring on the surface of the electrodes.
Contrary to what is shown in few earlier reports, it is established that
indiscriminate increase in the concentration of redox additives will leads to
performance loss. Suitable explanations are given based on theoretical laws. The
specific energy or power values being reported in the fabricated ASCs are
comparable or higher than those reported in ASCs based on toxic acetonitrile or
expensive ionic liquids. The paper shows that the use of redox additive is
economically favorable strategy for obtaining cost effective and environmentally
friendly ASCs.
PMID- 27184261
TI - Does Exercise Reduce Aggressive Feelings? An Experiment Examining the Influence
of Movement Type and Social Task Conditions on Testiness and Anger Reduction.
AB - In the present study, it was assumed that a decrease in aggressive feelings is
stronger with movements that are unlike aggressive actions compared with those
that are similar to aggressive actions. Furthermore, cooperative exercise tasks
were expected to lead to lower aggressive feelings compared with competitive
tasks. After undergoing an induction of aggressive feelings, 60 participants were
randomly assigned to one of six experimental treatment groups, each differing in
"movement type" (rowing and combat exercise) and "social task condition"
(cooperation, competition, and individualization). A significant reduction of
aggressive feelings was only found for participants exercising individually in
the rowing condition compared with the individual combat exercise condition.
There were no sole effects of "movement type" and "social task condition."
PMID- 27184259
TI - Discovery, Development, and Adoption of Medications to Treat Alcohol Use
Disorder: Goals for the Phases of Medications Development.
AB - For more than 25 years, advances have been made in developing medications to
treat alcohol use disorder (AUD), highlighted by the U.S. Food and Drug
Administration's approval of naltrexone (oral and long-acting) and acamprosate.
Despite this progress, more work remains to be done in this area because these
medications, although effective for some people, do not work for everyone. A high
priority for the National Institute on Alcohol Abuse and Alcohol is to put into
place a solid infrastructure to aid in the development of medications that are
more effective than those currently available and with few side effects.
Medication development, especially for a disorder as complex as AUD, is
challenging and involves multiple phases, including discovery of "druggable"
targets, preclinical studies, human clinical trials, and the adoption and
implementation of the new medication into mainstream medicine. A successful
medications development program requires clearly established goals for each phase
to ensure that a candidate compound is not trapped in one particular phase, a
condition known as "the valley of death." In this article, the phases of
medication development are described as they apply to AUD, and specific goals of
each phase are identified for the next decade. In addition, several important
crosscutting themes are outlined for each phase, all of which are essential for
advancing medications development. These include identifying and validating
screening models and druggable targets, making use of precision medicine, and
establishing partnerships among key stakeholders. Our goal in writing this
article is to provide a guide on medications development that will aid the
alcohol research community in planning, testing, and developing medications for
AUD.
PMID- 27184262
TI - US physicians can and should ask patients about firearms, experts argue.
PMID- 27184263
TI - Synthesis and Antifungal Activity of Some 4,6-Dimethylisoxazolo[3,4- b]pyridin
3(1H)-one Derivatives.
AB - BACKGROUND: Cutaneous and invasive fungal infections are constant threats to
human health that substantiate the need for the development of new efficacious
and safe antimycotics. METHODS: A series of N1-alkyl, N1-acyl and N1-sulfonyl
derivatives of 4,6- dimethylisoxazolo[3,4-b]pyridin-3(1H)-one (1) were
synthesized. The antimicrobial activities of title compounds against 21
pathogenic yeast-like fungal clinical isolates and 5 reference strains were
evaluated by means of a broth microdilution method. RESULTS: Among the compounds
tested, the newly prepared N1-benzoyl (2m) and N1-(4-fluorobenzoyl) (2n)
derivatives of 1 showed 81% and 95% inhibitory efficacy, respectively, against
the clinical isolates, which were comparable to that of the reference drug
fluconazole. The strains that exhibited the highest susceptibility to the
compound 2n included Candida utilis (MIC < 6.2 MUg/mL), C. parapsilosis (MIC in
the range <6.2 - 12.5 MUg/mL), Geotrichum candidum (MIC = 12.5 MUg/mL) as well as
C. lusitaniae and Rhodotorula mucilaginosa (MIC = 25 MUg/mL). CONCLUSION: In
terms of MIC, compound 2n proved to be four times more active against the
clinical isolates of Candida albicans and C. albicans ATCC 10231 standard strain
than fluconazole, the widely prescribed antifungal agent for mucosal and systemic
yeast infections (MIC = 50 vs 200 MUg/mL).
PMID- 27184264
TI - cDNA Microarray Analysis Revealing Candidate Biomineralization Genes of the Pearl
Oyster, Pinctada fucata martensii.
AB - Biomineralization is a common biological phenomenon resulting in strong tissue,
such as bone, tooth, and shell. Pinctada fucata martensii is an ideal animal for
the study of biomineralization. Here, microarray technique was used to identify
biomineralization gene in mantle edge (ME), mantle center (MC), and both ME and
MC (ME-MC) for this pearl oyster. Results revealed that 804, 306, and 1127
contigs expressed at least three times higher in ME, MC, and ME-MC as those in
other tissues. Blast against non-redundant database showed that 130 contigs
(16.17 %), 53 contigs (17.32 %), and 248 contigs (22.01 %) hit reference genes (E
<= -10), among which 91 contigs, 48 contigs, and 168 contigs could be assigned to
32, 26, and 63 biomineralization genes in tissue of ME, MC, and ME-MC at a
threshold of 3 times upregulated expression level. The ratios of
biomineralization contigs to homologous contigs were similar at 3 times, 10
times, and 100 times of upregulated expression level in either ME, MC, or ME-MC.
Moreover, the ratio of biomineralization contigs was highest in MC. Although mRNA
distribution characters were similar to those in other studies for eight
biomineralization genes of PFMG3, Pif, nacrein, MSI7, mantle gene 6, Pfty1,
prismin, and the shematrin, most biomineralization genes presented different
expression profiles from existing reports. These results provided massive
fundamental information for further study of biomineralization gene function, and
it may be helpful for revealing gene nets of biomineralization and the molecular
mechanisms underlining formation of shell and pearl for the oyster.
PMID- 27184267
TI - Development of an ultra high performance liquid chromatography method for
determining triamcinolone acetonide in hydrogels using the design of
experiments/design space strategy in combination with process capability index.
AB - An ultra high performance liquid chromatography method was developed and
validated for the quantitation of triamcinolone acetonide in an injectable
ophthalmic hydrogel to determine the contribution of analytical method error in
the content uniformity measurement. During the development phase, the design of
experiments/design space strategy was used. For this, the free R-program was used
as a commercial software alternative, a fast efficient tool for data analysis.
The process capability index was used to find the permitted level of variation
for each factor and to define the design space. All these aspects were analyzed
and discussed under different experimental conditions by the Monte Carlo
simulation method. Second, a pre-study validation procedure was performed in
accordance with the International Conference on Harmonization guidelines. The
validated method was applied for the determination of uniformity of dosage units
and the reasons for variability (inhomogeneity and the analytical method error)
were analyzed based on the overall uncertainty.
PMID- 27184266
TI - Preoperative predictors for outcomes after total hip replacement in patients with
osteoarthritis: a systematic review.
AB - BACKGROUND: This systematic review examines which patient related factors
influence functional and clinical outcomes after total hip arthroplasty (THA) in
patients with hip osteoarthritis (OA). METHODS: We performed a systematic review
according to the PRISMA guidelines. We searched databases and trial registries
for prospective studies including OA patients who underwent primary THA. Studies
with preoperative measurements on predictors, with at least 1 year follow-up were
included. Risk of bias and confounding was assessed for two domains: follow-up
rate and looking at independent effects. RESULTS: Thirty-five studies were
included (138,039 patients). Only nine studies (29 %) had low risk of bias for
all domains thus suggesting an overall low quality of evidence. Studies were
heterogeneous in the predictors tested and in the observed directions of the
associations. Overall, preoperative function (13 studies (37 %), 2 with low risk
of bias) and radiological OA (6 studies (17 %), 1 with low risk of bias) were
predictors with the most consistent findings. Worse preoperative function and
more severe radiological OA were associated with larger postoperative
improvement. However, these patients never reached the level of postoperative
functioning as patients with better preoperative function or less severe
radiological OA. For age, gender, comorbidity, pain and quality of life the
results of studies were conflicting. For BMI, some studies (n = 5, 2 with low
risk of bias) found worse outcomes for patients with higher BMI. However,
substantial improvement was still achieved regardless of their BMI. CONCLUSION:
There is not enough evidence to draw succinct conclusions on preoperative
predictors for postoperative outcome in THA, as results of studies are
conflicting and the methodological quality is low. Results suggest to focus on
preoperative function and radiological osteoarthritis to decide when THA will be
most effective. The present mapping of current evidence on the relationship
between patient related factors and outcomes provides better information compared
to individual studies and may help to set patient expectations before surgery. In
addition, these findings may contribute to discussions on how to achieve the best
possible postoperative outcome for specific patient groups. TRIAL REGISTRATION:
This systematic review was registered in Prospero, registration number
RD42014009977 .
PMID- 27184265
TI - Ethical considerations of e-cigarette use for tobacco harm reduction.
AB - Due to their similarity to tobacco cigarettes, electronic cigarettes (e
cigarettes) could play an important role in tobacco harm reduction. However, the
public health community remains divided concerning the appropriateness of
endorsing a device whose safety and efficacy for smoking cessation remain
unclear. We identified the major ethical considerations surrounding the use of e
cigarettes for tobacco harm reduction, including product safety, efficacy for
smoking cessation and reduction, use among non-smokers, use among youth,
marketing and advertisement, use in public places, renormalization of a smoking
culture, and market ownership. Overall, the safety profile of e-cigarettes is
unlikely to warrant serious public health concerns, particularly given the known
adverse health effects associated with tobacco cigarettes. As a result, it is
unlikely that the population-level harms resulting from e-cigarette uptake among
non-smokers would overshadow the public health gains obtained from tobacco harm
reduction among current smokers. While the existence of a gateway effect for
youth remains uncertain, e-cigarette use in this population should be
discouraged. Similarly, marketing and advertisement should remain aligned with
the degree of known product risk and should be targeted to current smokers.
Overall, the available evidence supports the cautionary implementation of harm
reduction interventions aimed at promoting e-cigarettes as attractive and
competitive alternatives to cigarette smoking, while taking measures to protect
vulnerable groups and individuals.
PMID- 27184268
TI - Skin barrier modification with organic solvents.
AB - The primary barrier to body water loss and influx of exogenous substances resides
in the stratum corneum (SC). The barrier function of the SC is provided by
patterned lipid lamellae localized to the extracellular spaces between
corneocytes. SC lipids are intimately involved in maintaining the barrier
function. It is generally accepted that solvents induce cutaneous barrier
disruption. The main aim of this work is the evaluation of the different
capability of two solvent systems on inducing changes in the SC barrier function.
SC lipid modifications will be evaluated by lipid analysis, water
sorption/desorption experiments, confocal-Raman visualization and FSTEM images.
The amount of SC lipids extracted by chloroform/methanol was significantly higher
than those extracted by acetone. DSC results indicate that acetone extract has
lower temperature phase transitions than chloroform/methanol extract. The
evaluation of the kinetics of the moisture uptake and loss demonstrated that when
SC is treated with chloroform/methanol the resultant sample reach equilibrium in
shorter times indicating a deterioration of the SC tissue with higher
permeability. Instead, acetone treatment led to a SC sample with a decreased
permeability thus with an improved SC barrier function. Confocal-Raman and FSTEM
images demonstrated the absence of the lipids on SC previously treated with
chloroform/methanol. However, they were still present when the SC was treated
with acetone. Results obtained with all the different techniques used were
consistent. The results obtained increases the knowledge of the interaction lipid
solvent, being this useful for understanding the mechanism of reparation of
damaged skin.
PMID- 27184271
TI - The 2015 Dietary Guidelines Advisory Committee Scientific Report: Development and
Major Conclusions.
AB - The Dietary Guidelines for Americans (DGA) is published every 5 y jointly by the
Department of Health and Human Services (HHS) and the USDA and provides a
framework for US-based food and nutrition programs, health promotion and disease
prevention initiatives, and research priorities. Summarized in this report are
the methods, major conclusions, and recommendations of the Scientific Report of
the 2015 US Dietary Guidelines Advisory Committee (DGAC). Early in the process,
the DGAC developed a conceptual model and formulated questions to examine
nutritional risk and determinants and impact of dietary patterns in relation to
numerous health outcomes among individuals aged >=2 y. As detailed in the report,
an expansive, transparent, and comprehensive process was used to address each
question, with multiple opportunities for public input included. Consensus was
reached on all DGAC's findings, including each conclusion and recommendation, and
the entire report. When research questions were answered by original systematic
literature reviews and/or with existing, high-quality expert reports, the quality
and strength of the evidence was formally graded. The report was organized around
the following 5 themes: 1) food and nutrient intakes and health: current status
and trends; 2) dietary patterns, foods and nutrients, and health outcomes; 3)
diet and physical activity behavior change; 4) food and physical activity
environments; and 5) food sustainability and food safety. The following 3 cross
cutting topics were addressed: 1) sodium, 2) saturated fat, and 3) added sugars.
Physical activity recommendations from recent expert reports were endorsed. The
overall quality of the American diet was assessed to identify overconsumed and
underconsumed nutrients of public health concern. Common food characteristics of
healthy dietary patterns were determined. Features of effective interventions to
change individual and population diet and physical activity behaviors in
clinical, public health, and community settings were identified. The report was
used by the HHS and the USDA to develop the 2015 DGA.
PMID- 27184270
TI - Perspective: A Critical Look at the Ancillary Age-Related Eye Disease Study 2:
Nutrition and Cognitive Function Results in Older Individuals with Age-Related
Macular Degeneration.
AB - A large body of literature suggests that the dietary carotenoids lutein and
zeaxanthin and long-chain polyunsaturated fatty acids such as docosahexaenoic
acid are related to improved cognitive function across the life span. A recent
report by the Age-Related Eye Disease Study (AREDS) group appears to contradict
the general findings of others in the field. In this review, we look critically
at the methods, study designs, and analysis techniques used in the larger body of
literature and compare them with the recent AREDS reports.
PMID- 27184269
TI - Perspective: Randomized Controlled Trials Are Not a Panacea for Diet-Related
Research.
AB - Research into the role of diet in health faces a number of methodologic
challenges in the choice of study design, measurement methods, and analytic
options. Heavier reliance on randomized controlled trial (RCT) designs is
suggested as a way to solve these challenges. We present and discuss 7 inherent
and practical considerations with special relevance to RCTs designed to study
diet: 1) the need for narrow focus; 2) the choice of subjects and exposures; 3)
blinding of the intervention; 4) perceived asymmetry of treatment in relation to
need; 5) temporal relations between dietary exposures and putative outcomes; 6)
strict adherence to the intervention protocol, despite potential clinical counter
indications; and 7) the need to maintain methodologic rigor, including measuring
diet carefully and frequently. Alternatives, including observational studies and
adaptive intervention designs, are presented and discussed. Given high noise-to
signal ratios interjected by using inaccurate assessment methods in studies with
weak or inappropriate study designs (including RCTs), it is conceivable and
indeed likely that effects of diet are underestimated. No matter which designs
are used, studies will require continued improvement in the assessment of dietary
intake. As technology continues to improve, there is potential for enhanced
accuracy and reduced user burden of dietary assessments that are applicable to a
wide variety of study designs, including RCTs.
PMID- 27184272
TI - Foods, Nutrients, and Dietary Patterns: Interconnections and Implications for
Dietary Guidelines.
AB - Dietary guidelines provide evidence-based statements on food choices to meet
nutritional requirements and reduce the risk of prevailing chronic disease. They
involve a substantial amount of research translation, and their implementation
has important health consequences. Foods, however, are complex combinations of
nutrients and other compounds that act synergistically within the food and across
food combinations. In addition, the evidence base underpinning dietary guidelines
accesses research that reflects different study designs, with inherent strengths
and limitations. We propose a systematic approach for the review of evidence that
begins with research on dietary patterns. This research will identify the
combinations of foods that best protect, or appear deleterious to, health. Next,
we suggest that evidence be sought from research that focuses on the effects of
individual foods. Finally, nutrient-based research should be considered to
explain the mechanisms by which these foods and dietary patterns exert their
effects, take into account the effects of ingredients added to the food supply,
and enable assessments of dietary sufficiency. The consideration of individual
nutrients and food components (e.g., upper limits for saturated fat, added sugar,
and sodium) provides important benchmarks for evaluating overall diet quality.
The concepts of core and discretionary foods (nutrient-rich and nutrient-poor
foods, respectively) enable distinctions between foods, and this has implications
for the relation between food policy and food manufacturing. In summary, evidence
supporting healthy dietary patterns provides the foundation for the development
of dietary guidelines. Further reference to individual foods and nutrients
follows from the foundation of healthy dietary patterns.
PMID- 27184273
TI - Energy Contribution and Nutrient Composition of Breakfast and Their Relations to
Overweight in Free-living Individuals: A Systematic Review.
AB - Previous systematic reviews on the relation between overweight or obesity and
breakfast focused on the frequency of consumption and only partially accounted
for breakfast nutritional profiles. Given the central role of these factors, we
conducted a systematic review of the literature on this putative relation, with a
specific focus on breakfast energy intake and/or breakfast composition. Among the
814 articles identified from the literature search in PubMed, 19, mostly cross
sectional, studies met the inclusion criteria (i.e., studies providing a
quantitative estimate of the relation between any measure of weight, overweight,
and obesity and breakfast energy intake or breakfast macronutrient composition).
We excluded studies in subjects with acquired metabolic disorders, such as
diabetes or impaired glucose tolerance. Of the 16 studies that evaluated the
amount of energy intake at breakfast, 4 found that a lower energy intake at
breakfast was significantly associated with obesity in children, adolescents, and
adults, whereas 2 partially overlapping studies found that a higher energy intake
was significantly associated with a higher body mass index in children. Of the 8
studies investigating breakfast composition, 3 suggested that a breakfast
characterized by a higher amount of carbohydrates and a lower amount of fat is
significantly related to normal weight in adults, whereas the others reported
mixed results. In conclusion, there is some evidence that a lower energy intake
at breakfast is related to obesity, although the studies are few and
heterogeneous. Studies on the nutrient composition of breakfast have shown
inconsistent results.
PMID- 27184274
TI - What Is a Snack, Why Do We Snack, and How Can We Choose Better Snacks? A Review
of the Definitions of Snacking, Motivations to Snack, Contributions to Dietary
Intake, and Recommendations for Improvement.
AB - Around the world, adults consume energy outside of traditional meals such as
breakfast, lunch, and dinner. However, because there is no consistent definition
of a "snack," it is unclear whether those extra eating occasions represent
additional meals or snacks. The manner in which an eating occasion is labeled
(e.g., as a meal or a snack) may influence other food choices an individual makes
on the same day and satiety after consumption. Therefore, a clear distinction
between "meals" and "snacks" is important. This review aims to assess the
definition of extra eating occasions, to understand why eating is initiated at
these occasions, and to determine what food choices are common at these eating
occasions in order to identify areas for dietary intervention and improvement.
Part I of this review discusses how snacking is defined and the social,
environmental, and individual influences on the desire to snack and choice of
snack. The section concludes with a brief discussion of the associations of
snacking with cardiometabolic health markers, especially lipid profiles and
weight. Part II addresses popular snack choices, overall snacking frequencies,
and the demographic characteristics of frequent snackers in several different
countries. This review concludes with a recommendation for nutrition policymakers
to encourage specific health-promoting snacks that address nutrient
insufficiencies and excesses.
PMID- 27184275
TI - Is Obesity Associated with Altered Energy Expenditure?
AB - Historically, obese individuals were believed to have lower energy expenditure
(EE) rates than nonobese individuals (normal and overweight), which, in the long
term, would contribute to a positive energy balance and subsequent weight gain.
The aim of this review was to critically appraise studies that compared measures
of EE and its components, resting EE (REE), activity EE (AEE), and diet-induced
thermogenesis (DIT), in obese and nonobese adults to elucidate whether obesity is
associated with altered EE. Contrary to popular belief, research has shown that
obese individuals have higher absolute REE and total EE. When body composition
(namely the metabolically active component, fat-free mass) is taken into account,
these differences between obese and nonobese individuals disappear, suggesting
that EE in obese individuals is not altered. However, an important question is
whether AEE is lower in obese individuals because of a decrease in overall
physical activity or because of less energy expended while performing physical
activity. AEE and DIT could be reduced in obese individuals, mostly because of
unhealthy behavior (low physical activity, higher intake of fat). However, the
current evidence does not support the hypothesis that obesity is sustained by
lower daily EE or REE. Future studies, comparing EE between obese and nonobese
and assessing potential physiologic abnormalities in obese individuals, should be
able to better answer the question of whether these individuals have altered
energy metabolism.
PMID- 27184276
TI - Effect of Flavonoids on Upper Respiratory Tract Infections and Immune Function: A
Systematic Review and Meta-Analysis.
AB - Previous research on animals indicates flavonoid compounds have immunomodulatory
properties; however, human research remains inconclusive. The aim of this
systematic review was to assess the efficacy of dietary flavonoids on upper
respiratory tract infections (URTIs) and immune function in healthy adults. A
created search strategy was run against Cochrane Central Register of Controlled
Trials, MEDLINE, EMBASE and EMBASE classic, CINAHL, and AMED. The returned
studies were initially screened, and 2 reviewers independently assessed the
remaining studies for eligibility against prespecified criteria. Fourteen
studies, of 387 initially identified, were included in this review, and the
primary outcome measure was the effect of flavonoids on URTI incidence, duration,
and severity. Of the included studies, flavonoid supplementation ranged from 0.2
to 1.2 g/d. Overall, flavonoid supplementation decreased URTI incidence by 33%
(95% CI: 31%, 36%) compared with control, with no apparent adverse effects. Sick
day count was decreased by 40% with flavonoid supplementation, although unclear.
Differences in bio-immune markers (e.g., interleukin-6, tumor necrosis factor
alpha, interferon-gamma, neutrophils) were trivial between the intervention and
control groups during the intervention and after exercise when a postintervention
exercise bout was included. These findings suggest that flavonoids are a viable
supplement to decrease URTI incidence in an otherwise healthy population.
PMID- 27184277
TI - Cranberries and Urinary Tract Infections: How Can the Same Evidence Lead to
Conflicting Advice?
AB - Cranberry has been used traditionally to prevent urinary tract infections (UTIs),
primarily among generally healthy women prone to recurrent UTIs. Results from a
number of published clinical studies have supported this benefit; however, meta
analyses on cranberry and UTI prevention have reported conflicting conclusions.
This article explores the methodological differences that contributed to these
disparate findings. Despite similar research questions, the meta-analyses varied
in the studies that were included, as well as the data that were extracted. In
the 2 most comprehensive systematic reviews, heterogeneity was handled
differently, leading to an I(2) of 65% in one and 43% in the other. Most notably,
the populations influencing the conclusions varied. In one analysis, populations
with pathological/physiological conditions contributed 75.6% of the total weight
to the summary risk estimate (RR: 0.86; 95% CI: 0.71, 1.04); another weighted the
evidence relatively equally across UTI populations (RR: 0.62; 95% CI: 0.49,
0.80); and a third included only women with recurrent UTIs (RR: 0.53; 95% CI:
0.33, 0.83). Because women with recurrent UTIs are the group to whom most
recommendations regarding cranberry consumption is directed, inclusion of other
groups in the efficacy assessment could influence clinical practice quality.
Therefore, conclusions on cranberry and UTIs should consider differences in
results across various populations studied when interpreting results from meta
analyses.
PMID- 27184278
TI - Risk Factors for Malnutrition in Older Adults: A Systematic Review of the
Literature Based on Longitudinal Data.
AB - The present systematic review critically examines the available scientific
literature on risk factors for malnutrition in the older population (aged >=65
y). A systematic search was conducted in MEDLINE, reviewing reference lists from
2000 until March 2015. The 2499 papers identified were subjected to inclusion
criteria that evaluated the study quality according to items from validated
guidelines. Only papers that provided information on a variable's effect on the
development of malnutrition, which requires longitudinal data, were included. A
total of 6 longitudinal studies met the inclusion criteria and were included in
the systematic review. These studies reported the following significant risk
factors for malnutrition: age (OR: 1.038; P = 0.045), frailty in
institutionalized persons (beta: 0.22; P = 0.036), excessive polypharmacy (beta:
0.62; P = 0.001), general health decline including physical function (OR: 1.793;
P = 0.008), Parkinson disease (OR: 2.450; P = 0.047), constipation (OR: 2.490; P
= 0.015), poor (OR: 3.30; P value not given) or moderate (beta: -0.27; P = 0.016)
self-reported health status, cognitive decline (OR: 1.844; P = 0.001), dementia
(OR: 2.139; P = 0.001), eating dependencies (OR: 2.257; P = 0.001), loss of
interest in life (beta: -0.58; P = 0.017), poor appetite (beta: -1.52; P =
0.000), basal oral dysphagia (OR: 2.72; P = 0.010), signs of impaired efficacy of
swallowing (OR: 2.73; P = 0.015), and institutionalization (beta: -1.89; P <
0.001). These risk factors for malnutrition in older adults may be considered by
health care professionals when developing new integrated assessment instruments
to identify older adults' risk of malnutrition and to support the development of
preventive and treatment strategies.
PMID- 27184279
TI - The Pediatric Methionine Requirement Should Incorporate Remethylation Potential
and Transmethylation Demands.
AB - The metabolic demand for methionine is great in neonates. Indeed, methionine is
the only indispensable sulfur amino acid and is required not only for protein
synthesis and growth but is also partitioned to a greater extent to
transsulfuration for cysteine and taurine synthesis and to >50 transmethylation
reactions that serve to methylate DNA and synthesize metabolites, including
creatine and phosphatidylcholine. Therefore, the pediatric methionine requirement
must accommodate the demands of rapid protein turnover as well as vast nonprotein
demands. Because cysteine spares the methionine requirement, it is likely that
the dietary provision of transmethylation products can also feasibly spare
methionine. However, understanding the requirement of methionine is further
complicated because demethylated methionine can be remethylated by the dietary
methyl donors folate and betaine (derived from choline). Intakes of dietary
methyl donors are highly variable, which is of particular concern for newborns.
It has been demonstrated that many populations have enhanced requirements for
these nutrients, and nutrient fortification may exacerbate this phenomenon by
selecting phenotypes that increase methyl requirements. Moreover, higher
transmethylation rates can limit methyl supply and affect other transmethylation
reactions as well as protein synthesis. Therefore, careful investigations are
needed to determine how remethylation and transmethylation contribute to the
methionine requirement. The purpose of this review is to support our hypothesis
that dietary methyl donors and consumers can drive methionine availability for
protein synthesis and transmethylation reactions. We argue that nutritional
strategies in neonates need to ensure that methionine is available to meet
requirements for growth as well as for transmethylation products.
PMID- 27184280
TI - Is There a Role for the Enteral Administration of Serum-Derived Immunoglobulins
in Human Gastrointestinal Disease and Pediatric Critical Care Nutrition?
AB - Twenty years ago, there was profound, international interest in developing oral
human, bovine, or chicken egg-derived immunoglobulin (Ig) for the prevention and
nutritional treatment of childhood malnutrition and gastrointestinal disease,
including acute diarrhea and necrotizing enterocolitis. Although such Ig products
were shown to be effective, with both nutritional and antidiarrheal benefits,
interest waned because of their cost and because of the perceived risk of bovine
serum encephalitis (BSE). BSE is no longer considered a barrier to use of oral
Ig, because the WHO has declared the United States to be BSE-free since the early
2000s. Low-cost bovine-derived products with high Ig content have been developed
and are regulated as medical foods. These new products, called serum bovine Igs
(SBIs), facilitate the management of chronic or severe gastrointestinal
disturbances in both children and adults and are regulated by the US Food and
Drug Administration. Well-established applications for use of SBIs include human
immunodeficiency virus (HIV)-associated enteropathy and diarrhea-predominant
irritable bowel syndrome. However, SBIs and other similar products could
potentially become important components of the treatment regimen for other
conditions, such as inflammatory bowel disease, by aiding in disease control
without immunosuppressive side effects. In addition, SBIs may be helpful in
conditions associated with the depletion of circulating and luminal Igs and could
potentially play an important role in critical care nutrition. The rationale for
their use is to facilitate intraluminal microbial antibody coating, an essential
process in immune recognition in the gut which is disturbed in these conditions,
thereby leading to intestinal inflammation. Thus, oral Ig may emerge as an
important "add-on" therapy for a variety of gastrointestinal and nutritional
problems during the next decade.
PMID- 27184282
TI - Niacin.
PMID- 27184283
TI - Plant and Animal Protein Intakes Are Differentially Associated with Large
Clusters of Nutrient Intake that May Explain Part of Their Complex Relation with
CVD Risk.
PMID- 27184281
TI - Nutrition in Cardioskeletal Health.
AB - Bone and heart health are linked through a variety of cellular, endocrine, and
metabolic mechanisms, including the bidirectional effects of mineral-regulating
hormones parathyroid hormone and fibroblast growth factor 23. Nutrition plays an
important role in the development of both cardiovascular and bone disease. This
review describes current knowledge on the relations between the cardiovascular
system and bone and the influence of key nutrients involved in mineral metabolism
calcium, vitamin D, and phosphorus-on heart and bone health, as well as the
racial/ethnic differences in cardiovascular disease and osteoporosis and the
influence that nutrition has on these disparities.
PMID- 27184285
TI - Evaluating the Intervention-Based Evidence Surrounding the Causal Role of
Breakfast on Markers of Weight Management, with Specific Focus on Breakfast
Composition and Size.
AB - Nutritional strategies are vitally needed to aid in the management of obesity.
Cross-sectional and epidemiologic studies consistently demonstrate that breakfast
consumption is strongly associated with a healthy body weight. However, the
intervention-based long-term evidence supporting a causal role of breakfast
consumption is quite limited and appears to be influenced by several key dietary
factors, such as dietary protein, fiber, and energy content. This article
provides a comprehensive review of the intervention-based literature that
examines the effects of breakfast consumption on markers of weight management and
daily food intake. In addition, specific focus on the composition and size (i.e.,
energy content) of the breakfast meal is included. Overall, there is limited
evidence supporting (or refuting) the daily consumption of breakfast for body
weight management and daily food intake. In terms of whether the type of
breakfast influences these outcomes, there is accumulating evidence supporting
the consumption of increased dietary protein and fiber content at breakfast, as
well as the consumption of more energy during the morning hours. However, the
majority of the studies that manipulated breakfast composition and content did
not control for habitual breakfast behaviors, nor did these studies include a
breakfast-skipping control arm. Thus, it is unclear whether the addition of these
types of breakfast plays a causal role in weight management. Future research,
including large randomized controlled trials of longer-term (i.e., >=6 mo)
duration with a focus on key dietary factors, is critical to begin to assess
whether breakfast recommendations are appropriate for the prevention and/or
treatment of obesity.
PMID- 27184286
TI - The Effects of Breakfast and Breakfast Composition on Cognition in Adults.
AB - Extensive literature has addressed the acute cognitive effects of breaking a
fast. Recent reviews in this line of work have synthesized available research on
the cognitive consequences of fasting compared with nutrient intake and the
cognitive effects of macronutrient consumption. These largely have been
inconclusive, possibly in part because of selection criteria limiting the scope
of studies covered. The purpose of the current review is to integrate the results
of the literature examining the cognitive effects of breakfast and breakfast
composition in adults with the use of a flexible definition of breakfast,
specifically, any caloric intake after a fasting period of >=8 h. This review
includes 38 studies that examine the acute cognitive impact of breakfast and 16
studies that examine the effects of breakfast composition. Results suggest that
healthy adults show a small but robust advantage for memory (particularly delayed
recall) from consuming breakfast. Largely equivocal results emerge for attention
and motor and executive function; there were no effects from breakfast on
language. Regarding breakfast composition, a smaller number of studies and widely
disparate methodology addressing this question preclude definitive conclusions
about the effects of cognition. A subset of this literature examines these
questions in the context of glucoregulation; the findings emphasize the
importance of considering differences in glucoregulation in research designs,
even among healthy cohorts. The limitations of this literature include
methodologic differences, such as the use of different tests to measure cognitive
constructs, as well as the effects of timing in test administration.
PMID- 27184287
TI - The Effects of Breakfast and Breakfast Composition on Cognition in Children and
Adolescents: A Systematic Review.
AB - Breakfast is thought to be beneficial for cognitive and academic performance in
school children. However, breakfast is the most frequently skipped meal,
especially among adolescents. The aim of the current article was to
systematically review the evidence from intervention studies for the effects of
breakfast on cognitive performance in children and adolescents. The effects of
breakfast were evaluated by cognitive domain and breakfast manipulation. A total
of 45 studies reported in 43 articles were included in the review. Most studies
considered the acute effect of a single breakfast (n = 34). The acute studies
looked at breakfast compared with no breakfast (n = 24) and/or comparisons of
breakfast type (n = 15). The effects of chronic school breakfast program
interventions were evaluated in 11 studies. The findings suggest that breakfast
consumption relative to fasting has a short-term (same morning) positive domain
specific effect on cognition. Tasks requiring attention, executive function, and
memory were facilitated more reliably by breakfast consumption relative to
fasting, with effects more apparent in undernourished children. Firm conclusions
cannot be made about the acute effects of breakfast composition and the effects
of chronic breakfast interventions because there are too few studies and these
largely report inconsistent findings. This review also highlights methodologic
limitations of the existing research. These include a lack of research on
adolescents, few naturalistic breakfast manipulations or testing environments,
small samples, and insensitive cognitive tests.
PMID- 27184289
TI - Atypical temperature-dependence of symmetry transformation observed in a uranyl
phosphonate.
AB - The example of phase transformation from a centrosymmetric space group at low
temperature (LT) to a chiral space group at high temperature (HT) is reported,
which was clearly resolved in a single-crystal-to-single-crystal manner in a 3D
uranyl(vi) phosphonate compound [TMA][(UO2)2(1,3-pbpH)(1,3-pbpH2)] () (TMA(+) =
tetramethylammonium cation; 1,3-pbpH4 = 1,3-phenylenebis(phosphonic acid)).
PMID- 27184288
TI - The Effects of Breakfast Consumption and Composition on Metabolic Wellness with a
Focus on Carbohydrate Metabolism.
AB - Findings from epidemiologic studies indicate that there are associations between
breakfast consumption and a lower risk of type 2 diabetes mellitus (T2DM) and
metabolic syndrome, prompting interest in the influence of breakfast on
carbohydrate metabolism and indicators of T2DM risk. The objective of this review
was to summarize the available evidence from randomized controlled trials
assessing the impact of breakfast on variables related to carbohydrate metabolism
and metabolic wellness. Consuming compared with skipping breakfast appeared to
improve glucose and insulin responses throughout the day. Breakfast composition
may also be important. Dietary patterns high in rapidly available carbohydrate
were associated with elevated T2DM risk. Therefore, partial replacement of
rapidly available carbohydrate with other dietary components, such as whole
grains and cereal fibers, proteins, and unsaturated fatty acids (UFAs), at
breakfast may be a useful strategy for producing favorable metabolic outcomes.
Consumption of fermentable and viscous dietary fibers at breakfast lowers
glycemia and insulinemia. Fermentable fibers likely act through enhancing insulin
sensitivity later in the day, and viscous fibers have an acute effect to slow the
rate of carbohydrate absorption. Partially substituting protein for rapidly
available carbohydrate enhances satiety and diet-induced thermogenesis, and also
favorably affects lipoprotein lipids and blood pressure. Partially substituting
UFA for carbohydrate has been associated with improved insulin sensitivity,
lipoprotein lipids, and blood pressure. Overall, the available evidence suggests
that consuming breakfast foods high in whole grains and cereal fiber, while
limiting rapidly available carbohydrate, is a promising strategy for metabolic
health promotion.
PMID- 27184290
TI - Rescue baroreflex activation therapy after Stanford B aortic dissection due to
therapy-refractory hypertension.
AB - Clinical trials have demonstrated significant and durable reduction in arterial
pressure from baroreflex activation therapy (BAT) in patients with resistant
arterial hypertension. There is a lack of data, however, concerning the use of
BAT in a rescue approach during therapy-refractory hypertensive crisis resulting
in life-threatening end-organ damage. Here, we describe the first case in which
BAT was applied as a rescue procedure in an intensive care setting after
ineffective maximum medical treatment. A 34-year-old male patient presented with
Stanford B aortic dissection and hypertensive crisis. The dissection membrane
extended from the left subclavian artery down to the right common iliac artery,
resulting in a total arterial occlusion of the right leg. After emergency
thoracic endovascular aortic repair and femorofemoral crossover bypass, the
patient developed a compartment syndrome of the right lower limb, ultimately
leading to amputation of the right leg above the knee. Even under deep sedation
recurrent hypertensive crises of up to 220 mm Hg occurred that could not be
controlled by eight antihypertensive drugs of different classes. Screening for
secondary hypertension was negative. Eventually, rescue implantation of right
sided BAT was performed as a bailout procedure, followed by immediate activation
of the device. After a hospital stay of a total of 8 weeks, the patient was
discharged 2 weeks after BAT initiation with satisfactory blood pressure levels.
After 1-year follow-up, the patient has not had a hypertensive crisis since the
onset of BAT and is currently on fourfold oral antihypertensive therapy. The
previously described bailout procedures for the treatment of life-threatening
hypertensive conditions that are refractory to drug treatment have mainly
comprised the interventional denervation of renal arteries. The utilization of
BAT is new in this emergency context and showed a significant, immediate, and
sustained reduction of blood pressure levels after activation. To our knowledge,
we report the first case of an immediate activation of a barostim while the
device is usually not activated before 2 to 4 weeks after implantation to allow
time for the surgical site to heal. During the follow-up period, the healing
process was not impaired, and a significant, immediate, and sustained reduction
of blood pressure levels after activation could be observed. This treatment
option offers maximum adherence to antihypertensive therapy to avoid future
cardiovascular end-organ damage and possibly reduce antihypertensive medication
and undesirable side effects.
PMID- 27184292
TI - Frequency of RHD variants in Brazilian blood donors from Parana State, Southern
Brazil.
AB - The Rh blood group system is one of the most complex, polymorphic and immunogenic
blood group systems in humans. Some individuals produce a weak or a partial D as
a result of RHD and RHCE gene conversion events and RHD point mutations. Because
the incidence of RHD variants differs considerably among ethnic groups, the
objective of this study was to establish the frequency of blood donors carrying
some weak and partial RHD, at the molecular level, in 400 blood donors from the
North/Northwest of the state of Parana, Southern Brazil. Another 30 blood donors
whose RhD typing results in serology were inconclusive were also included. In
this mixed Brazilian population, the most frequent weak D types were 1, 4, 3 and
2 (frequencies of 4.35%, 2.32%, 1.46% and 0.29%, respectively; total of 8.41%)
and partial D was found in 2.90% of samples carrying the RHD gene. For samples
with inconclusive RhD typing, 53.33% of them presented weak and partial RHD, and
43.75% had concomitantly more than one RHD variant. Our results demonstrate the
presence of Caucasian and African D variants. This knowledge can contribute to
the safety of transfusion strategies in this ethnic admixture population.
PMID- 27184291
TI - Spatial organization of heterologous metabolic system in vivo based on TALE.
AB - For years, prokaryotic hosts have been widely applied in bio-engineering.
However, the confined in vivo enzyme clustering of heterologous metabolic
pathways in these organisms often results in low local concentrations of enzymes
and substrates, leading to a low productive efficacy. We developed a new method
to accelerate a heterologous metabolic system by integrating a transcription
activator-like effector (TALE)-based scaffold system into an Escherichia coli
chassis. The binding abilities of the TALEs to the artificial DNA scaffold were
measured through ChIP-PCR. The effect of the system was determined through a
split GFP study and validated through the heterologous production of indole-3
acetic acid (IAA) by incorporating TALE-fused IAA biosynthetic enzymes in E.
coli. To the best of our knowledge, we are the first to use the TALE system as a
scaffold for the spatial organization of bacterial metabolism. This technique
might be used to establish multi-enzymatic reaction programs in a prokaryotic
chassis for various applications.
PMID- 27184293
TI - Does microbial contamination influence the success of the hematopoietic cell
transplantation outcomes?
AB - INTRODUCTION: Microbial contamination can be a marker for faulty process and is
assumed to play an important role in the collection of hematopoietic progenitor
cell (HPC) and infusion procedure. We aimed to determine the microbial
contamination rates and evaluate the success of hematopoietic cell
transplantation (HCT) in patients who received contaminated products. PATIENTS
METHODS: We analyzed microbial contamination records of HPC grafts between 2012
and 2015, retrospectively. Contamination rates of autologous donors were
evaluated for at three steps: at the end of mobilization, following processing
with dimethyl sulfoxide, and just before stem cell infusion. Grafts of allogeneic
donors were assessed only before HCT. RESULT: A total of 445 mobilization
procedures were carried out on 333 (167 autologous and 166 allogeneic) donors.
The microbiological contamination of peripheral blood (323/333 donations) and
bone marrow (10/333 donations) products were analyzed. Bacterial contamination
was detected in 18 of 1552 (1.15 %) culture bottles of 333 donors. During the
study period 248 patients underwent HCT and among these patients microbial
contamination rate on sample basis was 1.3 % (16/1212). Microbial contamination
detected in nine patients (7 autologous; 2 allogeneic). In 8 of 9 patients, a
febrile neutropenic attack was observed. The median day for the neutropenic fever
was 4 days (0-9). None of the patients died within the post-transplant 30 days
who received contaminated products. CONCLUSION: The use of contaminated products
with antibiotic prophylaxis may be safe in terms of the first day of fever,
duration of fever, neutrophil, platelet engraftment and duration of
hospitalization.
PMID- 27184296
TI - The effect of dietary Chlorella vulgaris supplementation on micro-organism
community, enzyme activities and fatty acid profile in the rumen liquid of goats.
AB - Microalgae might be considered as an alternative source of fat and/or protein for
ruminant's diets. However, changes in populations of ruminal micro-organisms
associated with biohydrogenation process, methane and ammonia production in
response to microalgae dietary supplementation have not been well characterized.
Thus, 16 cross-bred goats were divided into two groups. Each goat of both groups
was fed individually with alfalfa hay and concentrates separately. The
concentrates of the control group had no microalgae while those of the treated
group were supplemented with 10 g lyophilized Chlorella vulgaris/kg concentrate
(chlor). On the 30th experimental day, samples of rumen fluid were collected for
microbial DNA extraction, fatty acid profile and enzyme activity analyses. The
results showed that the chlor diet compared with the control increased
significantly the populations of Methanosphaera stadtmanae, Methanobrevibacter
ruminantium and Methanogens bacteria and protozoa in the rumen of goats. A
significant reduction in the cellulase activity and in the abundance of
Ruminococcus albus, and a significant increase in the protease activity and in
the abundance of Clostridium sticklandii in the rumen liquid of goats fed with
the chlor diet, compared with the control, were found. Chlorella vulgaris
supplementation promoted the formation of trans C18:1 , trans-11 C18:1 and
monounsaturated fatty acids (MUFA), while the proportions of C18:0 and long-chain
fatty acids (LCFA) reduced significantly in the rumen liquid of goats. This shift
in ruminal biohydrogenation pathway was accompanied by a significant increase in
Butyrivibrio fibrisolvens trans C18:1 -producing bacteria. In conclusion, the
supplementation of diets with microalgae needs further investigation because it
enhances the populations of methane-producing bacteria and protozoa.
PMID- 27184295
TI - Single administration of soluble epoxide hydrolase inhibitor suppresses
neuroinflammation and improves neuronal damage after cardiac arrest in mice.
AB - Cardiac arrest (CA) causes ischemia-reperfusion injury in the whole body among
victims. Especially in the brain, inflammation and neuronal cell death can lead
to irreversible dysfunction. Our goal was to determine whether a single
administration of soluble epoxide hydrolase inhibitor (AS2586144-CL) has a
neuroprotective effect and decreases the inflammatory response after CA and
cardiopulmonary resuscitation (CPR). Global cerebral ischemia was induced in male
C57BL/6 mice with 8min of CA. Thirty minutes after recovery of spontaneous
circulation, the mice were randomly assigned to three groups and administered
AS2586144-CL: 1mg/kg (n=25), 10mg/kg (n=25), or 0mg/kg (vehicle, n=25). At 6 and
7 days after CA/CPR, behavioral tests were conducted and brains were removed for
histological evaluation. Analysis of histological damage 7 days after CA/CPR
revealed that 10mg/kg of AS2586144-CL protected neurons, and suppressed cytokine
production and microglial migration into the hippocampus. Two hours after CA/CPR,
10mg/kg of AS2586144-CL suppressed serum tumor necrosis factor-alpha and
hippocampal nuclear factor kappaB expression. Our data show that 10mg/kg of
AS2586144-CL administered following CA/CPR suppresses inflammation and decreases
neuronal damage.
PMID- 27184294
TI - A novel "complement-metabolism-inflammasome axis" as a key regulator of immune
cell effector function.
AB - The inflammasomes are intracellular multiprotein complexes that induce and
regulate the generation of the key pro-inflammatory cytokines IL-1beta and IL-18
in response to infectious microbes and cellular stress. The activation of
inflammasomes involves several upstream signals including classic pattern or
danger recognition systems such as the TLRs. Recently, however, the activation of
complement receptors, such as the anaphylatoxin C3a and C5a receptors and the
complement regulator CD46, in conjunction with the sensing of cell metabolic
changes, for instance increased amino acid influx and glycolysis (via mTORC1),
have emerged as additional critical activators of the inflammasome. This review
summarizes recent advances in our knowledge about complement-mediated
inflammasome activation, with a specific focus on a novel "complement -
metabolism - NLRP3 inflammasome axis."
PMID- 27184297
TI - The efficacy of attention bias modification therapy in cocaine use disorders.
AB - BACKGROUND: Attentional bias (i.e., differences in reaction time between drug and
neutral cues) has been associated with a variety of drug-use behaviors (e.g.,
craving, abstinence). Reduction of bias may ultimately reduce use. OBJECTIVE: The
current study examined whether attentional bias modification therapy (ABMT)
reduced the frequency of drug use behaviors in individuals with cocaine use
disorder (CUD). METHOD: Participants (n = 37) were randomly assigned to ABMT or
control therapy, which systematically varied how frequently probes replaced
neutral (ABMT = 100%; control therapy = 50%) relative to drug stimuli. Each
intervention included 5 training sessions comprising a total of 2640 trials over
4 weeks. Clinical assessments occurred at baseline, post-intervention, 2 weeks
and 3 months posttreatment. RESULTS: There were no baseline differences between
groups on drug-use behaviors or other clinical measures. Contrary to predictions,
both groups exhibited slower rather than faster reaction times for cocaine
stimuli (p = 0.005) at baseline, with no relationship between bias and baseline
measures of drug-use behavior. CONCLUSIONS: ABMT was not more effective than our
control therapy at reducing attentional bias, reducing craving or changing other
drug use behaviors. Current results suggest additional replication studies are
needed to assess ABMT's efficacy in reducing drug-use behaviors in CUD.
PMID- 27184298
TI - In vitro flow cytometry-based screening platform for cellulase engineering.
AB - Ultrahigh throughput screening (uHTS) plays an essential role in directed
evolution for tailoring biocatalysts for industrial applications. Flow cytometry
based uHTS provides an efficient coverage of the generated protein sequence space
by analysis of up to 10(7) events per hour. Cell-free enzyme production overcomes
the challenge of diversity loss during the transformation of mutant libraries
into expression hosts, enables directed evolution of toxic enzymes, and holds the
promise to efficiently design enzymes of human or animal origin. The developed
uHTS cell-free compartmentalization platform (InVitroFlow) is the first report in
which a flow cytometry-based screened system has been combined with
compartmentalized cell-free expression for directed cellulase enzyme evolution.
InVitroFlow was validated by screening of a random cellulase mutant library
employing a novel screening system (based on the substrate fluorescein-di-beta-D
cellobioside), and yielded significantly improved cellulase variants (e.g. CelA2
H288F-M1 (N273D/H288F/N468S) with 13.3-fold increased specific activity (220.60
U/mg) compared to CelA2 wildtype: 16.57 U/mg).
PMID- 27184300
TI - A Simple Score Model to Assess Prediabetes Risk Status Based on the Medical
Examination Data.
AB - OBJECTIVES: We aimed to build a risk score model to screen out the patients at
high-risk status so as to prevent or delay the conversion of prediabetes to
diabetes. METHODS: The population were divided into 2 groups: 1 was an
exploratory population, and the other was a validation population. All the data
were extracted from the electronic medical examination datasets in the School
Hospital of Harbin Institute of Technology, Harbin, China. A binary logistic
regression model was used to screen out the risk factors, and the associated risk
factors were categorized into 3 levels to create the prediabetes score model. We
divided the total score into 4 risk categories: low, middle, high and extremely
high risk. We also tested the performance of our prediabetes risk score model.
RESULTS: Age, body mass indexes, histories of hypertension, family histories of
diabetes, diastolic blood pressure levels and triglyceride levels were screened
out as independent risk factors in order to build the risk score model. The area
under the curve (AUC) of the prediabetes risk score model was 0.748 (95% CI,
0.720 to 0.777), and the AUC for the validation population reached 0.713 (95% CI,
0.686 to 0.740). Low, middle, high and extremely high risk statuses for
prediabetes were associated with a total score of 0 to 3, 4 to 6, 7 to 10 and 11
to 12. CONCLUSIONS: Our prediabetes score model can be used easily and understood
by doctors and other related users to assess prediabetes risk status. The
intervention program, designed based on our prediabetes score model, is likely to
prevent or delay the conversion of prediabetes to diabetes.
PMID- 27184299
TI - The Risk for New-Onset Diabetes Mellitus after Kidney Transplantation in Patients
with Autosomal Dominant Polycystic Kidney Disease: A Systematic Review and Meta
Analysis.
AB - OBJECTIVES: New-onset diabetes after kidney transplantation (NODAT) is associated
with both renal allograft failure and increased rates of mortality. The objective
of this meta-analysis was to evaluate the risk for NODAT in patients with
autosomal dominant polycystic kidney disease (ADPKD). METHODS: A literature
search was performed using MEDLINE, EMBASE and Cochrane Database of Systematic
Reviews from inception through July 2015. Studies that reported relative risks,
odd ratios or hazard ratios comparing the risk for NODAT in patients with ADPKD
were included. Pooled risk ratios (RRs) and 95% confidence intervals (CIs) were
calculated using a random-effect, generic inverse variance method. RESULTS:
Included in the analysis were 12 cohort studies, which comprised 1379 patients
with ADPKD of a total of 9849 patients who had undergone kidney transplants. The
pooled RRs of NODAT in patients with ADPKD were 1.92 (95% CI, 1.36 to 2.70). When
meta-analysis was limited only to studies with confounder-adjusted analysis, the
pooled RRs for NODAT were 1.98 (95% CI, 1.33 to 2.94). However, the association
between NODAT requiring insulin treatment was insignificant, with pooled RRs of
1.57 (95% CI, 0.75 to 3.27). CONCLUSIONS: Our meta-analysis demonstrates a
significant association between ADPKD and NODAT in recipients of kidney
transplants. The findings of this study may impact clinical management and follow
up for patients with ADPKD after kidney transplantation.
PMID- 27184301
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): Epilepsy and autism.
AB - A high proportion of children with epilepsy have autism spectrum disorder.
Although estimates vary, depending both on the population studied and the
definitions used, a figure of around 20% has typically been reported. Autism can
have a major impact on the life of the child and family. Despite the importance
of this comorbidity and although many studies have been performed, a full
understanding of the possible links between epilepsy and autism remains elusive.
In a minority of cases, for example in the Landau-Kleffner syndrome, the autistic
features can be the result of the epilepsy itself. However, there has been a
failure to demonstrate that the epilepsy itself plays a major role in most cases.
The current evidence seems to point to a common underlying predisposing factor.
The discovery of a growing number of genetic defects leading to both conditions
would support this explanation of the link.
PMID- 27184302
TI - Bio-recovery of non-essential heavy metals by intra- and extracellular mechanisms
in free-living microorganisms.
AB - Free-living microorganisms may become suitable models for recovery of non
essential and essential heavy metals from wastewater bodies and soils by using
and enhancing their accumulating and/or leaching abilities. This review analyzes
the variety of different mechanisms developed mainly in bacteria, protists and
microalgae to accumulate heavy metals, being the most relevant those involving
phytochelatin and metallothionein biosyntheses; phosphate/polyphosphate
metabolism; compartmentalization of heavy metal-complexes into vacuoles,
chloroplasts and mitochondria; and secretion of malate and other organic acids.
Cyanide biosynthesis for extra-cellular heavy metal bioleaching is also examined.
These metabolic/cellular processes are herein analyzed at the transcriptional,
kinetic and metabolic levels to provide mechanistic basis for developing
genetically engineered microorganisms with greater capacities and efficiencies
for heavy metal recovery, recycling of heavy metals, biosensing of metal ions,
and engineering of metalloenzymes.
PMID- 27184304
TI - Blunted blood pressure response during hyperpnoea in endurance runners.
AB - The purpose of this study was to elucidate the cardiovascular response during
hyperpnoea in endurance-trained runners compared to sedentary controls. Twelve
runners and ten sedentary individuals participated in this study. A maximal
respiratory endurance test (MRET) was performed as follows: target minute
ventilation was initially set at 30% of maximal voluntary ventilation (MVV12) and
was increased by 10% MVV12 every 3min. The test was terminated when the subject
could no longer maintain the target ventilation. Heart rate and mean arterial
blood pressure (MBP) were continuously measured. Respiratory endurance time
during the MRET was longer in the runners than the controls. The change in MBP
during the MRET was lower in the runners compared to the sedentary controls
(runners: 100.2+/-2.4mmHg vs. CONTROLS: 109.1+/-3.0mmHg at 6min of hyperpnoea).
Therefore, the blood pressure response during hyperpnoea is blunted in endurance
runners, suggesting that whole-body endurance exercise training attenuates the
respiratory muscle-induced metaboreflex.
PMID- 27184303
TI - Changes in vagal afferent drive alter tracheobronchial coughing in anesthetized
cats.
AB - Unilateral cooling of the vagus nerve (<5 degrees C, blocking mainly conductivity
of myelinated fibers) and unilateral vagotomy were employed to reduce cough
afferent drive in order to evaluate the effects of these interventions on the
temporal features of the cough reflex. Twenty pentobarbitone anesthetized,
spontaneously breathing cats were used. Cough was induced by mechanical
stimulation of the tracheobronchial airways. The number of coughs during vagal
cooling was significantly decreased (p<0.001). Inspiratory cough efforts were
reduced by approximately 30% (p<0.001) and expiratory motor drive by more than
80% (p<0.001). Temporal analysis showed prolonged inspiratory and expiratory
phases, the total cycle duration, its active portion, and the interval between
maxima of the diaphragm and the abdominal activity during coughing (p<0.001).
There was no significant difference in the average effects on the cough reflex
between cooling of the left or the right vagus nerve. Compared to control, vagal
cooling produced no significant difference in heart rate and mean arterial blood
pressure (p>0.05), however, cold block of vagal conduction reduced respiratory
rate (p<0.001). Unilateral vagotomy significantly reduced cough number, cough
related diaphragmatic activity, and relative values of maximum expiratory
esophageal pressure (all p<0.05). Our results indicate that reduced cough
afferent drive (lower responsiveness) markedly attenuates the motor drive to
respiratory pump muscles during coughing and alters cough temporal features.
Differences in the effects of unilateral vagal cooling and vagotomy on coughing
support an inhibitory role of sensory afferents that are relatively unaffected by
cooling of the vagus nerve to 5 degrees C on mechanically induced cough.
PMID- 27184305
TI - Using the decision ladder to understand road user decision making at actively
controlled rail level crossings.
AB - Rail level crossings (RLXs) represent a key strategic risk for railways
worldwide. Despite enforcement and engineering countermeasures, user behaviour at
RLXs can often confound expectations and erode safety. Research in this area is
limited by a relative absence of insights into actual decision making processes
and a focus on only a subset of road user types. One-hundred and sixty-six road
users (drivers, motorcyclists, cyclists and pedestrians) completed a diary entry
for each of 457 naturalistic encounters with RLXs when a train was approaching.
The final eligible sample comprised 94 participants and 248 encounters at
actively controlled crossings where a violation of the active warnings was
possible. The diary incorporated Critical Decision Method probe questions, which
enabled user responses to be mapped onto Rasmussen's decision ladder. Twelve
percent of crossing events were non-compliant. The underlying decision making was
compared to compliant events and a reference decision model to reveal important
differences in the structure and type of decision making within and between road
user groups. The findings show that engineering countermeasures intended to
improve decision making (e.g. flashing lights), may have the opposite effect for
some users because the system permits a high level of flexibility for
circumvention. Non-motorised users were more likely to access information outside
of the warning signals because of their ability to achieve greater proximity to
the train tracks and the train itself. The major conundrum in resolving these
issues is whether to restrict the amount of time and information available to
users so that it cannot be used for circumventing the system or provide more
information to help users make safe decisions.
PMID- 27184306
TI - Suitability of virtual prototypes to support human factors/ergonomics evaluation
during the design.
AB - In recent years, the use of virtual prototyping has increased in product
development processes, especially in the assessment of complex systems targeted
at end-users. The purpose of this study was to evaluate the suitability of
virtual prototyping to support human factors/ergonomics evaluation (HFE) during
the design phase. Two different virtual prototypes were used: augmented reality
(AR) and virtual environment (VE) prototypes of a maintenance platform of a rock
crushing machine. Nineteen designers and other stakeholders were asked to assess
the suitability of the prototype for HFE evaluation. Results indicate that the
system model characteristics and user interface affect the experienced
suitability. The VE system was valued as being more suitable to support the
assessment of visibility, reach, and the use of tools than the AR system. The
findings of this study can be used as a guidance for the implementing virtual
prototypes in the product development process.
PMID- 27184308
TI - Quantifying warfighter performance in a target acquisition and aiming task using
wireless inertial sensors.
AB - An array of inertial measurement units (IMUS) was experimentally employed to
analyze warfighter performance on a target acquisition task pre/post fatigue.
Eleven participants (5M/6F) repeated an exercise circuit carrying 20 kg of
equipment until fatigued. IMUs secured to the sacrum, sternum, and a rifle
quantified peak angular velocity magnitude (PAVM) and turn time (TT) on a target
acquisition task (three aiming events with two 180 degrees turns) within the
exercise circuit. Turning performance of two turns was evaluated pre/post
fatigue. Turning performance decreased with fatigue. PAVMs decreased during both
turns for the sternum (p < 0.001), sacrum (p = 0.007) and rifle (p = 0.002). TT
increased for the sternum (p = 0.001), sacrum (p = 0.003), and rifle (p = 0.02)
during turn 1, and for the rifle (p = 0.04) during turn 2. IMUs detected and
quantified changes in warfighter aiming performance after fatigue. Similar
methodologies can be applied to many movement tasks, including quantifying
movement performance for load, fatigue, and equipment conditions.
PMID- 27184309
TI - Establishing usability heuristics for heuristics evaluation in a specific domain:
Is there a consensus?
AB - Heuristics evaluation is frequently employed to evaluate usability. While general
heuristics are suitable to evaluate most user interfaces, there is still a need
to establish heuristics for specific domains to ensure that their specific
usability issues are identified. This paper presents a comprehensive review of 70
studies related to usability heuristics for specific domains. The aim of this
paper is to review the processes that were applied to establish heuristics in
specific domains and identify gaps in order to provide recommendations for future
research and area of improvements. The most urgent issue found is the deficiency
of validation effort following heuristics proposition and the lack of robustness
and rigour of validation method adopted. Whether domain specific heuristics
perform better or worse than general ones is inconclusive due to lack of
validation quality and clarity on how to assess the effectiveness of heuristics
for specific domains. The lack of validation quality also affects effort in
improving existing heuristics for specific domain as their weaknesses are not
addressed.
PMID- 27184307
TI - Obesity-related changes in prolonged repetitive lifting performance.
AB - Despite the rising prevalence of obesity, little is known about its moderating
effects on injury risk factors, such as fatigue, in occupational settings. This
study investigated the effect of obesity, prolonged repetitive lifting and their
interaction on lifting performance of 14 participants, 7 obese (mean body mass
index (BMI): 33.2 kg m(-2)) and 7 non-obese (mean BMI: 22.2 kg m(-2)) subjects.
To present a physically challenging task, subjects performed repetitive lifting
for 1 h at 120% of their maximum acceptable weight of lift. Generalized linear
mixed models were fit to posture and acceleration data. The obese group bent to a
~10 degrees lower peak trunk sagittal flexion angle, had 17% lower root mean
square (RMS) jerk and took 0.8 s longer per lift. Over time, the obese group
increased their trunk transverse and sagittal posterior accelerations while the
non-obese maintained theirs. Although the majority of lifting variables were
unaffected by BMI or its interaction with prolonged lifting duration, the
observed differences, combined with a greater upper body mass, necessitate a more
cautious use of existing psychophysical lifting limits for individuals who are
obese, particularly when fatigued.
PMID- 27184310
TI - Using kinematic reduction for studying grasping postures. An application to power
and precision grasp of cylinders.
AB - The kinematic analysis of human grasping is challenging because of the high
number of degrees of freedom involved. The use of principal component and
factorial analyses is proposed in the present study to reduce the hand kinematics
dimensionality in the analysis of posture for ergonomic purposes, allowing for a
comprehensive study without losing accuracy while also enabling velocity and
acceleration analyses to be performed. A laboratory study was designed to analyse
the effect of weight and diameter in the grasping posture for cylinders. This
study measured the hand posture from six subjects when transporting cylinders of
different weights and diameters with precision and power grasps. The hand posture
was measured using a Vicon((r)) motion-tracking system, and the principal
component analysis was applied to reduce the kinematics dimensionality. Different
ANOVAs were performed on the reduced kinematic variables to check the effect of
weight and diameter of the cylinders, as well as that of the subject. The results
show that the original twenty-three degrees of freedom of the hand were reduced
to five, which were identified as digit arching, closeness, palmar arching,
finger adduction and thumb opposition. Both cylinder diameter and weight
significantly affected the precision grasping posture: diameter affects
closeness, palmar arching and opposition, while weight affects digit arching,
palmar arching and closeness. The power-grasping posture was mainly affected by
the cylinder diameter, through digit arching, closeness and opposition. The
grasping posture was largely affected by the subject factor and this effect
couldn't be attributed only to hand size. In conclusion, this kinematic reduction
allowed identifying the effect of the diameter and weight of the cylinders in a
comprehensive way, being diameter more important than weight.
PMID- 27184311
TI - Route complexity and simulated physical ageing negatively influence wayfinding.
AB - The aim of this age-simulation field experiment was to assess the influence of
route complexity and physical ageing on wayfinding. Seventy-five people (aged 18
28) performed a total of 108 wayfinding tasks (i.e., 42 participants performed
two wayfinding tasks and 33 performed one wayfinding task), of which 59 tasks
were performed wearing gerontologic ageing suits. Outcome variables were
wayfinding performance (i.e., efficiency and walking speed) and physiological
outcomes (i.e., heart and respiratory rates). Analysis of covariance showed that
persons on more complex routes (i.e., more floor and building changes) walked
less efficiently than persons on less complex routes. In addition, simulated
elderly participants perform worse in wayfinding than young participants in terms
of speed (p < 0.001). Moreover, a linear mixed model showed that simulated
elderly persons had higher heart rates and respiratory rates compared to young
people during a wayfinding task, suggesting that simulated elderly consumed more
energy during this task.
PMID- 27184312
TI - Why we love or hate our cars: A qualitative approach to the development of a
quantitative user experience survey.
AB - This paper presents a more ecologically valid way of developing theory-based item
questionnaires for measuring user experience. In this novel approach, items were
generated using natural and domain-specific language of the research population,
what seems to have made the survey much more sensitive to real experiences than
theory-based ones. The approach was applied in a survey that measured car
experience. Ten in-depth interviews were conducted with drivers inside their
cars. The resulting transcripts were analysed with the aim of capturing their
natural utterances for expressing their car experience. This analysis resulted in
71 categories of answers. For each category, one sentence was selected to serve
as a survey-item. In an online platform, 538 respondents answered the survey.
Data reliability, tested with Cronbach alpha index, was 0.94, suggesting a survey
with highly reliable results to measure drivers' appraisals of their cars.
PMID- 27184313
TI - Corrigendum to "Working postures and physical activity among registered nurses"
[Appl. Ergon. 54 (2016), 243-250].
PMID- 27184314
TI - Standing on a declining surface reduces transient prolonged standing induced low
back pain development.
AB - While alternating standing position on a sloped surface has proven successful at
reducing low back pain during standing, the purpose of this study was to evaluate
standing solely on a declining surface to isolate the influence of the postural
change. Seventeen participants performed two 75-min prolonged standing
occupational simulations- level ground and declining surface. Fifty-three percent
of participants (9/17) were categorized as pain developers during the level
ground standing condition. For these same pain developers, their average maximum
pain scores were 58% lower during sloped standing. All participants showed
greater hip flexion, trunk-to-thigh angle flexion, and posterior translation of
the trunk center of gravity when standing on the sloped surface. These postural
changes could cause the muscles crossing the hip posteriorly to increase passive
stiffness and assist with stabilizing the pelvis. This study stresses the
importance of hip kinematics, not just lumbar spine posture, in reducing
prolonged standing induced low back pain.
PMID- 27184315
TI - Occupational sitting behaviour and its relationship with back pain - A pilot
study.
AB - Nowadays, working in an office environment is ubiquitous. At the same time,
progressively more people suffer from occupational musculoskeletal disorders.
Therefore, the aim of this pilot study was to analyse the influence of back pain
on sitting behaviour in the office environment. A textile pressure mat (64-sensor
matrix) placed on the seat pan was used to identify the adopted sitting positions
of 20 office workers by means of random forest classification. Additionally, two
standardised questionnaires (Korff, BPI) were used to assess short and long-term
back pain in order to divide the subjects into two groups (with and without back
pain). Independent t-test indicated that subjects who registered back pain within
the last 24 h showed a clear trend towards a more static sitting behaviour.
Therefore, the developed sensor system has successfully been introduced to
characterise and compare sitting behaviour of subjects with and without back
pain.
PMID- 27184316
TI - Maintaining knife sharpness in industrial meat cutting: A matter of knife or meat
cutter ability.
AB - Knife sharpness is imperative in meat cutting. The aim of this study was to
compare the impact of knife blade steel quality with meat cutters' individual
ability to maintain the cutting edge sharp in an industrial production setting.
Twelve meat cutters in two different companies using three different knives
during normal production were studied in this quasi-experimental study. Methods
included were measuring knife cutting force before and after knife use, time
knives were used, ratings of sharpness and discomfort and interviews. Results
showed that the meat cutters' skill of maintaining sharpness during work had a
much larger effect on knife sharpness during work than the knife steel
differences. The ability was also related to feelings of discomfort and to
physical exertion. It was found that meat cutters using more knives were more
likely to suffer from discomfort in the upper limbs, which is a risk for
developing MSD.
PMID- 27184317
TI - Effects of elevation change on mental stress in high-voltage transmission tower
construction workers.
AB - High-voltage transmission tower construction is a high-risk operation due to the
construction site locations, extreme climatic factors, elevated working surfaces,
and narrow working space. To comprehensively enhance our understanding of the
psychophysiological phenomena of workers in extremely high tower constructions,
we carried out a series of field experiments to test and compare three working
surface heights in terms of frequency-domain heart rate variability (HRV)
measurements. Twelve experienced male workers participated in this experiment.
The dependent variables, namely, heart rate (HR), normalized low-frequency power
(nLF), normalized high-frequency power (nHF), and LF-to-HF power ratio (LF/HF),
were measured with the Polar RS800CX heart rate monitor. The experimental results
indicated that the task workload was similar between working surface heights.
Tower construction workers perceived an increased level of mental stress as
working surface height increased.
PMID- 27184318
TI - Using archetypes to create user panels for usability studies: Streamlining focus
groups and user studies.
AB - Designers at the conceptual phase of products such as headphones, stress the
importance of comfort, e.g. executing comfort studies and the need for a reliable
user panel. This paper proposes a methodology to issue a reliable user panel to
represent large populations and validates the proposed framework to predict
comfort factors, such as physical fit. Data of 200 heads was analyzed by forming
clusters, 9 archetypal people were identified out of a 200 people's ear database.
The archetypes were validated by comparing the archetypes' responses on physical
fit against those of 20 participants interacting with 6 headsets. This paper
suggests a new method of selecting representative user samples for prototype
testing compared to costly and time consuming methods which relied on the
analysis of human geometry of large populations.
PMID- 27184319
TI - Iterative user centered design for development of a patient-centered fall
prevention toolkit.
AB - Due to the large number of falls that occur in hospital settings, inpatient fall
prevention is a topic of great interest to patients and health care providers.
The use of electronic decision support that tailors fall prevention strategy to
patient-specific risk factors, known as Fall T.I.P.S (Tailoring Interventions for
Patient Safety), has proven to be an effective approach for decreasing hospital
falls. A paper version of the Fall T.I.P.S toolkit was developed primarily for
hospitals that do not have the resources to implement the electronic solution;
however, more work is needed to optimize the effectiveness of the paper version
of this tool. We examined the use of human factors techniques in the redesign of
the existing paper fall prevention tool with the goal of increasing ease of use
and decreasing inpatient falls. The inclusion of patients and clinical staff in
the redesign of the existing tool was done to increase adoption of the tool and
fall prevention best practices. The redesigned paper Fall T.I.P.S toolkit
showcased a built in clinical decision support system and increased ease of use
over the existing version.
PMID- 27184320
TI - Constitutive kinematic modes and shapes during vehicle ingress/egress.
AB - A study was undertaken to investigate the kinematics of older users of passenger
vehicles during ingress/egress and to seek correlations between their movement
and comfort rating assigned by the subjects to the ease of vehicle ingress and
egress. A principal component analysis was performed on the subjects' kinematics
to identify the underlying modes of movement employed by the subjects. It was
found that a small number of modes could describe the movements of all the
subjects across all of the vehicles. Within the subspace defined by the modal
vectors, shapes were found which correlated to the comfort rating for ease of
ingress and egress which the subjects had assigned to each of the cars. Knowledge
of these shapes which correspond to good and poor ingress and egress will be
useful to the designers of interiors and exteriors of passenger vehicles for the
older person. It is recommended that vehicle designs for the older person should
attempt to avoid body positions which require excessive ankle articulation and
lumbar flexion/extension during ingress and egress.
PMID- 27184321
TI - Evaluation of overhead guide sign sheeting materials to increase visibility and
safety for drivers.
AB - Overhead guide sign visibility must increase to improve driver safety on
roadways. Two methods increase overhead guide sign visibility: sign illumination
and use of retroreflective sheeting materials. This paper compares three types of
retroreflective sheeting: Engineering Grade (type I), Diamond Grade (type XI),
and High Intensity (type IV). A field experiment was conducted at night using
licensed drivers to determine the optimum retroreflective sheeting material that
increases sign visibility and legibility. Results showed that, of the three types
of retroreflective sheeting, Diamond Grade (type XI) sheeting requires minimum
illuminance to be visible, followed by High Intensity (type IV) sheeting. Cost
analysis, including labor, maintenance, and material cost components of the three
retroreflective sheeting materials, showed that High Intensity (type IV) could
increase sign visibility and legibility at night for Departments of
Transportation with limited budgets, consequently increasing driver safety on
roadways.
PMID- 27184322
TI - Thermo-physiological comfort of soft-shell back protectors under controlled
environmental conditions.
AB - The aim of the study was to investigate thermo-physiological comfort of three
back protectors identifying design features affecting heat loss and moisture
management. Five volunteers tested the back protectors in a climatic chamber
during an intermittent physical activity. Heart rate, average skin temperature,
sweat production, microclimate temperature and humidity have been monitored
during the test. The sources of heat losses have been identified using infrared
thermography and the participants answered a questionnaire to express their
subjective sensations associated with their thermo-physiological condition. The
results have shown that locally torso skin temperature and microclimate depended
on the type of back protector, whose design allowed different extent of
perspiration and thermal insulation. Coupling physiological measurements with the
questionnaire, it was found that overall comfort was dependent more on skin
wetness than skin temperature: the participants preferred the back protector with
the highest level of ventilation through the shell and the lowest level of
microclimate humidity.
PMID- 27184324
TI - Improving the detectability of oxygen saturation level targets for preterm
neonates: A laboratory test of tremolo and beacon sonifications.
AB - Recent guidelines recommend oxygen saturation (SpO2) levels of 90%-95% for
preterm neonates on supplemental oxygen but it is difficult to discern such
levels with current pulse oximetry sonifications. We tested (1) whether adding
levels of tremolo to a conventional log-linear pulse oximetry sonification would
improve identification of SpO2 ranges, and (2) whether adding a beacon reference
tone to conventional pulse oximetry confuses listeners about the direction of
change. Participants using the Tremolo (94%) or Beacon (81%) sonifications
identified SpO2 range significantly more accurately than participants using the
LogLinear sonification (52%). The Beacon sonification did not confuse
participants about direction of change. The Tremolo sonification may have
advantages over the Beacon sonification for monitoring SpO2 of preterm neonates,
but both must be further tested with clinicians in clinically representative
scenarios, and with different levels of ambient noise and distractions.
PMID- 27184323
TI - Effects of indoor slippers on plantar pressure and lower limb EMG activity in
older women.
AB - Open-toe mule slippers are popular footwear worn at home especially by older
women. However, their biomechanical effects are still poorly understood. The
objective of this study is to therefore evaluate the physical properties of two
typical types of open-toe mule slippers and the changes in plantar pressure and
lower limb muscle activity of older women when wearing these slippers. Five
walking trials have been carried out by ten healthy women. The results indicate
that compared to barefoot, wearing slippers results in significant increases in
the contact area of the mid-foot regions which lead to plantar pressure
redistribution from metatarsal heads 2-3 and the lateral heel to the midfoot
regions. However, there is no significant difference in the selected muscle
activity across all conditions. The findings enhance our understanding of slipper
features associated with changes in biomechanical measures thereby providing the
basis of slipper designs for better foot protection and comfort.
PMID- 27184325
TI - Evaluating the low back biomechanics of three different office workstations:
Seated, standing, and perching.
AB - The objective of this study was to evaluate how different workstations may
influence physical behavior in office work through motion and how that may affect
spinal loads and discomfort. Twenty subjects performed a typing task in three
different workstations (seated, standing, and perching) for one hour each.
Measures of postural transitions, spinal loads, discomfort, and task performance
were assessed in order to understand the effects of workstation interaction over
time. Results indicated that standing had the most amount of motion (6-8
shifts/min), followed by perching (3-7 shifts/min), and then seating (<1
shift/min). Standing had the highest reports of discomfort and seating the least.
However, spinal loads were highest in A/P shear during standing (190N posterior
shear, 407N anterior shear) compared to perching (65N posterior shear, 288N
anterior shear) and seating (106N posterior shear, 287 anterior shear). These
loads are below the risk threshold for shear, but may still elicit a cumulative
response. Perching may induce motion through supported mobility in the perching
stool, whereas standing motion may be due to postural discomfort. Office
workstation designs incorporating supported movement may represent a reasonable
trade-off in the costs-benefits between seating and standing.
PMID- 27184326
TI - Intervention development to reduce musculoskeletal disorders: Is the process on
target?
AB - Work related musculoskeletal disorders remain an intractable OHS problem. In
2002, Haslam proposed applying the stage of change model to target ergonomics
interventions and other health and safety prevention activities. The stage of
change model proposes that taking into account an individual's readiness for
change in developing intervention strategies is likely to improve uptake and
success. This paper revisits Haslam's proposal in the context of interventions to
reduce musculoskeletal disorders. Effective MSD interventions require a
systematic approach and need to take into account a combination of measures.
Research evidence suggests that in practice, those charged with the management of
MSDs are not consistently adopting such an approach. Consequently, intervention
development may not represent contemporary best practice. We propose a potential
method of addressing this gap is the stage of change model, and use a case study
to illustrate this argument in tailoring intervention development for managing
MSDs.
PMID- 27184327
TI - Patient acuity as a determinant of paramedics' frequency of being exposed to
physically demanding work activities.
AB - BACKGROUND: The purpose of this investigation was to examine if paramedics'
frequency of being exposed to highly physically demanding activities, or their
perception of physical, clinical, and emotional demands were altered by patients'
acuity level, operationalized using the Canadian Triage and Acuity Scale (CTAS).
METHODS: Physical demands descriptions (PDD) were compiled from thirteen services
across Canada. The observation sessions took place during a minimum of two full
shift (12-h) ride-outs at each service. Data were obtained from 53 ride-outs,
which included a total of 190 calls. RESULTS: Higher urgency calls (CTAS level I
or II) required significantly more stretcher handling, equipment handling, and
intravenous (IV) work, also prompting higher ratings of perceived clinical,
physical, and emotional demand. Independent of CTAS level, stretcher loading with
patient (15.0%), horizontal patient transfer (13.7%), and pushing/pulling the
stretcher with patient (13.1%) were identified as the most physically demanding
tasks. CONCLUSIONS: Patient acuity is an important determinant affecting the
frequency for which paramedics are exposed to work tasks with inherent ergonomic
hazards (e.g., handling a stretcher with a patient). Patient acuity also affects
paramedics' perceived clinical, physical, and emotional demands of a call.
PMID- 27184328
TI - The relationship between air layers and evaporative resistance of male Chinese
ethnic clothing.
AB - In this study, the air layer distribution and evaporative resistances of 39 sets
of male Chinese ethnic clothing were investigated using a sweating thermal
manikin and the three-dimensional (3D) body scanning technique. Relationships
between the evaporative resistance and air layers (i.e., air gap thickness and
air volume) were explored. The results demonstrated that the clothing total
evaporative resistance increases with the increasing air gap size/air volume, but
the rate of increase gradually decreases as the mean air gap size or the total
air volume becomes larger. The clothing total evaporative resistance reaches its
maximum when the average air gap size and the total air volume are 41.6 mm and
69.9 dm(3), respectively. Similar general trends were also found between local
mean air gap size and clothing local evaporative resistance at different body
parts. However, different body parts show varied rates of increase and decrease
in the local evaporative resistance. The research findings provide a
comprehensive database for predicting overall and local human thermal comfort
while wearing male Chinese ethnic clothing.
PMID- 27184329
TI - Associations between shift schedule characteristics with sleep, need for
recovery, health and performance measures for regular (semi-)continuous 3-shift
systems.
AB - In this cross-sectional study associations were examined between eight shift
schedule characteristics with shift-specific sleep complaints and need for
recovery and generic health and performance measures. It was hypothesized that
shift schedule characteristics meeting ergonomic recommendations are associated
with better sleep, need for recovery, health and performance. Questionnaire data
were collected from 491 shift workers of 18 companies with 9 regular (semi)
continuous shift schedules. The shift schedule characteristics were analyzed
separately and combined using multilevel linear regression models. The hypothesis
was largely not confirmed. Relatively few associations were found, of which the
majority was in the direction as expected. In particular early starts of morning
shifts and many consecutive shifts seem to be avoided. The healthy worker effect,
limited variation between included schedules and the cross-sectional design might
explain the paucity of significant results.
PMID- 27184331
TI - Bifurcation and temporal periodic patterns in a plant-pollinator model with
diffusion and time delay effects.
AB - This paper deals with a plant-pollinator model with diffusion and time delay
effects. By considering the distribution of eigenvalues of the corresponding
linearized equation, we first study stability of the positive constant steady
state and existence of spatially homogeneous and spatially inhomogeneous periodic
solutions are investigated. We then derive an explicit formula for determining
the direction and stability of the Hopf bifurcation by applying the normal form
theory and the centre manifold reduction for partial functional differential
equations. Finally, we present an example and numerical simulations to illustrate
the obtained theoretical results.
PMID- 27184330
TI - Epilepsy is not a mandatory feature of STXBP1 associated ataxia-tremor
retardation syndrome.
AB - BACKGROUND: Mutations in the STXBP1 gene (MUNC18-1) were first described to cause
Ohtahara syndrome (Early infantile epileptic encephalopathy, EIEE)(12-14)
characterized by very early infantile epileptic encephalopathy with frequent
tonic spasms and a suppression-burst pattern on electroencephalogram. In the
following years a wider phenotype was recognized having milder forms of
epilepsies. All patients showed also intellectual disability and movement
disorders. METHODS: Here, we present three female patients with an ataxia-tremor
retardation syndrome caused by a de novo STXBP1 mutation. Two of the girls were
diagnosed through next-generation-sequencing as mutations in STXBP1 were not
suspected. The third patient was diagnosed by targeted genetic testing due to its
clinical features strikingly similar to the first two girls. RESULTS: The
characteristic feature of our three patients is the lack of epilepsy which is in
contrast to the majority of the patients with STXBP1 mutation. CONCLUSION: Hence,
epilepsy is not a mandatory feature of patients with a STXBP1 mutation.
PMID- 27184332
TI - Development of a 4D numerical chest phantom with customizable breathing.
AB - Respiratory movement information is useful for radiation therapy, and is
generally obtained using 4D scanners (4DCT). In the interest of patient safety,
reducing the use of 4DCT could be a significant step in reducing radiation
exposure, the effects of which are not well documented. The authors propose a
customized 4D numerical phantom representing the organ contours. Firstly,
breathing movement can be simulated and customized according to the patient's
anthroporadiametric data. Using learning sets constituted by 4D scanners,
artificial neural networks can be trained to interpolate the lung contours
corresponding to an unknown patient, and then to simulate its respiration. Lung
movement during the breathing cycle is modeled by predicting the lung contours at
any respiratory phases. The interpolation is validated comparing the obtained
lung contours with 4DCT via Dice coefficient. Secondly, a preliminary study of
cardiac and oesophageal motion is also presented to demonstrate the flexibility
of this approach. The application may simulate the position and volume of the
lungs, the oesophagus and the heart at every phase of the respiratory cycle with
a good accuracy: the validation of the lung modeling gives a Dice index greater
than 0.93 with 4DCT over a breath cycle.
PMID- 27184333
TI - Illuminating the dark side of recycling endosomes.
PMID- 27184335
TI - Corrigendum.
PMID- 27184334
TI - The SRF-YAP-IL6 axis promotes breast cancer stemness.
PMID- 27184336
TI - Corrigendum.
PMID- 27184337
TI - Comment on Lapaj et al.: Retrieval analysis of titanium nitride (TiN) coated
prosthetic femoral heads articulating with polyethylene.
PMID- 27184338
TI - The synthesis of size-controlled 3C-SiC nanoflakes and their photoluminescent
properties.
AB - Size-controlled and high-purity 3C-SiC nanoflakes (NFs) are synthesized on the
tips of vertically aligned carbon nanotube (VA-CNT) carpets with a hot-filament
chemical vapor deposition (HF-CVD) method. The average diameter and height of SiC
NFs can be tuned by changing the thickness of per-deposited Si and growth
conditions. The growth process of the SiC NFs is suggested to be dominated by a
vapor-solid (VS) mechanism. The prepared SiC NFs exhibit quantum-confinement
effects, emitting strong violet-blue photoluminescence (PL) under ultraviolet
excitation. The PL peak position changes from 410 to 416 nm as the excitation
line increases from 290 to 400 nm. This result opens the possibility for the
application of the luminescent solid-state freestanding 3C-SiC NFs in photonics
as well as photonics/electronics integration.
PMID- 27184341
TI - Evolution of larval life mode of Oecophoridae (Lepidoptera: Gelechioidea)
inferred from molecular phylogeny.
AB - Phylogenetic relationships within family Oecophoridae have been poorly
understood. Consequently the subfamily and genus level classifications with this
family problematic. A comprehensive phylogenetic analysis of Oecophoridae, the
concealer moths, was performed based on analysis of 4444 base pairs of
mitochondrial COI, nuclear ribosomal RNA genes (18S and 28S) and nuclear protein
coding genes (IDH, MDH, Rps5, EF1a and wingless) for 82 taxa. Data were analyzed
using maximum likelihood (ML), parsimony (MP) and Bayesian (BP) phylogenetic
frameworks. Phylogenetic analyses indicated that (i) genera Casmara, Tyrolimnas
and Pseudodoxia did not belong to Oecophoridae, suggesting that Oecophoridae s.
authors was not monophyletic; (ii) other oecophorids comprising two subfamilies,
Pleurotinae and Oecophorinae, were nested within the same clade, and (iii)
Martyringa, Acryptolechia and Periacmini were clustered with core Xyloryctidae.
They appeared to be sister lineage with core Oecophoridae. BayesTraits were
implemented to explore the ancestral character states to infer historical
microhabitat patterns and sheltering strategy of larvae. Reconstruction of
ancestral microhabitat of oecophorids indicated that oecophorids might have
evolved from dried plant feeders and further convergently specialized. The
ancestral larva sheltering strategy of oecophorids might have used a silk tube by
making itself, shifting from mining leaves.
PMID- 27184340
TI - Workplace psychosocial and organizational factors for neck pain in workers in the
United States.
AB - BACKGROUND: Neck pain is a prevalent musculoskeletal condition among workers in
the United States. This study explores a set of workplace psychosocial and
organization-related factors for neck pain. METHODS: Data used for this study
come from the 2010 National Health Interview Survey which provides a
representative sample of the US population. To account for the complex sampling
design, the Taylor linearized variance estimation method was used. Logistic
regression models were constructed to measure the associations. RESULTS: This
study demonstrated significant associations between neck pain and a set of
workplace risk factors, including work-family imbalance, exposure to a hostile
work environment and job insecurity, non-standard work arrangements, multiple
jobs, and long work hours. CONCLUSION: Workers with neck pain may benefit from
intervention programs that address issues related to these workplace risk
factors. Future studies exploring both psychosocial risk factors and physical
risk factors with a longitudinal design will be important. Am. J. Ind. Med.
59:549-560, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184339
TI - Investigating the Impact of a Genome-Wide Supported Bipolar Risk Variant of
MAD1L1 on the Human Reward System.
AB - Recent genome-wide association studies have identified MAD1L1 (mitotic arrest
deficient-like 1) as a susceptibility gene for bipolar disorder and
schizophrenia. The minor allele of the single-nucleotide polymorphism (SNP)
rs11764590 in MAD1L1 was associated with bipolar disorder. Both diseases, bipolar
disorder and schizophrenia, are linked to functional alterations in the reward
system. We aimed at investigating possible effects of the MAD1L1 rs11764590 risk
allele on reward systems functioning in healthy adults. A large homogenous sample
of 224 young (aged 18-31 years) participants was genotyped and underwent
functional magnetic resonance imaging (fMRI). All participants performed the
'Desire-Reason Dilemma' paradigm investigating the neural correlates that
underlie reward processing and active reward dismissal in favor of a long-term
goal. We found significant hypoactivations of the ventral tegmental area (VTA),
the bilateral striatum and bilateral frontal and parietal cortices in response to
conditioned reward stimuli in the risk allele carriers compared with major allele
carriers. In the dilemma situation, functional connectivity between prefrontal
brain regions and the ventral striatum was significantly diminished in the risk
allele carriers. Healthy risk allele carriers showed a significant deficit of
their bottom-up response to conditioned reward stimuli in the bilateral VTA and
striatum. Furthermore, functional connectivity between the ventral striatum and
prefrontal areas exerting top-down control on the mesolimbic reward system was
reduced in this group. Similar alterations in reward processing and disturbances
of prefrontal control mechanisms on mesolimbic brain circuits have also been
reported in bipolar disorder and schizophrenia. Together, these findings suggest
the existence of an intermediate phenotype associated with MAD1L1.
PMID- 27184344
TI - A case of puerperal group a streptococcal sepsis complicated by ovarian vein
thrombosis.
PMID- 27184342
TI - Number of metastatic lymph nodes as determinant of outcome after salvage radical
prostatectomy for radiation-recurrent prostate cancer.
AB - BACKGROUND: Presence of lymph node metastasis (LNM) at salvage radical
prostatectomy (sRP) is associated with poor outcome. Predictors of outcome in
this context remain undetermined. ThE objective was to assess the role of number
of positive lymph node on outcome of patients with LNM after sRP and for radio
recurrent prostate cancer. MATERIAL AND METHODS: We analyzed data from a
consecutive cohort of 215 men treated with sRP at a single institution. We used
univariate Cox proportional hazard regression models for biochemical recurrence
(BCR) and metastatic outcomes, with prostate-specific antigen, Gleason score,
extraprostatic extension, seminal vesicle invasion, time between radiation
therapy and sRP, and number of positive nodes as predictors. RESULTS: Of the 47
patients with LNM, 37 developed BCR, 11 developed distant metastasis and 4 died
with a median follow-up of 2.3 years for survivors. The risk of metastases
increased with higher pre-operative PSA levels (HR 1.19 per 1ng/ml; 95% CI: 1.06
1.34; P=.003). The remaining predictors did not reach conventional levels of
significance. However, removal of 3 or more positive lymph nodes demonstrated a
positive association, as expected, with metastatic disease (HR 3.44; 95% CI: 0.91
13.05; P=.069) compared to one or 2 positive nodes. Similarly, the presence of
extraprostatic extension, seminal vesicle invasion and Gleason grade greater than
7 also demonstrated a positive association with higher risk of metastasis, with
hazard ratios of 3.97 (95% CI: 0.50, 31.4; P=.2), 3.72 (95% CI: 0.80-17.26;
P=.1), and 1.45 (95% CI: 0.44-4.76; P=.5), respectively. CONCLUSIONS: In patients
with LNM after sRP for radio-recurrent prostate cancer, the risk of distant
metastasis is likely to be influenced by the number of positive nodes (3 or
more), high preoperative PSA, Gleason grade and advanced pathologic stage. These
results are consistent with the findings of number of nodes (1 to 2 vs. 3 or more
nodes positive) as a prognostic indicator after primary radical prostatectomy and
strengthen the plea for a revision of the nodal staging for prostate cancer.
PMID- 27184343
TI - Motivating parents of kids with asthma to quit smoking: the effect of the
teachable moment and increasing intervention intensity using a longitudinal
randomized trial design.
AB - AIMS: We tested two aims: (1) the teachable moment (TM): whether second-hand
smoke exposure (SHSe) feedback motivates cessation in parents of children with
asthma versus parents of healthy children (HC); and (2) whether greater
intervention intensity [enhanced-precaution adoption model (PAM)] produces
greater cessation than a previously tested intervention (PAM). DESIGN AND
INTERVENTIONS: Aim 1: two home visits (asthma education or child wellness), and
cessation induction using motivational interviewing and SHSe feedback. Aim 2:
post-home-visits, parents with asthmatic children were randomized to PAM (n =
171; six asthma education calls) or enhanced-PAM (n = 170; six asthma
education/smoking cessation calls + repeat SHSe feedback). SETTING: Rhode Island,
USA. PARTICIPANTS: Parents of asthmatic (n = 341) or healthy (n = 219) children
who did not have to want to quit smoking to enroll. MEASUREMENTS: Measurements
were given at baseline, 2, 4, 6 and 12 months. Abstinence was bioverified.
Outcomes were 7-day and 30-day point prevalence abstinence (ppa) and SHSe
(primary) and asthma morbidity (secondary). FINDINGS: Aim 1: the TM was
supported: parents of asthmatic children were more than twice as likely to
achieve 30-day [odds ratio (OR) = 2.60, 95% confidence interval (CI) = 1.22-5.54]
and 7-day ppa (OR = 2.26, 95% CI = 1.13-4.51) at 2 months (primary end-point) and
have non-detectable levels of SHSe than HCs. Greater treatment intensity yielded
stronger TM effects (OR = 3.60; 95% CI = 1.72-7.55). Aim 2: enhanced-PAM was more
likely to achieve 30-day ppa at the primary end-point, 4 months (OR = 2.12, 95%
CI 1.09-4.12) and improved asthma outcomes versus PAM. CONCLUSIONS: Smoking
cessation intervention (Motivational Interviewing plus biomarker feedback) appear
to motivate smoking cessation more strongly among parents of asthmatic children
than among parents of healthy children. Increased intervention intensity yields
greater smoking cessation among parents of asthmatic children and better asthma
outcomes.
PMID- 27184345
TI - A neutron spectrum unfolding code based on generalized regression artificial
neural networks.
AB - The most delicate part of neutron spectrometry, is the unfolding process. The
derivation of the spectral information is not simple because the unknown is not
given directly as a result of the measurements. Novel methods based on Artificial
Neural Networks have been widely investigated. In prior works, back propagation
neural networks (BPNN) have been used to solve the neutron spectrometry problem,
however, some drawbacks still exist using this kind of neural nets, i.e. the
optimum selection of the network topology and the long training time. Compared to
BPNN, it's usually much faster to train a generalized regression neural network
(GRNN). That's mainly because spread constant is the only parameter used in GRNN.
Another feature is that the network will converge to a global minimum, provided
that the optimal values of spread has been determined and that the dataset
adequately represents the problem space. In addition, GRNN are often more
accurate than BPNN in the prediction. These characteristics make GRNNs to be of
great interest in the neutron spectrometry domain. This work presents a
computational tool based on GRNN capable to solve the neutron spectrometry
problem. This computational code, automates the pre-processing, training and
testing stages using a k-fold cross validation of 3 folds, the statistical
analysis and the post-processing of the information, using 7 Bonner spheres rate
counts as only entrance data. The code was designed for a Bonner Spheres System
based on a 6LiI(Eu) neutron detector and a response matrix expressed in 60 energy
bins taken from an International Atomic Energy Agency compilation.
PMID- 27184346
TI - Antioxidant and Myocardial Preservation Activities of Natural Phytochemicals from
Mung Bean (Vigna radiata L.) Seeds.
AB - Mung bean (Vigna radiata L.) seeds (MBS) contain abundant nutrients with
biological activities. This study was aimed to isolate key bioactive components
from MBS with antioxidant and myocardial preservation activities. A new flavonoid
C-glycoside, isovitexin-6"-O-alpha-l-glucoside, and 14 known compounds were
obtained. Their structures were identified by extensive 1D and 2D NMR and FT-ICR
MS spectroscopic analyses. The antioxidant activities of these compounds were
evaluated. Compounds 1-5 and 7-10 displayed 2,2'-azinobis (3-ethylbenzothiazoline
6-sulphonic acid) (ABTS(*+)) scavenging activity, but only 5 and 7 exhibited 2,2
diphenyl-1-picrylhydrazyl (DPPH(*)) scavenging activity. The myocardial
preservation effect of 2, 3, and MBS were investigated by measuring the serum
levels of LDH, CK, and AST as well as the tissue level of MDA and SOD. The
results demonstrated that 2, 3, and MBS had a significant protective effect
against ISO-induced myocardial ischemia. MBS can be regarded as a potential new
source of antioxidants and myocardial preservation agents.
PMID- 27184347
TI - Confined placental mosaicism and its impact on confirmation of NIPT results.
AB - Non-invasive prenatal testing (NIPT) has been widely used to screen for common
aneuploidies since 2011. While NIPT is highly sensitive and specific, false
positive results can occur. One important cause of false positive results is
confined placental mosaicism (CPM). This can occur through a mitotic
nondisjunction event or through aneuploidy rescue. CPM is usually associated with
normal fetal outcomes, but has been associated with intrauterine growth
restriction, pregnancy loss, or perinatal death in some cases. CPM may also be a
marker for uniparental disomy. Given that NIPT can result in false positives,
positive results should be confirmed with invasive testing before any
irreversible procedure is performed. Whether to perform CVS or amniocentesis to
confirm a positive NIPT result is controversial. While CVS can be performed
earlier than amniocentesis, CPM can also cause false positive results. Our
practice is to proceed with CVS, and to examine all cell lines using both an
uncultured sample using fluorescence in situ hybridization (FISH) or short-term
culture, as well as long-term culture of the sample. If the results all show
aneuploidy, the results are reported to the patient. Otherwise, if the results
are also mosaic, amniocentesis is recommended and analyzed by both FISH and
karyotype. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184349
TI - Light-Modulation of the Charge Injection in a Polymer Thin-Film Transistor by
Functionalizing the Electrodes with Bistable Photochromic Self-Assembled
Monolayers.
AB - High fatigue resistance, bistability, and drastic property changes among isomers
allow efficient modulation of the current output of organic thin-film transistors
(OTFTs) to be obtained by a photogating of the charge-injection mechanism.
PMID- 27184348
TI - Risk factors for unplanned readmission within 30 days after pediatric
neurosurgery: a nationwide analysis of 9799 procedures from the American College
of Surgeons National Surgical Quality Improvement Program.
AB - OBJECTIVE Hospital readmission rate is increasingly used as a quality outcome
measure after surgery. The purpose of this study was to establish, using a
national database, the baseline readmission rates and risk factors for patient
readmission after pediatric neurosurgical procedures. METHODS The American
College of Surgeons National Surgical Quality Improvement Program-Pediatric
database was queried for pediatric patients treated by a neurosurgeon between
2012 and 2013. Procedures were categorized by current procedural terminology
(CPT) code. Patient demographics, comorbidities, preoperative laboratory values,
operative variables, and postoperative complications were analyzed via univariate
and multivariate techniques to find associations with unplanned readmissions
within 30 days of the primary procedure. RESULTS A total of 9799 cases met the
inclusion criteria, 1098 (11.2%) of which had an unplanned readmission within 30
days. Readmission occurred 14.0 +/- 7.7 days postoperatively (mean +/- standard
deviation). The 4 procedures with the highest unplanned readmission rates were
CSF shunt revision (17.3%; CPT codes 62225 and 62230), repair of myelomeningocele
> 5 cm in diameter (15.4%), CSF shunt creation (14.1%), and craniectomy for
infratentorial tumor excision (13.9%). The lowest unplanned readmission rates
were for spine (6.5%), craniotomy for craniosynostosis (2.1%), and skin lesion
(1.0%) procedures. On multivariate regression analysis, the odds of readmission
were greatest in patients experiencing postoperative surgical site infection
(SSI; deep, organ/space, superficial SSI, and wound disruption: OR > 12 and p <
0.001 for each). Postoperative pneumonia (OR 4.294, p < 0.001), urinary tract
infection (OR 4.262, p < 0.001), and sepsis (OR 2.616, p = 0.006) also
independently increased the readmission risk. Independent patient risk factors
for unplanned readmission included Native American race (OR 2.363, p = 0.019),
steroid use > 10 days (OR 1.411, p = 0.010), oxygen supplementation (OR 1.645, p
= 0.010), nutritional support (OR 1.403, p = 0.009), seizure disorder (OR 1.250,
p = 0.021), and longer operative time (per hour increase, OR 1.059, p = 0.029).
CONCLUSIONS This study may aid in identifying patients at risk for unplanned
readmission following pediatric neurosurgery, potentially helping to focus
efforts at lowering readmission rates, minimizing patient risk, and lowering
costs for health care systems.
PMID- 27184350
TI - Panobinostat consolidation in patients with Hodgkin lymphoma at risk for relapse
after high dose chemotherapy and autologous stem cell transplant: final results
after early trial discontinuation.
PMID- 27184351
TI - Effects of alpha-Thalassemia on HbA1c Measurement.
AB - BACKGROUND: alpha-Thalassemia is a benign condition that is often present in
patients with diabetes mellitus. Here, we evaluated the effects of different
genotypes alpha-thalassemia on HbA1c measurement. METHODS: A total of 189 samples
from nondiabetic patients were analyzed. HbA1c analysis was performed by ion
exchange high-performance liquid chromatography, boronate affinity HPLC,
immunoassay, and capillary electrophoresis. Fasting glucose, fructosamin, and
HbA2 were also performed. All samples were confirmed by genotyping for
thalassemia. RESULTS: In patients with two or three functional alpha-genes, HbA1c
values were not significantly different from those of controls (P > 0.05);
however, in individuals with alpha-thalassemia with one functional alpha-gene
(i.e., HbH disease), HbA1c levels were significantly different from those of
controls (P < 0.01). HbA1c values were significantly lower in individuals with
HbH disease than in control individuals and patients in the other two alpha
thalassemia groups. For patients with HbH disease, there were no significant
differences in the four HbA1c measurement systems (P > 0.05). CONCLUSIONS: In
this study, HbA1c values in samples from individuals with two or three functional
alpha-genes basically reflected the normal mean blood glucose level, while those
in samples from individuals with one functional alpha-gene did not.
PMID- 27184352
TI - Osseous Metaplasia of the Breast Diagnosed from Stereotactic Core Biopsy: A Rare
Entity with Radiologic-Pathologic Correlation.
PMID- 27184353
TI - Clinical outcome and paravalvular leakage of the new balloon-expandable Edwards
Sapien 3 valve in comparison to its predecessor model (Edwards Sapien XT) in
patients undergoing transfemoral aortic valve replacement.
AB - OBJECTIVES: The aim of this study was to compare the 30-day procedural, clinical
and echocardiographic outcome of the new balloon-expandable Edwards Sapien 3
(ES3) valve with the Edwards Sapien XT (ESXT). BACKGROUND: Post-implant
paravalvular leaks (PVL) after transfemoral aortic valve replacement (TAVR)
resulting in residual aortic regurgitation (AR) are a major limitation for long
term outcome. New TAVR-devices have to eliminate this problem. METHODS:
Transfemoral TAVR was performed in 209 consecutive intermediate-high-risk
surgical patients (pts) with symptomatic aortic stenosis (ESXT n = 102, ES3 n =
107). Transthoracic echocardiography (TTE) and 3-dimensional computed tomography
were used for valve size selection. Primary endpoint of the study was none/trace
AR derived by TTE 30-days after TAVR. RESULTS: All pts underwent successfully
TAVR with a combined device success of 100/102 (99%) in ESXT and 107/107 (100%)
in ES3 pts. Fluoroscopy time (ESXT 11.8 +/- 0.5 min vs. ES3 10.0 +/- 0.5 min, P =
0.003) and contrast (ESXT 188.9 +/- 5.6 mL vs. ES3 170.4 +/- 4.7 mL, P = 0.04)
were significantly lower in ES3 patients. 30-day clinical events did not differ.
Transvalvular mean pressure gradients were significantly reduced to 7.4 +/- 0.8
mmHg after ESXT and to 10.1+/- 0.4 mmHg after ES3 implantation. After 30 days
none/trace AR was found in 34.3% (n = 35) of all ESXT pts in contrast to 89.7% (n
= 96) of all ES3 patients. Moderate-to-severe AR was found rarely (ESXT 2.9% vs.
ES3 0%, P = 0.073). CONCLUSIONS: Although there was no significant difference in
30 day mortality, the newer ES3 valve reduced significantly residual paravalvular
leakage. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184354
TI - Mandibular incisive canal in Han Chinese using cone beam computed tomography.
AB - The aim of this study was to provide reference information for implantology and
chin bone harvesting in people of Han Chinese ethnicity by studying the
mandibular incisive canal (MIC) using cone beam computed tomography (CBCT). Fifty
subjects were included in the study. CBCT scans were obtained for all subjects,
and 22 also underwent panoramic radiography to evaluate the visibility of the
MIC. The CBCT data of the 50 subjects were reconstructed to measure MIC diameter,
length, and location within the mandible. A MIC was identified in 38.6% of
panoramic radiographs, with good clarity in 13.6%, while a MIC was identified in
100% of CBCT images, with good clarity in 63.6%. The diameter of the MIC
decreased from origin to end. The left and right average MIC lengths were 17.84mm
and 17.73mm, respectively. The MIC was close to the buccal cortical border and
lower margin of the mandible. In conclusion, the MIC is an anatomical structure
in the mandible that can be identified reliably with CBCT. On insertion, implants
should be inclined slightly towards the lingual aspect of the anterior mandible
to protect the MIC. The chin bone harvesting depth should be limited to 4mm; the
harvesting site can be adjusted to the region above or below the MIC.
PMID- 27184355
TI - Norovirus (NoV) specific protective immune responses induced by recombinant P
dimer vaccine are enhanced by the mucosal adjuvant FlaB.
AB - BACKGROUND: Noroviruses (NoVs) are a major cause of childhood gastroenteritis and
foodborne diseases worldwide. Lack of appropriate animal models or cell-based
culture systems makes the development and evaluation of NoV-specific vaccines a
daunting task. VP1 is the major capsid protein of the NoVs that acts as a binding
motif to human histo-blood group antigens (HBGAs) through its protruding 2 (P2)
domain and can serve as a protective antigen candidate for vaccine development.
METHODS: Recombinantly produced NoV specific P domain (Pd) vaccine was inoculated
into groups of mice either alone or in conjugation with mucosal adjuvant FlaB,
the flagellar protein from Vibrio vulnificus. Antigen specific humoral and cell
mediated immune responses were assessed by enzyme linked immunosorbent assay
(ELISA) or fluorescent activated cell sorting (FACS). A comparative analysis of
various routes of vaccination viz. intranasal, sublingual and subcutaneous, was
also done. RESULTS: In this study, we show that a recombinant Pd-vaccine
administered through intranasal route induced a robust TH2-dependent humoral
immune response and that the combination of vaccine with FlaB significantly
enhanced the antibody response. Interestingly, FlaB induced a mixed TH1/TH2 type
of immune response with a significant induction of IgG1 as well as IgG2a
antibodies. FlaB also induced strong IgA responses in serum and feces. FlaB
mediated antibody responses were toll like receptor 5 (TLR5) dependent, since the
FlaB adjuvanticity was lost in TLR5(-/-) mice. Further, though the Pd-vaccine by
itself failed to induce a cell mediated immune response, the Pd-FlaB combination
stimulated a robust CD4(+)IFNgamma(+) and CD8(+)IFNgamma(+) T cell response in
spleen and mesenteric lymph nodes. We also compared the adjuvant effects of FlaB
with that of alum and complete Freund's adjuvant (CFA). We found that
subcutaneously inoculated FlaB induced more significant levels of IgG and IgA in
both serum and feces compared to alum or CFA in respective samples. CONCLUSION:
We validate the use of TLR5 agonist as a strong mucosal adjuvant that would
facilitate the development of NoV specific vaccines for humans and veterinary
use. This study also highlights the importance of route of immunization in
inducing the appropriate immune responses in mucosal compartments.
PMID- 27184357
TI - Disorders of Sex Development-Ambiguous Genitalia.
PMID- 27184358
TI - Palladium-catalysed directed C-H activation by anilides and ureas; water
participation in a general base mechanism.
AB - C-H activation plays a central role in organometallic catalysis. Concerted
metallation-deprotonation (CMD) has been dominant as the pathway for C-H bond
cleavage. In the course of studying the mechanism of C-H activation of arylamides
and arylureas with Pd complexes as part of catalytic oxidative Heck reactions,
DFT calculations were carried out. The turnover-limiting C-H activation is acid
catalysed and can occur readily in the absence of acetate or other coordinating
bases. The calculations simulated experiment, so that ligated sulfonate and
water, both previously observed by X-ray characterization, were incorporated in
the model. A Wheland-type complex between acetanilide and Pd was readily located,
but the reactive C-H and the coordinated sulfonate were poorly placed for
intramolecular proton transfer. Involvement of a water molecule coordinated to
sulfonate provides a low-energy pathway to the palladacycle. The relative
reactivity of substituted acetanilides and arylureas according to this model fits
well with existing literature. General-base catalysis as described here has
broader potential.
PMID- 27184356
TI - Adolescent Sleep and the Impact of Technology Use Before Sleep on Daytime
Function.
AB - Technology has become pervasive in our culture, particularly among adolescents.
The purpose of this study is to examine associations between use of technology
before sleep and daytime function in adolescents. DESIGN AND METHODS: This study
is a secondary analysis of respondents aged 13 to 21 years (N = 259) from the
2011 National Sleep Foundation's Sleep in America Poll. The survey included
questions on demographics, sleep habits, and use of technology in the hour before
bedtime. Daytime sleepiness was assessed with the Epworth Sleepiness Scale (ESS).
Student's t-tests, Mann-Whitney U, and Fischer's exact tests were performed to
detect differences in demographics, sleep duration, and technology use in the
total sample, and between respondents with "adequate" compared to "inadequate"
sleep. Correlations were calculated between technology frequency and daytime
function. RESULTS: Adolescents had mean sleep duration of 7.3 +/- 1.3 h. Almost
all respondents (97%) used some form of technology before sleep. Increased
technology use and the frequency of being awoken in the night by a cell phone
were significantly associated with waking too early, waking unrefreshed, and
daytime sleepiness (p < 0.05). Adolescents who reported "inadequate" sleep had
shorter sleep duration, greater frequency of technology use before bedtime,
feeling unrefreshed on waking, and greater daytime sleepiness than those
reporting "adequate" sleep (all p-values < 0.05). CONCLUSION: Technology use
before sleep by adolescents had negative consequences on nighttime sleep and on
daytime function. PRACTICE IMPLICATIONS: Healthcare professionals who interact
with adolescents should encourage technology to be curtailed before bedtime and
for adolescents to value obtaining adequate sleep.
PMID- 27184360
TI - Surface-modified Ba(Zr0.3Ti0.7)O3 nanofibers by polyvinylpyrrolidone filler for
poly(vinylidene fluoride) composites with enhanced dielectric constant and energy
storage density.
AB - Ferroelectric-relaxor behavior of Ba(Zr0.3Ti0.7)O3 nanofibers (BZT NF) with a
large aspect ratio were prepared via electrospinning and surface modified by PVP
as dielectric fillers. The nanocomposite flexible films based on surface modified
BZT NF and polyvinylidene fluoride (PVDF) were fabricated via a solution casting.
The results show that the surface-modified BZT NF fillers are highly dispersed
and well integrated in the PVDF nanocomposites. The nanocomposites exhibit
enhanced dielectric constant and reduced loss tangents at a low volume fraction
of surface-modified BZT NF. The polymer nanocomposites maintain a relatively high
breakdown strength, which is favorable for enhancing energy storage density in
the nanocomposites. The nanocomposite containing of 2.5 vol. % of PVP modified
BZT NF exhibits energy density as high as 6.3 J/cm(3) at 3800 kV/cm, which is
more than doubled that of the pure PVDF of 2.8 J/cm(3) at 4000 kV/cm. Such
significant enhancement could be attributed to the combined effects of the
surface modification and large aspect ratio of the BZT NF. This work may provide
a route for using the surface modified ferroelectric-relaxor behavior of ceramic
nanofibers to enhance the dielectric energy density in ceramic-polymer
nanocomposites.
PMID- 27184359
TI - Relationship between body fat and BMI in a US hispanic population-based cohort
study: Results from HCHS/SOL.
AB - OBJECTIVE: To evaluate the percentage of body fat (%BF)-BMI relationship,
identify %BF levels corresponding to adult BMI cut points, and examine %BF-BMI
agreement in a diverse Hispanic/Latino population. METHODS: %BF by bioelectrical
impedance analysis was corrected against %BF by (18) O dilution in 434
participants of the ancillary Hispanic Community Health Study/Study of Latinos.
Corrected %BF was regressed against 1/BMI in the parent study (n = 15,261),
fitting models for each age group, by sex, and Hispanic/Latino background;
predicted %BF was then computed for each BMI cut point. RESULTS: Bioelectrical
impedance analysis underestimated %BF by 8.7 +/- 0.3% in women and 4.6 +/- 0.3%
in men (P < 0.0001). The %BF-BMI relationship was nonlinear and linear for 1/BMI.
Sex- and age-specific regression parameters between %BF and 1/BMI were consistent
across Hispanic/Latino backgrounds (P > 0.05). The precision of the %BF-1/BMI
association weakened with increasing age in men but not women. The proportion of
participants classified as nonobese by BMI but as having obesity by %BF was
generally higher among women and older adults (16.4% in women vs. 12.0% in men
aged 50-74 years). CONCLUSIONS: %BF was linearly related to 1/BMI with consistent
relationship across Hispanic/Latino backgrounds. BMI cut points consistently
underestimated the proportion of Hispanics/Latinos with excess adiposity.
PMID- 27184361
TI - Clinicopathological features and treatment outcomes of the rare, salivary duct
carcinoma of parotid gland.
AB - BACKGROUND: Salivary ductal carcinoma (SDC) of parotid gland is a rare and
aggressive entity; accounting for 1-3 % of all malignant salivary gland tumors,
0.2 % of epithelial salivary gland neoplasms, 0.5 % of salivary gland carcinomas,
and 1.1 % of parotid gland carcinomas. Here in we aimed to evaluate the clinico
pathological features and treatment outcomes of parotid gland SDC in Saudi
population. METHODS: Among 38 patients with parotid malignancies, who were
treated in two major tertiary care referral cancer centers between December 2007
and December 2014, seven cases (18.4 %) were found to have SDC, which were
investigated for clinicopathological features, locoregional recurrences (LRRs),
distant metastasis (DM) and survival rates. RESULTS: Mean age of cohort was 62.3
years (range: 41-83) and female predominant (71.4 %). All patients underwent
total parotidectomy and ipsilateral neck dissection. Mean tumor size was 3.4 cm
(range: 2.1-5.3); perineural invasion (85.8 %); lymph node involvement (42.9 %);
and HER-2 neu overexpression (28.6 %). Postoperative radiation therapy (PORT) was
given to six patients (dose: 50-66 Gy). Median follow-up was 20.2 months (range:
11-48). LRRs were seen in five (71.4 %) patients (base of skull, 3 patients;
cervical nodes, one patient; parotid bed, one patient). LRRs were salvaged with
resection (two patients) and re-irradiation (one patient with base of skull). DM
in lungs was seen in three patients (42.8 %); one treated with
carboplatin/paclitaxel based chemotherapy. The 4-year disease free and overall
survival rates were 16.7 % and 40 % respectively. CONCLUSION: SDC of parotid
gland is a rare and aggressive entity, and most of LRRs were seen in the base of
skull, which warrants inclusion of base of skull in clinical target volume in
PORT planning. Role of anti HER-2 targeted therapy in SDC with HER-2 neu
overexpression needs further investigations.
PMID- 27184363
TI - CIRSE Standards of Practice Guidelines on Gastrostomy.
AB - PURPOSE: Surgical Gastrostomy has been around since the 19th century but in 1980
the first successful percutaneous endoscopic gastrostomy was reported. A year
later the first successful percutaneous gastrostomy was performed using
fluoroscopic guidance. The technique for percutaneous insertion and the equipment
used has been refined since then and it is now considered the gold standard for
gastrostomy insertion. Here we present guidelines for image-guided enteral
feeding tubes in adults. MATERIAL AND METHOD: We performed a review and analysis
of the scientific literature, other national and international guidelines and
expert opinion. RESULTS: Studies have shown fluoroscopic techniques have
consistently higher success rates with lower rates of major complications than
endoscopic techniques. However, the Achilles' heel of many fluoroscopic
techniques is the requirement for smaller gastrostomy tube sizes resulting in
them being more prone to blockages and thus requiring further intervention.
CONCLUSION: Radiological feeding tube insertion is a safe and effective
procedure. Success rates are higher, and complication rates lower than PEG or
surgical gastrostomy tube placement and innovative techniques for gastric and
jejunal access mean that there are very few cases in which RIG is not possible.
The principal weakness of radiologically inserted gastrostomies is the
limitiation on tube size which leads to a higher rate of tube blockage. Per-oral
image-guided gastrostomies have to an extent addressed this but have not been
popularised. Currently many centres still consider endoscopic gastrostomies as
the first line unless patients are too unwell to undergo this procedure or
previous attempts have failed, in which case radioloically inserted gastrostomies
are the technique of choice.
PMID- 27184362
TI - Global changes in the proteome of Cupriavidus necator H16 during poly-(3
hydroxybutyrate) synthesis from various biodiesel by-product substrates.
AB - Synthesis of poly-[3-hydroxybutyrate] (PHB) by Cupriavidus necator H16 in batch
cultures was evaluated using three biodiesel-derived by-products as the sole
carbon sources: waste glycerol (REG-80, refined to 80 % purity with negligible
free fatty acids); glycerol bottom (REG-GB, with up to 65 % glycerol and 35 %
free fatty acids), and free fatty acids (REG-FFA, with up to 75 % FFA and no
glycerol). All the three substrates supported growth and PHB production by C.
necator, with polymer accumulation ranging from 9 to 84 % cell dry weight (cdw),
depending on the carbon source. To help understand these differences, proteomic
analysis indicated that although C. necator H16 was able to accumulate PHB during
growth on all three biodiesel by-products, no changes in the levels of PHB
synthesis enzymes were observed. However, significant changes in the levels of
expression were observed for two Phasin proteins involved with PHB accumulation,
and for a number of gene products in the fatty acid beta-oxidation pathway, the
Glyoxylate Shunt, and the hydrogen (H2) synthesis pathways in C. necator cells
cultured with different substrates. The glycerol transport protein (GlpF) was
induced in REG-GB and REG-80 glycerol cultures only. Cupriavidus necator cells
cultured with REG-GB and REG-FFA showed up-regulation of beta-oxidation and
Glyoxylate Shunt pathways proteins at 24 h pi, but H2 synthesis pathways enzymes
were significantly down-regulated, compared with cells cultured with waste
glycerol. Our data confirmed earlier observations of constitutive expression of
PHB synthesis proteins, but further suggested that C. necator H16 cells growing
on biodiesel-derived glycerol were under oxidative stress.
PMID- 27184364
TI - Arteriolymphatic Fistula: An Unusual Cause of Spontaneous Swelling in the Left
Supraclavicular Region.
AB - An abnormal fistulous communication between an artery and lymphatic system is a
rare occurrence. We report a 38-year-old male presenting with sudden onset,
spontaneous, pulsatile swelling in the left supraclavicular region following a
recent cardiac catheterisation via right femoral arterial access. On evaluation,
he was found to have a femoral arteriolymphatic fistula. He was managed
conservatively with ultrasound-guided compression with complete resolution of
symptoms at follow-up. This case describes a hitherto unknown complication of
percutaneous vascular cannulation presenting in an unusual manner, diagnosed with
Doppler Ultrasonography and CT angiography and managed effectively with a non
invasive therapeutic image-guided manoeuvre.
PMID- 27184365
TI - Crystalline-Amorphous-Crystalline Transformation in a Highly Brilliant
Luminescent System with Trigonal-Planar Gold(I) Centers.
AB - Photoluminescent compounds showing emission color changes in response to external
stimuli have received considerable attention because of their wide range of
applications. Here, we report the unique photoluminescence behavior of a
digold(I) coordination system with trigonal-planar Au(I) centers,
[Au2(dppm)3](2+) (dppm = bis(diphenylphosphino)methane). This system shows an
extremely intense phosphorescence, with a quantum yield of >95% in the solid
state. Both the emission color and thermal stability vary due to changing counter
ions (Cl(-) vs. OTf(-)). Of particular note is the thermal crystalline-amorphous
crystalline transformation for the chloride salt, which is accompanied by drastic
emission color changes. Single-crystal and powder X-ray diffractions demonstrate
that the two-step transformation is induced by the loss of water molecules of
crystallization with the subsequent removal of a dppm ligand to form
[Au2(dppm)2](2+), which is mechanically reverted back to [Au2(dppm)3](2+).
PMID- 27184378
TI - Erratum to: Resuscitative endovascular balloon occlusion of the aorta for
uncontrolled haemorrahgic shock as an adjunct to haemostatic procedures in the
acute care setting.
PMID- 27184366
TI - The effect of growth rate on pyrazinamide activity in Mycobacterium tuberculosis
insights for early bactericidal activity?
AB - BACKGROUND: Pyrazinamide (PZA) plays an essential part in the shortened six-month
tuberculosis (TB) treatment course due to its activity against slow-growing and
non-replicating organisms. We tested whether PZA preferentially targets slow
growing cells of Mycobacterium tuberculosis that could be representative of
bacteria that remain after the initial kill with isoniazid (INH), by observing
the response of either slow growing or fast growing bacilli to differing
concentrations of PZA. METHODS: M. tuberculosis H37Rv was grown in continuous
culture at either a constant fast growth rate (Mean Generation Time (MGT) of 23.1
h) or slow growth rate (69.3 h MGT) at a controlled dissolved oxygen tension of
10 % and a controlled acidity at pH 6.3 +/- 0.1. Cultures were exposed to step
wise increases in the concentration of PZA (25 to 500 MUgml(-1)) every two MGTs,
and bacterial survival was measured. PZA-induced global gene expression was
explored for each increase in PZA-concentration, using DNA microarray. RESULTS:
At a constant pH 6.3, actively dividing mycobacteria were susceptible to PZA,
with similar responses to increasing concentrations of PZA at both growth rates.
Three distinct phases of drug response could be distingished for both slow
growing (69.3 h MGT) and fast growing (23.1 h MGT) bacilli. A bacteriostatic
phase at a low concentration of PZA was followed by a recovery period in which
the culture adapted to the presence of PZA and bacteria were actively dividing in
steady-state. In contrast, there was a rapid loss of viability at bactericidal
concentrations. There was a notable delay in the onset of the recovery period in
quickly dividing cells compared with those dividing more slowly. Fast growers and
slow growers adapted to PZA-exposure via very similar mechanisms; through reduced
gene expression of tRNA, 50S, and 30S ribosomal proteins. CONCLUSIONS: PZA had an
equivalent level of activity against fast growing and slow growing M.
tuberculosis. At both growth rates drug-tolerance to sub-lethal concentrations
may have been due to reduced expression of tRNA, 50S, and 30S ribosomal proteins.
The findings from this study show that PZA has utility against more than one
phenotypic sub-population of bacilli and could be re-assessed for its early
bactericidal activity, in combination with other drugs, during TB treatment.
PMID- 27184379
TI - Docetaxel Activity in the Era of Life-prolonging Hormonal Therapies for
Metastatic Castration-resistant Prostate Cancer.
AB - For >6 yr, docetaxel with prednisone was the only treatment with survival
benefits for metastatic castration-resistant prostate cancer (mCRPC). More
recently, in clinical practice, abiraterone acetate has been commonly
administered prior to docetaxel for the treatment of mCRPC. Our study aimed to
review the activity of docetaxel after prior abiraterone. To this end, we
analyzed all retrospective reports in the literature describing the overall
survival (OS) of mCRPC patients treated with docetaxel after previous
abiraterone. The mean OS observed was 12.7 mo, which suggested a significant
decrement compared with the 19.2 mo seen in the updated analysis of the TAX 327
study; however, the data are quite similar to the OS of 13.6 mo (95% confidence
interval, 12.1-15.1 mo) described in a retrospective single-institution study of
357 men with mCRPC treated with docetaxel with no prior abiraterone mostly in
routine practice (86.3%). Because the characteristics of patients recruited in
phase 3 trials tend to differ from the real-world setting, we deemed this data
set a relevant comparison. Consequently, despite the limitations of retrospective
cross-study comparisons, the data suggest that docetaxel retains activity when
used as second-line therapy after abiraterone for mCRPC patients. PATIENT
SUMMARY: We reviewed the activity of docetaxel after prior use of abiraterone and
considered the results in the light of the outcomes of docetaxel used as first
line therapy for metastatic castration-resistant prostate cancer (mCRPC) patients
in routine practice. We noted that docetaxel retains reasonable activity and is a
useful agent for the treatment of mCRPC patients before or after abiraterone.
PMID- 27184380
TI - Making Predictive Biomarkers Readily Available.
PMID- 27184381
TI - Patient-reported utilities in bilateral visual impairment from amblyopia and age
related macular degeneration.
AB - BACKGROUND: Utility of visual impairment caused by amblyopia is important for the
cost-effectiveness of screening for amblyopia (lazy eye, prevalence 3-3.5 %). We
previously measured decrease of utility in 35-year-old persons with unilateral
persistent amblyopia. The current observational case-control study aimed to
measure loss of utility in patients with amblyopia with recent decrease of vision
in their better eye. As these patients are rare, the sample was supplemented by
patients with bilateral age-related macular degeneration with similar decrease of
vision. METHODS: From our out-patient department, two groups of patients with
recent deterioration to bilateral visual acuity less than Snellen 0.5 (bilateral
visual impairment, BVI) were recruited, with either persistent amblyopia and age
related macular degeneration (AMB + AMD), or with bilateral age-related macular
degeneration (BAMD). To measure utility, the time trade-off method and the
standard gamble method were applied through interviews. Correlations were sought
between utility values and visual acuity, age and Visual Function Questionnaire
25 scores. RESULTS: Seventeen AMB + AMD patients (mean age 72.9 years), and 63
BAMD patients (mean age 79.6 years) were included in the study. Among AMB + AMD,
80 % were willing to trade lifetime in exchange for cure. The overall mean time
trade-off utility was 0.925. Among BAMD, 75 % were willing to trade, utility was
0.917. Among AMB + AMD, 38 % accepted risk of death in exchange for cure, overall
mean standard gamble utility was 0.999. Among BAMD, 49 % accepted risk of death,
utility was 0.998. Utility was not related to visual acuity but it was to age (p
= 0.02). CONCLUSION: Elderly patients with BVI, caused by persistent amblyopia
and age-related macular degeneration (AMD) or by bilateral AMD, had an
approximately 8 % loss of TTO utility. Notably, the 8 % loss in elderly with BVI
differs little from the 3.7 % loss we found previously in 35-year-old persons
with unilateral amblyopia with good vision in the other eye. The moderate impact
of BVI in senescence could be explained by adaptation, comorbidity, avoidance of
risk and a changed percept of cure.
PMID- 27184382
TI - How do I interpret a confidence interval?
AB - A 95% confidence interval (CI) of the mean is a range with an upper and lower
number calculated from a sample. Because the true population mean is unknown,
this range describes possible values that the mean could be. If multiple samples
were drawn from the same population and a 95% CI calculated for each sample, we
would expect the population mean to be found within 95% of these CIs. CIs are
sensitive to variability in the population (spread of values) and sample size.
When used to compare the means of two or more treatment groups, a CI shows the
magnitude of a difference between groups. This is helpful in understanding both
the statistical significance and the clinical significance of a treatment. In
this article we describe the basic principles of CIs and their interpretation.
PMID- 27184383
TI - Combined Effects of Acamprosate and Escitalopram on Ethanol Consumption in Mice.
AB - BACKGROUND: Major depression is one of the most prevalent psychiatry
comorbidities of alcohol use disorders (AUD). As negative emotions can trigger
craving and increase the risk of relapse, treatments that target both conditions
simultaneously may augment treatment success. Previous studies showed a potential
synergistic effect of Food and Drug Administration approved medication for AUD
acamprosate and the antidepressant escitalopram. In this study, we investigated
the effects of combining acamprosate and escitalopram on ethanol (EtOH)
consumption in stress-induced depressed mice. METHODS: Forty singly housed
C57BL/6J male mice were subjected to chronic unpredictable stress. In parallel,
40 group-housed male mice were subjected to normal husbandry. After 3 weeks,
depressive- and anxiety-like behaviors and EtOH consumption were assessed. For
the next 7 days, mice were injected with saline, acamprosate (200 mg/kg;
twice/d), escitalopram (5 mg/kg; twice/d), or their combination (n = 9 to 11/drug
group/stress group). Two-bottle choice limited-access drinking of 15% EtOH and
tap water was performed 3 hours into dark phase immediately after the daily dark
phase injection. EtOH drinking was monitored for another 7 days without drug
administration. RESULTS: Mice subjected to the chronic unpredictable stress
paradigm for 3 weeks showed apparent depression- and anxiety-like behaviors
compared to their nonstressed counterparts including longer immobility time in
the forced swim test and lower sucrose preference. Stressed mice also displayed
higher EtOH consumption and preference in a 2-bottle choice drinking test. During
the drug administration period, the escitalopram-only and combined drug groups
showed significant reduction in EtOH consumption in nonstressed mice, while only
the combined drug group showed significantly reduced consumption in stressed
mice. However, such reduction did not persist into the postdrug administration
period. CONCLUSIONS: The combination of acamprosate and escitalopram suppressed
EtOH intake in both nonstressed and stressed mice; hence, this combination is
potentially helpful for AUD individuals with or without comorbid depression to
reduce alcohol use.
PMID- 27184384
TI - Rivulet: 3D Neuron Morphology Tracing with Iterative Back-Tracking.
AB - The digital reconstruction of single neurons from 3D confocal microscopic images
is an important tool for understanding the neuron morphology and function.
However the accurate automatic neuron reconstruction remains a challenging task
due to the varying image quality and the complexity in the neuronal arborisation.
Targeting the common challenges of neuron tracing, we propose a novel automatic
3D neuron reconstruction algorithm, named Rivulet, which is based on the multi
stencils fast-marching and iterative back-tracking. The proposed Rivulet
algorithm is capable of tracing discontinuous areas without being interrupted by
densely distributed noises. By evaluating the proposed pipeline with the data
provided by the Diadem challenge and the recent BigNeuron project, Rivulet is
shown to be robust to challenging microscopic imagestacks. We discussed the
algorithm design in technical details regarding the relationships between the
proposed algorithm and the other state-of-the-art neuron tracing algorithms.
PMID- 27184385
TI - Vitamin-D receptor agonist calcitriol reduces calcification in vitro through
selective upregulation of SLC20A2 but not SLC20A1 or XPR1.
AB - Vitamin D deficiency (hypovitaminosis D) causes osteomalacia and poor long bone
mineralization. In apparent contrast, hypovitaminosis D has been reported in
patients with primary brain calcifications ("Fahr's disease"). We evaluated the
expression of two phosphate transporters which we have found to be associated
with primary brain calcification (SLC20A2, whose promoter has a predicted vitamin
D receptor binding site, and XPR1), and one unassociated (SLC20A1), in an in
vitro model of calcification. Expression of all three genes was significantly
decreased in calcifying human bone osteosarcoma (SaOs-2) cells. Further, we
confirmed that vitamin D (calcitriol) reduced calcification as measured by
Alizarin Red staining. Cells incubated with calcitriol under calcifying
conditions specifically maintained expression of the phosphate transporter
SLC20A2 at higher levels relative to controls, by RT-qPCR. Neither SLC20A1 nor
XPR1 were affected by calcitriol treatment and remained suppressed. Critically,
knockdown of SLC20A2 gene and protein with CRISPR technology in SaOs2 cells
significantly ablated vitamin D mediated inhibition of calcification. This study
elucidates the mechanistic importance of SLC20A2 in suppressing the calcification
process. It also suggests that vitamin D might be used to regulate SLC20A2 gene
expression, as well as reduce brain calcification which occurs in Fahr's disease
and normal aging.
PMID- 27184386
TI - Demographic inference under the coalescent in a spatial continuum.
AB - Understanding population dynamics from the analysis of molecular and spatial data
requires sound statistical modeling. Current approaches assume that populations
are naturally partitioned into discrete demes, thereby failing to be relevant in
cases where individuals are scattered on a spatial continuum. Other models
predict the formation of increasingly tight clusters of individuals in space,
which, again, conflicts with biological evidence. Building on recent theoretical
work, we introduce a new genealogy-based inference framework that alleviates
these issues. This approach effectively implements a stochastic model in which
the distribution of individuals is homogeneous and stationary, thereby providing
a relevant null model for the fluctuation of genetic diversity in time and space.
Importantly, the spatial density of individuals in a population and their range
of dispersal during the course of evolution are two parameters that can be
inferred separately with this method. The validity of the new inference framework
is confirmed with extensive simulations and the analysis of influenza sequences
collected over five seasons in the USA.
PMID- 27184388
TI - Murine supraspinatus tendon injury model to identify the cellular origins of
rotator cuff healing.
AB - : Purpose of this study: To elucidate the origin of cell populations that
contribute to rotator cuff healing, we developed a mouse surgical model where a
full-thickness, central detachment is created in the supraspinatus. MATERIALS AND
METHODS: Three different inducible Cre transgenic mice with Ai9-tdTomato reporter
expression (PRG4-9, alphaSMA-9, and AGC-9) were used to label different cell
populations in the shoulder. The defect was created surgically in the
supraspinatus. The mice were injected with tamoxifen at surgery to label the
cells and sacrificed at 1, 2, and 5 weeks postoperatively. Frozen sections were
fluorescently imaged then stained with Toluidine Blue and re-imaged. RESULTS:
Three notable changes were apparent postoperatively. (1) A long thin layer of
tissue formed on the bursal side overlying the supraspinatus tendon. (2) The
tendon proximal to the defect initially became hypercellular and disorganized.
(3) The distal stump at the insertion underwent minimal remodeling. In the
uninjured shoulder, tdTomato expression was seen in the tendon midsubstance and
paratenon cell on the bursal side in PRG4-9, in paratenon, blood vessels, and
periosteum of acromion in SMA-9, and in articular cartilage, unmineralized
fibrocartilage of supraspinatus enthesis, and acromioclavicular joint in AGC-9
mice. In the injured PRG4-9 and SMA-9 mice, the healing tissues contained an
abundant number of tdTomato+ cells, while minimal contribution of tdTomato+ cells
was seen in AGC-9 mice. CONCLUSIONS: The study supports the importance of the
bursal side of the tendon to rotator cuff healing and PRG4 and alphaSMA may be
markers for these progenitor cells.
PMID- 27184389
TI - Synaptonemal Complex Proteins of Budding Yeast Define Reciprocal Roles in
MutSgamma-Mediated Crossover Formation.
AB - During meiosis, crossover recombination creates attachments between homologous
chromosomes that are essential for a precise reduction in chromosome ploidy. Many
of the events that ultimately process DNA repair intermediates into crossovers
during meiosis occur within the context of homologous chromosomes that are
tightly aligned via a conserved structure called the synaptonemal complex (SC),
but the functional relationship between SC and crossover recombination remains
obscure. There exists a widespread correlation across organisms between the
presence of SC proteins and successful crossing over, indicating that the SC or
its building block components are procrossover factors . For example, budding
yeast mutants missing the SC transverse filament component, Zip1, and mutant
cells missing the Zip4 protein, which is required for the elaboration of SC, fail
to form MutSgamma-mediated crossovers. Here we report the reciprocal phenotype-an
increase in MutSgamma-mediated crossovers during meiosis-in budding yeast mutants
devoid of the SC central element components Ecm11 or Gmc2, and in mutants
expressing a version of Zip1 missing most of its N terminus. This novel
phenotypic class of SC-deficient mutants demonstrates unequivocally that the
tripartite SC structure is dispensable for MutSgamma-mediated crossover
recombination in budding yeast. The excess crossovers observed in SC central
element-deficient mutants are Msh4, Zip1, and Zip4 dependent, clearly indicating
the existence of two classes of SC proteins-a class with procrossover function(s)
that are also necessary for SC assembly and a class that is not required for
crossover formation but essential for SC assembly. The latter class directly or
indirectly limits MutSgamma-mediated crossovers along meiotic chromosomes. Our
findings illustrate how reciprocal roles in crossover recombination can be
simultaneously linked to the SC structure.
PMID- 27184387
TI - Neuroimaging the Effectiveness of Substance Use Disorder Treatments.
AB - Neuroimaging techniques to measure the function and biochemistry of the human
brain such as positron emission tomography (PET), proton magnetic resonance
spectroscopy ((1)H MRS), and functional magnetic resonance imaging (fMRI), are
powerful tools for assessing neurobiological mechanisms underlying the response
to treatments in substance use disorders. Here, we review the neuroimaging
literature on pharmacological and behavioral treatment in substance use disorder.
We focus on neural effects of medications that reduce craving (e.g., naltrexone,
bupropion hydrochloride, baclofen, methadone, varenicline) and that improve
cognitive control (e.g., modafinil, N-acetylcysteine), of behavioral treatments
for substance use disorders (e.g., cognitive bias modification training, virtual
reality, motivational interventions) and neuromodulatory interventions such as
neurofeedback and transcranial magnetic stimulation. A consistent finding for the
effectiveness of therapeutic interventions identifies the improvement of
executive control networks and the dampening of limbic activation, highlighting
their values as targets for therapeutic interventions in substance use disorders.
PMID- 27184392
TI - Letter to the Editor: "Comparing the Volume of Brain Metastases in F-18-FET-PET
and MRI".
PMID- 27184393
TI - Erratum.
PMID- 27184394
TI - May 2016 Editor-in-Chief Letter.
PMID- 27184391
TI - Clinico-radiological features of primary lacrimal gland pleomorphic adenoma: an
analysis of 37 cases.
AB - PURPOSE: To present the radiological and clinical features of primary lacrimal
gland pleomorphic adenoma (PLGPA). METHODS: Thirty-seven consecutive PLGPAs
presenting to two hospitals in Japan were reviewed. RESULTS: PLGPA cases had 15
men and 22 women with a mean age of 51.9 years. Common presenting features were
ptosis (83 %), diplopia (78 %) and globe displacement (78 %). Twenty-two percent
of cases reported symptom duration of less than 6 months, but only 5.6 % of cases
had pain. Sixteen percent of PLGPAs were centered in the palpebral lobe; none of
which were associated with globe indentation or lacrimal fossa expansion. Bony
excavation was observed in 84 % of orbital lobe PLGPA; the bone margin was well
demarcated and the character of excavation was more frequently smooth than
scalloped (2:1). The presence of globe indentation or bony excavation was
associated with increased tumor size (p = 0.003). An enhancing rim was visible on
T1-weighted fat-suppressed gadolinium-enhanced magnetic resonance imaging (T1FS
Gad MRI) in 27 %. Five (19 %) enclosed cystic spaces were bright on T2-weighted
MRI and non-enhancing. Calcification of tumor parenchyma was common in cystic
cases but rare in non-cystic cases (p = 0.01). CONCLUSIONS: PLGPAs have varied
clinical and radiological features. Common radiological features are a
heterogeneous internal architecture on T2-weighted MRI, an enhancing rim on T1FS
Gad MRI, smooth or scalloped bony excavation with intact cortical bone, and globe
indentation. Cystic spaces, calcification, and symptom duration less than 6
months are common, but pain is rare. Awareness of the clinico-radiological
variants of PLGPA is important when considering incisional biopsy of a lacrimal
gland mass.
PMID- 27184395
TI - The up-rise in e-cigarette use - friend or foe?
PMID- 27184390
TI - Roles for the Histone Modifying and Exchange Complex NuA4 in Cell Cycle
Progression in Drosophila melanogaster.
AB - Robust and synchronous repression of E2F-dependent gene expression is critical to
the proper timing of cell cycle exit when cells transition to a postmitotic
state. Previously NuA4 was suggested to act as a barrier to proliferation in
Drosophila by repressing E2F-dependent gene expression. Here we show that NuA4
activity is required for proper cell cycle exit and the repression of cell cycle
genes during the transition to a postmitotic state in vivo However, the delay of
cell cycle exit caused by compromising NuA4 is not due to additional
proliferation or effects on E2F activity. Instead NuA4 inhibition results in
slowed cell cycle progression through late S and G2 phases due to aberrant
activation of an intrinsic p53-independent DNA damage response. A reduction in
NuA4 function ultimately produces a paradoxical cell cycle gene expression
program, where certain cell cycle genes become derepressed in cells that are
delayed during the G2 phase of the final cell cycle. Bypassing the G2 delay when
NuA4 is inhibited leads to abnormal mitoses and results in severe tissue defects.
NuA4 physically and genetically interacts with components of the E2F complex
termed D: rosophila, R: bf, E: 2F A: nd M: yb/ M: ulti-vulva class B:
(DREAM/MMB), and modulates a DREAM/MMB-dependent ectopic neuron phenotype in the
posterior wing margin. However, this effect is also likely due to the cell cycle
delay, as simply reducing Cdk1 is sufficient to generate a similar phenotype. Our
work reveals that the major requirement for NuA4 in the cell cycle in vivo is to
suppress an endogenous DNA damage response, which is required to coordinate
proper S and G2 cell cycle progression with differentiation and cell cycle gene
expression.
PMID- 27184396
TI - Bactericidal Activity of Usnic Acid-Loaded Electrospun Fibers.
AB - BACKGROUND: Usnic acid has been progressively reported in the literature as one
of the most important lichen metabolites characterized by a rich diversity of
applications such as antifungal, antimicrobial, antiprotozoal and antiviral
agent. Particularly, antimicrobial activity of usnic acid can be improved by
encapsulation of active molecules in enteric electrospun fibers, allowing the
controlled release of active molecule at specific pH. Few relevant patents to the
topic have been reviewed and cited. METHODS: Bactericidal activity of usnic acid
loaded electrospun fibers of Eudragit L-100 and polyvinylpyrrolidone was examined
against Staphylococcus aureus using inhibition hales methodology. RESULTS: The
controlled release of active material at high pH is established after 10 minutes
of interaction with media and results in reasonable activity against S. aureus,
as detected by inhibition hales. CONCLUSION: The strong biological activity of
usnic acid-loaded electrospun fibers provides a promising application for
corresponding material as a bactericidal agent for wound healing treatment.
PMID- 27184398
TI - Poor Feeding, Weight Loss, and Electrolyte Abnormalities in a Term Infant.
PMID- 27184397
TI - Pain management among Dominican patients with advanced osteoarthritis: a
qualitative study.
AB - BACKGROUND: Advanced osteoarthritis and total joint replacement (TJR) recovery
are painful experiences and often prompt opioid use in developed countries.
Physicians participating in the philanthropic medical mission Operation Walk
Boston (OpWalk) to the Dominican Republic have observed that Dominican patients
require substantially less opioid medication following TJR than US patients. We
conducted a qualitative study to investigate approaches to pain management and
expectations for postoperative recovery in patients with advanced arthritis
undergoing TJR in the Dominican Republic. METHODS: We interviewed 20 patients
before TJR about their pain coping mechanisms and expectations for postoperative
pain management and recovery. Interviews were conducted in Spanish, translated,
and analyzed in English using content analysis. RESULTS: Patients reported modest
use of pain medications and limited knowledge of opioids, and many relied on non
pharmacologic therapies and family support to cope with pain. They held strong
religious beliefs that offered them strength to cope with chronic arthritis pain
and prepare for acute pain following surgery. Patients exhibited a great deal of
trust in powerful others, expecting God and doctors to cure their pain through
surgery. CONCLUSION: We note the importance of understanding a patient's
individual pain coping mechanisms and identifying strategies to support these
coping behaviors in pain management. Such an approach has the potential to reduce
the burden of chronic arthritis pain while limiting reliance on opioids,
particularly for patients who do not traditionally utilize powerful analgesics.
PMID- 27184400
TI - Highly sensitive analysis of polycyclic aromatic hydrocarbons in environmental
water with porous cellulose/zeolitic imidazolate framework-8 composite
microspheres as a novel adsorbent coupled with high-performance liquid
chromatography.
AB - In this work, novel cellulose/zeolitic imidazolate frameworks-8 composite
microspheres have been successfully fabricated and utilized as sorbent for
environmental polycyclic aromatic hydrocarbons efficient extraction and sensitive
analysis. The composite microspheres were synthesized through the in situ
hydrothermal growth of zeolitic imidazolate frameworks-8 on cellulose matrix, and
exhibited favorable hierarchical structure with chemical composition as assumed
through scanning electron microscopy, Fourier transform infrared spectroscopy, X
ray diffraction patterns, and Brunauer-Emmett-Teller surface areas
characterization. A robust and highly efficient method was then successfully
developed with as-prepared composite microspheres as novel solid-phase extraction
sorbent with optimum extraction conditions, such as sorbent amount, sample
volume, extraction time, desorption conditions, volume of organic modifier, and
ionic strength. The method exhibited high sensitivity with low limit of detection
down to 0.1-1.0 ng/L and satisfactory linearity with correlation coefficients
ranging from 0.9988 to 0.9999, as well as good recoveries of 66.7-121.2% with
relative standard deviations less than 10% for environmental polycyclic aromatic
hydrocarbons analysis. Thus, our method was convenient and efficient for
polycyclic aromatic hydrocarbons extraction and detection, potential for future
environmental water samples analysis.
PMID- 27184399
TI - Guideline Recommended Medical Therapy for Cardiovascular Diseases in the Obese:
Insights From the Veterans Affairs Clinical Assessment, Reporting, and Tracking
(CART) Program.
AB - BACKGROUND: Stigma against the obese is well described in health care and may
contribute to disparities in medical decision-making. It is unknown whether
similar disparity exists for obese patients in cardiovascular care. We evaluated
the association between body mass index (BMI) and prescription of guideline
recommended medications in patients undergoing elective percutaneous coronary
intervention. METHODS AND RESULTS: Using data from the Veterans Affairs Clinical
Assessment, Reporting, and Tracking System Program, we identified patients
undergoing elective percutaneous coronary intervention from 2007 to 2012,
stratifying them by category of BMI. We described rates of prescription for class
I guideline recommended medications for each BMI category (normal, overweight,
and obese). Multivariable logistic regression assessed the association between
BMI category and medication prescription. Seventeen thousand thirty-seven
patients were identified, with 35.3% having overweight BMI, and 50.8% obese BMI.
Obese patients were more likely than normal BMI patients to be prescribed beta
blockers (OR 1.34), statins (OR 1.39), or ACE/ARB (odds ratio [OR] 1.52; all
significant) when indicated. Overweight patients were more likely than normal BMI
patients to be prescribed statins (OR 1.29) and angiotensin-converting
enzymes/angiotensin II receptor blockers (OR 1.41) when indicated. There was no
association between BMI category and prescription of anticoagulants. CONCLUSIONS:
Over 85% of patients undergoing elective percutaneous coronary intervention in
the Veterans Affairs are overweight or obese. Rates of guideline-indicated
medication prescription were <70% among all patients, and across BMI categories,
with an association between increased BMI and greater use of guideline
recommended medications. Our findings offer a possible contribution to the
obesity paradox seen in many cardiovascular conditions.
PMID- 27184402
TI - Carapace surface architecture facilitates camouflage of the decorator crab
Tiarinia cornigera.
AB - This paper elucidates the unique setal morphology of the decorator crab Tiarinia
cornigera, and further presents evidence to that setal morphology promotes micro
organism nucleation and adhesion. The carapace of this crab is covered by
clusters of setae, each comprising a hollow acicular stem that is enveloped by a
haystack-like structure. Using computational fluid dynamics, we find that these
setae are responsible for manipulating water flow over the carapace surface.
Micro-organisms in the sea water, nest in areas of flow stagnation and as a
result, nucleate to and biofoul the setae by means of chemical adhesion. Attached
micro-organisms secrete extracellular polymeric substances, which we deduce must
also provide an additional element of chemical adhesion to mechanically
interlocked mesoscopic and macroscopic biomatter. By coupling physical and
chemical methods for adhesion, T. cornigera is able to hierarchically decorate
its carapace. STATEMENT OF SIGNIFICANCE: Our paper brings to light the unique
decorator crab carapace morphology of T. cornigera; and furthermore evidences its
function in micro-organism nucleation and adhesion. We show how this special
carapace morphology directs and guides water flow to form nesting regions of
water stagnation where micro-organisms can nucleate and adhere. In the
literature, decorator crab carapaces are presumed to be able to mechanically
interlock biomatter as camouflage using hook-like setal outgrowths. T. cornigera
contrarily exhibits clusters of hay-stack like structures. By encouraging micro
organism adhesion to the carapace setae, T. cornigera is able to effectively
attach biomatter using both chemical and physical principles of adhesion. T.
cornigera essentially has a super-biofouling carapace surface, for at least micro
organisms. Our work will have an impact on researchers interested in biofouling,
adhesion, biomedical and purification filter systems, and in the development of
novel biomimetic surfaces with tailored properties.
PMID- 27184403
TI - A lightweight, biological structure with tailored stiffness: The feather vane.
AB - The flying feathers of birds are keratinous appendages designed for maximum
performance with a minimum weight penalty. Thus, their design contains ingenious
combinations of components that optimize lift, stiffness, aerodynamics, and
damage resistance. This design involves two main parts: a central shaft that
prescribes stiffness and lateral vanes which allows for the capture of air.
Within the feather vane, barbs branch from the shaft and barbules branch from
barbs, forming a flat surface which ensures lift. Microhooks at the end of
barbules hold barbs tightly together, providing the close-knit, unified structure
of the feather vane and enabling a repair of the structure through the
reattachment of un-hooked junctions. Both the shaft and barbs are lightweight
biological structures constructed of keratin using the common motif of a solid
shell and cellular interior. The cellular core increases the resistance to
buckling with little added weight. Here we analyze the detailed structure of the
feather barb and, for the first time, explain its flexural stiffness in terms of
the mechanics of asymmetric foam-filled beams subjected to bending. The results
are correlated and validated with finite element modeling. We compare the flexure
of single barbs as well as arrays of barbs and find that the interlocking
adherence of barbs to one another enables a more robust structure due to
minimized barb rotation during deflection. Thus, the flexure behavior of the
feather vane can be tailored by the adhesive hooking between barbs, creating a
system that mitigates damage. A simplified three-dimensional physical model for
this interlocking mechanism is constructed by additive manufacturing. The
exceptional architecture of the feather vane will motivate the design of
bioinspired structures with tailored and unique properties ranging from adhesives
to aerospace materials. STATEMENT OF SIGNIFICANCE: Despite its importance to bird
flight, literature characterizing the feather vane is extremely limited. The
feather vane is composed of barbs that branch from the main shaft (rachis) and
barbules that branch from barbs. In this study, the flexural behavior of the
feather barb and the role of barbule connections in reinforcing the feather vane
are quantitatively investigated for the first time, both experimentally and
theoretically. Through the performed experiments, structure-function
relationships within the feather vane are uncovered. Additionally, in the
proposed model the sophisticated structure of the barbs and the interlocking
mechanism of the feather vane are simplified to understand these processes in
order to engineer new lightweight structures and adhesives.
PMID- 27184401
TI - Mule Regulates the Intestinal Stem Cell Niche via the Wnt Pathway and Targets
EphB3 for Proteasomal and Lysosomal Degradation.
AB - The E3 ubiquitin ligase Mule is often overexpressed in human colorectal cancers,
but its role in gut tumorigenesis is unknown. Here, we show in vivo that Mule
controls murine intestinal stem and progenitor cell proliferation by modulating
Wnt signaling via c-Myc. Mule also regulates protein levels of the receptor
tyrosine kinase EphB3 by targeting it for proteasomal and lysosomal degradation.
In the intestine, EphB/ephrinB interactions position cells along the crypt-villus
axis and compartmentalize incipient colorectal tumors. Our study thus unveils an
important new avenue by which Mule acts as an intestinal tumor suppressor by
regulation of the intestinal stem cell niche.
PMID- 27184404
TI - Sulfamethazine-based pH-sensitive hydrogels with potential application for
transcatheter arterial chemoembolization therapy.
AB - Transcatheter arterial chemoembolization (TACE) is the most common palliative
therapy for unresectable hepatocellular carcinoma (HCC). The conventional TACE
technique, which employs the Lipiodol(r) emulsion, has been widely used for human
cancer treatments. However, this delivery system seems to be inconsistent and
unstable in maintaining a high concentration of drugs at tumor sites. An
alternative approach for TACE is loading drugs into a liquid embolic solution
that exists as an injectable solution and can exhibit a sol-to-gel phase
transition to form a solidified state once delivered to the tumor site. Here, we
develop a novel sulfamethazine-based anionic pH-sensitive block copolymer with
potential application as a radiopaque embolic material. The copolymer, named PCL
PEG-SM, and comprised of poly(epsilon-caprolactone), sulfamethazine, and
poly(ethylene glycol), was fabricated by free radical polymerization. An aqueous
solution of the developed copolymer underwent a sol-to-gel phase transition upon
lowering the environmental pH to create a gel region that covered the
physiological condition (pH 7.4, 37 degrees C) and the low pH conditions at tumor
sites (pH 6.5-7.0, 37 degrees C). The release of doxorubicin (DOX) from DOX
loaded copolymer hydrogels could be sustained for more than 4weeks in vitro, and
the released DOX retained its fully bioactivity via inhibition the proliferation
of hepatic cancer cells. The radiopaque embolic formulations that were prepared
by mixing copolymer solutions at pH 8.0 with Lipiodol(r), a long-lasting X-ray
contrast agent, could exhibit the gelation inside the tumor after intratumoral
injection or intraarterial administration using a VX2 carcinoma hepatic tumor
rabbit model. These results suggest that a novel anionic pH-sensitive copolymer
has been developed with a potential application as a liquid radiopaque embolic
solution for TACE of HCC. STATE OF SIGNIFICANCE: Transcatheter arterial
chemoembolization (TACE) has been widely used as a palliative treatment therapy
for unresectable hepatocellular carcinoma (HCC). Conventional TACE technique,
which usually employs emulsion of DOX-in-Lipiodol(r), followed by an embolic
agent, has significant limitation of inconsistency and lack of controlled release
ability. To address these limitations of conventional TACE material system, we
introduced a novel liquid radiopaque embolic material from our pH-sensitive
hydrogel. The material has low viscosity that can be injected via a
microcatheter, rather biocompatibility, and drug controlled release ability.
Importantly, it can form gel in the tumor as well as tumoral vasculature in
response to the lowered pH at the tumor site, which proved the potential for the
use to treat HCC by TACE therapy.
PMID- 27184405
TI - Iron deficiency anemia's effect on bone formation in zebrafish mutant.
AB - Iron is one of the essential elements of life. Iron metabolism is related to bone
metabolism. Previous studies have confirmed that iron overload is a risk factor
for osteoporosis. But the correlation between iron deficiency and bone metabolism
remains unclear. Ferroportin 1 is identified as a cellular iron exporter and
required for normal iron cycling. In zebrafish, the mutant of ferroportin 1 gene
(fpn1), weh(tp85c) exhibited the defective iron transport, leading to developing
severe hypochromic anemia. We used weh(tp85c) as a model for investigating iron
deficiency and bone metabolism. In this study, we examined the morphology of the
developing cartilage and vertebrae of the Weh(tp85) compared to the wild type
siblings by staining the larvae with alcian blue for cartilage and alizarin red
for the bone. In addition, we evaluated the expression patterns of the marker
genes of bone development and cell signaling in bone formation. Our results
showed that weh(tp85c) mutant larvae exhibited the defects in bone formation,
revealing by decreases in the number of calcified vertebrae along with decreased
expression of osteoblast novel genes: alpl, runx2a and col1a1a and BMPs signaling
genes in osteoblast differentiation: bmp2a and bmp2b. Our data suggest that iron
deficiency anemia affects bone formation, potentially through the BMPs signaling
pathway in zebrafish.
PMID- 27184406
TI - Biochemical characterization of human acyl coenzyme A: 2-monoacylglycerol
acyltransferase-3 (MGAT3).
AB - BACKGROUND: MGAT3 catalyzes the synthesis of 1,2-diacylglycerol from 2
monoacylglycerol in an acyl CoA-dependent reaction. Although initially identified
as an MGAT enzyme, MGAT3 is more closely related to DGAT2 than to MGAT1 and
MGAT2. Furthermore, MGAT3 possesses both DGAT and MGAT activities, in vitro.
MGAT3 is almost exclusively expressed in the small intestine in humans,
suggesting that it has a role in dietary fat absorption. Although identified many
years ago, little information is available regarding the contribution of MGAT3 to
triacylglycerol biosynthesis. RESULTS: This study confirmed the initial
observations that MGAT3 possessed both MGAT and DGAT activities. When expressed
in cells in culture, MGAT3 stimulated lipid droplet growth, but unlike DGAT2,
does not become concentrated around the lipid droplet surface. We also
characterized the MGAT activity of an MGAT3 mutant in which a conserved cysteine
was changed to a tyrosine residue. Lastly, although they share significant
sequence identity, MGAT3 is a much more stable protein than DGAT2, yet they are
both polyubiquitinated and degraded through ER-associated degradation by the
proteasome. CONCLUSION: Our findings provide additional evidence that MGAT3
likely functions as a TG synthase in cells.
PMID- 27184407
TI - Involvement of LSECtin in the hepatic natural killer cell response.
AB - Accumulating evidence has indicated that natural killer cells (NK cells) play an
important role in immune responses generated in the liver. However, the
underlying molecular basis for local immune regulation is poorly understood. Mice
were intraperitoneally injected with polyinosinic-polycytidylic acid (PolyI:C) at
a dose of 20 mg/kg body wt. The percentage and absolute number of NK cells in the
liver were analysed with flow cytometry. LSECtin knockout mice and LSECtin cDNA
plasmids were used for analyze the role of LSECtin in hepatic NK cell regulation
in vivo. Here, we show that the C-type lectin LSECtin, a member of the DC-SIGN
family, is a novel liver regulator for NK cells. LSECtin could bind to NK cells
in a carbohydrate-dependent manner and could regulate the number of hepatic NK
cells. In the NK cell-mediated acute liver injury model induced with PolyI:C, the
exogenous expression of LSECtin accelerated NK cell-induced liver injury, whereas
the absence of LSECtin ameliorated this condition. Our results reveal that
LSECtin is a novel, liver-specific NK cell regulator that may be a target for the
treatment of inflammatory diseases in the liver.
PMID- 27184408
TI - Measuring the impact of the working environment on emergency department nurses: A
cross-sectional pilot study.
AB - BACKGROUND: The emergency department (ED) is characterised by high workload and
competing demands. This study describes ED nurses perceptions of their working
environment with a sub group analysis for those who also worked at the local
police watch house, where individuals are detained in custody. METHODS: A cross
sectional pilot study was undertaken involving a survey of nurses working in one
ED in Queensland, Australia. The Working Environment Score (WES-10) consists of
four subscales: Self Realisation, Workload, Conflict, and Nervousness; and is
used to measure stress and staff morale. This was administered at two time
periods: T1: May 2013 and T2: July 2013; before and after 10 ED nurses worked in
the watch house. RESULTS: Questionnaires were returned by 34 nurses at T1 and 41
nurses at T2. The perception of working environment differed between T1 and T2
for nurse respondents who worked at the local police watch house but not for
nurse respondents who did not work in the watch house. Of the four sub-scales,
workload was the factor that impacted most on working environment in both groups
and was notably higher for those who worked in the watch house and responded at
T2. CONCLUSIONS: This pilot study identified that for ED nurses' satisfaction
with their working environment was relatively high, although certain areas (e.g.,
nervousness) were better than others (e.g., workload). The perception of workload
was higher for T2 staff offered the opportunity to use their ED skills in a
different setting, however further work with a larger sample size is required.
PMID- 27184409
TI - Price Discrepancy Between Sellers and Buyers When Making Decisions for the Self
and Others.
AB - In daily life, people make decisions not only for themselves but also on behalf
of others. There may be differences in terms of the endowment effect when making
decisions in these two situations. In Study 1, this question was investigated
with an existing dataset exploring the traditional endowment effect, in which 86
students (M age = 20.8 years, SD = 5.0) at Harvard University were asked to make
a decision on selling or buying a coffee mug for themselves or for others as
brokers. When making decisions for the self, the average price demanded by
sellers was much higher than that offered by buyers; while making decisions for
others, the price discrepancy disappeared. In Study 2, a similar study was
conducted with a sample of 42 Chinese university students (M age = 22.3 years, SD
= 2.5), and a similar pattern of results was obtained. Further analysis indicated
that when making decisions for others, only buyers increased their valuations,
therefore mitigating the seller-buyer price discrepancy. Finally, the findings
were interpreted from the perspective of Construal Level Theory.
PMID- 27184410
TI - Capturing Parent-Child Interactions With Social Media: Comment on Zhang et al.
(2015).
AB - Zhang et al. conducted a qualitative study of children presented with 19 parental
structuring behaviors of parental control and were asked to attribute the
parent's intent behind the behaviors. The authors developed several conceptual
categories, "parent-centered," "child-centered," or "social" interests. Here, we
describe how their 12 propositions could be empirically tested in further studies
using social media.
PMID- 27184411
TI - School Children's Attributions of Intentions for Parental Behaviors: Development
of a Measure.
AB - The present study developed a new scale to measure children's attributions of
intentions for parental behaviors. The scale has 180 items (18 intentions that
children may use to explain why parents perform each of 10 parental behaviors),
and was administered to 1973 Chinese students (age range = 9-16 years; M = 12.5,
SD = 1.70; 1024 boys and 949 girls). Using half of the sample, 10 exploratory
factor analyses were conducted (variance accounted for ranged from 64.24% to
73.32%, M = 69.9%), each on the 18 items associated with one of the 10 parental
behaviors. Four factors with eigenvalues over one emerged. The items associated
with two of the 10 stimulus behaviors were selected to form a short version.
Using the other half of the sample, confirmatory factor analyses on the short
version were conducted and provided further support for the four-factor
structure. Concurrent validity was assessed by correlations with parental
psychological and behavioral control, and gender, age, and grade of the
respondents. Test-retest reliability was assessed among 159 of the respondents
over a one-month separation.
PMID- 27184412
TI - Mortality from neurodegenerative diseases in a cohort of US flight attendants.
AB - BACKGROUND: Concern exists about the potential chronic neurological effects among
aircrew of exposure to chemical contaminants from engine oil in aircraft cabin
air. We evaluated mortality from neurodegenerative diseases among 11,311 former
US flight attendants. METHODS: Vital status was ascertained through 2007, and
life table analyses were conducted to obtain standardized mortality ratios
(SMRs). RESULTS: Amyotrophic lateral sclerosis (ALS) mortality was over twice as
high in the cohort as in the US general population, based on nine observed ALS
deaths. There was no clear pattern in risk when SMRs for ALS were stratified by
exposure duration. Mortality from other neurodegenerative diseases was not
elevated. CONCLUSIONS: Our findings are limited due to small numbers of observed
deaths and reliance on mortality data, but suggest that flight attendants may
have an increased risk of ALS. Additional research is needed. Am. J. Ind. Med.
59:532-537, 2016. Published 2016. This article is a U.S. Government work and is
in the public domain in the USA.
PMID- 27184413
TI - Behavior of the potential antitumor V(IV)O complexes formed by flavonoid ligands.
3. Antioxidant properties and radical production capability.
AB - The radical production capability and the antioxidant properties of some V(IV)O
complexes formed by flavonoid ligands were examined. In particular, the bis
chelated species of quercetin (que), [VO(que)2](2-), and morin (mor), [VO(mor)2],
were evaluated for their capability to reduce the stable radical 1,1-diphenyl-2
picrylhydrazyl (DPPH) and produce the hydroxyl radical (*)OH by Fenton-like
reactions, where the reducing agent is V(IV)O(2+). The results were compared with
those displayed by other V(IV)O complexes, such as [VO(H2O)5](2+), [VO(acac)2]
(acac=acetylacetonate) and [VO(cat)2](2-) (cat=catecholate). The capability of
the V(IV)O flavonoids complexes to reduce DPPH is much larger than that of the
V(IV)O species formed by non-antioxidant ligands and it is due mainly to the
flavonoid molecule. Through the 5,5-dimethyl-1-pyrroline N-oxide (DMPO) spin
trapping assay of the hydroxyl radical it was possible to demonstrate that in
acidic solution V(IV)O(2+) has an effectiveness in producing (*)OH radicals
comparable to that of Fe(2+). When V(IV)O complexes of flavonoids were taken into
account, the amount of hydroxyl radicals produced in Fenton-like reactions
depends on the specific structure of the ligand and on their capability to reduce
H2O2 to give (*)OH. Both the formation of reactive oxygen species (ROS) under
physiological conditions by V(IV)O complexes of flavonoid ligands and their
radical scavenging capability can be put in relationship with their antitumor
effectiveness and it could be possible to modulate these actions by changing the
features of the flavonoid coordinated to the V(IV)O(2+) ion, such as the entity,
nature and position of the substituents and the number of phenolic groups.
PMID- 27184414
TI - Concurrent alcohol and medication poisoning hospital admissions among older rural
and urban residents.
AB - BACKGROUND: Alcohol and medication interactions are projected to increase due to
the growth of older adults that are unsafely consuming alcohol and medications.
Plus, aging adults who reside in rural areas are at the highest risk of
experiencing medication interactions. OBJECTIVE: Estimate concurrent alcohol and
medication (alcohol/medication) hospitalizations in adults 50+ years, comparing
age groups and rural/urban regions. METHODS: Kentucky nonfederal, acute care
inpatient hospital discharge electronic records for individuals aged 50+ years
from 2001 to 2012 were examined. Rate differences were estimated across age and
regional strata. Differences in the underlying principal diagnosis, intent, and
medications were also examined. RESULTS: There were 2168 concurrent
alcohol/medication hospitalizations among 50+ year olds identified. There was a
187% increase in alcohol/medication hospitalizations from 2001 (n = 104) to 2012
(n = 299). The per capita alcohol/medication hospitalization rate increased from
8.91 (per 100,000) in 2001 to 19.98 (per 100,000) in 2012, a 124% increase. The
characteristics of the hospitalizations included 75% principal diagnosis as
medication poisoning, self-harm as the primary intent (55%) in 50-64-year olds,
and unintentional intent (41%) in 65+ adults. Benzodiazepines were most often
involved in the poisonings (36.5%). CONCLUSIONS: Concurrent alcohol/medication
hospitalizations in Kentucky are increasing among aging adults. Greater increases
in rural areas and the 65+ aged adults were seen, although there were also higher
alcohol/medication hospitalizations in urban and 50-64 aged adults. These
findings indicate the need for public-health prevention and clinical intervention
to better educate and manage alcohol consuming older adults on safe medication
and alcohol practices.
PMID- 27184416
TI - Gain-scheduling multivariable LPV control of an irrigation canal system.
AB - The purpose of this paper is to present a multivariable linear parameter varying
(LPV) controller with a gain scheduling Smith Predictor (SP) scheme applicable to
open-flow canal systems. This LPV controller based on SP is designed taking into
account the uncertainty in the estimation of delay and the variation of plant
parameters according to the operating point. This new methodology can be applied
to a class of delay systems that can be represented by a set of models that can
be factorized into a rational multivariable model in series with left/right
diagonal (multiple) delays, such as, the case of irrigation canals. A multiple
pool canal system is used to test and validate the proposed control approach.
PMID- 27184415
TI - Inhibiting complex IL-17A and IL-17RA interactions with a linear peptide.
AB - IL-17A is a pro-inflammatory cytokine that has been implicated in autoimmune and
inflammatory diseases. Monoclonal antibodies inhibiting IL-17A signaling have
demonstrated remarkable efficacy, but an oral therapy is still lacking. A high
affinity IL-17A peptide antagonist (HAP) of 15 residues was identified through
phage-display screening followed by saturation mutagenesis optimization and amino
acid substitutions. HAP binds specifically to IL-17A and inhibits the interaction
of the cytokine with its receptor, IL-17RA. Tested in primary human cells, HAP
blocked the production of multiple inflammatory cytokines. Crystal structure
studies revealed that two HAP molecules bind to one IL-17A dimer symmetrically.
The N-terminal portions of HAP form a beta-strand that inserts between two IL-17A
monomers while the C-terminal section forms an alpha helix that directly blocks
IL-17RA from binding to the same region of IL-17A. This mode of inhibition
suggests opportunities for developing peptide antagonists against this
challenging target.
PMID- 27184419
TI - Quorum Signal Inhibitors and Their Potential Use against Fish Diseases.
AB - Quorum sensing (QS) is a process of bacterial communication used to control group
behaviors, including bioluminescence, virulence factor production, biofilm
formation, and biofilm antimicrobial tolerance. Many aquatic bacterial pathogens
such as Aeromonas, Vibrio, and Edwardsiella spp. use QS to regulate virulence
factor production. The disruption of QS has been shown to be an effective
strategy in the competition between higher organisms and bacteria and more
recently between bacterial species. For this reason, QS disruption has been
proposed as a strategy to prevent bacterial pathogenicity. In this review, we
summarize the current literature and illustrate the value of QS inhibitors in
controlling virulence production in aquatic bacterial pathogens. This represents
a new, nonantibiotic strategy to combat fish diseases. Received August 11, 2015;
accepted January 26, 2016.
PMID- 27184420
TI - Excretion of infectious Zika virus in urine.
PMID- 27184421
TI - Zika virus and GLUT1.
PMID- 27184422
TI - Electrochemical deposition of highly-conducting metal dithiolene films.
AB - Electrochemical deposition has been used to prepare a thin film of neutral 4',4
(3-alkyl)-thiophene-5',5-hydogen-nickel and copper dithiolenes (Ni-C2, Cu-C2).
The application of molecular electrodeposition provides a means to solution
process molecular semiconductors of poor solubility, which results from the
strong intermolecular interaction required for charge transport. Both Ni-C2 and
Cu-C2 form continuous thin films that show intense NIR absorptions, extending to
1800 nm and 2000 nm respectively giving evidence for the strong intermolecular
interactions in the solid state. Both films are highly conducting and temperature
dependence of resistance gave an activation energy of 0.42 eV and 0.072 eV
respectively, with the near-metallic behaviour of Cu-C2 attributed to the
additional presence of an unpaired electron.
PMID- 27184418
TI - Pharmacokinetics of metronomic chemotherapy: a neglected but crucial aspect.
AB - Metronomic chemotherapy describes the close, regular administration of
chemotherapy drugs at less-toxic doses over prolonged periods of time. In 2015,
the results of randomized phase III clinical trials demonstrated encouraging,
albeit limited, efficacy benefits of metronomic chemotherapy regimens
administered as adjuvant maintenance therapy for the treatment of breast cancer,
or as maintenance therapy in combination with an antiangiogenic agent for
metastatic colorectal cancer. Owing to the investigational nature of this
approach, metronomic chemotherapy regimens are highly empirical in terms of the
optimal dose and schedule for the drugs administered; therefore, greater
knowledge of the pharmacokinetics of metronomic chemotherapy is critical to the
future success of this treatment strategy. Unfortunately, such preclinical and
clinical pharmacokinetic studies are rare. Herein, we present situations in which
active drug concentrations have been achieved with metronomic schedules, and
discuss their associated pharmacokinetic parameters. We summarize examples from
the limited number of clinical studies in order to illustrate the importance of
assessing such pharmacokinetic parameters, and discuss the influence this
information can have on improving efficacy and reducing toxicity.
PMID- 27184423
TI - Improving productive performance and mitigating harmful emissions from laying hen
excreta via feeding on graded levels of corn DDGS with or without Bacillus
subtilis probiotic.
AB - An experiment that included some inclusions of corn distillers dried grains with
solubles (DDGS) with or without supplementation of probiotic bacteria to Hi-sex
Brown laying hen diets was conducted to evaluate the impacts on performance, egg
quality, blood metabolites and nitrogen and phosphorus excretion in the manure. A
total of 216 twenty-two-week-old Hi-sex Brown laying hens were randomly divided
into eight treatment groups in a factorial design (4 * 2) experiment, which
included four levels of DDGS (0, 50, 100 and 150 g/kg diet) plus two levels of
Bacillus subtilis probiotic (0 or 1000 mg/kg diet, with a concentration of 1.5 *
108 CFU/g of dried product). The experimental period extended from 22 to 34 weeks
of age. The results showed that linear increase in DDGS level up to 150 g/kg
improved (p <= 0.01) the values of feed consumption, egg shape index and yolk
colour compared to the control and other treatment groups. Inclusion of dietary
DDGS up to 150 g/kg in layer diets led to a significant decrease in egg mass and
a significant increase in Haugh unit score compared to other groups. In the
bacillus group, the values of feed conversion, egg weight and egg mass enhanced
by 6.45, 3.27 and 7.60% respectively compared with the control diet. Total
protein, albumin, triglycerides, cholesterol, calcium and ammonia in serum were
significantly (p <= 0.01) influenced by DDGS inclusion. The excreted nitrogen
decreased by 8.62 and 4.31% in hens fed 50 or 100 g/kg of DDGS respectively,
while excreted phosphorous decreased by 3.33, 7.22 and 10.56% in hens fed 50, 100
or 150 g/kg of DDGS respectively as compared to the control group. It could be
concluded that increasing DDGS inclusion level in the diet up to 10% and the
supplementation of probiotic bacteria improved the productive performance of
laying hens and mitigated the harmful emissions from chicken manure; this means
better production within environmentally friendly conditions.
PMID- 27184417
TI - Triple-negative breast cancer: challenges and opportunities of a heterogeneous
disease.
AB - Chemotherapy is the primary established systemic treatment for patients with
triple-negative breast cancer (TNBC) in both the early and advanced-stages of the
disease. The lack of targeted therapies and the poor prognosis of patients with
TNBC have fostered a major effort to discover actionable molecular targets to
treat patients with these tumours. Massively parallel sequencing and other
'omics' technologies have revealed an unexpected level of heterogeneity of TNBCs
and have led to the identification of potentially actionable molecular features
in some TNBCs, such as germline BRCA1/2 mutations or 'BRCAness', the presence of
the androgen receptor, and several rare genomic alterations. Whether these
alterations are molecular 'drivers', however, has not been clearly established. A
subgroup of TNBCs shows a high degree of tumour-infiltrating lymphocytes that
also correlates with a lower risk of disease relapse and a higher likelihood of
benefit from chemotherapy. Proof-of-principle studies with immune-checkpoint
inhibitors in advanced-stage TNBC have yielded promising results, indicating the
potential benefit of immunotherapy for patients with TNBC. In this Review, we
discuss the most relevant molecular findings in TNBC from the past decade and the
most promising therapeutic opportunities derived from these data.
PMID- 27184425
TI - Updates in preserving reproductive potential of prepubertal girls with cancer:
Systematic review.
AB - INTRODUCTION: With increasing numbers of adult female survivors of childhood
cancers due to advances in early diagnosis and treatment, the issue of preserving
the reproductive potential of prepubertal girls undergoing gonadotoxic treatments
has gained greater attention. METHODS: According to PRISMA guidelines, a
systematic review of the literature was performed for all relevant full-text
articles published in PubMed in English throughout the past 15 years to explore
the significant updates in preserving the reproductive potential of prepubertal
girls with cancer. RESULTS: The two established fertility preservation options,
embryo freezing and egg freezing, cannot be offered routinely to prepubertal
girls as these options necessitate prior ovarian stimulation and subsequent
mature oocytes retrieval that are contraindicated or infeasible before puberty.
Therefore, the most suitable fertility preservation options to prepubertal girls
are (1) ovarian tissue freezing and autotransplantation, (2) in vitro maturation,
and (3) ovarian protection techniques. In this review, we discuss in detail those
options as well as their success rates, advantages, disadvantages and future
directions. We also suggest a new integrated strategy to preserve the
reproductive potential of prepubertal girls with cancer. CONCLUSION: Although
experimental, ovarian tissue slow freezing and orthotopic autotransplantation may
be the most feasible option to preserve the reproductive potential of prepubertal
girls with cancer. However, this technique has two major and serious
disadvantages: (1) the risk of reintroducing malignant cells, and (2) the
relatively short lifespan of ovarian tissue transplants. Several medical and
ethical considerations should be taken into account before applying this
technique to prepubertal girls with cancer.
PMID- 27184424
TI - KLF4 transcriptionally activates non-canonical WNT5A to control epithelial
stratification.
AB - Epithelial differentiation and stratification are essential for normal
homeostasis, and disruption of these processes leads to both injury and cancer.
The zinc-finger transciption factor KLF4 is a key driver of epithelial
differentiation, yet the mechanisms and targets by which KLF4 controls
differentiation are not well understood. Here, we define WNT5A, a non-canonical
Wnt ligand implicated in epithelial differentiation, repair, and cancer, as a
direct transcriptional target that is activated by KLF4 in squamous epithelial
cells. Further, we demonstrate functionally that WNT5A mediates KLF4 control of
epithelial differentiation and stratification, as treatment of keratinocytes with
WNT5A rescues defective epithelial stratification resulting from KLF4 loss.
Finally, we show that the small GTPase CDC42 is regulated by KLF4 in a WNT5A
dependent manner. As such, we delineate a novel pathway for epithelial
differentiation and stratification and define potential therapeutic targets for
epithelial diseases.
PMID- 27184427
TI - Effect of cassava pulp supplement on 1,3-propanediol production by Clostridium
butyricum.
AB - To improve its 1,3-propanediol (1,3-PD) production, Clostridium butyricum was
cultivated on glycerol medium supplemented with cassava pulp (CP). At small
concentrations, the CP improved the 1,3-PD productivity of C. butyricum from
(0.25+/-0.01)g/L/h (glycerol alone) to (0.43+/-0.02)g/L/h (glycerol+2g/L CP)
after 24h fermentation.
PMID- 27184428
TI - Engineering of Corynebacterium glutamicum for xylitol production from
lignocellulosic pentose sugars.
AB - Xylitol is a non-fermentable sugar alcohol used as sweetener. Corynebacterium
glutamicum ATCC13032 was metabolically engineered for xylitol production from the
lignocellulosic pentose sugars xylose and arabinose. Direct conversion of xylose
to xylitol was achieved through the heterologous expression of NAD(P)H-dependent
xylose reductase (xr) gene from Rhodotorula mucilaginosa. Xylitol synthesis from
arabinose was attained through polycistronic expression of l-arabinose isomerase
(araA), d-psicose 3 epimerase (dpe) and l-xylulose reductase (lxr) genes from
Escherichia coli, Agrobacterium tumefaciens and Mycobacterium smegmatis,
respectively. Expression of xr and the synthetic araA-dpe-lxr operon under the
control of IPTG-inducible Ptac promoter enabled production of xylitol from both
xylose and arabinose in the mineral (CGXII) medium with glucose as carbon source.
Additional expression of a pentose transporter (araTF) gene enhanced xylitol
production by about four-fold compared to the parent strain. The constructed
strain Cg-ax3 produced 6.7+/-0.4g/L of xylitol in batch fermentations and 31+/
0.5g/L of xylitol in fed-batch fermentations with a specific productivity of
0.28+/-0.05g/g cdw/h. The strain Cg-ax3 was also validated for xylitol production
from pentose rich, acid pre-treated liquor of sorghum stover (SAPL) and the
results were comparable in both SAPL (27+/-0.3g/L) and mineral medium (31+/
0.5g/L).
PMID- 27184426
TI - GM-CSF Mediates Mesenchymal-Epithelial Cross-talk in Pancreatic Cancer.
AB - Pancreatic ductal adenocarcinoma (PDA) is characterized by a dense stroma
consisting of a prevalence of activated fibroblasts whose functional
contributions to pancreatic tumorigenesis remain incompletely understood. In this
study, we provide the first identification and characterization of mesenchymal
stem cells (MSC) within the human PDA microenvironment, highlighting the
heterogeneity of the fibroblast population. Primary patient PDA samples and low
passage human pancreatic cancer-associated fibroblast cultures were found to
contain a unique population of cancer-associated MSCs (CA-MSC). CA-MSCs markedly
enhanced the growth, invasion, and metastatic potential of PDA cancer cells. CA
MSCs secreted the cytokine GM-CSF that was required for tumor cell proliferation,
invasion, and transendothelial migration. Depletion of GM-CSF in CA-MSCs
inhibited the ability of these cells to promote tumor cell growth and metastasis.
Together, these data identify a population of MSCs within the tumor
microenvironment that possesses a unique ability, through GM-CSF signaling, to
promote PDA survival and metastasis. SIGNIFICANCE: The role of stroma in
pancreatic cancer is controversial. Here, we provide the first characterization
of MSCs within the human PDA microenvironment and demonstrate that CA-MSCs
promote tumorigenesis through the production of GM-CSF. These data identify a
novel cytokine pathway that mediates mesenchymal-epithelial cross-talk and is
amenable to therapeutic intervention. Cancer Discov; 6(8); 886-99. (c)2016
AACR.This article is highlighted in the In This Issue feature, p. 803.
PMID- 27184429
TI - Cocoa pod husk: A new source of CLEA-lipase for preparation of low-cost
biodiesel: An optimized process.
AB - Enzymatic reactions involving lipases as catalyst in transesterification can be
an excellent alternative to produce environmental-friendly biodiesel. In this
study, lipase extracted from Cocoa Pod Husk (CPH) and immobilized through cross
linked enzyme aggregate (CLEA) technology catalysed the transesterification of
Jatropha curcas oil successfully. Face centered central composite design (FCCCD)
under response surface methodology (RSM) was used to get the optimal conditions
of 3% (w/w) enzyme loading, 4h reaction time and 1:6 oil/ethanol ratio to achieve
the highest conversion of free fatty acid and glycerides into biodiesel (93%).
The reusability of CLEA-lipase was tested and after seven cycles, the conversion
percentage reduced to 58%. The results revealed that CLEA lipase from CPH is a
potential catalyst for biodiesel production.
PMID- 27184430
TI - Production of omega-hydroxy octanoic acid with Escherichia coli.
AB - The present proof-of-concept study reports the construction of a whole-cell
biocatalyst for the de novo production of omega-hydroxy octanoic acid. This was
achieved by hijacking the natural fatty acid cycle and subsequent hydroxylation
using a specific monooxygenase without the need for the additional feed of alkene
like precursors. For this, we used the model organism Escherichia coli and
increased primarily the release of the octanoic acid precursors by overexpressing
the plant thioesterase FatB2 from Cuphea hookeriana in a beta-oxidation deficient
strain, which lead to the production of 2.32mM (8.38mggcww(-1)) octanoic acid in
24h. In order to produce the corresponding omega-hydroxy derivative, we
additionally expressed the engineered self-sufficient monooxygenase fusion
protein CYP153AMaq(G307A)-CPRBM3 within the octanoic acid producing strain. With
this, we finally produced 234MUM (0.95mggcww(-1)) omega-hydroxy octanoic acid in
a 20h fed-batch set-up.
PMID- 27184431
TI - Complete genome sequence of the crude oil-degrading thermophilic bacterium
Geobacillus sp. JS12.
AB - Here, we report the complete genome sequence of Geobacillus sp. JS12, isolated
from composts located in Namhae, Korea, which shows extracellular lipolytic
activities at high temperatures. An array of genes related to the utilization of
lipids was identified by whole genome analysis. The genome sequence of the strain
JS12 provides basic information for wider exploitation of thermostable industrial
lipases.
PMID- 27184432
TI - Complete genome sequence of Bacillus subtilis BSD-2, a microbial germicide
isolated from cultivated cotton.
AB - Bacillus subtilis BSD-2, isolated from cotton (Gossypium spp.), had strong
antagonistic activity to Verticillium dahlia Kleb and Botrytis cinerea. We
sequenced and annotated the BSD-2 complete genome to help us the better use of
this strain, which has surfactin, bacilysin, bacillibactin, subtilosin A, Tas A
and a potential class IV lanthipeptide biosynthetic pathways.
PMID- 27184433
TI - Chromatin and epigenetics in all their states: Meeting report of the first
conference on Epigenetic and Chromatin Regulation of Plant Traits - January 14 -
15, 2016 - Strasbourg, France.
AB - In January 2016, the first Epigenetic and Chromatin Regulation of Plant Traits
conference was held in Strasbourg, France. An all-star lineup of speakers, a
packed audience of 130 participants from over 20 countries, and a friendly
scientific atmosphere contributed to make this conference a meeting to remember.
In this article we summarize some of the new insights into chromatin,
epigenetics, and epigenomics research and highlight nascent ideas and emerging
concepts in this exciting area of research.
PMID- 27184435
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): Anxiety, depression and childhood epilepsy.
AB - Anxiety and depression are relatively common in children with epilepsy: anxiety
has been reported in 15-36% and depression in 8-35% of patients. In some cases
these conditions may be related specifically to the epilepsy or its treatment.
For example, some antiepileptic drugs are known to be associated with depression
in adults and are likely to have a similar effect in young people. Emotional
reactions to the epilepsy, for example anxieties and social phobia related
specifically to the seizures, might be expected and require appropriate
management. However, there is a growing recognition of the bidirectional
relationship between epilepsy and psychiatric disorders, including depression,
largely based on adult data. Cognitive behavioural therapy and serotonin reuptake
inhibitors are used for treatment of both anxiety and depression in children with
epilepsy. There is a need for greater understanding of the causes of these
conditions in young people and there is also a need for better evidence for
optimal treatment.
PMID- 27184436
TI - In vitro evaluation of 2-hydroxyalkylated beta-cyclodextrins as potential
therapeutic agents for Niemann-Pick Type C disease.
AB - This study was conducted to evaluate the attenuating potential of 2-hydroxypropyl
beta-cyclodextrin (HPBCD) against Niemann-Pick Type C (NPC) disease, as well as
the physical and chemical properties, particularly the cholesterol-solubilizing
ability, in an NPC disease model in vitro. As parameters of NPC abnormalities,
intracellular free and esterified cholesterol levels and lysosome volume were
measured in Npc1 null Chinese hamster ovary cells. HPBCD showed dose-dependent
effects against dysfunctional intracellular cholesterol trafficking, such as the
accumulation and shortage of free and esterified cholesterols, respectively, in
Npc1 null cells. However, the effectiveness was gradually offset by exposure to
>=8mM HPBCD. The same effect was also observed for increasing lysosome volume in
Npc1 null cells. The degree of substitution of the hydroxypropyl group had little
influence on the attenuating effects of HPBCD against the NPC abnormalities, at
least in the range between 2.8 and 7.4. Next, we compared the effects of other
hydroxyalkylated beta-cyclodextrin derivatives with different cholesterol
solubilizing abilities, such as 2-hydroxyethyl-beta-cyclodextrin (HEBCD) and 2
hydroxybutyl-beta-cyclodextrin (HBBCD). The cholesterol solubilizing potential,
attenuating effects against NPC abnormalities and cytotoxicity induction were
HBBCD?HPBCD>HEBCD, HBBCD=HPBCD>HEBCD and HBBCD?HPBCD=HEBCD, respectively. HPBCD
may be superior in terms of safety and efficacy in Npc1 null cells compared with
HEBCD and HBBCD. The results of this study will provide a rationale for the
optimization of HPBCD therapy for NPC disease.
PMID- 27184434
TI - Flavonoid and lignan intake and pancreatic cancer risk in the European
prospective investigation into cancer and nutrition cohort.
AB - Despite the potential cancer preventive effects of flavonoids and lignans, their
ability to reduce pancreatic cancer risk has not been demonstrated in
epidemiological studies. Our aim was to examine the association between dietary
intakes of flavonoids and lignans and pancreatic cancer risk in the European
Prospective Investigation into Cancer and Nutrition (EPIC) cohort. A total of 865
exocrine pancreatic cancer cases occurred after 11.3 years of follow-up of
477,309 cohort members. Dietary flavonoid and lignan intake was estimated through
validated dietary questionnaires and the US Department of Agriculture (USDA) and
Phenol Explorer databases. Hazard ratios (HR) and 95% confidence intervals (CIs)
were calculated using age, sex and center-stratified Cox proportional hazards
models, adjusted for energy intake, body mass index (BMI), smoking, alcohol and
diabetes status. Our results showed that neither overall dietary intake of
flavonoids nor of lignans were associated with pancreatic cancer risk
(multivariable-adjusted HR for a doubling of intake = 1.03, 95% CI: 0.95-1.11 and
1.02; 95% CI: 0.89-1.17, respectively). Statistically significant associations
were also not observed by flavonoid subclasses. An inverse association between
intake of flavanones and pancreatic cancer risk was apparent, without reaching
statistical significance, in microscopically confirmed cases (HR for a doubling
of intake = 0.96, 95% CI: 0.91-1.00). In conclusion, we did not observe an
association between intake of flavonoids, flavonoid subclasses or lignans and
pancreatic cancer risk in the EPIC cohort.
PMID- 27184437
TI - Effects of prenatal exposure to antipsychotic risperidone on developmental
neurotoxicity, apoptotic neurodegeneration and neurobehavioral sequelae in rat
offspring.
AB - A tremendous increase has been documented in the recent past in prescribing
second generation atypical antipsychotic drugs (AAPDs) to the pregnant women with
psychosis, considering their reproductive and teratogenic safety. Among AAPDs,
risperidone (RIS) ranked third after olanzapine (OLZ) and quetiapine (QUE) used
during pregnancy, as OLZ is associated to substantial weight gain in adults and
offspring. Although teratogenic safety of RIS has been established, its potential
role in developmental neurotoxicity and related neurobehavioral impairments in
adolescents has not been documented so far. Therefore, present study has been
undertaken to elucidate the effect of prenatal exposure to risperidone (RIS) on
developmental neurotoxicity and apoptotic neurodegeneration in neocortical region
of fetal brain; and related functional sequelae in young rat offspring. The
pregnant Wistar rats were exposed to RIS at 0.8, 1.0 and 2.0mg/kg, at equivalent
therapeutic doses, orally from GD 6 to 21. Half of the pregnant rats were
sacrificed and their brains were collected, weighed, and processed for
neurohistopathological and apoptotic neurodegenerative evaluation. The remaining
dams were allowed to deliver naturally, and their offspring were reared up to 10
weeks for neurobehavioral study. Prenatal exposure to RIS induced significant
stunting of fetal body and brain weight, substantial reduction in the thickness
of neocortical layers and apoptotic neurodegeneration in fetal brains, and
delayed postnatal development and growth of the offspring; as well as long-
lasting impact on anxiety like impaired behavioral responses on explorative
mazes. Therefore, health care providers should be careful in prescribing atypical
antipsychotics in general and RIS in particular, to the pregnant psychotic
population.
PMID- 27184439
TI - Progressive dysarthria and augmentative and alternative communication in
conversation: establishing the reliability of the Dysarthria-in-Interaction
Profile.
AB - BACKGROUND: The Dysarthria-in-Interaction Profile's potential contribution to the
clinical assessment of dysarthria-in-conversation has been outlined in the
literature, but its consistency of use across different users has yet to be
reported. AIMS: To establish the level of consistency across raters on four
different interaction categories. That is, how reliable clinicians are when
rating a series of videos. A secondary aim was to investigate the relationship
between raters' estimates of dysarthric speech intelligibility and their rating
of each dyad's overall interaction. METHODS & PROCEDURES: Ten UK speech and
language therapists rated independently a series of 40 video samples featuring
people with progressive dysarthria in conversation with family members. An equal
number of video samples was selected from a collection of recordings featuring
four different types of interactional relationship. OUTCOMES & RESULTS: The
results show that practising speech and language therapists can rate
consistently, and with a high degree of agreement, a series of everyday
conversation videos featuring dyads with progressive dysarthria and presenting at
different interaction levels. The results also indicate that speech
intelligibility does not predict the level of impairment in the interaction in a
systematic way suggesting that conversation contains elements that are not
directly related to speech intelligibility. CONCLUSIONS & IMPLICATIONS: Further
work is required to establish the clinical functionality of this tool, but the
results presented here support the development of this conversation profiling
system, particularly for people experiencing significant intelligibility problems
but remaining highly interactive/communicative.
PMID- 27184438
TI - Neonatal anoxia leads to time dependent progression of mitochondrial linked
apoptosis in rat cortex and associated long term sensorimotor deficits.
AB - Neonatal anoxia arises due to oxygen deprivation at the time of birth and results
in life long neurodevelopmental deficits and sometimes may lead to death. The
present study investigated the time dependent cortical mitochondrial dysfunction
linked apoptosis and related sensorimotor deficits in neonates. Neonates after
30h to birth (P2) were subjected to anoxia of two episodes (10min in each) at a
time interval of 24h by passing 100% N2 into an enclosed chamber as confirmed by
pulse oximetry. Sensorimotor activities like reflex latency and hanging latency
were carried out 24h after last anoxic episode i.e. from P4 (day-1) and continued
up to P10 (day-7). Mitochondrial dysfunction after anoxia was evident by the
decrease in respiration states, respiratory control ratio (RCR), antioxidant
enzyme activity but an increase in oxidative stress and lipid peroxidation and
alteration in mitochondrial membrane potential (MMP) at different time points
(30min, 24h and day-7). A change in expression of Bcl-2 family proteins and
opening of mitochondrial transition pore (mPTP) in terms of mitochondrial
swelling was observed resulting in release of cytochrome-C which further
activated intrinsic (mitochondrial) pathway of apoptosis through increased
expression of caspase-9/3 as confirmed by flow cytometry. In conclusion, anoxia
injury leads to progressive activation of mitochondrial events leading to
increase in apoptotic cell death following secondary pathological insult.
Therefore, strategies in limiting mitochondrial-linked apoptosis during the
secondary insult input process may be useful in treatment of long term
sensorimotor deficits following anoxia.
PMID- 27184440
TI - New lipid-dependent Malassezia species from parrots.
AB - BACKGROUND: All the currently recognized Malassezia species have been isolated
from mammals. However, only a few of them have been isolated from birds. In fact,
birds have been less frequently studied as carriers of Malassezia yeasts than
mammals. AIM: In this study we describe two new taxa, Malassezia brasiliensis sp.
nov. and Malassezia psittaci sp. nov. METHODS: The isolates studied in this
publication were isolated from pet parrots from Brazil. They were characterized
using the current morphological and physiological identification scheme. DNA
sequencing and analysis of the D1/D2 regions of the 26S rRNA gene, the ITS-5.8S
rRNA gene sequences and the beta-tubulin gene were also performed. RESULTS: The
strains proposed as new species did not completely fit the phenotypic profiles of
any the described species. The validation of these new species was supported by
analysis of the genes studied. The multilocus sequence analysis of the three loci
provides robust support to delineate these species. CONCLUSIONS: These studies
confirm the separation of these two new species from the other species of the
genus Malassezia, as well as the presence of lipid-dependent Malassezia yeasts on
parrots.
PMID- 27184442
TI - Versatile theranostics agents designed by coating ferrite nanoparticles with
biocompatible polymers.
AB - Three biocompatible polymers, polyethylene glycol (PEG), dextran and chitosan,
have been used in this work to control the colloidal stability of magnetic
nanoparticles (14 +/- 5 nm in diameter) and to vary the aggregation state in
order to study their effect on relaxometric and heating properties. Two different
coating strategies have been deeply developed; one based on the formation of an
amide bond between citric acid coated nanoparticles (NPs) and amine groups
present on the polymer surface and the other based on the NP encapsulation.
Relaxometric properties revealed that proton relaxation rates strongly depend on
the coating layer hydrophilicity and the aggregation state of the particles due
to the presence of magnetic interactions. Thus, while PEG coating reduces
particle aggregation by increasing inter-particle spacing leading to reduction of
both T1 and T2 relaxation, dextran and chitosan lead to an increase mainly in T2
values due to the aggregation of particles in bigger clusters where they are in
close contact. Dextran and chitosan coated NPs have also shown a remarkable
heating effect during the application of an alternating magnetic field. They have
proved to be potential candidates as theranostic agents for cancer diagnosis and
treatment. Finally, cytotoxicity of PEG conjugated NPs, which seem to be ideal
for intravenous administration because of their small hydrodynamic size, was
investigated resulting in high cell viability even at 0.2 mg Fe ml(-1) after 24 h
of incubation. This suspension can be used as drug/biomolecule carrier for in
vivo applications.
PMID- 27184441
TI - Massive obstetric hemorrhage: Current approach to management.
AB - Massive obstetric hemorrhage is a major cause of maternal mortality and morbidity
worldwide. It is defined (among others) as the loss of>2,500ml of blood, and is
associated to a need for admission to critical care and/or hysterectomy. The
relative hemodilution and high cardiac output found in normal pregnancy allows
substantial bleeding before a drop in hemoglobin and/or hematocrit can be
identified. Some comorbidities associated with pregnancy can contribute to the
occurrence of catastrophic bleeding with consumption coagulopathy, which makes
the situation even worse. Optimization, preparation, rational use of resources
and protocolization of actions are often useful to improve outcomes in patients
with postpartum hemorrhage. Using massive obstetric hemorrhage protocols is
useful for facilitating rapid transfusion if needed, and can also be cost
effective. If hypofibrinogenemia during the bleeding episode is identified, early
fibrinogen administration can be very useful. Other coagulation factors in
addition to fibrinogen may be necessary during postpartum hemorrhage replacement
measures in order to effectively correct coagulopathy. A hysterectomy is
recommended if the medical and surgical measures prove ineffective.
PMID- 27184443
TI - Harnessing Buckling to Design Architected Materials that Exhibit Effective
Negative Swelling.
AB - Inspired by the need to develop materials capable of targeted and extreme volume
changes during operation, numerical simulations and experiments are combined to
design a new class of soft architected materials that achieve a reduction of
projected surface-area coverage during swelling.
PMID- 27184444
TI - Response to Letter to the Editor "A Quality Improvement Project to Improve and
Reduce the Use of Hand Mitt Restraints in Nursing Home Residents".
PMID- 27184445
TI - Cardiotoxicity screening with simultaneous optogenetic pacing, voltage imaging
and calcium imaging.
AB - INTRODUCTION: The Comprehensive in vitro Proarrhythmia Assay (CiPA) initiative
seeks an in vitro test to accurately predict clinical Torsades de Pointes (TdP).
We developed a cardiotoxicity assay incorporating simultaneous measurement of the
action potential (AP) waveform and Ca(2+) transient (CT) in human iPSC-derived
cardiomyocytes (CMs). Concurrent optogenetic pacing provided a well-controlled
electrophysiological background. METHODS: We used the Optopatch platform for all
optical electrophysiology (Hochbaum et al., 2014). In a monolayer culture, a
subset of cells expressed a genetically encoded, calcium and voltage reporter,
CaViar (Hou, Kralj, Douglass, Engert, & Cohen, 2014), while others expressed a
channelrhodopsin variant, CheRiff. Optical pacing of CheRiff-expressing cells
synchronized the syncytium. We screened 12 compounds (11 acute, 1 chronic) to
identify electrophysiological (AP rise time, AP50, AP90, beat rate) and CT
effects in spontaneously beating and paced cultures (1Hz, 2Hz). RESULTS: CaViar
reported spontaneous and paced APs and CTs with high signal-to-noise ratio and
low phototoxicity. Quinidine, flecainide, E-4031, digoxin and cisapride prolonged
APs, while verapamil and nifedipine shortened APs. Early after depolarizations
(EADs) were elicited by quinidine, flecainide and cisapride. All but four
compounds (amiodarone, chromanol, nifedipine, verapamil) prolonged AP rise time.
Nifedipine and verapamil decreased CT amplitude, while digoxin increased CT
amplitude. Pentamidine prolonged APs after chronic exposure. DISCUSSION: The
Optopatch platform provides a robust assay to measure APs and CTs in hiPSC-CMs.
This addresses the CiPA mandate and will facilitate comparisons of cell-based
assays to human clinical data.
PMID- 27184446
TI - Biochar as a novel niche for culturing microbial communities in composting.
AB - Biochar has been applied as a bulk agent or an additive to compost. The mixture
of biochar and compost has been considered to exert synergistic effect as a soil
amendment. In a composting system, the macro-porous sites of biochar may act as a
novel niche that selects and cultures the microorganisms from the bulk compost. A
variety of volatile organic carbons (VOCs) such as aromatic hydrocarbons and
aliphatics were detected in biochar pellets (BC) pyrolyzed at 100 degrees C. In
the mesosphilic phase, the water-soluble carbon (WSC) and water-soluble phenols
(WSP) in biochar increased from 2.1 to 26mgkg(-1) and 5.9 to 101MUgkg(-1),
respectively. These labile carbons however, were subjected to a rapid metabolism
over the composting course. We further compared the responses of microbial
community in BC to those in the bulk organic matter. Both Shannon-Wiener and
Richness indexes of bacterial communities were higher in BC than in the adjacent
compost (ADJ) and the bulk organic matter (control). As for fungal communities,
the two indexes were higher in BC than ADJ and control only in the mature phase.
During the composting course, the bacterial activity was higher than the fungal
counterpart in terms of the changes of corresponding biomarkers, glucosamine and
muramic acids. The results suggested that the diversified labile carbons sources
including VOCs and WSC in BC could influence the structure of microbial community
and resulted in an enhanced carbon catabolic capacity.
PMID- 27184447
TI - Utilization of agricultural and forest industry waste and residues in natural
fiber-polymer composites: A review.
AB - Natural fiber-polymer composites (NFPCs) are becoming increasingly utilized in a
wide variety of applications because they represent an ecological and inexpensive
alternative to conventional petroleum-derived materials. On the other hand,
considerable amounts of organic waste and residues from the industrial and
agricultural processes are still underutilized as low-value energy sources.
Organic materials are commonly disposed of or subjected to the traditional waste
management methods, such as landfilling, composting or anaerobic digestion. The
use of organic waste and residue materials in NFPCs represents an ecologically
friendly and a substantially higher value alternative. This is a comprehensive
review examining how organic waste and residues could be utilized in the future
as reinforcements or additives for NFPCs from the perspective of the recently
reported work in this field.
PMID- 27184448
TI - Thermal and catalytic pyrolysis of a mixture of plastics from small waste
electrical and electronic equipment (WEEE).
AB - Pyrolysis seems a promising route for recycling of heterogeneous, contaminated
and additives containing plastics from waste electrical and electronic equipment
(WEEE). This study deals with the thermal and catalytic pyrolysis of a synthetic
mixture containing real waste plastics, representative of polymers contained in
small WEEE. Two zeolite-based catalysts were used at 400 degrees C: HUSY and HZSM
5 with a high silica content, while three different temperatures were adopted for
the thermal cracking: 400, 600 and 800 degrees C. The mass balance showed that
the oil produced by pyrolysis is always the main product regardless the process
conditions selected, with yields ranging from 83% to 93%. A higher yield was
obtained when pyrolysis was carried out with HZSM-5 at 400 degrees C and without
catalysts, but at 600 and 800 degrees C. Formation of a significant amount of
solid residue (about 13%) is observed using HUSY. The oily liquid product of
pyrolysis, analysed by GC-MS and GC-FID, as well as by elemental analysis and for
energy content, appeared lighter, less viscous and with a higher concentration of
monoaromatics under catalytic condition, if compared to the liquid product
derived from thermal degradation at the same temperature. HZSM-5 led to the
production of a high yield of styrene (17.5%), while HUSY favoured the formation
of ethylbenzene (15%). Energy released by combustion of the oil was around
39MJ/kg, thus suggesting the possibility to exploit it as a fuel, if the recovery
of chemical compounds could not be realised. Elemental and proximate analysis of
char and GC-TCD analysis of the gas were also performed. Finally, it was
estimated to what extent these two products, showing a relevant ability to
release energy, could fulfil the energy demand requested in pyrolysis.
PMID- 27184450
TI - Lifecycle assessment of a system for food waste disposers to tank - A full-scale
system evaluation.
AB - An increased interest for separate collection of household food waste in Sweden
has led to development of a number of different collection-systems - each with
their particular benefits and drawbacks. In the present study, two systems for
collection of food waste in households were compared; (a) use of food waste
disposers (FWD) in kitchen sinks and (b) collection of food waste in paper bags
for further treatment. The comparison was made in relation to greenhouse gas
emissions as well as primary energy utilization. In both cases, collected food
waste was treated through anaerobic digestion and digestate was used as
fertilizer on farmland. Systems emissions of greenhouse gases from collection and
treatment of 1ton of food waste (dry matter), are according to the performed
assessment lower from the FWD-system compared to the reference system (-990 and
770kgCO2-eq./ton food waste dry matter respectively). The main reasons are a
higher substitution of mineral nitrogen fertilizer followed by a higher
substitution of diesel. Performed uncertainty analyses state that results are
robust, but that decreasing losses of organic matter in pre-treatment of food
waste collected in paper bags, as well as increased losses of organic matter and
nutrients from the FWD-system could change the hierarchy in relation to
greenhouse gas emissions. Owing to a higher use of electricity in the FWD-system,
the paper bag collection system was preferable in relation to primary energy
utilization. Due to the many questions still remaining regarding the impacts of
an increased amount of nutrients and organic matter to the sewage system through
an increased use of FWD, the later treatment of effluent from the FWD-system, as
well as treatment of wastewater from kitchen sinks in the reference system, was
not included in the assessment. In future work, these aspects would be of
relevance to monitor.
PMID- 27184449
TI - Polluted rainwater runoff from waste recovery and recycling companies:
Determination of emission levels associated with the best available techniques.
AB - Rainwater falling on outdoor storage areas of waste recovery and recycling
companies becomes polluted via contact with the stored materials. It contains
various pollutants, including heavy metals, polycyclic aromatic hydrocarbons and
polychlorinated biphenyls, and is characterized by a highly fluctuating
composition and flow rate. This polluted rainwater runoff is legally considered
as industrial wastewater, and the polluting substances contained in the rainwater
runoff at the point of discharge, are considered as emissions into water. The
permitting authorities can set emission limit values (discharge limits) at the
point of discharge. Best available techniques are an important reference point
for setting emission limit values. In this paper, the emission levels associated
with the best available techniques for dealing with polluted rainwater runoff
from waste recovery and recycling companies were determined. The determination is
based on an analysis of emission data measured at different companies in
Flanders. The data show that a significant fraction of the pollution in rainwater
runoff is associated with particles. A comparison with literature data provides
strong indications that not only leaching, but also atmospheric deposition play
an important role in the contamination of rainwater at waste recovery and
recycling companies. The prevention of pollution and removal of suspended solids
from rainwater runoff to levels below 60mg/l are considered as best available
techniques. The associated emission levels were determined by considering only
emission data from plants applying wastewater treatment, and excluding all
samples with suspended solid levels >60mg/l. The resulting BAT-AEL can be used as
a reference point for setting emission limit values for polluted rainwater runoff
from waste recovery and recycling companies. Since the BAT-AEL (e.g. 150MUg/l for
Cu) are significantly lower than current emission levels (e.g. 300MUg/l as the
90% percentile and 4910MUg/l as the maximum level for Cu), this will result in a
significant reduction in emissions into water.
PMID- 27184451
TI - Two novel temperate bacteriophages co-existing in Aeromonas sp. ARM81 -
characterization of their genomes, proteomes and DNA methyltransferases.
AB - Aeromonas species are causative agents of a wide spectrum of diseases in animals
and humans. Although these bacteria are commonly found in various environments,
little is known about their phages. Thus far, only one temperate Aeromonas phage
has been characterized. Whole-genome sequencing of an Aeromonas sp. strain ARM81
revealed the presence of two prophage clusters. One of them is integrated into
the chromosome and the other was maintained as an extrachromosomal, linear
plasmid-like prophage encoding a protelomerase. Both prophages were artificially
and spontaneously inducible. We separately isolated both phages and compared
their genomes with other known viruses. The novel phages show no similarity to
the previously characterized Aeromonas phages and might represent new
evolutionary lineages of viruses infecting Aeromonadaceae. Apart from the
comparative genomic analyses of these phages, complemented with their structural
and molecular characterization, a functional analysis of four DNA
methyltransferases encoded by these viruses was conducted. One of the
investigated N6-adenine-modifying enzymes shares sequence specificity with a Dam
like methyltransferase of its bacterial host, while another one is non-specific,
as it catalyzes adenine methylation in various sequence contexts. The presented
results shed new light on the diversity of Aeromonas temperate phages.
PMID- 27184453
TI - Contents: Macromol. Rapid Commun. 10/2016.
PMID- 27184452
TI - Shape and Interhelical Spacing of DNA Origami Nanostructures Studied by Small
Angle X-ray Scattering.
AB - Scaffolded DNA origami nanostructures enable the self-assembly of arbitrarily
shaped objects with unprecedented accuracy. Yet, varying physiological conditions
are prone to induce slight structural changes in the nanoscale architecture.
Here, we report on high precision measurements of overall shape and interhelical
distance of three prototypic DNA origami structures in solution using synchrotron
small-angle X-ray scattering. Sheet-, brick-, and cylinder-shaped DNA constructs
were assembled and the shape factors determined with angstrom resolution from
fits to the scattering profiles. With decreasing MgCl2 concentration
electrostatic swelling of both shape cross section and interhelical DNA spacing
of the DNA origami structures is observed. The structures tolerate up to 10%
interhelical expansion before they disintegrate. In contrast, with increasing
temperature, the cylinder-shaped structures show no thermal expansion in a wide
temperature window before they abruptly melt above 50 degrees C. Details on
molecular structure of DNA origami can also be obtained using in-house X-ray
scattering equipment and, hence, allow for routine folding and stability testing
of DNA-based agents that are designed to operate under varying salt conditions.
PMID- 27184454
TI - Macromol. Rapid Commun. 10/2016.
AB - Back Cover: Sustainable polyamides with side groups and stereocenters are
synthesized starting from L-menthone. This terpenoid ketone is transformed in a
one-step synthesis into a chiral lactam, which is then polymerized via ring
opening polymerization (ROP). The beneficial properties of these polymers are
thus introduced via the structure and chirality of the lactam monomer. Further
details can be found in the article by M. Winnacker*, M. Neumeier, X. Zhang, C.
M. Papadakis, and B. Rieger on page 851.
PMID- 27184456
TI - Physico-chemical and in vitro cellular properties of different calcium phosphate
bioactive glass composite chitosan-collagen (CaP@ChiCol) for bone scaffolds.
AB - In the present study, scaffolds for bone tissue engineering applications were
made by immersing the inorganic phases of three different calcium phosphate
(CaPs) (hydroxyapatite (HA), tricalcium phosphate (TCP), and biphasic calcium
phosphate (BCP)) mixing bioactive glass (15Ca:80Si:5P) (BG) with polycaprolactone
(PCL) as a binder in an organic phase of chitosan/collagen (ChiCol) matrix
(CaPBG@ChiCol). Porous scaffolds were obtained by freeze drying the combinations.
The mechanical properties and in vitro growth of rat osteoblast-like UMR-106
cells were investigated. The investigation indicated that the compressive
strength was controlled by the types of CaP. The highest compressive modulus of
the composites was 479.77 MPa (23.84 MPa for compressive strength) which is for
the BCPBG@ChiCol composite. Compressive modulus of 459.01 and 435.95 MPa with
compressive strength of 22.73 and 17.89 MPa were observed for the HABG@ChiCol and
TCPBG@ChiCol composites, respectively. In vitro cell availability and
proliferation tests confirmed the osteoblast attachment and growth on the
CaPBG@ChiCol surface. Comparing the scaffolds, cells grown on the BCPBG based
composite showed the higher cell density. To test its bioactivity, BCPBG@ChiCol
was chosen for MTT and ALP assays on UMR-106 cells. The results indicated that
the UMR-106 cells were viable and had higher ALP activity as the culturing times
were increased. Therefore, ChiCol-fabricated BCPBG scaffold shows promise for
bone regeneration. (c) 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B:
Appl Biomater, 105B: 1758-1766, 2017.
PMID- 27184457
TI - Comparison of diagnostic accuracy of PCR and BACTEC with Lowenstein-Jensen
culture and histopathology in the diagnosis of female genital tuberculosis in
three subsets of gynaecological conditions.
AB - The prevalence of female genital tuberculosis (FGTB) in India has been estimated
to be about 19%. Despite an array of diagnostic tests being available, the goal
of early diagnosis and treatment remains elusive. The present study was planned
to identify better diagnostic tests for early detection of FGTB and also to
compare their diagnostic accuracy with the existing standard diagnostic tests in
three subsets of gynaecological conditions (infertility, menstrual abnormalities
and pelvic inflammatory disease). Total of 90 patients recruited in three groups
of 30 each underwent endometrial sampling. The biopsied tissue was sent for
histopathological examination, AFB smear examination, culture in Lowenstein
Jensen (L-J) and BACTEC 460 TB culture media and nested PCR testing. BACTEC had a
sensitivity of 40% with a specificity of 90% while PCR showed a sensitivity and
specificity of 62.5% and 54%, respectively, as compared to conventional methods
(L-J culture or histopathology). Addition of PCR to BACTEC improved sensitivity
from 40% to 52%. Hence, we conclude that combination of BACTEC and PCR had an
improved detection as compared to conventional tests with an advantage of early
results.
PMID- 27184458
TI - Understanding the pathophysiology of schizophrenia: Contributions from the
Melbourne Psychiatric Brain Bank.
AB - The Melbourne Psychiatric Brain Bank came into existence 25years ago. This review
focusses on lines of research that have used tissue from the Brain Bank over
periods of time. Hence there is a discussion on the significance of changes in
levels of serotonin 2A receptors in the cortex of patients with schizophrenia and
the relevance of such changes with regards to the pathophysiology of the
disorder. The extensive contribution made by studies using tissue from the
Melbourne Psychiatric Brain Bank to understanding the role of muscarinic
receptors in the pathophysiology and treatment of schizophrenia is summarised.
Finally, findings using brain bank tissue and "omics" technologies are reviewed.
In each case, findings using tissue from the Melbourne Psychiatric Brain Bank is
placed in context with research carried out on human postmortem CNS in
schizophrenia and with findings in other lines of research that can help explain
the causes or consequences of changes in CNS molecular cytoarchitecture. This
timely review of data from the Melbourne Psychiatric Brain Bank reinforces the
challenges faced in trying to increase our understanding of the molecular
pathophysiology of schizophrenia. Continuing to increase our understanding of the
disorder is important as a precursor to identifying new drug targets that can be
exploited to improve the treatment of a disorder where treatment resistance
remains a significant problem (Millan et al., 2016).
PMID- 27184459
TI - The organisation of spatial and temporal relations in memory.
AB - Episodic memories are comprised of details of "where" and "when"; spatial and
temporal relations, respectively. However, evidence from behavioural,
neuropsychological, and neuroimaging studies has provided mixed interpretations
about how memories for spatial and temporal relations are organised-they may be
hierarchical, fully interactive, or independent. In the current study, we
examined the interaction of memory for spatial and temporal relations. Using
explicit reports and eye-tracking, we assessed younger and older adults' memory
for spatial and temporal relations of objects that were presented singly across
time in unique spatial locations. Explicit change detection of spatial relations
was affected by a change in temporal relations, but explicit change detection of
temporal relations was not affected by a change in spatial relations. Younger and
older adults showed eye movement evidence of incidental memory for temporal
relations, but only younger adults showed eye movement evidence of incidental
memory for spatial relations. Together, these findings point towards a
hierarchical organisation of relational memory. The implications of these
findings are discussed in the context of the neural mechanisms that may support
such a hierarchical organisation of memory.
PMID- 27184460
TI - PEGylated niosomes-mediated drug delivery systems for Paeonol: preparation,
pharmacokinetics studies and synergistic anti-tumor effects with 5-FU.
AB - This work describes the preparation of a PEGylated niosomes-mediated drug
delivery systems for Paeonol, thereby improving the bioavailability and chemical
stability of Paeonol, prolonging its cellular uptake and enhancing its
synergistic anti-cancer effects with 5-Fu. PEGylated niosomes, which are prepared
from biocompatible nonionic surfactant of Spans 60 and cholesterol, and modified
with PEG-SA. Pae-PEG-NISVs were evaluated in vitro and in vivo. The cytotoxicity
of Pae-PEG-NISVs was investigated against HepG2 cells. Fluorescence microscope
was used to detect the apoptotic morphological changes. Growth inhibition assays
were carried out to investigate whether Pae-PEG-NISVs could enhance the
antiproliferative effects of Pae co-treated with 5-FU on HepG2 cells. The
optimized Pae-PEG-NISVs had mean diameters of approximately 166 nm and entrapment
efficiency (EE) of 61.8%. Furthermore, the in vitro release study of Paeonol from
PEGylated niosomes exhibited a relatively prolonged release profile for 12 h.
Pharmacokinetic studies in rats after i.v. injection showed that Pae-PEG-NISVs
had increased elimination half-lives (t1/2, 87.5 versus 17.0 min) and increased
area under the concentration-time curve (AUC0-t, 38.0 versus 19.48 MUg/ml*min)
compared to Paeonol solution. Formulated Paeonol had superior cytotoxicity versus
the free drug with IC50 values of 22.47 and 85.16 MUg/mL at 24 h on HepG2 cells,
respectively, and we found that low concentration of Pae-PEG-NISVs and 5-Fu in
conjunction had obviously synergistic effect. Our results indicate that the PEG
NISVs system has the potential to serve as an efficient carrier for Paeonol by
effectively solubilizing, stabilizing and delivering the drug to the cancer
cells.
PMID- 27184462
TI - Cerebellar disruptions and neurodevelopmental disabilities.
AB - The vulnerability of the cerebellum during prenatal life to disruptive events
such as hemorrhage and infection leads to a wide variety of morphological
abnormalities. This review discusses various prenatal cerebellar disruptions
including cerebellar agenesis, unilateral cerebellar hypoplasia, cerebellar
cleft, global cerebellar hypoplasia, and vanishing cerebellum in Chiari type II
malformation. For each entity, we discuss the definition, potential
pathomechanism, clinical findings including neurocognitive and behavioral
problems, neuroimaging features, and management. Accurate recognition of
cerebellar disruptions and their differentiation from malformations is important
in terms of diagnosis, prognosis, and genetic counselling.
PMID- 27184461
TI - Structure-function relationships in the developing cerebellum: Evidence from
early-life cerebellar injury and neurodevelopmental disorders.
AB - The increasing appreciation of the role of the cerebellum in motor and non-motor
functions is crucial to understanding the outcomes of acquired cerebellar injury
and developmental lesions in high-risk fetal and neonatal populations, children
with cerebellar damage (e.g. posterior fossa tumors), and neurodevelopmental
disorders (e.g. autism). We review available data regarding the relationship
between the topography of cerebellar injury or abnormality and functional
outcomes. We report emerging structure-function relationships with specific
symptoms: cerebellar regions that interconnect with sensorimotor cortices are
associated with motor impairments when damaged; disruption to posterolateral
cerebellar regions that form circuits with association cortices impact long-term
cognitive outcomes; and midline posterior vermal damage is associated with
behavioral dysregulation and an autism-like phenotype. We also explore the impact
of age and the potential role for critical periods on cerebellar structure and
child function. These findings suggest that the cerebellum plays a critical role
in motor, cognitive, and social-behavioral development, possibly via modulatory
effects on the developing cerebral cortex.
PMID- 27184464
TI - SNCA Gene Polymorphism may Contribute to an Increased Risk of Alzheimer's
Disease.
AB - BACKGROUND: The purpose of this study is to elucidate the association between
alpha-synuclein (SNCA) polymorphisms and the risk of Alzheimer's disease (AD).
METHODS: The PCR-RFLP was applied to detect SNCA gene rs6532190, rs3775430, and
rs10516846 polymorphisms in 98 AD patients and 105 healthy elderly. RESULTS: The
GG frequency of rs10516846 was evidently increased in AD group than control group
(P < 0.05). There was a significant difference in SNCA level between the AD and
control groups (P < 0.01). In the AD group, the SNCA level in cerebrospinal fluid
of GG (rs10516846) carriers was increased as compared with AA carriers (P <
0.05). The GG (rs10516846) frequency of the early-onset AD group is significantly
higher than that of the late-onset AD group (P < 0.05). The frequency of
rs3775430 GG was lower in the early-onset group than that in the late-onset group
(0% vs. 16.7%). The SNCA level in cerebrospinal fluid of GG (rs10516846) carriers
in the early-onset AD group is higher than that of AA carriers (P < 0.05).
CONCLUSION: SNCA gene polymorphism may be associated with an increased risk of AD
and GG genotype of rs10516846 and elevated SNCA level in CSF may increase the
risk of early-onset AD.
PMID- 27184463
TI - Cardiovascular disease risk by assigned treatment using the 2013 and 1998 obesity
guidelines.
AB - OBJECTIVE: The 1998 and the 2013 guidelines on management of overweight and
obesity in adults provided algorithms for identification of patients to be
treated with weight loss. To date, the cardiovascular disease (CVD) risk in the
groups recommended or not recommended for weight loss treatment has not been
estimated and compared. METHODS: Baseline data for the Atherosclerosis Risk in
Communities study were collected between 1987 and 1989 from adults aged 45 to 64
years. Black and White men and women free of CVD were followed over 22.8 years
(median), and 2,907 incident CVD events were recorded. RESULTS: The hazard ratios
adjusted for demographic variables in adults not recommended for treatment versus
adults recommended for treatment were 0.54 (95% CI: 0.50-0.59) for the 1998
algorithm and 0.63 (95% CI: 0.58-0.69) for the 2013 algorithm, respectively. No
gender or race differences were detected when the 2013 algorithm was applied, but
using the 1998 algorithm, CVD risk between the groups recommended or not
recommended for treatment was more pronounced in Black women than in Black men.
CONCLUSIONS: The 2013 algorithm performed similarly in Black and White men and
women but did not improve upon the 1998 algorithm in terms of discriminating risk
of CVD.
PMID- 27184466
TI - What Incentives Are Created by Medicare Payments for Total Hip Arthroplasty?
AB - BACKGROUND: Differences in profitability and contribution margin (CM) between
various patient populations may make certain patients particularly attractive (or
unattractive) to providers. This study seeks to identify patient characteristics
associated with increased profit and CM among Medicare patients undergoing total
hip arthroplasty (THA). METHODS: The expected Medicare reimbursement for
consecutive patients of Medicare-eligible age (65+ years) undergoing primary
unilateral elective THA (n = 498) was calculated in accordance with Center for
Medicare and Medicaid Services policy. Costs were derived from the hospital's
cost accounting system. Profit and CM were calculated for each patient as
reimbursement less total and variable costs, respectively. Patients were compared
based on clinical and demographic factors by univariate and multivariate
analyses. RESULTS: Medicare patients undergoing THA generated negative average
profits but substantial positive CMs. Lower profit and CM were associated with
higher American Society of Anesthesiologists Physical Status Classification (P <
.01, P = .03), older age (P < .01), and longer length of stay (P < .01, P = .03).
No association was found with gender, body mass index, or race. CONCLUSION: If
our results are generalizable, Medicare patients requiring THA are currently
financially attractive, but institutions have a long-term incentive to shift
resources to more profitable patients and service lines, which may eventually
restrict access to care for this population. THA providers have a financial
incentive to favor Medicare patients with younger age, lower American Society of
Anesthesiologists Physical Status Classification, and those who can be expected
to require relatively short admissions. The Center for Medicare and Medicaid
Services must strive to accurately match reimbursement rates to provider costs to
avoid inequitable payments to providers and financial incentives discouraging
treatment of high-risk patients or other patient subpopulations.
PMID- 27184468
TI - Heat-enhanced peptide synthesis on Teflon-patterned paper.
AB - In this report, we describe the methodology for 96 parallel organic syntheses of
peptides on Teflon-patterned paper assisted by heating with an infra-red lamp.
SPOT synthesis is an important technology for production of peptide arrays on a
paper-based support for rapid identification of peptide ligands, epitope mapping,
and identification of bio-conjugation reactions. The major drawback of the SPOT
synthesis methodology published to-date is suboptimal reaction conversion due to
mass transport limitations in the unmixed reaction spot. The technology developed
in this report overcomes these problems by changing the environment of the
reaction from static to dynamic (flow-through), and further accelerating the
reaction by selective heating of the reaction support in contact with activated
amino acids. Patterning paper with Teflon allows for droplets of organic solvents
to be confined in a zone on the paper array and flow through the paper at a well
defined rate and provide a convenient, power-free setup for flow-through solid
phase synthesis and efficient assembly of peptide arrays. We employed an infra
red (IR) lamp to locally heat the cellulosic support during the flow-through
delivery of the reagents to each zone of the paper-based array. We demonstrate
that IR-heating in solid phase peptide synthesis shortened the reaction time
necessary for amide bond formation down to 3 minutes; in some couplings of alpha
amino acids, conversion rates increased up to fifteen folds. The IR-heating
improved the assembly of difficult sequences, such as homo-oligomers of all 20
natural amino acids.
PMID- 27184467
TI - Area of Skin Numbness After Total Knee Arthroplasty: Does Minimally Invasive
Approach Make Any Difference From Standard Approach?
AB - BACKGROUND: Skin numbness after total knee arthroplasty (TKA) was reported in
relation to injury of the infrapatellar branch of saphenous nerve (IPBSN).
METHODS: Phase I study: A nonrandomized and nonconsecutive selection of 30
patients undergoing unilateral TKA, using minimally invasive surgery approach,
and 30 patients having standard approach were included. Area of skin numbness was
periodically evaluated and compared until 1-year follow-up (FU). Phase II study:
we dissected 15 normal cadaveric knees and followed the course and distribution
of IPBSN. RESULTS: Twenty-nine predominantly female patients in each group
completed 1-year FU with no differences in demographic data. Both the groups had
significantly improved Knee Society Score clinical and function scores with no
statistical differences. The numb areas in both the groups similarly decreased
from 2 weeks (51.7 cm2 vs 51.1 cm2) to 1 year (2.1 cm2 vs 2.4 cm2) with similar
percentages of no skin numbness at 1 year (69% vs 65%). The IPBSN branched from
saphenous nerve before exiting the adductor canal and ran longitudinally and
obliquely. It was found as a single nerve in 20%, a 2-branch nerve in 67% and a 3
branch nerve in 13%. All branches crossed the knee midline between superior
patellar pole and tibial tubercle. CONCLUSION: Clinical study showed that TKA
using minimally invasive surgery approach provided similar area of skin numbness
to standard approach. Numbness area gradually decreased at serial FUs in both the
groups. The cadaveric study demonstrated that the IPBSN consistently gave no
branch passing the knee midline above superior patellar pole.
PMID- 27184465
TI - Guidewire and microcatheter utilization patterns during antegrade wire escalation
in chronic total occlusion percutaneous coronary intervention: Insights from a
contemporary multicenter registry.
AB - OBJECTIVES: We sought to describe contemporary guidewire and microcatheter
utilization for antegrade wire escalation (AWE) during chronic total occlusion
(CTO) percutaneous coronary intervention (PCI). BACKGROUND: Equipment utilization
for AWE has been variable and evolving over time. METHODS: We examined device
utilization during 694 AWE attempts in 679 patients performed at 15 experienced
US centers between May 2012 and April 2015. RESULTS: Mean age was 65.6 +/- 9.7
years, and 85% of the patients were men. Successful wiring occurred in 436 AWE
attempts (63%). Final technical and procedural success was 91% and 89%,
respectively. The mean number of guidewire types used for AWE was 2.2 +/- 1.4.
The most frequently used guidewire types were the Pilot 200 (Abbott Vascular, 56%
of AWE procedures), Fielder XT (Asahi Intecc, 45%), and the Confianza Pro 12
(Asahi Intecc, 28%). The same guidewires were the ones that most commonly crossed
the occlusion: Pilot 200 (36% of successful AWE crossings), Fielder XT (20%), and
Confianza Pro 12 (11%). A microcatheter or over-the-wire balloon was used for 81%
of AWE attempts; the Corsair microcatheter (Asahi Intecc) was the most commonly
used (44%). No significant association was found between guidewire type and
incidence of major adverse cardiac events (MACE). CONCLUSIONS: Our contemporary,
multicenter CTO PCI registry demonstrates that the most commonly used wires for
AWE are polymer-jacketed guidewires. "Stiff" and polymer-jacketed guidewires
appear to provide high crossing rates without an increase in MACE or perforation,
and may thus be considered for upfront use. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184471
TI - Methyl iodine over oceans from the Arctic Ocean to the maritime Antarctic.
AB - Studies about methyl iodide (CH3I), an important atmospheric iodine species over
oceans, had been conducted in some maritime regions, but the understanding of the
spatial distribution of CH3I on a global scale is still limited. In this study,
we reports atmospheric CH3I over oceans during the Chinese Arctic and Antarctic
Research Expeditions. CH3I varied considerably with the range of 0.17 to 2.9 pptv
with absent of ship emission. The concentration of CH3I generally decreased with
increasing latitudes, except for higher levels in the middle latitudes of the
Northern Hemisphere than in the low latitudes. For sea areas, the Norwegian Sea
had the highest CH3I concentrations with a median of 0.91 pptv, while the Central
Arctic Ocean had the lowest concentrations with all values below 0.5 pptv. CH3I
concentration over oceans was affected by many parameters, including sea surface
temperature, salinity, dissolved organic carbon, biogenic emissions and input
from continents, with distinctive dominant factor in different regions,
indicating complex biogeochemical processes of CH3I on a global scale.
PMID- 27184469
TI - Exciton Recombination, Energy-, and Charge Transfer in Single- and Multilayer
Quantum-Dot Films on Silver Plasmonic Resonators.
AB - We examine exciton recombination, energy-, and charge transfer in multilayer
CdS/ZnS quantum dots (QDs) on silver plasmonic resonators using photoluminescence
(PL) and excitation spectroscopy along with kinetic modeling and simulations. The
exciton dynamics including all the processes are strongly affected by the
separation distance between QDs and silver resonators, excitation wavelength, and
QD film thickness. For a direct contact or very small distance, interfacial
charge transfer and tunneling dominate over intrinsic radiative recombination and
exciton energy transfer to surface plasmons (SPs), resulting in PL suppression.
With increasing distance, however, tunneling diminishes dramatically, while long
range exciton-SP coupling takes place much faster (>6.5 ns) than intrinsic
recombination (~200 ns) causing considerable PL enhancement. The exciton-SP
coupling strength shows a strong dependence on excitation wavelengths, suggesting
the state-specific dynamics of excitons and the down-conversion of surface
plasmons involved. The overlayers as well as the bottom monolayer of QD
multilayers exhibit significant PL enhancement mainly through long-range exciton
SP coupling. The overall emission behaviors from single- and multilayer QD films
on silver resonators are described quantitatively by a photophysical kinetic
model and simulations. The present experimental and simulation results provide
important and useful design rules for QD-based light harvesting applications
using the exciton-surface plasmon coupling.
PMID- 27184470
TI - Bone marrow metastasis presenting as bicytopenia originating from hepatocellular
carcinoma.
AB - The bone is a common site for metastasis in hepatocellular carcinoma (HCC).
However, bone marrow metastasis from HCC is rarely reported, and its frequency is
unclear. Here we report a rare case of bone marrow metastasis that presented as
bicytopenia originating from HCC without bone metastasis. A 58-year-old man was
admitted for investigation of a liver mass with extensive lymph node enlargement
that was detected when examining his general weakness and weight loss. Laboratory
findings revealed anemia, thrombocytopenia, mild elevated liver enzymes, normal
prothrombin time percentage and high levels of tumor markers (alpha-fetoprotein
and des-gamma-carboxyprothrombin). Abdominal computed tomography showed multiple
enhanced masses in the liver and multiple enlarged lymph nodes in the abdomen. A
bone marrow biopsy revealed only a few normal hematopoietic cells and abundant
tumor cells. Despite its rarity, bone marrow metastasis should always be
suspected in HCC patients even if accompanied by cirrhosis.
PMID- 27184474
TI - ML418: The First Selective, Sub-Micromolar Pore Blocker of Kir7.1 Potassium
Channels.
AB - The inward rectifier potassium (Kir) channel Kir7.1 (KCNJ13) has recently emerged
as a key regulator of melanocortin signaling in the brain, electrolyte
homeostasis in the eye, and uterine muscle contractility during pregnancy. The
pharmacological tools available for exploring the physiology and therapeutic
potential of Kir7.1 have been limited to relatively weak and nonselective small
molecule inhibitors. Here, we report the discovery in a fluorescence-based high
throughput screen of a novel Kir7.1 channel inhibitor, VU714. Site-directed
mutagenesis of pore-lining amino acid residues identified glutamate 149 and
alanine 150 as essential determinants of VU714 activity. Lead optimization with
medicinal chemistry generated ML418, which exhibits sub-micromolar activity (IC50
= 310 nM) and superior selectivity over other Kir channels (at least 17-fold
selective over Kir1.1, Kir2.1, Kir2.2, Kir2.3, Kir3.1/3.2, and Kir4.1) except for
Kir6.2/SUR1 (equally potent). Evaluation in the EuroFins Lead Profiling panel of
64 GPCRs, ion-channels, and transporters for off-target activity of ML418
revealed a relatively clean ancillary pharmacology. While ML418 exhibited low
CLHEP in human microsomes which could be modulated with lipophilicity
adjustments, it showed high CLHEP in rat microsomes regardless of lipophilicity.
A subsequent in vivo PK study of ML418 by intraperitoneal (IP) administration (30
mg/kg dosage) revealed a suitable PK profile (Cmax = 0.20 MUM and Tmax = 3 h) and
favorable CNS distribution (mouse brain/plasma Kp of 10.9 to support in vivo
studies. ML418, which represents the current state-of-the-art in Kir7.1
inhibitors, should be useful for exploring the physiology of Kir7.1 in vitro and
in vivo.
PMID- 27184475
TI - Evidence of benefits from using fresh and cryopreserved blood to transfuse
patients with acute sickle cell disease.
AB - BACKGROUND: The transfusion of red blood cell (RBC) concentrates is the main
treatment for acute vaso-occlusive symptoms in sickle cell disease (SCD). Units
of packed RBCs (pRBCs) must retain optimal characteristics for transfusion
throughout the storage period. Transfused RBCs interact with the plasma and the
endothelium that lines blood vessels and may be the target of immune-hematologic
conflict if the patient produces antibodies against RBCs. Questions remain
concerning the benefit-risk balance of RBC transfusions, in particular about the
shelf-life of the units. STUDY DESIGN AND METHODS: Plasma samples from 33
hemoglobin SS patients with SCD who had severe acute-phase symptoms or were in
steady-state were put in contact with 10 fresh-stored and older stored samples
from the same 10 RBC units. The factors affecting RBC survival
(phosphatidylserine exposure, cytosolic calcium influx, cell size reduction) were
analyzed. RESULTS: We show that the effects of plasma samples from patients with
SCD on pRBCs depend on the clinical condition of the patients and the duration of
red cell storage. Signs of RBC senescence were correlated with the clinical
status of the patient from whom the plasma sample was obtained. A decrease in RBC
size and an increase in phosphatidylserine exposure were correlated with the
duration of RBC storage. The behavior of cryopreserved pRBCs was similar to that
of fresh refrigerated RBCs when challenged with patient plasma samples.
CONCLUSION: The key points of this study are that the clinical condition of
patients with SCD can negatively affect the integrity of pRBCs for transfusion,
and those effects increase with longer storage. Also, cryopreserved pRBCs behave
similarly to fresh RBCs when challenged with plasma samples from patients with
SCD in acute phase. Our data provide the first evidence that fresh RBCs stored
for short periods may be of greater benefit to patients with SCD than RBCs that
have been refrigerated for longer periods, particularly for those who have acute
symptoms of SCD.
PMID- 27184476
TI - Determining jitter values in the very young by use of the e-norms methodology.
AB - INTRODUCTION: The diagnosis of myasthenia gravis in very young infants is a
challenging one. In young infants, stimulated single-fiber electromyography
(StimSFEMG) is the most appropriate technique, but it has serious limitations due
to the absence of reference values in this subpopulation. Here we present our
efforts to derive a reference range of jitter in a patient cohort of infants <3
years of age using the extrapolated norms, or e-norms, technique. METHODS: The e
norms method was used to calculate jitter mean consecutive difference (MCD)
descriptive statistics for children <3 years of age. RESULTS: The e-norms derived
jitter upper MCD limit was 45 MUs in children <1 year, 33 MUs in those <2 years,
and 26 in those <3 years of age. CONCLUSION: In the absence of jitter reference
values for the very young, the e-norms method can be used as an alternative to
derive these values from laboratory cohorts. Muscle Nerve 55: 51-54, 2017.
PMID- 27184477
TI - Mice with Dab1 or Vldlr insufficiency exhibit abnormal neonatal vocalization
patterns.
AB - Genetic and epigenetic changes in components of the Reelin-signaling pathway
(RELN, DAB1) are associated with autism spectrum disorder (ASD) risk. Social
communication deficits are a key component of the ASD diagnostic criteria, but
the underlying neurogenetic mechanisms remain unknown. Reln insufficient mice
exhibit ASD-like behavioral phenotypes including altered neonatal vocalization
patterns. Reelin affects multiple pathways including through the receptors, Very
low-density lipoprotein receptor (Vldlr), Apolipoprotein receptor 2 (Apoer2), and
intracellular signaling molecule Disabled-1 (Dab1). As Vldlr was previously
implicated in avian vocalization, here we investigate vocalizations of neonatal
mice with a reduction or absence of these components of the Reelin-signaling
pathway. Mice with low or no Dab1 expression exhibited reduced calling rates,
altered call-type usage, and differential vocal development trajectories. Mice
lacking Vldlr expression also had altered call repertoires, and this effect was
exacerbated by deficiency in Apoer2. Together with previous findings, these
observations 1) solidify a role for Reelin in vocal communication of multiple
species, 2) point to the canonical Reelin-signaling pathway as critical for
development of normal neonatal calling patterns in mice, and 3) suggest that
mutants in this pathway could be used as murine models for Reelin-associated
vocal deficits in humans.
PMID- 27184479
TI - Frequent PIK3CA-activating mutations in hidradenoma papilliferums.
AB - Hidradenoma papilliferum (HP) is a benign epithelial tumor most commonly seen in
the vulva. It is proposed to be derived from the anogenital mammary-like glands
and is histologically very similar to the mammary intraductal papilloma (IP).
Approximately 60% of mammary IPs have activating mutations in either PIK3CA or
AKT1, with each gene accounting for 30% of cases. In this study, we screened the
mutation statuses of PIK3CA, AKT1, RAS, and BRAF in 30 HPs. The results showed
that activating mutations in either PIK3CA or AKT1 were identified in 20 tumors
(67%); 19 tumors had PIK3CA mutations (63%; 13 in exon 20 and 6 in exon 9), and 1
had an AKT1 E17K mutation (3%). BRAF V600E mutation was found in an HP that also
had a PIK3CA H1047R mutation. No RAS mutation was found. The mutation status was
not correlated with the degree of epithelial cell hyperplasia. We conclude that
although there might be site-related variations in the mutation frequencies of
PIK3CA and AKT1 genes, HP is histologically and also genetically very similar to
the mammary IP, suggesting that HP can be viewed as the extramammary counterpart
of mammary IP.
PMID- 27184480
TI - Coexisting pituicytoma and pituitary adenoma; a second coincidence?-reply.
PMID- 27184478
TI - Hodgkin lymphoma variant of Richter transformation: morphology, Epstein-Barr
virus status, clonality, and survival analysis-with comparison to Hodgkin-like
lesion.
AB - Hodgkin/Reed-Sternberg (HRS) cells in the setting of chronic lymphocytic leukemia
(CLL) exist in 2 forms: type I with isolated HRS cells in a CLL background
(Hodgkin-like lesion) and type II with typical classic Hodgkin lymphoma, a
variant of Richter transformation (CHL-RT). The clinical significance of the 2
morphological patterns is unclear, and their biological features have not been
compared. We retrospectively reviewed 77 cases: 26 of type I and 51 of type II
CHL-RT; 3 cases progressed from type I to type II. We examined clinical features,
Epstein-Barr virus (EBV) status, and clonal relatedness after microdissection.
Median age for type I was 62 years versus 73 years for type II (P=.01); 27% (type
I) versus 73% (type II) had a history of CLL. HRS cells were positive for EBV in
71% (55/77), similar in types I and II. Clonality analysis was performed in 33
cases (type I and type II combined): HRS cells were clonally related to the
underlying CLL in 14 and unrelated in 19. ZAP-70 expression of the CLL cells but
not EBV status or morphological pattern was correlated with clonal relatedness:
all 14 clonally related cases were ZAP-70 negative, whereas 74% (14/19) of
clonally unrelated cases were ZAP-70 positive. Overall median survival (types I
and II) after diagnosis was 44 months. Advanced age was an adverse risk factor
for survival, but not histologic pattern, type I versus type II. HRS-like cells
in a background of CLL carries a similar clinical risk to that of CHL-RT and may
progress to classic Hodgkin lymphoma in some cases.
PMID- 27184481
TI - Loss of INI1 expression in colorectal carcinoma is associated with high tumor
grade, poor survival, BRAFV600E mutation, and mismatch repair deficiency.
AB - SMARCB1 is a tumor suppressor gene that encodes for the protein INI1. SMARCB1 is
commonly inactivated and INI1 correspondingly shows loss of expression in a range
of malignant neoplasms including rhabdoid tumors, renal medullary carcinomas, and
epithelioid sarcomas. Loss of INI1 expression has recently been reported in
occasional gastrointestinal adenocarcinomas. We sought to investigate the
incidence and clinicopathological significance of INI1 loss in colorectal
adenocarcinoma (CRC). Immunohistochemistry for INI1 was performed in tissue
microarray (TMA) format on a well-characterized and unselected cohort of CRCs
undergoing surgical resection. If staining was negative or equivocal in the TMA
sections, immunohistochemistry was repeated on whole sections. Focal or
widespread negative staining for INI1 was identified in whole sections from 14
(0.46%) of 3051 CRCs. In 7 (50%) of 14 negative cases, the loss of staining was
focal, whereas the remainder were characterized by negative staining in all
neoplastic cells in whole sections. In the cases with focal staining, loss of
staining was frequently found in areas of poor differentiation. Global or focal
INI1 loss was strongly associated with higher histological grade, larger tumor
size and poor overall survival (P<.001). We conclude that INI1 loss occurs rarely
(0.46% when screened by TMA) in CRC, where it is associated with higher grade,
larger tumor size, poorer survival, mismatch repair deficiency, and BRAFV600E
mutation.
PMID- 27184482
TI - Genomic copy number alterations in 33 malignant peritoneal mesothelioma analyzed
by comparative genomic hybridization array.
AB - Malignant peritoneal mesotheliomas (MPM) are rare, accounting for approximately
8% of cases of mesothelioma in France. We performed comparative genomic
hybridization (CGH) on frozen MPM samples using the Agilent Human Genome CGH 180
K array. Samples were taken from a total of 33 French patients, comprising 20 men
and 13 women with a mean (range) age of 58.4 (17-76) years. Asbestos exposure was
reported in 8 patients (24.2%). Median (range) overall survival (OS) was 39 (0
119) months. CGH analysis demonstrated the presence of chromosomal instability in
patients with MPM, with a genomic pattern that was similar to that described for
pleural mesothelioma, including the loss of chromosomal regions 3p21, 9p21, and
22q12. In addition, novel genomic copy number alterations were identified,
including the 15q26.2 region and the 8p11.22 region. Median OS was associated
with a low peritoneal cancer index (P=.011), epithelioid subtype (P=.038), and a
low number of genomic aberrations (P=.015), all of which constitute good
prognostic factors for MPM. Our results provide new insights into the genetic and
genomic background of MPM. Although pleural and peritoneal mesotheliomas have
different risk factors, different therapeutics, and different prognosis; these
data provide support to combine pleural and peritoneal mesothelioma in same
clinical assays.
PMID- 27184484
TI - Expression patterns of GATA3 and the androgen receptor are strongly correlated in
patients with triple-negative breast cancer.
AB - GATA-binding protein 3 (GATA3) is a diagnostically useful immunohistochemical
marker of breast cancer. Because of its strong association with estrogen receptor
expression, GATA3 has markedly reduced sensitivity in triple-negative breast
cancer (TNBC). We constructed a tissue microarray using a large series of TNBCs
and evaluated GATA3 expression by TNBC subtype as defined by surrogate
immunohistochemical markers. A total of 205 TNBCs were classified into cancers of
the molecular apocrine type (n=23, 11.2%), claudin-low type (n=21, 10.2%), basal
like type (n=91, 44.4%), mixed type (n=62, 30.2%), and null type (n=8, 3.9%). The
GATA3 scores (staining intensity * proportion) were categorized as negative (0),
focally positive (1-10), or positive (11-300). GATA3 staining was negative in 153
cancers (74.6%), focally positive in 11 (5.4%), and positive in 41 (20.0%). The
rate of focal positivity or positivity for GATA3 was significantly higher in the
molecular apocrine type (73.9%, 17/23) than in other types of TNBCs (P=.001). The
mean GATA3 score of molecular apocrine-type TNBC was significantly higher than
that of the other types (P=.001) and differed significantly between androgen
receptor (AR)-positive and AR-negative TNBCs (P<.001). In conclusion, GATA3
expression was correlated strongly with AR-positive, molecular apocrine-type
TNBCs. Co-expression of AR and GATA3 is a specific feature of molecular apocrine
type TNBC, which may serve as a diagnostic aid for cancer of unknown primary.
PMID- 27184483
TI - Hepatocyte differentiation markers in adenocarcinoma of the prostate: hepatocyte
paraffin 1 but not arginase-1 is specifically expressed in a subset of prostatic
adenocarcinoma.
AB - Prostate adenocarcinoma and hepatocellular carcinoma (HCC) are common cancer
types. Both may present with bone metastases, and both are known to be CK7/CK20
negative. Thus, diagnosis of less well-differentiated tumors at metastatic sites
essentially relies on immunohistochemical confirmation. However, insufficient
data exist on the expression status of the main 2 hepatocyte markers hepatocyte
paraffin 1 (HepPar-1) and arginase-1 (Arg-1) in prostatic adenocarcinoma. We
screened 557 prostate carcinoma cases for expression of these 2 markers using
tissue microarrays. Sixty-four of 557 (11.5%) cases showed highly variable
expression of HepPar-1 in 1% to 75% of tumor cells with a characteristically
strong granular "mitochondrial" pattern. Only 13 cases (2.3%) expressed HepPar-1
in greater than 10% of the tumor cells. No correlation was seen with Gleason
grade. On the other hand, 19 (3.4%) of 557 cases showed variable nonspecific
cytoplasmic expression of Arg-1 distinct from the specific combined
nucleocytoplasmic staining seen in normal liver and in HCC. Specifically, this
Arg-1 pattern was seen only using one antibody lot and not another suggesting
cross-reactivity. Only a single case showed specific nucleocytoplasmic expression
of Arg-1 in the tumor cells. In conclusion, specific granular cytoplasmic
staining for HepPar-1 is frequent in prostatic adenocarcinomas (11.5%) but
usually focal and limited to less than 5% of tumor cells. This should not be
misinterpreted as evidence of HCC, particularly in solid-pattern neoplasms. On
the other hand, specific Arg-1 expression is very rare (0.18%), highlighting the
value of Arg-1 in distinguishing HepPar-1-positive prostatic carcinoma from HCC
at metastatic sites or in cases of liver metastasis from prostate carcinoma.
PMID- 27184485
TI - Teaching Not-Knowing: Strategies for Cultural Competence in Psychotherapy
Supervision.
PMID- 27184486
TI - Bortezomib-based induction improves progression-free survival of myeloma patients
harboring 17p deletion and/or t(4;14) and overcomes their adverse prognosis.
AB - Providing a risk-adapted treatment strategy has been a key goal in the ongoing
research efforts aimed at providing treatment tailored to the individual genetic
make-up. Eighty myeloma patients have been tested for presence of 17p deletion
and/or t(4;14) by fluorescent in situ hybridization (FISH). Based on FISH
results, they have been categorized into patients lacking them (standard risk)
and those harboring them (high risk). Patients in each category were randomly
assigned 1:1 to induction treatment by either vincristine, adriamycin and
dexamethasone (VAD), or bortezomib and dexamethasone (VD) followed by autologous
stem cell transplantation and thalidomide maintenance and were followed up for 32
months. 32.5 % of patients were high risk. Following induction, there were
significantly higher rates of at least very good partial response achievement in
VD arms in standard- and high-risk patients. Regarding complete response
achievement, there were insignificant differences between VAD and VD arms in
standard and high-risk patients. After a median follow-up of 17.5 months, there
was insignificant difference in overall survival (OS) between VAD and VD arms in
standard and high-risk patients. There was superior progression-free survival
(PFS) in VD arms in standard- and high-risk patients. Among patients who received
VD, those belonging to standard and high-risk groups had similar PFS. In
conclusion, bortezomib-based induction is superior to non-bortezomib-based one in
patients harboring 17p deletion and/or t(4;14) in terms of improving PFS but not
OS. Also, it reduces progression risk in patients harboring these high risk
cytogenetics.
PMID- 27184487
TI - In vivo structural and cellular remodeling of engineered bone-ligament-bone
constructs used for anterior cruciate ligament reconstruction in sheep.
AB - Anterior cruciate ligament (ACL) ruptures rank among the most prevalent and
costly sports-related injuries. Current tendon grafts used for ACL reconstruction
are limited by suboptimal biomechanical properties. We have addressed these
issues by engineering multiphasic bone-ligament-bone (BLB) constructs that
develop structural and mechanical properties similar to native ACL. The purpose
of this study was to examine the acute remodeling process that occurs as the BLB
grafts advance toward the adult ligament phenotype in vivo. Thus, we implanted
BLB constructs fabricated from male cells into female host sheep and allowed 3,
7, 14, or 28 days (n = 4 at each time point) for recovery. To address whether or
not graft-derived cells were even necessary, a subset of BLB constructs (n = 3)
were acellularized, implanted, and allowed 28 days for recovery. At each recovery
time point, the following histological analyses were performed: picrosirius red
staining to assess collagen alignment and immunohistochemistry to assess both
graft development and host immune response. Polymerase chain reaction (PCR)
analysis, performed on every explanted BLB, was used to detect the presence of
graft-derived male cells remaining in the constructs and/or migration into
surrounding host tissue. The analysis of the PCR and histology samples revealed a
rapid migration of host-derived macrophages and neutrophils into the graft at 3
days, followed by increased collagen density and alignment, vascularization,
innervation, and near complete repopulation of the graft with host cells within
28 days. This study provides a greater understanding of the processes of ligament
regeneration in our BLB constructs as they remodel toward the adult ligament
phenotype.
PMID- 27184488
TI - Impact of sink location on hand hygiene compliance after care of patients with
Clostridium difficile infection: a cross-sectional study.
AB - BACKGROUND: The impact of sink location on hand washing compliance after contact
with patients with Clostridium difficile infection (CDI) is poorly understood.
The aim of this study is to determine the location of hand wash sinks available
to healthcare workers (HCWs) after caring for patients with CDI and to assess the
impact on hand washing compliance. METHODS: We performed a cross-sectional study
in a 637-bed tertiary care hospital, Canada. HCW hand hygiene compliance after
contact with CDI patients was measured through direct unobtrusive observations.
Location of sinks in relation with the patients' rooms was assessed on the day of
diagnosis. Predictors of compliance were assessed through univariate and
multivariate logistic regression. RESULTS: 247 hand hygiene opportunities
following care of a CDI patient were observed. Glove use compliance was 85.4 %
(211/247), but hand washing compliance after care of CDI patients was only 14.2 %
(35/247). Hand rubbing was performed instead of hand washing in 33.2 % of
opportunities (82/247). The median distance between the patient zone of CDI
patients and the nearest sink was 13.1 m (interquartile range, 7.6-23.2). Sinks
were directly visible upon exiting the patient's room on only 33.2 % (82/247)
occasions. By multivariate analysis, an increasing distance between the patient
zone and the nearest sink was inversely associated with hand washing compliance
(adjusted OR, 0.90, 95 % CI, 0.84-0.97; P = 0.008), while proper timing of glove
removal upon leaving the patient zone was directly associated with hand washing
compliance (adjusted OR, 14.87; 95 % CI, 1.93-114.43; P = 0.01). CONCLUSIONS:
Hand washing compliance following contact with patients with C. difficile
infections was low. Poor access to sinks is associated with decreased hand
washing compliance. Improvement strategies are urgently needed.
PMID- 27184489
TI - Retinal pigment epithelial atrophy over polypoidal choroidal vasculopathy lesions
during ranibizumab monotherapy.
AB - BACKGROUND: To evaluate the quantitative changes of retinal pigment epithelial
(RPE) atrophy during 3-year follow-up period of ranibizumab monotherapy for
polypoidal choroidal vasculopathy (PCV). METHODS: We retrospectively reviewed
consecutive 100 Japanese patients with unilateral symptomatic treatment-naive PCV
who received ranibizumab monotherapy for 3 years. Color fundus photography,
spectral-domain optical coherence tomography, and fundus autofluorescence were
evaluated for RPE atrophy. Multiple regression analysis was performed to
investigate the predictive factors found during univariate analysis to identify
an association with increased RPE atrophic areas. RPE atrophic areas overlapping
PCV lesions were measured. RESULTS: The mean (standard deviation) number of
injections was 11.4 (4.50). RPE atrophic area enlarged to 2.91 (5.41 mm(2)) 3
years after the first injection from 1.22 (1.72 mm(2)) at baseline, which
differed significantly (P = 0.012). Multiple regression analysis showed that
larger PCV lesions and larger RPE atrophic areas at baseline were associated with
increased RPE atrophic areas. RPE atrophic area overlapping the baseline PCV
lesions significantly increased during 3-year follow-up period, whereas RPE
atrophic area not overlapping the baseline PCV lesions did not increase
significantly. CONCLUSION: RPE atrophy progresses in eyes with PCV during
ranibizumab monotherapy and the tendency for development of RPE atrophy within
the PCV lesions.
PMID- 27184490
TI - Dandy-Walker Cyst Presenting as Congenital Scalp Swelling.
PMID- 27184493
TI - Emerging Trends in Biomaterials Research.
PMID- 27184491
TI - The Immune Revolution in Gastrointestinal Tumours: Leading the Way or Just
Following?
AB - The encouraging results in immunotherapy for melanoma also led the way for
translational and clinical research about immune-related mechanisms possibly
relevant for gastrointestinal tumours. It is in fact now evident that the immune
checkpoint modulation and in particular cell-mediated immune-response through
programmed cell death-1 (PD-1) and the cytotoxic T-lymphocyte antigen-4 (CTLA4)
receptors along with the regulatory T cells activity all have a relevant role in
gastrointestinal cancers as well. This review aims to explore the state of the
art of immunotherapy for gastrointestinal tumours, deepening recent scientific
evidence regarding anti PD-1/PDL-1 and anti CTLA4 monoclonal antibodies, peptide
based vaccine, DNA based vaccine, and pulsed dendritic cells, either alone or in
combination with other antineoplastic medical therapy and locoregional
treatments. Considering the non-negligible toxicity profile deriving from such a
treatment approach, predictive biomarkers of response to immunotherapy in
gastrointestinal cancer are also urgently needed in order to better select the
patients' group with the highest likelihood of benefit.
PMID- 27184492
TI - Will Testicular Germ Cell Tumors Remain Untargetable?
AB - Testicular Germ cell tumors (TGCT) represent the most common solid tumors
affecting young men. They constitute a distinct entity because of their embryonic
origin and their unique biological behavior. Recently, new preclinical data on
genetic and epigenetic susceptibility profiles, biological signaling machinery as
well as on molecular patterns of tumors and pathways of pathogenesis helped to
elucidate the pathogenesis and the differentiation of TGCTs and to understand the
mechanisms behind the development of resistance to treatment. In the present
work, we have reviewed new clues to the development, differentiation and
progression of TGCTs. We focus on the most important epigenetic and molecular
biomarkers, and discussed their diagnostic and prognostic accuracy compared to
the currently used biomarkers. The mechanisms underlying the development of
resistance to cisplatin and commonly used chemotherapeutic agents are also
discussed in detail. Finally, we summarize failed and ongoing clinical trials
using targeted therapies in resistant TGCTs, and analyze the potential of new
targeted therapies.
PMID- 27184494
TI - Advanced Bioinks for 3D Printing: A Materials Science Perspective.
AB - Advanced bioinks for 3D printing are rationally designed materials intended to
improve the functionality of printed scaffolds outside the traditional paradigm
of the "biofabrication window". While the biofabrication window paradigm
necessitates compromise between suitability for fabrication and ability to
accommodate encapsulated cells, recent developments in advanced bioinks have
resulted in improved designs for a range of biofabrication platforms without this
tradeoff. This has resulted in a new generation of bioinks with high print
fidelity, shear-thinning characteristics, and crosslinked scaffolds with high
mechanical strength, high cytocompatibility, and the ability to modulate cellular
functions. In this review, we describe some of the promising strategies being
pursued to achieve these goals, including multimaterial, interpenetrating
network, nanocomposite, and supramolecular bioinks. We also provide an overview
of current and emerging trends in advanced bioink synthesis and biofabrication,
and evaluate the potential applications of these novel biomaterials to clinical
use.
PMID- 27184495
TI - Impact of teneligliptin on oxidative stress and endothelial function in type 2
diabetes patients with chronic kidney disease: a case-control study.
AB - BACKGROUND: The aim of the present study was to elucidate the effect of
teneligliptin on oxidative stress and endothelial function in Japanese patients
with type 2 diabetes and chronic kidney disease (CKD). METHODS: Forty-five
patients with type 2 diabetes and CKD who received sitagliptin for at least 12
months were randomized to either continue sitagliptin (n = 23) or switch to
teneligliptin (n = 22) for 24 weeks. The following parameters were evaluated at
baseline and after 24 weeks of treatment with continued sitagliptin or
teneligliptin: blood pressure, haemoglobin A1c (HbA1c), estimated glomerular
filtration rate (eGFR), urinary albumin excretion, endothelial function by
reactive hyperaemia index (RHI; EndoPAT((r)) system), reactive oxygen metabolites
(ROMs) measured by the d-ROMS test, 8-hydroxy-2'-deoxyguanosine, urinary liver
type fatty acid binding protein (L-FABP), and urinary 8-isoprostane. RESULTS: The
two groups did not significantly differ with regard to age, male-to-female ratio,
duration of diabetes, body mass index, HbA1c, eGFR, or urinary albumin excretion
levels at baseline. We found no significant differences in changes of HbA1c,
eGFR, or urinary albumin excretion levels between the two groups after 24 weeks
of treatment. However, treatment with teneligliptin, but not sitagliptin,
significantly improved RHI values and was correlated with the percent changes in
RHI and d-ROMs. CONCLUSIONS: The present study demonstrated that teneligliptin,
can improve endothelial function and reduce renal and vascular oxidative stress
in patients with type 2 diabetes and CKD, independently of reducing albuminuria
or improving glucose control. Trial registration UMIN000017180.
PMID- 27184496
TI - Cost-effectiveness of tolvaptan for the treatment of hyponatraemia secondary to
syndrome of inappropriate antidiuretic hormone secretion in Sweden.
AB - BACKGROUND: Tolvaptan is the only vasopressin V2 receptor antagonist licensed by
the European Medicines Agency for the treatment of hyponatraemia (HN) secondary
to the syndrome of inappropriate antidiuretic hormone secretion (SIADH). We have
investigated the cost-effectiveness of tolvaptan versus no active treatment (NAT)
in adult patients within the licensed indication who have either failed to
respond to fluid restriction or for whom the use of fluid restriction is not
suitable, from the societal perspective in Sweden. METHODS: A cost-utility
analysis, considering a 'general SIADH' population and two subpopulations of
patients (small-cell lung cancer [SCLC] and pneumonia) to broadly represent the
complex clinical pathway of SIADH, was performed. A discrete event simulation was
developed to model the progression of individuals through inpatient admissions
over a 30-day time horizon (180 days for the SCLC cohort). Clinical data were
derived from tolvaptan trials and observational data sources. All costs are given
in Swedish kronor (SEK). RESULTS: In the 'general SIADH' population, tolvaptan
was associated with reduced costs (SEK 5,779 per patient [?624]) and increased
quality-adjusted life-years (QALYs) (0.0019) compared with NAT and was therefore
the dominant treatment strategy. Tolvaptan was also associated with reduced costs
and increased QALYs in the SCLC and pneumonia subpopulations. The most
influential variables in our analysis were reduction in hospital length of stay,
duration of treatment and long term treatment with tolvaptan in SCLC patients.
CONCLUSIONS: Tolvaptan represents a cost-effective treatment option in Sweden for
hospitalised patients with HN secondary to SIADH who have either failed to
respond to or are unsuitable for fluid restriction.
PMID- 27184497
TI - Acute myocardial infarction in a young bodybuilder taking anabolic androgenic
steroids: A case report and critical review of the literature.
AB - We describe a case report of a 30-year-old bodybuilder suffering acute myocardial
infarction (AMI). He had been taking stanozolol and testosterone for two months.
The coronary angiogram showed high thrombotic burden in the left anterior
descending artery without underlying atherosclerosis. Few case reports of AMI in
athletes taking anabolic androgenic steroids (AASs) have been reported so far.
AAS-related AMI is possibly underreported in the medical literature due to the
desire of the affected individuals to hide AAS use. Physicians should always
consider the possibility of AAS abuse in the context of a young athlete suffering
AMI. AASs can predispose to AMI through the acceleration of coronary
atherosclerosis. Additionally, thrombosis without underlying atherosclerosis or
vasospasm is highly possible to cause AMI in AAS users. Complications after AMI
may be more frequent in AAS users.
PMID- 27184498
TI - alpha-Lactalbumin: Of Camels and Cows.
AB - Since camel milk has been attributed with various medicinal properties not found
in bovine milk, we are systematically examining the differences between different
proteins in bovine and camel milk. The purpose of this study is to investigate
the structural differences between the bovine and camel alpha- lactalbumins.
alpha-Lactalbumin is a highly abundant protein present in the milk of all
mammalian species. Here we found several structural differences between bovine
and camel alpha-lactalbumins: camel protein is more stable towards thermal and
pHmediated denaturation but less stable towards guanidine hydrochloride-mediated
unfolding, aggregates faster and is predicted to be more disordered than bovine
alpha- lactalbumin.
PMID- 27184499
TI - Evaluation of Moringa oleifera Seed Lectin as a Metal Remover in Aqueous
Solutions.
AB - The efficacy of Moringa oleifera seed lectin (WSMoL) as a metal remover in water
and the effects of metals on its hemagglutinating and antibacterial activities
were determined. Aqueous metal solutions were incubated with WSMoL for 8 h at 4
degrees C and the concentrations of metals were determined using atomic
absorption spectroscopy. Hemagglutination and antibacterial assays were conducted
with WSMoL and lectin exposed or not to the metals. The removal efficiency of
WSMoL was 49.00%, 53.21%, 71.45%, 55.42%, 69.88%, 62.14%, and 49.36% for Cd+2,
Pb+2, Cu+2, Zn+2, Mg+2, Mn+2, and Al+3, respectively. WSMoL showed bacteriostatic
and bactericidal activities against Escherichia coli and Salmonella enterica
serovar Enteritidis. However, hemagglutinating and antibacterial activities were
impaired after exposure to metals. In conclusion, WSMoL efficiently removed
metals present in water but the interaction with metals impaired lectin
carbohydrate-binding ability and antibacterial activity. This should be
considered when properties of WSMoL other than metal removal are desired.
PMID- 27184500
TI - Anosmin 1 Interacts with the Prokineticin Receptor 2 In Vitro Indicating a
Molecular Link Between Both Proteins in the Pathogenesis of Kallmann Syndrome.
AB - Sexual maturation and olfactory bulb defects found in prokineticin 2 (Pk2) and
prokineticin receptor 2 (Pkr2) mutant mice resembling the phenotypic
characteristics of Kallmann syndrome (KS), gave rise to the question of whether
these genes would have a role in KS pathogenesis. Later, mutations in both genes
were identified in patients suffering from KS. The gene responsible for the
Xlinked form of KS, ANOS1, encodes the ECM protein anosmin 1. Among other
functions, anosmin 1 can regulate the activity of FGFR1, encoded by one of the
genes involved in the autosomal transmission of KS. Therefore, it has been
proposed that anosmin 1 could interact with PKR2 to modulate its activity. We
present the first evidence supporting this hypothesis and report the interaction
of full-length anosmin 1 with three extracellular domains of PKR2. A truncated
anosmin 1 protein comprising the first three domains of the protein interacts
with the second extracellular loop of PKR2, involved in PK2 binding. Finally,
last three FnIII repeats of anosmin 1 also interacted with the PKR2 domains that
interacted with full-length anosmin 1. Our data represent a molecular link
between two of the genes involved in KS pathogenesis.
PMID- 27184501
TI - Two patients with chromosome 22q11.2 deletion presenting with childhood obesity
and hyperphagia.
AB - Chromosome 22q11.2 deletion syndrome is a clinically heterogeneous condition of
intellectual disability, parathyroid and thyroid hypoplasia, palatal
abnormalities, cardiac malformations and psychiatric symptoms. Hyperphagia and
childhood obesity is widely reported in Prader-Willi Syndrome (PWS) but there is
only one previous report of this presentation in chromosome 22q11.2 deletion
syndrome. We describe two further cases of chromosome 22q11.2 deletion syndrome
in which hyperphagia and childhood obesity were the presenting features. This may
be a manifestation of obsessive behaviour secondary to some of the psychiatric
features commonly seen in chromosome 22q11.2 deletion syndrome. Serious
complications may result from hyperphagia and childhood obesity therefore early
recognition and intervention is crucial. Due to the similar clinical presentation
of these two patients to patients with PWS, it is suggested that the hyperphagia
seen here should be managed in a similar way to how it is managed in PWS.
PMID- 27184503
TI - Sorption and desorption of organic matter on solid-phase extraction media to
isolate and identify N-nitrosodimethylamine precursors.
AB - #x02010;Nitrosodimethylamine is mutagenic in rodents, a drinking water
contaminant, and a byproduct of drinking water disinfection by chloramination.
Nitrosodimethylamine precursor identification leads to their control and improved
understanding of nitrosodimethylamine formation during chloramination. Mass
balances on nitrosodimethylamine precursors were evaluated across solid-phase
extraction cartridges and in eluates to select the best combination of solid
phase media and eluent that maximized recovery of nitrosodimethylamine precursors
into a solvent amenable to time-of-flight mass spectrometry analysis. After
reviewing literature and comparing various solid-phase cartridges and eluent
combinations, a method was obtained to efficiently recover nitrosodimethylamine
precursors. The approach with the greatest recoveries of nitrosodimethylamine
precursors involved cation exchange resin loaded with water samples at pH 3 and
eluted with 5% NH4 OH in methanol. This indicated that nitrosodimethylamine
precursors are amines that protonate at low pH and deprotonate at high pH.
Quaternary amines were irreversibly sorbed to the cation exchange cartridge and
did not account for a large fraction of precursors. Overall, a median recovery of
82% for nitrosodimethylamine precursors was achieved from 11 surface waters and
one wastewater. Applying this method allowed discovery of methadone as a new
nitrosodimethylamine precursor in wastewater effluent and drinking water
treatment plant intakes.
PMID- 27184502
TI - Identification of novel mutations in CD2BP1 gene in clinically proven rheumatoid
arthritis patients of south India.
AB - Pyogenic Arthritis, Pyoderma gangrenosum, and Acne (PAPA syndrome) is a rare
autosomal dominant, auto-inflammatory disease that affects joints and skin. The
disease results due to mutations in the cluster of differentiation 2 binding
protein 1 (CD2BP1) gene on chromosome 15q24.3. Rheumatoid arthritis (RA) is a
common, genetically complex disease that affects the joints with occasional skin
manifestations. Studies related to the pathophysiology of inflammation in these
two disorders show a certain degree of overlap at genetic level. The present
study was done to confirm the existence of such a genetic overlap between PAPA
syndrome and RA in south Indian population. In the present study 100 patients who
were clinically diagnosed rheumatoid arthritis and 100 apparently healthy
controls were chosen and the 15 exons of CD2BP1 gene were PCR-amplified and
sequenced. The sequence analysis showed that in exon 3 thirty eight patients
revealed presence of novel heterozygous missense mutations p.Glu51Asp, p.Leu57Arg
and p.Ala64Thr. In exons 6, 10 and 14 eight patients showed 44 novel missense
mutations and two patients showed novel frame shift mutations
p.(Met123_Leu416delinsThr) and p.(Thr337Profs*52) leading to truncated protein
formation. Such mutations were not seen in controls. Further, the in silico
analysis revealed the mutant CD2BP1 structure showed deletion of Cdc15 and SH3
domains when superimposed with the wild type CD2BP1 structure with variable RMSD
values. Therefore, these structural variations in CD2BP1 gene due to the
mutations could be one of the strongest reasons to demonstrate the involvement of
these gene variations in the patients with rheumatoid arthritis.
PMID- 27184504
TI - Dimethyl fumarate ameliorates dextran sulfate sodium-induced murine experimental
colitis by activating Nrf2 and suppressing NLRP3 inflammasome activation.
AB - In the present study, we examined the effects of dimethyl fumarate (DMF) on
dextran sulfate sodium (DSS)-induced murine colitis, an animal model which mimics
human IBD. Oral administration of DMF dose-dependently attenuated body weight
loss, colon length shortening and colonic pathological damage including decreased
myeloperoxidase (MPO) and inducible nitric oxide synthase (iNOS) activities in
DSS-treated mice. Increased glutathione (GSH) induced by DMF demonstrated its
potential antioxidant capacity. In addition, Nrf2 and its downstream genes were
markedly activated by DMF. Furthermore, protein and mRNA levels of pro
inflammatory cytokines, including IL-1beta, TNF-alpha and IL-6 were markedly
suppressed by DMF. At the same time, decreased activation of caspase-1 was
detected in DMF-treated mice, indicating that the NLRP3 inflammasome activation
was suppressed. The in vitro study verified a negative regulation of DMF and its
intestinal metabolite on NLRP3 inflammasome. Moreover, the inhibitory effect was
found to be mostly dependent on Nrf2 which decreased mitochondrial ROS (mROS)
generation and mitochondrial DNA (mtDNA) release. Taken together, our results
demonstrated the ability of DMF to inhibit NLRP3 inflammasome activation and its
potential use in the treatment of NLRP3-associated diseases.
PMID- 27184506
TI - Sinusitis in patients undergoing allogeneic bone marrow transplantation - a
review.
AB - INTRODUCTION: Sinusitis is a common morbidity in general population, however
little is known about its occurrence in severely immunocompromised patients
undergoing allogeneic hematopoietic stem cell transplantation. OBJECTIVE: The aim
of the study was to analyze the literature concerning sinusitis in patients
undergoing allogeneic bone marrow transplantation. METHODS: An electronic
database search was performed with the objective of identifying all original
trials examining sinusitis in allogeneic hematopoietic stem cell transplant
recipients. The search was limited to English-language publications. RESULTS:
Twenty five studies, published between 1985 and 2015 were identified, none of
them being a randomized clinical trial. They reported on 31-955 patients,
discussing different issues i.e. value of pretransplant sinonasal evaluation and
its impact on post-transplant morbidity and mortality, treatment, risk factors
analysis. CONCLUSION: Results from analyzed studies yielded inconsistent results.
Nevertheless, some recommendations for good practice could be made. First, it
seems advisable to screen all patients undergoing allogeneic hematopoietic stem
cell transplantation with Computed Tomography (CT) prior to procedure. Second,
patients with symptoms of sinusitis should be treated before hematopoietic stem
cell transplantation (HSCT), preferably with conservative medical approach.
Third, patients who have undergone hematopoietic stem cell transplantation should
be monitored closely for sinusitis, especially in the early period after
transplantation.
PMID- 27184505
TI - Functional Assessment of Bioprosthetic Aortic Valves by CMR.
AB - OBJECTIVES: The aim of this study was to evaluate cardiac magnetic resonance
(CMR) phase-contrast (PC) measures of a bioprosthetic aortic valve velocity time
integral (PC-VTI) to derive the effective orifice area (PC-EOA) and to compare
these findings with the clinical standard of Doppler echocardiography.
BACKGROUND: Bioprosthetic aortic valve function can be assessed with CMR
planimetry of the anatomic orifice area and PC measurement of peak transvalvular
systolic velocity. However, bioprosthetic valves can create image artifact and
data dropout, which makes planimetry measures a challenge for even experienced
CMR readers. METHODS: From our institutional database, we identified 38 patients
who had undergone 47 paired imaging studies (CMR and Doppler) within 46 days
(median 3 days). Transvalvular forward flow volume by CMR was determined by 3
methods: ascending aorta flow, transvalvular flow, and left ventricular stroke
volume. PC-EOA was derived as flow divided by PC-VTI, calculated with a
semiautomated MATLAB (Mathworks, Natick, Massachusetts) application for
integration of the instantaneous peak transvalvular velocity. Doppler EOA was
assessed by the continuity method. RESULTS: PC-EOA by all 3 flow approaches
demonstrated a strong correlation with Doppler EOA (r = 0.949, 0.947, and 0.874,
respectively; all p < 0.001) and revealed good agreement (bias = 0.03, 0.03, and
0.28 cm(2), respectively). With Doppler-derived EOA as the reference standard,
CMR was able to correctly characterize 24 of 26 valves as normal (EOA >1.2
cm(2)), 12 of 14 possibly stenotic valves (0.8 < EOA < 1.2 cm(2)), and 5 of 7
stenotic valves (EOA <0.8 cm(2); k = 0.826). CONCLUSIONS: We describe a new CMR
based method to derive the EOA for bioprosthetic aortic valves. This method
compares favorably to traditional Doppler methods and might be an important
additional parameter in the evaluation of prosthetic valves by CMR, particularly
when Doppler methods are suboptimal or considered discordant with the clinical
presentation.
PMID- 27184507
TI - Obstructive sleep apnea and oral language disorders.
AB - INTRODUCTION: Children and adolescents with obstructive sleep apnea (OSA) may
have consequences, such as daytime sleepiness and learning, memory, and attention
disorders, that may interfere in oral language. OBJECTIVE: To verify, based on
the literature, whether OSA in children was correlated to oral language
disorders. METHODS: A literature review was carried out in the Lilacs, PubMed,
Scopus, and Web of Science databases using the descriptors "Child Language" AND
"Obstructive Sleep Apnea". Articles that did not discuss the topic and included
children with other comorbidities rather than OSA were excluded. RESULTS: In
total, no articles were found at Lilacs, 37 at PubMed, 47 at Scopus, and 38 at
Web of Science databases. Based on the inclusion and exclusion criteria, six
studies were selected, all published from 2004 to 2014. Four articles
demonstrated an association between primary snoring/OSA and receptive language
and four articles showed an association with expressive language. It is
noteworthy that the articles used different tools and considered different levels
of language. CONCLUSION: The late diagnosis and treatment of obstructive sleep
apnea is associated with a delay in verbal skill acquisition. The professionals
who work with children should be alert, as most of the phonetic sounds are
acquired during ages 3-7 years, which is also the peak age for hypertrophy of the
tonsils and childhood OSA.
PMID- 27184508
TI - Airway reconstruction: review of an approach to the advanced-stage
laryngotracheal stenosis.
AB - INTRODUCTION: The management of laryngotracheal stenosis is complex and is
influenced by multiple factors that can affect the ultimate outcome. Advanced
lesions represent a special challenge to the treating surgeon to find the best
remedying technique. OBJECTIVE: To review the efficacy of our surgical
reconstructive approach in managing advanced-stage laryngotracheal stenosis
treated at a tertiary medical center. METHODS: A retrospective review of all
patients that underwent open laryngotracheal repair/reconstruction by the senior
author between 2002 and 2014. Patients with mild/moderate stenosis (e.g. stage 1
or 2), or those who had an open reconstructive procedure prior to referral, were
excluded. Patients who had only endoscopic treatment (e.g. laser, balloon
dilatation) and were not subjected to an open reconstructive procedure at our
institution, were not included in this study. Variables studied included patient
demographics, clinical presentation, etiology of the laryngotracheal pathology,
the location of stenosis, the stage of stenosis, the type of corrective or
reconstructive procedure performed with the type of graft used (where
applicable), the type and duration of stent used, the post-reconstruction
complications, and the duration of follow-up. Outcome measures included
decannulation rate, total number of reconstructive surgeries needed to achieve
decannulation, and the number of post-operative endoscopies needed to reach a
safe patent airway. RESULTS: Twenty five patients were included, aged 0.5 months
to 45 years (mean 13.5 years, median 15 years) with 16 males and 9 females.
Seventeen patients (68%) were younger than 18 years. Most patients presented with
stridor, failure of decannulation, or respiratory distress. Majority had acquired
etiology for their stenosis with only 24% having a congenital pathology. Thirty
two reconstructive procedures were performed resulting in decannulating 24
patients (96%), with 15/17 (88%) pediatric patients and 5/8 (62.5%) adult
patients requiring only a single reconstructive procedure. Cartilage grafts were
mostly used in children (84% vs. 38%) and stents were mostly silicone made,
followed by endotracheal tubes. The number of endoscopies required ranged from 1
to 7 (mean 3). More co-morbidities existed in young children, resulting in
failure to decannulate one patient. Adult patients had more complex pathologies
requiring multiple procedures to achieve decannulation, with grafting less
efficacious than in younger patients. The pediatric patients had double the
incidence of granulation tissue compared to adults. The decannulated patients
remained asymptomatic at a mean follow-up of 50.5 months. CONCLUSION: The review
of our approach to open airway repair/reconstruction showed its efficacy in
advanced-stage laryngotracheal stenosis. Good knowledge of a variety of
reconstructive techniques is important to achieve good results in a variety of
age groups.
PMID- 27184509
TI - Comparison of microRNA profiles between benign and malignant salivary gland
tumors in tissue, blood and saliva samples: a prospective, case-control study.
AB - INTRODUCTION: Salivary gland tumors (SGTs) are rare head and neck malignancies
consisting of a spectrum of tumors with different biological behaviors.
OBJECTIVE: In this study we aimed to find out differential expression of microRNA
profiles between benign and malignant SGTs. METHODS: We investigated the possible
role of 95 microRNAs in the 20 patients with salivary gland tumors with
comparison of 17 patients without malignancy or salivary gland diseases. Sixteen
of the tumors were benign (seven pleomorphic adenomas, nine Warthin tumors), four
of them were malignant (two squamous cell carcinomas, one high grade
mucoepidermoid carcinoma, one adenocarcinoma). Serum and saliva samples were
collected from both patients and control group. Tissue samples of tumor masses
were also collected from patient group. RESULTS: Among studied microRNAs miR-21,
miR-23a, miR-27a, miR-223, miR-125b, miR-126, miR-146a, miR-30e were down
regulated in the benign group compared to control group in the serum samples (p
values are 0.04, 0.00005, 0.00005, 0.0022, 0.031, 0.00008, 0.044, and 0.0007,
respectively). When tissue samples were studied miR-21, miR-31, miR-199a-5p, miR
146b, miR-345 were up-regulated in the malignant group compared to benign group
(p values are 0.006, 0.02, 0.013, 0.013, 0.041, respectively). miR-30e showed
statistically significant up-regulation in malignant tumor group's plasma samples
compared to benign group (p=0.034). There was no statistically significant
difference in saliva samples between groups. CONCLUSION: Our results showed that
different microRNAs may play role in salivary tumor pathogenesis according to
biological behavior. Although there was no difference in saliva samples between
groups, according to tissue and serum samples miR-21 and 30e may have an
important role; since they were down-regulated in benign tumors whereas up
regulated in malignant ones.
PMID- 27184510
TI - [Cardiac dysfunction secondary to an adrenergic storm or cardiomyopathy of stress
among child victims of traumatism].
AB - OBJECTIVE: To provide information specific to a catecholaminergic storm during a
violent stress in children. PATIENTS AND METHODS: Two clinical observations in
children who suffered violent trauma. RESULTS: FIRST CASE: a boy aged 12 years
victim of a drowning in Stage 3 admitted to the pediatric intensive care
02.08.2015. He was intubated, ventilated, sedated with benzodiazepines and
morphine. Cardiac exploration revealed a global hypokinesis with septal
dyskinesia, a 45% FES offset a slight excess of ST, a troponin I to 31.46pg/mL NT
proBNB to 1291pg/mL. Dobutamine was set initially at 7 then 10 gamma/kg/min. The
outcome was favorable on the heart level with improved SEF in 1 week. SECOND
CASE: 13-year-old girl, victim of complete hanging, admitted on 06/08/2015 for a
post-anoxic encephalopathy. Intubated, ventilated and sedated with morphine and
benzodiazepines. Cardiac exploration showed septal hypokinesia with a 35% FES, a
lengthening of the QT interval on the ECG, a troponin I in ultra 1.27MUg/L, NT
proBNB to 215pg/mL. The child was put up 15 gamma dobutamine/kg/min. The
evolution was marked by improved heart function without disturbance wall motion
after 7 days. CONCLUSION: Our 2 clinical cases following accidental trauma
violating represent observations of a picture simulating a syndrome of Tako-Tsubo
without anginal pain objectified as our 2 patients were sedated and ultrasound
abnormalities returned to normal in less than a week.
PMID- 27184511
TI - Retinal arteriolar remodeling evaluated with adaptive optics camera: Relationship
with blood pressure levels.
AB - AIM: To research a retinal arterioles wall-to-lumen ratio or lumen diameter cut
off that would discriminate hypertensive from normal subjects using adaptive
optics camera. PATIENTS AND METHODS: One thousand and five hundred subjects were
consecutively recruited and Adaptive Optics Camera rtx1TM (Imagine-Eyes, Orsay,
France) was used to measure wall thickness, internal diameter, to calculate wall
to-lumen ratio (WLR) and wall cross-sectional area of retinal arterioles. Sitting
office blood pressure was measured once, just before retinal measurements and
office blood pressure was defined as systolic blood pressure>=140mmHg and
diastolic blood pressure>=90mmHg. ROC curves were constructed to determine cut
off values for retinal parameters to diagnose office hypertension. In another
population of 276 subjects office BP, retinal arterioles evaluation and home
blood pressure monitoring were obtained. The applicability of retinal WLR or
diameter cut-off values were compared in patients with controlled, masked, white
coat and sustained hypertension. RESULTS: In 1500 patients, a WLR>0.31
discriminated office hypertensive subjects with a 0.57 sensitivity and 0.71
specificity. Lumen diameter<78.2MUm discriminated office hypertension with a 0.73
sensitivity and a 0.52 specificity. In the other 276 patients, WLR was higher in
sustained hypertension vs normotensive patients (0.330+/-0.06 vs 0.292+/-0.05;
P<0.001) and diameter was narrower in masked hypertensive vs normotensive
subjects (73.0+/-11.2 vs 78.5+/-11.6MUm; P<0.005). CONCLUSION: A WLR higher than
0.31 is in favour of office arterial hypertension; a diameter under<78MUm may
indicate a masked hypertension. Retinal arterioles analysis through adaptive
optics camera may help the diagnosis of arterial hypertension, in particular in
case of masked hypertension.
PMID- 27184512
TI - [Prevalence and severity of hypertensive emergencies and outbreaks in the
hospital emergency department of CHU Timone at Marseille: Follow-up in three
months of hospitalized patients].
AB - GOAL: Evaluation of the prevalence and severity of hypertensive emergencies and
crisis in an Emergency Service of Timone hospital in Marseille and follow-up of 3
months of hospitalized emergencies. METHODS: This study was conducted in the
Emergency Department between April 1 and June 30, 2015. All patients with BP>180
and/or 110mmHg was recorded and classified in true emergencies (presence of
visceral pain) and hypertensive isolated crisis. A phone follow-up patients was
organized. RESULTS: During this period, 170 patients were identified: 95 (56%)
hypertensive crisis and 75 (44%) hypertensive emergencies: 25 OAP (33%), 18
ischemic stroke (24%), 15 hemorrhagic stroke (20%), 9 angina (12%) and 8
different. The clinical characteristics of hypertensive emergencies are
preferentially dyspnea (27%) motor deficit (36%), and chest pain (16%). The BP of
hypertensive emergencies at their admission (3 measurements, oscillometric
automatic device) is close to the hypertensive crisis (198.17+/-19.3 to 96.4+/
21.2mmHg versus 191+/-31.6 to 96.12+/-21). The BP controlled after 15minutes of
rest is lower for crisis compared to real emergencies (152+/-47 to 79+/-28 vs.
174+/-31 to 86+/-26). Age emergency is larger (77+/-14 vs. 67+/-17), the number
of slightly larger drug (1.79 versus 1.67+/-1+/-1). Telephone follow-up was
performed after an average period of three months. Ninety-nine patients were
contacted by telephone: 46 patients who were admitted for hypertensive emergency
patients and 53 for a push. Eighteen deaths have been recorded, including 15
among hypertensive emergencies (9 in hemorrhagic stroke, 5 for ischemic stroke,
and 1 for OAP) with 5-hospital deaths within 48hours after admission and 10
within 3 months in patients hospitalized with hypertensive emergency or 33%.
Seventy-seven patients out of 99 had been reviewed by their attending physicians.
A questionnaire was sent by mail to patients who have not answered the phone
contacts, and responses are pending. CONCLUSION: Hypertensive emergencies
hospitalized in Timone Hospital represent 44% of patients hospitalized for
emergency HTA. Their gravity is 1/3 since most patients die within three months
warranting closer management of these fragile patients by creating a specialized
consulting postemergency.
PMID- 27184513
TI - [Prevalence and characteristics of metabolic syndrome among hypertensive patients
in Abidjan].
AB - AIM: Assess prevalence of metabolic syndrome (MetS) in black Africans
hypertensive patients. POPULATION: Prospective survey from 3rd November 2014 to
12th June 2015, at Abidjan Heart Institute. Study was carried out among patients
aged 18 years old, admitted to external consultation. Oral consent was obtained.
MetS was established based on the definitions of the NCEP-ATP III 2005 and the
International Diabetes Federation (IDF). RESULTS: Over 1246 hypertensive
patients, 404 were included in our study. The prevalence of MetS was 48.8%
according to the criteria of the NCEP-ATP III 2005 and 51% according to the IDF.
We noticed a female predominance (69% against 31%, P<0.001). Central obesity
(49.5%) and low HDL-cholesterol (42.1%) were the factors defining the SM most
predominant in our series. Low blood pressure control was higher in the presence
of MetS (43.6%). The average number of antihypertensive prescribed drugs were
significantly higher (2.2+/-0.8 against 2+/-0.8, P<0.001). MetS was significantly
associated with obesity (BMI>=30kg/m(2) : 40.6% against 14%, P<0.001).
Cardiovascular complications were observed in 54.8% of hypertensive patients in
the presence of MetS. CONCLUSION: MetS is a reality in sub-Saharan Africa.
Adequate preventive measures are needed to limit its progression.
PMID- 27184514
TI - [The therapeutic effects of dietary krill oil (Euphausia superba) supplementation
on oxidative stress and DNA damages markers in cafeteria diet-overfed rats].
AB - AIM: To evaluate the therapeutic effects of dietary krill oil supplementation in
modulation of oxidative stress components and DNA oxidative damages marker in
cafeteria diet-overfed-rats. MATERIAL AND METHODS: Eighteen aging male Wistar
rats were divided into three groups of six each and were exposed for the ensuing
8 weeks to one of the diets: control group (TS) which was submitted to standard
chow (330kcal/100g), containing 24% of proteins, 5% of lipids and 70% of
carbohydrates. Cafeteria standard group (TC) exposed to cafeteria diet
(420kcal/100g). The last group received a cafeteria diet enriched in oral force
feeding krill oil 2% (CK). The plasma and tissues pro-oxydant status were
assessed by assaying thiobarbituric acid reactive substances, hydroperoxydes, and
isoprostans. The determination of DNA oxidative damages was evaluated by the
measurement of the major products of DNA oxidation (8-OHdG). RESULTS: Exposure to
a cafeteria diet increases the metabolic response to the radical attack and DNA
oxidative damages in both plasma and key tissues involved in antioxidant defense.
Krill oil supplementation in cafeteria diet relieves oxidative stress and DNA
damages by lowering several lipid peroxidation components and the main marker of
DNA oxidation in obese rats.
PMID- 27184515
TI - Long-term self-reported exposure to occupational noise is associated with BMI
defined obesity in the US general population.
AB - BACKGROUND: Evidence is emerging about the risk of obesity associated with
traffic noise. The present study aimed to explore the association between self
reported occupational noise exposure and body mass index (BMI)-defined obesity in
the US general population. METHODS: This study is based on the 2014 National
Health Interview Survey. Information regarding self-reported occupational
lifetime noise exposure was linked to self-reported BMI, adjusting for other
covariates. RESULTS: The multivariate models yielded OR = 1.46 (95% CI: 1.27,
1.68) for obesity, and 0.97 kg/m2 (95% CI: 0.57, 1.36) higher BMI among
participants exposed to high level of noise for >=15 years, in comparison to
never exposed participants. Moderation analysis revealed that among those less
than 55 years of age noise had significantly stronger adverse effect. Those
without cancer and diabetes also experienced stronger adverse effect.
CONCLUSIONS: Self-reported exposure to occupational noise was associated with
increased odds of obesity and continuously measured BMI. Am. J. Ind. Med. 59:1009
1019, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184516
TI - Prevalence and correlates of hookah use: a nationally representative sample of US
adults ages 18-40 years old.
AB - BACKGROUND: Hookah use may be increasing among adults in the US. Information on
the prevalence and correlates of hookah use in the adult population is relatively
limited. OBJECTIVES: To determine the prevalence of current (past 30-day) and
lifetime use of hookah among adults ages 18-40 in the US and to investigate the
socio-demographic characteristics associated with lifetime use. METHODS: Data
were drawn from the Tobacco Use Supplement of the Current Population Survey data
from May 2010, August 2010, and January 2011 (n = 85,545). Logistic regression
was used to examine various demographic correlates of lifetime hookah use.
RESULTS: Among 18-40 year olds, the past month prevalence rate of hookah use was
0.6% and the lifetime prevalence rate of hookah use was 3.9%. Being male, non
Hispanic white, having higher levels of educational attainment, having never been
married, not having any children, earning less than $20,000 annually, residing in
the Midwest or western US, being a student, and being a cigarette smoker were
associated with increased likelihood of lifetime hookah use. The prevalence of
hookah use among current, cigarette smokers was 7.9%, more than double that of
the general adult population. CONCLUSIONS: Hookah use is significantly more
common among cigarette smokers and among various demographic subgroups among
general adult population. Given the risks associated with hookah and poly-tobacco
use, targeted public health efforts are recommended. Additionally, health-care
providers may consider expanding screening tests to include hookah use.
PMID- 27184518
TI - Measuring Down: Evaluating Digital Storytelling as a Process for Narrative Health
Promotion.
AB - Digital storytelling (DST) engages participants in a group-based process to
create and share narrative accounts of life events. We present key evaluation
findings of a 2-year, mixed-methods study that focused on effects of
participating in the DST process on young Puerto Rican Latina's self-esteem,
social support, empowerment, and sexual attitudes and behaviors. Quantitative
results did not show significant changes in the expected outcomes. However, in
our qualitative findings we identified several ways in which the DST made
positive, health-bearing effects. We argue for the importance of "measuring down"
to reflect the locally grounded, felt experiences of participants who engage in
the process, as current quantitative scales do not "measure up" to accurately
capture these effects. We end by suggesting the need to develop mixed-methods,
culturally relevant, and sensitive evaluation tools that prioritize process
effects as they inform intervention and health promotion.
PMID- 27184517
TI - MicroRNA-27a Induces Mesangial Cell Injury by Targeting of PPARgamma, and its In
Vivo Knockdown Prevents Progression of Diabetic Nephropathy.
AB - MicroRNAs play important roles in the pathogenesis of diabetic nephropathy (DN).
In this study, we found that high glucose upregulated miR-27a expression in
cultured glomerular mesangial cells and in the kidney glomeruli of streptozotocin
(STZ)-induced diabetic rats. miR-27a knockdown prevented high glucose-induced
mesangial cell proliferation and also blocked the upregulation of extracellular
matrix (ECM)-associated profibrotic genes. Reduction of cell proliferation and
profibrotic gene expression by a miR-27a inhibitor depended upon the expression
of peroxisome proliferator-activated receptor gamma (PPARgamma). Further studies
showed that miR-27a negatively regulated PPARgamma expression by binding to the
3'-untranslated region of rat PPARgamma. An antisense oligonucleotide specific to
miR-27a (antagomir-27a) significantly reduced renal miR-27a expression in STZ
induced diabetic rats and significantly increased PPARgamma levels. Antagomir-27a
also reduced kidney ECM accumulation and proteinuria in STZ-induced diabetic
rats. These findings suggest that specific reduction of renal miR-27a decreases
renal fibrosis, which may be explained in part by its regulation of PPARgamma,
and that targeting miR-27a may represent a novel therapeutic approach for DN.
PMID- 27184519
TI - Incidence of fall-related injuries in Iran: A population-based nationwide study.
AB - BACKGROUND: Fall-related injuries are considered to be a leading cause of
morbidity and disability worldwide. The aim of this study was to investigate the
incidence of fall-related injuries and its determinants in Iran. METHODS: A cross
sectional household survey of a representative sample of 15-64 years old Iranians
was carried out in 2011. A three-stage cluster sampling design was used. Total of
1525 clusters were randomly selected. Six households in each cluster were
randomly selected, and one member of each household was interviewed. Data on the
demographics and history of fall-related injury were obtained using the
previously validated and reliability tested Short Form Injury Questionnaire 7
(SFIQ7). In all, 7886 subjects responded to the survey. RESULTS: The incidence
rate of all fall-related injuries was 59 (95%CI: 45-72) per 1000 person-year. The
incidence rate of First Aid Fall-Related Injuries (FAFRIs) and Medical Attended
Fall-Related Injuries (MAFRIs) were 30+/-5 and 28+/-12, respectively. Homes were
the most common place of falls (52.5%). For all and MAFRIs, the most common
activity leading to fall injury was walking (37.8% and 47.6%, respectively)
whereas for FAFRIs was playing (31.9%). For all and FAFRIs, the most common
description was as follows: upper limb as the injured organ (52.0% and 61.2%,
respectively) and superficial wound as the most prevalent type of injury (39.0%
and 61.8%, respectively). However, for MAFRIs, lower limb injuries (52.9%) and
fracture (43.6%) were more pronounced. Risk factors for MAFRI were as follows:
paid work activity (OR: 3.11; 95%CI: 2.07-4.67), playing (OR: 14.64; 95%CI: 6.34
33.80), walking (OR: 57.09; 95%CI: 28.95-112.59), driving (OR: 2.86; 95%CI: 1.23
6.63), and recreation activities (OR: 44.11; 95%CI: 14.04-138.54). Higher age and
education were the other risk factors for MAFRI, as well as residing in rural
areas. CONCLUSION: This study revealed considerable incidence of fall injuries in
Iranian population especially in rural regions who need access to protective
equipment. People need to be warned about the constant risk of fall even during
non-avoidable activities such as walking, playing, driving and paid/unpaid
working especially in older ages. Implementation of fall prevention measures,
home and behavioural modifications are recommended.
PMID- 27184520
TI - Effects of text-to-speech software use on the reading proficiency of high school
struggling readers.
AB - The literature highlights the benefits of text-to-speech (TTS) software when used
as an assistive technology facilitating struggling readers' access to print.
However, the effects of TTS software use, upon students' unassisted reading
proficiency, have remained relatively unexplored. The researchers utilized an
experimental design to investigate whether 9th grade struggling readers who use
TTS software to read course materials demonstrate significant improvements in
unassisted reading performance. A total of 164 students of 30 teachers in Hawaii
participated in the study. Analyses of covariance results indicated that the TTS
intervention had a significant, positive effect on student reading vocabulary and
reading comprehension after 10 weeks of TTS software use (average 582 minutes).
There are several limitations to the study; however, the current study opens up
for discussions and need for further studies investigating TTS software as a
viable reading intervention for adolescent struggling readers.
PMID- 27184521
TI - Apathy and Its Response to Antipsychotic Review and Nonpharmacological
Interventions in People With Dementia Living in Nursing Homes: WHELD, a Factorial
Cluster Randomized Controlled Trial.
AB - OBJECTIVES: Apathy is common, impactful, and difficult to manage in people with
dementia. We evaluated the efficacy of nonpharmacological interventions,
exercise, and social interaction, in combination with antipsychotic review, to
reduce apathy in people with dementia living in nursing homes in a cluster
randomized controlled trial (RCT). METHODS: Well-being and health for people with
dementia (WHELD) program included a 2 * 2 * 2 factorial cluster RCT involving
people with dementia living in 16 nursing homes in the United Kingdom. All homes
received training in person-centered care, and were randomized to receive
antipsychotic review, social interaction, and exercise, either alone or in
combinations. Apathy was one of the secondary outcomes of the WHELD trial, and it
was measured by the Neuropsychiatric Inventory-nursing home version at baseline
and 9 months (n = 273). We used multilevel mixed effects linear regression models
to assess the impact of the interventions on apathy. RESULTS: Prevalence of
apathy was 44.0% (n = 120; 95% confidence interval [CI] 38.1%-49.9%) at baseline.
Severity of apathy had significant positive correlations with dementia severity,
neuropsychiatric symptoms, depressive symptoms, agitation, and the needs of the
people with dementia (P < .001). Antipsychotic review reduced antipsychotic use,
but it significantly increased apathy (beta = 5.37; SE = 0.91; P < .001).
However, antipsychotic review in combination with either social interaction (beta
= -5.84; SE = 1.15; P < .001) or exercise (beta = -7.54; SE = 0.93; P < .001)
significantly reduced apathy. CONCLUSIONS: Antipsychotic review can play a
significant role in improving apathy in people with dementia living in nursing
homes, when combined with psychosocial interventions such as social interaction
and exercise. Guidance must be adapted to reflect this subtlety in care.
PMID- 27184522
TI - Animal-Assisted Interventions in Dutch Nursing Homes: A Survey.
AB - OBJECTIVES: Animal-assisted interventions (AAI) have become more and more popular
in nursing homes in the past decade. Various initiatives for using animals in
nursing homes have been developed over the years (eg, animal visiting programs,
residential companion animals, petting zoos) and, on the whole, the number of
nursing homes that refuse animals on their premises has declined. In this survey,
we aimed to determine how many Dutch nursing homes offer AAIs, what type of
interventions are used, and with what aim. We also focus on the use of underlying
health, hygiene, and (animal) safety protocols. METHODS: Using an online Dutch
nursing home database, we invited all listed (457) nursing home organizations in
the Netherlands (encompassing a total of 804 nursing home locations) to
participate in our digital survey, powered by SurveyMonkey. The survey consisted
of a total of 45 questions, divided into general questions about the use of
animals in interventions; the targeted client population(s); and specific
questions about goals, guidelines, and protocols. The results were analyzed with
SPSS Statistics. RESULTS: In the end, 244 surveys, representing 165
organizations, were returned: 125 nursing homes used AAI in one way or another,
40 did not. Nursing homes that did not offer AAI cited allergy and hygiene
concerns as the most important reasons. Most nursing homes offering AAI used
visiting animals, mostly dogs (108) or rabbits (76). A smaller number of nursing
homes had resident animals, either living on the ward or in a meadow outside.
Almost all programs involved animal-assisted activities with a recreational
purpose; none of the participating nursing homes provided animal assisted therapy
with therapeutic goals. Psychogeriatric patients were most frequently invited to
participate. A total of 88 nursing homes used alternatives when animals were not
an option or not available. The most popular alternative was the use of stuffed
animals (83) followed by FurReal Friends robotic toys (14). The sophisticated
robot seal Paro was used in 7 nursing homes. A large percentage (80%) of nursing
homes that worked with animals did not have AAI-specific health protocols or
animal welfare and safety protocols underlying the animal activities or specific
selection criteria for the selection of suitable animals. CONCLUSION: Most of the
participating Dutch nursing homes offer AAI in recreational programs (animal
assisted activities) for psychogeriatric clients (using visiting animals,
especially dogs). Most nursing homes do not have specific AAI protocols for
animal welfare, hygiene, and safety during animal activities, nor do they employ
specific selection criteria for participating animals and their handlers.
PMID- 27184523
TI - New Long-Term Care Policies in Latin America: The National System of Care in
Uruguay.
AB - BACKGROUND: Uruguay is the Latin American country with the largest share of
elderly population and it has the greatest pressure for formal long-term care
services in the region. For this reason, last year the government approved a law
creating a National System of Care. OBJECTIVE: This article describes and
analyzes the long-term care policy in the recently created National System of
Care of Uruguay. METHOD: The Director of the National System of Care was asked to
complete a questionnaire with a description and management of long-term care
programs. This information was completed with official information and peer
reviewed articles of long-term care in Uruguay. RESULTS: The National System of
Care includes long-term care services. The main services are carried out through
a cash-for-care system for home-based services, but in the future the benefits
will expand to day centers and residential and nursing homes. CONCLUSION: The
system follows international trends in terms of universality, services, and
regulations dealing with care. However, the current and future financing is low,
and this condition could undermine its development capacity.
PMID- 27184525
TI - Heteroleptic Ir(iii) and Pt(ii) complexes based on 2-(2,4-difluorophenyl)
pyridine and bisthienylethene BrLH: the influence of the metal center on
structures, luminescence and photochromism.
AB - Heteroleptic complexes [Ir(dfppy)2(BrL)].3CH3OH () and [Pt(dfppy)(BrL)].CH3OH ()
have been prepared based on the same ligands including bisthienylethene BrLH and
dfppyH = (2-(2,4-difluorophenyl)-pyridine). Complexes and reveal distinct crystal
structures. The BrL(-) anion uses its phenol-imidazole moiety to coordinate with
an {Ir(dfppy)2}(+) unit in the former, while with a {Pt(dfppy)}(+) unit in the
latter. Neighboring [Ir(dfppy)2(BrL)]/[Pt(dfppy)(BrL)] molecules are connected
through extensive hydrogen bonds and aromatic stacking interactions, thus forming
a supramolecular chain structure in , and a layer structure in . Upon irradiation
with 380 nm light, compound shows photochromic behavior in CH2Cl2, with a color
change from nearly colorless to light green. However, no photochromism was
observed in compound . At room temperature, compound reveals phosphorescence with
a predominant (3)MLCT character both in CH2Cl2 solution (emissions at 495 and 513
nm) and in the solid state (emission at 524 nm). Compound exhibits
phosphorescence with a predominant (3)LC character in CH2Cl2 solution (emission
at 508 nm), but it is almost non-luminescent in the solid state. Our experimental
results demonstrate that the metal centers in and could significantly influence
their structures, photochromism, and luminescence behaviors.
PMID- 27184524
TI - Editorial.
PMID- 27184526
TI - Nutritional strategies to cope with reduced litter weight gain and total tract
digestibility in lactating sows.
AB - Twelve lactating sows were used to evaluate the effects of reducing dietary crude
protein (CP) (14% vs. 12%) and increasing neutral detergent fibre (NDF) levels
(18% vs. 22%) on litter performance, total tract apparent digestibility and
manure composition in a 4 * 4 latin square arrangement during a 36-day lactation
period. Diets were isoenergetic (2.9 Mcal ME/kg) and had similar total lysine
content (0.9%). In addition, a second aim was to compare a reference external
marker method (Cr2 O3 ) with an internal feed marker [acid-insoluble ash (AIA)]
for the calculation of apparent total tract digestibility of nutrients in
lactating sows. The reduction of dietary CP level in lactating sows had no effect
on either live-weight or backfat thickness or apparent total tract digestibility
of nutrients. However, the piglets' average daily gain (ADG) was reduced in low
dietary CP diets, which suggests that sows reduced milk production due to an
underestimation of certain essential amino acid requirements (e.g. valine). The
increase of dietary NDF level did not affect sow and litter performance.
Nevertheless, the total tract apparent digestibility of organic matter, CP and
carbohydrates was reduced, and ether extract digestion was increased in high NDF
compared to normal NDF diets equally balanced for ME and lysine content. The
coefficients of total tract apparent digestibility of nutrients in lactating sows
were greater when using AIA compared to Cr2 O3 marker, regardless of dietary CP
or NDF level, but their coefficients of variation were lower in the former than
in the latter. In lactating sows, a trade-off between litter performance and
nutrient digestion is established when reducing dietary CP or increasing NDF
levels while maintaining similar lysine content through synthetic amino acids and
balancing metabolizable energy through dietary fat sources.
PMID- 27184527
TI - Re: Risk Assessment to Guide Cervical Screening Strategies in a Large Chinese
Population (doi: 10.1002/ijc.30012).
PMID- 27184528
TI - Multimodality Image-Guided Sclerotherapy of Low-Flow Orbital Vascular
Malformations: Report of Single-Center Experience.
AB - PURPOSE: To evaluate the role of multimodality imaging tools for intraprocedural
guidance and outcome evaluation during sclerotherapy of low-flow orbital vascular
malformations. MATERIALS AND METHODS: A retrospective review was performed of 17
consecutive patients with low-flow orbital malformations (14 lymphatic, two
venous, and one venolymphatic) who underwent multimodality image-guided
sclerotherapy between November 2012 and May 2015. Sclerotherapy technique, image
guidance tools, and complications were recorded. Sclerotherapy outcome was
evaluated using clinical response, magnetic resonance (MR) image-based lesion
volumetry, and proptosis quantification. RESULTS: There were 22 sclerotherapy
sessions performed. Intraprocedural ultrasound (US), fluoroscopy, cone-beam
computed tomography (CT) and MR image fusion were used for image guidance with
100% technical success. Resolution of presenting symptoms was observed in all
patients at 1-month follow-up. Four major sclerotherapy complications were
successfully managed. Statistically significant reduction in lesion volume (P =
.001) and proptosis (P = .0117) by MR image analysis was achieved in all patients
in whom 3-month follow-up MR imaging was available (n = 13/17). There was no
lesion recurrence at a median follow-up of 18 months (range, 8-38 mo).
CONCLUSIONS: Multimodality imaging tools, including US, fluoroscopy, cone-beam
CT, and MR fusion, during sclerotherapy of low-flow orbital malformations provide
intraprocedural guidance and quantitative image-based evaluation of treatment
outcome.
PMID- 27184530
TI - Three-dimensional ghost imaging lidar via sparsity constraint.
AB - Three-dimensional (3D) remote imaging attracts increasing attentions in capturing
a target's characteristics. Although great progress for 3D remote imaging has
been made with methods such as scanning imaging lidar and pulsed floodlight
illumination imaging lidar, either the detection range or application mode are
limited by present methods. Ghost imaging via sparsity constraint (GISC), enables
the reconstruction of a two-dimensional N-pixel image from much fewer than N
measurements. By GISC technique and the depth information of targets captured
with time-resolved measurements, we report a 3D GISC lidar system and
experimentally show that a 3D scene at about 1.0 km range can be stably
reconstructed with global measurements even below the Nyquist limit. Compared
with existing 3D optical imaging methods, 3D GISC has the capability of both high
efficiency in information extraction and high sensitivity in detection. This
approach can be generalized in nonvisible wavebands and applied to other 3D
imaging areas.
PMID- 27184529
TI - Histone deacetylase inhibition regulates miR-449a levels in skeletal muscle
cells.
AB - microRNAs (miRNAs) are small non-coding RNAs that regulate cellular processes by
fine-tuning the levels of their target mRNAs. However, the regulatory elements
determining cellular miRNA levels are not well studied. Previously, we had
described an altered miRNA signature in the skeletal muscle of db/db mice. Here,
we sought to explore the role of epigenetic mechanisms in altering these miRNAs.
We show that histone deacetylase (HDAC) protein levels and activity are
upregulated in the skeletal muscle of diabetic mice. In C2C12 cells, HDAC
inhibition using suberoylanilide hydroxamic acid (SAHA) altered the levels of 24
miRNAs: 15 were downregulated and 9 were upregulated. miR-449a, an intronic miRNA
localized within the Cdc20b gene, while being downregulated in the skeletal
muscle of diabetic mice, was the most highly upregulated during HDAC inhibition.
The host gene, Cdc20b, was also significantly upregulated during HDAC inhibition.
Bioinformatics analyses identified a common promoter for both Cdc20b and miR-449a
that harbors significant histone acetylation marks, suggesting the possibility of
regulation by histone acetylation-deacetylation. These observations suggest an
inverse correlation between miR-449a levels and HDAC activity, in both SAHA
treated skeletal muscle cells and db/db mice skeletal muscle. Further, in SAHA
treated C2C12 cells, we observed augmented occupancy of acetylated histones on
the Cdc20b/miR-449a promoter, which possibly promotes their upregulation. In vivo
injection of SAHA to db/db mice significantly restored skeletal muscle miR-449a
levels. Our results provide insights into the potential regulatory role of
epigenetic histone acetylation of the miR-449a promoter that may regulate its
expression in the diabetic skeletal muscle.
PMID- 27184531
TI - Electroencephalogram variability in patients with cirrhosis associates with the
presence and severity of hepatic encephalopathy.
AB - BACKGROUND & AIMS: The outputs of physiological systems fluctuate in a complex
manner even under resting conditions. Decreased variability or increased
regularity of these outputs is documented in several disease states. Changes are
observed in the spatial and temporal configuration of the electroencephalogram
(EEG) in patients with hepatic encephalopathy (HE), but there is no information
on the variability of the EEG signal in this condition. The aim of this study was
to measure and characterize EEG variability in patients with cirrhosis and to
determine its relationship to neuropsychiatric status. METHODS: Eyes-closed,
awake EEGs were obtained from 226 patients with cirrhosis, classified, using
clinical and psychometric criteria, as neuropsychiatrically unimpaired (n=127) or
as having minimal (n=21) or overt (n=78) HE, and from a reference population of
137 healthy controls. Analysis of EEG signal variability was undertaken using
continuous wavelet transform and sample entropy. RESULTS: EEG variability was
reduced in the patients with cirrhosis compared with the reference population
(coefficient of variation: 21.2% [19.3-23.4] vs. 22.4% [20.8-24.5]; p<0.001). A
significant association was observed between EEG variability and neuropsychiatric
status; thus, variability was increased in the patients with minimal HE compared
with their neuropsychiatrically unimpaired counterparts (sample entropy: 0.98
[0.87-1.14] vs. 0.83 [0.75-0.95]; p=0.02), and compared with the patients with
overt HE (sample entropy: 0.98 [0.87-1.14] vs. 0.82 [0.71-1.01]; p=0.01).
CONCLUSIONS: Variability of the EEG is associated with both the presence and
severity of HE. This novel finding may provide new insights into the
pathophysiology of HE and provide a means for monitoring patients over time. LAY
SUMMARY: Decreased variability or increased regularity of physiological systems
is documented in several disease states. Variability of the electroencephalogram
was found to be associated with both the presence and severity of brain
dysfunction in patients with chronic liver disease.
PMID- 27184532
TI - Hypothermia in acute liver failure: What got lost in translation?
PMID- 27184534
TI - [Catheter-related bacteremia: Evaluation of clinical outcomes as a plan to
improve the quality of microbiological diagnosis].
PMID- 27184533
TI - Definition and risk factors for chronicity following acute idiosyncratic drug
induced liver injury.
AB - BACKGROUND & AIMS: Chronic outcome following acute idiosyncratic drug-induced
liver injury (DILI) is not yet defined. This prospective, long-term follow-up
study aimed to analyze time to liver enzyme resolutions to establish the best
definition and risk factors of DILI chronicity. METHODS: 298 out of 850 patients
in the Spanish DILI registry with no pre-existing disease affecting the liver and
follow-up to resolution or ?1year were analyzed. Chronicity was defined as
abnormal liver biochemistry, imaging test or histology one year after DILI
recognition. RESULTS: Out of 298 patients enrolled 273 (92%) resolved ?1year from
DILI recognition and 25 patients (8%) were chronic. Independent risk factors for
chronicity were older age [OR: 1.06, p=0.011], dyslipidemia [OR: 4.26, p=0.04]
and severe DILI [OR: 14.22, p=0.005]. Alanine aminotransferase (ALT), alkaline
phosphatase (ALP) and total bilirubin (TB) median values were higher in the
chronic group during follow-up. Values of ALP and TB >1.1 x upper limit of normal
(xULN) and 2.8 xULN respectively, in the second month from DILI onset, were found
to predict chronic DILI (p<0.001). Main drug classes involved in chronicity were
statins (24%) and anti-infectives (24%). Histological examination in chronic
patients demonstrated two cases with ductal lesion and seven with cirrhosis.
CONCLUSIONS: One year is the best cut-off point to define chronic DILI or
prolonged recovery, with risk factors being older age, dyslipidemia and severity
of the acute episode. Statins are distinctly related to chronicity. ALP and TB
values in the second month could help predict chronicity or very prolonged
recovery. LAY SUMMARY: Drug-induced liver injury (DILI) patients who do not
resolve their liver damage during the first year should be considered chronic
DILI patients. Risk factors for DILI chronicity are older age, dyslipidemia and
severity of the acute episode. Chronic DILI is not a very common condition;
normally featuring mild liver profile abnormalities and not being an important
clinical problem, with the exception of a small number of cases of early onset
cirrhosis.
PMID- 27184536
TI - Fibroadenoma in Axillary Supernumerary Breast in a 17-Year-Old Girl: Case Report.
AB - BACKGROUND: Supernumerary breast or polymastia is a well documented anomaly of
the breast, and commonly presents along the embryonic milk line extending between
the axilla and groin. However, cases of polymastia have been recorded in the
face, vulva, and perineum. The clinical significance of these anomalies include
their susceptibility to inflammatory and malignant changes, and their association
with other congenital anomalies of the urinary and cardiovascular systems. CASE:
In this article we report a case of fibroadenoma that developed in the
supernumerary breast of the right axilla in a 17-year-old girl. It is uncommon to
find such palpable masses in young patients. Clinical and sonographic examination
of both breasts revealed no abnormalities and no lymph nodes were detected in the
axillae or the neck. No associated urologic or cardiovascular abnormalities were
found, and the histopathological examination of the excisional biopsy samples
showed a well-defined, capsulated intracanalicular type of fibroadenoma similar
to that of eutopic mammary tissue. SUMMARY AND CONCLUSION: In this report, we
describe a rare case of fibroadenoma in an accessory breast in a young woman.
There are a fewer than 40 reports in the world about this subject, of which
differential diagnoses include: cancer in axillary supernumerary breast,
hidradenitis, axillary lymphadenomegaly, lipomas, anexial cutaneous neoplasia,
cysts, and phylloides tumor. The combination of clinical examination, ultrasound,
and cytology leads to adequate treatment, especially surgical. The diagnosis
could be confused because of findings from cytology. In this case, because of the
clinical and sonographic findings and multiple differential diagnosis, only the
histopathological study was used to confirm the diagnosis. Despite its high
sensitivity, cytology has low specificity and could create false positive
results. However, atypical lesions can be seen in fibroadenomas, especially in
younger patients, pregnant patients, and in patients who use hormonal
contraception. Although there are a few reports, our case report is similar to
current medical registers and, after surgical treatment, our patient had an
excellent prognosis.
PMID- 27184535
TI - Anti-Mullerian Hormone in Female Adolescent Cancer Patients Before, During, and
After Completion of Therapy: A Pilot Feasibility Study.
AB - STUDY OBJECTIVE: Alkylating agents are implicated in premature ovarian
insufficiency. To optimize counseling regarding future ovarian function in
survivors of adolescent cancer, we describe anti-Mullerian hormone (AMH) levels
in female adolescents at diagnosis, during, and shortly after completion of
chemotherapy. DESIGN, SETTING, PARTICIPANTS, INTERVENTIONS, AND MAIN OUTCOME
MEASURES: This was a prospective single-institution study. Participants were a
mixed population of newly diagnosed postmenarchal female adolescents with
malignancy. AMH was performed at diagnosis (T1), 6 months from diagnosis (T2), at
end of therapy or 12 months [T3, whichever came first], 1 year after the end of
therapy or 24 months from diagnosis [T4, whichever came first], and 18 months
from the time of diagnosis (T5). All patients had baseline pelvic ultrasound
examinations. Presence of menses and hot flashes were recorded at each time
point. RESULTS: Sixteen participants with a median age at diagnosis of 14.3 years
(range 12-17 years) were followed for 18.2 months (range, 14-24 months). Oncology
diagnoses included leukemia, lymphoma, and sarcoma. Ten patients (62.5%) received
alkylating agents with a median cumulative dose of 3041 mg/m2 (range, 2639-6478
mg/m2) of cyclophosphamide. Almost half (n = 7; 44%) experienced amenorrhea
during treatment with resumption of menses in 6 of 7 patients (85%). Fifteen of
16 (94%) participants showed a decline in mean AMH levels by 6 months (T2) from
diagnosis (15.8 IU/mL at T1 vs 6.5 IU/mL at T2; P = .003) and 12 of 15 (80%)
showed at least some recovery of AMH (mean AMH at T4 = 13.2 IU/mL compared with
6.5 IU/mL at T2; P = .02). There was no difference in the mean decline nor
recovery of AMH in those who did, vs did not receive cyclophosphamide.
CONCLUSION: To our knowledge, this is the largest series to date in adolescents
showing that AMH is uniformly suppressed during cancer therapy and short-term
recovery occurs in just more than half of the patients by 18-24 months. The
contribution of short-term AMH measurements in predicting long-term ovarian
function remains to be defined. Long-term follow-up with serial AMH levels is
required to help predict those at risk for premature ovarian insufficiency.
PMID- 27184537
TI - Genital Findings in Cases of Child Sexual Abuse: Genital vs Vaginal Penetration.
AB - STUDY OBJECTIVE: To (1) examine the prevalence of abnormal genital findings in a
large cohort of female children presenting with concerns of sexual abuse; and (2)
explore how children use language when describing genital contact and genital
anatomy. DESIGN: In this prospective study we documented medical histories and
genital findings in all children who met inclusion criteria. Findings were
categorized as normal, indeterminate, and diagnostic of trauma. Logistic
regression analysis was used to determine the effects of key covariates on
predicting diagnostic findings. Children older than 4 years of age were asked
questions related to genital anatomy to assess their use of language. SETTING: A
regional, university-affiliated sexual abuse clinic. PARTICIPANTS: Female
children (N = 1500) aged from birth to 17 years (inclusive) who received an
anogenital examination with digital images. INTERVENTIONS AND MAIN OUTCOME
MEASURES: Physical exam findings, medical history, and the child's use of
language were recorded. RESULTS: Physical findings were determined in 99% (n =
1491) of patients. Diagnostic findings were present in 7% (99 of 1491). After
adjusting for age, acuity, and type of sexual contact reported by the adult, the
estimated odds of diagnostic findings were 12.5 times higher for children
reporting genital penetration compared with those who reported only contact (95%
confidence interval, 3.46-45.34). Finally, children used the word "inside" to
describe contact other than penetration of the vaginal canal (ie, labial
penetration). CONCLUSION: A history of penetration by the child was the primary
predictor of diagnostic findings. Interpretation of children's use of "inside"
might explain the low prevalence of diagnostic findings and warrants further
study.
PMID- 27184540
TI - Oxidation of SO2 to SO3 by Cerium Oxide Cluster Cations Ce2O4(+) and Ce3O6(.).
AB - Cerium oxide cationic clusters (CeO2)1-3(+) were generated through laser ablation
and then reacted with sulfur dioxide (SO2) at ambient conditions in an ion trap
reactor and those reactions were studied and characterized by combining the art
of time-of-flight mass spectrometry (TOF-MS) with density functional theory (DFT)
calculations. Molecule association and oxygen atom transfer (OAT) were observed
for the CeO2(+) and (CeO2)2,3(+) reaction systems, respectively. The mechanistic
analysis indicates that the weak Ce-O bond strength associated with the oxygen
release capacity of cerium oxide clusters is considered as the key factor to
achieve the oxidation of SO2. To our best knowledge, this research should be the
first example to identify the OAT reactivity of metal oxide cluster ions toward
sulfur dioxide under thermal collision conditions, and a fundamental
understanding of the elementary oxidation of SO2 to SO3 is provided.
PMID- 27184539
TI - An Organometallic Compound which Exhibits a DNA Topology-Dependent One-Stranded
Intercalation Mode.
AB - Understanding how small molecules interact with DNA is essential since it
underlies a multitude of pathological conditions and therapeutic interventions.
Many different intercalator compounds have been studied because of their activity
as mutagens or drugs, but little is known regarding their interaction with
nucleosomes, the protein-packaged form of DNA in cells. Here, using
crystallographic methods and molecular dynamics simulations, we discovered that
adducts formed by [(eta(6) -THA)Ru(ethylenediamine)Cl][PF6 ] (THA=5,8,9,10
tetrahydroanthracene; RAED-THA-Cl[PF6 ]) in the nucleosome comprise a novel one
stranded intercalation and DNA distortion mode. Conversely, the THA group in fact
remains solvent exposed and does not disrupt base stacking in RAED-THA adducts on
B-form DNA. This newly observed DNA binding mode and topology dependence may
actually be prevalent and should be considered when studying covalently binding
intercalating compounds.
PMID- 27184538
TI - Low-dose lipopolysaccharide (LPS) inhibits aggressive and augments depressive
behaviours in a chronic mild stress model in mice.
AB - BACKGROUND: Aggression, hyperactivity, impulsivity, helplessness and anhedonia
are all signs of depressive-like disorders in humans and are often reported to be
present in animal models of depression induced by stress or by inflammatory
challenges. However, chronic mild stress (CMS) and clinically silent
inflammation, during the recovery period after an infection, for example, are
often coincident, but comparison of the behavioural and molecular changes that
underpin CMS vs a mild inflammatory challenge and impact of the combined
challenge is largely unexplored. Here, we examined whether stress-induced
behavioural and molecular responses are analogous to lipopolysaccharide (LPS)
induced behavioural and molecular effects and whether their combination is
adaptive or maladaptive. METHODS: Changes in measures of hedonic sensitivity,
helplessness, aggression, impulsivity and CNS and systemic cytokine and 5-HT
system-related gene expression were investigated in C57BL/6J male mice exposed to
chronic stress alone, low-dose LPS alone or a combination of LPS and stress.
RESULTS: When combined with a low dose of LPS, chronic stress resulted in an
enhanced depressive-like phenotype but significantly reduced manifestations of
aggression and hyperactivity. At the molecular level, LPS was a strong inducer of
TNFalpha, IL-1beta and region-specific 5-HT2A mRNA expression in the brain. There
was also increased serum corticosterone as well as increased TNFalpha expression
in the liver. Stress did not induce comparable levels of cytokine expression to
an LPS challenge, but the combination of stress with LPS reduced the stress
induced changes in 5-HT genes and the LPS-induced elevated IL-1beta levels.
CONCLUSIONS: It is evident that when administered independently, both stress and
LPS challenges induced distinct molecular and behavioural changes. However, at a
time when LPS alone does not induce any overt behavioural changes per se, the
combination with stress exacerbates depressive and inhibits aggressive
behaviours.
PMID- 27184542
TI - Does Pure Platelet-Rich Plasma Affect Postoperative Clinical Outcomes After
Arthroscopic Rotator Cuff Repair? A Randomized Controlled Trial.
AB - BACKGROUND: The exact role of platelet-rich plasma (PRP) in rotator cuff tendon
reconstruction remains unclear. PURPOSE/HYPOTHESIS: This study investigated
whether an intraoperative pure PRP injection, compared with a local anesthetic
injection, improves patient-reported outcomes at 3 and 6 months after
arthroscopic rotator cuff repair. The hypothesis was that pure PRP improves
patient-reported outcomes (Oxford Shoulder Score [OSS]) at 3 and 6 months after
surgery and has the same pain-reducing effect compared with a postoperative
subacromial local anesthetic (ropivacaine) injection. STUDY DESIGN: Randomized
controlled trial; Level of evidence, 1. METHODS: Between January 2011 and
November 2012, a total of 120 patients who underwent arthroscopic double-row
repair of a supraspinatus tendon rupture were randomized to receive either pure
PRP by an injection at the footprint (PRP group; n = 60) or ropivacaine injected
in the subacromial region (control group; n = 60). Seventy-eight percent of
patients had other concomitant tears. All patients, surgeons, and follow-up
investigators were blinded. Clinical parameters and various outcome scores
(Constant-Murley shoulder score; OSS; patient American Shoulder and Elbow
Surgeons score; quick Disabilities of the Arm, Shoulder and Hand score; EuroQol 5
dimensions) were documented preoperatively and at 3, 6, and 24 months
postoperatively. The repair integrity was assessed by magnetic resonance imaging
or ultrasound at 24 months. Furthermore, a pain diary was completed within the
first 10 postoperative days, and adverse events were recorded. Group outcome
differences were analyzed using t tests, Fisher exact tests, and mixed models.
RESULTS: The final follow-up rate was 91%. An associated tear of the
subscapularis tendon was diagnosed in 23% of PRP-treated patients and 36% of
control patients. Three months after surgery, the mean (+/-SD) OSS was 32.9 +/-
8.6 in PRP-treated patients and 30.7 +/- 10.0 in control patients (P = .221). No
significant differences were noted for other outcome parameters as well as at 6
and 24 months postoperatively. Smoking was a significant effect modifier. Pain
for both groups decreased from postoperative day 1 to 10 without any significant
group difference (P = .864). Six (12.2%) and 11 (20.8%) patients were diagnosed
with a recurrent supraspinatus tendon defect in the PRP and control groups,
respectively (P = .295). Twenty-two (40.7%) and 18 (30.5%) PRP-treated and
control patients, respectively, experienced a local adverse event within 24
months (P = .325). CONCLUSION: Patients treated with pure PRP showed no
significantly improved function at 3, 6, and 24 months after arthroscopic repair
compared with control patients receiving ropivacaine; however, a similar pain
reduction was documented in both groups. The negative influence of smoking on the
effect of pure PRP requires further investigation. REGISTRATION: NCT01266226
(ClinicalTrials.gov).
PMID- 27184541
TI - Influence of Playing a Prolonged Tennis Match on Shoulder Internal Range of
Motion.
AB - BACKGROUND: Shoulder range of motion (ROM) deficits have been identified as an
injury risk factor among tennis players. It is well known that shoulder internal
rotation deficit increases with age and years of play, but there is a lack of
knowledge regarding the influence of a prolonged tennis match on shoulder ROM.
PURPOSE: To examine changes in shoulder ROM during a prolonged tennis match.
STUDY DESIGN: Descriptive laboratory study. METHODS: Shoulder passive internal
and external rotation ROM were measured on 8 male tennis players before, every 30
minutes during, and just after a 3-hour tennis match. Total ROM was calculated as
the combination of shoulder internal and external rotations. Ball velocity on the
serve was measured with a radar gun before, at midmatch, and just after the
match. RESULTS: Decreases in shoulder internal rotation (-20.8 degrees ; P =
.005), total ROM (-24.6 degrees ; P = .001), and serve velocity (-1.8 m/s; P =
.002) were observed at the end of the match. No statistically significant
difference was observed for shoulder external rotation after the match (P =
.460). CONCLUSION: Passive shoulder internal rotation and total ROM are
significantly decreased during a 3-hour tennis match. CLINICAL RELEVANCE: The
results show that a prolonged tennis match play can modify values of shoulder
ROM.
PMID- 27184543
TI - Hamstring Reinjuries Occur at the Same Location and Early After Return to Sport:
A Descriptive Study of MRI-Confirmed Reinjuries.
AB - BACKGROUND: Despite relatively high reinjury rates after acute hamstring
injuries, there is a lack of detailed knowledge about where and when hamstring
reinjuries occur, and studies including imaging-confirmed reinjuries are scarce.
PURPOSE: To investigate the location, radiological severity, and timing of
reinjuries on magnetic resonance imaging (MRI) compared with the index injury.
STUDY DESIGN: Case series; Level of evidence, 4. METHODS: A MRI scan was obtained
<=5 days after an acute hamstring index injury in 180 athletes, and time to
return to sport (RTS) was registered. Athletes with an MRI-confirmed reinjury in
the same leg <=365 days after RTS were included. Categorical grading and
standardized MRI parameters of the index injury and reinjury were scored by a
single radiologist (with excellent intraobserver reliability). To determine the
location of the reinjury, axial and coronal views of the index injury and
reinjury were directly compared on proton density-weighted fat-suppressed images.
RESULTS: In the 19 athletes included with reinjury, 79% of these reinjuries
occurred in the same location within the muscle as the index injury. The median
time to RTS after the index injury was 19 days (range, 5-37 days; interquartile
range [IQR], 15 days). The median time between the index injury and reinjury was
60 days (range, 20-316 days; IQR, 131 days) and the median time between RTS after
the index injury and the reinjury was 24 days (range, 4-311 days; IQR, 140 days).
More than 50% of reinjuries occurred within 25 days (4 weeks) after RTS from the
index injury and 50% occurred within 50 days after the index injury. All
reinjuries with more severe radiological grading occurred in the same location as
the index injury. CONCLUSION: The majority of the hamstring reinjuries occurred
in the same location as the index injury, early after RTS and with a
radiologically greater extent, suggesting incomplete biological and/or functional
healing of the index injury. Specific exercise programs focusing on reinjury
prevention initiated after RTS from the index injury are highly recommended.
PMID- 27184545
TI - Effects of sub-lethal concentrations of mupirocin on global transcription in
Staphylococcus aureus 8325-4 and a model for the escape from inhibition.
AB - Staphylococcus aureus is a major pathogen in both hospital and community
settings, causing infections ranging from mild skin and wound infections to life
threatening systemic illness. Gene expression changes due to the stringent
response have been studied in S. aureus using lethal concentrations of mupirocin,
but no studies have investigated the effects of sub-lethal concentrations. S.
aureus 8325-4 was exposed to sub-inhibitory concentrations of mupirocin. The
production of ppGpp was assessed via HPLC and the effects on global transcription
were studied by RNAseq (RNA sequencing) analysis. Growth inhibition had occurred
after 1 h of treatment and metabolic analysis revealed that the stringent
response alarmone ppGpp was present and GTP concentrations decreased.
Transcriptome profiles showed that global transcriptional alterations were
similar to those for S. aureus after treatment with lethal concentrations of
mupirocin, including the repression of genes involved in transcription,
translation and replication machineries. Furthermore, up-regulation of genes
involved in stress responses, and amino acid biosynthesis and transport, as well
as some virulence factor genes, was observed. However, ppGpp was not detectable
after 12 or 24 h and cell growth had resumed, although some transcriptional
changes remained. Sub-lethal concentrations of mupirocin induce the stringent
response, but cells adapt and resume growth once ppGpp levels decrease.
PMID- 27184546
TI - Near Room-Temperature Memory Devices Based on Hybrid Spin-Crossover@SiO2
Nanoparticles Coupled to Single-Layer Graphene Nanoelectrodes.
AB - The charge transport properties of SCO [Fe(Htrz)2 (trz)](BF4 ) NPs covered with a
silica shell placed in between single-layer graphene electrodes are reported. A
reproducible thermal hysteresis loop in the conductance above room-temperature is
evidenced. This bistability combined with the versatility of graphene represents
a promising scenario for a variety of technological applications but also for
future sophisticated fundamental studies.
PMID- 27184544
TI - Harmful Effects of Leukocyte-Rich Platelet-Rich Plasma on Rabbit Tendon Stem
Cells In Vitro.
AB - BACKGROUND: Platelet-rich plasma (PRP) is now widely used as a promising
treatment for patients with tendinopathy. However, the efficacy of PRP treatment
for tendinopathy is controversial mainly because of inconsistent results from
human clinical trials and particularly because the concentration and effect of
leukocytes in PRP remain largely unknown. HYPOTHESIS: Leukocyte-rich PRP (L-PRP)
inhibits growth factor release, decreases proliferation, and induces nontenocyte
differentiation of tendon stem cells (TSCs); increases catabolic cytokine
concentrations; and causes inflammation and apoptosis. Thus, L-PRP has a
detrimental effect on tendon stem/progenitor cells, which impairs injured tendon
healing. STUDY DESIGN: Controlled laboratory study. METHODS: Pure PRP (P-PRP) and
L-PRP were prepared from the same individual rabbit blood, and platelet numbers
in each PRP product were adjusted to reach the same level. The leukocyte level in
L-PRP was 4 and 8 times higher than those in whole blood and P-PRP, respectively.
The growth factors in both P-PRP and L-PRP were measured by enzyme-linked
immunosorbent assay kits. The morphology, stemness, proliferation, and
differentiation of TSCs grown in L-PRP and P-PRP were examined by microscopy,
immunocytochemistry, population doubling time, quantitative real-time polymerase
chain reaction, and histological analysis. RESULTS: L-PRP produced lower levels
of growth factors, such as vascular endothelial growth factor (VEGF), epidermal
growth factor (EGF), transforming growth factor (TGF)-beta1, and platelet-derived
growth factor (PDGF), than did P-PRP. TSC proliferation was significantly
decreased in L-PRP in a concentration-dependent manner. Furthermore, TSCs
cultured in P-PRP produced more collagen and formed tendon-like tissue; however,
TSCs grown in L-PRP differentiated into nontenocytes and produced more
inflammatory factors such as membrane-associated prostaglandin synthase (mPGES)
and interleukin (IL)-1beta. Moreover, L-PRP was associated with increased
apoptosis. CONCLUSION: L-PRP has harmful effects on TSCs. CLINICAL RELEVANCE:
This study revealed the direct effects of different compositions of PRP on TSCs
and provided basic scientific data to help understand the cellular and molecular
mechanisms of the efficacy of PRP treatment in clinical use.
PMID- 27184547
TI - Men becoming fathers by intracytoplasmic sperm injection were more often born
small for gestational age.
AB - Being born with nonoptimal birth characteristics decreases the chance of becoming
a father. Urogenital malformations as well as metabolic syndrome are more common
in men born small for gestational age (SGA) and could be contributing factors to
the reduced fertility rate seen in these men. It could imply that men becoming
fathers by assisted reproductive technology (ART) more often are born with low
birth weight (LBW), preterm, and/or SGA than men conceiving without treatment and
also that men where intracytoplasmic sperm injection (ICSI) had to be performed
more often are born with nonoptimal birth characteristics than men where
conventional in vitro fertilization (IVF) successfully could be used. In this
retrospective, case-control study using Swedish national registers, we compared
the birth characteristics of 1206 men who have become fathers by ART with a
control group consisting of age-matched men who became fathers without treatment.
The differences in birth characteristics between men becoming fathers by IVF and
ICSI were also assessed. For men becoming fathers by ART, OR of being born with
LBW was 1.66 (95% CI = 1.17-2.36) compared with fathers who conceived without
treatment. OR of being born prematurely was 1.32 (95% CI = 1.00-1.77). Men
becoming fathers via ICSI had a doubled increased likelihood of being born SGA
compared with men who became fathers via IVF (OR = 2.12; 95% CI = 1.17-3.83). In
conclusion, we have found that men becoming fathers by ICSI treatments had more
often been born SGA than men becoming fathers by conventional IVF.
PMID- 27184548
TI - Personalized prostate cancer care: from screening to treatment.
AB - Unprecedented progress has been made in genomic personalized medicine in the last
several years, allowing for more individualized healthcare assessments and
recommendations than ever before. However, most of this progress in prostate
cancer (PCa) care has focused on developing and selecting therapies for late
stage disease. To address this issue of limited focus, we propose a model for
incorporating genomic-based personalized medicine into all levels of PCa care,
from prevention and screening to diagnosis, and ultimately to the treatment of
both early-stage and late-stage cancers. We have termed this strategy the
"Pyramid Model" of personalized cancer care. In this perspective paper, our
objective is to demonstrate the potential application of the Pyramid Model to PCa
care. This proactive and comprehensive personalized cancer care approach has the
potential to achieve three important medical goals: reducing mortality, improving
quality of life and decreasing both individual and societal healthcare costs.
PMID- 27184549
TI - The burden of prostatic calculi is more important than the presence.
AB - Prostatic calculi are a common finding on transrectal prostate ultrasound.
However, it remains unclear whether they are significantly associated with lower
urinary tract symptoms (LUTS). Our objective was to evaluate the association
between prostatic calculi and LUTS with a focus on "calculi burden" because no
studies have investigated prostatic calculi using "calculi burden" as an
indicator. A total of 606 participants who received transrectal prostate
ultrasound were divided into two groups according to the presence of prostatic
calculi. "Calculi burden" was defined as the sum of the transverse diameters of
all visible calculi within the prostate. The International Prostatic Symptom
Score (IPSS) and a quality of life (QoL) score were collected. Both groups were
compared, and a multivariate analysis was performed to predict moderate/severe
LUTS. Linear correlation was evaluated between calculi burden and IPSS in the
calculi group. No differences in total IPSS, voiding IPSS, or QoL score were
detected between the two groups, but storage IPSS was significantly higher in the
calculi group than that of controls. The multivariate analysis showed that the
presence of prostatic calculi was not an independent predictor of moderate/severe
LUTS. A positive linear correlation was detected between calculi burden and
storage IPSS in calculi group (r = 0.148). However, no correlation was found
between calculi burden and total IPSS, voiding IPSS, or QoL score. Our results
showed that the presence of prostatic calculi was not a significant factor
predicting moderate/severe LUTS. However, an increased calculi burden may be
associated with aggravating storage symptoms.
PMID- 27184550
TI - Ecdysis period of Rhodnius prolixus head investigated using phase contrast
synchrotron microtomography.
AB - Microtomography using synchrotron sources is a useful tool in biological imaging
research since the phase coherence of synchrotron beams can be exploited to
obtain images with high contrast resolution. This work is part of a series of
works using phase contrast synchrotron microtomography in the study of Rhodnius
prolixus head, the insect vector of Chagas' disease, responsible for about 12,000
deaths per year. The control of insect vector is the most efficient method to
prevent this disease and studies have shown that the use of triflumuron, a chitin
synthesis inhibitor, disrupted chitin synthesis during larval development and
it's an alternative method against insect pests. The aim of this work was to
investigate the biological effects of treatments with triflumuron in the ecdysis
period (the moulting of the R. prolixus cuticle) using the new imaging beamline
IMX at LNLS (Brazilian Synchrotron Light Laboratory). Nymphs of R. prolixus were
taken from the Laboratory of Biochemistry and Physiology of Insects, Oswaldo Cruz
Foundation, Brazil. Doses of 0.05mg of triflumuron were applied directly to the
abdomen on half of the insects immediately after feeding. The insects were
sacrificed 25days after feeding (intermoulting period) and fixed with
glutaraldehyde. The results obtained using phase contrast synchrotron
microtomography in R. prolixus showed amazing images of the effects of
triflumuron on insects in the ecdysis period, and the formation of the new
cuticle on those which were not treated with triflumuron. Both formation and
malformation of this insect's cuticle have never been seen before with this
technique.
PMID- 27184551
TI - Dosimetric impact of 4DCT artifact in carbon-ion scanning beam treatment: Worst
case analysis in lung and liver treatments.
AB - INTRODUCTION: We evaluated the impact of 4DCT artifacts on carbon-ion pencil beam
scanning dose distributions in lung and liver treatment. METHODS & MATERIALS:
4DCT was performed in 20 liver and lung patients using area-detector CT (original
4DCT). 4DCT acquisition by multi-detector row CT was simulated using original
4DCT by selecting other phases randomly (plus/minus 20% phases). Since tumor
position can move over the respiratory range in original 4DCT, mid-exhalation was
set as reference phase. Total prescribed dose of 60Gy (RBE) was delivered to the
clinical target volume (CTV). Reference dose distribution was calculated with the
original CT, and actual dose distributions were calculated with treatment
planning parameters optimized using the simulated CT (simulated dose). Dose
distribution was calculated by substituting these parameters into the original
CT. RESULTS: For liver cases, CTV-D95 and CTV-Dmin values for the reference dose
were 97.6+/-0.5% and 89.8+/-0.6% of prescribed dose, respectively. Values for the
simulated dose were significantly degraded, to 88.6+/-14.0% and 46.3+/-26.7%,
respectively. Dose assessment results for lung cases were 84.8+/-12.8% and 58.0+/
24.5% for the simulated dose, showing significant degradation over the reference
dose of 95.1+/-1.5% and 87.0+/-2.2%, respectively. CONCLUSIONS: 4DCT image
quality should be closely checked to minimize degradation of dose conformation
due to 4DCT artifacts. Medical staff should pay particular attention to checking
the quality of 4DCT images as a function of respiratory phase, because it is
difficult to recognize 4DCT artifact on a single phase in some cases.
PMID- 27184554
TI - McKittrick-Wheelock syndrome: A rare cause of metabolic coma.
PMID- 27184552
TI - Childhood diarrhea in high and low hotspot districts of Amhara Region, northwest
Ethiopia: a multilevel modeling.
AB - BACKGROUND: Childhood diarrhea is one of the major public health problems in
Ethiopia. Multiple factors at different levels contribute to the occurrence of
childhood diarrhea. The objective of the study was to identify the factors
affecting childhood diarrhea at individual and community level. METHODS: A cross
sectional study design was employed from February to March 2015 in high and low
hotspot districts of Awi and West and East Gojjam zones in Amhara Region,
northwest Ethiopia. Districts with high and low hotspots with childhood diarrhea
were identified using SaTScan spatial statistical analysis. A total of 2495
households from ten (five high and five low hotspot) randomly selected districts
were included in the study. A semi-structured questionnaire was used to collect
data. Data were entered and cleaned in Epi Info 3.5.2 version and analyzed using
Stata version 12. A multilevel logistic regression was used to identify factors
associated with childhood diarrhea. RESULTS: The prevalence of childhood diarrhea
was 13.5 % and did not show significant variation between high [14.3 % (95 % CI
12.3-16.2 %)] and low [12.7 % (95 % CI 10.9-14.6 %)] hotspot districts.
Individual- and community-level factors accounted for 35 % of childhood diarrhea
variation across the communities in the full model. Age of children (6-35
months), complementary feeding initiation below 6 months, inadequate hand washing
practices, limited knowledge of mothers on diarrhea, lowest wealth status of
households, and longer time interval to visit households by health extension
workers were factors for increasing the odds of childhood diarrhea at the
individual level. At the community level, lack of improved water supply and
sanitation and unvaccinated children with measles and rotavirus vaccine were the
factors associated with childhood diarrhea. CONCLUSIONS: In this study, childhood
diarrhea occurrences remained high. Both individual- and community-level factors
determined the occurrence of diarrhea. Interventions should consider both
individual- and community-level factors to reduce the occurrence of childhood
diarrhea.
PMID- 27184553
TI - Foot drop during adalimumab therapy for Crohn disease.
PMID- 27184556
TI - Unexpected Gifts.
PMID- 27184555
TI - Endoscopic diverticulotomy using LigasureTM.
AB - INTRODUCTION: Endoscopic treatment of Zenker diverticulum is considered feasible,
effective and safe. The use of the LigasureTM vessel sealer provides adequate and
quick dissection of tissue, achieving effective haemostasis. PATIENTS AND
METHODS: Retrospective, descriptive study of all patients with Zenker
diverticulum who were treated by endoscopic diverticulotomy using LigasureTM. The
procedure was performed in the endoscopy unit under deep sedation controlled by
the endoscopist. Patients were subsequently admitted for observation and, after
discharge, were followed-up in the outpatient clinic. RESULTS: Eight patients, 5
women and 3 men, mean age 78+/-15 years, 25% ASA I, 36% ASA II, 14% ASA III and
25% ASA IV. Main symptom: dysphagia. Diverticula size: 1-7cm. Technical success:
100%. COMPLICATIONS: one patient with upper gastrointestinal bleeding. Average
stay: 24h. Seven patients: asymptomatic; one patient with partial improvement,
requiring repeat endoscopic intervention. Surgery and morbidity and mortality:
0%. CONCLUSION: The treatment of Zenker diverticulum by endoscopic
diverticulotomy using the LigasureTM vessel sealer is highly effective, fast and
safe, and could be considered the treatment of choice.
PMID- 27184558
TI - Comment on: "sagittal MRI often overestimates the degree of cerebellar tonsillar
ectopia: a potential for misdiagnosis of the Chiari I malformation", by R. Shane
Tubbs et al.
PMID- 27184557
TI - A highly sensitive and facile graphene oxide-based nucleic acid probe: Label-free
detection of telomerase activity in cancer patient's urine using AIEgens.
AB - Molecular beacon (MB)-based sensing platforms that consist of a fluorogen
quencher pair play an important role in medical and biological researches.
However, the synthesis of both fluorogen and quencher in the nucleic acid probes
will increase the burden of organic synthesis works and induce the difficulties
for precisely controlling the relative distance between fluorogen and quencher,
which may lead to false-positive and false-negative results. In this work,
initially we report a single labeled MB (FAM-MB, with carboxyfluorescein as
fluorogen and without quencher) thus simplifies MBs with the aid of graphene
oxide (GO) to detect telomerase activity. To further simplify this structure,
namely label-free strategy, we design a facile, sensitive and selective platform
using a label-free beacon (AIE-MB, without fluorogen and quencher), based on
aggregation-induced emission fluorogen (silole-R). Upon the addition of
telomerase, AIE-MB induced comb-like DNA structure leads to high aggregation of
silole-R and thus exhibits strong fluorescence emission. By exploitation of this,
we can detect telomerase with superior sensitivity and demonstrate their
applications in bladder cancer diagnosis. Compared to single-labeled FAM-MB based
telomerase activity assay, the label-free AIE-MB induced method could perform the
sensitive detection with high signal-to-background ratio.
PMID- 27184559
TI - Sagittal MRI often overestimates the degree of cerebellar tonsillar ectopia: a
potential for misdiagnosis of the Chiari I malformation.
AB - BACKGROUND: We hypothesized that by using coronal MRI, Chiari I malformation
could be more precisely diagnosed, would provide simple anatomic landmarks, would
provide information regarding asymmetry of hindbrain herniation, and would be a
better method for analyzing the tonsillar herniation postoperatively when the
opisthion has been removed. METHODS: Fifty consecutive pediatric patients
diagnosed with Chiari I malformation had comparison between the measurements of
their caudally descended cerebellar tonsils on midsagittal and coronal MRI
images. RESULTS: On MRI coronal imaging, tonsillar asymmetry was found in 48
patients. Maximal left tonsillar descent was 20.9 mm, and maximal right tonsillar
descent was 17.4 mm. On MRI sagittal imaging, tonsillar descent ranged from 5 to
27.4 mm. Fifty-eight % of patients had syringomyelia. Five patients (10 %) on
coronal MRI were found to have both cerebellar tonsils that were less than 3 mm
below the foramen magnum. However, all of these patients had greater than 3 mm of
tonsillar ectopia on sagittal imaging. Nineteen patients (38 %) on coronal MRI
were found to have one of the cerebellar tonsils that were less than 3 mm below
the foramen magnum. Similarly, each of these had greater than 3 mm of tonsillar
ecotpia as measured on midsagittal MRI. Also, based on these findings, Chiari I
malformation is almost always an asymmetrical tonsillar ectopia. CONCLUSIONS:
Sagittal MRI overestimates the degree of tonsillar ectopia in patients with
Chiari I malformation. Misdiagnosis may occur if sagittal imaging alone is used.
The cerebellar tonsils are paramedian structures, and this should be kept in mind
when interpreting midline sagittal MRI.
PMID- 27184560
TI - Intramedullary dermoid cyst infection mimicking holocord tumor: should radical
resection be mandatory?-a case report.
AB - BACKGROUND: Dermoid cysts are benign lesions which contain epidermal and dermal
elements. Dermoid cysts usually contain a dermal sinus tract but this is not
mandatory. Dermoid cysts can manifest by spinal cord infection without a dermal
sinus tract. An infected spinal dermoid cyst associated with a holocord spinal
abscess poses diagnostic and surgical challenges. Although radical surgical
drainage is considered as the main treatment modality for spinal abscess, less
extensive surgery for microbiological sampling and appropriate antibiotic
treatment can be another alternative modality. CASE DESCRIPTION: A 1-year-old boy
patient was admitted to our hospital with progressive paraplegia, bladder
dysfunction, and neck rigidity. Medical history of the patient included recurrent
urinary tract infection and cephalosporin treatments several times. Initial
neurological examination revealed confusion, fever, neck rigidity, paraplegia
(also, the motor power of the right upper extremity was three fifths that of the
upper extremities). He had urinary and gastrointestinal retention. Conservative
surgery was performed to take pathological and microbiological samples. With
appropriate antibiotic regimen, the nuchal rigidity and fever improved
dramatically. Infectious parameters in blood biochemistry significantly decreased
after the antibiotic regimen. DISCUSSION AND CONCLUSION: Holocord spinal
abscesses are a rare entity. The source of the disease can be hemopoietic spread
or contagious spread. The dermal sinus tract is major risk factor for contagious
spread. The major hemopoietic sources are urogenital infection, endocarditis, and
infective lung diseases. The hemopoietic spread is a more common source for
pediatric patients. The thoracolumbar region is the most common site of
involvement. Spinal infection has a tendency to extend longitudinally throughout
spinal fibers. The pathogenesis of the holocord edema or syrinx is uncertain. The
underlying etiology may be inflammation, infection, and associated venous
congestion within the spinal canal. Also, CSF circulation alteration due to
arachnoiditis may be another etiological factor. The most important entities on
outcome are appropriate surgical treatment and antibiotic administration.
PMID- 27184562
TI - Evaluation of the Role of Circulating Long Non-Coding RNA H19 as a Promising
Novel Biomarker in Plasma of Patients with Gastric Cancer.
AB - BACKGROUND: H19 is one of the long non-coding RNAs (LncRNA) that is related to
the progression of many diseases including cancers. This work was carried out to
study the level of the long non-coding RNA; H19, in plasma of patients with
gastric cancer (GC) and to assess its significance in their clinical management.
METHODS: Sixty-two participants were enrolled in the present study. The first
group included 32 GC patients. The second group was formed of 30 age and sex
matched healthy volunteers serving as a control group. Plasma samples were used
to assess H19 gene expression using real-time quantitative PCR technique.
RESULTS: H19 expression was up-regulated and closely related to TNM cancer stages
in GC patients. Using Receiver Operating Characteristic (ROC) curve analysis, a
cutoff level of 0.5 was set for H19 expression to diagnose GC cases achieving a
sensitivity of 68.75%, specificity of 56.67%, positive predictive value (PPV)
62.86% and negative predictive value (NPV) 62.96% with an area under the curve
(AUC) of 72.4%. Combined use of Carcinoembryonic Antigen (CEA) and H19 level in
GC diagnosis was evaluated using ROC curve revealing improvement in performance
with an area under the curve of 80.4%. CONCLUSIONS: Up-regulation of H19 is
closely associated with gastric cancer displaying progressive up-regulation in
advanced stages of the disease implementing its role as a potential non-invasive
diagnostic biomarker in gastric cancer and as a novel tool in gastric cancer
management with better performance achieved on using both CEA and H19
simultaneously.
PMID- 27184561
TI - Detecting spatial-temporal cluster of hand foot and mouth disease in Beijing,
China, 2009-2014.
AB - BACKGROUND: The incidence of hand, foot, and mouth disease (HFMD) is extremely
high, and has constituted a huge disease burden throughout Beijing in recent
years. This study aimed to determine the spatiotemporal distribution and epidemic
characteristics of HFMD. METHODS: Descriptive statistics was used to analyze the
data and estimate the epidemic peaks in 2009-2014. Space-time scanning detected
spatiotemporal clusters and identified high-risk locations. Global and local
Moran's I statistics were used to measure the spatial autocorrelation. Geocoding
was performed in ArcGIS, based on the present address codes of the patients and
the centroids of the towns. Maps were created in ArcGIS to show the geographic
spread of HFMD. RESULTS: In total, 220,451probable cases of HFMD were reported in
Beijing between January 2009 and December 2014: 12,749 (5.78 %) were laboratory
confirmed, and 35 (0.02 %) were fatal. The median age of reported cases was 3.12
years (interquartile range 1.96-4.39). Coxsackievirus A16 (CV-A16), enterovirus
71 (EV-A71), and other enteroviruses accounted for 39.31, 35.36, and 25.33 % of
the 12,749 confirmed cases, respectively. Many more severe cases were caused by
EV-A71 (chi (2) = 186.41, df = 1, P < 0.001) and other enteroviruses (chi (2) =
156.44, df = 1, P < 0.001) than by CV-A16. A large single distinct peak occurred
between May and July each year. Spatiotemporal clusters of HFMD were identified
in Beijing during 2009-2014. The most likely clusters were detected and tended to
move from the southwest (Fengtai and Daxing) southeastwards to Daxing and
Tongzhou in 2009-2014. The incidence of HFMD was not randomly distributed, but
showed global and local spatial autocorrelations. CONCLUSIONS: There were obvious
spatiotemporal clusters of HFMD in Beijing in 2009-2014. High-incidence areas
mainly occurred at the junctions of urban and rural zones. More attention should
be paid to the epidemiological and spatiotemporal characteristics of HFMD to
establish new strategies for its control. Health issues should be especially
promoted in kindergartens and at urban-rural junctions.
PMID- 27184563
TI - Application of Ynamides in the Synthesis of 2-(Tosylamido)- and 2,5
Bis(tosylamido)thiophenes.
AB - A step-economic and metal-catalyst-free synthesis of 2-(tosylamido)- and 2,5
bis(tosylamido)-thiophenes from nonsymmetrical 1,3-butadiynamides and symmetrical
1,3-butadiyne-1,4-diamides is reported. The reaction proceeds in the presence of
Na2S.9H2O (2-3 equiv) under mild reaction conditions (50 degrees C) and is
facilitated by polarized carbon-carbon triple bonds in ynamides. This new
approach to thiophenes based on the chemistry of ynamides was applied to the
synthesis of a bis(tosylamido)-capped terthiophene having a string of N,S
heteroatoms embedded in a highly pi-conjugated molecular frame.
PMID- 27184565
TI - Producing Radical-Free Hyperpolarized Perfusion Agents for In Vivo Magnetic
Resonance Using Spin-Labeled Thermoresponsive Hydrogel.
AB - Dissolution dynamic nuclear polarization (DNP) provides a way to tremendously
improve the sensitivity of nuclear magnetic resonance experiments. Once the spins
are hyperpolarized by dissolution DNP, the radicals used as polarizing agents
become undesirable since their presence is an additional source of nuclear spin
relaxation and their toxicity might be an issue. This study demonstrates the
feasibility of preparing a hyperpolarized [1-(13) C]2-methylpropan-2-ol (tert
butanol) solution free of persistent radicals by using spin-labeled
thermoresponsive hydrophilic polymer networks as polarizing agents. The
hyperpolarized (13) C signal can be detected for up to 5 min before the spins
fully relax to their thermal equilibrium. This approach extends the applicability
of spin-labeled thermoresponsive hydrogel to the dissolution DNP field and
highlights its potential as polarizing agent for preparing neat slowly relaxing
contrast agents. The hydrogels are especially suited to hyperpolarize deuterated
alcohols which can be used for in vivo perfusion imaging.
PMID- 27184566
TI - Risk Factors for Sexual Offending in Men Working With Children: A Community-Based
Survey.
AB - Identifying risk factors for sexual abuse in men who work with children and who
have already abused a child could lead to more appropriate screening and
prevention strategies and is thus of major scientific and societal relevance. A
total of 8649 German men from the community were assessed in an extensive
anonymous and confidential online survey. Of those, 37 (0.4 %) could be
classified as child sexual abusers working with children, 90 (1.0 %) as child
sexual abusers not working with children, and 816 (9.4 %) as men who work with
children and who have not abused a child. We assessed the impact of working with
children as an individual risk factor for self-reported child sexual abuse and
compared personal factors, pedophilic sexual fantasies, deviant sexual behaviors,
antisocial behaviors, and hypersexuality among the three groups. Most
interestingly, working with children was significantly associated with a self
reported sexual offense against children; however, it explained only three
percent of its variance. Child sexual abusers working with children admitted more
antisocial and more sexually deviant behaviors than child sexual abusers not
working with children and than men working with children who have not abused a
child. Our findings support some of the suggestions made by other researchers
concerning factors that could be considered in applicants for child- or youth
serving institutions. However, it has to be pointed out that the scientific basis
still seems premature.
PMID- 27184567
TI - Erratum to: A National Study of Lesbian, Gay, Bisexual (LGB), and Non-LGB Youth
Sexual Behavior Online and In-Person.
PMID- 27184564
TI - Advances in antibiotic therapy in the critically ill.
AB - Infections occur frequently in critically ill patients and their management can
be challenging for various reasons, including delayed diagnosis, difficulties
identifying causative microorganisms, and the high prevalence of antibiotic
resistant strains. In this review, we briefly discuss the importance of early
infection diagnosis, before considering in more detail some of the key issues
related to antibiotic management in these patients, including controversies
surrounding use of combination or monotherapy, duration of therapy, and de
escalation. Antibiotic pharmacodynamics and pharmacokinetics, notably volumes of
distribution and clearance, can be altered by critical illness and can influence
dosing regimens. Dosing decisions in different subgroups of patients, e.g., the
obese, are also covered. We also briefly consider ventilator-associated pneumonia
and the role of inhaled antibiotics. Finally, we mention antibiotics that are
currently being developed and show promise for the future.
PMID- 27184568
TI - Diagnosis of pupillary block glaucoma after removal of congenital cataracts with
intraoperative ultrasound biomicroscopy: a case report.
AB - BACKGROUND: Aphakic glaucoma is a common complication after congenital cataract
extraction, especially in those who have surgery during infancy. This case report
describes a case of bilateral pupillary block glaucoma diagnosed with
intraoperative ultrasound biomicroscopy (UBM) after removal of congenital
cataract. CASE PRESENTATION: We present a case report of a 9-month-old infant
with bilateral corneal enlargement and ocular hypertension after uneventful
removal of congenital cataracts. Initial and follow-up examination findings were
reviewed. The infant was suspected to have developmental glaucoma and schemed to
have bilateral trabeculotomy until pupillary obstruction by vitreous herniation
and angle closure with iris bombe were detected by intraoperative UBM. Anterior
vitrectomy and goniosynechialysis were then performed as treatment. CONCLUSION:
Pupillary block glaucoma is a rare type of infantile aphakic glaucoma.
Application of intraoperative UBM can assist in the differential diagnosis of
aphakic glaucoma in infants.
PMID- 27184570
TI - Addressing Inequities in Urban Health: Do Decision-Makers Have the Data They
Need? Report from the Urban Health Data Special Session at International
Conference on Urban Health Dhaka 2015.
AB - Rapid and uncontrolled urbanisation across low and middle-income countries is
leading to ever expanding numbers of urban poor, defined here as slum dwellers
and the homeless. It is estimated that 828 million people are currently living in
slum conditions. If governments, donors and NGOs are to respond to these growing
inequities they need data that adequately represents the needs of the urban
poorest as well as others across the socio-economic spectrum.We report on the
findings of a special session held at the International Conference on Urban
Health, Dhaka 2015. We present an overview of the need for data on urban health
for planning and allocating resources to address urban inequities. Such data
needs to provide information on differences between urban and rural areas
nationally, between and within urban communities. We discuss the limitations of
data most commonly available to national and municipality level government, donor
and NGO staff. In particular we assess, with reference to the WHO's Urban HEART
tool, the challenges in the design of household surveys in understanding urban
health inequities.We then present two novel approaches aimed at improving the
information on the health of the urban poorest. The first uses gridded population
sampling techniques within the design and implementation of household surveys and
the second adapts Urban HEART into a participatory approach which enables slum
residents to assess indicators whilst simultaneously planning the response. We
argue that if progress is to be made towards inclusive, safe, resilient and
sustainable cities, as articulated in Sustainable Development Goal 11, then
understanding urban health inequities is a vital pre-requisite to an effective
response by governments, donors, NGOs and communities.
PMID- 27184569
TI - The early childhood oral health program: a qualitative study of the perceptions
of child and family health nurses in South Western Sydney, Australia.
AB - BACKGROUND: Early childhood caries affects nearly half the population of
Australian children aged 5 years and has the potential to negatively impact their
growth and development. To address this issue, an Early Childhood Oral Health
(ECOH) program, facilitated by Child and Family Health Nurses (CFHNs), commenced
in 2007 in New South Wales, Australia. This study builds on the previous
evaluation of the program. It aims to explore the perceptions of CFHNs regarding
the implementation of the ECOH program in South Western Sydney and the challenges
and barriers related to its sustainability. METHODS: A descriptive qualitative
design was used in this study. Two focus groups were conducted with 22 CFHNs who
were sampled from two Community Health Centres in South Western Sydney,
Australia. Data were transcribed verbatim and thematic analysis was undertaken.
RESULTS: Most CFHNs acknowledged the importance of early childhood oral health
promotion and were providing education, oral assessments and referrals during
child health checks. Many stressed the need for collaboration with other health
professionals to help broaden the scope of the program. Some barriers to
implementing the program included confusion regarding the correct referral
process, limited feedback from dental services and the lack of oral health
awareness among parents. CONCLUSION: The study findings suggest that the ECOH
program is being sustained and effectively implemented into practice by CFHNs.
Improvement in the referral and feedback process as well as enhancing parental
knowledge of the importance of infant and child oral health could further
strengthen the effectiveness of the program. Expanding oral health education
opportunities into general practice is advocated, while regular on-line training
for CFHNs is preferred. Future research should include strategies to reduce non
attendances, and an assessment of the impact on the prevalence of childhood
caries of the ECOH program.
PMID- 27184571
TI - Urban Health Research in Africa: Themes and Priority Research Questions.
PMID- 27184573
TI - Assessing Self-Control and Geosocial Networking App Behavior Among an Online
Sample of Men Who Have Sex with Men.
AB - Geosocial networking phone applications (GSN apps) used to meet sexual partners
have become popular in the men who have sex with men (MSM) communities of the USA
since 2009. Previous studies have shown greater incidence of gonorrhea and
chlamydia and lower testing frequency for HIV testing among GSN app users when
compared to non-users. The present study aims to determine the type, number, and
frequency of apps used, as well as the association between dispositional self
control and health-related behaviors. Participants (n = 146) were recruited from
Amazon's Mechanical Turk program to respond to a brief GSN app marketing survey.
Multivariate regression was used to determine differences in app priorities,
length of app use, and number of sexual partners between high self-control and
low self-control participants. A majority of the participants reported using
Grindr (78 %) followed by Scruff (19 %), Growlr (12 %), and Jack'd (12 %). Most
individuals used one app only (58 %), but a significant proportion reported using
two apps (28 %) or three or more apps (14 %). Respondents with low self-control
were more likely to report a higher number of hours using GSN apps and a higher
number of sexual partners, controlling for race/ethnicity, education, employment,
and HIV status. Given the popularity of this burgeoning communication medium,
these findings have important implications for developing prevention resources
for different segments of GSN app users.
PMID- 27184572
TI - Role of Direct and Indirect Violence Exposure on Externalizing Behavior in
Children.
AB - The objective of this study was to examine the association between externalizing
behaviors and indirect violence exposure, assessed both within the household and
at the community level, as well as the interaction effect of indirect and direct
violence exposure. A sample of parents of children ages 4-15 who have not been
referred or enrolled in child welfare (n = 82) were recruited from the greater
New Orleans community. Externalizing behavior was assessed with the Child
Behavior Checklist (CBCL). The child's indirect exposure to violence included
witnessing community violence, witnessing physical assault, and witnessing
fighting or domestic violence at home. Direct exposure to violence included the
child experiencing physical aggression from a caregiver. All assessments were
based on caregiver reports. To decrease potential for confounding, children were
matched for analysis based on age, Hurricane Katrina exposure, and their
propensity to be exposed to high indirect violence. Cumulative indirect exposure
to violence was significantly positively correlated with CBCL scores. After
controlling for key covariates, CBCL externalizing T score increased
significantly by approximately 1.25 points for each level increase in indirect
violence exposure (beta = 1.25, SE = 0.57, p = 0.027). There also was a
significant interaction between indirect and direct exposure to violence in the
association with CBCL score (beta = -0.08, SE = 0.03, p = 0.002). These findings
extend previous research by demonstrating that exposure to both direct and cross
contextual indirect violence influences externalizing behaviors in children.
Additionally, the findings suggest that community and household social
environments are both important targets for interventions designed to decrease
externalizing behaviors and improve long-term outcomes for youth at risk of
exposure to violence.
PMID- 27184575
TI - Implications of circulating irisin and Fabp4 levels in patients with polycystic
ovary syndrome.
AB - The aim of the study was to evaluate the fatty acid-binding protein-4 (FABP4) and
irisin concentrations in women with polycystic ovary syndrome (PCOS). Forty-nine
women with PCOS, diagnosed according to Rotterdam criteria and 39 healthy women
matched for body mass index (BMI) and age. Serum irisin and plasma FABP4
concentrations were measured in both groups. The association of irisin and FABP4
concentrations with metabolic parameters were also tested. Women with PCOS had
significantly lower mean serum irisin concentrations than control subjects (158.5
+/- 123.3 versus 222.9 +/- 152.2 ng/ml, p < 0.05). Concentrations of FABP4 in
PCOS and control groups were not significantly different (10.5 +/- 4.4 versus
10.9 +/- 4.2 ng/ml, p > 0.05). FABP4 concentrations were correlated with BMI,
waist-hip ratio (WHR) and HOMA-IR (r = 0.57, p = 0.001; r = 0.26, p = 0.03; r =
0.26, p = 0.03, respectively). No associations between irisin and all the others
parameters except serum levels of LH were found. Serum irisin concentrations of
women with PCOS were lower compared to the controls. Moreover, there were no
difference in plasma FABP4 concentrations between women with PCOS and controls.
PMID- 27184574
TI - Putative virulence factors of Corynebacterium pseudotuberculosis FRC41: vaccine
potential and protein expression.
AB - BACKGROUND: Corynebacterium pseudotuberculosis, a facultative intracellular
bacterial pathogen, is the etiological agent of caseous lymphadenitis (CLA), an
infectious disease that affects sheep and goats and it is responsible for
significant economic losses. The disease is characterized mainly by bacteria
induced caseous necrosis in lymphatic glands. New vaccines are needed for
reliable control and management of CLA. Thus, the putative virulence factors
SpaC, SodC, NanH, and PknG from C. pseudotuberculosis FRC41 may represent new
target proteins for vaccine development and pathogenicity studies. RESULTS: SpaC,
PknG and NanH presented better vaccine potential than SodC after in silico
analyses. A total of 136 B and T cell epitopes were predicted from the four
putative virulence factors. A cluster analysis was performed to evaluate the
redundancy degree among the sequences of the predicted epitopes; 57 clusters were
formed, most of them (34) were single clusters. Two clusters from PknG and one
from SpaC grouped epitopes for B and T-cell (MHC I and II). These epitopes can
thus potentially stimulate a complete immune response (humoral and cellular)
against C. pseudotuberculosis. Several other clusters, including two from NanH,
grouped B-cell epitopes with either MHC I or II epitopes. The four target
proteins were expressed in Escherichia coli. A purification protocol was
developed for PknG expression. CONCLUSIONS: In silico analyses show that the
putative virulence factors SpaC, PknG and NanH present good potential for CLA
vaccine development. Target proteins were successfully expressed in E. coli. A
protocol for PknG purification is described.
PMID- 27184576
TI - Quantifying Trace Amounts of Aggregates in Biopharmaceuticals Using Analytical
Ultracentrifugation Sedimentation Velocity: Bayesian Analyses and F Statistics.
AB - Analytical ultracentrifugation-sedimentation velocity (AUC-SV) is often used to
quantify high molar mass species (HMMS) present in biopharmaceuticals. Although
these species are often present in trace quantities, they have received
significant attention due to their potential immunogenicity. Commonly, AUC-SV
data is analyzed as a diffusion-corrected, sedimentation coefficient
distribution, or c(s), using SEDFIT to numerically solve Lamm-type equations.
SEDFIT also utilizes maximum entropy or Tikhonov-Phillips regularization to
further allow the user to determine relevant sample information, including the
number of species present, their sedimentation coefficients, and their relative
abundance. However, this methodology has several, often unstated, limitations,
which may impact the final analysis of protein therapeutics. These include
regularization-specific effects, artificial "ripple peaks," and spurious shifts
in the sedimentation coefficients. In this investigation, we experimentally
verified that an explicit Bayesian approach, as implemented in SEDFIT, can
largely correct for these effects. Clear guidelines on how to implement this
technique and interpret the resulting data, especially for samples containing
micro-heterogeneity (e.g., differential glycosylation), are also provided. In
addition, we demonstrated how the Bayesian approach can be combined with F
statistics to draw more accurate conclusions and rigorously exclude artifactual
peaks. Numerous examples with an antibody and an antibody-drug conjugate were
used to illustrate the strengths and drawbacks of each technique.
PMID- 27184577
TI - Di-Peptide-Modified Gemini Surfactants as Gene Delivery Vectors: Exploring the
Role of the Alkyl Tail in Their Physicochemical Behavior and Biological Activity.
AB - The aim of this work was to elucidate the structure-activity relationship of new
peptide-modified gemini surfactant-based carriers. Glycyl-lysine modified gemini
surfactants that differ in the length and degree of unsaturation of their alkyl
tail were used to engineer DNA nano-assemblies. To probe the optimal nitrogen to
phosphate (N/P) ratio in the presence of helper lipid, in vitro gene expression
and cell toxicity measurements were carried out. Characterization of the nano
assemblies was accomplished by measuring the particle size and surface charge.
Morphological characteristics and lipid organization were studied by small angle
X-ray scattering technique. Lipid monolayers were studied using a Langmuir
Blodgett trough. The highest activity of glycyl-lysine modified gemini
surfactants was observed with the 16-carbon tail compound at 2.5 N/P ratio,
showing a 5- to 10-fold increase in the level of reporter protein compared to the
12 and 18:1 carbon tail compounds. This ratio is significantly lower compared to
the previously studied gemini surfactants with alkyl or amino- spacers. In
addition, the 16-carbon tail compound exhibited the highest cell viability (85%).
This high efficiency is attributed to the lowest critical micelle concentration
of the 16-tail gemini surfactant and a balanced packing of the nanoparticles by
mixing a saturated and unsaturated lipid together. At the optimal N/P ratio, all
nanoparticles exhibited an inverted hexagonal lipid assembly. The results show
that the length and nature of the tail of the gemini surfactants play an
important role in determining the transgene efficiency of the delivery system. We
demonstrated here that the interplay between the headgroup and the nature of tail
is specific to each series, thus in the process of rational design, the
contribution of the latter should be assessed in the appropriate context.
PMID- 27184578
TI - Clinical, Pharmacokinetic, and In Vitro Studies to Support Bioequivalence of
Ophthalmic Drug Products.
AB - For ophthalmic drug products, the determination of bioequivalence can be
challenging, as drug concentrations at the site of action cannot always be
measured. The FDA has recommended a variety of studies that can be used to
demonstrate bioequivalence for different ophthalmic drug products. Product
specific bioequivalence recommendations for 28 ophthalmic products have been
posted on FDA's website as of May 2016, outlining the specific tests which should
be performed to demonstrate bioequivalence. The type of study that can be used to
demonstrate bioequivalence depends on the drug product's active pharmaceutical
ingredient(s), dosage form, indication, site of action, mechanism of action, and
scientific understanding of drug release/drug availability and drug product
characteristics. This article outlines the FDA's current guidance on studies to
demonstrate bioequivalence through clinical endpoint studies, pharmacokinetic
studies, and in vitro studies for generic ophthalmic drug products.
PMID- 27184580
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): Epilepsy and psychosis in children and teenagers.
AB - Psychosis related to epilepsy or antiepileptic treatment can occur in teenagers
and very rarely in children. Postictal, interictal and antiepileptic-drug-induced
psychosis have all been reported in young people. Whether ictal psychosis occurs
in this age group remains open to debate. Neuronal antibody encephalitis such as
anti-NMDA receptor encephalitis can present with seizures and psychosis, both of
which can resolve with prompt, appropriate immunotherapy. In addition, there have
been several reports in which the terms psychosis or psychotic features have been
used loosely to describe behavioural disturbance in children with epilepsy; in
these cases there have apparently been no diagnostic features of psychosis,
implying that these terms should not have been used. The management of epilepsy
related psychosis in young people is similar to that in adults. Antipsychotic
medication should not be withheld if it is needed on clinical grounds. If the
psychosis has been induced by antiepileptic medication then a medication review
is necessary.
PMID- 27184579
TI - The Effects of Pharmaceutical Excipients on Gastrointestinal Tract Metabolic
Enzymes and Transporters-an Update.
AB - Accumulating evidence from the last decade has shown that many pharmaceutical
excipients are not pharmacologically inert but instead have effects on metabolic
enzymes and/or drug transporters. Hence, the absorption, distribution,
metabolism, and elimination (ADME) of active pharmaceutical ingredients (APIs)
may be altered due to the modulation of their metabolism and transport by
excipients. The impact of excipients is a potential concern for Biopharmaceutics
Classification System (BCS)-based biowaivers, particularly as the BCS-based
biowaivers have been extended to class 3 drugs in certain dosage forms. The
presence of different excipients or varying amounts of excipients between
formulations may result in bio-inequivalence. The excipient impact may lead to
significant variations in clinical outcomes as well. The aim of this paper is to
review the recent findings of excipient effects on gastrointestinal (GI)
absorption, focusing on their interactions with the metabolic enzymes and
transporters in the GI tract. A wide range of commonly used excipients such as
binders, diluents, fillers, solvents, and surfactants are discussed here. We
summarized the reported effects of those excipients on GI tract phase I and phase
II enzymes, uptake and efflux transporters, and relevant clinical significance.
This information can enhance our understanding of excipient influence on drug
absorption and is useful in designing pharmacokinetic studies and evaluating the
resultant data.
PMID- 27184581
TI - The Expression of the Androgen Receptor and Estrogen Receptor 1 is Related to Sex
Dimorphism in the Gerbil Prostate Development.
AB - The development of the prostate gland in females has not yet been clearly
elucidated, and the sexual dimorphism associated with such gland development in
general is far from being understood. In the present study, we used
tridimensional (3D) reconstructions and histochemical and immunohistochemical
techniques to describe the sexual dimorphism and its causes in the early
postnatal development of the prostate in male and female Mongolian gerbils
(Meriones unguiculatus). We observed that the female prostate was smaller, had
fewer branches throughout the development, and underwent differentiation earlier
than that in males. Also, the expression of the estrogen receptor 1 (ESR1 or ER
alpha) and fibroblast growth factor 10 (FGF10) was decreased in the periductal
region, and the expression of the androgen receptor (AR) was increased in the
epithelium. All together, these changes decreased proliferation and branching and
led to an earlier prematuration of the female prostate. These new data shed light
on the underlying mechanisms involved with the sexual dimorphism in the
development of the prostate. Anat Rec, 299:1130-1139, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27184582
TI - Shoulder orthoses for the prevention and reduction of hemiplegic shoulder pain
and subluxation: systematic review.
AB - OBJECTIVES: To determine whether shoulder orthoses prevent or reduce gleno
humeral subluxation and hemiplegic shoulder pain. DATA SOURCES: OVID SP, MEDLINE,
AMED, CINAHL, PEDro and the Cochrane Central Register of Controlled Trials.
REVIEW METHODS: We included: randomised or quasi-randomised controlled trials,
controlled before and after studies and observational studies. Two reviewers
independently screened, critically appraised papers using the PEDro tool, and
extracted data. A descriptive synthesis was performed as there were insufficient
data for meta-analysis. RESULTS: Eight studies were included, totalling 186
participants: One randomised controlled trial with 41 participants, one quasi
randomised with 14 participants, one before and after controlled study with 40
participants and five observational studies with 91 participants met the
inclusion criteria. Findings suggest that applying an orthosis to an already
subluxed shoulder immediately reduced vertical subluxation on X-ray but
improvements were not maintained when orthosis was removed. Orthoses with both
proximal and distal attachments improved shoulder pain in the majority of stroke
patients when worn for four weeks (starting several days or weeks post-stroke).
There was no increase in adverse effects of contracture, spasticity or hand
oedema when compared to no orthosis. Orthoses were generally well-tolerated and
most patients rated the orthosis as comfortable to wear. CONCLUSION:
Observational studies suggest that orthoses reduce vertical subluxation whilst in
situ. Available evidence from heterogeneous studies after stroke suggests that
orthoses may reduce pain and are well-tolerated with prolonged use. No studies
have tested whether subluxation and pain can be prevented by immediate post
stroke application of orthoses.
PMID- 27184583
TI - In vivo evaluation of hybrid patches composed of PLA based copolymers and
collagen/chondroitin sulfate for ligament tissue regeneration.
AB - Biomaterials for soft tissues regeneration should exhibit sufficient mechanical
strength, demonstrating a mechanical behavior similar to natural tissues and
should also promote tissues ingrowth. This study was aimed at developing new
hybrid patches for ligament tissue regeneration by synergistic incorporation of a
knitted structure of degradable polymer fibers to provide mechanical strength and
of a biomimetic matrix to help injured tissues regeneration. PLA- Pluronic(r)
(PLA-P) and PLA-Tetronic(r) (PLA-T) new copolymers were shaped as knitted patches
and were associated with collagen I (Coll) and collagen I/chondroitine-sulfate
(Coll CS) 3-dimensional matrices. In vitro study using ligamentocytes showed the
beneficial effects of CS on ligamentocytes proliferation. Hybrid patches were
then subcutaneously implanted in rats for 4 and 12 weeks. Despite degradation,
patches retained strength to answer the mechanical physiological needs. Tissue
integration capacity was assessed with histological studies. We showed that
copolymers, associated with collagen and chondroitin sulfate sponge, exhibited
very good tissue integration and allowed neotissue synthesis after 12 weeks in
vivo. To conclude, PLA-P/CollCS and PLA-T/CollCS hybrid patches in terms of
structure and composition give good hopes for tendon and ligament regeneration.
(c) 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B:
1778-1788, 2017.
PMID- 27184584
TI - Synthesis of the Peptide Ac-Wahx-KTTKS and Evaluation of the Ability to Induce In
Vitro Collagen Synthesis.
AB - In this work, we report the synthesis of a peptide analogue of the KTTKS, termed
Ac- Wahx-KTTKS and evaluate its cytotoxicity and role in biosynthesis of collagen
for future application in skin aging. The peptide was obtained with purity higher
than 97.5%. In the cytotoxicity assay, we observed non-toxic effects for Ac-WAhx
KTTKS at concentrations below 600 uM for HaCaT and 500 uM for HepG2 cells,
respectively. After 24 and 48 h it was possible to observe significant changes in
collagen synthesis in the groups treated with various concentrations of the
peptide. In conclusion, the Ac-Wahx- KTTKS peptide increased collagen synthesis
in fibroblasts by 80% and it is a promising candidate for improving skin aging.
PMID- 27184585
TI - The effects of an 8-week computer-based brain training programme on cognitive
functioning, QoL and self-efficacy after stroke.
AB - Cognitive impairment after stroke has a direct impact on daily functioning and
quality of life (QoL) of patients and is associated with higher mortality and
healthcare costs. The aim of this study was to determine the effect of a computer
based brain training programme on cognitive functioning, QoL and self-efficacy
compared to a control condition in stroke patients. Stroke patients with self
perceived cognitive impairment were randomly allocated to the intervention or
control group. The intervention consisted of an 8-week brain training programme
(Lumosity Inc.(r)). The control group received general information about the
brain weekly. Assessments consisted of a set of neuropsychological tests and
questionnaires. In addition, adherence with trained computer tasks was recorded.
No effect of the training was found on cognitive functioning, QoL or self
efficacy when compared to the control condition, except for very limited effects
on working memory and speed. This study found very limited effects on
neuropsychological tests that were closely related to trained computer tasks, but
no transfers to other tests or self-perceived cognitive failures, QoL or self
efficacy. These findings warrant the need for further research into the value of
computer-based brain training to improve cognitive functioning in the chronic
phase after stroke.
PMID- 27184586
TI - Complex bifurcation lesions: Randomized comparison of a fully bioresorbable
modified t stenting strategy versus bifurcation reconstruction with a dedicated
self-expanding stent in combination with bioresorbable scaffolds, an OCT study:
Rationale and design of the COBRA II trial.
AB - OBJECTIVE: There is an ongoing controversy regarding the efficacy and safety of
different percutaneous stenting techniques for coronary bifurcation lesions
needing >1 stent. The promise of safe vessel restoration with bioresorbable
scaffolds (BRS) may not be transferable to complex double BRS bifurcation
techniques, and permanent metallic scaffolding of the bifurcation core may be
needed. We identified modified-T stenting as the most promising fully
bioresorbable 2-stent strategy in a preclinical setting. The objective of this
study is to assess acute performance and compare long-term vessel healing with
this strategy, versus an approach combining BRS with a dedicated metallic drug
eluting bifurcation stent. STUDY DESIGN: In a single center, 60 consecutive
patients with true and complex coronary bifurcation lesions will be randomly
assigned to treatment with the dedicated self-expanding AxxessTM biolimus-eluting
bifurcation stent in the proximal main vessel and additional AbsorbTM everolimus
eluting BRS in the branches versus a modified T technique using AbsorbTM only.
Angiography and optical coherence tomography (OCT) will be performed immediately
after implantation and at 30 months, and clinical follow-up is foreseen up to 5
years after implantation. The primary endpoint is the change in minimal luminal
area assessed with OCT from baseline to 30 months in pre-specified bifurcation
segments. CONCLUSION: To date the use of AbsorbTM BRS in complex coronary
bifurcations has not been evaluated in a randomized clinical trial setting. The
COBRA II study will examine the role and safety of a double BRS strategy in
coronary bifurcations, alone or in combination with a metallic dedicated
bifurcation device. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184588
TI - The pursuit of a score to guide mechanical treatment of circulatory failure after
cardiac arrest.
PMID- 27184589
TI - Click chemistry-assisted, bis-cholesteryl-appended, isosorbide-based, dual
responsive organogelators and their self-assemblies.
AB - A new series of symmetric, bis-cholesteryl-appended, isosorbide derivatives
(BCIE, BCIC2 and BCIC4) were designed as gelators to respond to changes in their
environment and were synthesized successfully. Among these derivatives, BCIE can
gel a wide variety of organic solvents (23 solvents), suggesting that BCIE acts
as a more versatile gelator than BCIC2 and BCIC4. The CGC of the gels varies from
1.53 mM in 1-hexanol to 23 mM in pyridine. The results of the gelation ability in
different solvents revealed that changing the linking group (ester/carbamate)
attached to the cholesteryl units can produce a dramatic change in the gelation
behavior of the compounds. The morphology of the as-formed organogels can be
regulated by changing the types of organic solvents. The results from electron
microscopy studies revealed that the gelator molecule self-assembled into
different aggregates, from wrinkled fibers to dense fibers, with the change of
solvents. The gels of BCIE in 1-hexanol and 1-octanol exhibited strong CD
(circular dichroism) signals, indicating that the gelation induced supramolecular
chirality in these gel systems. Secondary forces of van der Waals and pi-pi
stacking (from both 1,2,3-triazole and aromatic units) played important roles in
the aggregation of compounds in the solvents according to FTIR and variable
temperature (1)H-NMR analysis, and a mechanism for the gel formation was
proposed. The gel-to-sol phase transition can be triggered by the addition of
trifluoroacetic acid (TFA), and the gel state was obtained slowly (after 1 day)
when neutralizing with triethyl amine (TEA), which indicated that the sol ? gel
phase transitions are tunable by pH, which is further supported by (1)H-NMR and
SEM analysis. In addition, the gel stability of BCIE was investigated using
Cu(2+), Cd(2+), Ag(2+), Fe(3+), Hg(2+), Mg(2+), Pd(2+), Al(3+) and Zn(2+), and
the results showed that the gel-to-sol phase transition process could be
selectively controlled by interaction with Pd(2+) and Zn(2+) because complexation
with 1,2,3-triazoles destroyed the interactions between the triazoles, collapsing
the gel, which was further evidenced by (1)H-NMR and SEM analysis. However, the
gel stability of BCIE was enhanced by the addition of Pd(2+) and Zn(2+) in the
presence of pyridine, whereas the gel collapsed in other solvents, which may be
due to the chelating effect of the pyridine moiety. Another interesting feature
of this gel is that when using the gelator as a stabilizer, stable water in oil
(W/O) gel-emulsions were created, in which styrene can be used as the continuous
phase and water as the dispersed phase with the stabilizer in the continuous
phase of only 2% (w/v). Gel-emulsions were observed with any ratio of water to
styrene.
PMID- 27184590
TI - A measure of association for ordered categorical data in population-based
studies.
AB - Ordinal classification scales are commonly used to define a patient's disease
status in screening and diagnostic tests such as mammography. Challenges arise in
agreement studies when evaluating the association between many raters'
classifications of patients' disease or health status when an ordered categorical
scale is used. In this paper, we describe a population-based approach and chance
corrected measure of association to evaluate the strength of relationship between
multiple raters' ordinal classifications where any number of raters can be
accommodated. In contrast to Shrout and Fleiss' intraclass correlation
coefficient, the proposed measure of association is invariant with respect to
changes in disease prevalence. We demonstrate how unique characteristics of
individual raters can be explored using random effects. Simulation studies are
conducted to demonstrate the properties of the proposed method under varying
assumptions. The methods are applied to two large-scale agreement studies of
breast cancer screening and prostate cancer severity.
PMID- 27184587
TI - The italian limb girdle muscular dystrophy registry: Relative frequency, clinical
features, and differential diagnosis.
AB - INTRODUCTION: Limb girdle muscular dystrophies (LGMDs) are characterized by high
molecular heterogeneity, clinical overlap, and a paucity of specific biomarkers.
Their molecular definition is fundamental for prognostic and therapeutic
purposes. METHODS: We created an Italian LGMD registry that included 370
molecularly defined patients. We reviewed detailed retrospective and prospective
data and compared each LGMD subtype for differential diagnosis purposes. RESULTS:
LGMD types 2A and 2B are the most frequent forms in Italy. The ages at disease
onset, clinical progression, and cardiac and respiratory involvement can vary
greatly between each LGMD subtype. In a set of extensively studied patients,
targeted next-generation sequencing (NGS) identified mutations in 36.5% of cases.
CONCLUSION: Detailed clinical characterization combined with muscle tissue
analysis is fundamental to guide differential diagnosis and to address molecular
tests. NGS is useful for diagnosing forms without specific biomarkers, although,
at least in our study cohort, several LGMD disease mechanisms remain to be
identified. Muscle Nerve 55: 55-68, 2017.
PMID- 27184591
TI - The effect of continuous wound infusion of ropivacaine on postoperative pain
after median sternotomy and mediastinal drain in children.
AB - BACKGROUND: Postoperative pain after median sternotomy is usually treated with
i.v. opioids. We hypothesized that continuous wound infusion of ropivacaine
decreases postoperative morphine consumption and improves analgesia in children
who undergo cardiac surgery. METHODS: This randomized, double-blind study
comprised 49 children aged 1-9 years who underwent atrial septal defect (ASD)
closure. Patients received continuous local anesthetic wound infiltration either
with 0.2% ropivacaine, 0.3-0.4 mg.kg(-1) .h(-1) (Group R) or with saline (Group
C). Rescue morphine consumption, Objective Pain Scale (OPS), time to
mobilization, time to enteral food intake, and time to discharge were recorded.
RESULTS: There were no statistically significant differences in morphine
consumption at 24, 48, and 72 h postsurgery between R and C groups. There was a
weak evidence for a difference in the time to the first morphine administration
after tracheal extubation to be longer for Group R than Group C (186.2 vs 81.0
min; 95% CI (-236.5, 26.2), P = 0.114). The incidence of nausea and vomiting were
comparable between the groups. No signs or symptoms of local anesthetic toxicity
were registered. CONCLUSIONS: Contrary to our hypothesis, continuous ropivacaine
wound infusion did not reduce morphine consumption, pain score values, or nausea
and vomiting in children who underwent ASD closure with median sternotomy and
mediastinal drain.
PMID- 27184593
TI - Adsorptive removal of emerging polyfluoroalky substances F-53B and PFOS by anion
exchange resin: A comparative study.
AB - Chrome plating is an important emission source of perfluorinated compounds (PFCs)
industrial uses in China, where two commercial products potassium 2-(6-chloro
1,1,2,2,3,3,4,4,5,5,6,6-dodecafluorohexyloxy) (F-53B) and perfluorooctane
sulfonate (PFOS) are applied as mist suppressant, causing non-negligible
environmental risk. In this paper, anion-exchange resin IRA67 was evaluated for F
53B and PFOS removal from simulated and actual wastewater. Adsorption kinetics
exhibited higher adsorption velocity and capacity of IRA67 for PFOS than F-53B
due to their difference in molecular structures. Adsorption isotherms
demonstrated the adsorption capacity of F-53B and PFOS on IRA67 was 4.2mmol/g and
5.5mmol/g, respectively. Because of the deprotonating of amine groups, solution
pH had significant effect on IRA67 at pH>10. The results indicated that besides
anion exchange other interactions including hydrophobic interaction and the
formation of micelles or hemi-micelles were all involved in adsorption process.
Coexisting sulfate and chromate in wastewater decreased adsorption capacities of
F-53B and PFOS. The spent resin could be regenerated by the NaCl/NaOH and
methanol mixed solution. In the mixed system and actual wastewater IRA67 can
simultaneously remove F-53B and PFOS without obvious preference but the removal
percent can be affected by competitive effect.
PMID- 27184592
TI - Prechewing infant food, consumption of sweets and dairy and not breastfeeding are
associated with increased diarrhoea risk of 10-month-old infants in the United
States.
AB - Prechewing of food by caregivers is a common infant feeding practice both
globally and in the United States, where the highest rates of the practice are
found among African-Americans and Alaska Natives. The objective of this study was
to determine if prechewing of infant food is associated with increased diarrhoea
prevalence of 10-month-old infants in the United States. The study used cross
sectional data from the Infant Feeding Practices Study II to test for
associations between prechewing and 2-week-period prevalence of infant diarrhoea.
At 10 months of age, infants who received prechewed food (n = 203) had a
diarrhoea prevalence of 16.1%, compared with 10.9% of children who did not
receive prechewed food (n = 1567) [relative risk (RR) = 1.48, 95% confidence
interval (CI) 1.03-2.11]. After adjusting for covariates, including breastfeeding
and consuming sweets and dairy, prechewing was associated with a 58% higher risk
(RR = 1.58, 95% CI 1.10-2.26) of 2-week diarrhoea prevalence. Consumption of
sweets (RR = 1.35, 95% CI 1.03-1.78) and dairy (RR = 1.41, 95% CI 1.03-1.93) was
also associated with increased diarrhoea risk. Continued breastfeeding at 10
months of age was associated with a reduced risk of diarrhoea (RR = 0.68, 95% CI
0.50-0.91). Prechewing of infant food is associated with increased diarrhoea
among 10-month-old infants. The high RR found in this study suggests that
prechewing may be an important factor in public health efforts to reduce the
burden of diarrhoeal disease. However, further research is needed to establish
that prechewing causes increased diarrhoea risk and to explore potential benefits
of prechewing.
PMID- 27184594
TI - Complication rates of completion lymphadenectomy following positive sentinel
lymph node biopsy versus therapeutic lymphadenectomy in melanoma.
PMID- 27184595
TI - Hyperosmosis and its combination with nutrient-limitation are novel environmental
stressors for induction of triacylglycerol accumulation in cells of Chlorella
kessleri.
AB - Triacylglycerols of oleaginous algae are promising for production of food oils
and biodiesel fuel. Air-drying of cells induces triacylglycerol accumulation in a
freshwater green alga, Chlorella kessleri, therefore, it seems that dehydration,
i.e., intracellular hyperosmosis, and/or nutrient-limitation are key stressors.
We explored this possibility in liquid-culturing C. kessleri cells. Strong
hyperosmosis with 0.9 M sorbitol or 0.45 M NaCl for two days caused cells to
increase the triacylglycerol content in total lipids from 1.5 to 48.5 and 75.3
mol%, respectively, on a fatty acid basis, whereas nutrient-limitation caused its
accumulation to 41.4 mol%. Even weak hyperosmosis with 0.3 M sorbitol or 0.15 M
NaCl, when nutrient-limitation was simultaneously imposed, induced
triacylglycerol accumulation to 61.9 and 65.7 mol%, respectively. Furthermore,
culturing in three-fold diluted seawater, the chemical composition of which
resembled that of the medium for the combinatory stress, enabled the cells to
accumulate triacylglycerol up to 24.7 weight% of dry cells in only three days.
Consequently, it was found that hyperosmosis is a novel stressor for
triacylglycerol accumulation, and that weak hyperosmosis, together with nutrient
limitation, exerts a strong stimulating effect on triacylglycerol accumulation. A
similar combinatory stress would contribute to the triacylglycerol accumulation
in air-dried C. kessleri cells.
PMID- 27184600
TI - Engineering Bandgaps of Monolayer MoS2 and WS2 on Fluoropolymer Substrates by
Electrostatically Tuned Many-Body Effects.
AB - Intrinsic electrical and excitonic properties of monolayer transition-metal
dichalcogenides can be revealed on CYTOP fluoropolymer substrates with greatly
suppressed unintentional doping and dielectric screening. Ambipolar transport
behavior is observed in monolayer WS2 by applying solid-state back gates. The
excitonic properties of monolayer MoS2 and WS2 are determined by intricate
interplays between the bandgap renormalization, Pauli blocking, and carrier
screening against carrier doping.
PMID- 27184601
TI - Adrenomedullin mediates tumor necrosis factor-alpha-induced responses in dorsal
root ganglia in rats.
AB - Adrenomedullin (AM), a member of the calcitonin gene-related peptide (CGRP)
family, has been demonstrated to be a pain peptide. This study investigated the
possible involvement of AM in tumor necrosis factor-alpha (TNF-alpha)-induced
responses contributing to neuronal plasticity in the dorsal root ganglia (DRG).
Exposure of the DRG explant cultures to TNF-alpha (5nM) for 48h upregulated the
expression of AM mRNA. The treatment with TNF-alpha also increased the level of
CGRP, CCL-2 and MMP-9 mRNA in the cultured DRG. This increase was attenuated by
the co-treatment with the selective AM receptor antagonist AM22-52 (2MUM). The
blockade of AM receptors inhibited TNF-alpha-induced increase of the glial
fibrillary acidic protein (GFAP), interleukin-1beta (IL-1beta), phosphorylated
cAMP response element binding protein (pCREB) and nuclear factor kappa B (pNF
kappaB) proteins. On the other hand, the treatment with the AM receptor agonist
AM1-50 (10nM) for 96h induced an increase in the level of GFAP, IL-1beta, pCREB
and pNF-kappaB proteins. The inhibition of AM activity did not change TNF-alpha
induced phosphorylation of extracellular signal-related kinase (pERK) while the
treatment with AM1-50 still increased the level of pERK in the cultured DRG.
Immunofluorescence assay showed the colocalization of AM-like immunoreactivity
(IR) with TNF-alpha-IR in DRG neurons. The present study suggests that the
increased AM receptor signaling mediated the many, but not all, TNF-alpha-induced
activities, contributing to peripheral sensitization in neuropathic pain.
PMID- 27184599
TI - Coming of age: ten years of next-generation sequencing technologies.
AB - Since the completion of the human genome project in 2003, extraordinary progress
has been made in genome sequencing technologies, which has led to a decreased
cost per megabase and an increase in the number and diversity of sequenced
genomes. An astonishing complexity of genome architecture has been revealed,
bringing these sequencing technologies to even greater advancements. Some
approaches maximize the number of bases sequenced in the least amount of time,
generating a wealth of data that can be used to understand increasingly complex
phenotypes. Alternatively, other approaches now aim to sequence longer contiguous
pieces of DNA, which are essential for resolving structurally complex regions.
These and other strategies are providing researchers and clinicians a variety of
tools to probe genomes in greater depth, leading to an enhanced understanding of
how genome sequence variants underlie phenotype and disease.
PMID- 27184602
TI - Prevalence of colonic diverticulosis in mainland China from 2004 to 2014.
AB - The aim of this study was to determine the prevalence of colonic diverticulosis
in mainland China. Sixty two thousand and thirty-four colonoscopies performed
between Jan 2004 and Dec 2014 were reviewed retrospectively. The overall
diverticulosis prevalence was 1.97% and out of this, 85.3% was right-sided.
Prevalence does not change, significantly, on trends between the period 2004
2014. The peak of prevalence of diverticulosis was compared between the female
group aged >70 years to the male one of 41-50 years. The other peak, otherwise,
was compared between the group of 51-60 years with the right-sided diverticulosis
to the one of >70 years with left-sided disease. Multivariate analysis suggested
that the male gender could be a risk factor for diverticulosis in the group aged
<=70 years, but not for the older patients. In addition, among men was registered
an increased risk factor for right-sided diverticulosis and, at the same time, a
protective one for left-sided localization. In conclusion, the prevalence of
colonic diverticulosis is very low in mainland China and it does not change
significantly on trends over the time. Both the prevalence of this condition and
its distribution changes according to the age and the genders. These findings may
lead the researchers to investigate the mechanisms causing this kind of disease
and its distribution in regard of the age and the gender.
PMID- 27184603
TI - Reply to Letter: Using novel risk stratification statistics to better understand
the value of screening tests.
PMID- 27184604
TI - Occult Metastases in Pelvic Lymphadenectomy Specimens From Patients With
Urothelial Carcinoma of the Bladder.
AB - OBJECTIVE: To identify occult metastases within lymph nodes (LNs) reported as
negative by routine histologic evaluation. In patients with high-grade, muscle
invasive urothelial carcinoma (UC) of the bladder, pelvic lymphadenectomy during
radical cystectomy demonstrates a survival advantage, increasing with the number
of LNs removed, even if negative for metastatic disease. This finding may
potentially be explained by the presence of occult metastases. MATERIALS AND
METHODS: Radical cystectomy specimens with high-grade UC invading the perivesical
tissue and negative LNs (pT3N0) between 2000 and 2014 were reviewed. Five levels
were cut for each LN block. Two sections were cut per level: 1 stained for
hematoxylin and eosin and 1 for AE1/AE3. Micrometastases were defined as tumor
deposits >0.2 mm but <2 mm. Isolated tumor cells were defined as <=0.2 mm.
Medical records and survival data were reviewed. RESULTS: We identified 21 cases,
consisting of 370 lymph nodes. Six of 21 patients (29%) had occult metastases,
including 5 occult metastatic UC and 1 occult metastatic prostate adenocarcinoma.
There were 10 positive LNs; 2 macrometastases, 2 micrometastases, and 6 with
ITCs. Two of 6 patients (33%) had lymphovascular invasion identified in the
primary tumor. Kaplan-Meier analysis showed no significant difference in overall
survival between the group of patients who remained N0 versus those upstaged due
to discovery of occult metastases (P-value = .42). CONCLUSION: In patients with
pT3 UC undergoing cystectomy, we demonstrated the presence of occult metastases
in 29% of patients. The high percentage of occult metastases present in these
cases possibly explains the proven survival advantage of removing "negative" LNs.
This finding might also have implications in the histologic evaluation of LNs.
PMID- 27184607
TI - A robust operational model for predicting where tropical cyclone waves damage
coral reefs.
AB - Tropical cyclone (TC) waves can severely damage coral reefs. Models that predict
where to find such damage (the 'damage zone') enable reef managers to: 1) target
management responses after major TCs in near-real time to promote recovery at
severely damaged sites; and 2) identify spatial patterns in historic TC exposure
to explain habitat condition trajectories. For damage models to meet these needs,
they must be valid for TCs of varying intensity, circulation size and duration.
Here, we map damage zones for 46 TCs that crossed Australia's Great Barrier Reef
from 1985-2015 using three models - including one we develop which extends the
capability of the others. We ground truth model performance with field data of
wave damage from seven TCs of varying characteristics. The model we develop (4MW)
out-performed the other models at capturing all incidences of known damage. The
next best performing model (AHF) both under-predicted and over-predicted damage
for TCs of various types. 4MW and AHF produce strikingly different spatial and
temporal patterns of damage potential when used to reconstruct past TCs from 1985
2015. The 4MW model greatly enhances both of the main capabilities TC damage
models provide to managers, and is useful wherever TCs and coral reefs co-occur.
PMID- 27184605
TI - Coadministration of disulfiram and lorazepam in the treatment of alcohol
dependence and co-occurring anxiety disorder: an open-label pilot study.
AB - BACKGROUND: Anxiety is common among persons with alcohol use disorder during
early abstinence from alcohol. Although benzodiazepines are effective for short
term treatment of anxiety, they are rarely used beyond acute detoxification due
to concerns about misuse or interactions with alcohol. OBJECTIVES: We conducted
an open-label trial to explore the effects of coadministering lorazepam and
disulfiram to alcohol-dependent patients with anxiety disorder symptoms. The
rationale for this model is to minimize the risks of the benzodiazepine, while
also potentially enhancing adherence to disulfiram. METHODS: Forty-one
participants with DSM-IV alcohol dependence who also met syndromal criteria for
anxiety disorder with or without co-occurring major depressive syndrome initiated
treatment with lorazepam (starting dose 0.5 mg three times daily) and disulfiram
(starting dose 500 mg three times weekly). Participants received 16 weeks of
monitored pharmacotherapy with manualized medical management. RESULTS: Adherence
to treatment decreased steadily with time (85.4% at 4 weeks, 36.6% at 16 weeks).
Participants showed significant increases in percent abstinent days during
treatment and at 24 weeks follow-up. Large reductions in anxiety, depression, and
craving were observed during treatment, and improvement remained significant at
24 weeks. Duration of adherence with disulfiram strongly predicted abstinence at
16 weeks. There was no evidence of misuse of lorazepam or dose escalation during
the study. CONCLUSION: Lorazepam can be safely used for short-term treatment of
anxiety in combination with disulfiram treatment of alcohol use disorder.
However, it is not clear that making lorazepam dispensing contingent on adherence
to disulfiram enhances retention in disulfiram treatment.
PMID- 27184608
TI - Antioxidant power as a quality control marker for completeness of amotosalen and
ultraviolet A photochemical treatments in platelet concentrates and plasma units.
AB - BACKGROUND: Pathogen inactivation treatments such as INTERCEPT aim to make sure
blood and blood-derived products are free of pathogens before using them for
transfusion purposes. At present, there is no established quality control assay
that assesses the completeness of the treatment. As INTERCEPT is a photochemical
treatment known to generate reactive oxygen species we sought to use the
antioxidant power (AOP) of the blood product as a marker of treatment execution.
In this perspective, we evaluated an electrochemically based miniaturized system,
the EDEL technology, for measuring the AOP in both platelet concentrates (PCs)
and plasma. STUDY DESIGN AND METHODS: Aliquots were withdrawn from PCs or plasma
units before and after INTERCEPT treatment and a few microliters were directly
deposited into the EDEL sensor for the AOP measurement. The result is expressed
in EDEL, an arbitrary unit (micromolar equivalent of ascorbic acid). RESULTS: The
INTERCEPT treatment resulted in a significant decrease of the AOP. An AOP
threshold of 66.5, 89.0, 59.8, and 131.5 EDEL was determined for apheresis PCs
collected from female and male donors, buffy coat PCs, and plasma units,
respectively. Below the threshold value, INTERCEPT treatment is considered to be
executed. Additionally, we showed that the presence of the photosensitizer in
combination with the ultraviolet A illumination is required to observe the AOP
decrease. CONCLUSION: The measurement of the AOP of PCs and plasma units can be
used to document the completeness of the INTERCEPT treatment.
PMID- 27184606
TI - Randomized Clinical Trial for Early Postoperative Complications of Ex-PRESS
Implantation versus Trabeculectomy: Complications Postoperatively of Ex-PRESS
versus Trabeculectomy Study (CPETS).
AB - We compared early postoperative complications between trabeculectomy and Ex-PRESS
implantation. Enrolled patients with 39 primary open-angle or 25 exfoliative
glaucoma were randomly assigned to receive trabeculectomy (trabeculectomy group)
or Ex-PRESS implantation (Ex-PRESS group). Primary outcomes were early
postoperative complications, including postoperative anterior chamber
inflammation, frequencies of hyphema, flat anterior chamber, choroidal
detachment, hypotonic maculopathy, and the change of visual acuity. The
postoperative flare values in trabeculectomy group were higher than those in the
Ex-PRESS group (overall, P = 0.004; and 10 days, P = 0.02). Hyphema occurred
significantly more frequently in the trabeculectomy group (P = 0.0025). There
were no significant differences of the other primary outcomes between the two
groups. Additionally, duration of anterior chamber opening was significantly
shorter in the Ex-PRESS group (P = 0.0002) and the eyes that had iris contact
with Ex-PRESS tube had significantly shallower anterior chambers than did the
eyes without the iris contact (P = 0.013). The Ex-PRESS implantation prevented
early postoperative inflammation and hyphema in the anterior chamber and
shortened the duration of anterior chamber opening. Iris contact with the Ex
PRESS tube occurred more frequently in eyes with open-angle glaucoma and shallow
anterior chambers.
PMID- 27184609
TI - A new view on the codonocarpine type alkaloids of Capparis decidua.
AB - Several spermidine alkaloids are described in literature as constituents of the
root bark of Capparis decidua. Since some of the proposed structures, however,
are in conflict with the expected biosynthetic paths, an extract of the root bark
of the plant was re-investigated. Four major spermidine alkaloids of the
codonocarpine type were identified and their structures elucidated: of the four
compounds, isocodonocarpine was described previously for C. decidua and
cadabicine was proposed as a possible constituent as well. Codonocarpine was
found for the first time in an extract of C. decidua but was previously isolated
from a closely related plant. Capparidisinine, finally, is an alkaloid with a
structure that has never been described before. The structures of the four
alkaloids are substantiated by NMR and MS data, and the four compounds are in
logical agreement with biosynthetic considerations: they would arise from
alpha,omega-bis-adducts of spermidine with coumaric and/or ferulic acids,
followed by phenol oxidation.
PMID- 27184610
TI - A drive system to add standing mobility to a manual standing wheelchair.
AB - Current manual standing wheelchairs are not mobile in the standing position. The
addition of standing mobility may lead to improved health and function for the
user and may increase utilization of standing wheelchairs. In this project, a
chain drive system was fitted to a manual standing wheelchair, adding mobility in
the standing position. The hand rims are accessible from both seated and standing
positions. The prototype uses 16-inch drive wheels in front with casters in the
rear. Additional anterior casters are elevated when seated for navigating
obstacles and then descend when standing to create a six-wheeled base with
extended anterior support. Stability testing shows the center of pressure remains
within the base of support when leaning to the sides or front in both seated and
standing positions. Four veterans with spinal cord injury provided feedback on
the design and reported that mobility during standing was very important or
extremely important to them. The veterans liked the perceived stability and
mobility of the prototype and provided feedback for future refinements. For
example, reducing the overall width (width from hand rim to hand rim) and weight
could make this system more functional for users.
PMID- 27184611
TI - COPD: A controversial disease?
PMID- 27184612
TI - Early versus delayed post-operative bathing or showering to prevent wound
complications: a Cochrane review summary.
PMID- 27184613
TI - Molecular characterization of environmental Cryptococcus neoformans VNII isolates
in Jos, Plateau State, Nigeria.
AB - Cryptococcus neoformans and Cryptococcus gattii are encapsulated yeasts able to
cause fatal neurological infections in both human and other mammals.
Cryptococcosis is the most common fungal infection of the central nervous system
and has a huge burden in sub-Saharan Africa and South East Asia. Bird excreta are
considered an environmental reservoir for C. neoformans in urban areas, therefore
a study aimed at isolating and characterizing this yeast is important in disease
management. In this study, one hundred samples of pigeon droppings were collected
in Jos, Plateau State, Nigeria. C. neoformans was isolated from three samples and
initially identified using standard phenotypic and biochemical tests. Molecular
analysis revealed that all three isolates belonged to C. neoformans genotype
VNII, mating type alpha and were assigned to the sequence type ST43 by multilocus
sequence typing analysis. This study reports, for the first time, the molecular
characterization of C. neoformans in Nigeria, where little is still known about
the environmental distribution of the genotypes, serotypes and mating types of
this important human pathogen.
PMID- 27184614
TI - Fungal interdigital tinea pedis in Dakar (Senegal).
AB - Fungal interdigital tinea pedis (FITP) is the most frequent dermatomycosis in
industrial countries. In African tropics, it's a rare motive of consultation and
is discovered while complicated. The aims of this article were: to determine the
frequency of interdigital tinea pedis among overall mycological analysis in our
laboratory; to study epidemiological, clinical and mycological aspects of FITP in
outpatients attending the Le Dantec mycology laboratory in Dakar. A total of 62
males (60%) and 42 females (40%), mean age: 43.15 years (range: 11-81 years),
were received from January 2011 to December 2015 for suspicion of FITP. Skin
specimens were taken from all patients for microscopy and fungal culture. The
frequency of ITP represents 5.6% (104/1851) among our overall mycological
analysis. FITP was confirmed in 68 patients (SPI=65.38%), mainly located between
the 4th and 5th toes and 71 fungal species were isolated (CPI=68.27%). Among
patients with confirmed FITP, there were 38 males (56%) and 30 females (44%). The
prevalence was highest in patients between 44 and 54 years (26%). Candida
albicans, Fusarium solani and Trichophyton interdigitale were shown to be the
most common pathogens respectively for yeasts (39%), non-dermatophytic
filamentous fungi (NDFF; 21%) and dermatophytes (11%). So FITP isn't a common
reason for consultation in Dakar but its simple parasitic index (SPI) is still
very high and dermatophytes formerly the main causative agents are being
relegated to third place behind yeasts and NDFF.
PMID- 27184615
TI - Resveratrol Pretreatment Protected against Cerebral Ischemia/Reperfusion Injury
in Rats via Expansion of T Regulatory Cells.
AB - BACKGROUND: It is well accepted that repetitive resveratrol (RV) pretreatment
(PRC) exerts neuroprotective effect on ischemic stroke. RV was shown to be able
to enhance the production of T regulatory cells (Tregs) in autoimmune diseases
whereas Tregs are considered to be the cerebroprotective immunomodulator in
ischemic stroke. Thus, we hypothesized whether Tregs contributed to PRC-induced
neuroprotection against cerebral ischemia/reperfusion (I/R) injury. METHODS:
Cerebral I/R injury was induced by middle cerebral artery occlusion for 90
minutes in rats. Adult male Sprague-Dawley rats were randomly assigned to 2
groups: I/R and RV I/R. RV (50 mg/kg) was administrated intraperitoneally once a
day for 7 days prior to ischemia onset. RESULTS: PRC significantly ameliorated
neurological defects and reduced cerebral infarct volume, accompanied by the
significantly increased frequencies of Tregs in the spleens and ischemic
hemisphere, the significantly increased levels of interleukin-10 (IL-10) in the
plasma and ischemic hemisphere, and the significantly decreased levels of tumor
necrosis factor-alpha and IL-6 in the plasma and ischemic hemisphere at 24 hours
after ischemia onset. In addition, we also found that PRC significantly improved
the frequency and suppressive function of Tregs in the spleens prior to ischemia
onset. CONCLUSIONS: Thus, PRC-induced neuroprotection was in part mediated by
more Treg accumulation and activation in vivo prior to ischemia onset except for
less inflammation response at 24 hours after ischemia onset.
PMID- 27184616
TI - Association of Brachial-Ankle Pulse Wave Velocity with Asymptomatic Intracranial
Arterial Stenosis in Hypertension Patients.
AB - BACKGROUND: Intracranial arterial stenosis is a common cause of ischemic stroke
in Asians. We therefore sought to explore the relationship of brachial-ankle
pulse wave velocity and intracranial arterial stenosis in 834 stroke-free
hypertensive patients. METHODS: Intracranial arterial stenosis was evaluated
through computerized tomographic angiography. Brachial-ankle pulse wave velocity
was measured by an automated cuff device. RESULTS: The top decile of brachial
ankle pulse wave velocity was significantly associated with intracranial arterial
stenosis (P = .027, odds ratio = 1.82; 95% confidence interval: 1.07-3.10). The
patients with the top decile of brachial-ankle pulse wave velocity showed 56%
higher risk for the presence of intracranial arterial stenosis to the whole
population, which was more significant in patients younger than 65 years old. We
also found that brachial-ankle pulse wave velocity related to both intracranial
arterial stenosis and homocysteine. CONCLUSION: Our study showed the association
of brachial-ankle pulse wave velocity with asymptomatic intracranial arterial
stenosis in hypertension patients, especially in relative younger subjects.
Brachial-ankle pulse wave velocity might be a relatively simple and repeatable
measurement to detect hypertension patients in high risk of intracranial arterial
stenosis.
PMID- 27184617
TI - Producing microbial polyhydroxyalkanoate (PHA) biopolyesters in a sustainable
manner.
AB - Sustainable production of microbial polyhydroxyalkanoate (PHA) biopolyesters on a
larger scale has to consider the "four magic e": economic, ethical,
environmental, and engineering aspects. Moreover, sustainability of PHA
production can be quantified by modern tools of Life Cycle Assessment. Economic
issues are to a large extent affected by the applied production mode, downstream
processing, and, most of all, by the selection of carbon-rich raw materials as
feedstocks for PHA production by safe and naturally occurring wild type
microorganisms. In order to comply with ethics, such raw materials should be used
which do not interfere with human nutrition and animal feed supply chains, and
shall be convertible towards accessible carbon feedstocks by simple methods of
upstream processing. Examples were identified in carbon-rich waste materials from
various industrial braches closely connected to food production. Therefore, the
article shines a light on hetero-, mixo-, and autotrophic PHA production based on
various industrial residues from different branches. Emphasis is devoted to the
integration of PHA-production based on selected raw materials into the holistic
patterns of sustainability; this encompasses the choice of new, powerful
microbial production strains, non-hazardous, environmentally benign methods for
PHA recovery, and reutilization of waste streams from the PHA production process
itself.
PMID- 27184618
TI - Immobilised cells of Pachysolen tannophilus yeast for ethanol production from
crude glycerol.
AB - Screening among naturally occurring yeast strains of Pachysolen spp. that are
capable of producing ethanol from glycerol under aerobic conditions identified
the most active culture, P. tannophilus Y -475. Conversion of glycerol by this
producer immobilised in poly(vinyl alcohol) cryogel resulted in a 90% yield of
ethanol relative to the theoretical limit. The maximum rate of alcohol
accumulation was 0.64+/-0.01gL-1h-1 at a 25gL-1 concentration of glycerol in the
culture medium. We demonstrated the efficacy of reusing immobilised cells (for a
minimum of 16 working cycles for batch mode of crude glycerol conversion to
ethanol) and the possibility of long-term (for a minimum of 140h) use of the
cells in continuous mode with a maximum process productivity of 0.63+/-0.02gL-1h
1, while the medium dilution rate in the reactor was 0.062+/-0.001h-1. Reduction
of metabolic activity did not exceed 5-7% relative to baseline. Immobilised cells
were demonstrated to withstand long-term storage in frozen form for at least 2
years while retaining high metabolic activity.
PMID- 27184619
TI - Bt cotton and employment effects for female agricultural laborers in Pakistan.
AB - The literature about economic and social impacts of Bt cotton adoption on farm
households in developing countries is growing. Yet, there is still uncertainty
about wider implications of this technology for rural development, including
effects for landless rural laborers. Bt-related yield advantages may lead to
intensified production and higher demand for labor. Building on farm survey data
collected in Pakistan and using double-hurdle regression models, we analyze
employment effects of Bt cotton adoption. Model estimates show that Bt adoption
has increased the demand for hired labor by 55%. Manual harvesting, which is
common in Pakistan, is a labor-intensive activity primarily carried out by female
laborers. Accordingly, gender disaggregation shows that the employment-generating
effects are particularly strong for women, who often belong to the most
disadvantaged groups of rural societies. These results suggest that Bt technology
can contribute to additional employment income for the poor and to more equitable
rural development.
PMID- 27184620
TI - Binding of oxime group to uranyl ion.
AB - Currently, the leading approach for extraction of uranium from seawater is
selective sorption of UO2(2+) ions onto a poly(acrylamidoxime) fiber. Amidoxime
functional groups are the most studied extractant moieties for this application,
but are not perfectly selective, and understanding how these groups (and more
generally the oxime groups) interact with UO2(2+) and competing ions in seawater
is an important step in designing better extractants. We have started a new
research programme aiming at in-depth studies of the uranyl-oxime/amidoxime
interactions and we report here our first results which cover aspects of the
coordination chemistry of 2-pyridyl ketoximes towards UO2(2+). Detailed synthetic
investigations of various UO2(2+)/mepaoH and UO2(2+)/phpaoH reaction systems
(mepaoH is methyl 2-pyridyl ketoxime and phpaoH is phenyl 2-pyridyl ketoxime)
have provided access to the complexes
[UO2(mepao)2(MeOH)2]{[UO2(NO3)(mepao)(MeOH)2]}2 (), [UO2(mepao)2(MeOH)2] (),
[(UO2)2(O2)(O2CMe)2(mepaoH)2] () and [UO2(phpao)2(MeOH)2] (). The peroxido group
in , which was isolated without the addition of external peroxide sources,
probably arises from a bis(aquo)- and/or bis(hydroxido)-bridged diuranyl
precursor in solution followed by photochemical oxidation of the bridging groups.
The U(VI) atom in the [UO2(NO3)(mepao)(MeOH)2] molecules of () is surrounded by
one nitrogen and seven oxygen atoms in a very distorted hexagonal bipyramidal
geometry; two oxygen atoms from the terminal MeOH ligands, two oxygen atoms from
the bidentate chelating nitrato group, and the oxygen and nitrogen atoms from the
eta(2) oximate group of the 1.110 (Harris notation) mepao(-) ligand define the
equatorial plane. This plane consists of two terminal MeOH ligands and two eta(2)
oximate groups in the [UO2(mepao)2(MeOH)2] molecule () of . The structure of the
[UO2(mepao)2(MeOH)2] molecule that is present in is very similar to the structure
of the corresponding molecule in . The structure of the dinuclear molecule that
is present in consists of two {UO2(O2CMe)(mepaoH)}(+) units bridged by a
eta(2):eta(2):MU O2(2-) group. The equatorial plane of each uranyl site is
composed of the pyridyl and oxime nitrogen atoms of a 1.011 mepaoH ligand, the
oxygen atoms of an almost symmetrically coordinated bidentate chelating MeCO2(-)
group and the two oxygen atoms of the peroxido groups. The core molecular
structure of is similar to that of , the only difference being the presence of
1.110 phpao(-) ligands in the former instead of mepao(-) groups in the latter.
The free pyridyl nitrogen atoms of mepao(-) and phpao(-) ligands of , and are
acceptors of intramolecular H bonds from the ligated MeOH oxygen atoms. H-bonding
and pi-pi stacking interactions build interesting supramolecular networks in the
crystal structures of the four complexes. Compounds are the first structurally
characterized uranyl complexes with 2-pyridyl aldoximes or ketoximes as ligands.
IR data are discussed in terms of the coordination modes of the ligands in the
complexes. (1)H NMR data in DMSO-d6 suggest that the complexes decompose in
solution. The ESI(-) MS spectrum of dissolved in the NH4(O2CMe) buffer is
indicative of the presence of [UO2(O2CMe)3](-), [UO2(O2CMe)2(phpao)](-),
[UO2(O2CMe)(phpao)2](-) and [UO2(phpao)3](-) species. A common structural motif
of the complexes containing the anionic mepao(-) (, ) and phpao(-) () ligands is
that the deprotonated oximate group prefers to bind in the eta(2) fashion forming
a 3-membered chelating ring in spite of the presence of a pyridyl nitrogen atom,
whose coordination would be expected to lead to 5- or 6-membered chelating rings.
PMID- 27184621
TI - Brain Tumor Genetic Modification Yields Increased Resistance to Paclitaxel in
Physical Confinement.
AB - Brain tumor cells remain highly resistant to radiation and chemotherapy,
particularly malignant and secondary cancers. In this study, we utilized
microchannel devices to examine the effect of a confined environment on the
viability and drug resistance of the following brain cancer cell lines: primary
cancers (glioblastoma multiforme and neuroblastoma), human brain cancer cell
lines (D54 and D54-EGFRvIII), and genetically modified mouse astrocytes (wild
type, p53-/-, p53-/- PTEN-/-, p53-/- Braf, and p53-/- PTEN-/- Braf). We found
that loss of PTEN combined with Braf activation resulted in higher viability in
narrow microchannels. In addition, Braf conferred increased resistance to the
microtubule-stabilizing drug Taxol in narrow confinement. Similarly, survival of
D54-EGFRvIII cells was unaffected following treatment with Taxol, whereas the
viability of D54 cells was reduced by 75% under these conditions. Taken together,
our data suggests key targets for anticancer drugs based on cellular genotypes
and their specific survival phenotypes during confined migration.
PMID- 27184622
TI - Cost-effectiveness analysis of quadrivalent influenza vaccine in Spain.
AB - Influenza has a major impact on healthcare systems and society, but can be
prevented using vaccination. The World Health Organization (WHO) currently
recommends that influenza vaccines should include at least two virus A and one
virus B lineage (trivalent vaccine; TIV). A new quadrivalent vaccine (QIV), which
includes an additional B virus strain, received regulatory approval and is now
recommended by several countries. The present study estimates the cost
effectiveness of replacing TIVs with QIV for risk groups and elderly population
in Spain. A static, lifetime, multi-cohort Markov model with a one-year cycle
time was adapted to assess the costs and health outcomes associated with a switch
from TIV to QIV. The model followed a cohort vaccinated each year according to
health authority recommendations, for the duration of their lives. National
epidemiological data allowed the determination of whether the B strain included
in TIVs matched the circulating one. Societal perspective was considered, costs
and outcomes were discounted at 3% and one-way and probabilistic sensitivity
analyses were performed. Compared to TIVs, QIV reduced more influenza cases and
influenza-related complications and deaths during periods of B-mismatch strains
in the TIV. The incremental cost-effectiveness ratio (ICER) was 8,748?/quality
adjusted life year (QALY). One-way sensitivity analysis showed mismatch with the
B lineage included in the TIV was the main driver for ICER. Probabilistic
sensitivity analysis shows ICER below 30,000?/QALY in 96% of simulations.
Replacing TIVs with QIV in Spain could improve influenza prevention by avoiding B
virus mismatch and provide a cost-effective healthcare intervention.
PMID- 27184623
TI - Second Allogeneic Stem Cell Transplantation for Acute Leukemia Using a
Chemotherapy-Only Cytoreduction with Clofarabine, Melphalan, and Thiotepa.
AB - Relapse after allogeneic hematopoietic stem cell transplantation (alloHSCT)
remains one of the leading causes of mortality in patients with leukemia.
Treatment options in this population remain limited, with concern for both
increased toxicity and further relapse. We treated 18 patients with acute
leukemia for marrow +/- extramedullary relapse after a previous alloHSCT with a
myeloablative cytoreductive regimen including clofarabine, melphalan, and
thiotepa followed by a second or third transplantation from the same or a
different donor. All patients were in remission at the time of the second or
third transplantation. All evaluable patients engrafted. The most common toxicity
was reversible transaminitis associated with clofarabine. Two patients died from
transplantation-related causes. Seven patients relapsed after their second or
third transplanation and died of disease. Nine of 18 patients are alive and
disease free, with a 3-year 49% probability of overall survival (OS). Patients
whose remission duration after initial alloHSCT was >6 months achieved superior
outcomes (3-year OS, 74%, 95% confidence interval, 53% to 100%), compared with
those relapsing within 6 months (0%) (P < .001). This new cytoreductive regimen
has yielded promising results with acceptable toxicity for second or third
transplantations in patients with high-risk acute leukemia who relapsed after a
prior transplantation, using various graft and donor options. This approach
merits further evaluation in collaborative group studies.
PMID- 27184626
TI - Precision (in Language and) Medicine.
PMID- 27184624
TI - Administrative Claims Data for Economic Analyses in Hematopoietic Cell
Transplantation: Challenges and Opportunities.
AB - There is an increasing need for the development of approaches to measure quality,
costs, and resource utilization patterns among allogeneic hematopoietic cell
transplantation (HCT) patients. Administrative claims data provide an opportunity
to examine service utilization and costs, particularly from the payer's
perspective. However, because administrative claims data are primarily designed
for reimbursement purposes, challenges arise when using it for research. We use a
case study with data derived from the 2007 to 2011 Truven Health MarketScan
Research database to discuss opportunities and challenges for the use of
administrative claims data to examine the costs and service utilization of
allogeneic HCT and chemotherapy alone for patients with acute myeloid leukemia
(AML). Starting with a cohort of 29,915 potentially eligible patients with a
diagnosis of AML, we were able to identify 211 patients treated with HCT and 774
treated with chemotherapy alone where we were sufficiently confident of the
diagnosis and treatment path to allow analysis. Administrative claims data
provide an avenue to meet the need for health care costs, resource utilization,
and outcome information. However, when using these data, a balance between
clinical knowledge and applied methods is critical to identifying a valid study
cohort and accurate measures of costs and resource utilization.
PMID- 27184627
TI - Financial Hardship and Patient-Reported Outcomes after Hematopoietic Cell
Transplantation.
AB - Although hematopoietic cell transplantation (HCT) is the only curative therapy
for many advanced hematologic cancers, little is known about the financial
hardship experienced by HCT patients nor the association of hardship with patient
reported outcomes. We mailed a 43-item survey to adult patients approximately 180
days after their first autologous or allogeneic HCT at 3 high-volume centers. We
assessed decreases in household income; difficulty with HCT-related costs, such
as need to relocate or travel; and 2 types of hardship: hardship_1 (reporting 1
or 2 of the following: dissatisfaction with present finances, difficulty meeting
monthly bill payments, or not having enough money at the end of the month) and
"hardship_2" (reporting all 3). Patient-reported stress was measured with the
Perceived Stress Scale-4, and 7-point scales were provided for perceptions of
overall quality of life (QOL) and health. In total, 325 of 499 surveys (65.1%)
were received. The median days since HCT was 173; 47% underwent an allogeneic
HCT, 60% were male, 51% were > 60 years old, and 92% were white. Overall, 46%
reported income decline after HCT, 56% reported hardship_1, and 15% reported
hardship_2. In multivariable models controlling for income, those reporting
difficulty paying for HCT-related costs were more likely to report financial
hardship (odds ratio, 6.9; 95% confidence interval, 3.8 to 12.3). Hardship_1 was
associated with QOL below the median (odds ratio, 2.9; 95% confidence interval,
1.7 to 4.9), health status below the median (odds ratio, 2.2; 95% confidence
interval, 1.3 to 3.6), and stress above the median (odds ratio, 2.1; 95%
confidence interval, 1.3 to 3.5). In this sizable cohort of HCT patients,
financial hardship was prevalent and associated with worse QOL and higher levels
of perceived stress. Interventions to address patient financial hardship
especially those that ameliorate HCT-specific costs-are likely to improve patient
reported outcomes.
PMID- 27184628
TI - A Three-Site Mechanism for Agonist/Antagonist Selective Binding to Vasopressin
Receptors.
AB - Molecular-dynamics simulations with metadynamics enhanced sampling reveal three
distinct binding sites for arginine vasopressin (AVP) within its V2 -receptor (V2
R). Two of these, the vestibule and intermediate sites, block (antagonize) the
receptor, and the third is the orthosteric activation (agonist) site. The
contacts found for the orthosteric site satisfy all the requirements deduced from
mutagenesis experiments. Metadynamics simulations for V2 R and its V1a R-analog
give an excellent correlation with experimental binding free energies by assuming
that the most stable binding site in the simulations corresponds to the
experimental binding free energy in each case. The resulting three-site mechanism
separates agonists from antagonists and explains subtype selectivity.
PMID- 27184625
TI - Metabolic Syndrome and Cardiovascular Disease after Hematopoietic Cell
Transplantation: Screening and Preventive Practice Recommendations from the
CIBMTR and EBMT.
AB - Metabolic syndrome (MetS) is a constellation of cardiovascular risk factors that
increases the risk of cardiovascular disease, diabetes mellitus, and all-cause
mortality. Long-term survivors of hematopoietic cell transplantation (HCT) have a
substantial risk of developing MetS and cardiovascular disease, with an estimated
prevalence of MetS of 31% to 49% among HCT recipients. Although MetS has not yet
been proven to impact cardiovascular risk after HCT, an understanding of the
incidence and risk factors for MetS in HCT recipients can provide the foundation
to evaluate screening guidelines and develop interventions that may mitigate
cardiovascular-related mortality. A working group was established through the
Center for International Blood and Marrow Transplant Research and the European
Group for Blood and Marrow Transplantation with the goal to review literature and
recommend practices appropriate to HCT recipients. Here we deliver consensus
recommendations to help clinicians provide screening and preventive care for MetS
and cardiovascular disease among HCT recipients. All HCT survivors should be
advised of the risks of MetS and encouraged to undergo recommended screening
based on their predisposition and ongoing risk factors.
PMID- 27184630
TI - Hierarchical Assemblies of Carbon Nanotubes for Ultraflexible Li-Ion Batteries.
AB - The flexible batteries that are needed to power flexible circuits and displays
remain challenging, despite considerable progress in the fabrication of such
devices. Here, it is shown that flexible batteries can be fabricated using arrays
of carbon nanotube microstructures, which decouple stress from the energy-storage
material. It is found that this battery architecture imparts exceptional
flexibility (radius ~ 300 MUm), high rate (20 A g(-1) ), and excellent cycling
stability.
PMID- 27184629
TI - Single-Reaction Multiplex Reverse Transcription PCR for Detection of Zika,
Chikungunya, and Dengue Viruses.
AB - Clinical manifestations of Zika virus, chikungunya virus, and dengue virus
infections can be similar. To improve virus detection, streamline molecular
workflow, and decrease test costs, we developed and evaluated a multiplex real
time reverse transcription PCR for these viruses.
PMID- 27184632
TI - Is vitamin e or ursodeoxycholic acid a valid treatment option for nonalcoholic
fatty liver disease in 2016?
PMID- 27184633
TI - Contrast enhanced ultrasound for solid pancreatic lesions: Does timing after
contrast injection also matter?
PMID- 27184631
TI - Clozapine metabolites protect dopaminergic neurons through inhibition of
microglial NADPH oxidase.
AB - BACKGROUND: Clozapine, an atypical antipsychotic medication, has been effectively
used to treat refractory schizophrenia. However, the clinical usage of clozapine
is limited due to a high incidence of neutropenia or agranulocytosis. We
previously reported that clozapine protected dopaminergic neurons through
inhibition of microglial activation. The purpose of this study was to explore the
neuroprotective effects of clozapine metabolites clozapine N-oxide (CNO) and N
desmethylclozapine (NDC), as well as their propensity to cause neutropenia.
METHODS: The primary midbrain neuron-glia culture was applied to detect the
neuroprotective and anti-inflammatory effect of clozapine and its metabolites in
lipopolysaccharide (LPS) and MPP(+)-induced toxicity. And the subsequent
mechanism was demonstrated by gp91 (phox) mutant cell cultures as well as
microgliosis cell lines. In vivo, to confirm the neuroprotective effect of
clozapine and CNO, we measured the dopaminergic neuronal loss and rotarod motor
deficits in 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP)-generated mouse
Parkinson's disease (PD) model. The neutropenia or agranulocytosis of clozapine
and its metabolites was illustrated by white blood cell count of the treated
mice. RESULTS: We found that, in midbrain neuron-glia cultures, CNO and NDC were
more potent than clozapine in protecting dopaminergic neurons against LPS and
MPP(+)-induced toxicity. CNO and NDC-afforded neuroprotection was linked to
inhibition of microglia-mediated neuroinflammation, as demonstrated by abolished
neuroprotection in microglia-depleted cultures and their capacity of inhibiting
LPS-induced release of proinflammatory factors from activated microglia. NADPH
oxidase (NOX2) was subsequently recognized as the main target of CNO and NDC
since genetic ablation of gp91 (phox) , the catalytic subunit of NOX2, abolished
their neuroprotective effects. CNO and NDC inhibited NOX2 activation through
interfering with the membrane translocation of the NOX2 cytosolic subunit, p47
(phox) . The neuroprotective effects of CNO were further verified in vivo as
shown by attenuation of dopaminergic neurodegeneration, motor deficits, and
reactive microgliosis in MPTP-generated mouse PD model. More importantly, unlike
clozapine, CNO did not lower the white blood cell count. CONCLUSIONS: Altogether,
our results show that clozapine metabolites elicited neuroprotection through
inactivation of microglia by inhibiting NOX2. The robust neuroprotective effects
and lack of neutropenia suggest that clozapine metabolites may be promising
candidates for potential therapy for neurodegenerative diseases.
PMID- 27184634
TI - Management of hepatitis c genotype 4 in the liver transplant setting.
AB - End-stage liver disease secondary to hepatitis C virus (HCV) infection is the
major indication for orthotopic liver transplantation (OLT) worldwide. The
percentage of HCV patients infected with genotype 4 (G4) among recipients of OLT
varies depending on geographic location. In the Middle East, including Saudi
Arabia, G4 infection is the most common genotype among transplant recipients. Due
to the low prevalence of HCV-G4 in Europe and the United States, this genotype
has not been adequately studied in prospective trials evaluating treatment
outcomes and remains the least studied variant. The aim of this review is to
summarize the natural history and treatment outcome of HCV-G4 following liver
transplantation, with particular attention to new HCV therapies. This review
incorporates all published studies and abstracts including HCV-G4 patients.
PMID- 27184635
TI - Implementation of the simple endoscopic activity score in crohn's disease.
AB - Simple Endoscopic Score for Crohn's Disease (SES-CD) was developed as an attempt
to simplify Crohn's Disease Endoscopic Index of Severity (CDEIS). Since it was
constructed from CDEIS, SES-CD performs comparably but also carries similar
limitations. Several studies have utilized SES-CD scoring to describe disease
severity or response to therapy. Some of them used SES-CD score as a continuous
variable while others utilized certain cutoff values to define severity grades.
All SES-CD cutoff values reported in published clinical trials were empirically
selected by experts. Although in most of the studies that used SEC-CD scoring to
define disease severity, a score <3 reflected inactive disease, no study is using
score 0 to predefine inactivity. Studies applying SES-CD to define response to
treatment used score 0. There is no optimal SES-CD cut-off for endoscopic
remission. The quantification of mucosal healing using SES-CD scoring has not
been standardized yet. As the definition of mucosal healing by SES-CD is unset,
the concept of deep remission is also still evolving. Serum and fecal biomarkers
as well as new radiologic imaging techniques are complementary to SES-CD. Current
practice as well as important changes in endoscopy should be taken into
consideration when defining SES-CD cutoffs. The optimal timing of SES-CD scoring
to assess mucosal healing is not defined yet. To conclude, SES-CD represents a
valuable tool. However, a consensus agreement on its optimal use is required.
PMID- 27184636
TI - An open-label randomized control study to compare the efficacy of vitamin e
versus ursodeoxycholic acid in nondiabetic and noncirrhotic Indian NAFLD
patients.
AB - BACKGROUND/AIM: The study was carried out to compare the efficacy of Vitamin E
versus Ursodeoxycholic acid (UDCA) in nondiabetic nonalcoholic fatty liver
disease (NAFLD) patients. PATIENTS AND METHODS: We randomized 250 non cirrhotic
and non diabetic NAFLD patients diagnosed on ultrasound, with raised alanine
aminotransferase (ALT) level. (>40 IU/L), to receive Vitamin E 400 mg twice a day
(Group A) or UDCA 300 mg twice a day (Group B) for 52 weeks. Lifestyle
modification to achieve at least 5% weight reduction and subsequent weight
control and regular exercise was advised to both groups. The primary study
endpoint was normalization of ALT. Secondary endpoints were the proportion of
patients with reduction in ALT, relative reduction in the NAFLD Fibrosis score
(NFS), symptomatic improvement and tolerability. RESULTS: One hundred and fifty
patients received UDCA as compared to 100 patients receiving Vitamin E. The
treatment groups were comparable at entry with regard to age (44.1 vs 42.4
years), gender (67% vs 63% female), risk factors for nonalcoholic
steatohepatitis, hypochondriac pain, serum liver biochemistries, and NAFLD
Fibrosis score. The primary endpoint was achieved in 21 (14%) and 19 (19%) of
patients in Group A and Group B, respectively (P = 0.2). The proportion of
patients with reduction in ALT (56% vs 63%, P = 0.2), symptomatic improvement
(78% vs 67%, P= 0.058), reduction in the NFS (44% vs 47%, P= 0.69), and
tolerability (98% vs 95%, P= 0.2) were similar between Group A and Group B,
respectively. CONCLUSION: UDCA is an effective and safe alternative to Vitamin E
in nondiabetic-noncirrhotic Indian NAFLD patients.
PMID- 27184637
TI - Efficacy of contrast-enhanced harmonic endoscopic ultrasonography in the
diagnosis of pancreatic ductal carcinoma.
AB - BACKGROUND/AIMS: Distinguishing pancreatic ductal carcinoma (DC) from other
pancreatic masses remains challenging. This study aims at evaluating the efficacy
of contrast-enhanced harmonic endoscopic ultrasonography (CEH-EUS) in the
diagnosis of DC. PATIENTS AND METHODS: Forty-nine patients with solid pancreatic
mass lesions underwent CEH-EUS. EUS (B-mode) was used to evaluate the inner
echoes, distributions, and borders of the masses. The vascular patterns of the
masses were evaluated with CEH-EUS at 30-50 s (early phase) and 70-90 s (late
phase) after the administration of Sonazoid(r). RESULTS: The final diagnoses
included DCs (37), mass-forming pancreatitis (6), endocrine neoplasms (3), a
solid pseudopapillary neoplasm (1), a metastatic carcinoma (1), and an acinar
cell carcinoma (1). The sensitivity, specificity, and accuracy of the diagnoses
of DC in hypoechoic masses using EUS (B-mode) were 89.2%, 16.7%, and 71.4%,
respectively. The sensitivity, specificity, and accuracy for the diagnosis of DC
in hypovascular masses using CEH-EUS were 73.0%, 91.7%, and 77.6% in the early
phase and 83.8%, 91.7%, and 85.7% in the late phase, respectively. CONCLUSIONS:
CEH-EUS for the diagnosis of DC is superior to EUS. CEH-EUS in the late phase was
particularly efficacious in the diagnosis of DC.
PMID- 27184638
TI - Outcome of acute upper gastrointestinal bleeding in patients with coronary artery
disease: A matched case-control study.
AB - BACKGROUND/AIM: The risk of upper gastrointestinal bleeding (UGIB) increases in
patients with coronary artery disease (CAD) due to the frequent use of
antiplatelets. There is some data reporting on treatment outcomes in CAD patients
presenting with UGIB. We aim to determine the clinical characteristics and
outcomes of UGIB in patients with CAD, compared with non-CAD patients. PATIENTS
AND METHODS: We conducted a prospective multi-center cohort study (THAI UGIB
2010) that enrolled 981 consecutive hospitalized patients with acute UGIB. A
matched case-control analysis using this database, which was collected from 11
tertiary referral hospitals in Thailand between January 2010 and September 2011,
was performed. RESULT: Of 981 hospitalized patients with UGIB, there were 61 CAD
patients and 244 gender-matched non-CAD patients (ratio 1:4). UGIB patients with
CAD were significantly older, and had more frequently used antiplatelets and
warfarin than in non-CAD patients. Compared with non-CAD, the CAD patients had
significantly higher Glasgow-Blatchford score, full and pre-endoscopic Rockall
score and full. Peptic ulcer in CAD patients was identified more often than in
non-CAD patients. UGIB patients with CAD and non-CAD had similar outcomes with
regard to mortality rate, re-bleeding, surgery, embolization, and packed
erythrocyte transfusion. However, CAD patients had longer duration of hospital
stays than non-CAD patients. Two CAD patients died from cardiac arrest after
endoscopy, whereas three non-CAD patients died from pneumonia and acute renal
failure during their hospitalization. CONCLUSION: In Thailand, patients
presenting with UGIB, concomitant CAD did not affect clinical outcome of
treatment, compared with non-CAD patients, except for longer hospital stay.
PMID- 27184639
TI - Are serum quantitative hepatitis b surface antigen levels, liver histopathology
and viral loads related in chronic hepatitis b-infected patients?
AB - BACKGROUND/AIMS: Fluctuations in hepatitis B virus (HBV) DNA and alanine
transaminase (ALT) levels complicate assessment of the phases of chronic
hepatitis B (CHB) infection and correct identification of the inactive HBV
carrier state. In this study, we aimed to examine the role of HBsAg
quantification (qHBsAg) in the identification of the phases of HBV and to
evaluate its association with liver histopathology. PATIENTS AND METHODS:
Inactive HBV carriers (IC) (n = 104) and CHB patients (n = 100) were enrolled in
the study. Demographic characteristics of patients were evaluated; biochemical
parameters and serum qHBsAg levels were studied, and liver biopsy and
histopathology were assessed. RESULTS: Serum qHBsAg levels were found to be
significantly low in IC (5150.78 +/- 8473.16 IU/mL) compared with the HBeAg
negative CHB (7503.21 +/- 8101.41 IU/mL) (P = 0.001) patients. The diagnostic
accuracy of qHBsAg to differentiate HBeAg-negative CHB from IC was found to be
moderate (c-statistic: 0.695) and the cutoff level for qHBsAg in diagnosis was
found as 1625 IU/mL (specificity: 80%; sensitivity: 49%). No correlation was
noted between serum qHBsAg level and ALT, histologic activity index (HAI), and
fibrosis in IC and CHB. A moderate and positive correlation was observed between
the serum qHBsAg level and HBV-DNA in HBeAg-positive CHB patients. CONCLUSIONS:
Serum qHBsAg levels may prove to be useful in the differentiation between IC and
HBeAg-negative CHB when used in conjunction with HBV DNA. Furthermore, patients
diagnosed solely on the basis of HBV DNA and ALT may present with higher grade
and stage of liver histopathology than expected.
PMID- 27184640
TI - Hepatitis b virus expression and replication in ovum and the influencing factors.
AB - BACKGROUND/AIM: The aim of this study was to investigate the factors that
influence hepatitis B virus (HBV) expression and replication in the ovum.
MATERIALS AND METHODS: Immunohistochemistry and in situ hybridization techniques
were used to assay the distributions of HBcAg, HBV DNA, and HBV mRNA in ovarian
tissues and the ovum in 50 patients with chronic HBV infection. HBeAg and HBV DNA
in the serum were also detected. Comparisons of categorical data were performed
using McNemar test. RESULTS: The positive rates of HBcAg, HBV DNA, and HBV mRNA
in ovum and ovarian tissues of high replication group were significantly higher
than low replication group (chi2 = 15.04, P< 0.05; chi2 = 12.96, P<0.05; chi2 =
19.36, P< 0.05; respectively). High positive rates of HBcAg, HBV DNA, and HBV
mRNA in ovum and ovarian tissues were found in women with HBeAg-positive than
HBeAg-negative (chi2 = 113.14,P< 0.05; chi2 = 11.13, P< 0.05; chi2 = 17.39, P<
0.05; respectively). CONCLUSION: HBV can infect and replicate in the ovary and
ovum. Maternal HBeAg status and HBV DNA levels are important influencing factors.
PMID- 27184641
TI - No mortality difference following treatment with terlipressin or somatostatin in
cirrhotic patients with gastric variceal hemorrhage.
AB - BACKGROUND/AIMS: The aim of this study was to compare the efficacy of
terlipressin versus somatostatin as adjuvants to endoscopic treatment in
cirrhotic patients with gastric variceal bleeding. PATIENTS AND METHODS: The
National Health Insurance Database, derived from the Taiwan National Health
Insurance Program, was used to enroll patients who were discharged with
International Classification of Diseases, 9th Revision, Clinical Modification
diagnoses of cirrhosis and who underwent gastric variceal sclerotherapy for
gastric variceal bleeding between January 1, 2007, and December 31, 2007. We
observed treatment outcomes and identified clinical factors associated with
mortality. RESULTS: In total, we enrolled 311 cirrhosis patients who underwent
sclerotherapy for active gastric variceal bleeding. Among them, 218 patients
received terlipressin, and 93 patients received somatostatin. The overall 30 day
mortality rate was 13.2% (41/311). A total of 78 (25.1%) patients underwent
second-look endoscopy, but only 12 (7%) needed a second course of gastric
variceal sclerotherapy. The overall 30-day mortality rates for patients treated
with terlipressin and somatostatin were 13.3% and 12.9%, respectively, showing no
statistically significant differences between outcomes in the two treatment
groups (P = 0.672). The risk of 30-day mortality was significantly higher in
patients with hepatocellular carcinoma (HR: 3.257, 95% CI: 1.640-6.469, P=
0.001), acute renal failure (HR: 6.261, 95% CI: 2.376-16.499, P< 0.001), or
hepatic encephalopathy (HR: 3.091, 95% CI: 1.430-6.680, P= 0.004). CONCLUSIONS:
Mortality rates did not differ significantly between cirrhosis patients with
acute gastric variceal bleeding who received somatostatin or terlipressin as
adjuvants to endoscopy.
PMID- 27184643
TI - Expression of serotonin receptors in the colonic tissue of chronic diarrhea rats.
AB - BACKGROUND/AIMS: This study aimed to investigate the difference among the
expression of serotonin receptors (5-HT3, 5-HT4, and 5-HT7receptors) in colonic
tissue of chronic diarrhea rats. MATERIALS AND METHODS: A rat model of chronic
diarrhea was established by lactose diet. The expression of 5-HT3, 5-HT4, and 5
HT7receptors in the colonic tissue was detected using immunohistochemistry, real
time PCR and Western blotting techniques. RESULTS: There is no significant
difference on the protein expression of 5-HT3receptor between the normal group
and the chronic diarrhea model group. The mRNA expression of 5-HT3receptor in the
chronic diarrhea model group was significantly lower than that in the normal
group (n = 10; P< 0.01). The protein and mRNA expression of 5-HT4receptor in the
chronic diarrhea model group were significantly higher than those in the normal
group (n = 10; P< 0.05, P< 0.01). On the contrary, the protein and mRNA
expressions of 5-HT7receptor in the chronic diarrhea model group were
significantly decreased compared with the normal group (n = 10; P< 0.01, P<
0.01). CONCLUSIONS: The results suggested the receptors of 5-HT4and 5-HT7may be
involved in inducing diarrhea by lactose diet.
PMID- 27184642
TI - Protective effect of the methanolic extract of malva parviflora l. leaves on
acetic acid-induced ulcerative colitis in rats.
AB - BACKGROUND/AIMS: Inflammatory bowel disease (IBD) is a general term describing
chronic, idiopathic relapsing, inflammatory conditions of the gastrointestinal
tract of unknown etiology. Previous studies have indicated that Malva parviflora
leaf extract possesses anti-inflammatory, antioxidant, and antiulcerogenic
activity. activity. This work aimed to investigatee the anti-inflammatory effect
of the methanolic (MEMP) and aqueous (AEMP) extracts of M. parviflora leaves on
acetic acid-induced colitis in rats. MATERIALS AND METHODS: 42 male Wistar albino
rats were divided into seven groups (n = 6). Group I: Normal saline control group
with no colitis; Group II: Acetic acid colitis group; Group III: 100 mg/kg/5 d
MEMP; Group IV: 200 mg/kg/5 d.MEMP; Group V: 100 mg/kg/5 d AEMP; Group VI: 200
mg/kg/5 d AEMP; Group VII: Prednisolone group (2 mg/kg/5 d). Treatments were
followed by induction of colitis using intrarectal instillation of 2 mL of 4%
acetic acid. Colon damage was evaluated macroscopically (spleen weight/body
weight, colon weight/length ratio) and the histological changes were also
recorded. RESULTS: The results of this study showed that acetic acid caused
severe inflammation of the colon and a significant increase in spleen weight/body
weight, and an increase in colon weight/length ratio compared with normal control
group. Pretreatment with MEMP and AEMP for 5 days followed by induction of
colitis resulted in a significant attenuation of spleen weight and colon
weight/length ratio compared with acetic acid control group. Methanolic extract
provided better anticolitic effect than aqueous extract; the effect was prominent
at the dose of 200 mg/kg. Histopathological findings confirmed the protective
effect of the MEMP. CONCLUSION: In conclusion, MEMP could ameliorate mucosal
damage in experimentally induced colitis when given orally.
PMID- 27184646
TI - Automated construction of an intraoperative high-dose-rate treatment plan library
for the Varian brachytherapy treatment planning system.
AB - PURPOSE: The ability to create treatment plans for intraoperative high-dose-rate
(IOHDR) brachytherapy is limited by lack of imaging and time constraints. An
automated method for creation of a library of high-dose-rate brachytherapy plans
that can be used with standard planar applicators in the intraoperative setting
is highly desirable. METHODS AND MATERIALS: Nonnegative least squares algebraic
methods were used to identify dwell time values for flat, rectangular planar
applicators. The planar applicators ranged in length and width from 2 cm to 25
cm. Plans were optimized to deliver an absorbed dose of 10 Gy to three different
depths from the patient surface: 0 cm, 0.5 cm, and 1.0 cm. Software was written
to calculate the optimized dwell times and insert dwell times and positions into
a .XML plan template that can be imported into the Varian brachytherapy treatment
planning system. The user may import the .XML template into the treatment
planning system in the intraoperative setting to match the patient applicator
size and prescribed treatment depth. RESULTS: A total of 1587 library plans were
created for IOHDR brachytherapy. Median plan generation time was approximately 1
minute per plan. Plan dose was typically 100% +/- 1% (mean, standard deviation)
of the prescribed dose over the entire length and width of the applicator. Plan
uniformity was best for prescription depths of 0 cm and 0.5 cm from the patient
surface. CONCLUSIONS: An IOHDR plan library may be created using automated
methods. Thousands of plan templates may be optimized and prepared in a few hours
to accommodate different applicator sizes and treatment depths and reduce
treatment planning time. The automated method also enforces dwell time symmetry
for symmetrical applicator geometries, which simplifies quality assurance.
PMID- 27184644
TI - Hepatitis c virus genotype 4 replication in the hepatocellular carcinoma cell
line HepG2/C3A.
AB - BACKGROUND/AIMS: The lack of a reliable cell culture system allowing persistent
in vitro hepatitis C virus (HCV) propagation is still restraining the search for
novel antiviral strategies. HepG2 cells transfection with HCV allows for viral
replication. However, the replication is weak presumably because of HepG2 lack of
miRNA-122, which is essential for viral replication. Other agents such as
polyethylene glycol (PEG) and dimethyl sulfoxide (DMSO) have been shown to
increase the efficiency of infection with other viruses. This study included
comparison of HCV genotype 4 5'UTR and core RNA levels and HCV core protein
expression at different time intervals in the absence or presence of PEG and/or
DMSO postinfection. MATERIALS AND METHODS: We used serum with native HCV
particles in infecting HepG2 cells in vitro. HCV replication was assessed by
reverse transcriptase polymerase chain reaction for detection of HCV RNA and
immunofluorescence and flow cytometry for detection of HCV core protein. RESULTS:
HCV 5'UTR and core RNA expression was evident at different time intervals after
viral infection, especially after cells were treated with PEG. HCV core protein
was also evident at different time intervals using both immunofluorescence and
flow cytometry. PEG, not DMSO, has increased the HCV core protein expression in
the treated cells, similar to its effect on viral RNA expression. CONCLUSIONS:
These expression profiles suggest that the current model of cultured HepG2 cells
allows the study of HCV genotype 4 replication and different stages of the viral
life cycle.
PMID- 27184645
TI - Dose distribution for gynecological brachytherapy with dose accumulation between
insertions: Feasibility study.
AB - PURPOSE: For gynecological treatments, it is standard to acquire CT images and
preferably also MR images before each treatment to calculate the dose of the day.
The dose of the complete treatment is calculated by adding the dose metrics of
each fraction. It makes the conservative assumption that the same part of the
organs at risk always receives the highest dose. The dose calculated this way
often limits the prescription dose or the target coverage. We investigated the
use of deformable image registration (DIR) as an alternative method to assess the
cumulative dose for a treatment course. METHODS AND MATERIALS: Rigid registration
is preformed on CT images, followed by DIR. DIR can be based either solely on the
three-dimensional images or combined with organ contours. To improve DIR in the
pelvic region with low CT contrast, we propose (1) using contours drawn on CT or
(2) modifying artificially the contrast in certain volumes. The dose matrix from
fraction_n (n > 1) is deformed using a calculated deformation field. RESULTS: The
use of the contrast-enhanced images or of contour information helps to guide the
DIR. However, because of the very high dose gradients involved in brachytherapy,
the uncertainty on the accumulated dose remains of the order of 5-10%. Even for
good contour matching, a small local error in the deformation can have
significant consequences for the dose distribution. CONCLUSIONS: Using DIR, based
on image features and contours, allows to accumulate the dose from different
brachytherapy fractions. A robust validation procedure should be developed.
PMID- 27184647
TI - Glutamate ameliorates copper-induced oxidative injury by regulating antioxidant
defences in fish intestine.
AB - The objective of this study was to determine the protective effect of glutamate
(Glu) in Cu-induced oxidative injury in fish intestine in vivo and enterocytes in
vitro. The results indicated that exposure to 6 mg/l Cu for 72 h induced the
production of reactive oxygen species, thereby increasing protein oxidation and
lipid peroxidation in enterocytes of grass carp in vitro. Cells exposed to Cu
alone resulted in a significant increase in lactate dehydrogenase release, which
is accompanied by depletions of antioxidants, including total superoxide
dismutase (T-SOD), glutathione S-transferase (GST), glutathione reductase (GR),
anti-superoxide anion (ASA), anti-hydroxy radical (AHR) activities and GSH
content. Pre-treatment with Glu remarkably prevented the toxic effects of Cu on
the T-SOD, GST, GR, AHR, and ASA activities and GSH content in enterocytes.
However, Cu induced an adaptive increase in the activities of catalase and
glutathione peroxidase (GPx). Glu supplementation further increased GPx activity
in enterocytes. Interestingly, the experiment in vivo showed that Glu pre
supplementation significantly elevated SOD, GPx, GST, GR, ASA and AHR activities,
as well as GSH content. Further results showed that pre-treatment with Glu could
alleviate Cu-induced oxidative injury by elevating antioxidant enzyme activities
through regulating the expression of NF-E2-related nuclear factor 2 (Nrf2) mRNA.
Together, these results indicated that Glu could attenuate Cu-induced cellular
oxidative damage in fish intestine, likely mediated through Nrf2 signalling
pathways regulating mRNA expressions of antioxidant enzyme genes and synthesis of
GSH.
PMID- 27184648
TI - Lymphocyte-depleting induction and steroid minimization after kidney
transplantation: A review.
AB - Steroid minimization after kidney transplantation has become more widely
practiced as transplant clinicians seek the potential benefits such as reduced
cardiovascular risk factors, improved growth in pediatric patients, and improved
compliance with the immunosuppression regimen. Steroid avoidance (i.e. no
steroids after the first week) is generally favored compared to later withdrawal.
Induction therapy is routine in this setting, frequently rabbit antithymocyte
globulin (rATG, Thymoglobulin(r)) or off-license use of alemtuzumab. Direct
comparisons of steroid minimization regimens versus standard steroid regimens are
rare. However, the available data show that the risk of acute rejection is low
when rATG or alemtuzumab induction is given to support steroid-avoidance regimens
after kidney transplantation. Steroid avoidance may be inadvisable in patients at
high immunological risk or at risk of recurrent glomerular disease. Steroid
withdrawal after day 8 may be possible without additional risk of rejection in
patients given rATG induction, but while encouraging, the data are too sparse for
firm conclusions. In summary, steroid avoidance may be beneficial for patients
after renal transplantation, with the potential to avoid or reduce steroid
related comorbidities. Whilst depleting induction therapy could be the treatment
of choice, results of prospective randomized, controlled studies are eagerly
awaited.
PMID- 27184649
TI - Long-term safety in living kidney donors for paediatric transplantation. Single
centre prospective study.
AB - INTRODUCTION: There is enough evidence concerning the short-term safety of living
donors after kidney transplantation. However, long-term complications continue to
be studied, with a particular interest in young donors. Previous studies have
been conducted in older donors for adult renal patients. We present a study of
long-term complications in kidney donors for our paediatric population. METHODS:
We carried out a long-term donor study for the 54 living kidney-donor
transplantations performed at our department from 1979 to June 2014. We monitored
the glomerular filtration rate (GFR) on the basis of 24-hour urine creatinine
clearance, 24-hour proteinuria and the development of arterial hypertension in
the 48 donors who were followed up for more than one year. Only the 39 patients
who were exclusively followed up by our department have been included in the
results analysis. RESULTS: GFR through creatinine clearance was stable after an
initial decrease. No proteinuria was observed in any of the cases. One patient
developed chronic kidney disease (CKD), which resulted in a cumulative incidence
of 2%. GFR below 60mL/min/1.73 m2 was not reported in any other patients.
Arterial hypertension was diagnosed in 25% of donors, 90% of which were treated
with antihypertensives. CONCLUSIONS: Risk of CKD and hypertension in living
kidney donors for paediatric recipients, who are carefully monitored throughout
their evolution, is similar to that of the general population. Therefore, this
technique appears to be safe in both the short and long term.
PMID- 27184650
TI - Bispyridinium non-oximes: An evaluation of cardiac effects in isolated hearts and
smooth muscle relaxing effects in jejunum.
AB - Bispyridinium non-oximes seem to be promising candidates for the generic
treatment of nerve agent poisoning as they interact with nicotinic and muscarinic
acetylcholine receptors. The lead compound MB327 showed therapeutic effectiveness
in vitro and in vivo but was toxic at higher doses. In the present study, the
effect of various bispyridinium non-oximes on isolated heart and small intestine
function was investigated. Bispyridinium non-oximes and oximes were tested in at
least seven different concentrations in rat jejunum preparations pre-treated with
carbachol. All bispyridinium non-oximes showed classical dose response curves
with MB327 being the most effective (EC50=6.6MUM) and MB782 being slightly less
effective (EC50=10.4MUM). Neither the bispyridinium non-oximes nor the oximes
showed cardiotoxic effects in the isolated Langendorff heart. The tested
bispyridinum compounds showed no direct cardiac effect but had variable smooth
muscle relaxing effects. Further in vivo studies are required to get more insight
into potential toxic mechanisms of these promising nerve agent antidotes.
PMID- 27184651
TI - Used water and nutrients: Recovery perspectives in a 'panta rhei' context.
AB - There is an urgent need to secure global supplies in safe water and proteinaceous
food in an eco-sustainable manner, as manifested from tensions in the nexus
Nutrients-Energy-Water-Environment-Land. This paper is concept based and provides
solutions based on resource recovery from municipal and industrial wastewater and
from manure. A set of decisive factors is reviewed facilitating an attractive
business case. Our key message is that a robust barrier must clear the recovered
product from its original status. Besides refined inorganic fertilizers, a
central role for five types of microbial protein is proposed. A resource cycling
solution for the extremely confined environment of space habitation should serve
as an incentive to assimilate a new user mindset. To achieve the ambitious goal
of sustainable food security, the solutions suggested here need a broad
implementation, hand in hand with minimizing losses along the entire fertilizer
feed-food-fork chain.
PMID- 27184653
TI - Synchronous multicentric small hepatocellular carcinomas: defining the capsule on
high-frequency intraoperative ultrasonography with pathologic correlation.
AB - PURPOSE: The aim of this study was to define the capsules of synchronous
multicentric small hepatocellular carcinomas (HCCs) with use of high-frequency
intraoperative ultrasonography (IOUS). METHODS: Among the 131 consecutive
patients undergoing hepatic resection and high-frequency IOUS for HCC, 16
synchronous multicentric small HCCs in 13 patients were histologically diagnosed
in the resected specimens. High-frequency IOUS and pathologic findings of these
lesions were compared, with particular focus on the presence and appearance of
the capsule in or around each lesion. RESULTS: Synchronous multicentric small
HCCs were pathologically classified into distinctly nodular (n=12) or vaguely
nodular (n=4) types. All 12 distinctly nodular HCCs including six subcentimeter
lesions showed detectable capsules on high-frequency IOUS and pathology. The
capsules appeared as a hypoechoic rim containing hyperechoic foci (n=6),
hypoechoic rim (n=5), or hyperechoic rim (n=1) with varying degrees of coverage
around each lesion. Histologically, the capsules were composed of a combination
of one to four layers consisting of a fibrous capsule, peritumoral fibrosis,
prominent small vessels, and entrapped hepatic parenchyma. CONCLUSION:
Synchronous multicentric small HCCs with distinctly nodular type, even at
subcentimeter size, can show capsules with varying coverage and diverse
echogenicity on high-frequency IOUS.
PMID- 27184652
TI - Malignant-looking thyroid nodules with size reduction: core needle biopsy
results.
AB - PURPOSE: The aim of this study was to evaluate whether malignant-looking thyroid
nodules with size reduction were malignant or not. METHODS: From November 2010 to
July 2011, we retrospectively enrolled 16 patients with 16 nodules (11 females
and five males; mean age, 55 years) who underwent core needle biopsy (CNB), and
whose thyroid nodules had malignant ultrasonographic (US) features, although they
showed size reduction (>20% decrease in maximum diameter) during the follow-up
period (mean, 37+/-27 months). The histologic findings of the CNB specimen were
reviewed and correlated with the US findings. US studies were analyzed for their
internal content, shape, margin, echogenicity, the presence of microcalcification
and macrocalcification, inner isoechoic rim, and low-echoic halo. RESULTS: All
nodules were confirmed as benign by CNB. Pathologic analysis was available for 12
CNB specimens. US imaging showed central hypoechogenicity or marked
hypoechogenicity in all cases and a peripheral isoechoic rim in 15 nodules. US
pathologic correlation showed that the central hypoechoic area was primarily
composed of fibrosis (12/12) and hemorrhage (8/12) and that the isoechoic rim was
composed of follicular cells. CONCLUSION: In our study, the CNB results of all of
the malignant-looking thyroid nodules with size reduction were benign and were
primarily composed of internal fibrosis and hemorrhage. Understanding these US
and pathologic features could prevent repeated fine-needle aspiration or
unnecessary diagnostic surgery.
PMID- 27184655
TI - Validation of the fifth edition BI-RADS ultrasound lexicon with comparison of
fourth and fifth edition diagnostic performance using video clips.
AB - PURPOSE: The aim of this study was to evaluate the positive predictive value
(PPV) and the diagnostic performance of the ultrasonographic descriptors in the
fifth edition of BI-RADS, comparing with the fourth edition using video clips.
METHODS: From September 2013 to July 2014, 80 breast masses in 74 women (mean
age, 47.5+/-10.7 years) from five institutions of the Korean Society of Breast
Imaging were included. Two radiologists individually reviewed the static and
video images and analyzed the images according to the fourth and fifth edition of
BI-RADS. The PPV of each descriptor was calculated and diagnostic performances
between the fourth and fifth editions were compared. RESULTS: Of the 80 breast
masses, 51 (63.8%) were benign and 29 (36.2%) were malignant. Suspicious
ultrasonographic features such as irregular shape, non-parallel orientation,
angular or spiculated margins, and combined posterior features showed higher PPV
in both editions (all P<0.05). No significant differences were found in the
diagnostic performances between the two editions (all P>0.05). The area under the
receiver operating characteristics curve was higher in the fourth edition (0.708
to 0.690), without significance (P=0.416). CONCLUSION: The fifth edition of the
BI-RADS ultrasound lexicon showed comparable performance to the fourth edition
and can be useful in the differential diagnosis of breast masses using
ultrasonography.
PMID- 27184654
TI - Measurement of elasticity of normal placenta using the Virtual Touch
quantification technique.
AB - PURPOSE: The aim of this study was to measure the elasticity of normal placentas
using the Virtual Touch quantification (VTQ) technique. METHODS: This study was
approved by the Institutional Ethics Committee. Fifty randomly selected, healthy
pregnant women in their second trimester and 50 randomly selected, healthy
pregnant women in their third trimester with a single fetus were included, and
their placentas underwent VTQ through shear wave velocity (SWV) measurements. The
measurements were performed at different locations to sample different areas of
the placenta. Measurements were performed 3-4 times in each location, the mean
shear wave velocities were calculated without the highest and lowest values of
measurements in each region, and the results were compared. RESULTS: The SWV of
the placenta was 0.983+/-0.260 m/sec, and the minimal and maximal speed was 0.63
m/sec and 1.84 m/sec, respectively. There was no significant difference between
the second and third trimester of VTQ of the placenta in terms of SWV (0.978+/
0.255 m/sec vs. 0.987+/-0.266 m/sec, P=0.711). The maternal age between second
and third trimester was 27.9+/-4.3 years and 29.2+/-4.4 years, respectively;
there was no significant difference between them (P=0.159). CONCLUSION: The
results of this study show that the SWV of normal placenta tissue is 0.983+/
0.260 m/sec, it has little variation between the second and third trimesters, and
the VTQ technique may potentially play an additional role in placenta evaluation.
PMID- 27184656
TI - Clinical application of S-Detect to breast masses on ultrasonography: a study
evaluating the diagnostic performance and agreement with a dedicated breast
radiologist.
AB - PURPOSE: The purpose of this study was to evaluate the diagnostic performance of
S-Detect when applied to breast ultrasonography (US), and the agreement with an
experienced radiologist specializing in breast imaging. METHODS: From June to
August 2015, 192 breast masses in 175 women were included. US features of the
breast masses were retrospectively analyzed by a radiologist who specializes in
breast imaging and S-Detect, according to the fourth edition of the American
College of Radiology Breast Imaging Reporting and Data System lexicon and final
assessment categories. Final assessments from S-Detect were in dichotomized form:
possibly benign and possibly malignant. Kappa statistics were used to analyze the
agreement between the radiologist and S-Detect. Diagnostic performance of the
radiologist and S-Detect was calculated, including sensitivity, specificity,
positive predictive value (PPV), negative predictive value, accuracy, and area
under the receiving operator characteristics curve. RESULTS: Of the 192 breast
masses, 72 (37.5%) were malignant, and 120 (62.5%) were benign. Benign masses
among category 4a had higher rates of possibly benign assessment on S-Detect for
the radiologist, 63.5% to 36.5%, respectively (P=0.797). When the cutoff was set
at category 4a, the specificity, PPV, and accuracy was significantly higher in S
Detect compared to the radiologist (all P<0.05), with a higher area under the
receiver operator characteristics curve of 0.725 compared to 0.653 (P=0.038).
Moderate agreement (k=0.58) was seen in the final assessment between the
radiologist and S-Detect. CONCLUSION: S-Detect may be used as an additional
diagnostic tool to improve the specificity of breast US in clinical practice, and
guide in decision making for breast masses detected on US.
PMID- 27184657
TI - Exosomal Long Noncoding RNAs are Differentially Expressed in the Cervicovaginal
Lavage Samples of Cervical Cancer Patients.
AB - BACKGROUND: As the second leading cause of cancer morbidity and death in women,
cervical cancer remains an important public health problem worldwide. Novel
biomarkers with high sensitivity and specificity for the early detection and
diagnosis of cervical cancer are urgently needed. Increasing evidence shows that
long noncoding RNAs (lncRNAs) are differentially expressed in cancer tissues and
may serve as diagnostic markers. In multiple tumor types, exosomes harboring
lncRNAs are actively released from tumor cells. In this study, we investigate the
potential association of exosomal lncRNA expression with cervical cancer.
METHODS: Cervicovaginal lavage specimens were collected from patients with
cervical cancer and cancer-free volunteers who are HPV-positive or HPV-negative.
Exosomes in these specimens were isolated by ultracentrifugation and confirmed by
transmission electron microscopy. The exosomal lncRNAs HOTAIR, MALAT1, and MEG3
were quantified by qRT-PCR. RESULTS: Expression of HOTAIR, MALAT1 and MEG3 was
predominantly observed in cervical cancer-derived exosomes in cervicovaginal
lavage samples. The expression levels of lncRNAs were significantly different in
exosomes isolated from cervical cancer patients compared to normal controls.
CONCLUSIONS: Our data suggest that lncRNAs in exosomes isolated from
cervicovaginal lavage are differentially expressed in cervical cancer patients
and cancer-free volunteers. Exosomal lncRNAs may have great potential to be used
for the early detection and diagnosis of cervical cancer, and serve as convenient
and noninvasive biomarkers.
PMID- 27184658
TI - Development of a quantitative validation method for forensic investigation of
human spermatozoa using a commercial fluorescence staining kit (SPERM HY-LITERTM
Express).
AB - In investigations of sexual assaults, as well as in identifying a suspect, the
detection of human sperm is important. Recently, a kit for fluorescent staining
of human spermatozoa, SPERM HY-LITERTM, has become available. This kit allows for
microscopic observation of the heads of human sperm using an antibody tagged with
a fluorescent dye. This kit is specific to human sperm and provides easy
detection by luminescence. However, criteria need to be established to
objectively evaluate the fluorescent signals and to evaluate the staining
efficiency of this kit. These criteria will be indispensable for investigation of
forensic samples. In the present study, the SPERM HY-LITERTM Express kit, which
is an improved version of SPERM HY-LITERTM, was evaluated using an image analysis
procedure using Laplacian and Gaussian methods. This method could be used to
automatically select important regions of fluorescence produced by sperm. The
fluorescence staining performance was evaluated and compared under various
experimental conditions, such as for aged traces and in combination with other
chemical staining methods. The morphological characteristics of human sperm were
incorporated into the criteria for objective identification of sperm, based on
quantified features of the fluorescent spots. Using the criteria, non-specific or
insignificant fluorescent spots were excluded, and the specificity of the kit for
human sperm was confirmed. The image analysis method and criteria established in
this study are universal and could be applied under any experimental conditions.
These criteria will increase the reliability of operator judgment in the analysis
of human sperm samples in forensics.
PMID- 27184659
TI - Dityrosine as a marker of acute myocardial infarction? Experiments with the
isolated Langendorff heart.
AB - The isolated Langendorff heart was used to evaluate dityrosine as a marker of
acute myocardial infarctions. The animal model allowed the generation of local
infarctions with defined survival times, as well as infarctions with and without
reperfusion. The results showed that dityrosine, at least under the conditions of
the animal model, occurs very shortly after early ischemia and infarctions, since
positive staining results were already obtained after a survival time of only 5
min. Furthermore, it could be proved that the occurrence of dityrosine does not
depend on a reperfusion of the ischemic muscle area and that there are no
differences in the staining patterns of infarctions with and without reperfusion.
Positive staining results for dityrosine in control hearts without infarctions
had to be considered when evaluating the tissue samples of the study hearts. In
part, the positive staining results of the control hearts seemed to be an
artefact of the Langendorff system, easily identifiable by a distinctive staining
pattern. Positive staining results in tissue samples of hearts that suffered from
arrhythmia on the other hand implied that the occurrence of dityrosine is not
specific for myocardial infarctions. Taking into account the results of previous
works on human tissue samples, however, these findings did not question the use
of dityrosine as a diagnostic tool; they simply showed that myocardial damage due
to oxidative stress might occur under various pathologic conditions.
PMID- 27184660
TI - A 17-month time course study of human RNA and DNA degradation in body fluids
under dry and humid environmental conditions.
AB - Blood, saliva, and semen are some of the forensically most relevant biological
stains commonly found at crime scenes, which can often be of small size or
challenging due to advanced decay. In this context, it is of great importance to
possess reliable knowledge about the effects of degradation under different
environmental conditions and to use appropriate methods for retrieving maximal
information from limited sample amount. In the last decade, RNA analysis has been
demonstrated to be a reliable approach identifying the cell or tissue type of an
evidentiary body fluid trace. Hence, messenger RNA (mRNA) profiling is going to
be implemented into forensic casework to supplement the routinely performed short
tandem repeat (STR) analysis, and therefore, the ability to co-isolate RNA and
DNA from the same sample is a prerequisite. The objective of this work was to
monitor and compare the degradation process of both nucleic acids for human
blood, saliva, and semen stains at three different concentrations, exposed to dry
and humid conditions during a 17-month time period. This study also addressed the
question whether there are relevant differences in the efficiency of automated,
magnetic bead-based single DNA or RNA extraction methods compared to a manually
performed co-extraction method using silica columns. Our data show that mRNA,
especially from blood and semen, can be recovered over the entire time period
surveyed without compromising the success of DNA profiling; mRNA analysis
indicates to be a robust and reliable technique to identify the biological source
of aged stain material. The co-extraction method appears to provide mRNA and DNA
of sufficient quantity and quality for all different forensic investigation
procedures. Humidity and accompanied mold formation are detrimental to both
nucleic acids.
PMID- 27184662
TI - Smoothelin and WT-1 expression in glomus tumors and glomuvenous malformations.
AB - BACKGROUND: Smoothelin is a specific marker for smooth muscle cells with
contractile capacity which has not been widely studied in glomus lesions. In the
same way, the expression for Wilms tumor 1 (WT1) has only been studied
occasionally in the endothelial cells of glomovenous malformations and in the
glomus cells of glomus tumours. OBJECTIVE: We studied the significance of
immunohistochemical expression of smoothelin and WT1 in 25 glomus lesions.
METHODS: We assessed 9 cases of solid glomus tumors (SGT), 8 cases of glomus
tumors with vascular ectasia (VEGT), 2 cases of glomangiomyomas (GMM) and 6 cases
of glomuvenous malformation (GM). Immunohistochemistry was performed, evaluating
the expression of WT1, smoothelin, smooth muscle actin (SMA), smooth muscle
myosin (SMM), h-caldesmon and desmin. RESULTS: Glomic cells showed cytoplasmic
positivity for smoothelin, and WT1 expression was present in all studied cases.
SGT showed WT1 positivity in all endothelia. However, in regarding VEGT and GMM,
WT1 endothelial expression was positive in some areas, but not in others. GM did
not show endothelial cell positivity for WT1. CONCLUSIONS: Smoothelin expression
in glomic cells indicates that they are contractile smooth muscle cells, and thus
its role in routine diagnosis should be considered. The absence of WT1 expression
in the endothelium of the vascular structures of the GM is a differential
characteristic between SGT, VEGT and GMM.
PMID- 27184661
TI - A systematic review of community based hepatitis C treatment.
AB - BACKGROUND: Hepatitis C virus (HCV) treatment uptake globally is low. A barrier
to treatment is the necessity to attend specialists, usually in a tertiary
hospital. We investigate the literature to assess the effect of providing HCV
treatment in the community on treatment uptake and cure. METHODS: Three databases
were searched for studies that contained a comparison between HCV treatment
uptake or sustained virologic response (SVR) in a community site and a tertiary
site. Treatment was with standard interferon with or without ribavirin, or
pegylated interferon and ribavirin. A narrative synthesis was conducted. RESULTS:
Thirteen studies fulfilled the inclusion criteria. Six studies measured treatment
uptake; three demonstrated an increase in uptake at the community site, two
demonstrated similar rates between sites and one demonstrated decreased uptake at
the community site. Nine studies measured SVR; four demonstrated higher SVR rates
in the community, four demonstrated similar SVR rates, and one demonstrated
inferior SVR rates in the community compared to the tertiary site. CONCLUSION:
The data available supports the efficacy of HCV treatment in the community, and
the potential for community based treatment to increase treatment uptake. Whilst
further studies are required, these findings highlight the potential benefit of
providing community based HCV care - benefits that should be realised as
interferon-free therapy become available. (PROSPERO registration number
CRD42015025505).
PMID- 27184663
TI - Construction of Cyclic Sulfamidates Bearing Two gem-Diaryl Stereocenters through
a Rhodium-Catalyzed Stepwise Asymmetric Arylation Protocol.
AB - A rhodium-catalyzed stepwise asymmetric 1,4- and 1,2-addition of arylboronic
acids to alpha,beta-unsaturated cyclic N-sulfonyl ketimines has been developed,
providing a wide range of gem-diaryl-substituted chiral benzosulfamidates with
high optical purities. C1-Symmetric chiral diene and branched chiral sulfur
olefin ligands were sequentially utilized in this double-arylation process for
high stereocontrol. Further synthetic utility offers new opportunities for the
facile construction of otherwise difficult to access polycyclic heterocycles.
PMID- 27184664
TI - Effect of the Cardio First AngelTM device on CPR indices: a randomized controlled
clinical trial.
AB - BACKGROUND: A number of cardiopulmonary resuscitation (CPR) adjunct devices have
been developed to improve the consistency and quality of manual chest
compressions. We investigated whether a CPR feedback device would improve CPR
quality and consistency, as well as patient survival. METHODS: We conducted a
randomized controlled study of patients undergoing CPR for cardiac arrest in the
mixed medical-surgical intensive care units of four academic teaching hospitals.
Patients were randomized to receive either standard manual CPR or CPR using the
Cardio First AngelTM CPR feedback device. Recorded variables included guideline
adherence, CPR quality, return of spontaneous circulation (ROSC) rates, and CPR
associated morbidity. RESULTS: A total of 229 subjects were randomized; 149 were
excluded; and 80 were included. Patient demographics were similar. Adherence to
published CPR guidelines and CPR quality was significantly improved in the
intervention group (p < 0.0001), as were ROSC rates (72 % vs. 35 %; p = 0.001). A
significant decrease was observed in rib fractures (57 % vs. 85 %; p = 0.02), but
not sternum fractures (5 % vs. 17 %; p = 0.15). CONCLUSIONS: Use of the Cardio
First AngelTM CPR feedback device improved adherence to published CPR guidelines
and CPR quality, and it was associated with increased rates of ROSC. A decrease
in rib but not sternum fractures was observed with device use. Further
independent prospective validation is warranted to determine if these results are
reproducible in other acute care settings. TRIAL REGISTRATION: ClinicalTrials.gov
identifier: NCT02394977 . Registered on 5 Mar 2015.
PMID- 27184666
TI - Caudatin induces caspase-dependent apoptosis in human glioma cells with
involvement of mitochondrial dysfunction and reactive oxygen species generation.
AB - Caudatin as one species of C-21 steroidal from Cynanchum bungei decne displays
potential anticancer activity. However, the underlying mechanisms remain elusive.
In the present study, the growth suppressive effect and mechanism of caudatin on
human glioma U251 and U87 cells were evaluated in vitro. The results indicated
that caudatin significantly inhibited U251 and U87 cell growth in both a time-
and dose-dependent manner. Flow cytometry analysis revealed that caudatin-induced
cell growth inhibition was achieved by induction of cell apoptosis, as convinced
by the increase of Sub-G1 peak, PARP cleavage and activation of caspase-3,
caspase-7 and caspase-9. Caudatin treatment also resulted in mitochondrial
dysfunction which correlated with an imbalance of Bcl-2 family members. Further
investigation revealed that caudatin triggered U251 cell apoptosis by inducing
reactive oxygen species (ROS) generation through disturbing the redox
homeostasis. Moreover, pretreatment of caspase inhibitors apparently weakens
caudatin-induced cell killing, PARP cleavage and caspase activation and
eventually reverses caudatin-mediated apoptosis. Importantly, caudatin
significantly inhibited U251 tumour xenografts in vivo through induction of cell
apoptosis involving the inhibition of cell proliferation and angiogenesis, which
further validate its value in combating human glioma in vivo. Taken together, the
results described above all suggest that caudatin inhibited human glioma cell
growth by induction of caspase-dependent apoptosis with involvement of
mitochondrial dysfunction and ROS generation.
PMID- 27184665
TI - Efficacy and safety of fluconazole prophylaxis in extremely low birth weight
infants: multicenter pre-post cohort study.
AB - BACKGROUND: There have been many studies supporting fluconazole prophylaxis in
preterm infants for prevention of invasive fungal infections (IFIs). However, the
routine use of fluconazole prophylaxis in neonatal intensive care units (NICUs)
raises concerns with respect to resistance development, including the selection
of resistant Candida species. We aimed to evaluate the efficacy and safety of
fluconazole prophylaxis in extremely low birth weight (ELBW) infants. METHODS: An
interventional pre-post cohort study at two tertiary NICUs was conducted. Data
from two 5-year periods with and without fluconazole prophylaxis (Mar 2008-Feb
2013 and Mar 2003-Feb 2008) was compared. Prophylactic fluconazole was
administered starting on the 3rd day at a dose of 3 mg/kg twice a week for 4
weeks during the prophylaxis period. RESULTS: The fluconazole prophylaxis group
consisted of 264 infants, and the non-prophylaxis group consisted of 159 infants.
IFI occurred in a total of 19 neonates (4.7 %) during the 10-year study period.
Fluconazole prophylaxis lower the fungal colonization rate significantly (59.1 %
vs. 33.9 %, P <0.001). However, the incidence of IFIs in ELBW infants was not
reduced after fluconazole prophylaxis (4.4 % vs. 5.5 %, P = 0.80). Rather,
although the increase did not reach statistical significance, fluconazole
prophylaxis tended to increase the incidence of invasive infections involving
fluconazole-resistant C. parapsilosis (0 % vs. 41.7 %, P = 0.11). CONCLUSIONS:
Fluconazole prophylaxis was not efficacious in decreasing IFIs in ELBW infants.
There is a need for targeting prophylaxis to greatest risk population and
prospective studies to measure the long-term effect of fluconazole prophylaxis on
the emergence of organisms with antifungal resistance.
PMID- 27184667
TI - Cytotoxicity, intracellular localization and exocytosis of citrate capped and PEG
functionalized gold nanoparticles in human hepatocyte and kidney cells.
AB - Surface-modified gold nanoparticles (AuNPs) are nanomaterials that hold promise
in drug delivery applications. In this study, the cytotoxicity, uptake,
intracellular localization, and the exocytosis of citrate-stabilized (Cit-AuNP)
and polyethylene glycol (PEG)-modified gold nanoparticles with the carboxyl
(COOH) terminal functional group were assessed in human embryonic kidney (HEK
293) and the human caucasian hepatocytes carcinoma (Hep G2) cell systems,
representing two major accumulation sites for AuNPs. The zeta (zeta)-potential
measurements confirmed the negative surface charge of the AuNPs in water and in
cell growth medium. The transmission electron microscopy confirmed the size and
morphology of the AuNPs. Both types of AuNPs were shown to induce cytotoxic
effects in cells. The Hep G2 cells were more sensitive cell type, with the COOH
PEG-AuNPs inducing the highest toxicity at higher concentrations. Dark field
microscopy and TEM images revealed that the AuNPs were internalized in cells,
mostly as agglomerates. TEM micrographs further revealed that the AuNPs were
confined as agglomerates inside vesicle-like compartments, likely to be endosomal
and lysosomal structures as well as in the cytosol, mostly as individual
particles. The AuNPs were shown to remain in cellular compartments for up to 3
weeks, but thereafter, clearance of the gold nanoparticles from the cells by
exocytosis was evident. The results presented in this study may therefore give an
indication on the fate of AuNPs on long-term exposure to cells and may also
assist in safety evaluation of AuNPs.
PMID- 27184669
TI - Improvement of the Thermal Stability of TEMPO-Oxidized Cellulose Nanofibrils by
Heat-Induced Conversion of Ionic Bonds to Amide Bonds.
AB - Improving thermal stability of TEMPO-oxidized cellulose nanofibrils (TOCNs) is a
major challenge for the development and preparation of new nanocomposites.
However, thermal degradation of TOCNs occurs at 220 degrees C. The present study
reports a simple way to improve thermal stability of TOCNs by the heat-induced
conversion of ionic bonds to amide bonds. Coupling amine-terminated polyethylene
glycol to the TOCNs is performed through ionic bond formation. Films are produced
from the dispersions by the casting method. Infrared spectroscopy and
thermogravimetric analysis confirm conversion of ionic bonds to amide bonds for
the modified TOCN samples after heating. As a result, improvement of TOCNs'
thermal stability by up to 90 degrees C is successfully achieved.
PMID- 27184668
TI - Mesd extrinsically promotes phagocytosis by retinal pigment epithelial cells.
AB - Phagocytosis is a critical process to maintain tissue homeostasis. In the retina,
photoreceptor cells renew their photoexcitability by shedding photoreceptor outer
segments (POSs) in a diurnal rhythm. Shed POSs are phagocytosed by retinal
pigment epithelial (RPE) cells to prevent debris accumulation, retinal
degeneration, and blindness. Phagocytosis ligands are the key to understanding
how RPE recognizes shed POSs. Here, we characterized mesoderm development
candidate 2 (Mesd or Mesdc2), an endoplasmic reticulum (ER) chaperon for low
density lipoprotein receptor-related proteins (LRPs), to extrinsically promote
RPE phagocytosis. The results showed that Mesd stimulated phagocytosis of
fluorescence-labeled POS vesicles by D407 RPE cells. Ingested POSs were partially
degraded within 3 h in some RPE cells to dispense undegradable fluorophore
throughout the cytoplasm. Internalized POSs were colocalized with phagosome
biomarker Rab7, suggesting that Mesd-mediated engulfment is involved in a
phagocytosis pathway. Mesd also facilitated phagocytosis of POSs by primary RPE
cells. Mesd bound to unknown phagocytic receptor(s) on RPE cells. Mesd was
detected in the cytoplasm, but not nuclei, of different retinal layers and is
predominantly expressed in the ER-free cellular compartment of POSs. Mesd was not
secreted into medium from healthy cells but passively released from apoptotic
cells with increased membrane permeability. Released Mesd selectively bound to
the surface of POS vesicles and apoptotic cells, but not healthy cells. These
results suggest that Mesd may be released from and bind to shed POSs to
facilitate their phagocytic clearance.
PMID- 27184670
TI - Rhodiola crenulata extract regulates hepatic glycogen and lipid metabolism via
activation of the AMPK pathway.
AB - BACKGROUND: Metabolic syndrome may lead to many complications, such as
nonalcoholic fatty liver disease (NAFLD). A natural and effective therapeutic
agent for patients with NAFLD is urgently needed. In a previous study, we showed
that Rhodiola crenulata root extract (RCE) regulated hepatic gluconeogenesis
through activation of AMPK signaling. However, the manner in which RCE regulates
hepatic lipid and glycogen metabolism remains unclear. The current study was
conducted to investigate the effects of RCE on hepatic glycogen and lipid
metabolism, as well as the mechanisms underlying such effects. METHODS: Human
hepatoma HepG2 cells were treated with RCE for 6 h under high glucose conditions,
after which glycogen synthesis, lipogenesis, and relative gene expression were
examined. In addition, lipogenesis-related genes were investigated in vivo.
RESULTS: RCE significantly increased glycogen synthesis and inhibited
lipogenesis, while regulating genes related to these processes, including
glycogen synthase kinase 3beta (GSK3beta), glycogen synthase (GS), fatty acid
synthase (FAS), CCAAT/enhancer-binding protein (C/EBP), and sterol regulatory
element-binding protein 1c (SREBP-1c). However, the effects caused by RCE were
neutralized by compound C, an AMPK antagonist. Further studies showed that
expression levels of lipogenic genes decreased at the protein and mRNA levels in
the rat liver. CONCLUSIONS: Our results demonstrate that RCE regulates hepatic
glycogen and lipid metabolism through the AMPK signaling pathway. These results
suggest that RCE is a potential intervention for patients with NAFLD.
PMID- 27184671
TI - Xylitol production from waste xylose mother liquor containing miscellaneous
sugars and inhibitors: one-pot biotransformation by Candida tropicalis and
recombinant Bacillus subtilis.
AB - BACKGROUND: The process of industrial xylitol production is a massive source of
organic pollutants, such as waste xylose mother liquor (WXML), a viscous reddish
brown liquid. Currently, WXML is difficult to reuse due to its miscellaneous low
cost sugars, high content of inhibitors and complex composition. WXML, as an
organic pollutant of hemicellulosic hydrolysates, accumulates and has become an
issue of industrial concern in China. Previous studies have focused only on the
catalysis of xylose in the hydrolysates into xylitol using one strain, without
considering the removal of other miscellaneous sugars, thus creating an obstacle
to subsequent large-scale purification. In the present study, we aimed to develop
a simple one-pot biotransformation to produce high-purity xylitol from WXML to
improve its economic value. RESULTS: In the present study, we developed a
procedure to produce xylitol from WXML, which combines detoxification,
biotransformation and removal of by-product sugars (purification) in one
bioreactor using two complementary strains, Candida tropicalis X828 and Bacillus
subtilis Bs12. At the first stage of micro-aerobic biotransformation, the yeast
cells were allowed to grow and metabolized glucose and the inhibitors furfural
and hydroxymethyl furfural (HMF), and converted xylose into xylitol. At the
second stage of aerobic biotransformation, B. subtilis Bs12 was activated and
depleted the by-product sugars. The one-pot process was successfully scaled up
from shake flasks to 5, 150 L and 30 m(3) bioreactors. Approximately 95 g/L of
pure xylitol could be obtained from the medium containing 400 g/L of WXML at a
yield of 0.75 g/g xylose consumed, and the by-product sugars glucose, L-arabinose
and galactose were depleted simultaneously. CONCLUSIONS: Our results demonstrate
that the one-pot procedure is a viable option for the industrial application of
WXML to produce value-added chemicals. The integration of complementary strains
in the biotransformation of hemicellulosic hydrolysates is efficient under
optimized conditions. Moreover, our study of one-pot biotransformation also
provides useful information on the combination of biotechnological processes for
the biotransformation of other compounds.
PMID- 27184673
TI - Abdominal Wall Schwannoma.
AB - Neurilemmomas-or schwannomas-are rare soft tissue tumours involving peripheral
nerve sheaths, usually found in the head and neck regions. They can infrequently
originate within the tissues of the abdominal wall. Here, we present a case of
symptomatic schwannoma of the abdominal wall in a 62-year-old woman referred for
abdominal pain in the right iliac fossa. On physical examination, a 5-7-cm oval
shaped area of consolidation with regular borders and elastic consistence was
palpable. Ultrasound examination of the abdomen revealed a hypoechogenic mass
measuring 80-33-42 mm; subsequently, a CT scan confirmed the presence of a well
circumscribed mass, with small calcifications inside. Radical excision of the
lesion under general anaesthesia was performed, and the histological examination
was consistent with the diagnosis of "ancient" schwannoma. The patient was
discharged on the second postoperative day, and, at a clinical check 1 month
postoperation, she reported no recurrence of abdominal pain and had an improved
quality of life. Schwannomas have a good prognosis overall, with malignant
degeneration being very rare. Local recurrence is plausible only if non-radical
resection of the primitive tumour occurs. This is the second case ever reported,
to our knowledge, of symptomatic schwannoma of the abdominal wall. We advocate
surgical removal of the tumour when it presents as a cause of abdominal pain,
ensuring that a radical excision is performed due to the possibility-though rare
of malignant transformation or recurrence. This offers the possibility of total
regression of symptoms through surgical therapy.
PMID- 27184674
TI - Buried Barrett's Esophagus-a Sheep in Sheep's Clothing.
PMID- 27184672
TI - Determinants of Outcomes Following Resection for Pancreatic Cancer-a Population
Based Study.
AB - BACKGROUND: Patient and health system determinants of outcomes following
pancreatic cancer resection, particularly the relative importance of hospital and
surgeon volume, are unclear. Our objective was to identify patient, tumour and
health service factors related to mortality and survival amongst a cohort of
patients who underwent completed resection for pancreatic cancer. METHODS:
Eligible patients were diagnosed with pancreatic adenocarcinoma between July 2009
and June 2011 and had a completed resection performed in Queensland or New South
Wales, Australia, with either tumour-free (R0) or microscopically involved
margins (R1) (n = 270). Associations were examined using logistic regression (for
binary outcomes) and Cox proportional hazards or stratified Cox models (for time
to-event outcomes). RESULTS: Patients treated by surgeons who performed <4
resections/year were more likely to die from a surgical complication (versus >=4
resections/year, P = 0.04), had higher 1-year mortality (P = 0.03), and worse
overall survival up to 1.5 years after surgery (adjusted hazard ratio 1.58, 95 %
confidence interval 1.07-2.34). Amongst patients who had >=1 complication within
30 days of surgery, those aged >=70 years had higher 1-year mortality compared to
patients aged <60 years. Adjuvant chemotherapy treatment improved recurrence-free
survival (P = 0.01). There were no significant associations between hospital
volume and mortality or survival. CONCLUSIONS: Systems should be implemented to
ensure that surgeons are completing a sufficient number of resections to optimize
patient outcomes. These findings may be particularly relevant for countries with
a relatively small and geographically dispersed population.
PMID- 27184676
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): Behavioural and psychiatric disorders associated with childhood epilepsy
syndromes.
AB - The categorisation of the childhood epilepsies into a number of different
syndromes has allowed greater insight into the prognosis, not only with regard to
seizure control but also in relation to cognitive and behavioural outcome. The
role of genetics in determining both the syndrome and the behavioural outcome
remains promising, although the promise is still largely unfulfilled. The
behavioural/psychiatric outcome of a selection of the large number of childhood
epilepsy syndromes is presented. The rate of autism in West syndrome,
particularly in children who have tuberous sclerosis with temporal tubers, is
high. In Dravet syndrome there is a loss of skills, with an associated increase
in behavioural problems. The frequency of both subtle and overt seizures in the
Lennox-Gastaut syndrome almost certainly accounts for the apparent poor
motivation; however, a marked improvement in seizure control with treatment can
also result in behavioural problems, probably as a result of the "release
phenomenon". A number of cognitive problems can arise in the so-called "benign"
syndrome of epilepsy with centrotemporal spikes (BECTS) and the rate of ADHD is
high. Autistic features and ADHD have been described in the Landau-Kleffner
syndrome and other syndromes associated with electrical status epilepticus of
slow-wave sleep (ESES). Early effective treatment may reverse some of these
features. There is clear evidence for a behavioural syndrome in relation to
juvenile myoclonic epilepsy (JME), in which both clinical descriptions and
functional neuroimaging indicate frontal lobe deficits.
PMID- 27184675
TI - Laparoscopic Total Gastrectomy with D2 Lymphadenectomy and Side-to-Side Stapled
Esophagojejunostomy.
AB - INTRODUCTION: An optimal method has yet to be established for laparoscopic total
gastrectomy with intracorporeal anastomosis. METHODS: We aim to describe a simple
technique for intracorporeal anastomoses. Technique of laparoscopic total
gastrectomy with side-to-side stapled intracorporeal esophagojejunostomy
anastomosis and Roux-en-Y jejunojejunostomy is performed on patients with gastric
malignancy in an academic community tertiary care center. RESULTS: The
anastomotic technique of laparoscopic total gastrectomy with side-to-side stapled
esophagojejunostomy is described. CONCLUSION: Laparoscopic total gastrectomy with
D2 lymphadenectomy and side-to-side esophagojejunostomy is safe to perform and
has the advantage of a wide lumen with low chance for stricture. A laparoscopic
total gastrectomy with stapled side-to-side esophagojejunostomy is feasible and
safe in advanced gastric cancer.
PMID- 27184678
TI - Iron deficiency anaemia in pregnancy: The role of parenteral iron.
AB - Maternal and perinatal morbidity and mortality remain major challenges in the
delivery of safe maternity care worldwide. Anaemia in pregnancy is an important
contributor to this dismal picture, especially where blood transfusion services
are poorly developed. An early diagnosis and treatment of iron deficiency anaemia
in pregnancy using the new generation dextran-free parenteral iron preparations
can save lives and reduce morbidity in selected pregnancies. It is time to cast
aside the fears associated with the use of the old parenteral iron preparations
which were associated a high incidence of anaphylaxis, and embrace the use of new
parenteral iron products which have better side effect profiles and can deliver
total dose infusions without the need for test dosing. In selected women, the
benefits of this treatment far outweigh any disadvantages.
PMID- 27184677
TI - In Vivo Evaluation of a PEO-Gellan Gum Semi-Interpenetrating Polymer Network for
the Oral Delivery of Sulpiride.
AB - In this study, an optimized epichlorohydrin-crosslinked semi-interpenetrating
polymer network xerogel matrix system (XePoMas) for the controlled delivery of
sulpiride was prepared. The ability of XePoMas to sustain drug release was
determined by in vitro and in vivo drug release experiments. Swelling of the
xerogel over the 24-h experimental period ranged from 346 to 648%; swelling was
observed to increase exponentially over the initial 8 h. In vitro drug release
depicted a linear zero order drug release profile with an R 2 value of 0.9956.
The ability of the fabricated XePoMas to sustain drug release and enhance
bioavailability of sulpiride in vivo was investigated by evaluating the plasma
drug concentration over 24 h in the large pig model. The optimized XePoMas
formulation was shown to increase intestinal absorption of sulpiride to a greater
extent than the marketed product in vivo, with a C max of 830.58 ng/mL after 15
h.
PMID- 27184686
TI - Liver Allograft Provides Immunoprotection for the Cardiac Allograft in Combined
Heart-Liver Transplantation.
AB - When transplanted simultaneously, the liver allograft has been thought to have an
immunoprotective role on other organs; however, detailed analyses in simultaneous
heart-liver transplantation (SHLT) have not been done to date. We analyzed
patient outcomes and incidence of immune-mediated injury in 22 consecutive SHLT
versus 223 isolated heart transplantation (IHT) recipients between January 2004
and December 2013, by reviewing 3912 protocol- and indication-specific cardiac
allograft biopsy specimens. Overall survival was similar (86.4%, 86.4%, and 69.1%
for SHLT and 93.3%, 84.7%, and 70.0% for IHT at 1, 5, and 10 years; p = 0.83).
Despite similar immunosuppression, the incidence of T cell-mediated rejection
(TCMR) was lower in SHLT (31.8%) than in IHT (84.8%) (p < 0.0001). Although more
SHLT patients had preexisting donor-specific HLA antibody (22.7% versus 8.1%; p =
0.04), the incidence of antibody-mediated rejection was not different in SHLT
compared with IHT (4.5% versus 14.8%, p = 0.33). While the left ventricular
ejection fraction was comparable in both groups at 5 years, the incidence and
severity of cardiac allograft vasculopathy were reduced in the SHLT recipients
(42.9% versus 66.8%, p = 0.03). Simultaneously transplanted liver allograft was
associated with reduced risk of TCMR (odds ratio [OR] 0.003, 95% confidence
interval [CI] 0-0.02; p < 0.0001), antibody-mediated rejection (OR 0.04, 95% CI 0
0.46; p = 0.004), and cardiac allograft vasculopathy (OR 0.26, 95% CI 0.07-0.84;
p = 0.02), after adjusting for other risk factors. These data suggest that the
incidence of alloimmune injury in the heart allograft is reduced in SHLT
recipients.
PMID- 27184688
TI - Fulminant hepatitis B virus reactivation following antiviral treatment
interruption in a chronically infected patient.
PMID- 27184687
TI - Mild hyperglycemia triggered islet function recovery in streptozotocin-induced
insulin-deficient diabetic rats.
AB - AIMS/INTRODUCTION: Moderate elevation of glucose level has been shown to
effectively promote beta-cell replication in various models in vitro and in
normal rodents. Here, we aimed to test the effect of moderately elevated glucose
on beta-cell mass expansion and islet function recovery in diabetic animal
models. MATERIALS AND METHODS: A single high dose of streptozotocin was given to
induce insulin-deficient diabetes in adult male Sprague-Dawley rats. Then, 48 h
after streptozotocin injection, newly diabetic rats were randomly divided into
three groups: (i) no treatment to maintain hyperglycemia; (ii) daily exogenous
long-acting human insulin analog injection that maintained mild hyperglycemia (15
mmol/L < blood glucose < 18 mmol/L); (iii) daily exogenous long-acting human
insulin analog injection to restore normoglycemia (blood glucose <8 mmol/L) as a
control. Islet function, beta-cell regeneration and beta-cell replication were
monitored during the entire analysis period. RESULTS: A single high dose of
streptozotocin induced massive loss of beta-cells, resulting in irreversible
hyperglycemia. Mild hyperglycemia markedly promoted beta-cell proliferation,
leading to robust beta-cell regeneration. Importantly, rats that maintained mild
hyperglycemia showed nearly normal glucose-stimulated insulin secretion, glucose
disposal and random blood glucose levels, suggesting almost full restoration of
the islet function. Normalization of blood glucose levels profoundly blunted beta
cell replication, regeneration and islet function recovery observed in mild
hyperglycemia. CONCLUSIONS: Our research provides a feasible approach to
stimulate in situ beta-cell regeneration in diabetic rats, offering new
perspectives for diabetes therapy.
PMID- 27184689
TI - Severely regurgitant left ventricle to ascending aorta conduit in a failing
fontan patient treated with a vascular endograft and melody transcatheter
pulmonary valve via hybrid approach.
AB - A 28-year-old male with single ventricular heart disease status post Fontan
palliation and subsequent placement of left ventricle to ascending aorta (LV-AAo)
valved conduit developed ascites and edema. Diagnostic catheterization revealed
elevated ventricular end diastolic pressures (EDP) secondary to severe LV-AAo
conduit regurgitation. Given the unique anatomy, surgical access via the right
axillary artery provided optimal route for transcatheter valve implantation
within the conduit. The procedure resulted in significant hemodynamic improvement
with no complications. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184692
TI - Pendular Nystagmus Associated With Cerebral Pylomixoid Astrocytoma.
PMID- 27184690
TI - Adjuvant Liraglutide and Insulin Versus Insulin Monotherapy in the Closed-Loop
System in Type 1 Diabetes: A Randomized Open-Labeled Crossover Design Trial.
AB - BACKGROUND: The closed-loop (CL) system delivers insulin in a glucose-responsive
manner and optimal postprandial glycemic control is difficult to achieve with the
algorithm and insulin available. We hypothesized that adjunctive therapy with
liraglutide, a once-daily glucagon-like peptide-1 agonist, would be more
effective in normalizing postprandial hyperglycemia versus insulin monotherapy in
the CL system, in patients with type 1 diabetes. METHODS: This was a randomized,
controlled, open-label, crossover design trial comparing insulin monotherapy
versus adjuvant subcutaneous liraglutide 1.2 mg and insulin, using the CL system
in 15 patients. Blood glucose (BG), insulin, and glucagon concentrations were
analyzed. RESULTS: The liraglutide arm was associated with overall decreased mean
BG levels (P = .0002). The average BG levels from 8:00 pm (day 1) to 9:00 pm (day
2) were lower in the liraglutide arm (144.6 +/- 36.31 vs 159.7 +/- 50.88 mg/dl
respectively; P = .0002). Two-hour postbreakfast and lunch BG profiles were
better in the liraglutide arm (P < .05) and the insulin and glucagon assay values
were lower (P < .0001). Postprandially, the area under the curve (AUC) for 2-hour
postbreakfast and lunch BG levels were significant (P = .01, P = .03) and the AUC
for glucagon, postbreakfast (P < .0001) and lunch (P < .05), was also
significant. The incidence of hypoglycemia did not differ between arms (P = .83,
Fisher's exact test). Overall, adjunct liraglutide therapy plus CL was well
tolerated even with expected side effects. CONCLUSION: This is a proof-of-concept
study showing liraglutide can be a potential adjunctive therapy in addition to CL
with insulin to reduce postprandial hyperglycemia in type 1 diabetes.
PMID- 27184693
TI - Phytocannabinoids and Cannabimimetic Drugs: Recent Patents in Central Nervous
System Disorders.
AB - BACKGROUND: Starting from the chemical structure of phytocannabinoids, isolated
from Cannabis sativa plant, research groups designed numerous cannabimimetic
drugs. These compounds according to their activities can be partial, full
agonists and antagonists of cannabinoid receptors. Anecdotal reports and
scientific studies described beneficial properties of cannabinoids and their
derivatives in several pathological conditions like neurological and
neuropsychiatric disorders, and in many other diseases ranging from cancer,
atherosclerosis, stroke, hypertension, inflammatory related disorders, and
autoimmune diseases. METHODS: In this study, starting from the endocannabinoid
mechanism of action in neuronal signaling, we highlight and discuss potential
application and recent patents of cannabimimetic drugs in neurological disorders.
RESULTS: The cannabinoid CB1 receptor was considered particularly interesting for
therapeutic approaches in neurological diseases, because primarily expressed by
neurons of the central nervous system. In many experimental models, these drugs
act via this receptor, however, CB1 receptor independent mechanisms have been
also described. Furthermore, endogenous ligands of cannabinoid receptors, the
endocannabinoids, are potent modulators of the synaptic function in the brain. In
neurological diseases, numerous studies reported modulation of the levels of
endocannabinoids according to the phase of the disease and its progression.
CONCLUSIONS: Finally, although the study of the mechanisms of action of these
compounds is still unsolved, many reports and patents strongly suggest
therapeutic potential of these compounds in neurological diseases.
PMID- 27184694
TI - Crystallization behaviour of poly(ethylene oxide) under confinement in the
electrospun nanofibers of polystyrene/poly(ethylene oxide) blends.
AB - We have studied the confined crystallization behaviour of poly(ethylene oxide)
(PEO) in the electrospun nanofibers of the phase-separated blends of polystyrene
(PS) and PEO, where PS was present as the major component. The size and shape of
PEO domains in the nanofibers were considerably different from those in the cast
films, presumably because of the nano-dimensions of the nanofibers and the
extensional forces experienced by the polymer solution during electrospinning.
The phase-separated morphology in turn influenced the crystallization behaviour
of PEO in the blend nanofibers. At a PEO weight fraction of >=0.3,
crystallization occurred through a heterogeneous nucleation mechanism similar to
that in cast blend films. However, as the PEO weight fraction in the blend
nanofibers was reduced from 0.3 to 0.2, an abrupt transformation of the
nucleation mechanism from the heterogeneous to predominantly homogenous type was
observed. The change in the nucleation mechanism implied a drastic reduction of
the spatial continuity of PEO domains in the nanofibers, which was not
encountered in the cast film. The melting temperature and crystallinity of the
PEO crystallites developed in the nanofibers were also significantly lower than
those in the corresponding cast films. The phenomena observed were reconciled by
the morphological observation, which revealed that the phase separation under the
radial constraint of the nanofibers led to the formation of small-sized fibrillar
PEO domains with limited spatial connectivity. The thermal treatment of the
PS/PEO blend nanofibers above the glass transition temperature of PS induced an
even stronger confinement effect on PEO crystallization.
PMID- 27184695
TI - The future of the Primary Examination.
AB - The objective of the Primary Examination is to ensure that trainees have the
required level of knowledge and understanding of the four basic sciences of
anatomy, pathology, physiology and pharmacology to underpin their further
learning and development towards careers as emergency medicine physicians. The
candidate is expected to show an understanding of the subject matter and
demonstrate their ability to apply their knowledge to the practice of emergency
medicine. As part of the curriculum review, ACEM undertook to implement changes
to the Primary Examination in order to make it more clinically relevant.
PMID- 27184696
TI - Predatory open access journals: Avoiding profiteers, wasted effort and fraud.
PMID- 27184698
TI - Factors affecting maternal confidence and satisfaction in older Japanese
primiparae during postpartum hospital stay.
AB - The purpose of this study was to identify factors affecting maternal confidence
and satisfaction in older Japanese primiparae during their postpartum hospital
stay. Participants were Japanese primiparae (age >= 35) who delivered live
singleton infants (n = 479). Questionnaires completed 1 day before discharge from
hospital included the Postpartum Maternal Confidence Scale and the Postpartum
Maternal Satisfaction Scale. Data were analysed using stepwise multiple
regression for maternal confidence and stepwise logistic regression for maternal
satisfaction, after controlling for delivery mode. Maternal confidence was
negatively affected by feeling overwhelmed by postpartum routines, needing a
longer time for feeding, and a pregnancy with complications. Satisfaction with
the birth experience and a longer rooming-in period were related to greater
maternal satisfaction. Lack of prior experiences with caring for babies and lack
of communication with their partner about parenting role were also associated
with lower confidence and satisfaction. These findings provide an important
framework for nurses to teach and counsel older first-time mothers.
PMID- 27184697
TI - Cross-sectional and longitudinal validation of a 13-item fatigue scale among
Japanese postpartum mothers.
AB - This study examined the psychometric properties of a 13-item fatigue scale for
postpartum mothers. Japanese mothers (n = 2026) from a cohort study completed
questionnaires (e.g. fatigue scale, Japanese version of the Edinburgh Postnatal
Depression Scale, demographics) during their hospital stay after childbirth
(baseline) and at 1, 2, 4 and 6 months postpartum. Initial factor analysis of
baseline data revealed that the fatigue scale had three factors or subscales
(physical, emotional and cognitive). Within-group analysis across each
measurement time revealed the same three-factor structure with acceptable fit.
Between-group analysis also showed longitudinal factorial invariance across time.
The fatigue subscales had acceptable divergent and convergent validities with the
depression scale. The subscale scores differed significantly based on participant
background. The Japanese Fatigue Scale is a concise and informative tool for
assessing aspects of fatigue in clinical settings and in the community.
PMID- 27184699
TI - Building the capacity of nursing professionals in Cambodia: Insights from a
bridging programme for faculty development.
AB - To upgrade nursing instruction capacity in Cambodia, two bridging programmes were
opened for the Bachelor of Science in Nursing simultaneously in-country and out
of-country (Thailand). A descriptive qualitative study was conducted to assess
effectiveness of both programmes jointly and to explore needs concerning the
further development of nursing education. This study included interviews with 34
current or previous programme participants (nursing instructors or hospital
preceptors) and 10 managers of collaborating institutions. New learning content,
personal outcomes, challenges and obstacles and future needs were qualitatively
coded to create categories and subcategories of data. Findings show that
programme participants were most influenced by the new content areas (e.g.
nursing theory and professionalism), active teaching-learning strategies and the
full-time educational immersion afforded by the out-of-country programme.
Programme participants who had returned to their workplaces also identified on
going needs for employing new active teaching-learning approaches, curriculum
revision, national standardization of nursing curricula and improvements in the
teaching-learning infrastructure. Another outcome of this study is the
development of a theoretical model for Nursing Capacity Building in Developing
Countries that describes the need for intermediate and long-term planning as well
as using both Bottom-Up and Edge-Pulling strategies.
PMID- 27184700
TI - Sensing kuuki among visiting nurses.
AB - This study aimed to explore how visiting nurses in Japan sense Kuuki (mood or
atmosphere) in the homes of patients and families. Participants were 15 Japanese
visiting nurses with experience sensing kuuki in homes of patients and families.
Data were collected through two 90 min focus group interviews with experienced
visiting nurses, and a qualitative content analysis was performed. The
qualitative analysis showed that experienced visiting nurses sensed kuuki in
eight ways. Kuuki differs based on type of illness, state of health and number of
visits. Sensitivity to kuuki is thought to be linked to understanding of patient
and family feelings, changes in the physical condition of patients and evaluation
of nursing care delivery. Perception of kuuki also contributes to care planning
especially on the very first home visit and when visiting terminally ill
patients.
PMID- 27184701
TI - Risk factors, cross-cultural stressors and postpartum depression among immigrant
Chinese women in Japan.
AB - The purpose of this mixed-method design study was to examine factors contributing
to depression among immigrant Chinese women (primipara and multipara) (n = 22)
delivering a child for the first time in Japan. Data were obtained just after
hospital discharge by using the Edinburgh Postnatal Depression Scale (EPDS), the
Social Support Scale, a new scale to measure cross-cultural stressors in the
postpartum setting and a visual analogue scale for stress and a demographic
survey. The average EPDS score was 9.0 (SD +/- 3.7) at 1-3 weeks postpartum; yet,
more than half of the subjects (n = 12; 54.5%) were high risk for depression
(EPDS >= 10). Low household income and primiparous status were associated with
depression scores. New mothers with depression also reported more general stress
and more cross-cultural stress in the postpartum setting, although social support
appeared to mediate cross-cultural stressors. Semi-structured interviews were
held with two immigrant women at high risk for depression; these new mothers
described additional stress because they could not follow Zuoyuezi, an important
postpartum Chinese tradition, in the Japanese hospital. These findings suggest
that immigrant Chinese women are at higher risk for postpartum depression when
they give birth for the first time in Japan.
PMID- 27184702
TI - The effects of collaborative research-based programming on public health nurses
and their practice.
AB - The study aim was to evaluate a collaborative research-based program for public
health nurses. The program was initiated by a college of nursing to address
public health issues. Participants were 33 public health nurses who completed a
questionnaire survey; data for 25 respondents were analyzed both quantitatively
and qualitatively. To understand the experiences of nurses in depth, three group
interviews were conducted with 14 nurses. Qualitative analysis revealed three
major themes: (i) opportunities for learning from collaboration; (ii) developing
competence of changes in practice; and (iii) openness to continuing practice
improvement. Study participants reported practical changes and new openness to
continued practice improvement. Thus, schools of nursing and public health nurses
should welcome and invite opportunities to collaborate to address practice issues
using research-based information. Because changing practice can only occur step
by step, nursing educators and practitioners should cultivate an environment that
expands professional development and addresses practice improvement.
PMID- 27184704
TI - Meaning in Life: A conceptual model for disaster nursing practice.
AB - This study aimed to develop a conceptual model for understanding meaning in life
(MIL) using respondents' quotations in the primary qualitative studies. The
primary studies were selected from the PsycINFO, PsycARTICLES and CINAHL
databases using keywords 'meaning in life', 'meaning of life', 'purpose in life'
and 'will to meaning'. Respondents' quotations in the primary studies were
analysed interpretatively to identify MIL from the respondents' perspectives. The
data were synthesized to integrate findings from 10 selected primary studies. The
findings identified (i) six sources of MIL (e.g. having a significant others,
having new experiences and performing spiritual activities); (ii) eight
components of MIL (e.g. focusing on self, connecting to others, contributing to
others and having a sense of direction and purpose); and (iii) the emotional
outcomes of having MIL: happiness, satisfaction and joy. Through a discussion of
the findings, a conceptual model of MIL emerged.
PMID- 27184703
TI - Cultural characteristics of nursing practice in Japan.
AB - The population of Japan has become multi-cultural, and there is more demand for
culturally competent nursing care. The purpose of this study was to explore
cultural characteristics of nursing practice in Japan focusing on behaviour. We
interviewed 25 professionals with experience in or knowledge of nursing practice
both in Japan and either the United States, the United Kingdom, Sweden, Thailand
or South Korea. Qualitative content analysis has yielded three themes for
cultural characteristics of nursing practice in Japan: practice expectations,
communication and relationships with patients. Practice expectations for nurses
in Japan involved various aspects; nurses conducted a wide range of basic nursing
tasks, including bed baths and toileting. They often relied on non-verbal
communication to deliver thoughtfulness and perceptiveness. They typically show
deference to doctors and colleagues, emphasizing building and maintaining harmony
with them. This emphasis on a multifaceted, non-verbal, and harmonious approach
seemed characteristic of practice among Japanese nurses.
PMID- 27184705
TI - Sigma-2 receptor ligand anchored telmisartan loaded nanostructured lipid
particles augmented drug delivery, cytotoxicity, apoptosis and cellular uptake in
prostate cancer cells.
AB - Recently, the anticancer activity of telmisartan (TEL) has been discovered
against prostate cancer. Nevertheless, despite favorable therapeutic profile,
poor aqueous solubility and suboptimal oral bioavailability hamper the anticancer
efficacy of TEL. Therefore, in this investigation, sigma-2 receptor ligand, 3-(4
cyclohexylpiperazine-1-yl) propyl amine (CPPA) anchored nanostructured lipid
particles of telmisartan (CPPA-TEL-NLPs) were engineered using stearic acid for
targeting prostate cancer, PC-3 cells. The mean particle size of TEL-NLPs was
measured to be 25.4 +/- 3.2 nm, significantly (p < 0.05) lower than 32.6 +/- 5.3
nm of CPPA-TEL-NLPs. Correspondingly, the zeta-potential of TEL-NLPs was measured
to be -15.4 +/- 2.3 mV significantly (p < 0.05) higher than -9.6 +/- 2.7 mV of
CPPA-TEL-NLPs. The encapsulation efficiency of CPPA-TEL-NLPs was estimated to be
72.7 +/- 4.3%, significantly (p < 0.05) lower than 77.5 +/- 5.4%, displayed by
TEL-NLPs. In addition, FT-IR and PXRD confirmed the molecular encapsulation of
the drug in amorphous state. In vitro drug release study was conducted to
determine the drug delivery potential of tailored nanoparticles. TEL-NLPs
released 93.36% of drug significantly (p < 0.05) higher than 85.81%, released by
CPPA-TEL-NLPs in 24 h. The IC50 of CPPA-TEL-NLPs was measured to be 20.3 uM
significantly (p < 0.05) lower than 36.3 uM presented by TEL-NLPs in PC-3 cells.
In contrast, CPPA-TEL-NLPs displayed the IC50 of 41.3 uM, significantly (p >
0.05) not different from 43.4 uM, exhibited by TEL-NLPs in PNT-2 cells. We
elucidated that CPPA-TEL-NLPs entered the PC-3 cells via receptor mediated
endocytosis pathway and thus exhibited superior cytotoxicity, apoptosis and
greater extent of cellular uptake in PC-3 cells. In conclusion, CPPA-TEL-NLPs may
be a promising nanomedicine and warrant further in vivo investigations for
gaining clinical success.
PMID- 27184707
TI - Compensation strategy to reduce geometry and mechanics mismatches in porous
biomaterials built with Selective Laser Melting.
AB - The accuracy of Additive Manufacturing processes in fabricating porous
biomaterials is currently limited by their capacity to render pore morphology
that precisely matches its design. In a porous biomaterial, a geometric mismatch
can result in pore occlusion and strut thinning, drawbacks that can inherently
compromise bone ingrowth and severely impact mechanical performance. This paper
focuses on Selective Laser Melting of porous microarchitecture and proposes a
compensation scheme that reduces the morphology mismatch between as-designed and
as-manufactured geometry, in particular that of the pore. A spider web analog is
introduced, built out of Ti-6Al-4V powder via SLM, and morphologically
characterized. Results from error analysis of strut thickness are used to
generate thickness compensation relations expressed as a function of the angle
each strut formed with the build plane. The scheme is applied to fabricate a set
of three-dimensional porous biomaterials, which are morphologically and
mechanically characterized via micro Computed Tomography, mechanically tested and
numerically analyzed. For strut thickness, the results show the largest mismatch
(60% from the design) occurring for horizontal members, reduces to 3.1% upon
application of the compensation. Similar improvement is observed also for the
mechanical properties, a factor that further corroborates the merit of the design
oriented scheme here introduced.
PMID- 27184708
TI - Blood biomarkers of endocrine, immune, inflammatory, and metabolic systems in
obstructive sleep apnea.
AB - OBJECTIVE/BACKGROUND: Obstructive sleep apnea (OSA) is a common disorder,
affecting over 100 million adults. Untreated OSA leads to serious health
consequences and perturbations in endocrine, immune, inflammatory, and metabolic
systems. Study objectives are to evaluate the association between OSA and
biomarkers, and to test the hypothesis that a combination of markers may be
useful in screening for OSA. PATIENTS/METHODS: A multicenter trial was conducted
enrolling symptomatic male patients with suspected OSA. All subjects underwent in
laboratory overnight polysomnography. A non-symptomatic control group was also
obtained. Eleven biomarkers were tested: HbA1c, CRP, EPO, IL-6, uric acid,
cortisol, hGH, prolactin, testosterone, DHEA (Beckman Coulter UniCel DxC 600i
Synchron(r) Access(r) Clinical Systems), IGF-1. RESULTS: 73 male subjects were
enrolled; 26 had moderate/severe OSA. ROC curve analysis showed HbA1c, CRP, EPO,
IL-6, and Uric Acid (AUCs: 0.76, 0.73, 0.65, 0.65, 0.61) were superior to the
Epworth Sleepiness Scale (AUC: 0.52). Concurrent elevation of HbA1c and CRP
provide even greater predictive power. A combination of elevated HbA1c, CRP, and
EPO provided 0.08 increase in AUC (0.84 [0.75 - 0.94]) over individual markers
(p<0.05), with high sensitivity (85%), and specificity (79%) for moderate/severe
OSA. CONCLUSIONS: OSA induces characteristic endocrine, immune, inflammatory, and
metabolic disturbances that can be detected with blood biomarkers. These
biomarkers are superior to standard screening questionnaires. Various clusters of
these biomarkers have an even greater association with OSA and thus may represent
physiologic signatures of the disorder that may have value in initial screening
for OSA as well as for follow-up of therapy response.
PMID- 27184706
TI - Nuclease Footprints in Sperm Project Past and Future Chromatin Regulatory Events.
AB - Nuclear remodeling to a condensed state is a hallmark of spermatogenesis. This is
achieved by replacement of histones with protamines. Regions retaining
nucleosomes may be of functional significance. To determine their potential
roles, sperm from wild type and transgenic mice harboring a single copy insert of
the human protamine cluster were subjected to Micrococcal Nuclease-seq.
CENTIPEDE, a hierarchical Bayesian model, was used to identify multiple spatial
patterns, "footprints", of MNase-seq reads along the sperm genome. Regions
predicted by CENTIPEDE analysis to be bound by a regulatory factor in sperm were
correlated with genomic landmarks and higher order chromatin structure datasets
to identify potential roles for these factors in regulating either prior or post
spermatogenic, i.e., early embryonic events. This approach linked robust
endogenous protamine transcription and transgene suppression to its chromatin
environment within topologically associated domains. Of the candidate enhancer
bound regulatory proteins, Ctcf, was associated with chromatin domain boundaries
in testes and embryonic stem cells. The continuity of Ctcf binding through the
murine germline may permit rapid reconstitution of chromatin organization
following fertilization. This likely reflects its preparation for early zygotic
genome activation and comparatively accelerated preimplantation embryonic
development program observed in mouse as compared to human and bull.
PMID- 27184709
TI - The impact of nonverbal ability on prevalence and clinical presentation of
language disorder: evidence from a population study.
AB - BACKGROUND: Diagnosis of 'specific' language impairment traditionally required
nonverbal IQ to be within normal limits, often resulting in restricted access to
clinical services for children with lower NVIQ. Changes to DSM-5 criteria for
language disorder removed this NVIQ requirement. This study sought to delineate
the impact of varying NVIQ criteria on prevalence, clinical presentation and
functional impact of language disorder in the first UK population study of
language impairment at school entry. METHODS: A population-based survey design
with sample weighting procedures was used to estimate population prevalence. We
surveyed state-maintained reception classrooms (n = 161 or 61% of eligible
schools) in Surrey, England. From a total population of 12,398 children (ages 4-5
years), 7,267 (59%) were screened. A stratified subsample (n = 529) received
comprehensive assessment of language, NVIQ, social, emotional and behavioural
problems, and academic attainment. RESULTS: The total population prevalence
estimate of language disorder was 9.92% (95% CI 7.38, 13.20). The prevalence of
language disorder of unknown origin was estimated to be 7.58% (95% CI 5.33,
10.66), while the prevalence of language impairment associated with intellectual
disability and/or existing medical diagnosis was 2.34% (95% CI 1.40, 3.91).
Children with language disorder displayed elevated symptoms of social, emotional
and behavioural problems relative to peers, F(1, 466) = 7.88, p = .05, and 88%
did not make expected academic progress. There were no differences between those
with average and low-average NVIQ scores in severity of language deficit, social,
emotional and behavioural problems, or educational attainment. In contrast,
children with language impairments associated with known medical diagnosis and/or
intellectual disability displayed more severe deficits on multiple measures.
CONCLUSIONS: At school entry, approximately two children in every class of 30
pupils will experience language disorder severe enough to hinder academic
progress. Access to specialist clinical services should not depend on NVIQ.
PMID- 27184710
TI - Next-generation biology: Sequencing and data analysis approaches for non-model
organisms.
AB - As sequencing technologies become more affordable, it is now realistic to propose
studying the evolutionary history of virtually any organism on a genomic scale.
However, when dealing with non-model organisms it is not always easy to choose
the best approach given a specific biological question, a limited budget, and
challenging sample material. Furthermore, although recent advances in technology
offer unprecedented opportunities for research in non-model organisms, they also
demand unprecedented awareness from the researcher regarding the assumptions and
limitations of each method. In this review we present an overview of the current
sequencing technologies and the methods used in typical high-throughput data
analysis pipelines. Subsequently, we contextualize high-throughput DNA sequencing
technologies within their applications in non-model organism biology. We include
tips regarding managing unconventional sample material, comparative and
population genetic approaches that do not require fully assembled genomes, and
advice on how to deal with low depth sequencing data.
PMID- 27184711
TI - Physical, Chemical, and Biological Structures based on ROS-Sensitive Moieties
that are Able to Respond to Oxidative Microenvironments.
AB - Reactive oxygen species (ROS) (H2 O2 , OCl(-) , (*) OH, O2 (-) ) are a family of
reactive molecules that are generated intracellularly and are engaged in many
biological processes. In physiological concentrations, ROS act as signaling
molecules to a number of metabolic pathways; however, in excess they can be
harmful to living organisms. Overproduction of ROS has been related to many
pathophysiological conditions and a number of studies have been reported in
elucidating their mechanism in these conditions. With the aim of harnessing this
role, a number of imaging tools and therapeutic compounds have been developed.
Here these imaging and therapeutic tools are reviewed and particularly those
structures with ROS-sensitivity based on their biomedical applications and their
functional groups. There is also a brief discussion about the method of
preparation as well as the mechanism of action.
PMID- 27184721
TI - Independent radiologic review of AURELIA, a phase 3 trial of bevacizumab plus
chemotherapy for platinum-resistant recurrent ovarian cancer.
AB - OBJECTIVE: The randomized, open-label, phase 3 Avastin(r) Use in Platinum
Resistant Epithelial Ovarian Cancer (AURELIA) trial achieved its primary efficacy
end point of significantly improved progression-free survival (PFS) in patients
treated with bevacizumab in combination with chemotherapy (CT) compared with CT
alone for platinum-resistant, recurrent ovarian cancer. Primary analyses were
conducted via investigator assessment of PFS; to confirm primary results, an
independent review committee (IRC) retrospectively assessed radiographic data.
METHODS: Per an amendment to the original study protocol, the IRC reviewed
radiographic data from 298 (82.5%) patients in a blinded manner using the
Response Evaluation Criteria in Solid Tumors (modified version 1.0). IRC-assessed
PFS and concordance between the two assessments were evaluated. RESULTS: IRC
assessment demonstrated that PFS was significantly prolonged for patients treated
with CT+bevacizumab compared with CT alone (median, 8.1 vs. 3.9months; hazard
ratio, 0.484; 95% confidence interval, 0.370-0.632; P<0.0001). Results were
similar to the primary PFS analysis from investigator assessment (median, 6.8 vs.
3.4months; hazard ratio, 0.384; 95% confidence interval, 0.300-0.491; P<0.0001).
Concordance rates for progressive disease status (CT+bevacizumab, 68.2%; CT,
69.9%) and date (CT+bevacizumab, 67.2%; CT, 69.1%) were similar across treatment
arms. Among 161 IRC-evaluable patients declared to have progressive disease by
investigator and IRC assessment, 68.3% progressed on the same date as determined
by both investigator and IRC. CONCLUSIONS: IRC assessment of PFS confirmed the
investigator-assessed PFS improvement for patients treated with CT+bevacizumab
compared with CT alone in the AURELIA study.
PMID- 27184723
TI - Innovation: ZP2-coated beads decoy sperm.
PMID- 27184724
TI - Prostate cancer: Nucleotyping predicts recurrence post-RP.
PMID- 27184725
TI - Stones: Gut microbiome is unique in kidney stone disease.
PMID- 27184722
TI - CP-25, a novel compound, protects against autoimmune arthritis by modulating
immune mediators of inflammation and bone damage.
AB - Paeoniflorin-6'-O-benzene sulfonate (code: CP-25), a novel ester derivative of
paeoniflorin (Pae), was evaluated in rats with adjuvant-induced arthritis (AA) to
study its potential anti-arthritic activity. AA rats were treated with CP-25 (25,
50, or 100 mg/kg) from days 17 to 29 after immunization. CP-25 effectively
reduced clinical and histopathological scores compared with the AA groups. CP-25
treated rats exhibited decreases in pro-inflammatory cytokines (IL-1beta, IL-6,
IL-17 and TNF-alpha) coupled with an increase in the anti-inflammatory cytokine
TGF-beta1 in the serum. CP-25 treatment inhibited M1 macrophage activation and
enhanced M2 macrophage activation by influencing cytokine production. Decreases
in Th17-IL-17 and the Th17-associated transcription factor RAR-related orphan
receptor gamma (ROR-gammat) dramatically demonstrated the immunomodulatory
effects of CP-25 on abnormal immune dysfunction. In addition, CP-25 suppressed
the production of receptor activator of nuclear factor kappa B ligand (RANKL) and
matrix metalloproteinase (MMP) 9, which supported its anti-osteoclastic effects.
The data presented here demonstrated that CP-25 significantly inhibited the
progression of rat AA by reducing inflammation, immunity and bone damage. The
protective effects of CP-25 in AA highlight its potential as an ideal new anti
arthritic agent for human RA.
PMID- 27184726
TI - H3O(+) tetrahedron induction in large negative linear compressibility.
AB - Despite the rarity, large negative linear compressibility (NLC) was observed in
metal-organic framework material Zn(HO3PC4H8PO3H)?2H2O (ZAG-4) in experiment. We
find a unique NLC mechanism in ZAG-4 based on first-principle calculations. The
key component to realize its large NLC is the deformation of H3O(+) tetrahedron.
With pressure increase, the oxygen apex approaches and then is inserted into the
tetrahedron base (hydrogen triangle). The tetrahedron base subsequently expands,
which results in the b axis expansion. After that, the oxygen apex penetrates the
tetrahedron base and the b axis contracts. The negative and positive linear
compressibility is well reproduced by the hexagonal model and ZAG-4 is the first
MOFs evolving from non re-entrant to re-entrant hexagon framework with pressure
increase. This gives a new approach to explore and design NLC materials.
PMID- 27184727
TI - Inventory management strategies that reduce the age of red blood cell components
at the time of transfusion.
AB - BACKGROUND: There has been interest concerning patient outcomes when older red
blood cell (RBC) components are utilized. Inventory management is key to
maintaining a stock of fresher RBCs for general transfusion needs. We have
altered our practice for RBC management to reduce RBC age at the time of
transfusion. STUDY DESIGN AND METHODS: Retrospective review of RBC age at time of
transfusion at a tertiary care hospital with active trauma service was performed.
The baseline nonirradiated RBC inventory was decreased from 12 to 15 days of
stock to 7 to 10 days of stock, with request made to the blood supplier for
fresher RBCs, specified at 75% of RBCs less than 14 days old. The age of RBCs at
time of receipt and at time of transfusion was tracked on a monthly basis for the
next 12 months. RESULTS: The mean age of RBCs at transfusion was decreased by 9
days on average for the year. Significant decreases in the mean age of RBCs at
transfusion were seen in the second half of the year, with 4 of 6 months seeing a
mean age of less than 20 days. There were no documented incidences of hospital
blood shortages after the reduction in inventory; no surgery was canceled or
delayed because of inventory. CONCLUSION: Inventory age depends on active
management, combined with vendor cooperation to receive fresher components.
Reducing the age of RBC components transfused is possible without experiencing
blood component shortages. Longer periods of observation may allow for further
adjustment of stocking levels on a seasonal basis.
PMID- 27184728
TI - Cognition Predicts Quality of Life Among Patients With End-Stage Liver Disease.
AB - BACKGROUND: Impaired cognitive functioning and poor quality of life (QoL) are
both common among patients with end-stage liver disease; however, it is unclear
how these are related. OBJECTIVE: This study examines how specific cognitive
domains predict QoL among liver transplant candidates by replicating Stewart and
colleagues' (2010) 3-factor model of cognitive functioning, and determining how
variability in these cognitive domains predicts mental health and physical QoL.
METHODS: The sample included 246 patients with end-stage liver disease who were
candidates for liver transplant at a large, Midwestern health care center.
Measures, including the Repeatable Battery for the Assessment of
Neuropsychological Status, Trail Making Test, Shipley Institute of Living Scale,
Short-Form Health Survey-36 Version 2, and Hospital Anxiety and Depression Scale,
comprised latent variables representing global intellectual functioning,
psychomotor speed, and learning and memory functioning. RESULTS: Confirmatory
factor analysis results indicate that the 3-factor solution model comprised of
global intellectual functioning, psychomotor speed, and learning and memory
functioning fit the data well. Addition of physical and mental health QoL latent
factors resulted in a structural model also with good fit. Results related
physical QoL to global intellectual functioning, and mental health QoL to global
intellectual functioning and psychomotor functioning. CONCLUSIONS: Findings
elucidate a relationship between cognition and QoL and support the use of routine
neuropsychological screening with end-stage liver disease patients, specifically
examining the cognitive domains of global intellectual, psychomotor, and learning
and memory functioning. Subsequently, screening results may inform implementation
of targeted interventions to improve QoL.
PMID- 27184729
TI - beta-CD Dimer-immobilized Ag Assembly Embedded Silica Nanoparticles for Sensitive
Detection of Polycyclic Aromatic Hydrocarbons.
AB - We designed a beta-CD dimer on silver nanoparticles embedded with silica
nanoparticles (Ag@SiO2 NPs) structure to detect polycyclic aromatic hydrocarbons
(PAHs). Silica NPs were utilized as a template for embedding silver NPs to create
hot spot structures and enhance the surface-enhanced Raman scattering (SERS)
signal, and a thioether-bridged dimeric beta-CD was immobilized on Ag NPs to
capture PAHs. The assembled Ag NPs on silica NPs were confirmed by TEM and the
presence of beta-CD dimer on Ag@SiO2 was confirmed by UV-vis and attenuated total
reflection-Fourier transform infrared spectroscopy. The beta-CD dimer@Ag@SiO2 NPs
were used as SERS substrate for detecting perylene, a PAH, directly and in a wide
linearity range of 10(-7) M to 10(-2) M with a low detection limit of 10(-8) M.
Also, the beta-CD dimer@Ag@SiO2 NPs exhibited 1000-fold greater sensitivity than
Ag@SiO2 NPs in terms of their perylene detection limit. Furthermore, we
demonstrated the possibility of detecting various PAH compounds using the beta-CD
dimer@Ag@SiO2 NPs as a multiplex detection tool. Various PAH compounds with the
NPs exhibited their distinct SERS bands by the ratio of each PAHs. This approach
of utilizing the assembled structure and the ligands to recognize target has
potential for use in sensitive analytical sensors.
PMID- 27184731
TI - Comparison of three orientation and mobility aids for individuals with blindness:
Verbal description, audio-tactile map and audio-haptic map.
AB - Disorientation and inability of wayfinding are phenomena with a great frequency
for individuals with visual impairments during the process of travelling novel
environments. Orientation and mobility aids could suggest important tools for the
preparation of a more secure and cognitively mapped travelling. The aim of the
present study was to examine if spatial knowledge structured after an individual
with blindness had studied the map of an urban area that was delivered through a
verbal description, an audio-tactile map or an audio-haptic map, could be used
for detecting in the area specific points of interest. The effectiveness of the
three aids with reference to each other was also examined. The results of the
present study highlight the effectiveness of the audio-tactile and the audio
haptic maps as orientation and mobility aids, especially when these are compared
to verbal descriptions.
PMID- 27184730
TI - Therapeutic options of Angiotensin Receptor Neprilysin inhibitors (ARNis) in
chronic heart failure with reduced ejection fraction: Beyond RAAS and sympathetic
nervous system inhibition.
AB - In heart failure, in addition to the renin-angiotensin-aldosterone system and
sympathetic nervous system, the natriuretic peptide (NP) system plays a
fundamental role among compensating mechanisms. The NPs undergo rapid enzymatic
degradation that limits their vasorelaxant, natriuretic, and diuretic actions.
Degradation of NPs is partially due to the action of neprilysin, which is a
membrane-bound endopeptidase found in many tissues. This article summarizes
recent findings on a new natriuretic peptide-enhancing drug and their implication
for future pharmacological treatment of patients suffering from heart failure
with reduced ejection fraction.
PMID- 27184732
TI - Establishing a murine xenograft-model for long-term analysis of factors inducing
chromosomal instability in myelodysplastic syndrome: Pitfalls and successes.
AB - Myelodysplastic syndromes (MDS) are difficult to culture long-term showing the
need of a model to study the fate of cells with MDS-abnormalities associated with
chromosomal instability (CIN). This approach to establish a xenograft model
transplanting human hematopoietic stem cells (HSC) with different independent
lentivirally-mediated MDS-related modifications into immunodeficient mice is a
long-lasting and tedious experiment with many parameters and every positive as
well as non-functioning intermediate step will help the research community. As
the establishment of appropriate xenograft models is increasing worldwide we aim
to share our experiences to contribute toward minimizing loss of mice and
following the "right" approach. Here, modified HSCs were intrafemorally
transplanted into NSG and/or NSGS mice: (1) RPS14-haploinsufficiency, (2) TP53
deficiency, (3) TP53 hotspot mutations (R248W, R175H, R273H, R249S). Engraftment
was achieved and cytogenetic analyses showed human cells with normal karyotypes.
However, in all experiments with NSG mice, mainly control cells or GFP-negative
cells were engrafted, not allowing observation of modified HSCs. In NSGS mice,
engraftment rate was higher, but mice developed graft-versus-host disease. In
summary, engraftment of HSCs is promising and could be used to analyze the
induction of CIN. However, the analysis of modified HSCs is limited and further
experiments are required to improve this model.
PMID- 27184733
TI - Coupling of glutamate and glucose uptake in cultured Bergmann glial cells.
AB - Glutamate, the main excitatory neurotransmitter in the vertebrate brain, exerts
its actions through specific membrane receptors present in neurons and glial
cells. Over-stimulation of glutamate receptors results in neuronal death,
phenomena known as excitotoxicity. A family of sodium-dependent, glutamate uptake
transporters mainly expressed in glial cells, removes the amino acid from the
synaptic cleft preventing neuronal death. The sustained sodium influx associated
to glutamate removal in glial cells, activates the sodium/potassium ATPase
restoring the ionic balance, additionally, glutamate entrance activates glutamine
synthetase, both events are energy demanding, therefore glia cells increase their
ATP expenditure favouring glucose uptake, and triggering several signal
transduction pathways linked to proper neuronal glutamate availability, via the
glutamate/glutamine shuttle. To further characterize these complex transporters
interactions, we used the well-established model system of cultured chick
cerebellum Bergmann glia cells. A time and dose-dependent increase in the
activity, plasma membrane localization and protein levels of glucose transporters
was detected upon d-aspartate exposure. Interestingly, this increase is the
result of a protein kinase C-dependent signaling cascade. Furthermore, a
glutamate-dependent glucose and glutamate transporters co-immunoprecipitation was
detected. These results favour the notion that glial cells are involved in
glutamatergic neuronal physiology.
PMID- 27184734
TI - PRICKLE1 Contributes to Cancer Cell Dissemination through Its Interaction with
mTORC2.
AB - Components of the evolutionarily conserved developmental planar cell polarity
(PCP) pathway were recently described to play a prominent role in cancer cell
dissemination. However, the molecular mechanisms by which PCP molecules drive the
spread of cancer cells remain largely unknown. PRICKLE1 encodes a PCP protein
bound to the promigratory serine/threonine kinase MINK1. We identify RICTOR, a
member of the mTORC2 complex, as a PRICKLE1-binding partner and show that the
integrity of the PRICKLE1-MINK1-RICTOR complex is required for activation of AKT,
regulation of focal adhesions, and cancer cell migration. Disruption of the
PRICKLE1-RICTOR interaction results in a strong impairment of breast cancer cell
dissemination in xenograft assays. Finally, we show that upregulation of PRICKLE1
in basal breast cancers, a subtype characterized by high metastatic potential, is
associated with poor metastasis-free survival.
PMID- 27184735
TI - Effects of Reiki With Music Compared to Music Only Among People Living With HIV.
AB - Persons living with HIV (PLWH) often seek complementary treatments to improve
their overall health and well-being. Reiki, an ancient healing practice, has been
found to be effective in reducing symptoms of stress, anxiety, pain, and
depression. The purpose of this pilot study was to evaluate the effectiveness of
Reiki as a complementary treatment for PLWH. Using a two-group mixed-methods
experimental design, 37 participants were randomized to either a 6-week Reiki
with Music Group or a Music Only Group. Self-reported and physiologic measures
were obtained at baseline, 6 weeks, and 10 weeks. Significant improvements in
relief of pain and stress in those receiving RMG were found. At the 6-week
assessment, semi-structured interviews were conducted with all participants.
Qualitative findings indicated that Reiki and music therapy helped reduce stress,
anxiety, and depression. Evidence-based data regarding the effectiveness of Reiki
will help nurses help patients better manage HIV-related symptoms.
PMID- 27184736
TI - A Little Magic.
PMID- 27184737
TI - Investigating the reinforcing value of binge anticipation.
AB - Binge eating is a hallmark feature of several types of eating disorders,
including bulimia nervosa, anorexia nervosa (binge/purge type), and binge-eating
disorder, and is associated with numerous harmful consequences. For decades,
researchers have sought to understand what maintains and reinforces this behavior
in the face of such profound negative consequences. In this context, researchers
have focused on the binge-eating behavior itself, and given little consideration
to what may be a crucial part of the process: anticipating or planning binge
eating episodes. In this article, we discuss binge anticipation, its potential
reinforcing value, and methodologies which would allow researchers to investigate
this potentially critical process in individuals who binge eat. (c) 2016 Wiley
Periodicals, Inc. (Int J Eat Disord 2016; 49:539-541).
PMID- 27184738
TI - Human tear proteomics and peptidomics in ophthalmology: Toward the translation of
proteomic biomarkers into clinical practice.
AB - : Tears are a complex biological mixture containing electrolytes, metabolites,
lipids, mucins, some small organic molecules, and proteins. The tear film has
various roles in the lubrication, protection from the external environment, and
nutrition of the cornea; it is also involved in the modulation of the optical
properties of the eye. Tear composition reflects the physiological condition of
the underlying tissues. Therefore, the tear fluid is useful in the evaluation of
health and disease states and it is a valuable source of biomarkers for objective
analysis of ocular and systemic diseases. The relatively high protein
concentration of this fluid and the ease of noninvasive sample collection make it
suitable for diagnostic and prognostic purposes. Efforts in proteomics research
have positively affected to the field of ophthalmology, and the knowledge on the
tear proteome has expanded considerably in the last few years. Nevertheless,
despite a large amount of available data and the many biomarkers proposed for
several eye and systemic diseases, the extent of translation to well
characterized and clinically useful tools has been largely insufficient. As for
most of other biofluids, the road from discovery to clinical application is still
long and full of pitfalls. In this review, we discuss the proteomic approaches
used in the characterization of tear protein and peptide content, recapitulating
the main studies and the progress done. We also present a brief summary of the
path from discovery to clinical application of tear protein markers, with some
representative examples of translation from the bench to the bedside.
SIGNIFICANCE: In this review we cover the most relevant proteomic approaches used
in the characterization of the tear proteome, and for the first time we also
focus in advances performed in the nowadays emerging peptide content
characterization. In this context, we recapitulate on the main studies and the
progresses done in this field. We also present a concise overview of the course
that may be happen from discovery to clinical application for tear protein
markers. Finally we include some representative examples of translation from the
bench to the bedside.
PMID- 27184739
TI - Surface functionalized nanostructured ceramic sorbents for the effective
collection and recovery of uranium from seawater.
AB - The ability to collect uranium from seawater offers the potential for a nearly
limitless fuel supply for nuclear energy. We evaluated the use of functionalized
nanostructured sorbents for the collection and recovery of uranium from seawater.
Extraction of trace minerals from seawater and brines is challenging due to the
high ionic strength of seawater, low mineral concentrations, and fouling of
surfaces over time. We demonstrate that rationally assembled sorbent materials
that integrate high affinity surface chemistry and high surface area
nanostructures into an application relevant micro/macro structure enables
collection performance that far exceeds typical sorbent materials. High surface
area nanostructured silica with surface chemistries composed of phosphonic acid,
phosphonates, 3,4 hydroxypyridinone, and EDTA showed superior performance for
uranium collection. A few phosphorous-based commercial resins, specifically
Diphonix and Ln Resin, also performed well. We demonstrate an effective and
environmentally benign method of stripping the uranium from the high affinity
sorbents using inexpensive nontoxic carbonate solutions. The cyclic use of
preferred sorbents and acidic reconditioning of materials was shown to improve
performance. Composite thin films composed of the nanostructured sorbents and a
porous polymer binder are shown to have excellent kinetics and good capacity
while providing an effective processing configuration for trace mineral recovery
from solutions. Initial work using the composite thin films shows significant
improvements in processing capacity over the previously reported sorbent
materials.
PMID- 27184740
TI - Modified serpinA1 as risk marker for Parkinson's disease dementia: Analysis of
baseline data.
AB - Early detection of dementia in Parkinson disease is a prerequisite for preventive
therapeutic approaches. Modified serpinA1 in cerebrospinal fluid (CSF) was
suggested as an early biomarker for differentiation between Parkinson patients
with (PDD) or without dementia (PD). Within this study we aimed to further
explore the diagnostic value of serpinA1. We applied a newly developed nanoscale
method for the detection of serpinA1 based on automated capillary isoelectric
focusing (CIEF). A clinical sample of 102 subjects including neurologically
healthy controls (CON), PD and PDD patients was investigated. Seven serpinA1
isoforms of different charge were detected in CSF from all three diagnostic
groups. The mean CSF signals of the most acidic serpinA1 isoform differed
significantly (p < 0.01) between PDD (n = 29) and PD (n = 37) or CON (n = 36).
Patients above the cut-off of 6.4 have a more than six times higher risk for an
association with dementia compared to patients below the cut off. We propose this
serpinA1 CIEF-immunoassay as a novel tool in predicting cognitive impairment in
PD patients and therefore for patient stratification in therapeutic trials.
PMID- 27184742
TI - Use Dependent Attenuation of Rat HCN1-Mediated Ih in Intact HEK293 Cells.
AB - BACKGROUND/AIMS: Cationic currents (Ih) through the fast activating and
relatively cAMP insensitive subtype of hyperpolarization-activated cyclic
nucleotide-gated (HCN) channels, HCN1, are limited by cytosolic factors in
mammalian cells. This cytosolic HCN1 break is boosted by changes in membrane
voltage that are not characterized on a biophysical level, yet. METHODS: We
overexpressed rat (r)HCN1 in human embryonic kidney cells (HEK293) and recorded
pharmacologically isolated Ih in cell-attached or whole-cell mode of the patch
clamp technique. RESULTS: Recurring activation of rHCN1 reduced and slowed Ih in
intact HEK293 cells (cell-attached mode). On the contrary, sustained disruption
of the intracellular content (whole-cell mode) ceased activity dependence and
partially enables voltage dependent hysteresis. The activity induced Ih
attenuation in intact cells was independent of the main external cation, depended
on the number of previous forced activations and was - at least in part - due to
a shift in the voltage dependence of activation towards hyperpolarization as
estimated by an adapted tail current analysis. Intracellular elevation of cAMP
could not reverse the changes in Ih. CONCLUSION: Reduction of rHCN1 mediated Ih
is use dependent and may involve the coupling of voltage sensor and pore.
PMID- 27184743
TI - Cultural competence in mental health nursing: validity and internal consistency
of the Portuguese version of the multicultural mental health awareness scale
MMHAS.
AB - BACKGROUND: Cultural competence is an essential component in rendering effective
and culturally responsive services to culturally and ethnically diverse clients.
Still, great difficulty exists in assessing the cultural competence of mental
health nurses. There are no Portuguese validated measurement instruments to
assess cultural competence in mental health nurses. This paper reports a study
testing the reliability and validity of the Portuguese version of the
Multicultural Mental Health Awareness Scale-MMHAS in a sample of Portuguese
nurses. METHODS: Following a standard forward/backward translation into
Portuguese, the adapted version of MMHAS, along with a sociodemographic
questionnaire, were applied to a sample of 306 Portuguese nurses (299 males, 77
females; ages 21-68 years, M = 35.43, SD = 9.85 years). A psychometric research
design was used with content and construct validity and reliability. Reliability
was assessed using internal consistency and item-total correlations. Construct
validity was determined using factor analysis. RESULTS: The factor analysis
confirmed that the Portuguese version of MMHAS has a three-factor structure of
multicultural competencies (Awareness, Knowledge, and Skills) explaining 59.51%
of the total variance. Strong content validity and reliability correlations were
demonstrated. The Portuguese version of MMHAS has a strong internal consistency,
with a Cronbach's alpha of 0.958 for the total scale. CONCLUSIONS: The results
supported the construct validity and reliability of the Portuguese version of
MMHAS, proving that is a reliable and valid measure of multicultural counselling
competencies in mental health nursing. The MMHAS Portuguese version can be used
to evaluate the effectiveness of multicultural competency training programs in
Portuguese-speaking mental health nurses. The scale can also be a useful in
future studies of multicultural competencies in Portuguese-speaking nurses.
PMID- 27184741
TI - Neonatal inflammatory pain and systemic inflammatory responses as possible
environmental factors in the development of autism spectrum disorder of juvenile
rats.
AB - BACKGROUND: Autism spectrum disorder (ASD) affects many children and juveniles.
The pathogenesis of ASD is not well understood. Environmental factors may play
important roles in the development of ASD. We examined a possible relationship of
inflammatory pain in neonates and the development of ASD in juveniles. METHODS:
Acute inflammation pain was induced by 5 % formalin (5 MUl/day) subcutaneous
injection into two hindpaws of postnatal day 3 to 5 (P3-P5) rat pups. Western
blot, immunohistochemical, and behavioral examinations were performed at
different time points after the insult. RESULTS: Formalin injection caused acute
and chronic inflammatory responses including transient local edema, increased
levels of inflammatory cytokines, TNF-alpha, and IL-1beta in the blood as well as
in the brain, and increased microglia in the brain. One day after the pain
insult, there was significant cell death in the cortex and hippocampus. Two weeks
later, although the hindpaw local reaction subsided, impaired axonal growth and
demyelization were seen in the brain of P21 juvenile rats. The number of
bromodeoxyuridine (BrdU) and doublecortin (DCX) double-positive cells in the
hippocampal dentate gyrus of P21 rats was significantly lower than that in
controls, indicating reduced neurogenesis. In the P21 rat's brain of the formalin
group, the expression of autism-related gene neurexin 1 (NRXN1), fragile X mental
retardation 1 (FMR1), and oxytocin was significantly downregulated, consistent
with the gene alteration in ASD. Juvenile rats in the formalin group showed
hyperalgesia, repetitive behaviors, abnormal locomotion, sleep disorder, and
distinct deficits in social memory and social activities. These alterations in
neuroinflammatory reactions, gene expression, and behaviors were more evident in
male than in female rats. Importantly, an anti-inflammation treatment using
indomethacin (10 mg/kg, i.p.) at the time of formalin injections suppressed
inflammatory responses and neuronal cell death and prevented alterations in ASD
related genes and the development of abnormal behaviors. CONCLUSIONS: These novel
observations indicate that severe inflammatory pain in neonates and persistent
inflammatory reactions may predispose premature infants to development delays and
psychiatric disorders including ASD. The prevention of pain stimuli and prompt
treatments of inflammation during development appear vitally important in
disrupting possible evolution of ASD syndromes.
PMID- 27184744
TI - Increased chromosomal radiosensitivity in asymptomatic carriers of a heterozygous
BRCA1 mutation.
AB - BACKGROUND: Breast cancer risk increases drastically in individuals carrying a
germline BRCA1 mutation. The exposure to ionizing radiation for diagnostic or
therapeutic purposes of BRCA1 mutation carriers is counterintuitive, since BRCA1
is active in the DNA damage response pathway. The aim of this study was to
investigate whether healthy BRCA1 mutations carriers demonstrate an increased
radiosensitivity compared with healthy individuals. METHODS: We defined a novel
radiosensitivity indicator (RIND) based on two endpoints measured by the G2
micronucleus assay, reflecting defects in DNA repair and G2 arrest capacity after
exposure to doses of 2 or 4 Gy. We investigated if a correlation between the RIND
score and nonsense-mediated decay (NMD) could be established. RESULTS: We found
significantly increased radiosensitivity in the cohort of healthy BRCA1 mutation
carriers compared with healthy controls. In addition, our analysis showed a
significantly different distribution over the RIND scores (p = 0.034, Fisher's
exact test) for healthy BRCA1 mutation carriers compared with non-carriers: 72 %
of mutation carriers showed a radiosensitive phenotype (RIND score 1-4), whereas
72 % of the healthy volunteers showed no radiosensitivity (RIND score 0).
Furthermore, 28 % of BRCA1 mutation carriers had a RIND score of 3 or 4 (not
observed in control subjects). The radiosensitive phenotype was similar for
relatives within several families, but not for unrelated individuals carrying the
same mutation. The median RIND score was higher in patients with a mutation
leading to a premature termination codon (PTC) located in the central part of the
gene than in patients with a germline mutation in the 5' end of the gene.
CONCLUSIONS: We show that BRCA1 mutations are associated with a radiosensitive
phenotype related to a compromised DNA repair and G2 arrest capacity after
exposure to either 2 or 4 Gy. Our study confirms that haploinsufficiency is the
mechanism involved in radiosensitivity in patients with a PTC allele, but it
suggests that further research is needed to evaluate alternative mechanisms for
mutations not subjected to NMD.
PMID- 27184747
TI - Socioeconomic gradients in early childhood health: evidence from Bangladesh and
Nepal.
AB - BACKGROUND: A large literature has developed researching the origins of
socioeconomic gradients in child health in developed countries. Particularly,
this research examines the age at which these gradient effects emerge and how
they change across different stages of childhood. However, similar research on
developing countries is limited. METHODS: This paper examines the socioeconomic
gradients in early childhood health in two developing countries, Bangladesh and
Nepal using the 2011 Demographic and Health Surveys. The paper separately studies
two measures of household socioeconomic status: household wealth and maternal
educational attainment. Two anthropometric measures of early childhood health,
height-for-age and weight-for-age Z scores for 0-59 months of children, are used
for our empirical exercise. The paper uses both non-parametric and multivariate
ordinary least squares approaches to examine at what age socioeconomic
disparities in health emerge, and investigates if these disparities increase with
age in early childhood. RESULTS: The paper provides significant evidence of age
specific socioeconomic gradients in early childhood health in both countries.
Health disparities in household wealth exist in both countries. This disparity
emerges in the first 11 months of life, and is particularly severe for children
from the poorest quintile. On the other hand, while the emergence of maternal
education gradients during the first 11 months is sensitive to the choice of
childhood health measure, the study finds the children of mothers with higher
education to enjoy significantly higher health outcomes in comparison to those
with lower education. However, controlling for father's education weakens the
effects of maternal education on child health in both countries. Further, the
paper does not find statistically significant evidence where socioeconomic
gradients in health increase with age in early childhood. CONCLUSIONS: Our study
concludes that socioeconomic disparities in health outcomes exist even in very
early childhood in Bangladesh and Nepal. This has important implications for
targeted policy interventions in the form of food security and nutrition
supplement programs, free provision of health care, and maternal education in
both countries.
PMID- 27184746
TI - Evidence for the functional involvement of members of the TRP channel family in
the uptake of Na(+) and NH4 (+) by the ruminal epithelium.
AB - Large quantities of protein are degraded in the fermentative parts of the gut to
ammonia, which is absorbed, detoxified to urea, and excreted, leading to
formation of nitrogenous compounds such as N2O that are associated with global
warming. In ruminants, channel-mediated uptake of NH4 (+) from the rumen
predominates. The molecular identity of these channels remains to be clarified.
Ruminal cells and epithelia from cows and sheep were investigated using patch
clamp, Ussing chamber, microelectrode techniques, and qPCR. In patch clamp
experiments, bovine ruminal epithelial cells expressed a conductance for NH4 (+)
that could be blocked in a voltage-dependent manner by divalent cations. In the
native epithelium, NH4 (+) depolarized the apical potential, acidified the
cytosol and induced a rise in short-circuit current (I sc) that persisted after
the removal of Na(+), was blocked by verapamil, enhanced by the removal of
divalent cations, and was sensitive to certain transient receptor potential (TRP)
channel modulators. Menthol or thymol stimulated the I sc in Na(+) or NH4 (+)
containing solutions in a dose-dependent manner and modulated transepithelial
Ca(2+) fluxes. On the level of messenger RNA (mRNA), ovine and bovine ruminal
epithelium expressed TRPA1, TRPV3, TRPV4, TRPM6, and TRPM7, with any expression
of TRPV6 marginal. No bands were detected for TRPV1, TRPV5, or TRPM8. Functional
and molecular biological data suggest that the transport of NH4 (+), Na(+), and
Ca(2+) across the rumen involves TRP channels, with TRPV3 and TRPA1 emerging as
prime candidate genes. TRP channels may also contribute to the transport of NH4
(+) across other epithelia.
PMID- 27184745
TI - The eNOS signalosome and its link to endothelial dysfunction.
AB - Endothelial nitric oxide synthase (eNOS) plays an essential role in the
regulation of endothelial function and acts as a master regulator of vascular
tone and homeostasis through the generation of the gasotransmitter nitric oxide
(NO). The complex network of events mediating efficient NO synthesis is regulated
by post-translational modifications and protein-protein interactions.
Dysregulation of these mechanisms induces endothelial dysfunction, a term often
used to refer to reduced NO bioavailability and consequent alterations in
endothelial function, that are a hallmark of many cardiovascular diseases.
Endothelial dysfunction is linked to eNOS uncoupling, which consists of a switch
from the generation of NO to the generation of superoxide anions and hydrogen
peroxide. This review provides an overview of the eNOS signalosome, integrating
past and recently described protein-protein interactions that have been shown to
play a role in the modulation of eNOS activity with implications for
cardiovascular pathophysiology. The mechanisms underlying eNOS uncoupling and
clinically relevant strategies that were adopted to influence them are also
discussed.
PMID- 27184751
TI - Putting the Conversation about Gun Ownership and Safety in Context.
PMID- 27184749
TI - Assessing soil erosion risk using RUSLE through a GIS open source desktop and web
application.
AB - Soil erosion is a serious environmental problem. An estimation of the expected
soil loss by water-caused erosion can be calculated considering the Revised
Universal Soil Loss Equation (RUSLE). Geographical Information Systems (GIS)
provide different tools to create categorical maps of soil erosion risk which
help to study the risk assessment of soil loss. The objective of this study was
to develop a GIS open source application (in QGIS), using the RUSLE methodology
for estimating erosion rate at the watershed scale (desktop application) and
provide the same application via web access (web application). The applications
developed allow one to generate all the maps necessary to evaluate the soil
erosion risk. Several libraries and algorithms from SEXTANTE were used to develop
these applications. These applications were tested in Montalegre municipality
(Portugal). The maps involved in RUSLE method-soil erosivity factor, soil
erodibility factor, topographic factor, cover management factor, and support
practices-were created. The estimated mean value of the soil loss obtained was
220 ton km(-2) year(-1) ranged from 0.27 to 1283 ton km(-2) year(-1). The results
indicated that most of the study area (80 %) is characterized by very low soil
erosion level (<321 ton km(-2) year(-1)) and in 4 % of the studied area the soil
erosion was higher than 962 ton km(-2) year(-1). It was also concluded that areas
with high slope values and bare soil are related with high level of erosion and
the higher the P and C values, the higher the soil erosion percentage. The RUSLE
web and the desktop application are freely available.
PMID- 27184748
TI - Initiation and completion rates for latent tuberculosis infection treatment: a
systematic review.
AB - BACKGROUND: Control of latent tuberculosis infection (LTBI) is an important step
towards tuberculosis elimination. Preventive treatment will prevent the
development of disease in most cases diagnosed with LTBI. However, low initiation
and completion rates affect the effectiveness of preventive treatment. The
objective was to systematically review data on initiation rates and completion
rates for LTBI treatment regimens in the general population and specific
populations with LTBI. METHODS: A systematic review of the literature (PubMed,
Embase) published up to February 2014 was performed. RESULTS: Forty-five studies
on initiation rates and 83 studies on completion rates of LTBI treatment were
found. These studies provided initiation rates (IR) and completion rates (CR) in
people with LTBI among the general population (IR 26-99 %, CR 39-96 %), case
contacts (IR 40-95 %, CR 48-82 %), healthcare workers (IR 47-98 %, CR 17-79 %),
the homeless (IR 34-90 %, CR 23-71 %), people who inject drugs (IR 52-91 %, CR 38
89 %), HIV-infected individuals (IR 67-92 %, CR 55-95 %), inmates (IR 7-90 %, CR
4-100 %), immigrants (IR 23-97 %, CR 7-86 %), and patients with comorbidities (IR
82-93 %, CR 75-92 %). Generally, completion rates were higher for short than for
long LTBI treatment regimens. CONCLUSION: Initiation and completion rates for
LTBI treatment regimens were frequently suboptimal and varied greatly within and
across different populations.
PMID- 27184750
TI - Between Scylla and Charybdis: reconciling competing data management demands in
the life sciences.
AB - BACKGROUND: The widespread sharing of biologicaConcluding Comments: Teaching
Responsible Datal and biomedical data is recognised as a key element in
facilitating translation of scientific discoveries into novel clinical
applications and services. At the same time, twenty-first century states are
increasingly concerned that this data could also be used for purposes of
bioterrorism. There is thus a tension between the desire to promote the sharing
of data, as encapsulated by the Open Data movement, and the desire to prevent
this data from 'falling into the wrong hands' as represented by 'dual use'
policies. Both frameworks posit a moral duty for life sciences researchers with
respect to how they should make their data available. However, Open data and dual
use concerns are rarely discussed in concert and their implementation can present
scientists with potentially conflicting ethical requirements. DISCUSSION: Both
dual use and Open data policies frame scientific data and data dissemination in
particular, though different, ways. As such they contain implicit models for how
data is translated. Both approaches are limited by a focus on abstract
conceptions of data and data sharing. This works to impede consensus-building
between the two ethical frameworks. As an alternative, this paper proposes that
an ethics of responsible management of scientific data should be based on a more
nuanced understanding of the everyday data practices of life scientists.
Responsibility for these 'micromovements' of data must consider the needs and
duties of scientists as individuals and as collectively-organised groups.
Researchers in the life sciences are faced with conflicting ethical
responsibilities to share data as widely as possible, but prevent it being used
for bioterrorist purposes. In order to reconcile the responsibilities posed by
the Open Data and dual use frameworks, approaches should focus more on the
everyday practices of laboratory scientists and less on abstract conceptions of
data.
PMID- 27184753
TI - Things Fall Apart: Preventing High Readmission Rates Among Homeless Adults.
PMID- 27184752
TI - HPV Update: Vaccination, Screening, and Associated Disease.
AB - Human papillomavirus (HPV) infection is the causative agent in cervical cancer,
and is associated with numerous other genital cancers, including vulvar, vaginal,
and anal cancer. Primary prevention with HPV vaccination is safe and efficacious,
and a recently approved HPV vaccine will provide even more extensive protection
against several oncogenic HPV strains. Screening strategies for HPV are rapidly
evolving, reflecting the essential role that HPV infection plays in cervical
cancer. This article highlights new evidence regarding the efficacy of the
recently approved 9-valent HPV (9vHPV) vaccine and the use of primary high-risk
HPV testing in cervical cancer screening. We consider the utility of urinary HPV
testing in routine clinical practice and review current guidelines regarding anal
HPV screening.
PMID- 27184754
TI - Plasma C16-Cer levels are increased in patients with preterm labor.
AB - INTRODUCTION: The pathogenesis of preterm labor is fragmentarily explained. The
most widely accepted theory points out to infection and inflammation as possible
causes, which can be mediated by potentially different factors, including
sphingolipid mediators. Sphingolipids are a class of lipids that have been shown
as important mediators in various cell processes such as: proliferation, growth,
apoptosis, stress response, necrosis and inflammation. The aim of the study was
to assess plasma concentrations of selected sphingolipids in patients with
preterm labor. MATERIAL AND METHODS: We used ultra-high performance liquid
chromatography with triple mass spectrometry (UHPLC-ESI-MS/MS) to assess plasma
concentrations of the 11 sphingolipids in patients presenting with symptoms of
preterm labor (n=61) and threatened preterm labor (n=40). RESULTS: We observed a
statistically significant increase (p-value<0.004) in plasma concentrations of
C16-Cer in patients with preterm labor as compared to the control group. We also
found C16-Cer to be the best predictor of preterm labor in the group of patients
with symptoms occurring after 32 weeks of gestation. CONCLUSIONS: Our findings
show a possible involvement of selected sphingolipids, especially C16-Cer, in the
pathogenesis of preterm labor. Their role as predictors of preterm delivery needs
to be validated in the future on larger group of patients.
PMID- 27184755
TI - EETs/sEH in diabetes and obesity-induced cardiovascular diseases.
AB - Despite the optimization of blood glucose control and the therapeutic management
of risk factors, obesity- and diabetes-induced cardiovascular diseases are still
major health problems in the United States. Arachidonic acid (AA), an endogenous
20-carbon polyunsaturated fatty acid, is metabolized by cytochrome P450 (CYP)
epoxygenases into epoxyeicosatrienoic acids (EETs), which are important lipid
mediators with many beneficial effects in type 1 diabetes mellitus (T1DM), type 2
diabetes mellitus (T2DM), and obesity- and diabetes-induced cardiovascular
diseases. EETs can be further metabolized to less active dihydroxyeicosatrienoic
acids (DHETs) by soluble epoxide hydrolase (sEH). It has been demonstrated that
the use of sEH blockers, which prevent EET degradation, is a promising
pharmacological approach to promoting insulin secretion, preventing endothelial
dysfunction, decreasing blood pressure, and protecting against target organ
damage in obesity and metabolic diseases. This review will focus on biochemistry
of CYP monooxygenase system as well as the pharmacology and physiological
significance of EETs and sEH. We will also discuss the role of EETs/sEH in T1DM,
T2DM, and obesity- and diabetes-induced cardiovascular diseases.
PMID- 27184756
TI - [Development and implementation of the Chronicity Strategy for the Basque Country
(Spain): lessons learned].
AB - Public healthcare in the Basque Country (Spain) faces high rates of ageing and
chronicity, which stress the sustainability of the system. In response to this
situation, the Basque Chronicity Strategy was launched in 2010. This large-scale
and far-reaching transformation initiative focused on changing the healthcare
provision model towards integrated care of chronicity. Developed in the context
of economic and financial crisis, strong political opposition and resistance or
passivity of many relevant stakeholders, the design and implementation of the
Strategy introduced some noteworthy elements, such as: a narrative of change
different to the austerity discourse, which was the dominant narrative at that
time; a strategic approach supported by an evidence base and solid theoretical
references; and an implementation strategy that favoured local innovation and the
"bottom up" approach. In spite of this, it was not possible to overcome the
political barriers or bureaucratic immobility, which limited the implementation
and scope of the changes, especially those related to the scalability of
successful local innovations. However, some changes in the healthcare integration
culture at clinical and managerial level have been introduced as a result of the
Strategy, as well as organisational progression towards a chronicity-targeted
healthcare model.
PMID- 27184757
TI - [Evictions and health, a response from the public health in Spain is needed].
PMID- 27184759
TI - Effect of vitamin D supplementation, directly or via breast milk for term
infants, on serum 25 hydroxyvitamin D and related biochemistry, and propensity to
infection: a randomised placebo-controlled trial.
AB - We assessed the effect of vitamin D supplementation on related biochemistry,
infection and dentition of the infant. In a double-blind, placebo-controlled
trial conducted in Lucknow, India (latitude 26 degrees N), 230 mother -newborn
pairs were randomised to receive, for 9 months, 3000ug/month oral vitamin D3 by
the mother (group A) or 10ug/d by the infant (group B) or double placebo (group
C). All babies received 15 min of sun exposure (unclothed) during massage.
Infants' median 25-hydroxyvitamin D (25(OH)D) was lower in group C (median 45.3;
interquartile range (IQR) 22-59.5 nmol/l) than in groups A (median 60.8; IQR 41.3
80.5 nmol/l (P7.5ukat/l) was significantly more frequent in group C babies (16 %)
than in group A (4 %) or group B (0 %) babies. The number of days with
respiratory or diarrhoeal infection by 9 months of age was higher in group C
(median 46.5; IQR 14.8-73.3 d) than in group A (median 18.5; IQR 8.8-31.0 d
(P<0.01)) or group B (median 13.0; IQR 7.0-28.5 (P<0.05)). We conclude that
monthly maternal or daily infant supplementation with vitamin D along with sun
exposure is superior to sun exposure alone in maintaining normal infant 25(OH)D
at 3.5 months, and provide protection from elevated alkaline phosphatase and
infectious morbidity.
PMID- 27184758
TI - Plutella xylostella granulovirus late gene promoter activity in the context of
the Autographa californica multiple nucleopolyhedrovirus genome.
AB - Within Baculoviridae, little is known about the molecular mechanisms of
replication in betabaculoviruses, despite extensive studies in
alphabaculoviruses. In this study, the promoters of nine late genes of the
betabaculovirus Plutella xylostella granulovirus (PlxyGV) were cloned into a
transient expression vector and the alphabaculovirus Autographa californica
multiple nucleopolyhedrovirus (AcMNPV) genome, and compared with homologous late
gene promoters of AcMNPV in Sf9 cells. In transient expression assays, all PlxyGV
late promoters were activated in cells transfected with the individual reporter
plasmids together with an AcMNPV bacmid. In infected cells, reporter gene
expression levels with the promoters of PlxyGV e18 and AcMNPV vp39 and gp41 were
significantly higher than those of the corresponding AcMNPV or PlxyGV promoters,
which had fewer late promoter motifs. Observed expression levels were lower for
the PlxyGV p6.9, pk1, gran, p10a, and p10b promoters than for the corresponding
AcMNPV promoters, despite equal numbers of late promoter motifs, indicating that
species-specific elements contained in some late promoters were favored by the
native viral RNA polymerases for optimal transcription. The 8-nt sequence
TAAATAAG encompassing the ATAAG motif was conserved in the AcMNPV polh, p10, and
pk1 promoters. The 5-nt sequence CAATT located 4 or 5 nt upstream of the T/ATAAG
motif was conserved in the promoters of PlxyGV gran, p10c, and pk1. The results
of this study demonstrated that PlxyGV late gene promoters could be effectively
activated by the RNA polymerase from AcMNPV, implying that late gene expression
systems are regulated by similar mechanisms in alphabaculoviruses and
betabaculoviruses.
PMID- 27184762
TI - Palladium-Catalyzed Carboxylation of Activated Vinylcyclopropanes with CO2.
AB - By using a palladium catalyst with ZnEt2, activated vinylcyclopropanes were
successfully converted into the corresponding beta,gamma-unsaturated carboxylic
acids in high yields under a CO2 atmosphere (1 atm). The intermediate in this
reaction is thought to be a nucleophilic eta(1)-allylethylpalladium species,
which would be produced from pi-allylpalladium and ZnEt2 (umpolung reactivity).
PMID- 27184761
TI - Binding of ATGs to Endothelial Cells In Vivo.
AB - BACKGROUND Polyclonal anti-thymocyte globulins (ATGs) are immunosuppressive drugs
widely used in induction of immunosuppression and treatment of acute rejection
after solid organ transplantation. We have previously demonstrated that ATGs bind
to endothelial cells in vitro, and are able to modulate ECs. The aim of this
study was to investigate the binding of ATGs to endothelial cells under in vivo
conditions. MATERIAL AND METHODS Muscle biopsies from extremities of cynomolgus
monkeys were obtained after ischemia/reperfusion at 4 degrees C. ATGs
(Thymoglobulin, Sanofi-Aventis, France; 1 mg/kg) were added to the blood 30 min
prior to the reperfusion. Biopsies (n=10) of patients undergoing heart
transplantation and preoperatively treated with ATGs (Thymoglobulin, Sanofi
Aventis, France; 1.5 mg/kg) as induction therapy were also analyzed 6 hours and 7
days after induction. Binding of ATGs to ECs was analyzed with an anti-rabbit IgG
antibody by means of immunohistochemistry. RESULTS Binding of ATGs to endothelial
cells could be demonstrated in vivo in our animal experiments 4 hours after
reperfusion, as well as in the clinical biopsies 6 hours after induction of
immunosuppression in heart transplant patients, showing a preferred localization
in post-capillary veins. No expression of ATGs on the endothelial surface could
be observed after 7 days, suggesting that ATGs may be washed out from the
endothelial surface in a time-dependent manner. CONCLUSIONS Our results show that
ATGs are able to bind to endothelial cells in an experimental model and in
clinical practice, supporting preconditioning strategies with ATGs in solid organ
transplantation.
PMID- 27184760
TI - Plasmodium falciparum gametocyte transit through the cutaneous microvasculature:
A new target for malaria transmission blocking vaccines?
AB - Malaria remains one of the most significant infectious diseases worldwide.
Concordant with scaled intervention efforts and the emphasis of elimination and
eradication on the agenda of many malaria control programs, the development of a
malaria vaccine that reduces transmission of the parasite from human host to
mosquito vector has been incorporated as an important new strategic goal.
Transmission of malaria from man to mosquito relies on gametocytes, highly
specialized sexual-stage parasites, that once mature, circulate in the peripheral
vasculature of the human host. The complex interplay between mature gametocytes,
their uptake in the mosquito bloodmeal and forward maturation/fertilization
events provide unique opportunities for intervention. Although recent advances
have yielded greater understanding into the mechanisms that mediate sequestration
of immature gametocytes in the human host, the spatial dynamics of circulating
mature gametocytes in the cutaneous microvaculature remains far less defined,
which is the focus of this review.
PMID- 27184764
TI - Fascin-1 as a novel diagnostic marker of triple-negative breast cancer.
AB - In some cases of breast cancer, diagnosis of triple-negative breast cancer (TNBC)
requires further fluorescence in situ hybridization (FISH) for determining human
epidermal growth factor receptor 2 (HER2) status. However, few cases undergo FISH
in China, leading to difficulty regarding subsequent treatment decisions. Here,
we used immunohistochemical analysis to explore expression of fascin-1, an actin
bundling protein, as a diagnostic marker of TNBC. A total of 457 cases of breast
cancer were divided into four molecular subtypes, including 82 cases (17.9%) of
TNBC, 81 (17.7%) of HER2-enriched, 185 (40.5%) of luminal A, and 109 (23.9%) of
luminal B. Positive fascin-1 expression was seen in 144 cases (31.5%), including
77 (16.8%) strong positive cases. Rates of positive and strong positive
expression of fascin-1 were significantly higher in cases of TNBC than in the
other molecular subtypes. In all cases of breast cancer, the sensitivities and
specificities of positive and strong positive fascin-1 expression for predicting
TNBC were 87.8% and 80.8%, and 78.0% and 96.5%, respectively. In cases of hormone
receptor-negative breast cancer, the sensitivities and specificities of positive
and strong positive fascin-1 expression for predicting TNBC were 87.8% and 61.7%,
and 78.0% and 92.6%, respectively. In 24 cases with estrogen receptor (ER)-, PR-,
and HER2 2 + equivocal status who underwent FISH, the sensitivity and
specificity of strong positive fascin-1 expression for predicting TNBC were 71.4%
and 90.0%. These results suggest that strong positive fascin-1 expression can be
used as a diagnostic marker of TNBC.
PMID- 27184763
TI - HyCCAPP as a tool to characterize promoter DNA-protein interactions in
Saccharomyces cerevisiae.
AB - Currently available methods for interrogating DNA-protein interactions at
individual genomic loci have significant limitations, and make it difficult to
work with unmodified cells or examine single-copy regions without specific
antibodies. In this study, we describe a physiological application of the
Hybridization Capture of Chromatin-Associated Proteins for Proteomics (HyCCAPP)
methodology we have developed. Both novel and known locus-specific DNA-protein
interactions were identified at the ENO2 and GAL1 promoter regions of
Saccharomyces cerevisiae, and revealed subgroups of proteins present in
significantly different levels at the loci in cells grown on glucose versus
galactose as the carbon source. Results were validated using chromatin
immunoprecipitation. Overall, our analysis demonstrates that HyCCAPP is an
effective and flexible technology that does not require specific antibodies nor
prior knowledge of locally occurring DNA-protein interactions and can now be used
to identify changes in protein interactions at target regions in the genome in
response to physiological challenges.
PMID- 27184765
TI - Synthesis and antitubercular evaluation of novel dibenzo[b,d]thiophene tethered
imidazo[1,2-a]pyridine-3-carboxamides.
AB - A series of novel dibenzo[b,d]thiophene tethered imidazo[1,2-a]pyridine
carboxamides 7a-s were designed and synthesized. The required building block, 2
dibenzo[b,d]thiophenyl imidazo[1,2-a]pyridine carboxylic acid (5) was synthesized
from commercial dibenzo[b,d]thiophene in good yields following five-step reaction
sequence. The desired carboxamides 7a-s was prepared through coupling of acid 5
with various benzyl amines. All the new analogues 7a-s was characterized by their
NMR and mass spectral analysis. Among nineteen new compounds 7a-s screened for in
vitro antimycobacterial activity against Mycobacterium tuberculosis H37Rv, three
compounds 7k (MIC: 0.78MUg/mL); 7e and 7n (MIC: 1.56MUg/mL) were identified as
potent analogues with low cytotoxicity. The results reported here will help
global efforts for identification of potential lead antimycobacterial agents.
PMID- 27184766
TI - Synthesis, antitumor evaluation and molecular docking studies of
[1,2,4]triazolo[4,3-b][1,2,4,5]tetrazine derivatives.
AB - A series of [1,2,4]triazolo[4,3-b][1,2,4,5]tetrazine derivatives have been
synthesized and evaluated for their antitumor activities. These compounds
exhibited potent antiproliferative activities against MCF-7, Bewo and HL-60 cells
and c-Met kinase inhibitory activities. Three compounds were highly effective
against MCF-7, Bewo and HL-60 cells with IC50 values in 1.09-2.24MUM. Molecular
docking was further performed to study the inhibitor-c-Met kinase interactions,
and the results show that compound 4j was potently bound to the c-Met kinase with
three hydrogen bonds. The further research on acute toxicity and in vivo
antitumor activity of compound 4j to ICR (Institute of Cancer Research) mice were
carried out, and found 4j with a certain toxicity but good efficacy in vivo.
Based on the preliminary results, it is deduced that compound 4j with potent c
Met kinase inhibitory activity may be a potential anticancer agent.
PMID- 27184767
TI - GroEL/ES inhibitors as potential antibiotics.
AB - We recently reported results from a high-throughput screening effort that
identified 235 inhibitors of the Escherichia coli GroEL/ES chaperonin system
[Bioorg. Med. Chem. Lett.2014, 24, 786]. As the GroEL/ES chaperonin system is
essential for growth under all conditions, we reasoned that targeting GroEL/ES
with small molecule inhibitors could be a viable antibacterial strategy.
Extending from our initial screen, we report here the antibacterial activities of
22 GroEL/ES inhibitors against a panel of Gram-positive and Gram-negative
bacteria, including E. coli, Bacillus subtilis, Enterococcus faecium,
Staphylococcus aureus, Klebsiella pneumoniae, Acinetobacter baumannii,
Pseudomonas aeruginosa, and Enterobacter cloacae. GroEL/ES inhibitors were more
effective at blocking the proliferation of Gram-positive bacteria, in particular
S. aureus, where lead compounds exhibited antibiotic effects from the low-MUM to
mid-nM range. While several compounds inhibited the human HSP60/10 refolding
cycle, some were able to selectively target the bacterial GroEL/ES system.
Despite inhibiting HSP60/10, many compounds exhibited low to no cytotoxicity
against human liver and kidney cell lines. Two lead candidates emerged from the
panel, compounds 8 and 18, that exhibit >50-fold selectivity for inhibiting S.
aureus growth compared to liver or kidney cell cytotoxicity. Compounds 8 and 18
inhibited drug-sensitive and methicillin-resistant S. aureus strains with
potencies comparable to vancomycin, daptomycin, and streptomycin, and are
promising candidates to explore for validating the GroEL/ES chaperonin system as
a viable antibiotic target.
PMID- 27184768
TI - Tailoring nutrient sequence and content to improve glucose tolerance: Why and how
to do it.
PMID- 27184769
TI - Clinical outcomes following bifurcation double-stenting with bioresorbable
scaffolds.
AB - OBJECTIVES: To investigate outcomes following bifurcation double-stenting
utilizing a bioresorbable scaffold (BRS) in the main branch (MB) and either a BRS
or metallic drug-eluting stent (DES) in the side branch (SB). BACKGROUNDS: Data
on outcomes of bifurcation lesions treated with BRSs, especially with double
stenting, are currently lacking. METHODS: Data were examined from 42 de novo
bifurcation lesions (41 patients) treated with double-stenting utilizing Absorb
BRS in MB and BRS/DES in SB. Clinical outcomes including cardiac death, follow-up
myocardial infarction, target lesion revascularization (TLR), and definite
scaffold/stent thrombosis (ST) were investigated. RESULTS: The majority of target
lesions were left anterior descending artery (LAD) bifurcations, and
intravascular imaging was used in the majority of cases (92.9%). In SBs, BRSs
were utilized in 19 lesions (19 patients) and DESs in 23 lesions (22 patients).
Angiographic success was achieved in all lesions. The median follow-up was 594
days (interquartile range 214 - 838 days). The overall TLR-rates were 9.7% at 1
year and 14.0% at 2 years (MB-TLR: 6.9% at 1 year and 11.1% at 2 years; SB TLR:
9.7% at 1 year and 14.0% at 2 years). No definite ST was observed during follow
up. TLR rates in both the MB and SB tended to be higher in the SB-BRS group when
compared to the SB-DES group. CONCLUSION: Mid-term clinical outcomes following
double-stenting using BRS were acceptable. Bifurcation lesions treated with DES
in SB tended to have lower TLR rates in both the MB and SB when compared to
implanting BRS in both branches. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184770
TI - The changing landscape of physiotherapy student clinical placements: An
exploration of geographical distribution and student performance across settings.
AB - OBJECTIVE: To describe the geographical distribution of physiotherapy clinical
placements and investigate the relationship between geographical setting and
clinical placement marks in physiotherapy students. DESIGN: A retrospective
cohort design was used for this study. SETTING: The University of Newcastle, New
South Wales. PARTICIPANTS: Data from entry-level Bachelor of Physiotherapy
student clinical placements. MAIN OUTCOME MEASURE(S): Data from all clinical
placements in the Physiotherapy program between 2003 and 2014 were included. For
all clinical placements, student assessment mark, year of study, type of
placement and placement location were collected. Placement location was then
classified using the Modified Monash Model (MMM) categories: one (most
metropolitan) to seven (most remote). RESULTS: Over the 12 year period of the
study 3964 placements were completed. Between 2003 and 2005 the average
proportion of clinical placements occurring in metropolitan areas (MMM1) was 78%
and in rural areas (MMM categories 3-6) was 22%. In 2014 these proportions had
changed to 59% (MMM1) and 40% (MMM3-6). There were significant differences in
clinical placement grades between MMM1 and all other categories except MMM2, with
lower assessment marks in MMM1 than other categories. CONCLUSIONS: The changing
distribution of physiotherapy clinical placements may be reflective of increasing
student numbers and greater efforts to support students completing rural and
remote placements. This change may lead to a positive effect on the rural and
remote physiotherapy workforce. Further research is required to determine the
specific training and support needs of students and clinical educators in rural
and remote settings.
PMID- 27184771
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): Subtle behavioural and cognitive manifestations of epilepsy in children.
AB - A subtle behavioural or cognitive manifestation of epilepsy can be defined in two
ways. First, epileptiform discharges not presenting as obvious seizures may
nevertheless affect cognition and/or behaviour. Second, the actual seizures may
be obvious but the way they affect cognition or behaviour may not be. There is a
growing body of evidence indicating that the epileptiform discharges in benign
epilepsy with centrotemporal spikes can affect behaviour and cognition. The focal
discharges in other forms of epilepsy can also be associated with behavioural
change. The Landau-Kleffner syndrome, the CSWS syndrome, transitory cognitive
impairment and transient epileptic amnesia provide further examples of cognitive
and behavioural manifestations resulting from subtle manifestations of the
epilepsy. Prompt, effective antiepileptic treatment with medication or surgery
can improve behaviour and cognition in at least some cases.
PMID- 27184772
TI - A systematic review, evidence synthesis and meta-analysis of quantitative and
qualitative studies evaluating the clinical effectiveness, the cost
effectiveness, safety and acceptability of interventions to prevent postnatal
depression.
AB - BACKGROUND: Postnatal depression (PND) is a major depressive disorder in the year
following childbirth, which impacts on women, their infants and their families. A
range of interventions has been developed to prevent PND. OBJECTIVES: To (1)
evaluate the clinical effectiveness, cost-effectiveness, acceptability and safety
of antenatal and postnatal interventions for pregnant and postnatal women to
prevent PND; (2) apply rigorous methods of systematic reviewing of quantitative
and qualitative studies, evidence synthesis and decision-analytic modelling to
evaluate the preventive impact on women, their infants and their families; and
(3) estimate cost-effectiveness. DATA SOURCES: We searched MEDLINE, EMBASE,
Science Citation Index and other databases (from inception to July 2013) in
December 2012, and we were updated by electronic alerts until July 2013. REVIEW
METHODS: Two reviewers independently screened titles and abstracts with consensus
agreement. We undertook quality assessment. All universal, selective and
indicated preventive interventions for pregnant women and women in the first 6
postnatal weeks were included. All outcomes were included, focusing on the
Edinburgh Postnatal Depression Scale (EPDS), diagnostic instruments and infant
outcomes. The quantitative evidence was synthesised using network meta-analyses
(NMAs). A mathematical model was constructed to explore the cost-effectiveness of
interventions contained within the NMA for EPDS values. RESULTS: From 3072
records identified, 122 papers (86 trials) were included in the quantitative
review. From 2152 records, 56 papers (44 studies) were included in the
qualitative review. The results were inconclusive. The most beneficial
interventions appeared to be midwifery redesigned postnatal care [as shown by the
mean 12-month EPDS score difference of -1.43 (95% credible interval -4.00 to
1.36)], person-centred approach (PCA)-based and cognitive-behavioural therapy
(CBT)-based intervention (universal), interpersonal psychotherapy (IPT)-based
intervention and education on preparing for parenting (selective), promoting
parent-infant interaction, peer support, IPT-based intervention and PCA-based and
CBT-based intervention (indicated). Women valued seeing the same health worker,
the involvement of partners and access to several visits from a midwife or health
visitor trained in person-centred or cognitive-behavioural approaches. The most
cost-effective interventions were estimated to be midwifery redesigned postnatal
care (universal), PCA-based intervention (indicated) and IPT-based intervention
in the sensitivity analysis (indicated), although there was considerable
uncertainty. Expected value of partial perfect information (EVPPI) for efficacy
data was in excess of L150M for each population. Given the EVPPI values, future
trials assessing the relative efficacies of promising interventions appears to
represent value for money. LIMITATIONS: In the NMAs, some trials were omitted
because they could not be connected to the main network of evidence or did not
provide EPDS scores. This may have introduced reporting or selection bias. No
adjustment was made for the lack of quality of some trials. Although we appraised
a very large number of studies, much of the evidence was inconclusive.
CONCLUSIONS: Interventions warrant replication within randomised controlled
trials (RCTs). Several interventions appear to be cost-effective relative to
usual care, but this is subject to considerable uncertainty. FUTURE WORK
RECOMMENDATIONS: Several interventions appear to be cost-effective relative to
usual care, but this is subject to considerable uncertainty. Future research
conducting RCTs to establish which interventions are most clinically effective
and cost-effective should be considered. STUDY REGISTRATION: This study is
registered as PROSPERO CRD42012003273. FUNDING: The National Institute for Health
Research Health Technology Assessment programme.
PMID- 27184773
TI - Association of genetic variation in IKZF1, ARID5B, CDKN2A, and CEBPE with the
risk of acute lymphoblastic leukemia in Tunisian children and their contribution
to racial differences in leukemia incidence.
AB - Recent genome-wide association studies (GWAS) focusing on pediatric acute
lymphoblastic leukemia (ALL), the most common malignancy in children younger than
15 years old, have found evidence that single-nucleotide polymorphisms (SNPs) in
IKZF1 (7p12.2), ARID5B (10q21.2), CDKN2A (9p21.3), and CEBPE (14q11.2) are
strongly associated to the risk of developing pediatric ALL. These studies have
been conducted in European and Thai populations, and it is unclear whether these
observations generalize to other populations with a lower incidence of pediatric
ALL. In order to explore the impact of these variants on pediatric ALL risk in
the Tunisian population, we genotyped 58 cases of pediatric ALL and 150 controls
for SNPs rs4132601 (7p12.2), rs7089424 (10q21.2), rs3731217 (9p21.3), and
rs2239633 (14q11.2). Our results, which are consistent with findings in European
populations, show that 3 SNPs, i.e., rs4132601 (P = .00116, odds ratio [OR] =
2.78, 95% confidence interval [CI] = [1.42, 5.87]), rs7089424 (P = .0022, OR =
0.49, 95% CI = [0.31, 0.79]), and rs2239633 (P = .0010, OR = 0.47, 95% CI =
[0.29, 0.75]) are significantly associated with a higher risk of developing
pediatric ALL (P < .05). Furthermore, we show differences in allele frequencies
in SNPs between Tunisian and Caucasian and/or Thai populations (e.g., CEBPE,
rs2239633; population attributable risk [PAR] ~15-fold the PAR of Thai
population). These differences, combined with differences in linkage
disequilibrium structure between populations and differences in size between
populations, may contribute to racial differences in pediatric ALL incidence.
PMID- 27184774
TI - Vascular endothelial growth factor expression in pediatric non-Hodgkin lymphoma:
A prospective study.
PMID- 27184775
TI - Factors influencing time to diagnosis and treatment among pediatric oncology
patients in Kenya.
AB - Early diagnosis and start of treatment are fundamental goals in cancer care. This
study determines the time lag and the factors that influence the time to
diagnosis and start of treatment. Study participants were parents of childhood
cancer patients diagnosed between August 2013 and July 2014 in a hospital in
Kenya. Patient, physician, diagnosis, treatment, health care system, and total
delay were explored using a questionnaire. Demographic and medical data were
collected from the patients' medical records. Parents of 99 childhood cancer
patients were interviewed (response rate: 80%). Median total delay was 102 (9
1021) days. Median patient delay (4 days) was significantly shorter than health
care system delay (median 87 days; P < .001). Diagnosis delay (median 94 days)
was significantly longer than treatment delay (median 6 days; P < .001). days.
Lack of health insurance at diagnosis and use of alternative medicine before
attending conventional health services were associated with a significantly
longer patient delay (P = .041 and P = .017, respectively). The type of cancer
had a significant effect on treatment delay (P = .020). The type of health
facility attended affected only patient delay (P = .03). Gender, age at
diagnosis, stage of disease, parents' education level or income, and distance
from hospital did not have a significant effect on the length of any type of
delay. Training on childhood cancer should be included in the curricula for
medical training institutes. In-service workshops should be held for the health
workers already working. Families must be obligated to get health insurance.
Families should be encourage to attend conventional health facilities and
informed on symptoms of cancer through mass media.
PMID- 27184776
TI - Rectal perforation: An unusual complication of uterine artery embolisation.
PMID- 27184778
TI - A Disposable Planar Paper-Based Potentiometric Ion-Sensing Platform.
AB - Ion-selective electrodes (ISEs) are widely used tools for fast and accurate ion
sensing. Herein their design is simplified by embedding a potentiometric cell
into paper, complete with an ISE, a reference electrode, and a paper-based
microfluidic sample zone that offer the full function of a conventional ISE
setup. The disposable planar paper-based ion-sensing platform is suitable for low
cost point-of-care and in-field testing applications. The design is symmetrical
and each interfacial potential within the cell is well defined and reproducible,
so that the response of the device can be theoretically predicted. For a
demonstration of clinical applications, paper-based Cl(-) and K(+) sensors are
fabricated with highly reproducible and linear responses towards different
concentrations of analyte ions in aqueous and biological samples. The single-use
devices can be fabricated by a scalable method, do not need any pretreatment
prior to use, and only require a sample volume of 20 MUL.
PMID- 27184777
TI - From ScOOH to Sc2 O3 : Phase Control, Luminescent Properties, and Applications.
AB - In the controlled synthesis of ScOOH nanomaterials, the surfactant molecule Na3
Cit not only helps to manipulate the crystallographic structures, but also to
initiate the transfer from alpha-ScOOH to gamma-ScOOH. Further annealing of ScOOH
generates cubic Sc2 O3 with morphologies inherited from respective origins. When
doped with lanthanide ions, both ScOOH and Sc2 O3 can be utilized for high
temperature probing and light-emitting-diode lighting.
PMID- 27184779
TI - Plasma-Derived C1 Esterase Inhibitor for Acute Antibody-Mediated Rejection
Following Kidney Transplantation: Results of a Randomized Double-Blind Placebo
Controlled Pilot Study.
AB - Antibody-mediated rejection (AMR) is typically treated with plasmapheresis (PP)
and intravenous immunoglobulin (standard of care; SOC); however, there is an
unmet need for more effective therapy. We report a phase 2b, multicenter double
blind randomized placebo-controlled pilot study to evaluate the use of human
plasma-derived C1 esterase inhibitor (C1 INH) as add-on therapy to SOC for AMR.
Eighteen patients received 20 000 units of C1 INH or placebo (C1 INH n = 9,
placebo n = 9) in divided doses every other day for 2 weeks. No discontinuations,
graft losses, deaths, or study drug-related serious adverse events occurred.
While the study's primary end point, a difference between groups in day 20
pathology or graft survival, was not achieved, the C1 INH group demonstrated a
trend toward sustained improvement in renal function. Six-month biopsies
performed in 14 subjects (C1 INH = 7, placebo = 7) showed no transplant
glomerulopathy (TG) (PTC+cg>=1b) in the C1 INH group, whereas 3 of 7 placebo
subjects had TG. Endogenous C1 INH measured before and after PP demonstrated
decreased functional C1 INH serum concentration by 43.3% (p < 0.05) for both
cohorts (C1 INH and placebo) associated with PP, although exogenous C1 INH
treated patients achieved supraphysiological levels throughout. This new finding
suggests that C1 INH replacement may be useful in the treatment of AMR.
PMID- 27184780
TI - A Comparison of Mindray BC-6800, Sysmex XN-2000, and Beckman Coulter LH750
Automated Hematology Analyzers: A Pediatric Study.
AB - BACKGROUND: Modern automated laboratory hematology analyzers allow the
measurement of over 30 different hematological parameters useful in the
diagnostic and clinical interpretation of patient symptoms. They use different
methods to measure the same parameters. Thus, a comparison of complete blood
count made by Mindray BC-6800, Sysmex XN-2000 and Beckman Coulter LH750 was
performed. MATERIALS AND METHODS: A comparison of results obtained by automated
analysis of 807 anticoagulated blood samples from children and 125 manual
microscopic differentiations were performed. This comparative study included
white blood cell count, red blood cell count, and erythrocyte indices, as well as
platelet count. RESULTS: The present study showed a poor level of agreement
between white blood cell enumeration and differentiation of the three automated
hematology analyzers under comparison. A very good agreement was found when
comparing manual blood smear and automated granulocytes, monocytes, and
lymphocytes differentiation. Red blood cell evaluation showed better agreement
than white blood cells between the studied analyzers. CONCLUSION: To conclude,
studied instruments did not ensure satisfactory interchangeability and did not
facilitate a substitution of one analyzer by another.
PMID- 27184781
TI - Unprecedented selectivity in molecular recognition of carbohydrates by a metal
organic framework.
AB - Metal-organic framework (MOF) material NU-1000 adsorbs dimers cellobiose and
lactose from aqueous solution, in amounts exceeding 1250 mg gNU-1000(-1) while
completely excluding the adsorption of the monomer glucose, even in a competitive
mode with cellobiose. The MOF also discriminates between dimers consisting of
alpha and beta linkages, showing no adsorption of maltose. Electronic structure
calculations demonstrate that key to this selective molecular recognition is the
number of favorable CH-pi interactions made by the sugar with pyrene units of the
MOF.
PMID- 27184782
TI - Striatal dopamine D2/3 receptor availability increases after long-term bariatric
surgery-induced weight loss.
AB - In several studies reduced striatal dopamine D2/3 receptor (D2/3R) availability
was reported in obese subjects compared to lean controls. Whether this is a
reversible phenomenon remained uncertain. We previously determined the short-term
effect of Roux-en-Y gastric bypass surgery (RYGB) on striatal D2/3R availability
(using [(123)I]IBZM SPECT) in 20 morbidly obese women. Striatal D2/3R
availability was lower compared to controls at baseline, and remained unaltered
after 6 weeks, despite significant weight loss. To determine whether long-term
bariatric surgery-induced weight loss normalizes striatal D2/3R binding, we
repeated striatal D2/3R binding measurements at least 2 years after RYGB in 14
subjects of the original cohort. In addition, we assessed long-term changes in
body composition, eating behavior and fasting plasma levels of leptin, ghrelin,
insulin and glucose. Mean body mass index declined from 46+/-7kg/m(2) to 32+/
6kg/m(2), which was accompanied by a significant increase in striatal D2/3R
availability (p=0.031). Striatal D2/3R availability remained significantly
reduced compared to the age-matched controls (BMI 22+/-2kg/m(2); p=0.01). Changes
in striatal D2/3R availability did not correlate with changes in body weight/fat,
insulin sensitivity, ghrelin or leptin levels. Scores on eating behavior
questionnaires improved and changes in the General Food Craving Questionnaire
State showed a borderline significant correlation with changes in striatal D2/3R
availability. These findings show that striatal D2/3R availability increases
after long-term bariatric-surgery induced weight loss, suggesting that reduced
D2/3R availability in obesity is a reversible phenomenon.
PMID- 27184783
TI - CINOEDV: a co-information based method for detecting and visualizing n-order
epistatic interactions.
AB - BACKGROUND: Detecting and visualizing nonlinear interaction effects of single
nucleotide polymorphisms (SNPs) or epistatic interactions are important topics in
bioinformatics since they play an important role in unraveling the mystery of
"missing heritability". However, related studies are almost limited to pairwise
epistatic interactions due to their methodological and computational challenges.
RESULTS: We develop CINOEDV (Co-Information based N-Order Epistasis Detector and
Visualizer) for the detection and visualization of epistatic interactions of
their orders from 1 to n (n >= 2). CINOEDV is composed of two stages, namely,
detecting stage and visualizing stage. In detecting stage, co-information based
measures are employed to quantify association effects of n-order SNP combinations
to the phenotype, and two types of search strategies are introduced to identify n
order epistatic interactions: an exhaustive search and a particle swarm
optimization based search. In visualizing stage, all detected n-order epistatic
interactions are used to construct a hypergraph, where a real vertex represents
the main effect of a SNP and a virtual vertex denotes the interaction effect of
an n-order epistatic interaction. By deeply analyzing the constructed hypergraph,
some hidden clues for better understanding the underlying genetic architecture of
complex diseases could be revealed. CONCLUSIONS: Experiments of CINOEDV and its
comparison with existing state-of-the-art methods are performed on both
simulation data sets and a real data set of age-related macular degeneration.
Results demonstrate that CINOEDV is promising in detecting and visualizing n
order epistatic interactions. CINOEDV is implemented in R and is freely available
from R CRAN: http://cran.r-project.org and
https://sourceforge.net/projects/cinoedv/files/ .
PMID- 27184784
TI - Cost-effectiveness of Wisconsin TEAM model for improving adherence and
hypertension control in black patients.
AB - OBJECTIVES: To assess the cost-effectiveness of the 6-month Team Education and
Adherence Monitoring (TEAM) intervention for black patients with hypertension in
community pharmacies using prospectively collected cost data. DESIGN: Cost
effectiveness analysis of a cluster-randomized trial. SETTING: Twenty-eight chain
pharmacies in 5 Wisconsin cities from December 2006 to February 2009.
PARTICIPANTS: Five hundred seventy-six black patients with uncontrolled
hypertension. INTERVENTION: Pharmacists and pharmacy technicians using novel
tools for improving adherence and feedback to patients and physicians as compared
to information-only control group. MAIN OUTCOME MEASURES: Incremental cost
analysis of variable costs from the pharmacy perspective captured prospectively
at the participant level. Outcomes (effect measures) were 6-month refill
adherence, changes in systolic blood pressure (SBP) and diastolic blood pressure
(DBP), and proportion of patients achieving blood pressure (BP) control. RESULTS:
Mean cost of intervention personnel time and tools was $104.8 +/- $45.2.
Incremental variable costs per millimeter of mercury decrease in SBP and DBP were
$22.2 +/- 16.3 and $66.0 +/- 228.4, respectively. The cost of helping 1 more
person achieve the BP goal (<140/90 mm Hg) was $665.2 +/- 265.2; the cost of
helping 1 more person achieve good refill adherence was $463.3 +/- 110.7.
Prescription drug costs were higher for the TEAM group ($392.8 [SD = 396.3]
versus $307.0 [SD = 295.2]; P = 0.02). The startup cost for pharmacy furniture,
equipment, and privacy screen was $168 per pharmacy. CONCLUSION: Our randomized,
practice-based intervention demonstrates that community pharmacists can implement
a cost-effective intervention to improve hypertension control in blacks. This
approach imposes a nominal expense at the pharmacy level that can be integrated
into the ongoing pharmacist-patient relationship, and can enhance clinical and
behavioral outcomes.
PMID- 27184787
TI - [Adaptive and Maladaptive Strategies of Emotion Regulation in Adolescents with
ADHD].
AB - The present study investigated differences between adolescents with ADHD and
control subjects in their adaptive und maladaptive regulation of negative
emotions. We assessed emotion regulation strategies using the German self-report
questionnaire FEEL-KJ in a sample of adolescents (between 11 and 18 years) with
ADHD (disturbance of activity, impulsivity and attention: n = 32, hyperkinetic
conduct disorder: n = 26) and controls (n = 58). We found that adolescents with
ADHD reported using less adaptive strategies for dealing with negative emotions
than control subjects. No effects were found for maladaptive emotion regulation
strategies for anger, fear and sadness. Our findings indicate that adolescents
with ADHD should be encouraged in the development of adaptive emotion regulation.
PMID- 27184785
TI - Consumer self-selection, safety, and compliance with a novel over-the-counter
ibuprofen 600-mg immediate-release and extended-release tablet.
AB - OBJECTIVE: The extent to which people comply with labeled instructions for use of
long-acting over-the-counter analgesics is largely unknown; this study evaluated
whether consumers can correctly select and use a new long-acting ibuprofen 600-mg
immediate-release and extended-release (IR/ER) product. DESIGN: A single open
label study with participants randomly assigned to 2 substudies. Self-selection
substudy: participants estimated duration of their last pain episode, then
selected ibuprofen IR/ER or standard ibuprofen IR for a similar episode.
Compliance substudy: participants purchased and recorded real-world use of
ibuprofen IR/ER in daily diaries over 30 days. SETTING: Eighteen U.S. pharmacies.
PARTICIPANTS: Consumers age 12 years or older who used an average of >=5 doses
per month of an over-the-counter analgesic in the past 3 months. INTERVENTION:
Self-selection: questionnaire only. Compliance: ibuprofen IR/ER as needed. MAIN
OUTCOME MEASURES: Self-selection: percentage who correctly selected or avoided
selecting ibuprofen IR/ER based on anticipated pain duration. Compliance:
proportion who were excessive users (use for >10 of 30 days and averaging >1600
mg/d, or >20 tablets over <=10 days and averaging >1600 mg/d). RESULTS: Self
selection substudy (N = 249): on the primary endpoint, 69.1% (95% confidence
interval [CI], 63.3%-74.8%) overall made a correct selection-63.5% (95% CI, 57.5%
69.4%) correctly selected ibuprofen IR/ER for pain >=6 hours and 5.6% (95% CI,
2.8%-8.5%) correctly avoided selecting ibuprofen IR/ER for shorter-lasting pain.
Overall, 82.7% (95% CI, 77.6%-87.8%) chose correctly when subjects who picked
ibuprofen IR for pain >=6 hours (a "missed opportunity," not an incorrect
selection) were excluded. Compliance substudy (N = 405): only 5 participants
(1.2%; 95% CI, 0.2%-2.3%) were excessive users; all took ibuprofen IR/ER for >10
days, averaging 1821 mg/day (range, 1661.5-2072.7 mg/d). No excessive user
experienced an adverse event. CONCLUSION: Although the study did not meet the a
priori primary efficacy outcome target, the majority of participants selected and
used ibuprofen 600-mg IR/ER tablets correctly per the labeled instructions.
PMID- 27184788
TI - [Effectiveness of an Educator Training about the Attention-Deficit-/Hyperactivity
Disorder (ADHD)].
AB - The purpose of the current study was to examine the effectiveness of a workshop
for teachers focussing on ADHD. A total of 44 educators answered a short version
of the Knowledge of Attention Deficit Disorders Scale (KADDS) and self-report
questions before, shortly after, and three month subsequent (follow-up) to a 2.5
hour long workshop. Results showed a significant increase in the educators'
knowledge at post-test, which remained stable in the follow-up. Whereas
uncertainties ("don't-know"-answers) decreased, heterogeneous results were found
concerning the number of misconceptions. Educators upgraded their knowledge
perception as well as their certainty in dealing with an affected child at post
test. The results show that even a relatively short workshop had a positive and
persistent impact on educators' ADHD expertise, which illustrates the potential
of such workshops.
PMID- 27184789
TI - [Changes in OPD-CA Axis Structure During Inpatient Psychodynamic Treatment of
Adolescents Suffering from Comorbid Disorders of Conduct and Emotions].
AB - In a randomized controlled clinical trial (RCT) we evaluated an inpatient
psychodynamic treatment for adolescents suffering from mixed disorders of conduct
and emotions. The sample consisted of severely impaired adolescents with
remarkable deficits regarding psychic structure. The current study wanted to
examine if the manualized treatment did not only reduce symptoms but also enhance
the structural level of the patients. The axis structure of the Operationalized
Psychodynamic Diagnostics in Childhood and Adolescence (OPD-CA) was used to
assess the structural level of N = 46 adolescent inpatients. To examine
differences between the patients' structural level at the beginning and at the
end of inpatient treatment we conducted a repeated measures ANOVA. The overall
score as well as the three subscores of the axis structure improved significantly
during inpatient treatment. The corresponding effect sizes were large (eta(2) =
.29 to .47). The inpatient psychodynamic treatment led to significant
improvements regarding symptomatology as well as psychic structure. However,
further studies with larger sample size and control group data should be
conducted to confirm these results.
PMID- 27184790
TI - [Early Intervention and Cognitive Development: A Longitudinal Study with
Psychologically Stressed Mother-Child-Dyad during Early Childhood].
AB - Early intervention programs aiming at developing parents' relationship and
parenting skills and supporting young families have become increasingly
established in Germany throughout the last decade. The present longitudinal study
analyzed 53 children and their mothers receiving early intervention due to their
psychosocially highly challenging life situations and personal circumstances. The
children were examined at birth and at an age of twelve months as well as between
ages two and four. The results revealed that the child's cognitive development
could be predicted by both maternal sensitivity and mother's psychosocial stress.
However, the amount, type, and intensity of early intervention did not have any
effect on the child's development. In terms of the effectiveness of early
interventions the results implicate that interventions seems to be offered in an
unspecific manner and does not contribute to an improvement of the child's
developmental status.
PMID- 27184791
TI - [Multi-Family-Groups in Youth Welfare].
AB - Multi-Family-Groups are an intervention setting, that is mainly applied in the
context of systemic therapy and counselling. In this article we introduce some
basics of that setting and sketch some specifics and two examples of use for the
application in the context of youth welfare.
PMID- 27184795
TI - Lack of Sphingosine Causes Susceptibility to Pulmonary Staphylococcus Aureus
Infections in Cystic Fibrosis.
AB - BACKGROUND: Pulmonary Staphylococcus aureus (S. aureus) infections occur early in
a high percentage of cystic fibrosis (CF) patients and it is believed that these
infections facilitate further colonization of CF lungs with Pseudomonas
aeruginosa (P. aeruginosa). Previous studies demonstrated a marked reduction of
sphingosine in tracheal and bronchial epithelial cells in CF compared to wild
type mice, while ceramide is massively increased in CF mice. METHODS: We
investigated the effect of C18-sphingosine and C16-ceramide on S. aureus in
vitro. Based on our results we performed pulmonary infections with S. aureus and
tested the influence of sphingosine inhalation. RESULTS: In vitro incubation of
S. aureus with C18-sphingosine rapidly killed S. aureus, while C16-ceramide did
not affect bacterial survival, but abrogated the effect of C18-sphingosine when
applied together. The in vivo infection experiments revealed a high
susceptibility of CF mice to pulmonary infection with S. aureus. Inhalation of
C18-sphingosine rescued CF mice from pulmonary infections with different clinical
S. aureus isolates, including a methicillin-resistant S. aureus (MRSA) strain.
CONCLUSIONS: Our data indicate that the imbalance between ceramide and
sphingosine in the CF respiratory tract prevents killing of S. aureus and causes
the high susceptibility of CF mice to pulmonary S. aureus infections.
PMID- 27184796
TI - Effect of trauma onset on personality traits of politically persecuted victims.
AB - BACKGROUND: The hypothesis that traumatic experiences in early childhood impact
personality formation and psychopathology is well known in psychology and
psychiatry, but this is difficult to verify statistically in methodological
terms. The aim of this study, conducted with politically persecuted Poles, was to
establish the influence of the time when trauma is experienced on the development
of psychopathological symptoms. METHODS: The subjects were divided into two
groups: those who had experienced trauma before age five (group 1) and those who
experienced trauma at an older age (group 2). Subjects in both groups suffered
from chronic untreated post-traumatic stress disorder. In order to test the
research hypothesis, the Minnesota Multiphasic Personality Inventory-2 profiles
of both groups were compared using Student's t-test, and the Mann-Whitney U-test.
RESULTS: Statistically significant between-group differences were found for the F
validity scale and the following clinical scales: Hypochondriasis, Depression,
Psychopathic deviate, Psychasthenia, Schizophrenia, and Social introversion. All
the significantly different scores were higher in the group traumatized in early
childhood. People exposed to trauma under age five had profiles similar to those
traumatized after age five, but they experienced their symptoms more intensely.
CONCLUSIONS: Of clinical significance, higher scores on the psychasthenia,
schizophrenia, and social introversion scales, especially on the psychopathic
deviate scale, indicated pathology only in the early childhood trauma group.
Taken together, these symptoms lead to withdrawal and hindrance of social
functioning. This outcome confirms the hypothesis of the influence of various
early childhood factors (such as trauma) on personality formation and personality
traits in adulthood.
PMID- 27184797
TI - HOXB13 expression in metastatic prostate cancer.
PMID- 27184799
TI - NEDD 4 binding protein 2-like 1 promotes cancer cell invasion in oral squamous
cell carcinoma.
AB - Head and neck cancer, including oral squamous cell carcinoma, is the sixth most
common cancer worldwide. Although cancer cell invasion and metastasis are crucial
for tumor progression, detailed molecular mechanisms underlying the invasion and
metastasis of oral squamous cell carcinoma are unclear. Comparison of
transcriptional profiles using a cDNA microarray demonstrated that N4BP2L1, a
novel oncogene expressed by neural precursor cells, is involved in oral squamous
cell carcinoma. Expression of N4BP2L1 in oral squamous cell carcinoma is
regulated by activation of miR-448 and is higher than in normal oral mucosa.
Knockdown of N4BP2L1 and upregulation of miR-448 significantly reduced the
invasive potential of oral squamous cell carcinoma cells. We studied N4BP2L1
expression in 187 cases of oral squamous cell carcinoma and found its
overexpression to be significantly associated with nodal metastasis (P = 0.0155)
and poor prognosis (P = 0.0136). Expression of miR-448 was found to be inversely
associated with that of N4BP2L1 (P = 0.0019). Cox proportional hazards analysis
identified N4BP2L1 expression as an independent predictor of disease-free
survival (P = 0.0349). Our results suggest that N4BP2L1 plays an important role
in tumor cell invasion in oral squamous cell carcinoma. Further studies on
expression of N4BP2L1 may provide new insight into its function and clarify its
potential as biomarker in human oral cancer.
PMID- 27184798
TI - Overexpression of HIF1alpha and CAXI predicts poor outcome in early-stage triple
negative breast cancer.
AB - Dysregulated energy metabolism is one of the main mechanisms for uncontrolled
growth in solid tumors. Hypoxia-inducible factor 1-alpha (HIF1alpha) is a
transcription factor implicated in regulating several genes that are responsible
for cell metabolism, including carbonic anhydrase IX (CAIX). The aim of this
study is to determine the clinical significance of immunohistochemical metabolic
alteration in early-stage triple negative breast cancer (TNBC) patients who
received cyclophosphamide-based chemotherapy or radiotherapy and those with basal
phenotype. Immunohistochemical staining for HIF1alpha and CAIX was performed to
determine the correlation with clinicopathologic variables and survival outcome
on tissue microarrays from 270 early-stage TNBC patients. In vitro experiments
with multiple human TNBC cell lines, suppression of HIF1alpha by small
interfering RNA (siRNA) significantly reduced CAIX protein expression in all cell
lines. In multivariate analyses for different therapeutic modalities and basal
phenotype, combined HIF1alpha and CAIX protein overexpression was significantly
associated with disease-free survival in the total cohort (OR = 2.583, P =
0.002), stratified cohorts expressing basal phenotype (OR = 2.234, P = 0.021),
and in those patients who received adjuvant chemotherapy (OR = 3.078, P = 0.023)
and adjuvant radiotherapy (OR = 2.111, P = 0.050), respectively. In early TNBC,
combined HIF1alpha and CAIX protein expression may serve as an unfavorable
prognostic indicator particularly in patients treated with cyclophosphamide-based
chemotherapy or radiotherapy as well as those with basal phenotype of breast
cancer.
PMID- 27184801
TI - Evaluation of the performances of six commercial kits designed for dengue NS1 and
anti-dengue IgM, IgG and IgA detection in urine and saliva clinical specimens.
AB - BACKGROUND: Rapid diagnostic tests (RDTs) have been commercialized in order to
help physicians in dengue diagnosis. Until recently, only blood samples were used
for those tests but it has been shown in several studies that urine and saliva
can also be employed for dengue diagnosis. RDTs for the detection of NS1 antigen
and anti-dengue IgG, IgM and IgA in urine and saliva specimens have thus been
developed by Standard Diagnostics. The aim of this study was to evaluate the
performances these new commercial assays. METHODS: Two panels of clinical
specimens were used: one for the evaluation of the NS1-detection devices and the
second for the evaluation of the antibody-detection kits. Each panel consisted of
urine and saliva specimens collected sequentially from 86 patients with a
confirmed dengue infection. A total of 291 saliva and 440 urine samples were
included in the NS1-evaluation panel and 530 saliva and 528 urine specimens
constituted the antibody-evaluation panel. All samples were tested in parallel by
in-house ELISAs and by the commercial RDTs. RESULTS: The RDTs demonstrated an
overall sensitivity of 15.5 %/27.9 %/10.7 % for NS1/IgG/IgA detection in urine
samples and 20.4 %/ 34.8 %/11 %/6.2 % for NS1/IgG/IgM/IgA detection in saliva
samples. Compared to the in-house NS1 ELISA, the results obtained with the NS1
RDT demonstrated a good correlation with urine samples (kappa coefficient: 0.88)
but not with saliva specimens (kappa coefficient: 0.28). RDTs designed for
antibody detection in saliva and urine were extremely specific (100 %), but less
sensitive than the in-house ELISAs (i.e., reduction of the overall sensitivity by
12.2 % for the RDT designed for IgG detection in urine and by 23.7 % for the RDT
detecting anti-DENV IgM in saliva). IgM were not detected in urine, either by RDT
or ELISA. CONCLUSIONS: Although the RDTs evaluated here offer an apparently
attractive approach for dengue diagnosis, this study suggests that these new
commercial kits would require further improvement to increase the sensitivity.
PMID- 27184800
TI - Metallothionein-1 as a biomarker of altered redox metabolism in hepatocellular
carcinoma cells exposed to sorafenib.
AB - BACKGROUND: Sorafenib, a kinase inhibitor active against various solid tumours,
induces oxidative stress and ferroptosis, a new form of oxidative necrosis, in
some cancer cells. Clinically-applicable biomarkers that reflect the impact of
sorafenib on the redox metabolism of cancer cells are lacking. METHODS: We used
gene expression microarrays, real-time PCR, immunoblot, protein-specific ELISA,
and gene reporter constructs encoding the enzyme luciferase to study the response
of a panel of cancer cells to sorafenib. Tumour explants prepared from surgical
hepatocellular carcinoma (HCC) samples and serum samples obtained from HCC
patients receiving sorafenib were also used. RESULTS: We observed that genes of
the metallothionein-1 (MT1) family are induced in the HCC cell line Huh7 exposed
to sorafenib. Sorafenib increased the expression of MT1G mRNA in a panel of human
cancer cells, an effect that was not observed with eight other clinically
approved kinase inhibitors. We identified the minimal region of the MT1G promoter
that confers inducibility by sorafenib to a 133 base pair region containing an
Anti-oxidant Response Element (ARE) and showed the essential role of the
transcription factor NRF2 (Nuclear factor erythroid 2-Related Factor 2). We
examined the clinical relevance of our findings by analysing the regulation of
MT1G in five tumour explants prepared from surgical HCC samples. Finally, we
showed that the protein levels of MT1 increase in the serum of some HCC patients
receiving sorafenib, and found an association with reduced overall survival.
CONCLUSION: These findings indicate that MT1 constitute a biomarker adapted for
exploring the impact of sorafenib on the redox metabolism of cancer cells.
PMID- 27184802
TI - Cost-effectiveness of medical primary prevention strategies to reduce absolute
risk of cardiovascular disease in Tanzania: a Markov modelling study.
AB - BACKGROUND: Cardiovascular disease (CVD) is a growing cause of mortality and
morbidity in Tanzania, but contextualized evidence on cost-effective medical
strategies to prevent it is scarce. We aim to perform a cost-effectiveness
analysis of medical interventions for primary prevention of CVD using the World
Health Organization's (WHO) absolute risk approach for four risk levels. METHODS:
The cost-effectiveness analysis was performed from a societal perspective using
two Markov decision models: CVD risk without diabetes and CVD risk with diabetes.
Primary provider and patient costs were estimated using the ingredients approach
and step-down methodologies. Epidemiological data and efficacy inputs were
derived from systematic reviews and meta-analyses. We used disability- adjusted
life years (DALYs) averted as the outcome measure. Sensitivity analyses were
conducted to evaluate the robustness of the model results. RESULTS: For CVD low
risk patients without diabetes, medical management is not cost-effective unless
willingness to pay (WTP) is higher than US$1327 per DALY averted. For moderate
risk patients, WTP must exceed US$164 per DALY before a combination of
angiotensin converting enzyme inhibitor (ACEI) and diuretic (Diu) becomes cost
effective, while for high-risk and very high-risk patients the thresholds are
US$349 (ACEI, calcium channel blocker (CCB) and Diu) and US$498 per DALY (ACEI,
CCB, Diu and Aspirin (ASA)) respectively. For patients with CVD risk with
diabetes, a combination of sulfonylureas (Sulf), ACEI and CCB for low and
moderate risk (incremental cost-effectiveness ratio (ICER) US$608 and US$115 per
DALY respectively), is the most cost-effective, while adding biguanide (Big) to
this combination yielded the most favourable ICERs of US$309 and US$350 per DALY
for high and very high risk respectively. For the latter, ASA is also part of the
combination. CONCLUSIONS: Medical preventive cardiology is very cost-effective
for all risk levels except low CVD risk. Budget impact analyses and
distributional concerns should be considered further to assess governments'
ability and to whom these benefits will accrue.
PMID- 27184803
TI - Course of Psychiatric Symptoms and Abstinence among Methamphetamine-Dependent
Persons in Sober Living Recovery Homes.
AB - BACKGROUND: Although studies of co-occurring psychiatric disorders among
methamphetamine (MA)-dependent persons have been conducted in treatment programs,
none have examined them in service settings used to sustain long-term recovery,
such as sober living houses (SLHs). METHODS: Residents entering SLHs (N = 243)
were interviewed within two weeks and at 6-, 12-, and 18-month follow-up.
Measures assessed psychiatric symptoms using the Brief Symptom Inventory (BSI),
past-year drug and alcohol dependence, and abstinence over six-month time
periods. RESULTS: Overall, severity of psychiatric symptoms on the BSI was
similar among MA-dependent and other dependent residents. Global psychiatric
severity, depression, and somatization scales on the BSI predicted abstinence for
both groups. However, phobic anxiety and hostility scales were associated with
abstinence for MA-dependent residents but not for those dependent on other
substances. CONCLUSION: The similarity of psychiatric symptoms among persons with
and without MA dependence in SLHs is different from what studies have found in
treatment programs. The association between psychiatric symptoms and abstinence
for both groups suggests SLHs should consider provision of on- or off-site mental
health services. Additional research is needed to understand why phobic anxiety
and hostility are associated with abstinence among MA-dependent residents but not
those dependent on other substances.
PMID- 27184806
TI - Pattern of unintentional burns: A hospital based study from Pakistan.
AB - BACKGROUND: Burns are major cause of morbidity and mortality in developing
countries. Better understanding of the nature and extent of injury remains the
major and only available way to halt the occurrence of the event. The present
study was conducted to determine the prevalence of by self and by other
unintentional burn, their comparison and the possible mode of acquisition by
obtaining the history of exposure to known risk factors. METHODS: A cross
sectional questionnaire based survey was conducted in Burns Centre of Civil
Hospital Karachi, Pakistan and 324 hospitalized adult patients with unintentional
burns were consecutively interviewed during August 2013 to February 2014.
Information was collected on socio-demographic profile. The source of burn,
affected body part and place of injury acquisition in terms of home, outside or
work were also noted. Logistic regression model was conducted using SPSS
software. RESULTS: Out of 324 patients, 295 (91%) had unintentional burn by self
and 29 (9%) had unintentional burn by others. Male gender were 2.37 times and no
schooling were 1.75 times more likely to have self-inflicted unintentional burn.
Lower limb and head and neck were less likely to involve in unintentional burn by
self. CONCLUSION: The burden of unintentional burn by self was considerably
higher. Male gender and no schooling were found more at risk to have
unintentional burn by self.
PMID- 27184805
TI - Cardiac Strain in a Swine Model of Regional Hibernating Myocardium: Effects of
CoQ10 on Contractile Reserve Following Bypass Surgery.
AB - There is conflicting clinical evidence whether administration of coenzyme Q10
(CoQ10) improves function following coronary artery bypass graft surgery (CABG).
Using a swine model of hibernating myocardium, we tested whether daily CoQ10
would improve contractile function by MRI at 4-week post-CABG. Twelve pigs
underwent a thoracotomy and had a constrictor placed on the left anterior
descending (LAD). At 12 weeks, they underwent off-pump bypass and received daily
dietary supplements of either CoQ10 (10 mg/kg/day) or placebo. At 4-week post
CABG, circumferential strain measurements in the hibernating LAD region from
placebo and CoQ10 groups were not different and increased to a similar extent
with dobutamine (-14.7 +/- 0.6 versus -14.8 +/- 0.1, respectively (NS)). Post
sacrifice, oxidant stress markers were obtained in the mitochondrial isolates and
protein carbonyl in the placebo, and CoQ10 groups were 6.14 +/- 0.36 and 5.05 +/-
0.32 nmol/mg, respectively (NS). In summary, CoQ10 did not improve contractile
reserve or reduce oxidant stress at 4-week post-CABG.
PMID- 27184807
TI - The need for effective literature searching for burns research: A timely
reminder.
PMID- 27184808
TI - Catheter ablation of idiopathic right ventricular arrhythmias in children with
limited fluoroscopy.
AB - INTRODUCTION: Definitive therapy of idiopathic right ventricular arrhythmias (VA)
remains a challenge in interventional electrophysiology. The aim of this study
was to evaluate the utility of EnSite Velocity system in the catheter ablation of
RV tachycardia in children. METHODS: Thirty-five children with idiopathic right
VA underwent catheter ablation procedure using the EnSite Velocity system
guidance. RESULTS: The mean patient age was 12.0 +/- 3.7 years and the mean
patient weight was 43.6 +/- 18.7 kg. The origin of right VA was divided into
right ventricular outflow tract (RVOT) (30 patients) and other right ventricular
localizations (five patients). The mean procedure and fluoroscopy times were 175
+/- 67 min and 2.35 +/- 1.89 min, respectively. No fluoroscopy was used in 19
patients. Acute success was achieved in 29 patients (83 %). The focus of VA was
epicardial in three failed procedures. Cryocatheter (6-mm or 8-mm tip) was used
in six patients, radiofrequency ablation (RFA) (4-mm or 8-mm tip) in 26 patients,
and 4-mm tip irrigated RFA in three patients. During a mean follow-up of 15.9 +/-
7.1 months, ventricular tachycardia recurred in six patients (20 %). There were
three complications (transient atrioventricular block developed in one patient
during parahissian cryoablation and two patients developed transient complete
right bundle branch block). CONCLUSIONS: Catheter ablation of idiopathic right VA
in children can be performed safely and effectively with limited fluoroscopy
using the EnSite Velocity system.
PMID- 27184810
TI - Abstracts of the Medical Engineering and Bioinformatics 2016 (MEB 2016), China, 7
May 2016.
PMID- 27184804
TI - Calcific Aortic Valve Disease: Part 2-Morphomechanical Abnormalities, Gene
Reexpression, and Gender Effects on Ventricular Hypertrophy and Its
Reversibility.
AB - In part 1, we considered cytomolecular mechanisms underlying calcific aortic
valve disease (CAVD), hemodynamics, and adaptive feedbacks controlling
pathological left ventricular hypertrophy provoked by ensuing aortic valvular
stenosis (AVS). In part 2, we survey diverse signal transduction pathways that
precede cellular/molecular mechanisms controlling hypertrophic gene expression by
activation of specific transcription factors that induce sarcomere replication in
parallel. Such signaling pathways represent potential targets for therapeutic
intervention and prevention of decompensation/failure. Hypertrophy provoking
signals, in the form of dynamic stresses and ligand/effector molecules that bind
to specific receptors to initiate the hypertrophy, are transcribed across the
sarcolemma by several second messengers. They comprise intricate feedback
mechanisms involving gene network cascades, specific signaling molecules
encompassing G protein-coupled receptors and mechanotransducers, and myocardial
stresses. Future multidisciplinary studies will characterize the
adaptive/maladaptive nature of the AVS-induced hypertrophy, its gender- and
individual patient-dependent peculiarities, and its response to surgical/medical
interventions. They will herald more effective, precision medicine treatments.
PMID- 27184809
TI - Left atrial posterior wall isolation: the icing on the cake.
PMID- 27184813
TI - Parallel Polarization State Generation.
AB - The control of polarization, an essential property of light, is of wide
scientific and technological interest. The general problem of generating
arbitrary time-varying states of polarization (SOP) has always been
mathematically formulated by a series of linear transformations, i.e. a product
of matrices, imposing a serial architecture. Here we show a parallel architecture
described by a sum of matrices. The theory is experimentally demonstrated by
modulating spatially-separated polarization components of a laser using a digital
micromirror device that are subsequently beam combined. This method greatly
expands the parameter space for engineering devices that control polarization.
Consequently, performance characteristics, such as speed, stability, and spectral
range, are entirely dictated by the technologies of optical intensity modulation,
including absorption, reflection, emission, and scattering. This opens up
important prospects for polarization state generation (PSG) with unique
performance characteristics with applications in spectroscopic ellipsometry,
spectropolarimetry, communications, imaging, and security.
PMID- 27184811
TI - Androgen receptor variant-driven prostate cancer: clinical implications and
therapeutic targeting.
AB - While there are myriad mechanisms of primary and acquired resistance to
conventional and next-generation hormonal therapies in prostate cancer, the
potential role of androgen receptor splice variants (AR-Vs) has recently gained
momentum. AR-Vs are abnormally truncated isoforms of the androgen receptor (AR)
protein that lack the COOH-terminal domain but retain the NH2-terminal domain and
DNA-binding domain and are thus constitutively active even in the absence of
ligands. Although multiple preclinical studies have previously implicated AR-Vs
in the development of castration resistance as well as resistance to abiraterone
and enzalutamide, recent technological advances have made it possible to reliably
detect and quantify AR-Vs from human clinical tumor specimens including blood
samples. Initial clinical studies have now shown that certain AR-Vs, in
particular AR-V7, may be associated with resistance to abiraterone and
enzalutamide but not taxane chemotherapies when detected in circulating tumor
cells. Efforts are now underway to clinically validate AR-V7 as a relevant
treatment-selection biomarker in the context of other key genomic aberrations in
men with metastatic castration-resistant prostate cancer. Additional efforts are
underway to therapeutically target both AR and AR-Vs either directly or
indirectly. Whether AR-Vs represent drivers of castration-resistant prostate
cancer, or whether they are simply passenger events associated with aggressive
disease or clonal heterogeneity, will ultimately be answered only through these
types of clinical trials.
PMID- 27184814
TI - Simulating the pitch sensitivity of twisted nematics of patchy rods.
AB - Stiff, elongated biomolecules such as filamentous viruses, DNA or cellulose
nanocrystals are known to form liquid crystals often exhibiting a helical
supramolecular organization. Little is known about the microscopic origin, size
and handedness of the helical pitch in these, so-called cholesteric phases.
Experimental observations in chiral lyotropics suggest that long-ranged chiral
forces of electrostatic origin acting between the mesogens are responsible for
such organization. Using large-scale computer simulation we study the sensitivity
of the pitch imparted by soft microscopic helices and confirm that the helical
sense is sensitive to a change of packing fraction, magnitude of the molecular
pitch and amplitude of the chiral interactions. In particular, we find evidence
that the cholesteric helix sense may change spontaneously upon variation of
particle density, at fixed molecular chirality. These pitch inversions have been
reported in recent theoretical studies but simulation evidence remains elusive.
We rationalize these sudden changes in the supramolecular helical symmetry on the
basis of detailed measurements of the mean-torque generated by the twisting of
the helices. The simulation methodology employed does not require confining the
twisted nematic in a slab geometry and allows for a simultaneous measurement of
the pitch and the twist elastic constant. We find that the twist elastic constant
increases almost linearly with density suggesting that twisted nematic shows no
signs of anomalous stiffening due to pre-smectic fluctuations at higher packing
fraction.
PMID- 27184812
TI - Further reduction of disqualification rates by additional MRI-targeted biopsy
with transperineal saturation biopsy compared with standard 12-core systematic
biopsies for the selection of prostate cancer patients for active surveillance.
AB - BACKGROUND: Active surveillance (AS) is commonly based on standard 10-12-core
prostate biopsies, which misclassify ~50% of cases compared with radical
prostatectomy. We assessed the value of multiparametric magnetic resonance
imaging (mpMRI)-targeted transperineal fusion-biopsies in men under AS. METHODS:
In all, 149 low-risk prostate cancer (PC) patients were included in AS between
2010 and 2015. Forty-five patients were initially diagnosed by combined 24-core
systematic transperineal saturation biopsy (SB) and MRI/transurethral ultrasound
(TRUS)-fusion targeted lesion biopsy (TB). A total of 104 patients first
underwent 12-core TRUS-biopsy. All patients were followed-up by combined SB and
TB for restratification after 1 and 2 years. All mpMRI examinations were analyzed
using PIRADS. AS was performed according to PRIAS-criteria and a NIH-nomogram for
AS-disqualification was investigated. AS-disqualification rates for men initially
diagnosed by standard or fusion biopsy were compared using Kaplan-Meier estimates
and log-rank tests. Differences in detection rates of the SB and TB components
were evaluated with a paired-sample analysis. Regression analyses were performed
to predict AS-disqualification. RESULTS: A total of, 48.1% of patients diagnosed
by 12-core TRUS-biopsy were disqualified from AS based on the MRI/TRUS-fusion
biopsy results. In the initial fusion-biopsy cohort, upgrading occurred
significantly less frequently during 2-year follow-up (20%, P<0.001). TBs alone
were significantly superior compared with SBs alone to detect Gleason-score
upgrading. NPV for Gleason-upgrading was 93.5% for PIRADS?2. PSA level, PSA
density, NIH-nomogram, initial PIRADS score (P<0.001 each) and PIRADS-progression
on consecutive MRI (P=0.007) were significant predictors of AS-disqualification.
CONCLUSIONS: Standard TRUS-biopsies lead to significant underestimation of PC
under AS. MRI/TRUS-fusion biopsies, and especially the TB component allow more
reliable risk classification, leading to a significantly decreased chance of
subsequent AS-disqualification. Cancer detection with mpMRI alone is not yet
sensitive enough to omit SB on follow-up after initial 12-core TRUS-biopsy. After
MRI/TRUS-fusion biopsy confirmed AS, it may be appropriate to biopsy only those
men with suspected progression on MRI.
PMID- 27184817
TI - Corrigendum to "Facilitatory effects of fetuin-A on atherosclerosis"
[Atherosclerosis 246 (2016) 344-351].
PMID- 27184816
TI - Induction of C/EBP homologous protein-mediated apoptosis and autophagy by
licochalcone A in non-small cell lung cancer cells.
AB - Licochalcone A (LCA), a flavonoid isolated from the famous Chinese medicinal herb
Glycyrrhiza uralensis Fisch, presents obvious anti-cancer effects. In this study,
the anti-cancer effects and potential mechanisms of LCA in non-small cell lung
cancer (NSCLC) cells were studied. LCA decreased cell viability, increased
lactate dehydrogenase release, and induced apoptosis in a concentration-dependent
manner in NSCLC cells while not in human embryonic lung fibroblast cells. The
expression of phosphatidylethanolamine-modified microtubule-associated protein
light-chain 3 (LC3-II) and formation of GFP-LC3 punta, two autophagic markers,
were increased after treatment with LCA. LCA-induced LC3-II expression was
increased when combined with chloroquine (CQ), while knock-down of autophagy
related protein (ATG) 7 or ATG5 reversed LCA-induced LC3-II expression and GFP
LC3 punta formation, suggesting that LCA induced autophagy in NSCLC cells.
Inhibition of autophagy could not reverse the LCA-induced cell viability decrease
and apoptosis. In addition, LCA increased the expression of endoplasmic reticulum
stress related proteins, such as binding immunoglobulin protein and C/EBP
homologous protein (CHOP). Knock-down of CHOP reversed LCA-induced cell viability
decrease, apoptosis, and autophagy. Taken together, LCA-induced autophagic effect
is an accompanied phenomenon in NSCLC cells, and CHOP is critical for LCA-induced
cell viability decrease, apoptosis, and autophagy.
PMID- 27184815
TI - A critical role for the Drosophila dopamine D1-like receptor Dop1R2 at the onset
of metamorphosis.
AB - BACKGROUND: Insect metamorphosis relies on temporal and spatial cues that are
precisely controlled. Previous studies in Drosophila have shown that untimely
activation of genes that are essential to metamorphosis results in growth
defects, developmental delay and death. Multiple factors exist that safeguard
these genes against dysregulated expression. The list of identified negative
regulators that play such a role in Drosophila development continues to expand.
RESULTS: By using RNAi transgene-induced gene silencing coupled to
spatio/temporal assessment, we have unraveled an important role for the
Drosophila dopamine 1-like receptor, Dop1R2, in development. We show that Dop1R2
knockdown leads to pre-adult lethality. In adults that escape death, abnormal
wing expansion and/or melanization defects occur. Furthermore we show that
salivary gland expression of this GPCR during the late larval/prepupal stage is
essential for the flies to survive through adulthood. In addition to RNAi-induced
effects, treatment of larvae with the high affinity D1-like receptor antagonist
flupenthixol, also results in developmental arrest, and in morphological defects
comparable to those seen in Dop1R2 RNAi flies. To examine the basis for pupal
lethality in Dop1R2 RNAi flies, we carried out transcriptome analysis. These
studies revealed up-regulation of genes that respond to ecdysone, regulate
morphogenesis and/or modulate defense/immunity. CONCLUSION: Taken together our
findings suggest a role for Dop1R2 in the repression of genes that coordinate
metamorphosis. Premature release of this inhibition is not tolerated by the
developing fly.
PMID- 27184818
TI - A critical role for Piezo2 channels in the mechanotransduction of mouse
proprioceptive neurons.
AB - Proprioceptors are responsible for the conscious sensation of limb position and
movement, muscle tension or force, and balance. Recent evidence suggests that
Piezo2 is a low threshold mechanosensory receptor in the peripheral nervous
system, acting as a transducer for touch sensation and proprioception. Thus, we
characterized proprioceptive neurons in the mesencephalic trigeminal nucleus that
are involved in processing proprioceptive information from the face and oral
cavity. This is a specific population of neurons that produce rapidly adapting
mechanically-activated currents that are fully dependent on Piezo2. As such, we
analyzed the deficits in balance and coordination caused by the selective
deletion of the channel in proprioceptors (conditional knockout). The data
clearly shows that Piezo2 fulfills a critical role in a defined homogeneous
population of proprioceptor neurons that innervate the head muscles,
demonstrating that this ion channel is essential for mammalian proprioceptive
mechanotransduction.
PMID- 27184819
TI - Doxorubicin- and cisplatin-loaded nanostructured lipid carriers for breast cancer
combination chemotherapy.
AB - CONTEXT: Combination anticancer therapy is promising to generate synergistic
anticancer effects, to maximize the treatment effect and to overcome multi-drug
resistance. Nanostructured lipid carriers (NLCs), composed of solid and liquid
lipids, and surfactants are potentially good colloidal drug carriers. OBJECTIVE:
The aim of this study is to construct novel NLCs as nanocarriers for co-delivery
of doxorubicin (DOX) and cisplatin (CDDP) to treat breast cancer. METHODS: DOX
and CDDP loaded NLCs (D-C-NLCs) were prepared by the solvent diffusion method.
The in vitro cytotoxicity and synergistic studies of different formulations were
evaluated on human breast cancer cells (doxorubicin resistant) (MCF-7/ADR cells).
In vivo anti-tumor effects were observed on the murine bearing MCF-7/ADR cells
model. RESULTS: D-C-NLCs showed the highest cytotoxicity and synergistic effect
of two drugs in tumor cells in vitro. The in vivo study revealed the greatest
anti-tumor activity than the other formulations in the breast cancer model.
CONCLUSION: The constructed NLCs could be used as a novel carrier for co-delivery
of DOX and CDDP for breast cancer therapy. D-C-NLCs could be a promising targeted
and combinational therapy nanomedicine.
PMID- 27184820
TI - The impact of HENRY on parenting and family lifestyle: A national service
evaluation of a preschool obesity prevention programme.
AB - OBJECTIVES: One in five children in England are overweight/obese at school entry.
Tackling obesity is therefore a priority. Right from the Start with HENRY is a
widely-commissioned programme delivered by trained facilitators to small groups
of parents over eight weekly sessions. It is designed to provide parents of
infants and preschool children with the skills, knowledge and confidence required
for a healthier family lifestyle. The aim of this work was to investigate
programme impact using data collected routinely for quality control purposes.
STUDY DESIGN: Analysis of routinely collected pre-post data from programmes
delivered in the UK from January 2012 to February 2014. METHODS: Data were
analysed from 144 programmes, including questionnaires relating to parenting,
family eating behaviours, dietary intake, and physical activity/screen time.
RESULTS: Over 24 months, 1100 parents attended programmes running in 86
locations. 788 (72%) completed >5 sessions of whom 624 (79%) provided baseline
and completion questionnaires. Parents reported increases in healthiness of
family lifestyle, parenting attributes, and emotional wellbeing following
attendance (all P < .001). Both parents and children were reported to have
increased their daily fruit/vegetable consumption, and reduced their consumption
of high fat/sugar foods (both P < .001). There were also positive changes in
eating behaviours, physical activity (P < .001) and children's screen time (P <
.001). CONCLUSIONS: Significant changes were reported in all domains similar to
those reported in a previous, smaller study in locations selected for experience
and quality. The HENRY approach appears to have a beneficial impact even when
delivered at scale in non-selected locations. Such changes, if maintained, may
serve to protect against later obesity.
PMID- 27184821
TI - Why is changing health-related behaviour so difficult?
AB - OBJECTIVE: To demonstrate that six common errors made in attempts to change
behaviour have prevented the implementation of the scientific evidence base
derived from psychology and sociology; to suggest a new approach which
incorporates recent developments in the behavioural sciences. STUDY DESIGN: The
role of health behaviours in the origin of the current epidemic of non
communicable disease is observed to have driven attempts to change behaviour. It
is noted that most efforts to change health behaviours have had limited success.
This paper suggests that in policy-making, discussions about behaviour change are
subject to six common errors and that these errors have made the business of
health-related behaviour change much more difficult than it needs to be. METHODS:
Overview of policy and practice attempts to change health-related behaviour.
RESULTS: The reasons why knowledge and learning about behaviour have made so
little progress in alcohol, dietary and physical inactivity-related disease
prevention are considered, and an alternative way of thinking about the
behaviours involved is suggested. This model harnesses recent developments in the
behavioural sciences. CONCLUSION: It is important to understand the conditions
preceding behaviour psychologically and sociologically and to combine
psychological ideas about the automatic and reflective systems with sociological
ideas about social practice.
PMID- 27184822
TI - Independent Association between Sleep Fragmentation and Dyslipidemia in Patients
with Obstructive Sleep Apnea.
AB - Obstructive sleep apnea (OSA) is independently associated with dyslipidemia.
Previous studies have demonstrated that sleep fragmentation can impair lipid
metabolism. The present study aimed to identify whether sleep fragmentation is
independently associated with dyslipidemia, in a large-scale, clinic-based
consecutive OSA sample. This cross-sectional study was conducted among 2,686
patients who underwent polysomnography (PSG) for suspicion of OSA from January
2008 to January 2013 at the sleep laboratory. Multivariate regression analyses
were performed to evaluate the independent associations between the microarousal
index (MAI) and lipid profiles adjusting for potential confounders, including
metabolic syndrome components and nocturnal intermittent hypoxia. The adjusted
odds ratios (ORs) for various types of dyslipidemia according to MAI quartiles,
as determined by logistic regression were also evaluated. MAI was found
positively associated with low-density lipoprotein cholesterol (LDL-c) but not
with total cholesterol (TC), triglyceride (TG) or high-density lipoprotein
cholesterol (HDL-c). Furthermore, the adjusted ORs (95% confidence interval) for
hyper-LDL cholesterolemia increased across MAI quartiles, as follows: 1
(reference), 1.3 (1.1-1.7), 1.6 (1.2-2.0), and 1.6 (1.2-2.1) (p = 0.001, linear
trend). Sleep fragmentation in OSA is independently associated with hyper-LDL
cholesterolemia, which may predispose patients with OSA to a higher risk of
cardiovascular disease.
PMID- 27184825
TI - Retrospective study of the evolution of the incidence of non-traumatic lower
extremity amputations (2007-2013) and risk factors of reamputation.
AB - AIM: Describe the incidence of non-traumatic amputation in a Spain region and
identify the risk factors associated with the level of amputation and
reamputation. METHODS: Retrospective study on non-traumatic lower-extremity
amputees in a Spanish region between 2007 and 2013. A descriptive, bivariate and
multivariate analysis was performed using bivariate logistic regression.
Statistical significance p<=0.05, SPSS V.21. RESULTS: 495 amputations were
carried out in 353 patients. 81.0% (n=286) were men, mean age 68.7+/-10.8 years.
The most frequent levels of amputation were digital (45.9%) and above-knee
(40.5%). About 30% of the patients were reamputated. The multivariate analysis
revealed that the factors independently related to the need for a minor lower
extremity amputation were DM [OR 3.79 (CI 95% 2.0-7.27)], foot ulcer [OR 5.82 (CI
95% 2.24-15.11)] and previous ipsilateral amputation [OR 3.19 (CI 95% 1.21
8.42)]. The risk factors independently related to the need for reamputation were
DM [OR 2.21 (CI95% 1.09-4.49)], smoking [OR 2.45 (CI95% 1.33-4.50)] and previous
revascularization [OR 2.75 (CI95% 1.57-4.83)]. CONCLUSIONS: Determining the
incidence of amputations in diabetic patients as an indicator of quality makes it
possible for health services to be evaluated. In patients with DM the most common
reamputations are minor and ipsilateral.
PMID- 27184823
TI - A targeted immunomic approach identifies diagnostic antigens in the human
pathogen Babesia microti.
AB - BACKGROUND: Babesia microti is a protozoan parasite responsible for the majority
of reported cases of human babesiosis and a major risk to the blood supply.
Laboratory screening of blood donors may help prevent transfusion-transmitted
babesiosis but there is no Food and Drug Administration-approved screening method
yet available. Development of a sensitive, specific, and highly automated B.
microti antibody assay for diagnosis of acute babesiosis and blood screening
could have an important impact on decreasing the health burden of B. microti
infection. STUDY DESIGN AND METHODS: Herein, we take advantage of recent advances
in B. microti genomic analyses, field surveys of the reservoir host, and human
studies in endemic areas to apply a targeted immunomic approach to the discovery
of B. microti antigens that serve as signatures of active or past babesiosis
infections. Of 19 glycosylphosphatidylinositol (GPI)-anchored protein candidates
(BmGPI1-19) identified in the B. microti proteome, 17 were successfully
expressed, printed on a microarray chip, and used to screen sera from uninfected
and B. microti-infected mice and humans to determine immune responses that are
associated with active and past infection. RESULTS: Antibody responses to various
B. microti BmGPI antigens were detected and BmGPI12 was identified as the best
biomarker of infection that provided high sensitivity and specificity when used
in a microarray antibody assay. CONCLUSION: BmGPI12 alone or in combination with
other BmGPI proteins is a promising candidate biomarker for detection of B.
microti antibodies that might be useful in blood screening to prevent transfusion
transmitted babesiosis.
PMID- 27184826
TI - IgE-binding epitopes of various fish parvalbumins exist in a stereoscopic
conformation maintained by Ca(2+) binding.
PMID- 27184824
TI - Utilisation of emergency medical services for severe hypoglycaemia: An
unrecognised health care burden.
AB - AIMS: Diabetes is associated with several acute, life-threatening complications
yet there are limited data on the utilisation of prehospital services for their
management. This study aimed to examine the utilisation of emergency medical
services (EMS) for prehospital hypoglycaemia, including patient characteristics
and factors related to hospital transportation. METHODS: An observational study
of patients requiring EMS for hypoglycaemia across Victoria, Australia over three
years was conducted. Pre-specified data including patient demographics,
comorbidities, examination findings and transport outcomes were obtained.
Logistic regression was used to assess factors associated with transportation.
RESULTS: During the study period, 12,411 hypoglycaemia events were attended by
paramedics for people with diabetes. The majority were individuals with type 1
diabetes (58.8%), followed by type 2 diabetes (35.2%) and unspecified diabetes
type (5.9%). Thirty-eight percent of patients were transported to hospital by EMS
following hypoglycaemia. Factors associated with transport by EMS included
extremes of age (<15 and >75years), female gender, type 2 diabetes, event at a
nursing home or hospital/community clinic, presence of comorbidities and time of
day. CONCLUSIONS: Examination of the utilisation of EMS for hypoglycaemia has
identified a previously unquantified need for emergency care for people with
diabetes as well as factors related to hospital transportation.
PMID- 27184827
TI - Severe pneumonitis after nivolumab treatment in a patient with melanoma.
PMID- 27184828
TI - Age Estimation in Living Egyptians Using Signal Joint T-cell Receptor Excision
Circle Rearrangement.
AB - Age estimation is one of the challenges in forensic sciences. There are many
techniques to estimate the age. Molecular biology approach is one of these
techniques. Signal joint T-cell receptor excision circles gene (sjTRECs), is one
of this approach. We aimed to use sjTRECs as a suitable marker for age estimation
among Egyptian population. TaqMan qPCR approach was used to quantify sjTREC
levels in blood samples obtained from 153 healthy Egyptian individuals ranging
from a few weeks to 70 years. Our results showed a significant negative
correlation between sjTREC levels and age with p <= 0.05. Moreover, the
individual's age can be determined through this formula Age = -30.671+ (-5.998Y)
(Y is dCtTBP - sjTREC) with standard error +/-7.35 years. Within the forensic
context, sjTREC' levels can be used to estimate the Egyptian individual's age
accurately.
PMID- 27184830
TI - Cyclic nucleotide phosphodiesterases in heart and vessels: A therapeutic
perspective.
AB - Cyclic nucleotide phosphodiesterases (PDEs) degrade the second messengers cyclic
adenosine monophosphate (cAMP) and cyclic guanosine monophosphate (cGMP), thereby
regulating multiple aspects of cardiac and vascular muscle functions. This highly
diverse class of enzymes encoded by 21 genes encompasses 11 families that are not
only responsible for the termination of cyclic nucleotide signalling, but are
also involved in the generation of dynamic microdomains of cAMP and cGMP,
controlling specific cell functions in response to various neurohormonal stimuli.
In the myocardium and vascular smooth muscle, the PDE3 and PDE4 families
predominate, degrading cAMP and thereby regulating cardiac excitation-contraction
coupling and smooth muscle contractile tone. PDE3 inhibitors are positive
inotropes and vasodilators in humans, but their use is limited to acute heart
failure and intermittent claudication. PDE5 is particularly important for the
degradation of cGMP in vascular smooth muscle, and PDE5 inhibitors are used to
treat erectile dysfunction and pulmonary hypertension. There is experimental
evidence that these PDEs, as well as other PDE families, including PDE1, PDE2 and
PDE9, may play important roles in cardiac diseases, such as hypertrophy and heart
failure, as well as several vascular diseases. After a brief presentation of the
cyclic nucleotide pathways in cardiac and vascular cells, and the major
characteristics of the PDE superfamily, this review will focus on the current use
of PDE inhibitors in cardiovascular diseases, and the recent research
developments that could lead to better exploitation of the therapeutic potential
of these enzymes in the future.
PMID- 27184831
TI - Percutaneous Transcatheter Treatment for Tricuspid Bioprosthesis Failure.
AB - OBJECTIVES: To report procedural and 30-day outcomes following percutaneous
transcatheter valve-in-valve (VIV) implantation for tricuspid bioprosthesis
failure, with a new generation balloon-expandable transcatheter aortic valve
implantation prosthesis. BACKGROUND: Severe tricuspid regurgitation is associated
with a reduction in survival and increased morbidity. Many patients previously
treated with tricuspid valve replacement require further intervention due to
bioprosthesis failure. Surgical redo is the "gold standard" treatment however
this is technically challenging and associated with significant risk.
Percutaneous VIV intervention that is now well established in the management of
aortic and mitral bioprosthesis failure may therefore be an alternative treatment
option. METHODS: The study population consisted of all patients that underwent
percutaneous transcatheter tricuspid VIV implantation for tricuspid bioprosthesis
failure at the San Raffaele Scientific Institute, Milan, Italy. RESULTS: Five
patients underwent successful transfemoral transcatheter tricuspid VIV
implantation for bioprosthetic failure. One patient suffered acute kidney injury
that was successfully medically managed. The remaining patients suffered no
complications prior to hospital discharge. During 30-day follow-up, all patients
reported a significant improvement in their functional status with normal valve
function. Additionally, we observed an improvement in renal function (eGFR
baseline 62.0 +/- 16.5 vs. follow-up 70.2 +/- 23.1 ml/min/m2 , P = 0.12) and
improved hepatic congestion: bilirubin (1.47 +/- 0.8 vs. 1.01 +/- 0.7 mg/DL, P =
0.12), alanine aminotransferase (31.0 +/- 11.1 vs. 23.2 +/- 5.1 U/L, P = 0.11)
and aspartate aminotransferase (35.8 +/- 6.3 vs. 29.8 +/- 13.6 U/L, P = 0.12).
CONCLUSIONS: Transfemoral, transcatheter VIV treatment with a new generation
balloon expandable devices appears to be a safe and efficacious treatment option
for tricuspid bioprosthesis failure. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184829
TI - Genetic and neuroendocrine regulation of the postpartum brain.
AB - Changes in expression of hundreds of genes occur during the production and
function of the maternal brain that support a wide range of processes. In this
review, we synthesize findings from four microarray studies of different maternal
brain regions and identify a core group of 700 maternal genes that show
significant expression changes across multiple regions. With those maternal
genes, we provide new insights into reward-related pathways (maternal bonding),
postpartum depression, social behaviors, mental health disorders, and nervous
system plasticity/developmental events. We also integrate the new genes into well
studied maternal signaling pathways, including those for prolactin,
oxytocin/vasopressin, endogenous opioids, and steroid receptors (estradiol,
progesterone, cortisol). A newer transcriptional regulation model for the
maternal brain is provided that incorporates recent work on maternal microRNAs.
We also compare the top 700 genes with other maternal gene expression studies.
Together, we highlight new genes and new directions for studies on the postpartum
brain.
PMID- 27184832
TI - Expressions of SH3BP5, LMO3, and SNAP25 in diffuse large B-cell lymphoma cells
and their association with clinical features.
AB - Diffuse large B-cell lymphoma (DLBCL) is clinicopathologically and genetically
heterogeneous with variable clinical outcomes. We previously identified signature
genes overexpressed in CD5-positive (CD5(+) ) DLBCL, which is a poor prognostic
subgroup of DLBCL. To elucidate the clinical significance of the protein
expression of the signature genes overexpressed in CD5(+) DLBCL with regard to
all DLBCL, not otherwise specified (NOS), 10 genes (SH3BP5, LMO3, SNAP25, SYT5,
SV2C, CABP1, FGF1, FGFR2, NEUROD1, and SYN2) were selected and examined
immunohistochemically with samples from 28 patients with DLBCL, NOS. Only three
protein expressions, SH3BP5, LMO3, and SNAP25, were detected in DLBCL cells and
then analyzed further with samples from 187 patients with DLBCL, NOS. The SH3BP5,
LMO3, and SNAP25 proteins were expressed in 60% (103/173), 34% (59/175), and 46%
(77/168) of DLBCL patients, respectively. These protein expressions were
associated with CD5 expression, and only SH3BP5 was frequently expressed in
activated B-cell-like DLBCL (P = 0.046). Compared to the SH3BP5-negative group,
the SH3BP5(+) group was correlated with elderly onset (>60 years, P = 0.0096) and
advanced-stage disease (stage III/IV, P = 0.037). The LMO3(+) group showed a
worse performance status (>1, P = 0.0004). The SH3BP5(+) group and the LMO3(+)
group had significantly worse overall survival than the negative groups (P =
0.030, 0.034; respectively) for the entire group. In a subgroup analysis of
patients treated with rituximab-containing chemotherapy, there was no significant
difference between groups. To the best of our knowledge, this is the first report
showing the protein expressions of SH3BP5, LMO3, and SNAP25 in DLBCL cells and
their clinical significance in patients with DLBCL. The SH3BP5 and LMO3 protein
expressions are associated with the baseline clinical characteristics of DLBCL.
PMID- 27184835
TI - Hyperfractionated radiation therapy in Burkitt's lymphoma: a reconsideration
aspect.
AB - Burkitt's lymphoma (BL) is an aggressive non-Hodgkin's B-cell lymphoma with an
extremely short doubling time that often presents in extra nodal sites or as an
acute leukaemia. Nowadays, with the rapid response to chemotherapy and the
diffuse nature of BL, there is no established role for radiation therapy (RT)
even in localized disease. Regarding the relapsed/refractory BL, the treatment
recommendations remain undefined. We present a 56-year-old woman, diagnosed with
BL refractory to 6 cycles of R-CHOP (rituximab, cyclophosphamide, doxorubicin,
vincristine and prednisone), who had disease progression on R-DHAP (rituximab,
dexamethasone, high dose cytarabine and cisplatin) with intrathecal methotrexate,
then a partial response on RICE (rituximab, ifosfamide, carboplatin and
etoposide). Patient received high dose chemotherapy and autologous haematopoietic
stem cell transplantation. Then, she was treated with hyperfractionated involved
field RT regimen. Currently, the patient remains disease free for around 2 years
after remission. We acknowledge that RT is not a standard treatment of BL,
especially in patients who attain complete response (CR) after first-line multi
agent chemotherapy or even in those who have a CR after second-line chemotherapy
pre-transplant. Yet, the use of a superfractionated regimen of consolidative
radiation could be justified in the treatment of recurrent/refractory localized
BL who do not achieve a CR even with second-line salvage chemotherapy. Radiation
therapy in this context, given that it is a well-tolerated treatment, is a
modality worthy of being re-considered in relapsed/refractory BL. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27184834
TI - Spontaneous Patterning of High-Resolution Electronics via Parallel Vacuum
Ultraviolet.
AB - A spontaneous patterning technique via parallel vacuum ultraviolet is developed
for fabricating large-scale, complex electronic circuits with 1 MUm resolution.
The prepared organic thin-film transistors exhibit a low contact resistance of
1.5 kOmega cm, and high mobilities of 0.3 and 1.5 cm(2) V(-1) s(-1) in the
devices with channel lengths of 1 and 5 MUm, respectively.
PMID- 27184836
TI - Multiomic Analysis of the UV-Induced DNA Damage Response.
AB - In order to facilitate the identification of factors and pathways in the cellular
response to UV-induced DNA damage, several descriptive proteomic screens and a
functional genomics screen were performed in parallel. Numerous factors could be
identified with high confidence when the screen results were superimposed and
interpreted together, incorporating biological knowledge. A searchable database,
bioLOGIC, which provides access to relevant information about a protein or
process of interest, was established to host the results and facilitate data
mining. Besides uncovering roles in the DNA damage response for numerous proteins
and complexes, including Integrator, Cohesin, PHF3, ASC-1, SCAF4, SCAF8, and
SCAF11, we uncovered a role for the poorly studied, melanoma-associated
serine/threonine kinase 19 (STK19). Besides effectively uncovering relevant
factors, the multiomic approach also provides a systems-wide overview of the
diverse cellular processes connected to the transcription-related DNA damage
response.
PMID- 27184837
TI - Autophagy Promotes Focal Adhesion Disassembly and Cell Motility of Metastatic
Tumor Cells through the Direct Interaction of Paxillin with LC3.
AB - Autophagy is a conserved catabolic process that plays a housekeeping role in
eliminating protein aggregates and organelles and is activated during nutrient
deprivation to generate metabolites and energy. Autophagy plays a significant
role in tumorigenesis, although opposing context-dependent functions of autophagy
in cancer have complicated efforts to target autophagy for therapeutic purposes.
We demonstrate that autophagy inhibition reduces tumor cell migration and
invasion in vitro and attenuates metastasis in vivo. Numerous abnormally large
focal adhesions (FAs) accumulate in autophagy-deficient tumor cells, reflecting a
role for autophagy in FA disassembly through targeted degradation of paxillin. We
demonstrate that paxillin interacts with processed LC3 through a conserved LIR
motif in the amino-terminal end of paxillin and that this interaction is
regulated by oncogenic SRC activity. Together, these data establish a function
for autophagy in FA turnover, tumor cell motility, and metastasis.
PMID- 27184839
TI - Persistent Alterations in Microglial Enhancers in a Model of Chronic Pain.
AB - Chronic pain is a common and devastating condition that induces well
characterized changes in neurons and microglia. One major unanswered question is
why these changes should persist long after the precipitating injury has healed.
Here, we suggest that some of the longer-lasting consequences of nerve injury may
be hidden in the epigenome. Cell sorting and sequencing techniques were used to
characterize the spinal cord immune response in a mouse model of chronic
neuropathic pain. Infiltration of peripheral myeloid cells was found to be
absent, and RNA sequencing (RNA-seq) of central microglia revealed transient gene
expression changes in response to nerve ligation. Conversely, examination of
microglial enhancers revealed persistent, post-injury alterations in close
proximity to transcriptionally regulated genes. Enhancers are regions of open
chromatin that define a cell's transcription factor binding profile. We
hypothesize that changes at enhancers may constitute a mechanism by which painful
experiences are recorded at a molecular level.
PMID- 27184838
TI - The Drosophila Dicer-1 Partner Loquacious Enhances miRNA Processing from Hairpins
with Unstable Structures at the Dicing Site.
AB - In Drosophila, Dicer-1 binds Loquacious-PB (Loqs-PB) as its major co-factor.
Previous analyses indicated that loqs mutants only partially impede miRNA
processing, but the activity of minor isoforms or maternally deposited Loqs was
not eliminated in these studies. We addressed this by generating a cell line from
loqs-null embryos and found that only ~40% of miRNAs showed clear Loqs
dependence. Genome-wide comparison of the hairpin structure and Loqs dependence
suggested that Loqs substrates are influenced by base-pairing status at the
dicing site. Artificial alteration of base-pairing stability at this position in
model miRNA hairpins resulted in predicted changes in Loqs dependence, providing
evidence for this hypothesis. Finally, we found that evolutionarily young miRNA
genes tended to be Loqs dependent. We propose that Loqs may have roles in
assisting the de novo emergence of miRNA genes by facilitating dicing of
suboptimal hairpin substrates.
PMID- 27184840
TI - Glycosaminoglycan Profiling in Patients' Plasma and Urine Predicts the Occurrence
of Metastatic Clear Cell Renal Cell Carcinoma.
AB - Metabolic reprogramming is a hallmark of clear cell renal cell carcinoma (ccRCC)
progression. Here, we used genome-scale metabolic modeling to elucidate metabolic
reprogramming in 481 ccRCC samples and discovered strongly coordinated regulation
of glycosaminoglycan (GAG) biosynthesis at the transcript and protein levels.
Extracellular GAGs are implicated in metastasis, so we speculated that such
regulation might translate into a non-invasive biomarker for metastatic ccRCC
(mccRCC). We measured 18 GAG properties in 34 mccRCC samples versus 16 healthy
plasma and/or urine samples. The GAG profiles were distinctively altered in
mccRCC. We derived three GAG scores that distinguished mccRCC patients with 93.1%
100% accuracy. We validated the score accuracies in an independent cohort (up to
18 mccRCC versus nine healthy) and verified that the scores normalized in eight
patients with no evidence of disease. In conclusion, coordinated regulation of
GAG biosynthesis occurs in ccRCC, and non-invasive GAG profiling is suitable for
mccRCC diagnosis.
PMID- 27184841
TI - Tet Enzymes Regulate Telomere Maintenance and Chromosomal Stability of Mouse
ESCs.
AB - Ten-eleven translocation (Tet) family proteins convert 5-methylcytosine to 5
hydroxymethylcytosine. We show that mouse embryonic stem cells (ESCs) depleted of
Tet1 and/or Tet2 by RNAi exhibit short telomeres and chromosomal instability,
concomitant with reduced telomere recombination. Tet1 and Tet2 double-knockout
ESCs also display short telomeres but to a lesser extent. Notably, Tet1/2/3
triple-knockout ESCs show heterogeneous telomere lengths and increased frequency
of telomere loss and chromosomal fusion. Mechanistically, Tets depletion or
deficiency increases Dnmt3b and decreases 5hmC levels, resulting in elevated
methylation levels at sub-telomeres. Consistently, knockdown of Dnmt3b or
addition of 2i (MAPK and GSK3beta inhibitors), which also inhibits Dnmt3b,
reduces telomere shortening, partially rescuing Tet1/2 deficiency. Interestingly,
Tet1/2 double or Tet1/2/3 triple knockout in ESCs consistently upregulates
Zscan4, which may counteract telomere shortening. Together, Tet enzymes play
important roles in telomere maintenance and chromosomal stability of ESCs by
modulating sub-telomeric methylation levels.
PMID- 27184842
TI - The RNA Binding Protein IMP2 Preserves Glioblastoma Stem Cells by Preventing let
7 Target Gene Silencing.
AB - Cancer stem cells (CSCs) can drive tumor growth, and their maintenance may rely
on post-transcriptional regulation of gene expression, including that mediated by
microRNAs (miRNAs). The let-7 miRNA family has been shown to induce
differentiation by silencing stem cell programs. Let-7-mediated target gene
suppression is prevented by LIN28A/B, which reduce let-7 biogenesis in normal
embryonic and some cancer stem cells and ensure maintenance of stemness. Here, we
find that glioblastoma stem cells (GSCs) lack LIN28 and express both let-7 and
their target genes, suggesting LIN28-independent protection from let-7 silencing.
Using photoactivatable-ribonucleoside-enhanced crosslinking and
immunoprecipitation (PAR-CLIP), we show that insulin-like growth factor 2 mRNA
binding protein 2 (IMP2) binds to let-7 miRNA recognition elements (MREs) and
prevents let-7 target gene silencing. Our observations define the RNA-binding
repertoire of IMP2 and identify a mechanism whereby it supports GSC and neural
stem cell specification.
PMID- 27184843
TI - Centromeric Transcription Regulates Aurora-B Localization and Activation.
AB - Centromeric transcription is widely conserved; however, it is not clear what role
centromere transcription plays during mitosis. Here, I find that centromeres are
transcribed in Xenopus egg extracts into a long noncoding RNA (lncRNA; cen-RNA)
that localizes to mitotic centromeres, chromatin, and spindles. cen-RNAs bind to
the chromosomal passenger complex (CPC) in vitro and in vivo. Blocking
transcription or antisense inhibition of cen-RNA leads to a reduction of CPC
localization to the inner centromere and misregulation of CPC component Aurora-B
activation independently of known centromere recruitment pathways. Additionally,
transcription is required for normal bipolar attachment of kinetochores to the
mitotic spindle, consistent with a role for cen-RNA in CPC regulation. This work
demonstrates that cen-RNAs promote normal kinetochore function through regulation
of the localization and activation of the CPC and confirm that lncRNAs are
components of the centromere.
PMID- 27184844
TI - An Evolutionarily Conserved PLC-PKD-TFEB Pathway for Host Defense.
AB - The mechanisms that tightly control the transcription of host defense genes have
not been fully elucidated. We previously identified TFEB as a transcription
factor important for host defense, but the mechanisms that regulate TFEB during
infection remained unknown. Here, we used C. elegans to discover a pathway that
activates TFEB during infection. Gene dkf-1, which encodes a homolog of protein
kinase D (PKD), was required for TFEB activation in nematodes infected with
Staphylococcus aureus. Conversely, pharmacological activation of PKD was
sufficient to activate TFEB. Furthermore, phospholipase C (PLC) gene plc-1 was
also required for TFEB activation, downstream of Galphaq homolog egl-30 and
upstream of dkf-1. Using reverse and chemical genetics, we discovered a similar
PLC-PKD-TFEB axis in Salmonella-infected mouse macrophages. In addition, PKCalpha
was required in macrophages. These observations reveal a previously unknown host
defense signaling pathway, which has been conserved across one billion years of
evolution.
PMID- 27184845
TI - PDK1 Is a Regulator of Epidermal Differentiation that Activates and Organizes
Asymmetric Cell Division.
AB - Asymmetric cell division (ACD) in a perpendicular orientation promotes cell
differentiation and organizes the stratified epithelium. However, the upstream
cues regulating ACD have not been identified. Here, we report that
phosphoinositide-dependent kinase 1 (PDK1) plays a critical role in establishing
ACD in the epithelium. Production of phosphatidyl inositol triphosphate (PIP3) is
localized to the apical side of basal cells. Asymmetric recruitment of atypical
protein kinase C (aPKC) and partitioning defective (PAR) 3 is impaired in PDK1
conditional knockout (CKO) epidermis. PDK1(CKO) keratinocytes do not undergo
calcium-induced activation of aPKC or IGF1-induced activation of AKT and fail to
differentiate. PDK1(CKO) epidermis shows decreased expression of Notch, a
downstream effector of ACD, and restoration of Notch rescues defective expression
of differentiation-induced Notch targets in vitro. We therefore propose that PDK1
signaling regulates the basal-to-suprabasal switch in developing epidermis by
acting as both an activator and organizer of ACD and the Notch-dependent
differentiation program.
PMID- 27184847
TI - APEX Fingerprinting Reveals the Subcellular Localization of Proteins of Interest.
AB - Deciphering the sub-compartmental location of a given protein of interest may
help explain its physiological function, but it can be challenging to do using
optical or biochemical methods. Imaging with electron microscopy (EM) can provide
highly resolved mapping of proteins; however, EM requires complex sample
preparation and a specialized facility. Here, we use engineered ascorbate
peroxidase (APEX)-generated molecular labeling patterns to provide information
regarding intracellular microenvironments in living cells. Using APEX labeling of
specific proteins, we uncovered subcellular localization at sub-compartmental
resolution and successfully elucidated the membrane protein topology of HMOX1 and
sub-mitochondrial localization of recently identified mitochondrial proteins.
This method can be expanded to confirm sub-mitochondrial localization and
membrane topologies of previously identified mitochondrial proteins.
PMID- 27184849
TI - Programming of Intestinal Epithelial Differentiation by IL-33 Derived from
Pericryptal Fibroblasts in Response to Systemic Infection.
AB - The intestinal epithelium constitutes an efficient barrier against the microbial
flora. Here, we demonstrate an unexpected function of IL-33 as a regulator of
epithelial barrier functions. Mice lacking IL-33 showed decreased Paneth cell
numbers and lethal systemic infection in response to Salmonella typhimurium. IL
33 was produced upon microbial challenge by a distinct population of pericryptal
fibroblasts neighboring the intestinal stem cell niche. IL-33 programmed the
differentiation of epithelial progenitors toward secretory IEC including Paneth
and goblet cells. Finally, IL-33 suppressed Notch signaling in epithelial cells
and induced expression of transcription factors governing differentiation into
secretory IEC. In summary, we demonstrate that gut pericryptal fibroblasts
release IL-33 to translate bacterial infection into an epithelial response to
promote antimicrobial defense.
PMID- 27184846
TI - MCUR1 Is a Scaffold Factor for the MCU Complex Function and Promotes
Mitochondrial Bioenergetics.
AB - Mitochondrial Ca(2+) Uniporter (MCU)-dependent mitochondrial Ca(2+) uptake is the
primary mechanism for increasing matrix Ca(2+) in most cell types. However, a
limited understanding of the MCU complex assembly impedes the comprehension of
the precise mechanisms underlying MCU activity. Here, we report that mouse
cardiomyocytes and endothelial cells lacking MCU regulator 1 (MCUR1) have
severely impaired [Ca(2+)]m uptake and IMCU current. MCUR1 binds to MCU and EMRE
and function as a scaffold factor. Our protein binding analyses identified the
minimal, highly conserved regions of coiled-coil domain of both MCU and MCUR1
that are necessary for heterooligomeric complex formation. Loss of MCUR1
perturbed MCU heterooligomeric complex and functions as a scaffold factor for the
assembly of MCU complex. Vascular endothelial deletion of MCU and MCUR1 impaired
mitochondrial bioenergetics, cell proliferation, and migration but elicited
autophagy. These studies establish the existence of a MCU complex that assembles
at the mitochondrial integral membrane and regulates Ca(2+)-dependent
mitochondrial metabolism.
PMID- 27184850
TI - The Adhesion G Protein-Coupled Receptor GPR56/ADGRG1 Is an Inhibitory Receptor on
Human NK Cells.
AB - Natural killer (NK) cells possess potent cytotoxic mechanisms that need to be
tightly controlled. Here, we explored the regulation and function of
GPR56/ADGRG1, an adhesion G protein-coupled receptor implicated in developmental
processes and expressed distinctively in mature NK cells. Expression of GPR56 was
triggered by Hobit (a homolog of Blimp-1 in T cells) and declined upon cell
activation. Through studying NK cells from polymicrogyria patients with disease
causing mutations in ADGRG1, encoding GPR56, and NK-92 cells ectopically
expressing the receptor, we found that GPR56 negatively regulates immediate
effector functions, including production of inflammatory cytokines and cytolytic
proteins, degranulation, and target cell killing. GPR56 pursues this activity by
associating with the tetraspanin CD81. We conclude that GPR56 inhibits natural
cytotoxicity of human NK cells.
PMID- 27184848
TI - Impaired Mitochondrial Fat Oxidation Induces FGF21 in Muscle.
AB - Fatty acids are the primary fuel source for skeletal muscle during most of our
daily activities, and impaired fatty acid oxidation (FAO) is associated with
insulin resistance. We have developed a mouse model of impaired FAO by deleting
carnitine palmitoyltransferase-1b specifically in skeletal muscle (Cpt1b(m-/-)).
Cpt1b(m-/-) mice have increased glucose utilization and are resistant to diet
induced obesity. Here, we show that inhibition of mitochondrial FAO induces FGF21
expression specifically in skeletal muscle. The induction of FGF21 in Cpt1b
deficient muscle is dependent on AMPK and Akt1 signaling but independent of the
stress signaling pathways. FGF21 appears to act in a paracrine manner to increase
glucose uptake under low insulin conditions, but it does not contribute to the
resistance to diet-induced obesity.
PMID- 27184851
TI - Transplantation Dose Alters the Differentiation Program of Hematopoietic Stem
Cells.
AB - Hematopoietic stem cell (HSC) transplantation is the most prevalent stem cell
therapy, but it remains a risky procedure. To improve this treatment, it is
important to understand how transplanted stem cells rebuild the blood and immune
systems and how this process is impacted by transplantation variables such as the
HSC dose. Here, we find that, in the long term following transplantation, 70%-80%
of donor-HSC-derived clones do not produce all measured blood cell types. High
HSC doses lead to more clones that exhibit balanced lymphocyte production,
whereas low doses produce more T-cell-specialized clones. High HSC doses also
produce significantly higher proportions of early-differentiating clones compared
to low doses. These complex differentiation behaviors uncover the clonal-level
regeneration dynamics of hematopoietic regeneration and suggest that
transplantation dose can be exploited to improve stem cell therapy.
PMID- 27184853
TI - Translational Capacity of a Cell Is Determined during Transcription Elongation
via the Ccr4-Not Complex.
AB - The current understanding of gene expression considers transcription and
translation to be independent processes. Challenging this notion, we found that
translation efficiency is determined during transcription elongation through the
imprinting of mRNAs with Not1, the central scaffold of the Ccr4-Not complex. We
determined that another subunit of the complex, Not5, defines Not1 binding to
specific mRNAs, particularly those produced from ribosomal protein genes. This
imprinting mechanism specifically regulates ribosomal protein gene expression,
which in turn determines the translational capacity of cells. We validate our
model by SILAC and polysome profiling experiments. As a proof of concept, we
demonstrate that enhanced translation compensates for transcriptional elongation
stress. Taken together, our data indicate that in addition to defining mRNA
stability, components of the Ccr4-Not imprinting complex regulate RNA
translatability, thus ensuring global gene expression homeostasis.
PMID- 27184854
TI - TREX1 Knockdown Induces an Interferon Response to HIV that Delays Viral Infection
in Humanized Mice.
AB - Despite their antiviral effect, the in vivo effect of interferons on HIV
transmission is difficult to predict, because interferons also activate and
recruit HIV-susceptible cells to sites of infection. HIV does not normally induce
type I interferons in infected cells, but does if TREX1 is knocked down. Here, we
investigated the effect of topical TREX1 knockdown and local interferon
production on HIV transmission in human cervicovaginal explants and humanized
mice. In explants in which TREX1 was knocked down, HIV induced interferons, which
blocked infection. In humanized mice, even though TREX1 knockdown increased
infiltrating immune cells, it delayed viral replication for 3-4 weeks. Similarly
intravaginal application of type I interferons the day before HIV infection
induced interferon responsive genes, reduced inflammation, and decreased viral
replication. However, intravenous interferon enhanced inflammation and infection.
Thus, in models of human sexual transmission, a localized interferon response
inhibits HIV transmission but systemic interferons do not.
PMID- 27184855
TI - TFG Promotes Organization of Transitional ER and Efficient Collagen Secretion.
AB - Collagen is the most abundant protein in the animal kingdom. It is of fundamental
importance during development for cell differentiation and tissue morphogenesis
as well as in pathological processes such as fibrosis and cancer cell migration.
However, our understanding of the mechanisms of procollagen secretion remains
limited. Here, we show that TFG organizes transitional ER (tER) and ER exit sites
(ERESs) into larger structures. Depletion of TFG results in dispersion of tER
elements that remain associated with individual ER-Golgi intermediate
compartments (ERGICs) as largely functional ERESs. We show that TFG is not
required for the transport and packaging of small soluble cargoes but is
necessary for the export of procollagen from the ER. Our work therefore suggests
a key relationship between the structure and function of ERESs and a central role
for TFG in optimizing COPII assembly for procollagen export.
PMID- 27184852
TI - Mitochondrial H2O2 in Lung Antigen-Presenting Cells Blocks NF-kappaB Activation
to Prevent Unwarranted Immune Activation.
AB - Inhalation of environmental antigens such as allergens does not always induce
inflammation in the respiratory tract. While antigen-presenting cells (APCs),
including dendritic cells and macrophages, take up inhaled antigens, the cell
intrinsic molecular mechanisms that prevent an inflammatory response during this
process, such as activation of the transcription factor NF-kappaB, are not well
understood. Here, we show that the nuclear receptor PPARgamma plays a critical
role in blocking NF-kappaB activation in response to inhaled antigens to preserve
immune tolerance. Tolerance induction promoted mitochondrial respiration,
generation of H2O2, and suppression of NF-kappaB activation in WT, but not
PPARgamma-deficient, APCs. Forced restoration of H2O2 in PPARgamma-deficient
cells suppressed IkappaBalpha degradation and NF-kappaB activation. Conversely,
scavenging reactive oxygen species from mitochondria promoted IkappaBalpha
degradation with loss of regulatory and promotion of inflammatory T cell
responses in vivo. Thus, communication between PPARgamma and the mitochondria
maintains immune quiescence in the airways.
PMID- 27184857
TI - Gene expression analysis in airway-secreting extracellular vesicles upon house
dust mite exposure.
PMID- 27184856
TI - Oncology Patient Perceptions of the Use of Ionizing Radiation in Diagnostic
Imaging.
AB - PURPOSE: To measure the knowledge of oncology patients regarding use and
potential risks of ionizing radiation in diagnostic imaging. METHODS: A 30
question survey was developed and e-mailed to 48,736 randomly selected patients
who had undergone a diagnostic imaging study at a comprehensive cancer center
between November 1, 2013 and January 31, 2014. The survey was designed to measure
patients' knowledge about use of ionizing radiation in diagnostic imaging and
attitudes about radiation. Nonresponse bias was quantified by sending an
abbreviated survey to patients who did not respond to the original survey.
RESULTS: Of the 48,736 individuals who were sent the initial survey, 9,098
(18.7%) opened it, and 5,462 (11.2%) completed it. A total of 21.7% of
respondents reported knowing the definition of ionizing radiation; 35.1% stated
correctly that CT used ionizing radiation; and 29.4% stated incorrectly that MRI
used ionizing radiation. Many respondents did not understand risks from exposure
to diagnostic doses of ionizing radiation: Of 3,139 respondents who believed that
an abdominopelvic CT scan carried risk, 1,283 (40.9%) believed sterility was a
risk; 669 (21.3%) believed heritable mutations were a risk; 657 (20.9%) believed
acute radiation sickness was a risk; and 135 (4.3%) believed cataracts were a
risk. CONCLUSIONS: Most patients and caregivers do not possess basic knowledge
regarding the use of ionizing radiation in oncologic diagnostic imaging. To
ensure health literacy and high-quality patient decision making, efforts to
educate patients and caregivers should be increased. Such education might begin
with information about effects that are not risks of diagnostic imaging.
PMID- 27184858
TI - Human immune deficiency virus (HIV) infection during pregnancy at Gadarif
hospital, Eastern Sudan.
AB - This was a prospective study conducted on all pregnant and parturient women
attending Gadarif Maternity Hospital, Sudan from January 2009 to December 2013 to
investigate the maternal characteristics, pregnancy outcomes and estimate of
maternal to child transmission of HIV among HIV infected women. During the study
period, there were 26 HIV positive mothers among 6420 tested women yielding an
incidence rate of 0.4%. The majority of these 26 infected women were of urban
residence (69.2%), presented with normal CD4+ T cell count; ? 350?cu. mm3
(96.2%), had less than secondary education (57.7%) and housewives (84.6%). The
mean age (SD) was significantly varied between the sero-positive and sero
negative women, 25.9 (5.7) vs. 36.1 (5.7), p = <0.001. More proportion of
infected women had maternal anaemia and gave preterm birth, and none of the
newborn babies was HIV infected until the age of 96 weeks. It is thus HIV
infected women in eastern Sudan were young and likely desire more children.
PMID- 27184859
TI - One-pot hydrothermal synthesis of Nitrogen-doped graphene as high-performance
anode materials for lithium ion batteries.
AB - Nitrogen-doped (N-doped) graphene has been prepared by a simple one-step
hydrothermal approach using hexamethylenetetramine (HMTA) as single carbon and
nitrogen source. In this hydrothermal process, HMTA pyrolyzes at high temperature
and the N-doped graphene subsequently self-assembles on the surface of MgO
particles (formed by the Mg powder reacting with H2O) during which graphene
synthesis and nitrogen doping are simultaneously achieved. The as-synthesized
graphene with incorporation of nitrogen groups possesses unique structure
including thin layer thickness, high surface area, mesopores and vacancies. These
structural features and their synergistic effects could not only improve ions and
electrons transportation with nanometer-scale diffusion distances but also
promote the penetration of electrolyte. The N-doped graphene exhibits high
reversible capacity, superior rate capability as well as long-term cycling
stability, which demonstrate that the N-doped graphene with great potential to be
an efficient electrode material. The experimental results provide a new
hydrothermal route to synthesize N-doped graphene with potential application for
advanced energy storage, as well as useful information to design new graphene
materials.
PMID- 27184860
TI - The incidence of congenital malformations and variations in Gottingen minipigs.
AB - Knowledge of the incidence of spontaneous congenital abnormalities is critical
for the accurate interpretation of findings in teratogenicity studies in any
species. In this paper, results of the examination of 1739 neonatal Gottingen
Minipigs are presented. Over the 2-year period under consideration, the incidence
of external and visceral malformations was less than 0.2 and 0.1%, respectively.
The most common external malformations were syndactyly, limb hyperflexion, domed
head and scoliosis. The most common internal malformations were undescended
testes, ventricular septal defect, diaphragmatic hernia and atrial septal
defects. Pentadactyly and variation in the aortic arch's bifurcation (absent
truncus bicaroticus) were the most common variations. These data will help
support the use of the Gottingen Minipig as a non-rodent species in embryofetal
development studies where concerns persist about the availability of background
data.
PMID- 27184861
TI - Mass spectrometry-based proteomics of oxidative stress: Identification of 4
hydroxy-2-nonenal (HNE) adducts of amino acids using lysozyme and bovine serum
albumin as model proteins.
AB - Modification of proteins by 4-hydroxy-2-nonenal (HNE), a reactive by-product of
omega6 polyunsaturated fatty acid oxidation, on specific amino acid residues is
considered a biomarker for oxidative stress, as occurs in many metabolic,
hereditary, and age-related diseases. HNE modification of amino acids can occur
either via Michael addition or by formation of Schiff-base adducts. These
modifications typically occur on cysteine (Cys), histidine (His), and/or lysine
(Lys) residues, resulting in an increase of 156 Da (Michael addition) or 138 Da
(Schiff-base adducts), respectively, in the mass of the residue. Here, we
employed biochemical and mass spectrometry (MS) approaches to determine the MS
"signatures" of HNE-modified amino acids, using lysozyme and BSA as model
proteins. Using direct infusion of unmodified and HNE-modified lysozyme into an
electrospray quadrupole time-of-flight mass spectrometer, we were able to detect
up to seven HNE modifications per molecule of lysozyme. Using nanoLC-MS/MS, we
found that, in addition to N-terminal amino acids, Cys, His, and Lys residues,
HNE modification of arginine (Arg), threonine (Thr), tryptophan (Trp), and
histidine (His) residues can also occur. These sensitive and specific methods can
be applied to the study of oxidative stress to evaluate HNE modification of
proteins in complex mixtures from cells and tissues under diseased versus normal
conditions.
PMID- 27184862
TI - Identification of a novel HLA-A allele, HLA-A*01:195, in a UAE national.
AB - A novel human leucocyte antigen (HLA)-A allele, HLA-A*01:195, was identified by
sequence-based typing (SBT) in a UAE national subject. The novel allele is
identical to its closest known allele, HLA-A*01:01:01:01, in exon 2, 3 and 4,
except for a single nucleotide mutation of A to G at position 442 in exon 3
(codon 124 in the alpha2 domain of the alpha chain of the mature protein). This A
to G mutation results in an amino acid change of isoleucine #124 to valine.
PMID- 27184863
TI - HLA-DRB1 in Henoch-Schonlein purpura: A susceptibility study from North India.
AB - Etiology of Henoch-Schonlein purpura (HSP) a small vessel vasculitis remains
elusive. Susceptibility may be conferred by major histocompatibility complex.
There are limited reports on the association of human leucocyte antigens (HLA)
and HSP. The aim was to investigate the association of HLA-DRB1 (HLA class II
antigen) with HSP. Forty three patients, <14years, who fulfilled the diagnostic
criteria of HSP, laid by 'European League Against Rheumatism' were enrolled.
Fifty four age-matched, healthy controls were included. PCR with 24 sequence
specific primers for HLA-DRB1 allotypes was performed. Commercially available HLA
DR tissue typing kit (Inno-train, Kronberg im Taunus, Hesse, Germany) was
utilized. The mean age of patients and controls was 8.5+/-3.2 and 7.6+/-3.6years,
respectively (p=0.18). Gastrointestinal and renal involvement was observed in 25
(58.1%) and 14 (32.6%) patients, respectively. A greater frequency of HLA-DRB1*11
was observed in patients (11.6%) as compared to controls (1.9%), however, the
results were not significant following Bonferroni correction. No significantly
protective HLA genotype was observed. None of the HLA-DRB1 antigen was noted to
increase the susceptibility to gastrointestinal or renal involvement. In
conclusion, in the first study from India, none of the HLA-DRB*1 genotypes were
observed to increase the susceptibility of North Indian children to HSP.
PMID- 27184864
TI - Thin Insulating Tunneling Contacts for Efficient and Water-Resistant Perovskite
Solar Cells.
AB - Tunneling contacts made of any insulating polymers, a champion technology in
silicon solar cells, are shown to increase the stabilized efficiency of
perovskite solar cells (PSCs) to 20.3%. The tunneling layers spatially separate
photo-generated electrons and holes at the perovskite-cathode interface and
reduce charge recombination. The tunneling layers made of hydrophobic polymers
also significantly enhance the resistance of PSCs to water-caused damage.
PMID- 27184869
TI - First heterometallic Ga(III)-Dy(III) single-molecule magnets: implication of
Ga(III) in extracting Fe-Dy interaction.
AB - The compounds of the system [M4M'2(MU3-OH)2(nbdea)4(C6H5CO2)8].MeCN, where M =
Ga(III), M' = Dy(III) (), M = Fe(III), M' = Y(III) () are isostructural to the
known [Fe4Dy2] compound (). Those of the system [M4M'4(MU3-OH)4(nbdea)4(m
CH3C6H4CO2)12].nMeCN, where M = Ga(III), M' = Dy(III), n = 4 (), M = Fe(III), M'
= Y(III), n = 1 () are isostructural to the [Fe4Dy4] compound (). This allows for
comparisons between single ion effects of the paramagnetic ions. The structures
were determined using single crystal analysis. Magnetic susceptibility
measurements reveal that the Ga(III)-Dy(III) compounds and are SMMs. The energy
barrier for is close to that for the known isostructural Fe4Dy2 compound (), but
with a significantly increased relaxation time.
PMID- 27184870
TI - The Immunosuppressive Effect of CTLA4 Immunoglobulin Is Dependent on Regulatory T
Cells at Low But Not High Doses.
AB - B7.1/2-targeted costimulation blockade (CTLA4 immunoglobulin [CTLA4-Ig]) is
available for immunosuppression after kidney transplantation, but its potentially
detrimental impact on regulatory T cells (Tregs) is of concern. We investigated
the effects of CTLA4-Ig monotherapy in a fully mismatched heart transplant model
(BALB/c onto C57BL/6). CTLA4-Ig was injected chronically (on days 0, 4, 14, and
28 and every 4 weeks thereafter) in dosing regimens paralleling clinical use,
shown per mouse: low dose (LD), 0.25 mg (~10 mg/kg body weight); high dose (HD),
1.25 mg (~50 mg/kg body weight); and very high dose (VHD), 6.25 mg (~250 mg/kg
body weight). Chronic CTLA4-Ig therapy showed dose-dependent efficacy, with the
LD regimen prolonging graft survival and with the HD and VHD regimens leading to
>95% long-term graft survival and preserved histology. CTLA4-Ig's effect was
immunosuppressive rather than tolerogenic because treatment cessation after ~3 mo
led to rejection. FoxP3-positive Tregs were reduced in naive mice to a similar
degree, independent of the CTLA4-Ig dose, but recovered to normal values in heart
recipients under chronic CTLA4-Ig therapy. Treg depletion (anti-CD25) resulted in
an impaired outcome under LD therapy but had no detectable effect under HD
therapy. Consequently, the immunosuppressive effect of partially effective LD
CTLA4-Ig therapy is impaired when Tregs are removed, whereas CTLA4-Ig monotherapy
at higher doses effectively maintains graft survival independent of Tregs.
PMID- 27184871
TI - Supporting medics' and corpsmen's move into professional nursing.
PMID- 27184873
TI - From MIC creep to MIC decline: Staphylococcus aureus antibiotic susceptibility
evolution over the last 4 years.
PMID- 27184872
TI - Detection of Circulating Tumor Cells by Fluorescent Immunohistochemistry in
Patients with Esophageal Squamous Cell Carcinoma: Potential Clinical
Applications.
AB - BACKGROUND Circulating tumor cells (CTCs) are tumor cells that leave the primary
tumor site and enter the bloodstream, where they can spread to other organs; they
are very important in the diagnosis, treatment, and prognosis of malignant
tumors. However, few studies have investigated CTCs in esophageal squamous cell
carcinoma (ESCC). The aim of this study was to investigate the CTCs in blood of
ESCC patients and its potential relevance to clinicopathological features and
prognosis. MATERIAL AND METHODS CTCs were acquired by a negative enrichment
method that used magnetic activated cell sorting (MACSTM). Fluorescent
immunohistochemistry (IHC) was used to identify the CTCs. Then, the positive CTC
patients with ESCC were analyzed, after which the relationship between CTCs and
clinicopathologic features was evaluated. RESULTS In the present study, 62 out of
140 (44.3%) patients with ESCC were positive for CTCs. The positive rate of CTCs
was significantly related with stage of ESCC patients (P=0.013). However, there
was no relationship between CTC status and age, sex, smoking tumor history, tumor
location, differentiation of tumor, lymphatic invasion, or lymph venous invasion
(P>0.05). Kaplan-Meier analysis showed that patients positive for CTCs had
significantly shorter survival time than patients negative for CTCs. Multivariate
analysis demonstrated that stage and CTC status were significant prognostic
factors for patients with ESCC. CONCLUSIONS CTCs positivity is an independent
prognostic biomarker that indicates a worse prognosis for patients with ESCC.
PMID- 27184874
TI - Suddenly everyone is a microbiota specialist.
PMID- 27184875
TI - Equal sensitivity of the new generation QuantiFERON-TB Gold plus in direct
comparison with the previous test version QuantiFERON-TB Gold IT.
AB - QuantiFERON-TB Gold IT analyses interferon-gamma release from CD4(+) T cells
after stimulation with specific tuberculosis (TB) antigens. Its sensitivity is
approximately 80% for active TB. A new test generation (QFTGplus) also analyses
the response of CD8(+) T cells. We investigated both test generations in a direct
head-to-head comparison in a German pulmonary hospital. Sensitivity rates for
active TB were identical, no matter whether diagnosis was bacteriologically
confirmed or not.
PMID- 27184876
TI - Observational studies examining patient management in infectious diseases.
PMID- 27184877
TI - Corticosteroid dosage for acute bacterial meningitis in children.
PMID- 27184878
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): Adverse cognitive and behavioural effects of antiepileptic drugs in
children.
AB - The literature was evaluated for cognitive and more general behavioural effects.
We distinguished the older antiepileptic drugs (AEDs), from the newer and newest
AEDs. The striking finding was the lack of information on children. From the
available evidence it would appear that there may be negative cognitive effects
with phenobarbital, phenytoin, topiramate and zonisamide, and adverse behavioural
effects with phenobarbital, valproate, gabapentin, topiramate, levetiracetam and
zonisamide. There is inconclusive data on ethosuximide, clobazam, vigabatrin,
felbamate, pregabalin, stiripentol, rufinamide, lacosamide and retigabine. The
following drugs appear to be neutral with regard to cognitive effects: valproate,
carbamazepine, gabapentin and oxcarbazepine. Carbamazepine appears to be neutral
with regard to behavioural effects. Positive cognitive effects have been reported
with lamotrigine and levetiracetam. Positive behavioural effects have been
reported with lamotrigine. Recommendations are provided.
PMID- 27184879
TI - Commentary on Some Recent Theses Relevant to Combating Aging: June 2016.
PMID- 27184880
TI - Serum and Urinary Interferon-Gamma-Inducible Protein 10 in Lupus Nephritis.
AB - BACKGROUND: Lupus nephritis is a serious manifestation of systemic lupus
erythematosus (SLE). The objective of this study was to identify the sensitivity,
specificity, and cut-off values of IP-10 in the serum and urine of patients with
lupus nephritis compared to renal biopsy, albumin/creatinine ratio, and serum
anti-dsDNA. METHODS: Thirty female SLE patients were included. SLEDAI was
calculated and blood and urine samples were collected. Patients were divided into
10 SLE patients with renal involvement (six active and four inactive), 10 active
SLE, and 10 inactive SLE patients. Ten age-matched healthy (control) were
included. Serum and urinary levels of IP-10 were measured by ELISA. Anti-dsDNA,
urine albumin/creatinine ratio were performed. RESULTS: Serum and urinary IP-10
in active SLE patients had significantly increased levels as compared to inactive
SLE patients (P = 0.015, P = 0.033, respectively). However, there was no
difference in serum and urinary levels between active renal and active non-renal
patients. Albumin/creatinine ratio is a better marker in differentiating between
lupus nephritis and SLE with no renal involvement. Any of serum and urinary IP
10, albumin/creatinine ratio, and anti-dsDNA did not correlate with the class of
lupus nephritis in renal biopsy. CONCLUSION: Urinary and serum IP-10 are useful
markers of lupus activity, but not indicative of renal activity.
Albumin/creatinine ratio is superior in identifying lupus nephritis and renal
activity.
PMID- 27184881
TI - Exercise increases mitochondrial glutamate oxidation in the mouse cerebral
cortex.
AB - The present study investigated the impact of acute exercise on stimulating
mitochondrial respiratory function in mouse cerebral cortex. Where pyruvate
stimulated respiration was not affected by acute exercise, glutamate respiration
was enhanced following the exercise bout. Additional assessment revealed that
this affect was dependent on the presence of malate and did not occur when
substituting glutamine for glutamate. As such, our results suggest that glutamate
oxidation is enhanced with acute exercise through activation of the malate
aspartate shuttle.
PMID- 27184883
TI - Correlation between quadriceps to hamstring ratio and functional outcomes in
patellofemoral pain.
AB - BACKGROUND: The aim of this study was to investigate the correlation between
quadriceps to hamstring (Q:H) ratio and the functional outcomes in Patellofemoral
Pain (PFP) patients. METHODS: The study included forty-four women diagnosed with
unilateral PFP. Eccentric and concentric quadriceps and hamstring strength were
recorded. Conventional Q:H ratio was calculated as the concentric quadriceps to
concentric hamstring peak torque (Ratio 1). Functional ratios were calculated as
the eccentric quadriceps to concentric hamstring peak torque (Ratio 2) and as the
concentric quadriceps to eccentric hamstring torque (Ratio 3). Functional levels
of the patients were determined by using Kujala scores, hop test and step test.
Pain levels during activities were recorded. The relationship among Ratio 1,
Ratio 2 and Ratio 3 with functional outcomes and pain levels were evaluated using
Spearman's correlation coefficient test. RESULTS: Eccentric and concentric
quadriceps and hamstring strength were lower on involved side than uninvolved
side. Ratio 2 correlated stronger with Kujala score (r=0.69) than Ratio 1
(r=0.49) and Ratio 3 (r=0.30). Step test (r=0.35) and hop test (r=0.38) only
correlated with Ratio 2. Pain levels correlated more with Ratio 2 (r values
ranged between 0.38 and 0.48). CONCLUSION: Eccentric quadriceps to concentric
hamstring ratio was observed more related to the functional outcomes and painful
activities in patients with PFP. LEVEL OF EVIDENCE III: Cross-sectional study.
PMID- 27184882
TI - TT-TG vs. modified lateral patellar edge for determination of tibial tubercle
transfer distance in Fulkerson osteotomy procedures.
AB - BACKGROUND: Tibial tubercle-trochlear groove (TT-TG) distance is currently used
at our institution to determine tibial tubercle medialization required in
Fulkerson osteotomies. If the correlation between a modified lateral patellar
edge (LPE) and the transfer distance was found to be stronger than its
correlation with TT-TG, it would suggest that the best measurement to use is
actually modified LPE. METHODS: The electronic medical records of 32 patients who
underwent Fulkerson osteotomy procedures with femoral nerve stimulation were
reviewed and measured. For each patient, modified LPE was measured on quadriceps
active hyperextension MRI, and TT-TG was measured on passive extension MRI.
Correlation between both TT-TG and LPE and tibial tubercle transfer distance was
then determined and analysed. RESULTS: The correlation between TT-TG and actual
intra-operative tibial tubercle transfer distance in Fulkerson osteotomy
procedures with intra-operative femoral nerve stimulation was found to be weak at
0.436 (p<0.05). The correlation between modified LPE and actual intra-operative
tibial tubercle transfer distance was found to be strong at 0.697 (p<0.001).
CONCLUSIONS: The correlation between modified LPE and actual intra-operative
tibial tubercle transfer distance was stronger than the correlation between TT-TG
and tibial tubercle transfer distance. This suggests that the modified LPE may
actually be a better preoperative determinant than the currently-utilized TT-TG
of the transfer distance required during Fulkerson osteotomy procedures. LEVEL OF
EVIDENCE: Level II, diagnostic study.
PMID- 27184884
TI - The rumen and beyond: Nutritional physiology of the modern dairy cow.
PMID- 27184885
TI - Corrigendum to "Australian milk fat-Seasonal and regional variation of melting
properties" (J. Dairy Sci. 99:2571-2587).
PMID- 27184886
TI - Comparative lipidomics and proteomics analysis of platelet lipid rafts using
different detergents.
AB - Lipid rafts play a pivotal role in physiological functions of platelets. Their
isolation using nonionic mild detergents is considered as the gold standard
method, but there is no consensual detergent for lipid raft studies. We aimed to
investigate which detergent is the most suitable for lipid raft isolation from
platelet membrane, based on lipidomics and proteomics analysis. Platelets were
obtained from healthy donors. Twelve sucrose fractions were extracted by three
different detergents, namely Brij 35, Lubrol WX, and Triton X100, at 0.05% and
1%. After lipidomics analysis and determination of fractions enriched in
cholesterol (Ch) and sphingomyelin (SM), proteomics analysis was performed. Lipid
rafts were mainly observed in 1-4 fractions, and non-rafts were distributed on 5
12 fractions. Considering the concentration of Ch and SM, Lubrol WX 1% and Triton
X100 1% were more suitable detergents as they were able to isolate lipid raft
fractions that were more enriched than non-raft fractions. By proteomics
analysis, overall, 822 proteins were identified in platelet membrane. Lipid raft
fractions isolated with Lubrol WX 0.05% and Triton X100 1% contained mainly
plasma membrane proteins. However, only Lubrol WX 0.05 and 1% and Triton X100 1%
were able to extract non-denaturing proteins with more than 10 transmembrane
domains. Our results suggest that Triton X100 1% is the most suitable detergent
for global lipid and protein studies on platelet plasma membrane. However, the
detergent should be adapted if investigation of an association between specific
proteins and lipid rafts is planned.
PMID- 27184887
TI - MicroRNA-150 Inhibits the Activation of Cardiac Fibroblasts by Regulating c-Myb.
AB - BACKGROUND/AIMS: Cardiac fibrosis is the primary cause of deteriorated cardiac
function in various cardiovascular diseases. Numerous studies have demonstrated
that microRNAs (miRNAs) are critical regulators of myocardial fibrosis.
Specifically, many studies have reported that miR-150 is downregulated in
cardiovascular diseases, such as acute myocardial infarction (AMI), myocardial
hypertrophy and myocardial fibrosis. However, the exact role of miR-150 in these
pathological processes remains unknown. METHODS: We used the transverse aortic
constriction (TAC) mouse model to study the role of miR-150 in cardiac fibrosis
induced by pressure overload. After the TAC operation, qRT-PCR was used to
measure the expression profiles of miR-150 in left ventricle tissues and
populations of primary heart cell types. Then, we used both miR-150 knockout mice
and wild type (WT) mice in the TAC model. Changes in cardiac function and
pathology were measured using transthoracic echocardiography and pathological
analysis, respectively. Furthermore, we predicted the target of miR-150 in
cardiac fibroblasts (CFs) and completed in vitro CF transfection experiments
using miR-150 analogs and siRNA corresponding to the predicted target. RESULTS:
We observed decreased expression levels of miR-150 in hearts suffering pressure
overload, and these levels decreased more sharply in CFs than in cardiomyocytes.
In addition, the degrees of cardiac function deterioration and cardiac fibrosis
in miR-150-/- mice were more severe than were those in WT mice. By transfecting
CFs with an miR-150 analog in vitro, we observed that miR-150 inhibited cardiac
fibroblast activation. We predicted that the transcription factor c-Myb was the
target of miR-150 in CFs. Transfecting CFs with c-Myb siRNA eliminated the
effects of an miR-150 inhibitor, which promoted CF activation. CONCLUSION: These
findings reveal that miR-150 acts as a pivotal regulator of pressure overload
induced cardiac fibrosis by regulating c-Myb.
PMID- 27184890
TI - Response to "Variable directionality of gene expression changes across
generations does not constitute negative evidence of epigenetic inheritance"
Sharma, A. Environmental Epigenetics, 2015, 1-5.
AB - Abhay Sharma brings two arguments in favor of transgenerational epigenetic
inheritance (TGEI) in mammals when criticizing our work. He uses probability
calculations and finds that the probability of obtaining the number of common
changes in the in utero-exposed prospermatogonia and the same cells in the next
generation is significant in our study. He also compares our results to other
published datasets and concludes that the probability for the observed overlap
between independent studies is significant. We disagree with both arguments of
Sharma and show here that his meta-analysis and statistical calculations are not
correct.
PMID- 27184889
TI - A Quantitative Theoretical Framework For Protein-Induced Fluorescence Enhancement
Forster-Type Resonance Energy Transfer (PIFE-FRET).
AB - Single-molecule, protein-induced fluorescence enhancement (PIFE) serves as a
molecular ruler at molecular distances inaccessible to other spectroscopic rulers
such as Forster-type resonance energy transfer (FRET) or photoinduced electron
transfer. In order to provide two simultaneous measurements of two distances on
different molecular length scales for the analysis of macromolecular complexes,
we and others recently combined measurements of PIFE and FRET (PIFE-FRET) on the
single molecule level. PIFE relies on steric hindrance of the fluorophore Cy3,
which is covalently attached to a biomolecule of interest, to rotate out of an
excited-state trans isomer to the cis isomer through a 90 degrees intermediate.
In this work, we provide a theoretical framework that accounts for relevant
photophysical and kinetic parameters of PIFE-FRET, show how this framework allows
the extraction of the fold-decrease in isomerization mobility from experimental
data, and show how these results provide information on changes in the accessible
volume of Cy3. The utility of this model is then demonstrated for experimental
results on PIFE-FRET measurement of different protein-DNA interactions. The
proposed model and extracted parameters could serve as a benchmark to allow
quantitative comparison of PIFE effects in different biological systems.
PMID- 27184888
TI - Recovery-focused care planning and coordination in England and Wales: a cross
national mixed methods comparative case study.
AB - BACKGROUND: In the UK, concerns about safety and fragmented community mental
health care led to the development of the care programme approach in England and
care and treatment planning in Wales. These systems require service users to have
a care coordinator, written care plan and regular reviews of their care.
Processes are required to be collaborative, recovery-focused and personalised but
have rarely been researched. We aimed to obtain the views and experiences of
stakeholders involved in community mental health care and identify factors that
facilitate or act as barriers to personalised, collaborative, recovery-focused
care. METHODS: We conducted a cross-national comparative study employing a
concurrent transformative mixed-methods approach with embedded case studies
across six service provider sites in England and Wales. The study included a
survey of views on recovery, empowerment and therapeutic relationships in service
users (n = 448) and recovery in care coordinators (n = 201); embedded case
studies involving interviews with service providers, service users and carers (n
= 117) and a review of care plans (n = 33). Quantitative and qualitative data
were analysed within and across sites using inferential statistics, correlations
and framework method. RESULTS: Significant differences were found across sites
for scores on therapeutic relationships. Variation within sites and participant
groups was reported in experiences of care planning and understandings of
recovery and personalisation. Care plans were described as administratively
burdensome and were rarely consulted. Carers reported varying levels of
involvement. Risk assessments were central to clinical concerns but were rarely
discussed with service users. Service users valued therapeutic relationships with
care coordinators and others, and saw these as central to recovery. CONCLUSIONS:
Administrative elements of care coordination reduce opportunities for recovery
focused and personalised work. There were few common understandings of recovery
which may limit shared goals. Conversations on risk appeared to be neglected and
assessments kept from service users. A reluctance to engage in dialogue about
risk management may work against opportunities for positive risk-taking as part
of recovery-focused work. Research to investigate innovative approaches to
maximise staff contact time with service users and carers, shared decision-making
in risk assessments, and training designed to enable personalised, recovery
focused care coordination is indicated.
PMID- 27184892
TI - Clinical and economic impact of a specific BCG vaccination program implemented in
Prato, central Italy, involving foreign newborns on hospitalizations.
AB - In Tuscany (Central Italy), the average annual notification rate of tuberculosis
(TB) in the years 2007-2012 was 7.5-9.8 per 100,000 people, with the Local Health
Unit of Prato (LHU4) showing the highest rate compared to the other regional
area. Therefore, in order to reduce the burden of TB, foreign newborns in the
LHU4 are being given the Bacillus Calmette-Guerin (BCG) vaccine since 2000. The
aim of this study is to assess the impact of BCG vaccination in Prato, in terms
of TB-related hospitalizations and costs. The regional archive containing all TB
related discharges and costs in the period 2007-2014 was consulted. Data
regarding foreigners living in the LHU4 who have been vaccinated since 2000 were
compared with those living in the other Tuscan LHUs and never vaccinated. These
populations were then disaggregated by a threshold age of 15 y. After calculating
the standardized hospitalization rates, the expected number of hospitalizations
for TB among unvaccinated adults (in both populations) was found to be similar in
the LHU4 and the other LHUs (165 vs. 156). However, expected number of
hospitalizations among children in the other Tuscan LHUs (67) was double that of
the LHU4 (34). If the same vaccine had been administrated everywhere, each year
29 hospitalizations could have been avoided and EUR 343,525 saved. Overall, BCG
vaccinations cost EUR 14,879 in the LHU4, but 69 hospitalizations were avoided
and EUR 107,435 saved. The introduction of the BCG immunization program in the
LHU4 of Prato has led to significant reductions in the clinical and economic
impact of TB.
PMID- 27184891
TI - The relationship between Lp(a) and CVD outcomes: a systematic review.
AB - Robust associations between lipoprotein(a) [Lp(a)] and CVD outcomes among general
populations have been published in previous studies. However, associations in
high risk primary prevention and secondary prevention populations are less well
defined. In order to investigate this further, a systematic review was performed
including prospective studies, which assessed the relationship between Lp(a) and
CVD outcomes using multivariable analyses. Additional information was gathered on
Lp(a) assays, multivariable modelling and population characteristics. Literature
searches from inception up to December 2015 retrieved 2850 records. From these 60
studies were included. Across 39 primary prevention studies in the general
population (hazard ratios ranged from 1.16 to 2.97) and seven high risk primary
prevention studies (hazard ratios ranged from 1.01 to 3.7), there was evidence of
a statistically significant relationship between increased Lp(a) and an increased
risk of future CVD. Results in 14 studies of secondary prevention populations
were also suggestive of a modest statistically significant relationship (hazard
ratios ranged from 0.75 to 3.7).Therefore current evidence would suggest that
increased Lp(a) levels are associated with modest increases in the risk of future
CVD events in both general and higher risk populations. However, further studies
are required to confirm these findings.
PMID- 27184893
TI - Effects of fetal genotype and sex on developmental response to maternal
malnutrition.
AB - The present study aimed to determine whether developmental patterns, adiposity
level and fatty-acid composition of fetuses exposed to maternal malnutrition are
driven by their sex or their genotype, or both, as these may modulate the
adaptive response to the intrauterine environment independently of the maternal
genotype. We used a single maternal genotype (purebred Iberian (IB) sows), which
was inseminated with heterospermic semen (obtained by mixing semen from Iberian
and Large White (LW) boars), to obtain four different subsets of fetuses (male
and female, purebred (IB*IB) and crossbred (IB*LW)) in Iberian purebred sows.
Analysis of fetal phenotypes indicated a better adaptive response of the female
offspring, which was modulated by their genotype. When faced with prenatal
undernutrition, females prioritised the growth of vital organs (brain, liver,
lungs, kidneys and intestine) at the expense of bone and muscle. Moreover, the
analysis of fat composition showed a higher availability of essential fatty acids
in the female sex than in their male counterparts and also in the Iberian
genotype than in crossbred fetuses. These results are of high translational value
for understanding ethnic differences in prenatal programming of postnatal health
and disease status, and show evidence that prenatal development and metabolic
traits are primarily determined by fetal sex and strongly modulated by fetal
genotype.
PMID- 27184894
TI - Living with moderate to severe renal failure from the perspective of patients.
AB - BACKGROUND: Within healthcare, almost no attention is given to patients with
moderate-to- severe chronic kidney disease, having a with GFR between 20 and 45
while the presumption exists that these patients already experience several
problems in their lives during the course of their illness. METHODS: A team of
academic researchers and a renal patient participated in a qualitative study.
Individual interviews (n = 31) and focus groups (10 participants in total) with
patients having moderate-to-severe chronic kidney disease were conducted to gain
insight into their everyday problems. RESULTS: Participants mentioned several
experiences that can be divided into physical, social, societal and psychological
aspects as well as aspects related to healthcare. The most important findings,
following under each of these categories are: 1) the experience of fatigue
(physical aspects) 2) the search for acknowledgment of complaints/not enough
attention given to complaints leading to overcompensation and secrecy (societal
aspects) 3) work problems (societal aspects) and 4) the wish to control the
disease but not receiving enough support for this (healthcare). Patients feel in
general that healthcare professionals do not take them seriously in their
complaints and problems. CONCLUSIONS: This study offers important new insights
into an expanding group of patients having moderate-to-severe chronic kidney
disease. Healthcare professionals should acknowledge their problems instead of
ignoring or rejecting them and they should support patients in finding a way to
deal with them. The assumptions of Personalised Care Planning could be used to
support patients.
PMID- 27184896
TI - Brainjacking: Implant Security Issues in Invasive Neuromodulation.
AB - The security of medical devices is critical to good patient care, especially when
the devices are implanted. In light of recent developments in information
security, there is reason to be concerned that medical implants are vulnerable to
attack. The ability of attackers to exert malicious control over brain implants
("brainjacking") has unique challenges that we address in this review, with
particular focus on deep brain stimulation implants. To illustrate the potential
severity of this risk, we identify several mechanisms through which attackers
could manipulate patients if unauthorized access to an implant can be achieved.
These include blind attacks in which the attacker requires no patient-specific
knowledge and targeted attacks that require patient-specific information. Blind
attacks include cessation of stimulation, draining implant batteries, inducing
tissue damage, and information theft. Targeted attacks include impairment of
motor function, alteration of impulse control, modification of emotions or
affect, induction of pain, and modulation of the reward system. We also discuss
the limitations inherent in designing implants and the trade-offs that must be
made to balance device security with battery life and practicality. We conclude
that researchers, clinicians, manufacturers, and regulatory bodies should
cooperate to minimize the risk posed by brainjacking.
PMID- 27184899
TI - Intraoperative Motor-Evoked Potential Monitoring as a Predictive Tool for
Recovery From Spontaneous Intracerebral Hemorrhage.
PMID- 27184897
TI - Fiber Tracts of the Medial and Inferior Surfaces of the Cerebrum.
AB - OBJECTIVE: Fiber dissection studies of the cerebrum have focused on the lateral
surface. No comparable detailed studies have been done on the medial and inferior
surfaces. The object of this study was to examine the fiber tracts, cortical, and
subcortical structures of the medial and inferior aspects of the brain important
in planning operative approaches along the interhemispheric fissure, parafalcine
area, and basal surfaces of the cerebrum. METHODS: Twenty formalin-fixed human
hemispheres (10 brains) were examined by fiber dissection technique under *6-*40
magnifications. RESULTS: The superior longitudinal fasciculus I, cingulum,
inferior longitudinal fasciculus, uncinate fasciculus, optic radiations, tapetum,
and callosal fibers were dissected step by step from medial to lateral, exposing
the nucleus accumbens, subthalamic nucleus, red nucleus, and central midline
structures (fornix, stria medullaris, and stria terminalis). Finally, the central
core structures were dissected from medial to lateral. CONCLUSIONS: Understanding
the fiber network underlying the medial and inferior aspects of the brain is
important in surgical planning for approaches along the interhemispheric fissure,
parafalcine area, and basal surfaces of the cerebrum.
PMID- 27184898
TI - Preoperative and Postoperative Factors and Laboratory Values Predicting Outcome
in Patients Undergoing Lumbar Fusion Surgery.
AB - OBJECTIVE: To determine whether complications in lumbar fusion surgery could be
estimated from patient factors and perioperative laboratory values. In addition,
risk scores for detection of patients prone to complications were defined.
METHODS: We retrospectively collected data of patients undergoing lumbar fusion
surgery between 2013 and 2015. The patients were divided into group A (no
complications) and group B (systemic and infectious complications within 30 days
postoperatively). Patient-related factors and levels of perioperative laboratory
values were compared between the groups and analyzed for possible impact on
complications and length of stay (LOS) in the hospital. RESULTS: Data of 132
consecutive patients (74 women [56.1%]; median age, 68.5 years) were analyzed.
Postoperative complications occurred in 29.5%. Higher postoperative creatine
kinase (CK) and C-reactive protein and lower postoperative hemoglobin and
thrombocyte values, as well as higher differences between preoperative and
postoperative CK, C-reactive protein, and hemoglobin values were associated with
postoperative complications. Among others, the combinations of advanced age and
elevated body mass index (P = 0.0062, odds ratio: 3.018), or advanced age,
elevated body mass index, and postoperative CK >166 U/L (P = 0.0016, odds ratio:
3.637) revealed patients with a threefold risk for complications. The combination
of advanced age, American Society of Anesthesiologists score >2, and preoperative
hemoglobin <12.9 g/dL was associated with a LOS of 20.3 versus 11 days (P =
0.01). CONCLUSIONS: Patients with postoperative complications and extended LOS
seem to show significant differences in various perioperative laboratory values
and patient factors. Perioperative risk assessments using cut-off values and risk
scores may help identify patients prone to complications and extended resource
use.
PMID- 27184900
TI - Globus Pallidus Internus Deep Brain Stimulation for Traumatic Hemidystonia
Following Penetrating Head Injury.
AB - BACKGROUND: Deep brain stimulation (DBS) has been a major advance in the
treatment of dystonias. Outcomes are, however, less predictable for secondary
dystonias, predominantly due to progression of disease or specific brain lesions.
There are few cases reported of globus pallidus internus (GPi)-DBS for
posttraumatic dystonia. We describe the successful use of unilateral GPi-DBS in a
patient with hemidystonia following penetrating head injury. To our knowledge,
this is the first description of the use of DBS following penetrating head
injury. CASE DESCRIPTION: We present the case of a 47-year-old man with phasic
hemidystonia. At the age of 3 years he suffered a penetrating head injury from a
welding needle. The patient developed dystonic and phasic right-sided movements.
Preoperative Burke-Fahn-Marsden score was 26. Magnetic resonance imaging showed a
linear encephalomalacic track extending from the cortex in the left parieto
occipital region, traversing just superolateral to the left trigone into the left
thalamus and ending in the region of left cerebral peduncle and subthalamic
nucleus. There was no left GPi lesion. A left GPi-DBS electrode was inserted. At
6 months' follow-up, the patient's arm was more relaxed and his spasms lessened
in their severity and frequency. Although the Burke-Fahn-Marsden score of 21 had
improved modestly by 20%, pain and comfort levels had significantly improved with
50% improvement in visual analog scale score, translating in better quality of
life. There were no complications. The clinical benefit persists at 5 years post
surgery. CONCLUSION: Selected patients with posttraumatic hemidystonia, including
following penetrating head injury, represent one group of secondary dystonias
that might benefit from DBS surgery.
PMID- 27184901
TI - Good Surgical Outcomes of Hemifacial Spasm Patients with Obvious Facial Nerve
Indentation and Color Change.
AB - OBJECTIVES: Hemifacial spasm results from vascular compression of the facial
nerve. It remains controversial whether severe compression and subsequent nerve
indentation predict a good or a poor surgical outcome. Here, to illustrate the
relationship between the degree of neurovascular compression and surgical
outcome, we conducted a retrospective case-cohort study focused on patients whose
facial nerve was seriously compressed. METHODS: This study included 2 groups. The
nerve-indentation group included 48 patients with hemifacial spasm whose facial
nerves had obvious indentation and color change at the site of neurovascular
conflict. The control group included 48 randomly selected patients with
hemifacial spasm without facial nerve indentation or color change who were
surgically treated by the same team during the same period. The surgical
findings, intraoperative lateral spread response results, and clinical outcomes
were compared. RESULTS: Single-vessel compression was found more frequently in
the nerve-indentation group (87.5%) than in the control group (60.4%, P < 0.05).
The lateral spread response (LSR) resolution rate of the nerve-indentation group
was 91.7%, and that of the control group was 87.5% (P > 0.05). The rates at which
the microvascular decompression procedure was successful were equal in the nerve
indentation and the control groups (93.8% vs. 91.7%, P > 0.05). CONCLUSIONS:
Severe vascular compression and subsequent nerve indentation were correlated with
a greater possibility of single compression and a lower incidence of multiple
neurovascular conflicts in patients with hemifacial spasm, making the
microvascular decompression procedure more accurate and easier. Therefore nerve
indentation might predict good surgical outcomes.
PMID- 27184902
TI - Transitional care in skilled nursing facilities: a multiple case study.
AB - BACKGROUND: Among hospitalized older adults who transfer to skilled nursing
facilities (SNF) for short stays and subsequently transfer to home, twenty two
percent require additional emergency department or hospital care within 30 days.
Transitional care services, that provide continuity and coordination of care as
older adults transition between settings of care, decrease complications during
transitions in care, however, they have not been examined in SNFs. Thus, this
study described how existing staff in SNFs delivered transitional care to
identify opportunities for improvement. METHODS: In this prospective, multiple
case study, a case was defined as an individual SNF. Using a sampling plan to
assure maximum variation among SNFs, three SNFs were purposefully selected and 54
staff, patients and family caregivers participated in data collection activities,
which included observations of care (N = 235), interviews (N = 66) and review of
documents (N = 35). Thematic analysis was used to describe similarities and
differences in transitional care provided in the SNFs as well as organizational
structures and the quality of care-team interactions that supported staff who
delivered transitional care services. RESULTS: Staff in Case 1 completed most key
transitional care services. Staff in Cases 2 and 3, however, had incomplete
and/or absent services. Staff in Case 1, but not in Cases 2 and 3, reported a
clear understanding of the need for transitional care, used formal transitional
care team meetings and tracking tools to plan care, and engaged in robust team
interactions. CONCLUSIONS: Organizational structures in SNFs that support staff
and interactions among patients, families and staff appeared to promote the
ability of staff in SNFs to deliver evidence-based transitional care services.
Findings suggest practical approaches to develop new care routines, tools, and
staff training materials to enhance the ability of existing SNF staff to
effectively deliver transitional care.
PMID- 27184903
TI - Limitations of Rb-82 weight-adjusted dosing accuracy at low doses.
AB - INTRODUCTION: Weight-adjusted dosing is important to maintain accurate
quantification for dynamic cardiac three-dimensional positron emission tomography
(PET). However, the manufacturer of the only Food and Drug Administration
approved rubidium-82 (Rb-82) generator (CardioGen-82, Bracco Diagnostics, Inc.)
recommends recalibration after each change in dose which is inefficient in a busy
clinical PET lab. The objective of this study was to evaluate the accuracy of Rb
82 dosing without this recalibration. METHODS: After daily calibration to either
30 mCi (1110 MBq) or 12 mCi (444 MBq), Rb-82 doses between 6 and 45 mCi (222-1665
MBq) were eluted and measured on an external dose calibrator. This was repeated
for four generators at weeks 1, 2, or 4 of the generator cycle. The measurements
were compared with values reported by the infusion system. RESULTS: For requested
doses less than 30 mCi, the measured dose was consistently lower than the
requested dose, ranging from -3.2 mCi at 25 mCi to -5.5 mCi at 10 mCi. The error
exceeded 10% for doses less than or equal to 26 mCi for the 30 mCi calibration.
Residual activity in the infusion system dead volume accounted for the
discrepancy between requested and delivered doses for calibration to 30 mCi but
not 12 mCi. CONCLUSIONS: The CardioGen-82 infusion system is capable of accurate
weight-adjusted doses without recalibration for Rb-82 doses as low as 26 mCi when
calibrated to 30 mCi. For doses less than 26 mCi, the generator-reported residual
activity within the infusion system can be used to correct the delivered dose.
PMID- 27184906
TI - From Insulation Breaks to Complete Lead Fracture: A Case Report.
PMID- 27184904
TI - Exploring mechanisms of Panax notoginseng saponins in treating coronary heart
disease by integrating gene interaction network and functional enrichment
analysis.
AB - OBJECTIVE: To investigate the mechanisms of Panax notoginseng saponins (PNS) in
treating coronary heart disease (CHD) by integrating gene interaction network and
functional enrichment analysis. METHODS: Text mining was used to get CHD and PNS
associated genes. Gene-gene interaction networks of CHD and PNS were built by the
GeneMANIA Cytoscape plugin. Advanced Network Merge Cytoscape plugin was used to
analyze the two networks. Their functions were analyzed by gene functional
enrichment analysis via DAVID Bioinformatics. Joint subnetwork of CHD network and
PNS network was identifified by network analysis. RESULTS: The 11 genes of the
joint subnetwork were the direct targets of PNS in CHD network and enriched in
cytokine-cytokine receptor interaction pathway. PNS could affect other 85 genes
by the gene-gene interaction of joint subnetwork and these genes were enriched in
other 7 pathways. The direct mechanisms of PNS in treating CHD by targeting
cytokines to relieve the inflflammation and the indirect mechanisms of PNS in
treating CHD by affecting other 7 pathways through the interaction of joint
subnetwork of PNS and CHD network. The genes in the 7 pathways could be potential
targets for the immunologic adjuvant, anticoagulant, hypolipidemic, anti-platelet
and anti-hypertrophic activities of PNS. CONCLUSIONS: The key mechanisms of PNS
in treating CHD could be anticoagulant and hypolipidemic which are indicated by
analyzing biological functions of hubs in the merged network.
PMID- 27184905
TI - Metabolomic profiling reveals distinct patterns of tricarboxylic acid disorders
in blood stasis syndrome associated with coronary heart disease.
AB - OBJECTIVE: To investigate the underlying metabolomic profifiling of coronary
heart disease (CHD) with blood stasis syndrome (BSS). METHODS: CHD model was
induced by a nameroid constrictor in Chinese miniature swine. Fifteen miniature
swine were randomly divided into a model group (n=9) and a control group (n=6),
respectively according to arandom number table. After 4 weeks, plasma
hemorheology was detected by automatic hemorheological analyzer, indices
including hematocrit, plasma viscosity, blood viscosity, rigidity index and
erythrocyte sedimentation rate; cardiac function was assessed by echocardiograph
to detect left ventricular end-systolic diameter (LVED), left ventricular end
diastolic diameter (LVEDd), ejection fraction (EF), fractional shortening (FS)
and other indicators. Gas chromatography coupled with mass spectrometry (GC-MS)
and bioinformatics were applied to analyze spectra of CHD plasma with BSS.
RESULTS: The results of hemorheology analysis showed signifificant changes in
viscosity, with low shear whole blood viscosity being lower and plasma viscosity
higher in the model group compared with the control group. Moreover, whole blood
reduction viscosity at high shear rate and whole blood reduction viscosity at low
shear rate increased signifificantly (P <0.05). The echocardiograph results
demonstrated that cardiac EF and FS showed signifificant difference (P <0.05),
with EF values being decreased to 50% or less. The GC-MS data showed that
principal component analysis can clearly separate the animals with BSS from those
in the control group. The enriched Kyoto Encyclopedia of Genes and Genomes
biological pathways results suggested that the patterns involved were associated
with dysfunction of energy metabolism including glucose and lipid disorders,
especially in glycolysis/gluconeogenesis, galactose metabolism and adenosine
triphosphate-binding cassette transporters. CONCLUSIONS: Glucose metabolism and
lipid metabolism disorders were the major contributors to the syndrome
classifification of CHD with BSS.
PMID- 27184907
TI - From local to global: a qualitative review of the multi-leveled impact of a multi
country health research capacity development partnership on maternal health in
Sudan.
AB - BACKGROUND: There is a substantial body of literature on the principles of good
partnerships and the rationale for such partnerships in research capacity
strengthening. This paper illustrates the long term effects of a multi-country (8
countries) global partnership for health systems research capacity development
(Connecting health Research in Africa and Ireland Consortium - ChRAIC) in
relation to its contribution to capacity strengthening, public advocacy and
policy influence at different levels and its practical achievements in Sudan in
addressing access to maternal health services. METHODS: The authors (all members
of the global partnership) reflect on the project in one of its' partner
countries, Sudan, over its' five year duration. This reflection is supported by
specific project data collected over the period of the project (2008-2014). The
data collected included: (i) 6 monthly and annual donor reports; (ii) a mid-term
internal and end of project independent evaluation of the entire project, and;
(ii) a Ph.D study conducted by a member of the Sudanese research team. RESULTS:
The ChRAIC project in Sudan achieved the deliverables set out at the beginning of
the project. These included a national knowledge synthesis report on Sudan's
health system; identification of country level health systems research
priorities; research capacity assessment and skills training, and; the training
and graduation of a Sudanese team member with a Ph.D. Mechanisms established in
Sudan to facilitate these achievements included the adoption of culturally
sensitive and locally specific research and capacity strengthening methods at
district level; the signing of a Memorandum of Understanding at country level
between the Ministry of Health, research and academic institutions in Sudan, and;
the establishment of country level initiatives and a research unit. The latter
being recognized globally through awards and membership in global health forums.
CONCLUSION: We surmise that the 'network of action' approach adopted to
partnership formation facilitated the benefits gained, but that adopting such an
approach is not sufficient. More local and contextual factors influenced the
extent of the benefits and the sustainability of the network.
PMID- 27184908
TI - Female Sex Pheromone in Trails of the Minute Pirate Bug, Orius minutus (L).
AB - Orius minutus (L.) (Heteroptera: Anthocoridae) is a natural enemy of agricultural
pests such as thrips, aphids, and various newly hatched insect juveniles. In this
study, we conducted 1) behavioral assays for evidence of contact sex pheromone
activity in trails of O. minutus, and 2) chemical analysis to identify the
essential chemical components of the trails. Males showed arrestment to trails of
mature virgin females but not to trails from either conspecific nymphs or
immature females. Females also showed arrestment to trails from conspecific
males, although the response was weaker than that exhibited by males. The
activity of female trails lasted for at least 46 h after deposition. Males showed
a response irrespective of mating experience. Following confirmation that a
contact sex pheromone was present in the trails of female O. minutus, we used a
bioassay-driven approach to isolate the active chemicals. After fractionation on
silica gel, the n-hexane fraction was found to be biologically active to males. A
major compound in the active fraction was (Z)-9-nonacosene; this compound was
found only in trail extracts of mature virgin females. Synthetic (Z)-9-nonacosene
arrested O. minutus males, indicating that it is the major active component of
the contact sex pheromone in the trails of female O. minutus.
PMID- 27184909
TI - Hypertrophic Pachymeningitis With Optic Neuropathy Heralding Systemic Vasculitis.
PMID- 27184911
TI - Locally advanced rectal cancers with simultaneous occurrence of KRAS mutation and
high VEGF expression show invasive characteristics.
AB - In this study, we investigated the mutation status of KRAS gene in pretherapeutic
and preoperative biopsies in 63 specimens of locally advanced rectal cancers in
order to evaluate its potential predictive and/or prognostic role. Regions of
interest of KRAS exon 2 were amplified and visualized on 2% agarose gel. Obtained
PCR products were subjected to direct sequencing. KRAS mutations were detected in
35% of patients, 91% of which were located in codon 12 and 9% in codon 13. In
general, KRAS mutation status did not affect the response to neoadjuvant
chemoradiotherapy (CRT). However, patients harboring mutated KRAS gene,
simultaneously with high vascular endothelial growth factor (VEGF) expression,
exhibited a worse response to CRT (p=0.030), a more frequent appearance of local
recurrences and distant metastasis (p=0.003), and shorter overall survival
(p=0.001) compared to all others. On the contrary, patients with GGT>GCT KRAS
mutation exhibited a significantly better response to CRT than those with any
other type of KRAS mutation (p=0.017). Moreover, the presence of GGT>GCT mutation
was associated with low VEGF and Ki67 expression (p=0.012 in both cases),
parameters related to less aggressiveness of the disease. Our results suggest
that KRAS mutation status could have some predictive and prognostic importance in
rectal cancer when analyzed together with other parameters, such as VEGF and Ki67
expression. In addition, it seems that not only the presence but the type of KRAS
mutation is important for examining its impact on CRT response.
PMID- 27184912
TI - Understanding the onset of oscillatory swimming in microchannels.
AB - Self-propelled colloids (swimmers) in confining geometries follow trajectories
determined by hydrodynamic interactions with the bounding surfaces. However,
typically these interactions are ignored or truncated to the lowest order. We
demonstrate that higher-order hydrodynamic moments cause rod-like swimmers to
follow oscillatory trajectories in quiescent fluid between two parallel plates,
using a combination of lattice-Boltzmann simulations and far-field calculations.
This behavior occurs even far from the confining walls and does not require
lubrication results. We show that a swimmer's hydrodynamic quadrupole moment is
crucial to the onset of the oscillatory trajectories. This insight allows us to
develop a simple model for the dynamics near the channel center based on these
higher hydrodynamic moments, and suggests opportunities for trajectory-based
experimental characterization of swimmers' hydrodynamic properties.
PMID- 27184910
TI - beta-catenin is required in the neural crest and mesencephalon for pituitary
gland organogenesis.
AB - BACKGROUND: The pituitary gland is a highly vascularized tissue that requires
coordinated interactions between the neural ectoderm, oral ectoderm, and head
mesenchyme during development for proper physiological function. The interactions
between the neural ectoderm and oral ectoderm, especially the role of the
pituitary organizer in shaping the pituitary precursor, Rathke's pouch, are well
described. However, less is known about the role of head mesenchyme in pituitary
organogenesis. The head mesenchyme is derived from definitive mesoderm and neural
crest, but the relative contributions of these tissues to the mesenchyme adjacent
to the pituitary are not known. RESULTS: We carried out lineage tracing
experiments using two neural crest-specific mouse cre lines, Wnt1-cre and P0-cre,
and determined that the head mesenchyme rostral to the pituitary gland is neural
crest derived. To assess the role of the neural crest in pituitary development we
ablated it, using Wnt1-cre to delete Ctnnb1 (beta-catenin), which is required for
neural crest development. The Wnt1-cre is active in the neural ectoderm,
principally in the mesencephalon, but also in the posterior diencephalon. Loss of
beta-catenin in this domain causes a rostral shift in the ventral diencephalon,
including the pituitary organizer, resulting in pituitary dysmorphology. The
neural crest deficient embryos have abnormally dilated pituitary vasculature due
to a loss of neural crest derived pericytes. CONCLUSIONS: beta-catenin in the
Wnt1 expression domain, including the neural crest, plays a critical role in
regulation of pituitary gland growth, development, and vascularization.
PMID- 27184913
TI - Redefining transfusion-related acute lung injury: don't throw the baby out with
the bathwater.
AB - Recently two articles have been published in TRANSFUSION in which the authors
propose to change the current definition on transfusion-related acute lung injury
(TRALI). It was proposed to view TRALI from the perspective of detectability
versus nondetectability of leukoreactive alloantibodies (Transfusion 2015;55:1128
34). The authors argue that only cases in which leukoreactive alloantibodies can
be detected should be defined as "true" TRALI in analogy with the understanding
of the pathophysiology of heparin-induced thrombocytopenia. In the other article
(Transfusion 2015;55:947-52), the authors propose to redefine possible TRALI to
transfused acute respiratory distress syndrome (ARDS) as their study in intensive
care unit patients did not show a relation between the number of transfusions and
possible TRALI.We discuss these two propositions in light of the current evidence
on pathophysiology of TRALI and possible TRALI. We argue that it is too early to
redefine TRALI, as 1) factors, such as storage time of platelets, which induce
TRALI in preclinical studies, have not yet been properly investigated in humans.
Further research is needed on these agents before it is concluded that antibody
mediated TRALI is the only "true" TRALI. 2) In light of the current knowledge, it
makes perfect sense that multiple transfusion is not related to possible TRALI:
ARDS risk factors in these patients result in a very sensitive equilibrium in
which even only one transfusion induces TRALI. Excluding possible TRALI from the
TRALI definition would result in further underrecognition of TRALI induced by
alloantibodies and interferes with exclusion of donors related to TRALI cases and
thus TRALI prevention.
PMID- 27184914
TI - Determination and classification of the problems experienced by adults with
single-sided deafness using ICF classification: an exploratory study using 26
participants.
PMID- 27184915
TI - An Eruption of Disruption: The Changing Landscape of Both Teaching and Learning.
PMID- 27184916
TI - Anorectal biofeedback for neurogenic bowel dysfunction in incomplete spinal cord
injury.
AB - STUDY DESIGN: A case-control study of prospectively collected data was performed.
OBJECTIVES: To compare anorectal biofeedback (BF) outcomes in patients with
incomplete motor spinal cord injury (SCI) and neurogenic bowel dysfunction (NBD)
with a group of functional anorectal disorder-matched control patients. SETTING:
Neurogastroenterology Unit affiliated with a Spinal Injury Unit in a tertiary
referral centre in Sydney, Australia. METHODS: All consecutive patients with SCI
and NBD referred for anorectal manometry and BF were matched in a 1:2 ratio with
age, gender, parity and functional anorectal disorder-matched control patients.
Instrumented BF was performed in six nurse-guided weekly visits. Outcomes
included changes in anorectal physiology measures, symptom scores and quality-of
life measures. RESULTS: Twenty-one patients were included. These were matched
with 42 patient controls. Following BF, symptom scores improved significantly in
both groups, as did effect of bowel disorder on quality of life. Improvement in
these measures did not differ between the groups. Patients with SCI and NBD
showed improvement in their sensory and motor anorectal function, including
lowering of first sensation threshold and more effective balloon expulsion.
CONCLUSIONS: Patients with incomplete motor SCI responded as well to anorectal BF
as functional anorectal disorder-matched controls. Spinal cord-injured patients
also showed improvement in anorectal sensorimotor dysfunction and balloon
expulsion. These novel findings indicate that clinicians should not be dissuaded
from considering behaviour-based therapeutic interventions such as anorectal BF
in selected spinal cord-injured patients.
PMID- 27184918
TI - Gross rainfall amount and maximum rainfall intensity in 60-minute influence on
interception loss of shrubs: a 10-year observation in the Tengger Desert.
AB - In water-limited regions, rainfall interception is influenced by rainfall
properties and crown characteristics. Rainfall properties, aside from gross
rainfall amount and duration (GR and RD), maximum rainfall intensity and rainless
gap (RG), within rain events may heavily affect throughfall and interception by
plants. From 2004 to 2014 (except for 2007), individual shrubs of Caragana
korshinskii and Artemisia ordosica were selected to measure throughfall during
210 rain events. Various rainfall properties were auto-measured and crown
characteristics, i.e., height, branch and leaf area index, crown area and volume
of two shrubs were also measured. The relative interceptions of C. korshinskii
and A. ordosica were 29.1% and 17.1%, respectively. Rainfall properties have more
contributions than crown characteristics to throughfall and interception of
shrubs. Throughfall and interception of shrubs can be explained by GR, RI60
(maximum rainfall intensities during 60 min), RD and RG in deceasing importance.
However, relative throughfall and interception of two shrubs have different
responses to rainfall properties and crown characteristics, those of C.
korshinskii were closely related to rainfall properties, while those of A.
ordosica were more dependent on crown characteristics. We highlight long-term
monitoring is very necessary to determine the relationships between throughfall
and interception with crown characteristics.
PMID- 27184917
TI - The Pediatric Measure of Participation (PMoP) short forms.
AB - STUDY DESIGN: Multi-center cross-sectional cohort study. OBJECTIVES: The
objectives of this study were to develop and validate short forms (SFs) of
participation for child- and parent-reported outcomes following spinal cord
injury (SCI). SETTING: Three pediatric orthopedic hospitals in the United States.
METHODS: The expert panel used calibration data from the pediatric computerized
adaptive test (CAT) development study (convenience sample of 381 children and
adolescents with SCI and 322 parents or caregivers) to select SF items. The panel
selected items for two domains (participation self-relevant to what I want to do;
participation friends-relevant to what my friends do), with parent and child
versions for each domain. Psychometric analyses included group reliability,
Cronbach's alpha, agreement (SFs and item banks), percent of sample with highest
(ceiling) and lowest (floor) scores by level of lesion (paraplegia/tetraplegia),
and test information function. RESULTS: Group reliability and Cronbach's alpha
values are acceptable (0.74-0.92) and agreement (intraclass correlation
coefficients for SFs and total item banks) is strong (0.89-0.95). Floor effects
were minimal for people with tetraplegia and paraplegia (0-1.19%). Ceiling
effects were minimal for people with tetraplegia (0-3.13%) and slightly higher,
but acceptable, for people with paraplegia (8.06-14.02%). Test information
function for the SFs was sufficiently high over the range of scores for the
majority of the sample. CONCLUSION: Pediatric Measure of Participation (PMoP) SFs
are acceptable for use when CATs are not feasible. SPONSORSHIP: The study was
funded by the Shriners Hospitals for Children Research Grant 79142 (Mulcahey, PI)
and the Boston ROC Grant 5R24HD065688-05 (Jette, PI).
PMID- 27184919
TI - 18F-FDG positron emission tomography in oncology: main indications.
AB - The development of molecular and functional imaging with new imaging techniques
such as computed tomography, magnetic resonance imaging, and positron emission
tomography (PET) among others, has greatly improved the detection of tumors,
tumor staging, and the detection of possible recurrences. Furthermore, the
combination of these different imaging modalities and the continual development
of radiotracers for PET have advanced our understanding and knowledge of the
different pathophysiological processes in cancer, thereby helping to make
treatment more efficacious, improving patients' quality of life, and increasing
survival. PET is one of the imaging techniques that has attracted the most
interest in recent years for its diagnostic capabilities. Its ability to
anatomically locate pathologic foci of metabolic activity has revolutionized the
detection and staging of many tumors, exponentially broadening its potential
indications not only in oncology but also in other fields such as cardiology,
neurology, and inflammatory and infectious diseases.
PMID- 27184920
TI - Preventing High Fat Diet-induced Obesity and Improving Insulin Sensitivity
through Neuregulin 4 Gene Transfer.
AB - Neuregulin 4 (NRG4), an epidermal growth factor-like signaling molecule, plays an
important role in cell-to-cell communication during tissue development. Its
function to regulate energy metabolism has recently been reported. This current
study was designed to assess the preventive and therapeutic effects of NRG4
overexpression on high fat diet (HFD)-induced obesity. Using the hydrodynamic
gene transfer method, we demonstrate that Nrg4 gene transfer in mice suppressed
the development of diet-induced obesity, but did not affect pre-existing
adiposity and body weight in obese mice. Nrg4 gene transfer curbed HFD-induced
hepatic steatosis by inhibiting lipogenesis and PPARgamma-mediated lipid storage.
Concurrently, overexpression of NRG4 reduced chronic inflammation in both
preventive and treatment studies, evidenced by lower mRNA levels of macrophage
marker genes including F4/80, Cd68, Cd11b, Cd11c, and macrophage chemokine Mcp1,
resulting in improved insulin sensitivity. Collectively, these results
demonstrate that overexpression of the Nrg4 gene by hydrodynamic gene delivery
prevents HFD-induced weight gain and fatty liver, alleviates obesity-induced
chronic inflammation and insulin resistance, and supports the health benefits of
NRG4 in managing obesity and obesity-associated metabolic disorders.
PMID- 27184922
TI - A novel chemo-mechano-biological model of arterial tissue growth and remodelling.
AB - Arterial growth and remodelling (G&R) is mediated by vascular cells in response
to their chemical and mechanical environment. To date, mechanical and biochemical
stimuli tend to be modelled separately, however this ignores their complex
interplay. Here, we present a novel mathematical model of arterial chemo-mechano
biology. We illustrate its application to the development of an inflammatory
aneurysm in the descending human aorta. The arterial wall is modelled as a
bilayer cylindrical non-linear elastic membrane, which is internally pressurised
and axially stretched. The medial degradation that accompanies aneurysm
development is driven by an inflammatory response. Collagen remodelling is
simulated by adaption of the natural reference configuration of constituents;
growth is simulated by changes in normalised mass-densities. We account for the
distribution of attachment stretches that collagen fibres are configured to the
matrix and, innovatively, allow this distribution to remodel. This enables the
changing functional role of the adventitia to be simulated. Fibroblast-mediated
collagen growth is represented using a biochemical pathway model: a system of
coupled non-linear ODEs governs the evolution of fibroblast properties and levels
of key biomolecules under the regulation of Transforming Growth Factor (TGF)
beta, a key promoter of matrix deposition. Given physiologically realistic
targets, different modes of aneurysm development can be captured, while the
predicted evolution of biochemical variables is qualitatively consistent with
trends observed experimentally. Interestingly, we observe that increasing the
levels of collagen-promoting TGF-beta results in arrest of aneurysm growth, which
seems to be consistent with experimental evidence. We conclude that this novel
Chemo-Mechano-Biological (CMB) mathematical model has the potential to provide
new mechanobiological insight into vascular disease progression and therapy.
PMID- 27184923
TI - Effectiveness of caudal septal extension graft application in endonasal
septoplasty.
AB - INTRODUCTION: Septal deviation is a common disease seen in daily
otorhinolaryngology practice and septoplasty is a commonly performed surgical
procedure. Caudal septum deviation is also a challenging pathology for ear, nose,
and throat specialists. Many techniques are defined for caudal septal deviation.
OBJECTIVE: To evaluate the effectiveness of caudal septal extension graft (CSEG)
application in patients who underwent endonasal septoplasty for a short and
deviated nasal septum. METHODS: Forty patients with nasal septal deviation, short
nasal septum, and weak nasal tip support who underwent endonasal septoplasty with
or without CSEG placement between August 2012 and June 2013 were enrolled in this
study. Twenty patients underwent endonasal septoplasty with CSEG placement. The
rest of the group, who rejected auricular or costal cartilage harvest for CSEG
placement, underwent only endonasal septoplasty without any additional
intervention. Using the Nasal Obstruction Symptom Evaluation (NOSE) and
Rhinoplasty Outcome Evaluation (ROE) questionnaires, pre- and post-operative
acoustic rhinometer measurements were evaluated to assess the effect of CESG
placement on nasal obstruction. RESULTS: In the control group, preoperative and
postoperative minimal cross-sectional areas (MCA1) were 0.44+/-0.10cm2 and 0.60+/
0.11cm2, respectively (p<0.001). In the study group, pre- and postoperative MCA1
values were 0.45+/-0.16cm2 and 0.67+/-0.16cm2, respectively (p<0.01). In the
control group, the nasal cavity volume (VOL1) value was 1.71+/-0.21mL
preoperatively and 1.94+/-0.17mL postoperatively (p<0.001). In the study group,
pre- and postoperative VOL1s were 1.72+/-0.15mL and 1.97+/-0.12mL, respectively
(p<0.001). Statistical analysis of postoperative MCA1 and VOL1 values in the
study and the control groups could not detect any significant intergroup
difference (p=0.093 and 0.432, respectively). In the study group, mean nasolabial
angles were 78.15+/-4.26 degrees and 90.70+/-2.38 degrees , respectively
(p<0.001). CONCLUSION: Endonasal septoplasty with CESG placement is an effective
surgical procedure with minimal complication rate for subjects who have a
deviated, short nasal septum and weak nasal tip support.
PMID- 27184921
TI - DNA Methylation Signatures of Early Childhood Malnutrition Associated With
Impairments in Attention and Cognition.
AB - BACKGROUND: Early childhood malnutrition affects 113 million children worldwide,
impacting health and increasing vulnerability for cognitive and behavioral
disorders later in life. Molecular signatures after childhood malnutrition,
including the potential for intergenerational transmission, remain unexplored.
METHODS: We surveyed blood DNA methylomes (~483,000 individual CpG sites) in 168
subjects across two generations, including 50 generation 1 individuals
hospitalized during the first year of life for moderate to severe protein-energy
malnutrition, then followed up to 48 years in the Barbados Nutrition Study.
Attention deficits and cognitive performance were evaluated with the Connors
Adult Attention Rating Scale and Wechsler Abbreviated Scale of Intelligence.
Expression of nutrition-sensitive genes was explored by quantitative reverse
transcriptase polymerase chain reaction in rat prefrontal cortex. RESULTS: We
identified 134 nutrition-sensitive, differentially methylated genomic regions,
with most (87%) specific for generation 1. Multiple neuropsychiatric risk genes,
including COMT, IFNG, MIR200B, SYNGAP1, and VIPR2 showed associations of specific
methyl-CpGs with attention and IQ. IFNG expression was decreased in prefrontal
cortex of rats showing attention deficits after developmental malnutrition.
CONCLUSIONS: Early childhood malnutrition entails long-lasting epigenetic
signatures associated with liability for attention and cognition, and limited
potential for intergenerational transmission.
PMID- 27184925
TI - Interactions between cannabinoid receptor agonists and mu opioid receptor
agonists in rhesus monkeys discriminating fentanyl.
AB - Cannabinoid receptor agonists such as delta-9-tetrahydrocannabinol (Delta(9)-THC)
enhance some (antinociceptive) but not other (positive reinforcing) effects of mu
opioid receptor agonists, suggesting that cannabinoids might be combined with
opioids to treat pain without increasing, and possibly decreasing, abuse. The
degree to which cannabinoids enhance antinociceptive effects of opioids varies
across drugs insofar as Delta(9)-THC and the synthetic cannabinoid receptor
agonist CP55940 increase the potency of some mu opioid receptor agonists (e.g.,
fentanyl) more than others (e.g., nalbuphine). It is not known whether
interactions between cannabinoids and opioids vary similarly for other (abuse
related) effects. This study examined whether Delta(9)-THC and CP55940
differentially impact the discriminative stimulus effects of fentanyl and
nalbuphine in monkeys (n=4) discriminating 0.01mg/kg of fentanyl (s.c.) from
saline. Fentanyl (0.00178-0.0178mg/kg) and nalbuphine (0.01-0.32mg/kg) dose
dependently increased drug-lever responding. Neither Delta(9)-THC (0.032
1.0mg/kg) nor CP55940 (0.0032-0.032mg/kg) enhanced the discriminative stimulus
effects of fentanyl or nalbuphine; however, doses of Delta(9)-THC and CP55940
that shifted the nalbuphine dose-effect curve markedly to the right and/or down
were less effective or ineffective in shifting the fentanyl dose-effect curve.
The mu opioid receptor antagonist naltrexone (0.032mg/kg) attenuated the
discriminative stimulus effects of fentanyl and nalbuphine similarly. These data
indicate that the discriminative stimulus effects of nalbuphine are more
sensitive to attenuation by cannabinoids than those of fentanyl. That the
discriminative stimulus effects of some opioids are more susceptible to
modification by drugs from other classes has implications for developing
maximally effective therapeutic drug mixtures with reduced abuse liability.
PMID- 27184924
TI - Catheter-based Intramyocardial Injection of FGF1 or NRG1-loaded MPs Improves
Cardiac Function in a Preclinical Model of Ischemia-Reperfusion.
AB - Cardiovascular protein therapeutics such as neuregulin (NRG1) and acidic
fibroblast growth factor (FGF1) requires new formulation strategies that allow
for sustained bioavailability of the drug in the infarcted myocardium. However,
there is no FDA-approved injectable protein delivery platform due to
translational concerns about biomaterial administration through cardiac
catheters. We therefore sought to evaluate the efficacy of percutaneous
intramyocardial injection of poly(lactic-co-glycolic acid) microparticles (MPs)
loaded with NRG1 and FGF1 using the NOGA MYOSTAR injection catheter in a porcine
model of ischemia-reperfusion. NRG1- and FGF1-loaded MPs were prepared using a
multiple emulsion solvent-evaporation technique. Infarcted pigs were treated one
week after ischemia-reperfusion with MPs containing NRG1, FGF1 or non-loaded MPs
delivered via clinically-translatable percutaneous transendocardial-injection.
Three months post-treatment, echocardiography indicated a significant improvement
in systolic and diastolic cardiac function. Moreover, improvement in bipolar
voltage and decrease in transmural infarct progression was demonstrated by
electromechanical NOGA-mapping. Functional benefit was associated with an
increase in myocardial vascularization and remodeling. These findings in a large
animal model of ischemia-reperfusion demonstrate the feasibility and efficacy of
using MPs as a delivery system for growth factors and provide strong evidence to
move forward with clinical studies using therapeutic proteins combined with
catheter-compatible biomaterials.
PMID- 27184926
TI - Crystallization behavior of iron-based amorphous nanoparticles prepared
sonochemically.
AB - In general, a rapid quenching is required to obtain an amorphous metal. It is
known that an intensive ultrasonication generates a very high temperature within
cavitation bubbles in a very short moment, which enables a rapid quenching
process in a liquid phase synthesis. In this study, the sonochemically-derived
"amorphous iron" from Fe(CO)5 was carefully examined by XRD, TEM, TG-DTA. The
product was found to be an amorphous containing a certain amount (~15%) of
volatile component that can be removed by heating in a nitrogen flow. After
annealed in the inert atmosphere at 600 degrees C, cooled down to room
temperature, and then exposed in air (oxygen), the sample showed a strong
exotherm accompanied by a weight gain. This is due to oxidation of fine metallic
iron. Experimental operations of such a reactive material were examined.
PMID- 27184928
TI - Head shaking in the forced swim test: A robust but unexplored sex difference.
AB - Preclinical psychopharmacology research needs novel behavioral indices and
improved animal models for both sexes. The forced swim test (FST) is the most
popular test for screening antidepressant potential. Sex differences in FST
behaviors, such as immobility and swimming, are not consistent among
laboratories. Reliable indices, sensitive to sex differences, are required. We
identified a robust sex difference in the frequency of headshakes during the
standard two session FST, with male rats exhibiting higher number of head shakes
than females. Furthermore, we explored whether strain, ageing, sex- and stress
hormone levels influence this sex difference. Experiments in middle-aged and
senescent Wistar rats, as well as in gonadectomized and adrenalectomized with
corticosterone replacement young adult males and females, revealed that sex
differences in headshakes during FST are not influenced by age or corticosterone,
but are abolished following castration of male rats. Interestingly, headshake
frequency correlated positively with testosterone, but not corticosterone levels.
Finally, testing of Flinders Sensitive Line (FSL) and Sprague-Dawley (SD) rats in
a single 5min FST session revealed that headshake frequency is sensitive to
antidepressant treatment with female rats exhibiting opposite responses to
treatment than male FSL rats. Mirtazapine, a 5-HT2 antagonist, enhanced
headshakes in females and decreased them in male FSL rats. Based on current data
and the available literature, sex differences in headshake frequency should be
linked to analogous sex differences in serotonin receptors. Headshake frequency
during the FST is an additional valuable behavioral index, sensitive to sex
differences, gonadal hormones and antidepressants modulating serotonin receptors.
PMID- 27184929
TI - AAOM Clinical Practice Statement: Subject: Oral Contact Allergy.
PMID- 27184927
TI - The Hippo effector TAZ (WWTR1) transforms myoblasts and TAZ abundance is
associated with reduced survival in embryonal rhabdomyosarcoma.
AB - The Hippo effector YAP has recently been identified as a potent driver of
embryonal rhabdomyosarcoma (ERMS). Most reports suggest that the YAP paralogue
TAZ (gene symbol WWTR1) functions as YAP but, in skeletal muscle, TAZ has been
reported to promote myogenic differentiation, whereas YAP inhibits it. Here, we
investigated whether TAZ is also a rhabdomyosarcoma oncogene or whether TAZ acts
as a YAP antagonist. Immunostaining of rhabdomyosarcoma tissue microarrays
revealed that TAZ is significantly associated with poor survival in ERMS. In 12%
of fusion gene-negative rhabdomyosarcomas, the TAZ locus is gained, which is
correlated with increased expression. Constitutively active TAZ S89A
significantly increased proliferation of C2C12 myoblasts and, importantly, colony
formation on soft agar, suggesting transformation. However, TAZ then switches to
enhance myogenic differentiation in C2C12 myoblasts, unlike YAP. Conversely,
lentiviral shRNA-mediated TAZ knockdown in human ERMS cells reduced proliferation
and anchorage-independent growth. While TAZ S89A or YAP1 S127A similarly
activated the 8XGTIIC-Luc Hippo reporter, only YAP1 S127A activated the Brachyury
(T-box) reporter. Consistent with its oncogene function, TAZ S89A induced
expression of the ERMS cancer stem cell gene Myf5 and the serine biosynthesis
pathway (Phgdh, Psat1, Psph) in C2C12 myoblasts. Thus, TAZ is associated with
poor survival in ERMS and could act as an oncogene in rhabdomyosarcoma. (c) 2016
The Authors. The Journal of Pathology published by John Wiley & Sons Ltd on
behalf of Pathological Society of Great Britain and Ireland.
PMID- 27184930
TI - Utility of the HAS-BLED Score in Risk Stratifying Patients on Dual Antiplatelet
Therapy Post 12 Months After Drug-Eluting Stent Placement.
AB - INTRODUCTION: Current guidelines recommend continuation of dual anti-platelet
therapy (DAPT) for 12 months after percutaneous coronary intervention (PCI).
Recent studies have shown benefit in continuing DAPT beyond 12 months but at the
risk of increase bleeding. To date, there has been little data on risk
stratifying patients to determine who can continue DAPT beyond 12 months at
minimal bleeding risk. METHODS: All patients who underwent drug-eluting stent
(DES) placement from January 1, 2013 to September 30, 2014 were reviewed.
Patients who had follow-up for at least 12 months, placement of 2nd generation
everolimus-coated DES, and were on DAPT for at least 12 months were included.
Patients with a history of atrial fibrillation, follow-up time less than 12
months, or were on concurrent oral anticoagulation therapy were excluded.
RESULTS: Five hundred thirty-one patients were analyzed as described above. Two
hundred two patients included in our study with 7 patients in the bleeding cohort
and 195 patients in no-bleed cohort. The HAS-BLED score in patients who had a
bleeding episode vs. those who did not was 3.29 vs. 2.24 (P value of 0.0009).
Although not statistically significant, patients who had a bleeding episode were
more likely to have renal dysfunction, alcohol use, be on prasugrel, and be on
325mg of aspirin. CONCLUSION: The study shows that the HAS-BLED score can be of
utility in risk stratifying patients in determining who can continue DAPT beyond
12 months. Furthermore, a HAS-BLED score of less than 2 may help guide extended
DAPT beyond 12 months at minimal bleeding risk. (c) 2016 Wiley Periodicals, Inc.
PMID- 27184931
TI - Is there any range-of-motion advantage to using bearings larger than 36mm in
primary hip arthroplasty: A case-control study comparing 36-mm and large-diameter
heads.
AB - BACKGROUND: Large-diameter (>36mm) total hip arthroplasty (THA) has developed
rapidly since the advent of ceramic-on-ceramic (CoC) bearings and highly cross
linked polyethylene. Theoretically, the increase in diameter reduces the risk of
instability, although the advantage of calibers beyond 36mm has not been
demonstrated in terms of range-of-motion recovery. We conducted a comparative
study with a single prosthesis model to determine whether increasing the caliber
beyond 36mm provides: (1) better recovery of range-of-motion, (2) a higher
functional score, and (3) reduction of the dislocation rate. HYPOTHESIS:
Increasing the range-of-motion by increasing the caliber beyond 36mm provides
better range-of-motion. MATERIAL AND METHODS: We analyzed two consecutive, single
operator cementless THA series performed via the mini posterior approach, which
differed only in the bearing system (51 metal-on-metal [MoM] with a mean caliber
of 45mm+/-3.3 [range, 40-54] and 61 CoC with a 36-mm caliber). Both series were
comparable preoperatively in terms of age, diagnosis, functional scores,
preoperative range-of-motion, body mass index, UCLA activity level, and Charnley
score. We compared the joint range of movement at follow-up and the gains in
range of movement, onset of dislocation, and functional scores (Oxford, Postel
Merle d'Aubigne [PMA]). RESULTS: The mean overall joint range-of-motion was 254
degrees +/-39 degrees (range, 150-310 degrees ) for an 81 degrees +/-44 degrees
(range, -50 to 180 degrees ) gain in the MoM group and 256 degrees +/-23 degrees
(range, 200-280 degrees ) for an 84 degrees +/-40 degrees (range, 0-160 degrees
) gain in the CoC group (NS). The MoM group presented the following results:
Oxford=13.71+/-3.66 (range, 12-33) for a gain of 24.82 points+/-7.9 (range, -1 to
40), PMA=17.75+/-1.06 (range, 11-18) for a gain of 7.78 points+/-4.01 (range, 2
15). The CoC group had: Oxford=14.98+/-4.42 (range, 12-36) for a gain of 24.75
points+/-6.55 (range, 12-40), PMA 17.66+/-0.7 (range, 14-18) for a gain of 8
points+/-3.77 (range, 1-15). None of the gains and scores at follow-up differed
significantly between the two groups. No episode of dislocation was identified.
DISCUSSION: The current trend of increasing femoral head diameters beyond 36mm to
improve the gains in joint range-of-motion and function is not warranted. The
potential side effects of increasing the caliber call for even greater caution in
the use of large-diameter heads because our hypothesis has not been confirmed.
LEVEL OF EVIDENCE: Case-control study, level III.
PMID- 27184932
TI - High expression of integrin beta6 in association with the Rho-Rac pathway
identifies a poor prognostic subgroup within HER2 amplified breast cancers.
AB - Integrin alphavbeta6 is involved in the transition from ductal carcinoma in situ
(DCIS) to invasive ductal carcinoma (IDC) of the breast. In addition, integrin
beta6 (ITGB6) is of prognostic value in invasive breast cancers, particularly in
HER2+ subtype. However, pathways mediating the activity of integrin alphavbeta6
in clinical progression of invasive breast cancers need further elucidation. We
have examined human breast cancer specimens (N = 460) for the expression of
integrin beta6 (ITGB6) mRNA by qPCR. In addition, we have examined a subset (N =
147) for the expression of alphavbeta6 integrin by immunohistochemistry (IHC).
The expression levels of members of Rho-Rac pathway including downstream genes
(ACTR2, ACTR3) and effector proteinases (MMP9, MMP15) were estimated by qPCR in
the HER2+ subset (N = 59). There is a significant increase in the mean expression
of ITGB6 in HER2+ tumors compared to HR+HER2- and triple negative (TNBC) subtypes
(P = 0.00). HER2+ tumors with the highest levels (top quartile) of ITGB6 have
significantly elevated levels of all the genes of the Rho-Rac pathway (P-values
from 0.01 to 0.0001). Patients in this group have a significantly shorter disease
free survival compared to the group with lower ITGB6 levels (HR = 2.9 (0.9-8.9),
P = 0.05). The mean level of ITGB6 expression is increased further in lymph node
positive tumors. The increased regional and distant metastasis observed in HER2+
tumors with high levels of ITGB6 might be mediated by the canonical Rho-Rac
pathway through increased expression of MMP9 and MMP15.
PMID- 27184934
TI - Sources of sporadic Pseudomonas aeruginosa colonizations/infections in surgical
ICUs: Association with contaminated sink trap.
AB - BACKGROUND: Many studies have reported the hospital outbreaks of Pseudomonas
aeruginosa due to cross-contamination between patients and water fittings, but
the importance of water fittings as sources of sporadic P. aeruginosa
colonizations/infections remains ambiguous. AIM: To investigate the sources of
sporadic P. aeruginosa colonizations/infections in a clinical intensive
surveillance, and further analysis the potential of sink trap for P. aeruginosa
transmission in intensive care units (ICUs). METHODS: Patients monitoring and
targeted environmental screening for P. aeruginosa was performed prospectively
over a 27-week period, in absence of recognized outbreak, in two surgical
intensive care units (SICUs). All isolates were genotyped by Pulsed field gel
electrophoresis analysis. FINDINGS: 18.9% (46/244) of water fitting samples
harbored P. aeruginosa, and active screening samples from 9.2% (55/595) of
hospitalized patients carried with P. aeruginosa. According to genotype results,
approximately 50% of P. areuginosa colonizations/infections of patients were of
exogenous origin. 64.7% (11/17) of exogenous sourced cases were associated with
contaminated sink traps. There was a significant correlation between the
incidence of exogenous colonization/infection and the prevalence of P. areuginosa
in water fitting in SICU-2 (rs = 0.972; p = 0.014). Furthermore, P. areuginosa
from sink trap possessed a higher level of resistance to multi-antibiotics as
opposed to cross-transmission from other patients. CONCLUSION: Water fitting
especially sink trap act as an important role in sporadic P. aeruginosa
transmission in SICU patients. This report highlights the necessity of
identification of potential environmental reservoirs, such as sinks, for control
of infections of environmentally hardy multi-resistant P. areuginosa.
PMID- 27184933
TI - Balancing intestinal and systemic inflammation through cell type-specific
expression of the aryl hydrocarbon receptor repressor.
AB - As a sensor of polyaromatic chemicals the aryl hydrocarbon receptor (AhR) exerts
an important role in immune regulation besides its requirement for xenobiotic
metabolism. Transcriptional activation of AhR target genes is counterregulated by
the AhR repressor (AhRR) but the exact function of the AhRR in vivo is currently
unknown. We here show that the AhRR is predominantly expressed in immune cells of
the skin and intestine, different from other AhR target genes. Whereas AhRR
antagonizes the anti-inflammatory function of the AhR in the context of systemic
endotoxin shock, AhR and AhRR act in concert to dampen intestinal inflammation.
Specifically, AhRR contributes to the maintenance of colonic intraepithelial
lymphocytes and prevents excessive IL-1beta production and Th17/Tc17
differentiation. In contrast, the AhRR enhances IFN-gamma-production by effector
T cells in the inflamed gut. Our findings highlight the physiologic importance of
cell-type specific balancing of AhR/AhRR expression in response to microbial,
nutritional and other environmental stimuli.
PMID- 27184935
TI - A case of lobar pneumonia and sepsis with death caused by invasive Klebsiella
rhinoscleromatis infection.
AB - Klebsiella pneumoniae often causes pneumonia and other infections in heavy
drinkers and patients with diabetes. Pneumonia caused by Klebsiella
rhinoscleromatis, a subspecies of K. pneumoniae, has not been previously
reported. We report a case of pneumonia caused by K. rhinoscleromatis. A 68-year
old man with type 2 diabetes visited our department complaining fever and fatigue
for 10 days and cough and bloody sputum for two days. His Japan Coma Scale score
was I-1, body temperature 38.3 degrees C, blood pressure 85/51 mmHg, pulse 135
bpm, and peripheral capillary oxygen saturation level 92% (room air). He had no
abnormal breathing sounds. His white blood cell count had decreased to 2600/MUL,
and his C-reactive protein level was high, at 35.9 mg/dL. Chest computed
tomography revealed lobar pneumonia in the right upper lobe and pneumonia in the
left upper division. Klebsiella was suspected based on the result of a sputum
smear examination. He was diagnosed with septic shock due to pneumonia and was
immediately admitted. Intravenous antibacterial (levofloxacin) treatment was
initiated, however, he died 13 h after presenting at the hospital. Subsequently,
K. rhinoscleromatis was detected in sputum and blood culture. Additional testing
determined the bacteria to be a highly pathogenic hypermucoviscosity phenotype
and the cause of the fatal lobar pneumonia. Although cases of rhinoscleroma and
bacteremia caused by K. rhinoscleromatis infection have been reported, this is
the first report of a case with sepsis caused by fulminant pneumonia.
PMID- 27184936
TI - Diagnostic value of levels of presepsin (soluble CD14-subtype) in febrile
neutropenia in patients with hematological disorders.
AB - BACKGROUND: Whether presepsin (soluble CD14-subtype) is better than other markers
including procalcitonin (PCT), has not been adequately investigated in febrile
neutropenia (FN). METHODS: We prospectively examined the utility of presepsin in
FN in Cohort 1 (C1) and 2 (C2), between November 2010 and February 2012, and
between November 2013 and January 2014, respectively. The purpose of this study
was to investigate 1) the relative value of serum presepsin over serum PCT in C1,
and 2) the relative value of plasma presepsin as compared with serum PCT, C
reactive protein, interleukin-6 and interleukin-8 with frequent, repeated
sampling in C2. RESULTS: Seventy-nine FN episodes (C1, 75; C2, 4) were evaluable.
In C1, when compared with control values, presepsin was significantly higher at
onset of FN (P = 0.004), while PCT was not significantly higher (P = 0.54). The
median value of serum presepsin within 72 h of onset of FN in subjects with fever
of unknown origin, local infection, bacteremia and septic shock was 680
(reference 314) pg/ml, 763, 782 and 1359, respectively. In C2, the mean levels of
plasma presepsin from onset of FN to 72 h were classified as negative in the two
patients with no suspected site of infection, and those of the remaining two
patients with clinically probable infections were positive (175, 131, 346 and 329
pg/ml, respectively). In contrast, the other markers did not discriminate between
this two groups. CONCLUSIONS: In FN, presepsin may be an earlier and more
sensitive indicator of bacterial infection than PCT.
PMID- 27184937
TI - Development of the 19 X-STR loci multiplex system and genetic analysis of a
Zhejiang Han population in China.
AB - The 19 X-STRs multiplex system is a PCR-based amplification kit that facilitates
simultaneous amplification of 19 X-chromosomal STR loci (i.e. DXS7423, DXS10148,
DXS10159, DXS6809, DXS7424, DXS8378, DXS10164, DXS10162, DXS7132, DXS10079,
DXS6789, DXS101, DXS10103,DXS10101, HPTRB, DXS10075, DXS10074, DXS10135, and
DXS10134). Eleven loci were extensively used in an Investigator Qiagen Argus X-12
(DXS7423, DXS10148, DXS8378, DXS10162, DXS7132, DXS10079, DXS10103, DXS10101,
HPTRB, DXS10074, and DXS10135). In this research, the multiplex system was tested
for detection sensitivity, DNA mixtures, inhibitor tolerance and species
specificity; SWGDAM Validation Guidelines - Approved December 2012 were followed
for the human fluorescent STR multiplex PCR reagent. Samples from 181 unrelated
Zhejiang Han individuals (121 males and 60 females) were typed using this
multiplex system. The results show that this 19X-STRs multiplex system is a
robust and reliable amplification means to facilitate forensic and human
identification testing.
PMID- 27184938
TI - Determination of the optimal sample size for a clinical trial accounting for the
population size.
AB - The problem of choosing a sample size for a clinical trial is a very common one.
In some settings, such as rare diseases or other small populations, the large
sample sizes usually associated with the standard frequentist approach may be
infeasible, suggesting that the sample size chosen should reflect the size of the
population under consideration. Incorporation of the population size is possible
in a decision-theoretic approach either explicitly by assuming that the
population size is fixed and known, or implicitly through geometric discounting
of the gain from future patients reflecting the expected population size. This
paper develops such approaches. Building on previous work, an asymptotic
expression is derived for the sample size for single and two-arm clinical trials
in the general case of a clinical trial with a primary endpoint with a
distribution of one parameter exponential family form that optimizes a utility
function that quantifies the cost and gain per patient as a continuous function
of this parameter. It is shown that as the size of the population, N, or expected
size, N* in the case of geometric discounting, becomes large, the optimal trial
size is O(N1/2) or O(N*1/2). The sample size obtained from the asymptotic
expression is also compared with the exact optimal sample size in examples with
responses with Bernoulli and Poisson distributions, showing that the asymptotic
approximations can also be reasonable in relatively small sample sizes.
PMID- 27184940
TI - Determination of lead, cadmium and nickel in hennas and other hair dyes sold in
Turkey.
AB - The concentrations of lead, nickel and cadmium in various hennas and synthetic
hair dyes were determined by high-resolution continuum source graphite furnace
atomic absorption spectrometry (HR-CS GFAAS). For this purpose, 1 g of sample was
digested using 4 mL of hydrogen peroxide (30%) and 8 mL of nitric acid (65%). The
digests were diluted to 15 mL and the analytes were determined by HR-CS GFAAS.
All determinations of Pb and Cd were performed using NH4H2PO4 as a modifier. The
analytes in hair certified reference materials (CRMs) were found within the
uncertainty limits of the certified values. In addition, the analyte
concentrations added to hair dye were recovered between 95 and 110%. The limits
of detection of the method were 48.90, 3.90 and 12.15 ng g(-1) for Pb, Cd and Ni,
respectively and the characteristic concentrations were 8.70, 1.42 and 6.30 ng g(
1), respectively. Finally, the concentrations of the three analytes in various
synthetic hair dyes with different brands, shades and formulae as well as in two
henna varieties were determined using aqueous standards for calibration. The
concentrations of Pb, Cd and Ni in hair dyes were in the ranges of LOD-0.56 MUg
g(-1), LOD-0.011 ng g(-1) and 0.030-0.37 MUg g(-1), respectively, whereas those
in the two hennas were 0.60-0.93 MUg g(-1), 0.033-0.065 ng g(-1) and 0.49-1.06
MUg g(-1), respectively.
PMID- 27184939
TI - Probabilistic Monte Carlo estimation for quantitative exposure assessment of
lotion transfer via baby wipes usage.
AB - Unique aspects of childhood exposure to products need childs specific exposure
data. This study developed a probabilistic exposure model for lotion transfer to
diapered skin through normal use of baby wipes in children up to 48 months of
age. Monte Carlo simulations used baby wipe diary data from the US, Germany and
the UK, body weight data from the US, and lotion transfer data from single and
multiple wipes adjusting for separate diaper changes. The models predicted a
declining number of wipes used/day with a reduction in lotion transfer as age and
body weight increased. Experimental testing on multiple sequential wipes used on
an overlapping area showed a reduction in lotion deposition by 23.9% after the
first wipe. Overall, the weighted population average over the approximate
diapering period of 0-36 months across the three geographies at 50th, 90th, &
95th percentiles, were between 130, 230, 260 mg/kg/day, respectively, and 150,
270, 310 mg/kg/day depending on whether a reduction due to overlap is
implemented. The statistical model represents an effective strategy to determine
exposure to baby wipes lotion for exposure based risk assessment.
PMID- 27184942
TI - Science in Focus: MicroRNA in Glioma - Potential as Biomarkers and Therapeutic
Targets.
PMID- 27184941
TI - Current Views on Clinical Oncology Training from the 2015 Oncology Registrars'
Forum Survey.
AB - The major role of the Oncology Registrars' Forum (ORF) of the Royal College of
Radiologists is to voice the opinions of the clinical oncology trainee body and
work towards improving all aspects of clinical oncology training in the UK. In
order to provide data to support these efforts, the ORF undertakes a biennial
survey of all trainees. As with the previous surveys, this year's ORF survey
produced data that highlight areas of good training as well as new and ongoing
areas of concern. This summary highlights the key survey results and provides
recommendations for improving the delivery of clinical oncology training in the
UK.
PMID- 27184943
TI - Acute and Late Genitourinary Toxicity after 72 Gy of Conventionally Fractionated
Conformal Radiotherapy for Localised Prostate Cancer: Impact of Individual and
Clinical Parameters.
AB - AIM: Our aim was to estimate the incidence of acute and late genitourinary
toxicity in patients treated with three-dimensional conformal radiotherapy
(3DCRT) for localised prostate cancer and to estimate the possible influence of
individual and clinical characteristics. MATERIALS AND METHODS: Between September
2009 and September 2013, 225 patients with localised prostate cancer were treated
with 3DCRT. Ninety-four patients with an estimated risk of lymph node involvement
<=15%, according to the Roach formula, were evaluated in this study. All patients
received a total dose of 72 Gy in 36 fractions. Acute and late genitourinary
toxicity were graded according to the European Organization for Research and
Treatment of Cancer radiation morbidity scoring scale. Characteristics such as
age, smoking status, previous abdominal or pelvic surgery (PAPS), diabetes
mellitus and the use of diuretics were analysed as possible predictive factors of
toxicity. The median follow-up was 27 months. RESULTS: Grade >=2 acute toxicity
during 3DCRT developed in 25 of 94 patients (26.5%). Predictive factors of acute
genitourinary toxicity grade >=2 in the multivariate logistic regression analysis
(MVA) were current smoking status (P = 0.003), PAPS (P = 0.012) and the use of
diuretics (P = 0.017). The 2 and 3 year cumulative risk of late genitourinary
toxicity grade >=1 was 25.3% and 30.2%, respectively. In the MVA, acute
genitourinary toxicity was significantly associated with late genitourinary
toxicity (P = 0.024). CONCLUSION: Current smoking status, PAPS and the use of
diuretics have a significant effect on the occurrence of acute genitourinary
toxicity grade >=2. The occurrence of any grade of acute genitourinary toxicity
has a significant influence on the development of any grade of late genitourinary
toxicity.
PMID- 27184944
TI - Sustained responses after clofarabine-based sequential allogeneic stem cell
transplantation in children with high-risk, relapse and/or refractory acute
myeloid leukemia or juvenile myelomonocytic leukemia: a study on behalf of the
French society of bone marrow transplantation or cell therapy (SFGM-TC).
PMID- 27184945
TI - Short-term ventricular assist device as a bridge to decision in cardiogenic
shock: is it a justified strategy?
AB - PURPOSE: Low cardiac output syndrome is associated with significant mortality. In
patients with refractory low cardiac output left ventricular assist devices (VAD)
are used to re-establish cardiac output and to prevent death. However, long-term
LVAD implantation in these is complicated by a high rate of right heart failure
and mortality. Therefore, our strategy is to implant a short-term VAD (left or
biventricular) as a bridge to decission. METHODS: We retrospectively analysed
data from 66 patients who received a short-term LVAD support prior to
implantation of a long-term LVAD or HTx between 2003 and 2014. We performed short
term LVAD (CentriMag; Thoratec) implantation via median sternotomy with
percutaneous cannulas. Patients were included regardless of perioperative status
and severity of heart failure. Patients suffering postcardiotomy cardiogenic
shock, receiving isolated RVAD as well as posttransplant patients were excluded
from this study. RESULTS: Mean duration of support in the survivor group was 35
+/- 25 days versus 25 +/- 25 days in the nonsurvivor group (n.s.), range from 1
to 109 days. The overall survival on support was 40 (60%) patients. In the
survivor group 12 patients could be successfully weaned from the system, 12
patients received a heart transplant and in 16 a long-term VAD was implanted. In
the nonsurvivor group the rate of preoperative extracorporeal life support, the
rate of postoperative renal failure and multiorgan failure was significantly
higher. CONCLUSIONS: Thanks to its capacity for full ventricular support, short
term univentricular or biventricular LevotronixCentriMag VAD currently represents
an ideal solution for bridge-to-decision.
PMID- 27184947
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): Behavioural effects of epilepsy surgery.
AB - There are relatively few studies of the behavioural outcome of epilepsy surgery
in children that have used standardised behavioural measures before and after the
procedure. Those investigations that have used such measures are often on mixed
groups with mixed pathology, implying that the numbers, when stratified, are very
small. They are also often retrospective. Furthermore, because placebo surgery is
generally not an option, the studies have been open and they are usually
uncontrolled. The few available data suggest that, although individual children
may benefit or deteriorate, there is little overall group effect of temporal or
extratemporal surgery on behavioural/psychiatric outcome. Hemispherectomy has
traditionally been associated with the expectation of marked behavioural
improvement but firm data are lacking. Multiple subpial transection performed for
electrical status epilepticus of slow-wave sleep in the Landau-Kleffner syndrome
can result in marked improvements in cognition and behaviour. Vagus nerve
stimulation appears to improve quality of life and mood/behaviour but again the
quality of the data has been questioned. There is a need for large, prospective,
multicentre, collaborative studies using standardised cognitive and behavioural
measures before and after surgery to provide an adequate database on the outcome
of various categories of procedures, pathologies and patients.
PMID- 27184946
TI - The neurological, neuroimaging and neuropsychological effects of playing
professional football: Results of the UK five-year follow-up study.
AB - BACKGROUND: Whilst the scientific understanding of mild traumatic brain injury
sequelae has advanced, the consequences of neurological insults sustained during
football play in the form of multiple concussions and heading remains unclear.
METHOD: To the authors' knowledge, this is the first longitudinal prospective
study to follow-up a group of footballers and controls over time. Thirty-two
elite young professional footballers were recruited and 24 were identified at
follow-up. Thirty-three controls were recruited and 17 identified at follow-up.
Medical examination, MRI (brain) imaging and detailed neuropsychological data
were collected on the footballers at baseline and 5-year follow-up. Medical
examination and detailed neuropsychological data were collected on the controls
at baseline and 5-year follow-up. RESULTS: All participants had normal
neurological examination at both time points. At baseline, 37% of the footballers
had sustained minor neurological insults. Between baseline and 5 years, 66% of
the footballers had sustained minor neurological insults. No MRI (brain)
abnormalities were identified among the footballers at either time point.
Regarding the neuropsychology, there was a 6-point IQ difference between
footballers and controls, with the footballers being low. Test-re-test analysis
on a range of carefully selected neurocognitive tests revealed a picture of good
stability in cognitive functioning over this 5-year period. CONCLUSIONS: These
longitudinal prospective data indicate no significant neurological, structural
brain imaging or neuropsychological change among a sample of young elite
professional footballers over the first 5 years of their professional career.
PMID- 27184948
TI - Morphological and behavioral characterization of adult mice deficient for SrGAP3.
AB - SrGAP3 belongs to the family of Rho GTPase proteins. These proteins are thought
to play essential roles in development and in the plasticity of the nervous
system. SrGAP3-deficient mice have recently been created and approximately 10 %
of these mice developed a hydrocephalus and died shortly after birth. The others
survived into adulthood, but displayed neuroanatomical alteration, including
increased ventricular size. We now show that SrGAP3-deficient mice display
increased brain weight together with increased hippocampal volume. This increase
was accompanied by an increase of the thickness of the stratum oriens of area CA1
as well as of the thickness of the molecular layer of the dentate gyrus (DG).
Concerning hippocampal adult neurogenesis, we observed no significant change in
the number of proliferating cells. The density of doublecortin-positive cells
also did not vary between SrGAP3-deficient mice and controls. By analyzing Golgi
impregnated material, we found that, in SrGAP3-deficient mice, the morphology and
number of dendritic spines was not altered in the DG. Likewise, a Sholl-analysis
revealed no significant changes concerning dendritic complexity as compared to
controls. Despite the distinct morphological alterations in the hippocampus,
SrGAP3-deficient mice were relatively inconspicuous in their behavior, not only
in the open-field, nest building but also in the Morris water-maze. However, the
SrGAP3-deficient mice showed little to no interest in burying marbles; a behavior
that is seen in some animal models related to autism, supporting the view that
SrGAP3 plays a role in neurodevelopmental disorders.
PMID- 27184950
TI - Identification and sexually dimorphic expression of vasa isoforms in Dabry's
sturgeon (Acipenser dabryanus), and functional analysis of vasa 3'-untranslated
region.
AB - Germ cells are set aside from somatic cells early in embryogenesis, and are
responsible for transmitting genetic information through generations. Vasa is a
highly conserved germ cell marker across animal phyla, and widely used to label
primordial germ cells. Dabry's sturgeon is a rare and endangered species
distributed solely in the Yangtze River basin. Here, seven vasa isoforms, named
Advasa1-7, were isolated and characterized in Dabry's sturgeon. RT-PCR and
western blot analyses revealed that vasa mRNA and protein were mainly restricted
to the testis and ovary, but exhibited sexually dimorphic expression. Cellular
and subcellular localization uncovered that Advasa mRNA and protein displayed
mitotic and meiotic expression in females, and mainly showed mitotic expression
in males; surprisingly, they exhibited both cytoplasmic and nuclear expression in
the ovarian germ cells, while showing exclusively cytoplasmic expression in the
testicular germ cells. By microinjecting chimeric RNA consisting of the red
fluorescent protein coding region and the Advasa 3'-untranslated region into
embryos of Dabry's sturgeon, zebrafish and medaka, we demonstrated that it had
the ability to visualize primordial germ cells (PGCs) in Dabry's sturgeon and
zebrafish but not in medaka. It seemed that the machinery of vasa 3'UTR RNA
localization was conserved between Dabry's sturgeon and ostariophysan, while
possibly changed during the divergence of euteleosts and ostariophysan. Finally,
Dabry's sturgeon PGCs moved on the yolk ball, and migrated toward the genital
ridge via mesenchyme. Taken together, these results provide new information for
vasa expression pattern and function, and lay a foundation for PGC
cryopreservation and conservation of Dabry's sturgeon.
PMID- 27184951
TI - A tale of two orgins: do myofibroblasts originate from different sources in wound
healing and fibrosis?
PMID- 27184949
TI - Small nuclear ribonucleoprotein polypeptide N (Sm51) promotes osteogenic
differentiation of bone marrow mesenchymal stem cells by regulating Runx2.
AB - Small nuclear ribonucleoprotein-associated polypeptide N mutation in mice is
associated with short limbs and lower bone mineral density, yet the role of Sm51
in MSC differentiation to osteoblasts is not known. In the present study, we
investigate the role of Sm51 in regulating osteoblastic differentiation of bone
marrow-derived mesenchymal stem cells (BM-MSCs). Stable overexpression of Sm51 in
rat and human BM-MSCs (Sm51-MSCs) significantly enhanced their osteogenic
differentiation potential compared to untransfected cells. Under osteogenic
induction, Sm51-MSCs had higher alkaline phosphatase (ALP) activity and
mineralization ability; the expression of osteogenic genes such as runt-related
transcription factor 2 (Runx2), osteocalcin, osteopontin, ALP and type I collagen
was significantly upregulated compared to the control BM-MSCs. Furthermore, we
show that Sm51 overexpression upregulated Runx2 expression at both the RNA and
protein level; Sm51 could bind to Runx2 RNA and regulate its expression. Finally,
knocking down Runx2 abolished the promoting effects of Sm51 on osteogenesis in BM
MSCs. These results demonstrate that Sm51 plays an important role in regulating
osteogenic differentiation of MSCs through increasing Runx2 expression and that
Sm51 may be a potential new therapeutic target for promoting bone formation.
PMID- 27184952
TI - TNF-alpha Activates High-Mobility Group Box 1 - Toll-Like Receptor 4 Signaling
Pathway in Human Aortic Endothelial Cells.
AB - BACKGROUND/AIMS: Toll-like receptor 4 (TLR4) interacts with endogenous substances
as well as lipopolysaccharide. We explored whether TLR4 is implicated in tumor
necrosis factor-alpha (TNF-alpha) signal transduction in human aortic endothelial
cells. METHODS: The pathway was evaluated by transfection of siRNAs,
immunoprecipitation and Western blot analysis. RESULTS: TNF-alpha activated
spleen tyrosine kinase (Syk) within 10 min, which led to endothelin-1 (ET-1)
production. TLR4 was also rapidly activated by TNF-alpha stimulation, as shown by
recruitment of interleukin-1 receptor-associated kinase 1 to TLR4 and its adaptor
molecule, myeloid differentiation factor 88 (MyD88). siRNA depletion of TLR4
markedly attenuated TNF-alpha-induced Syk activation and ET-1 production. TLR4
inhibitor (CLI-095), TLR4-neutralizing antibody and siRNA depletion of MyD88 also
attenuated TNF-alpha-induced Syk activation. Syk was co-immunoprecipitated with
TLR4, and TNF-alpha activated Syk bound to TLR4. High-mobility group box 1
(HMGB1) was rapidly released and associated with TLR4 after TNF-alpha stimulation
with a peak at 5 min, which was prevented by N-acetylcysteine, an antioxidant.
Glycyrrhizin (HMGB1 inhibitor), HMGB1-neutralizing antibody and siRNA depletion
of HMGB1 all suppressed TNF-alpha-induced Syk activation and ET-1 production.
CONCLUSION: Upon TNF-alpha stimulation, TLR4 is activated by HMGB1 that is
immediately released after the generation of reactive oxygen species, and plays a
crucial role in the signal transduction.
PMID- 27184953
TI - Reproducibility of a novel echocardiographic 3D automated software for the
assessment of mitral valve anatomy.
AB - BACKGROUND: 3D transesophageal echocardiography (TEE) is superior to 2D TEE in
quantitative anatomic evaluation of the mitral valve (MV) but it shows
limitations regarding automatic quantification. Here, we tested the inter-/intra
observer reproducibility of a novel full-automated software in the evaluation of
MV anatomy compared to manual 3D assessment. METHODS: Thirty-six out of 61
screened patients referred to our Cardiac Imaging Unit for TEE were
retrospectively included. 3D TEE analysis was performed both manually and with
the automated software by two independent operators. Mitral annular area,
intercommissural distance, anterior leaflet length and posterior leaflet length
were assessed. RESULTS: A significant correlation between both methods was found
for all variables: intercommissural diameter (r = 0.84, p < 0.01), mitral annular
area (r = 0.94, p > 0, 01), anterior leaflet length (r = 0.83, p < 0.01) and
posterior leaflet length (r = 0.67, p < 0.01). Interobserver variability assessed
by the intraclass correlation coefficient was superior for the automatic
software: intercommisural distance 0.997 vs. 0.76; mitral annular area 0.957 vs.
0.858; anterior leaflet length 0.963 vs. 0.734 and posterior leaflet length 0.936
vs. 0.838. Intraobserver variability was good for both methods with a better
level of agreement with the automatic software. CONCLUSIONS: The novel 3D
automated software is reproducible in MV anatomy assessment. The incorporation of
this new tool in clinical MV assessment may improve patient selection and
outcomes for MV interventions as well as patient diagnosis and prognosis
stratification. Yet, high-quality 3D images are indispensable.
PMID- 27184954
TI - Detailed protocol to assess in vivo and ex vivo myeloperoxidase activity in mouse
models of vascular inflammation and disease using hydroethidine.
AB - Myeloperoxidase (MPO) activity contributes to arterial inflammation, vascular
dysfunction and disease, including atherosclerosis. Current assessment of MPO
activity in biological systems in vivo utilizes 3-chlorotyrosine (3-Cl-Tyr) as a
biomarker of hypochlorous acid (HOCl) and other chlorinating species. However, 3
Cl-Tyr is formed in low yield and is subject to further metabolism. Recently, we
reported a method to selectively assess MPO-activity in vivo by measuring the
conversion of hydroethidine to 2-chloroethidium (2-Cl-E(+)) by liquid
chromatography with tandem mass spectrometry (LC-MS/MS) (J. Biol. Chem., 289,
2014, pp. 5580-5595). The hydroethidine-based method has greater sensitivity for
MPO activity than measurement of 3-Cl-Tyr. The current methods paper provides a
detailed protocol to determine in vivo and ex vivo MPO activity in arteries from
mouse models of vascular inflammation and disease by utilizing the conversion of
hydroethidine to 2-Cl-E(+). Procedures for the synthesis of standards,
preparation of tissue homogenates and the generation of 2-Cl-E(+) are also
provided in detail, as are the conditions for LC-MS/MS detection of 2-Cl-E(+).
PMID- 27184956
TI - GSH-targeted nanosponges increase doxorubicin-induced toxicity "in vitro" and "in
vivo" in cancer cells with high antioxidant defenses.
AB - Several reports indicate that chemo-resistant cancer cells become highly adapted
to intrinsic oxidative stress by up-regulating their antioxidant systems, which
causes an increase of intracellular GSH content. Doxorubicin is one of the most
widely used drugs for tumor treatment, able to kill cancer cells through several
mechanisms. However, doxorubicin use is limited by its toxicity and cancer
resistance. Therefore, new therapeutic strategies able to reduce doses and to
overcome chemo-resistance are needed. A new class of glutathione-responsive
cyclodextrin nanosponges (GSH-NS), is able to release anticancer drugs
preferentially in cells having high GSH content. Doxorubicin-loaded GSH-NS, in
the cancer cells with high GSH content, inhibited clonogenic growth, cell
viability, topoisomerase II activity and induced DNA damage with higher
effectiveness than free drug. Moreover, GSH-NS reduced the development of human
tumor in xenograft models more than free drug. These characteristics indicate
that GSH-NS can be a suitable drug delivery carrier for future applications in
cancer therapy.
PMID- 27184958
TI - Taking the RISC of exiting naive pluripotency.
AB - A new study shows how RNA-induced silencing complex (RISC)-mediated
posttranscriptional regulation of chromatin remodelers allows for tight control
of the naive-to-primed pluripotency transition.
PMID- 27184955
TI - Regulation of NADPH oxidases in skeletal muscle.
AB - The only known function of NAD(P)H oxidases is to produce reactive oxygen species
(ROS). Skeletal muscles express three isoforms of NAD(P)H oxidases (Nox1, Nox2,
and Nox4) that have been identified as critical modulators of redox homeostasis.
Nox2 acts as the main source of skeletal muscle ROS during contractions,
participates in insulin signaling and glucose transport, and mediates the myocyte
response to osmotic stress. Nox2 and Nox4 contribute to skeletal muscle
abnormalities elicited by angiotensin II, muscular dystrophy, heart failure, and
high fat diet. Our review addresses the expression and regulation of NAD(P)H
oxidases with emphasis on aspects that are relevant to skeletal muscle. We also
summarize: i) the most widely used NAD(P)H oxidases activity assays and
inhibitors, and ii) studies that have defined Nox enzymes as protagonists of
skeletal muscle redox homeostasis in a variety of health and disease conditions.
PMID- 27184959
TI - Socioeconomic differences in one-year survival after ischemic stroke: the effect
of acute and post-acute care-pathways in a cohort study.
AB - BACKGROUND: The reasons for socioeconomic inequity in stroke mortality are not
well understood. The aim of this study was to explore the role of ischemic stroke
care-pathways on the association between education level and one-year survival
after hospital admission. METHODS: Hospitalizations for ischemic stroke during
2011/12 were selected from Lazio health data. Patients' clinical history was
defined by retrieving previous hospitalizations and drugs prescriptions. The
association between education level and mortality after stroke was studied for
acute and post-acute phases using multilevel logistic models (Odds Ratio (OR)).
Different scenarios of quality care-pathways were identified considering hospital
performance, access to rehabilitation and drug treatment post-discharge. The
probability to survive to acute and post-acute phases according to education
level and care-pathway scenarios was estimated for a "mean-severity" patient. One
year survival probability was calculated as the product of two probabilities. For
each scenario, the 1-year survival probability ratio, university versus
elementary education, and its Bootstrap Confidence Intervals (95 % BCI) were
calculated. RESULTS: We identified 9,958 patients with ischemic stroke, 53.3 %
with elementary education level and 3.2 % with university. The mortality was 14.9
% in acute phase and 14.3 % in post-acute phase among survived to the acute
phase. The adjusted mortality in acute and post-acute phases decreased with an
increase in educational level (OR = 0.90 p-trend < 0.001; OR = 0.85 p-trend <
0.001). For the best care-pathway, the one-year survival probability ratio was
1.06 (95 % BCI = 1.03-1.10), while it was 1.17 (95 % BCI = 1.09-1.25) for the
worst. CONCLUSIONS: Education level was inversely associated with mortality both
in acute and post-acute phases. The care-pathway reduces but does not eliminate 1
year survival inequity.
PMID- 27184957
TI - Redox regulation of autophagy in skeletal muscle.
AB - Autophagy is a cellular degradative pathway that involves the delivery of
cytoplasmic components, including proteins and organelles, to the lysosome for
degradation. Autophagy is implicated in the maintenance of skeletal muscle;
increased autophagy leads to muscle atrophy while decreased autophagy leads to
degeneration and weakness. A growing body of work suggests that reactive oxygen
species (ROS) are important cellular signal transducers controlling autophagy.
Nicotinamide adenine dinucleotide phosphate (NADPH) oxidases and mitochondria are
major sources of ROS generation in skeletal muscle that are likely regulating
autophagy through different signaling cascades based on localization of the ROS
signals. This review aims to provide insight into the redox control of autophagy
in skeletal muscle. Understanding the mechanisms by which ROS regulate autophagy
will provide novel therapeutic targets for skeletal muscle diseases.
PMID- 27184960
TI - Ultrastiff and Strong Graphene Fibers via Full-Scale Synergetic Defect
Engineering.
AB - Kilometer-scale continuous graphene fibers (GFs) with outstanding mechanical
properties and excellent electrical conductivity are produced by high-throughput
wet-spinning of graphene oxide liquid crystals followed by graphitization through
a full-scale synergetic defect-engineering strategy. GFs with superior
performances promise wide applications in functional textiles, lightweight
motors, microelectronic devices, and so on.
PMID- 27184961
TI - Erratum to: Pain management strategies for neuropathic pain in Fabry disease - a
systematic review.
PMID- 27184962
TI - Provision of dementia-related services in Canada: a comparative study.
AB - BACKGROUND: Dementia is common, particularly among older adults, and is one of
the major causes of dependency later in life. We sought to provide an overview
and comparison of key services related to dementia care as the disease progresses
in three large Canadian healthcare centres. METHODS: We identified family
physicians, geriatric specialists, and dementia case managers from three major
population centres in Canada with universal healthcare coverage. Using a
standardized longitudinal dementia case vignette, participants were interviewed
on services they would provide at each stage of the disease. We used principles
of content analysis to generate codes and identify themes; appropriate time
frames from the vignette fitting the necessary provision of services were derived
from the Canadian consensus statement and determined in consultation with
clinical experts. Proportions of participants that identified dementia-related
care services were analyzed at each time point of the vignette using chi-square
tests. RESULTS: Thirty-four healthcare providers from Calgary (Alberta), Edmonton
(Alberta), and Ottawa (Ontario) participated. Review of our data identified seven
overarching themes of dementia-related care services. Services provided in the
community setting include future planning and related services, educational and
social support services, and home care and respite services. Although all
providers consistently identified educational and social support services (e.g.
the Alzheimer Society) within the appropriate time frame, the provision of other
services was variable. The proportion of providers reporting potential access of
future planning services was significantly different across the three sites
(Calgary, 91.7 %; Edmonton; 58.3 %; and Ottawa, 30.0 %), p = 0.012. Also, the
proportion of providers that identified day program services were significantly
different across the three sites (Calgary, 100.0 %; Edmonton, 91.7 %; and Ottawa,
60.0 %), p = 0.023 according to a chi-square test. CONCLUSIONS: We found
important types of variability in service delivery among different regions in
Canada for a typical patient with dementia and their family caregiver. Health
systems can be calibrated by aligning services from different settings to
appropriate time points in the vignette, which illustrates the dynamic course of
service delivery and opportunities for improvement throughout the disease
trajectory.
PMID- 27184964
TI - Theoretical Calculations of Refractive Properties for Hg3Te2Cl2 Crystals.
AB - This paper reviews the optical properties, such as refractive index, optical
dielectric constant, and reflection coefficient of the Hg3Te2Cl2 crystals. The
applications of the Hg3X2Y2 crystals as electronic, optical, and optoelectronic
devices are very much determined by the nature and magnitude of these fundamental
material properties. The origin of chemical bonding in the crystals is very
important for definition of the physical and chemical properties. The main
structural feature of the Hg3X2Y2 crystals is the presence of covalent pyramids
[XHg3] and linear X-Hg-X groups. Optical properties are calculated according to
the model proposed by Harrison. The refractive index in the spectral region far
from the absorption edge is determined within the generalized single-oscillator
model. The calculated results are found to be in good agreement with experimental
data.
PMID- 27184963
TI - Characterizing implementation strategies using a systems engineering survey and
interview tool: a comparison across 10 prevention programs for drug abuse and HIV
sexual risk behavior.
AB - BACKGROUND: Although many behavioral interventions have proven to be efficacious,
new methodologies are required beyond efficacy trials to understand how to adopt,
implement with fidelity, and sustain behavioral interventions in community
settings. In this paper, we present a new approach, based on systems engineering
concepts and methods, for characterizing implementation strategies that are used
to deliver evidence-based behavioral interventions in health and social service
settings. We demonstrate the use of this approach with implementation strategies,
used or being used for broader dissemination of 10 evidence-based prevention
program projects focused on the prevention of drug or HIV sex risk behaviors.
RESULTS: The results indicate that there are wide variations in intervention
approaches and that there are challenges in program implementation including
maintaining program fidelity, serving community needs, and adequate resources.
The results also indicate that implementation requires a committed partnership
between the program developers, implementation researchers, and community
partners. In addition, there is a need for adaptability within programs to meet
community needs, resources, and priorities while maintaining program fidelity.
CONCLUSIONS: Our methodological approach enabled us to highlight challenges
associated with the community implementation of health risk prevention
interventions. We also demonstrate how comprehensive descriptions of
interventions facilitate understanding of the requirements of program
implementation and decisions about the feasibility of implementing a program in
community settings.
PMID- 27184965
TI - The Peculiarities of Strain Relaxation in GaN/AlN Superlattices Grown on Vicinal
GaN (0001) Substrate: Comparative XRD and AFM Study.
AB - Superlattices (SLs) consisting of symmetric layers of GaN and AlN have been
investigated. Detailed X-ray diffraction and reflectivity measurements
demonstrate that the relaxation of built-up strain in the films generally
increases with an increasing number of repetitions; however, an apparent
relaxation for subcritical thickness SLs is explained through the accumulation of
Nagai tilt at each interface of the SL. Additional atomic force microscopy
measurements reveal surface pit densities which appear to correlate with the
amount of residual strain in the films along with the appearance of cracks for
SLs which have exceeded the critical thickness for plastic relaxation. These
results indicate a total SL thickness beyond which growth may be limited for the
formation of high-quality coherent crystal structures; however, they may indicate
a growth window for the reduction of threading dislocations by controlled
relaxation of the epilayers.
PMID- 27184966
TI - Electrical and Optical Properties of CeNi5 Nanoscale Films.
AB - Rare earth compounds are interesting from both a theoretical point of view and
for their applications. That is the reason why determining their optical and
electrical properties deserves special attention. In this article, we present the
conditions we obtained homogenous CeNi5 thin films of nanometer thicknesses. To
achieve this goal, our method of choice was laser-induced vaporization, using
short and modulated impulses, with electro-optical tuning for the quality factor.
The layers that were deposited at a single laser burst had thicknesses between
1.5 and 2.5 nm, depending on the geometry of the experimental setup.Structural
and compositional studies of the nanoscale films were made using XRD. The
temperature dependence of electrical conductivity was also determined. The
following optical properties of the specimens were computed using the Kramers
Kronig framework and discussed: absolute reflection and transmission coefficients
for a single wavelength and relative ones for the wide UV-VIS-IR spectra,
spectral dependence of the refractive index, and extinction coefficient as real
and imaginary parts of the complex refractive index. The valence band studies
were made with X-ray photoelectron spectroscopy. All these determinations were
well correlated and permitted the evaluation of the energy densities of states in
the deeper bands, near the Fermi energy, and at the surface states.
PMID- 27184967
TI - The defective expression of gtpbp3 related to tRNA modification alters the
mitochondrial function and development of zebrafish.
AB - Human mitochondrial DNA (mtDNA) mutations have been associated with a wide
spectrum of clinical abnormalities. However, nuclear modifier gene(s) modulate
the phenotypic expression of pathogenic mtDNA mutations. In our previous
investigation, we identified the human GTPBP3 related to mitochondrial tRNA
modification, acting as a modifier to influence of deafness-associated mtDNA
mutation. Mutations in GTPBP3 have been found to be associated with other human
diseases. However, the pathophysiology of GTPBP3-associated disorders is still
not fully understood. Here, we reported the generation and characterization of
Gtpbp3 depletion zebrafish model using antisense morpholinos. Zebrafish gtpbp3
has three isoforms localized at mitochondria. Zebrafish gtpbp3 is expressed at
various embryonic stages and in multiple tissues. In particular, the gtpbp3 was
expressed more abundantly in adult zebrafish ovary and testis. The expression of
zebrafish gtpbp3 can functionally restore the growth defects caused by the
mss1/gtpbp3 mutation in yeast. A marked decrease of mitochondrial ATP generation
accompanied by increased levels of apoptosis and reactive oxygen species were
observed in gtpbp3 knockdown zebrafish embryos. The Gtpbp3 morphants exhibited
defective in embryonic development including bleeding, melenin, oedema and curved
tails within 5days post fertilization, as compared with uninjected controls. The
co-injection of wild type gtpbp3 mRNA partially rescued these defects in Gtpbp3
morphants. These data suggest that zebrafish Gtpbp3 is a structural and
functional homolog of human and yeast GTPBP3. The mitochondrial dysfunction
caused by defective Gtpbp3 may alter the embryonic development in the zebrafish.
In addition, this zebrafish model of mitochondrial disease may provide unique
opportunities for studying defective tRNA modification, mitochondrial biogenesis,
and pathophysiology of mitochondrial disorders.
PMID- 27184969
TI - Ascitic Calprotectin is a Novel and Accurate Marker for Spontaneous Bacterial
Peritonitis.
AB - BACKGROUND: Spontaneous bacterial peritonitis (SBP) is a known complication of
advanced cirrhosis and presents a high mortality rate. A polymorphonuclear (PMN)
cell count >250/MUl in the ascitic fluid is the current gold standard for
diagnosing SBP. AIM: We evaluated the accuracy of a point-of-care test (POCT) for
ascitic calprotectin in diagnosing patients with SBP. METHODS: Eighty-eight
patients admitted with decompensation of liver cirrhosis were studied including
41 patients (46.6%) with SBP. Ascitic calprotectin was measured using a
quantitative POCT developed by Buhlmann(r) . RESULTS: Calprotectin levels
correlated with PMN cell count and other inflammatory markers and were
significantly higher in patients with SBP. An optimal cutoff of calprotectin
above 1.57 MUg/ml presented high sensitivity (87.8%), specificity (97.9%), and
positive (97.3%) and negative (90.2%) predictive values for diagnosing SBP. Using
calprotectin selectively in patients with a serum albumin-ascites gradient above
11 g/l further increased the sensitivity and negative predictive values of the
test. CONCLUSION: Ascitic calprotectin appears to be a reliable method for
diagnosing SBP in patients with liver cirrhosis. It may present an alternative to
other conventional diagnostic methods.
PMID- 27184968
TI - Double-Network Hydrogels Strongly Bondable to Bones by Spontaneous Osteogenesis
Penetration.
AB - On implanting hydroxyapatite-mineralized tough hydrogel into osteochondral
defects of rabbits, osteogenesis spontaneously penetrates into the gel matrix
owing to the semi-permeablility of the hydrogel. The gradient layer (around 40
MUm thick) contributes quite strong bonding of the gel to bone. This is the first
success in realizing the robust osteointegration of tough hydrogels, and the
method is simple and feasible for practical use.
PMID- 27184972
TI - Description of Aspergillus flavus growth under the influence of different factors
(water activity, incubation temperature, protein and fat concentration, pH, and
cinnamon essential oil concentration) by kinetic, probability of growth, and time
to-detection models.
AB - A Box-Behnken design was used to determine the effect of protein concentration
(0, 5, or 10g of casein/100g), fat (0, 3, or 6g of corn oil/100g), aw (0.900,
0.945, or 0.990), pH (3.5, 5.0, or 6.5), concentration of cinnamon essential oil
(CEO, 0, 200, or 400MUL/kg) and incubation temperature (15, 25, or 35 degrees C)
on the growth of Aspergillus flavus during 50days of incubation. Mold response
under the evaluated conditions was modeled by the modified Gompertz equation,
logistic regression, and time-to-detection model. The obtained polynomial
regression models allow the significant coefficients (p<0.05) for linear,
quadratic and interaction effects for the Gompertz equation's parameters to be
identified, which adequately described (R2>0.967) the studied mold responses.
After 50days of incubation, every tested model system was classified according to
the observed response as 1 (growth) or 0 (no growth), then a binary logistic
regression was utilized to model A. flavus growth interface, allowing to predict
the probability of mold growth under selected combinations of tested factors. The
time-to-detection model was utilized to estimate the time at which A. flavus
visible growth begins. Water activity, temperature, and CEO concentration were
the most important factors affecting fungal growth. It was observed that there is
a range of possible combinations that may induce growth, such that incubation
conditions and the amount of essential oil necessary for fungal growth inhibition
strongly depend on protein and fat concentrations as well as on the pH of studied
model systems. The probabilistic model and the time-to-detection models
constitute another option to determine appropriate storage/processing conditions
and accurately predict the probability and/or the time at which A. flavus growth
occurs.
PMID- 27184970
TI - Adolescents' Attitudes on Smoking Are Related to Experimentation with Smoking,
Daily Smoking and Best Friends' Smoking in Two Karelias in Finland and in Russia.
AB - PURPOSE: Becoming a smoker usually starts during adolescence and is a dynamic
process involving experimentation before the establishment of daily smoking. It
has been suggested that adolescents who smoke differ from those who do not in
their attitudes to smoking. The purpose of this study was to find out whether
attitudes related to smoking legislation and restrictions, social pressures in
smoking and image of smokers are associated with smoking experimentation, daily
smoking and best friends' smoking. METHOD: The data were gathered with a self
administered questionnaire in North Karelia, Eastern Finland and in the
Pitkyaranta district, Republic of Karelia, Russia. The respondents were 15-year
old 9th grade pupils in local schools. In Pitkyaranta, the data analyses covered
pupils in all eight schools in the area (n = 179). In North Karelia, the data
analyses comprised of selected eight schools (n = 601). Data were analysed with
exploratory factor analysis. RESULTS: The models revealed that attitudes related
to restrictions and social pressure were significantly associated with
experimenting with smoking [OR (95 % CI) 7.923 (5.787-10.847)], daily smoking [OR
(95 % CI) 9.575 (6.727-13.628)] and the likelihood of best friends' smoking [OR
(95 % CI) 3.154 (2.579-3.858)]. The stronger the young peoples' attitudes and
opinions, for example, towards restrictions and with more difficulties in
refusing smoking, the higher the likelihood for smoking experimentations, daily
smoking as well as the likelihood for their best friends' smoking. The country
and factor interactions were not associated with smoking experimentations, daily
smoking or best friends' smoking. CONCLUSION: Regardless of cultural background,
adolescents who smoke have more positive attitudes to smoking, and perceive more
social support for smoking, than do adolescents who do not smoke. The study
stresses the similarity of the results in both Karelia's despite the enormous
differences in culture, economy and public policy.
PMID- 27184973
TI - A method to isolate bacterial communities and characterize ecosystems from food
products: Validation and utilization in as a reproducible chicken meat model.
AB - Influenced by production and storage processes and by seasonal changes the
diversity of meat products microbiota can be very variable. Because microbiotas
influence meat quality and safety, characterizing and understanding their
dynamics during processing and storage is important for proposing innovative and
efficient storage conditions. Challenge tests are usually performed using meat
from the same batch, inoculated at high levels with one or few strains. Such
experiments do not reflect the true microbial situation, and the global ecosystem
is not taken into account. Our purpose was to constitute live stocks of chicken
meat microbiotas to create standard and reproducible ecosystems. We searched for
the best method to collect contaminating bacterial communities from chicken cuts
to store as frozen aliquots. We tested several methods to extract DNA of these
stored communities for subsequent PCR amplification. We determined the best
moment to collect bacteria in sufficient amounts during the product shelf life.
Results showed that the rinsing method associated to the use of Mobio DNA
extraction kit was the most reliable method to collect bacteria and obtain DNA
for subsequent PCR amplification. Then, 23 different chicken meat microbiotas
were collected using this procedure. Microbiota aliquots were stored at -80
degrees C without important loss of viability. Their characterization by cultural
methods confirmed the large variability (richness and abundance) of bacterial
communities present on chicken cuts. Four of these bacterial communities were
used to estimate their ability to regrow on meat matrices. Challenge tests
performed on sterile matrices showed that these microbiotas were successfully
inoculated and could overgrow the natural microbiota of chicken meat. They can
therefore be used for performing reproducible challenge tests mimicking a true
meat ecosystem and enabling the possibility to test the influence of various
processing or storage conditions on complex meat matrices.
PMID- 27184971
TI - Hepatitis E virus: Current epidemiology and vaccine.
AB - Hepatitis E virus infections have been continuously reported in Indian
subcontinent, Africa, southeast and central Asia, posing great health threats to
the public, especially to pregnant women. Hecolin(r) is the only licensed HEV
vaccine developed by Xiamen Innovax Biotech Co., Ltd. Extensive characterizations
on antigenicity, physicochemical properties, efficacy in clinical trials, and
manufacturing capability have made Hecolin(r) a promising vaccine for HEV
control. However, there are many obstacles in large scale application of
Hecolin(r). Efforts are needed to further evaluate safety and efficacy in HEV
risk populations, and to complement HEV standards for quality control. Passing
World Health Organization prequalification and licensing outside China are
priorities as these are also hindering Hecolin(r) promotion. Multilateral
cooperation among Chinese vaccine manufacturers, Chinese National Regulatory
Authorization (NRA) and WHO will expedite the entrance of Hecolin(r) into
international market, so that Hecolin(r) could play its due role in global
hepatitis E control.
PMID- 27184974
TI - Mechanoluminescence Study of Europium Doped CaZrO3 Phosphor.
AB - Behaviour displayed by mechanoluminescence (ML) in CaZrO3:Eu(3+) doped phosphors
with variable concentration of europium ions are described. When the ML is
excited impulsively by the impact of a load on the phosphors the ML intensity
increases with time, attains a maximum value and then it decreases. In the ML
intensity versus time curve, the peak increases and shifts towards shorter time
values with increasing impact velocities. Sample was synthesized by combustion
synthesis method with variable concentration of Eu(3+) ions (0.1, 0.2, 0.5, 1,
1.5 mol%) and characterized by X-ray diffraction technique. The total ML
intensity IT is defined as the area below the ML intensity versus time curve.
Initially IT increases with impact velocity V0 of the load and then it attains a
saturation value for higher values of impact velocities which follow the relation
IT = IT (0) exp.(-Vc/V0) where IT (0) and Vc are constants. Total ML intensity
increases linearly with the mass of the phosphors for higher impact velocities.
The ML intensity Im, corresponding to the peak of ML intensity versus time curve
increases linearly with the impact velocities. The time tm, is found to be
linearly related to 1000/V0. The mechanoluminescence induced by impulsive
excitation in europium doped CaZrO3 phosphors plays a significance role in the
understanding of biological sensors and display device application.
PMID- 27184977
TI - Enhanced Performance Separations: Smaller, Faster, More Complex Samples.
PMID- 27184978
TI - Enhanced stability of Cu(2+)-ATCUN complexes under physiologically relevant
conditions by insertion of structurally bulky and hydrophobic amino acid residues
into the ATCUN motif.
AB - Copper complexes formed by an amino terminal Cu(2+)- and Ni(2+)-binding (ATCUN)
motif have attracted attention as metallodrug candidates that cleave DNA or RNA
and inactivate enzymes. Although the stability of the Cu(2+)-ATCUN complex under
physiologically relevant conditions is a key factor for medical applications, it
has remained unclear. Here we prepared a series of ATCUN peptides by inserting
various amino acid residues into positions 1 and 2, and investigated the
stability of the Cu(2+)-ATCUN complexes in aqueous solution, blood plasma, and
living animals. Systematic pH titration showed that the low basicity of the N
terminal amine of the peptide stabilized the Cu(2+)-ATCUN complex in aqueous
solution. Interestingly, the stability of (64)Cu-labeled ATCUN complexes in blood
plasma was significantly enhanced by the structural bulkiness and hydrophobicity
of the amino acid residues at positions 1 and 2. To validate the in vivo
stability, six ATCUN motifs (YYH, VVH, NNH, TTH, GGH, and DDH) were conjugated to
a tumor-targeting peptide, octreotide (Oct). The stability of the (64)Cu-ATCUN
Oct complexes in blood plasma showed a similar trend to that of the (64)Cu-ATCUN
complexes. The (64)Cu-YYH-Oct complex exhibited the highest stability in blood
plasma. According to the positron emission tomography and competitive blocking
studies of a tumor-bearing mouse model, (64)Cu-YYH-Oct specifically accumulated
in tumors, suggesting that the complex was sufficiently stable to reach its
target in vivo. The results show that the structural bulkiness and hydrophobicity
of the residues at positions 1 and 2 are key parameters for designing
metallodrugs on the basis of the Cu(2+)-ATCUN complex.
PMID- 27184975
TI - Metabolically healthy obesity and health-related quality of life: A prospective
cohort study.
AB - BACKGROUND: Metabolically healthy obesity (MHO) has been associated with lower
risk of diabetes than obesity with cardiometabolic abnormalities (CA). However,
the effect of MHO on other health outcomes is unknown. OBJECTIVE: To examine the
association of metabolic status across categories of body mass index (BMI) with
health-related quality of life (HRQL). METHODS: Prospective cohort with 4397
individuals aged >=18 years, recruited in 2008-2010 and followed-up to 2012 in
Spain. Normal weight was defined as BMI <25, overweight as BMI 25-29.9, and
obesity as BMI >=30 kg/m2. Two metabolic statuses were defined: healthy (0-1 CA)
and unhealthy (>=2 CA). HRQL was measured with the physical component summary
(PCS) and the mental component summary (MCS) of the SF-12 questionnaire. The
association of joint categories of BMI and metabolic status at baseline with HRQL
at 2012 was examined using linear regression, and adjusted for the main
confounders. RESULTS: Compared to healthy normal-weight subjects, the unhealthy
normal-weight and the healthy overweight individuals had a similar PCS score;
however, the PCS was lower (worse) among those with unhealthy overweight (-1.79;
95% confidence interval [CI]: -2.66 to -0.94), with MHO (-1.45; 95% CI: -2.67 to
0.24) and unhealthy obesity (-1.97; 95% CI: -2.88 to -1.05). Being overweight or
obese was not associated with the MCS score regardless of metabolic status.
CONCLUSION: Metabolically unhealthy overweight, as well as obesity regardless of
metabolic status, showed a worse physical HRQL. These results suggest that both
obesity and CA should be addressed to improve HRQL.
PMID- 27184986
TI - Simultaneous Liver-Kidney Allocation: Let's Not Make Perfect the Enemy of Good.
PMID- 27184979
TI - CRISPRDetect: A flexible algorithm to define CRISPR arrays.
AB - BACKGROUND: CRISPR (clustered regularly interspaced short palindromic repeats)
RNAs provide the specificity for noncoding RNA-guided adaptive immune defence
systems in prokaryotes. CRISPR arrays consist of repeat sequences separated by
specific spacer sequences. CRISPR arrays have previously been identified in a
large proportion of prokaryotic genomes. However, currently available detection
algorithms do not utilise recently discovered features regarding CRISPR loci.
RESULTS: We have developed a new approach to automatically detect, predict and
interactively refine CRISPR arrays. It is available as a web program and command
line from bioanalysis.otago.ac.nz/CRISPRDetect. CRISPRDetect discovers putative
arrays, extends the array by detecting additional variant repeats, corrects the
direction of arrays, refines the repeat/spacer boundaries, and annotates
different types of sequence variations (e.g. insertion/deletion) in near
identical repeats. Due to these features, CRISPRDetect has significant advantages
when compared to existing identification tools. As well as further support for
small medium and large repeats, CRISPRDetect identified a class of arrays with
'extra-large' repeats in bacteria (repeats 44-50 nt). The CRISPRDetect output is
integrated with other analysis tools. Notably, the predicted spacers can be
directly utilised by CRISPRTarget to predict targets. CONCLUSION: CRISPRDetect
enables more accurate detection of arrays and spacers and its gff output is
suitable for inclusion in genome annotation pipelines and visualisation. It has
been used to analyse all complete bacterial and archaeal reference genomes.
PMID- 27184987
TI - Bioaccumulation and translocation of heavy metals by nine native plant species
grown at a sewage sludge dump site.
AB - In the present study, nine native plant species were collected to determine their
potential to clean up nine heavy metals from soil of a sewage sludge dump site.
Almost all nine plant species grown at sewage sludge dump site showed multifold
higher concentrations of heavy metals as compared to plants grown at the
reference site. All the investigated species were characterized by a
bioaccumulation factor (BF) > 1.0 for some heavy metals. BF was generally higher
for Cd, followed by Pb, Co, Cr, Cu, Ni, Mn, Zn, and Fe. The translocation factor
(TF) varied among plant species, and among heavy metals. For most studied heavy
metals, TFs were <1.0. The present study proved that the concentrations of all
heavy metals (except Cd, Co, and Pb) in most studied species were positively
correlated with those in soil. Such correlations indicate that these species
reflect the cumulative effects of environmental pollution from soil, and thereby
suggesting their potential use in the biomonitoring of most heavy metals
examined. In conclusion, all tissues of nine plant species could act as
bioindicators, biomonitors, and remediates of most examined heavy metals.
Moreover, Bassia indica, Solanum nigrum, and Pluchea dioscoridis are considered
hyperaccumulators of Fe; Amaranthus viridis and Bassia indica are considered
hyperaccumulators of Pb; and Portulaca oleracea is considered hyperaccumulator of
Mn.
PMID- 27184985
TI - Differential contributions of subregions of medial temporal lobe to memory system
in amnestic mild cognitive impairment: insights from fMRI study.
AB - Altered function of the medial temporal lobe (MTL) is a valuable indicator of
conversion from amnestic mild cognitive impairment (aMCI) to Alzheimer's disease.
This study is to delineate the functional circuitry of multiple subdivisions of
parahippocampal gyrus and hippocampus (HIP) and to examine how this knowledge
contributes to a more principled understanding of the contributions of its
subregions to memory in aMCI. The functional connectivity (FC) analysis was
performed in 85 aMCI and 129 healthy controls. The aMCI demonstrated the distinct
disruptive patterns of the MTL subregional connectivity with the whole-brain. The
right entorhinal cortex (ERC) and perirhinal cortex (PRC) showed increased
connectivity with the left inferior and middle occipital gyrus, respectively,
which potentially indicated a compensatory mechanism. Furthermore, the right
altered MTL subregional FC was associated with episodic memory performance in
aMCI. These results provide novel insights into the heterogeneous nature of its
large-scale connectivity in MTL subregions in memory system underlying the memory
deficits in aMCI. It further suggests that altered FC of MTL subregions is
associated with the impairment of the differential encoding stages of memories
and the functional changes in the specific right HIP-ERC-PRC-temporal circuitry
may contribute to the impairment of episodic memory in aMCI.
PMID- 27184989
TI - What Happens After Health Coaching? Observational Study 1 Year Following a
Randomized Controlled Trial.
AB - PURPOSE: Health coaching is effective for chronic disease self-management in the
primary care safety-net setting, but little is known about the persistence of its
benefits. We conducted an observational study evaluating the maintenance of
improved cardiovascular risk factors following a health coaching intervention.
METHODS: We performed a naturalistic follow-up to the Health Coaching in Primary
Care Study, a 12-month randomized controlled trial (RCT) comparing health
coaching to usual care for patients with uncontrolled diabetes, hypertension, or
hyperlipidemia. Participants were followed up 24 months from RCT baseline. The
primary outcome was the proportion at goal for at least 1 measure (hemoglobin
A1c, systolic blood pressure, or LDL cholesterol) that had been above goal at
enrollment; secondary outcomes included each individual clinical goal. Chi-square
tests and paired t-tests compared dichotomous and continuous measures. RESULTS:
290 of 441 participants (65.8%) participated at both 12 and 24 months. The
proportion of patients in the coaching arm of the RCT who achieved the primary
outcome dropped only slightly from 47.1% at 12 to 45.9% at 24 months (P = .80).
The proportion at goal for hemoglobin A1c dropped from 53.4% to 36.2% (P = .03).
All other clinical metrics had small, nonsignificant changes between 12 and 24
months. CONCLUSIONS: Results support the conclusion that most improved clinical
outcomes persisted 1 year after the completion of the health coaching
intervention.
PMID- 27184991
TI - Symptomatic and Asymptomatic Colon Cancer Recurrence: A Multicenter Cohort Study.
AB - PURPOSE: Follow-up after colorectal cancer treatment with curative intent aims to
detect recurrences and metachronous tumors in a timely manner. The objective of
this study is to assess how recurrent disease presents and is diagnosed within
scheduled follow-up according to the national guideline for the Netherlands.
METHODS: In a retrospective study of consecutive patients with colorectal cancer
who were treated in 2 hospitals in the Netherlands, we identified patients with
colon cancer who underwent surgery with curative intent between January 2007 and
December 2012. Patients who developed recurrent disease were included for further
analyses. RESULTS: From a total of 446 patients who were been treated for colon
carcinoma with curative intent, 74 developed recurrent disease (17%). In 43 of
those patients (58%), recurrent disease was detected during a scheduled follow-up
visit, with 41 (95%) being asymptomatic. Tumor marker testing, imaging, and
colonoscopy identified all of these recurrences. In the remaining 31 patients
with recurrent disease (42%), recurrence was found during non-scheduled interval
visits; 26 (84%) of these patients were symptomatic. The most prevalent symptoms
were abdominal pain, altered defecation, and weight loss. Patients with
asymptomatic recurrences had a significantly higher overall survival compared
with patients with symptomatic recurrences. CONCLUSIONS: In this cohort, 42% of
the recurrences after initial curative treatment for colon cancer were found
during non-scheduled interval visits, mainly based on symptoms. Primary care
physicians who take care of patients whose colon cancer might recur should be
aware of the relatively high rate of symptomatic recurrences and of typical
presenting symptoms.
PMID- 27184990
TI - Patients' Survival Expectations With and Without Their Chosen Treatment for
Prostate Cancer.
AB - PURPOSE: Overtreatment of screen-detected localized prostate cancer (LPC) is an
important public health concern, since the survival benefit of aggressive
treatment (surgery or radiation) has not been well established. We investigated
the survival expectations of patients who had LPC with and without their chosen
treatment. METHODS: A population-based sample of 260 men (132 black, 128 white)
75 years old or younger with newly diagnosed LPC completed a self-administered
survey. How long the patients expected to live with their chosen treatment, how
long they would expect to live with no treatment, and factors associated with the
difference in perceived life expectancy were assessed using multivariable
analysis. RESULTS: Without any treatment, 33% of patients expected that they
would live less than 5 years, 41% 5 to 10 years, 21% 10 to 20 years, and 5% more
than 20 years. With their chosen treatment, 3% of patients expected to live less
than 5 years, 9% 5 to 10 years, 33% 10 to 20 years, and 55% more than 20 years.
Treatment chosen, age, general health perception, and perceived cancer
seriousness predicted the differences in perceived life expectancy, while race
and actual tumor risk did not. After adjustment for other covariates, men who
choose surgery or radiation expected greater gain in survival than men who chose
watchful waiting or active surveillance. CONCLUSIONS: Most patients with LPC
underestimated their life expectancy without treatment and overestimated the gain
in life expectancy with surgery or radiation. These unrealistic expectations may
compromise patients' ability to make informed treatment decisions and may
contribute to overtreatment of LPC. Primary care physicians, when included in the
decision process, should focus on helping patients develop realistic expectations
and choices that support their treatment goals.
PMID- 27184992
TI - Encouraging Patient-Centered Care by Including Quality-of-Life Questions on Pre
Encounter Forms.
AB - PURPOSE: Patient participation in clinical decision making improves outcomes,
including quality of life (QOL), but the typical problem-oriented approach may
impede consideration of functional goals. We wondered if patients could encourage
primary care physicians to pay attention to their QOL goals by writing them on
pre-encounter forms. METHODS: We conducted a randomized controlled trial
comparing the impact of 2 different pre-visit questionnaires on the content of
patient-physician encounters in a family medicine practice at an academic medical
center. Using investigator-blinded block randomization, we arranged for 8 faculty
and 8 resident physicians to participate in 2 intervention and 2 control
videotaped encounters each for a total of 64 encounters. The intervention
questionnaire included questions about QOL goals and concerns, while the control
questionnaire asked about symptoms. Videotapes were reviewed to determine whether
the patients' QOL goals were mentioned and whether they were used in decision
making. We also scored encounters using Modified Flanders Interaction Analysis,
which assesses and codifies patient and physician communication, and the Modified
Carkhuff-Truax Scale, which measures empathy, attending, congruence, and positive
regard. RESULTS: Patients were able to record QOL goals and concerns, but QOL
issues were mentioned in only 2 of the 64 encounters, once by a patient and once
by a physician. In neither case was this information used in decision making.
More empathy was expressed by physicians during control encounters (P = .03).
CONCLUSIONS: Patients were able to articulate their QOL goals on paper, but that
did not prime them or their physicians to alter the process or content of the
clinical encounters. In fact, providing QOL information was associated with
reduced physician empathy.
PMID- 27184993
TI - Ruling Out Pulmonary Embolism in Primary Care: Comparison of the Diagnostic
Performance of "Gestalt" and the Wells Rule.
AB - PURPOSE: Diagnostic prediction models such as the Wells rule can be used for
safely ruling out pulmonary embolism (PE) when it is suspected. A physician's own
probability estimate ("gestalt"), however, is commonly used instead. We evaluated
the diagnostic performance of both approaches in primary care. METHODS: Family
physicians estimated the probability of PE on a scale of 0% to 100% (gestalt) and
calculated the Wells rule score in 598 patients with suspected PE who were
thereafter referred to secondary care for definitive testing. We compared the
discriminative ability (c statistic) of both approaches. Next, we stratified
patients into PE risk categories. For gestalt, a probability of less than 20%
plus a negative point-of-care d-dimer test indicated low risk; for the Wells
rule, we used a score of 4 or lower plus a negative d-dimer test. We compared
sensitivity, specificity, efficiency (percentage of low-risk patients in total
cohort), and failure rate (percentage of patients having PE within the low-risk
category). RESULTS: With 3 months of follow-up, 73 patients (12%) were confirmed
to have venous thromboembolism (a surrogate for PE at baseline). The c statistic
was 0.77 (95% CI, 0.70-0.83) for gestalt and 0.80 (95% CI, 0.75-0.86) for the
Wells rule. Gestalt missed 2 out of 152 low-risk patients (failure rate = 1.3%;
95% CI, 0.2%-4.7%) with an efficiency of 25% (95% CI, 22%-29%); the Wells rule
missed 4 out of 272 low-risk patients (failure rate = 1.5%; 95% CI, 0.4%-3.7%)
with an efficiency of 45% (95% CI, 41%-50%). CONCLUSIONS: Combined with d-dimer
testing, both gestalt using a cutoff of less than 20% and the Wells rule using a
score of 4 or lower are safe for ruling out PE in primary care. The Wells rule is
more efficient, however, and PE can be ruled out in a larger proportion of
suspected cases.
PMID- 27184994
TI - Increasing Rates of Tobacco Treatment Delivery in Primary Care Practice:
Evaluation of the Ottawa Model for Smoking Cessation.
AB - PURPOSE: We report on the effectiveness of the Ottawa Model for Smoking Cessation
(OMSC), a multicomponent knowledge translation intervention, in increasing the
rate at which primary care providers delivered smoking cessation interventions
using the 3 A's model-Ask, Advise, and Act, and examine clinic-, provider-and
patient-level determinants of 3 A's delivery. METHODS: We examined the effect of
the knowledge translation intervention in 32 primary care practices in Ontario,
Canada, by assessing a cross-sectional sample of patients before the
implementation of the OMSC and a second cross-sectional sample following
implementation. We used 3-level modeling (clinic, clinician, patient) to examine
the main effects and predictors of 3 A's delivery. RESULTS: Four hundred eighty
one primary care clinicians and more than 3,500 tobacco users contributed data to
the evaluation. Rates of delivery of the 3 A's increased significantly following
program implementation (Ask: 55.3% vs 71.3%, P <.001; Advise: 45.5% vs 63.6%, P
<.001; Act: 35.4% vs 54.4%, P <.001). The adjusted odds ratios (AOR) for the
delivery of 3 A's between the pre- and post-assessments were AOR = 1.94; (95% CI,
1.61-2.34) for Ask, AOR = 1.92; (95% CI, 1.60-2.29) for Advise, and AOR = 2.03;
(95% CI, 1.71-2.42) for Act. The quality of program implementation and the reason
for clinic visit were associated with increased rates of 3 A's delivery.
CONCLUSIONS: Implementation of the OMSC was associated with increased rates of
smoking cessation treatment delivery. High quality implementation of the OMSC
program was associated with increased rates of 3 A's delivery.
PMID- 27184995
TI - Rural Women Family Physicians: Strategies for Successful Work-Life Balance.
AB - PURPOSE: Women family physicians experience challenges in maintaining work-life
balance while practicing in rural communities. We sought to better understand the
personal and professional strategies that enable women in rural family medicine
to balance work and personal demands and achieve long-term career satisfaction.
METHODS: Women family physicians practicing in rural communities in the United
States were interviewed using a semistructured format. Interviews were recorded,
professionally transcribed, and analyzed using an immersion and crystallization
approach, followed by detailed coding of emergent themes. RESULTS: The 25
participants described a set of strategies that facilitated successful work-life
balance. First, they used reduced or flexible work hours to help achieve balance
with personal roles. Second, many had supportive relationships with spouses and
partners, parents, or other members of the community, which facilitated their
ability to be readily available to their patients. Third, participants maintained
clear boundaries around their work lives, which helped them to have adequate time
for parenting, recreation, and rest. CONCLUSIONS: Women family physicians can
build successful careers in rural communities, but supportive employers,
relationships, and patient approaches provide a foundation for this success.
Educators, employers, communities, and policymakers can adapt their practices to
help women family physicians thrive in rural communities.
PMID- 27184996
TI - Measuring Patient Safety in Primary Care: The Development and Validation of the
"Patient Reported Experiences and Outcomes of Safety in Primary Care" (PREOS-PC).
AB - PURPOSE: We set out to develop and validate a patient-reported instrument for
measuring experiences and outcomes related to patient safety in primary care.
METHOD: The instrument was developed in a multistage process supported by an
international expert panel and informed by a systematic review of instruments, a
meta-synthesis of qualitative studies, 4 patient focus groups, 18 cognitive
interviews, and a pilot study. The trial version of Patient Reported Experiences
and Outcomes of Safety in Primary Care (PREOS-PC) covered 5 domains and 11
scales: practice activation (1 scale); patient activation (1 scale); experiences
of patient safety events (1 scale); harm (6 scales); and general perceptions of
patient safety (2 scales). The questionnaire was posted to 6,736 patients in 45
practices across England. We used "gold standard" psychometric methods to
evaluate its acceptability, reliability, structural and construct validity, and
ability to discriminate among practices. RESULTS: 1,244 completed questionnaires
(18.5%) were returned. Median item-specific response rate was 91.3%
(interquartile range 28.0%). No major ceiling or floor effects were observed. All
6 multi-item scales showed high internal consistency (Cronbach's alpha 0.75
0.96). Factor analysis, correlation between scales, and known group analyses
generally supported structural and construct validity. The scales demonstrated a
heterogeneous ability to discriminate between practices. The final version of
PREOS-PC consisted of 5 domains, 8 scales, and 58 items. CONCLUSIONS: PREOS-PC is
a new multi-dimensional patient safety instrument for primary care developed with
experts and patients. Initial testing shows its potential for use in primary
care, and future developments will further address its use in actual clinical
practice.
PMID- 27184997
TI - Self-Measured vs Professionally Measured Waist Circumference.
AB - PURPOSE: Although waist circumference can provide important metabolic risk
information, logistic issues inhibit its routine use in outpatient practice
settings. We assessed whether self-measured waist circumference is sufficiently
accurate to replace professionally measured waist circumference for identifying
high-risk patients. METHODS: Medical outpatients and research participants self
measured their waist circumference at the same visit during which a
professionally measured waist circumference was obtained. Participants were
provided with standardized pictorial instructions on how to measure their waist
circumference, and professionals underwent standard training. RESULTS: Self- and
professionally measured waist circumference data were collected for 585 women
(mean +/- SD age = 40 +/- 14 years, mean +/- SD body mass index = 27.7 +/- 6.0
kg/m(2)) and 165 men (mean +/- SD age = 41 +/- 14 years, mean +/- SD body mass
index = 29.3 +/- 4.6 kg/m(2)). Although self- and professionally measured waist
circumference did not differ significantly, we found a clinically important false
negative rate for the self-measurements. Eleven percent of normal-weight and 52%
of overweight women had a professionally measured waist circumference putting
them in a high-risk category for metabolic syndrome (ie, greater than 88 cm);
however, 57% and 18% of these women, respectively, undermeasured their waist
circumference as falling below that cutoff. Fifteen percent and 84% of overweight
and class I obese men, respectively, had a professionally measured waist
circumference putting them in the high-risk category (ie, greater than 102 cm);
however, 23% and 16% of these men, respectively, undermeasured their waist
circumference as falling below that cutoff. CONCLUSIONS: Despite standardized
pictorial instructions for self-measured waist circumference, the false-negative
rate of self-measurements approached or exceeded 20% for some groups at high risk
for poor health outcomes.
PMID- 27184998
TI - Racism in Medicine: Shifting the Power.
AB - Medicine has historically been a field where the provider of the service
(physician, nurse) has a significant amount of power as compared with the
recipient of the service (the patient). For the most part, this power is
relatively consistent, and the power dynamic is rarely disrupted. In this essay,
I share a personal experience in which a racist rant by a patient seemingly
reverses the power dynamic. As the physician, I faced the realization that I may
not have as much power as I believed, but fortunately I had some tools that
allowed for my resilience. It is my hope that this paper will strengthen other
family physicians and professional minorities that are victims of racism,
discrimination, and prejudice for their race, sex, ability, sexual orientation,
religion, and other axes of discrimination.
PMID- 27184999
TI - Sticker Shock: The Experience of a Health Care Consumer.
AB - With implementation of the Patient Protection and Affordable Care Act, more
Americans are gaining insurance coverage but often have high deductibles and
significant out-of-pocket cost sharing. Deductibles routinely exceed $1,000 and
often approach $5,000. In this essay, I share our family's experience attempting
to navigate urgent medical decisions in a high-deductible health plan. In
accessing urgent care for our child's fracture, we unknowingly encountered a 10
fold variation for what should be routine, low-cost technology (ie, plain film x
ray). Though the financial consequences for our family were minimal, for many
families with high-deductible plans the financial implications are enormous.
Through this experience, I learned that the principles of consumer-directed
health care-that patients can and should price shop for care-are flawed in urgent
and emergent situations.
PMID- 27185000
TI - Dad's Last Week.
AB - I had intended to spend our spring break week in Montana with my kids and my dad,
going to parks and museums together. Instead, I spent the week in the hospital,
helping my dad make end-of-life choices and learning more about the importance of
communication in health care settings and the preciousness of close relationships
in life. I am a better person and a better physician because my dad trusted me to
be there while he was dying. During his last week, I was grateful to have spent
years studying medicine and years getting to know my dad. This combination of
professional and personal knowledge enabled me to help him choose his own end-of
life path. As someone who does not like hospitals, I have always wondered why I
became a doctor; now I know.
PMID- 27185008
TI - Platelets are not hyperreactive in patients with ovarian cancer.
AB - Paraneoplastic thrombocytosis has been reported in different types of solid
tumors, including ovarian epithelial cancer, and found to be associated with a
worse outcome. Although the effect of cancer on increasing platelet counts is
well documented, the effect of cancer on platelet functions is not well known. We
compared in vitro aggregation response of platelets isolated from 34 patients
with ovarian cancer to those of platelets from 19 patients with benign ovarian
tumors. Aggregation studies were conducted in a light transmission aggregometer,
using both a high and a low dose of ADP and collagen. We evaluated platelet
preactivation by measuring the plasma concentration of beta-thromboglobulin (beta
TG) and platelet factor-4 (PF-4) as markers of platelet alpha granule secretion,
using ELISA. We found that ovarian cancer is not associated with an enhanced
aggregation response of platelets to ADP or collagen, and plasma concentration of
beta-TG and PF-4 is not higher in patients with ovarian cancer compared to those
in patients with benign ovarian tumors.
PMID- 27185009
TI - Accidental hypothermia in severe trauma.
AB - Hypothermia, along with acidosis and coagulopathy, is part of the lethal triad
that worsen the prognosis of severe trauma patients. While accidental hypothermia
is easy to identify by a simple measurement, it is no less pernicious if it is
not detected or treated in the initial phase of patient care. It is a
multifactorial process and is a factor of mortality in severe trauma cases. The
consequences of hypothermia are many: it modifies myocardial contractions and may
induce arrhythmias; it contributes to trauma-induced coagulopathy; from an
immunological point of view, it diminishes inflammatory response and increases
the chance of pneumonia in the patient; it inhibits the elimination of
anaesthetic drugs and can complicate the calculation of dosing requirements; and
it leads to an over-estimation of coagulation factor activities. This review will
detail the pathophysiological consequences of hypothermia, as well as the most
recent principle recommendations in dealing with it.
PMID- 27185011
TI - Expressions of lipoprotein receptors and cholesterol efflux regulatory proteins
during luteolysis in bovine corpus luteum.
AB - The corpus luteum (CL) synthesises and secretes progesterone (P4), which is
essential for the establishment and maintenance of pregnancy in mammals. P4 is
synthesised from cholesterol. Cholesterol is internalised by low-density
lipoprotein receptor (LDLR) and/or scavenger receptor B1 (SR-BI), and is effluxed
by ATP-binding cassette (ABC) transporter A1 (ABCA1) and G1 (ABCG1). To test the
hypothesis that lipoprotein receptors and ABC transporters are involved in
functional luteolysis, we examined the expression of LDLR, SR-BI, ABCA1 and ABCG1
in bovine CL during the luteal stages and after injection of prostaglandin (PG)
F2alpha on Day 10 after ovulation. Expression of LDLR and SR-BI mRNA and protein
was lower in the regressed luteal than late luteal stage. Injection of cows with
a PGF2alpha did not affect LDLR mRNA and protein levels in the CL. Although
expression of SR-BI mRNA did not change, SR-BI protein expression decreased 12
and 24h after PGF2alpha injection. The overall findings of the present study
suggest that the decreased expression of SR-BI induced by PGF2alpha is one of the
factors responsible for the continuous decrease in P4 production during
functional luteolysis.
PMID- 27185013
TI - Synthesis and evaluation of raloxifene derivatives as a selective estrogen
receptor down-regulator.
AB - Estrogen receptors (ERs) play a major role in the growth of human breast cancer
cells. A selective estrogen receptor down-regulator (SERD) that acts as not only
an inhibitor of ligand binding, but also induces the down-regulation of ER, would
be useful for the treatment for ER-positive breast cancer. We previously reported
that tamoxifen derivatives, which have a long alkyl chain, had the ability to
down-regulate ERalpha. With the aim of expanding range of the currently available
SERDs, we designed and synthesized raloxifene derivatives, which had various
lengths of the long alkyl chains, and evaluated their SERD activities. All
compounds were able to bind ERalpha, and RC10, which has a decyl group on the
amine moiety of raloxifene, was shown to be the most potent compound. Our
findings suggest that the ligand core was replaceable, and that the alkyl length
was important for controlling SERD activity. Moreover, RC10 showed antagonistic
activity and its potency was superior to that of 4,4'-(heptane-4,4-diyl)bis(2
methylphenol) (18), a competitive antagonist of ER without SERD activity. These
results provide information that will be useful for the development of promising
SERDs candidates.
PMID- 27185010
TI - Prevention strategies for blood-borne viruses-in the Era of vaccines, direct
acting antivirals and antiretroviral therapy.
AB - Blood-borne viruses, such as hepatitis B virus, hepatitis C virus, human
immunodeficiency virus, and the facultative blood-borne hepatitis E virus, are
considered a major public health problem given that they are accountable for
millions of deaths each year. Treatment options, including effective vaccine
design, development of antiviral strategies and the implementation of
antiretroviral therapy have improved substantially over the last couple of years
and contribute to successful treatment and prevention of these infectious
diseases. In this review, we summarise the current knowledge and concepts in
prevention of transmission of these blood-borne viruses.
PMID- 27185012
TI - Design, synthesis and biological evaluation of novel tetrahydroisoquinoline
quaternary derivatives as peripheral kappa-opioid receptor agonists.
AB - A novel series of tetrahydroisoquinoline quaternary derivatives 4 were
synthesized as peripheral kappa-opioid receptor agonists. All the target
compounds were evaluated in kappa-opioid receptor binding assays, and compounds
4l, 4m, and 4n exhibited high affinity for kappa-opioid receptor. Furthermore,
compound 4l (kappaKi=0.94nM) produced potent antinociceptive activity in the
mouse acetic acid-induced writhing assay, with lower sedative side effects than
the parent compound MB-1c.
PMID- 27185015
TI - Cognitive Alexithymia Mediates the Association Between Avoidant Attachment and
Interpersonal Problems in Patients With Somatoform Disorder.
AB - Patients with somatoform disorder (SFD) are characterized by the presence of
chronic physical complaints that are not fully explained by a general medical
condition or another mental disorder. Insecure attachment patterns are common in
this patient group, which are often associated with interpersonal difficulties.
In the present study, the mediational role of two types of alexithymia and
negative affectivity (NA) was examined in the association between attachment
styles and interpersonal problems in a group of 120 patients with SFD. Patients
were requested to fill out several self-report questionnaires for the assessment
of attachment strategies, alexithymia, NA, and interpersonal problems. Cognitive
alexithymia (i.e., the inability to identify and verbalize emotions) mediated the
relationship between avoidant attachment patterns and interpersonal problems,
even after controlling for NA. Preliminary findings also suggested that NA acted
as a moderator of the mediator cognitive alexithymia. These results have
important implications for clinical practice, as this study clearly shows that
interpersonal problems do not automatically follow from insecure attachment
strategies, but are contingent upon alexithymic features. It is recommended to
target alexithymic features in patients with SFD, particularly in the context of
negative emotions. Therefore, cognitive alexithymia may be an important
therapeutic focus, specifically in the treatment of avoidant ptients with SFD.
PMID- 27185016
TI - Corrigendum to ''Language-experience plasticity in neural representation of
changes in pitch salience'' [Brain Research 1637 (2016) 102-117].
PMID- 27185014
TI - Antimicrobial Stewardship in Rhode Island Long-Term Care Facilities: Current
Standings and Future Opportunities.
AB - Our survey of antimicrobial stewardship practices among Rhode Island long-term
care facilities demonstrated opportunities to develop formal programs. Results
suggest infection preventionists are largely responsible for ensuring appropriate
antibiotic use in long-term care facilities and there is a need for increased
interdisciplinary access to individuals with antimicrobial stewardship expertise.
Infect Control Hosp Epidemiol 2016;37:979-982.
PMID- 27185019
TI - [Not Available].
PMID- 27185018
TI - Competing coexisting phases in 2D water.
AB - The properties of bulk water come from a delicate balance of interactions on
length scales encompassing several orders of magnitudes: i) the Hydrogen Bond
(HBond) at the molecular scale and ii) the extension of this HBond network up to
the macroscopic level. Here, we address the physics of water when the three
dimensional extension of the HBond network is frustrated, so that the water
molecules are forced to organize in only two dimensions. We account for the large
scale fluctuating HBond network by an analytical mean-field percolation model.
This approach provides a coherent interpretation of the different events
experimentally (calorimetry, neutron, NMR, near and far infra-red spectroscopies)
detected in interfacial water at 160, 220 and 250 K. Starting from an amorphous
state of water at low temperature, these transitions are respectively interpreted
as the onset of creation of transient low density patches of 4-HBonded molecules
at 160 K, the percolation of these domains at 220 K and finally the total
invasion of the surface by them at 250 K. The source of this surprising behaviour
in 2D is the frustration of the natural bulk tetrahedral local geometry and the
underlying very significant increase in entropy of the interfacial water
molecules.
PMID- 27185017
TI - Renin-angiotensin system inhibitors in patients with coronary artery disease who
have undergone percutaneous coronary intervention.
AB - The percutaneous coronary intervention (PCI) procedure has become one of the
pivotal options in the treatment of coronary artery disease (CAD). Although the
PCI procedure has rapidly developed in China, some concerns including in-stent
restenosis and dissatisfactory long-term prognosis remain unsolved. Large-scale
randomized controlled clinical trials indicate that angiotensin-converting enzyme
inhibitors (ACEIs) and angiotensin II receptor blockers (ARBs) can reduce all
cause mortality and recurrent cardiac events in patients with CAD. ACEIs/ARBs are
recommended as a fundamental treatment in the secondary prevention of CAD and
reduce in-stent restenosis after PCI. This review focuses on the role of
ACEIs/ARBs in improving long-term prognosis and reducing in-stent restenosis.
PMID- 27185020
TI - Characterization of gene expression profiling of mouse tissues obtained during
the postmortem interval.
AB - Attempts to establish a tissue bank from autopsy samples have led to uncovering
of the secrets of many diseases. Here, we examined the length of time that the
RNA from postmortem tissues is available for microarray analysis and reported the
gene expression profile for up- and down-regulated genes during the postmortem
interval. We extracted RNA from fresh-frozen (FF) and formalin-fixed paraffin
embedded (FFPE) brains and livers of three different groups of mice: 1) mice
immediately after death, 2) mice that were stored at room temperature for 3h
after death, and 3) mice that were stored at 4 degrees C for 18h after death, as
this storage resembles the human autopsy process in Japan. The RNA quality of the
brain and the liver was maintained up to 18h during the postmortem interval.
Based on the microarray analysis, we selected genes that were altered by >1.3
fold or <0.77-fold and classified these genes using hierarchical cluster analysis
following DAVID gene ontology analysis. These studies revealed that cytoskeleton
related genes were enriched in the set of up-regulated genes, while serine
protease inhibitors were enriched in the set of down-regulated genes.
Interestingly, although the RNA quality was maintained due to high RNA integrity
number (RIN) values, up-regulated genes were not validated by quantitative PCR,
suggesting that these genes may become fragmented or modified by an unknown
mechanism. Taken together, our findings suggest that under typical autopsy
conditions, gene expression profiles that reflect disease pathology can be
examined by understanding comprehensive recognition of postmortem fluctuation of
gene expression.
PMID- 27185021
TI - Burden and happiness in head and neck cancer carers: the role of supportive care
needs.
AB - PURPOSE: Our study aimed to investigate the relationship between unmet supportive
care needs and carer burden and happiness, in head and neck cancer (HNC).
METHODS: Two hundred eighty-five HNC informal carers were sent a postal
questionnaire between January and June 2014, which included the supportive care
needs survey for partners and caregivers of cancer survivors (SCNS-P&C) and the
CarerQol, which assesses burden and happiness. Multiple regression analysis was
conducted to examine the association of (i) carer characteristics, (ii) carer
situation, and (iii) unmet supportive care needs, with carer burden and happiness
RESULTS: One hundred ninety-seven carers completed the questionnaire (response
rate = 69 %), 180 of whom were included in the analysis. The majority were female
(76 %), not in paid employment (68 %) and caring for their spouse (67 %). On
average, carers reported relatively low levels of burden and relatively high
levels of happiness. Carer factors explained 42 % of variance in levels of burden
and 24 % of variance in levels of happiness. Healthcare service needs were
associated with carer burden (beta = .28, p = .04), while psychological needs
(beta = -.38, p = .028), health care service needs (beta = -.30, p = .049),
information needs (beta = .29, p = .028), carer comorbidity (beta = -.18, p =
.030), and gender (beta = -.16, p = .045) were associated with happiness.
CONCLUSIONS: Our results indicate that different aspects of carer characteristics
and unmet needs are associated with carer burden and happiness. Efforts directed
at reducing unmet healthcare service needs in particular are merited given their
associations with both aspects of carer quality of life.
PMID- 27185023
TI - Coronary Computed Tomography Angiography in the Evaluation of Chest Pain of
Suspected Cardiac Origin.
PMID- 27185026
TI - ECG Response: May 17, 2016.
PMID- 27185024
TI - Bulging at the Root: An Inflammatory Tale.
PMID- 27185027
TI - One Cause of Secondary Hypertension: Neurogenic Etiology.
PMID- 27185022
TI - Brain in Congenital Heart Disease Across the Lifespan: The Cumulative Burden of
Injury.
AB - The number of patients surviving with congenital heart disease (CHD) has soared
over the last 3 decades. Adults constitute the fastest-growing segment of the CHD
population, now outnumbering children. Research to date on the heart-brain
intersection in this population has been focused largely on neurodevelopmental
outcomes in childhood and adolescence. Mutations in genes that are highly
expressed in heart and brain may cause cerebral dysgenesis. Together with altered
cerebral perfusion in utero, these factors are associated with abnormalities of
brain structure and brain immaturity in a significant portion of neonates with
critical CHD even before they undergo cardiac surgery. In infancy and childhood,
the brain may be affected by risk factors related to heart disease itself or to
its interventional treatments. As children with CHD become adults, they
increasingly develop heart failure, atrial fibrillation, hypertension, diabetes
mellitus, and coronary disease. These acquired cardiovascular comorbidities can
be expected to have effects similar to those in the general population on
cerebral blood flow, brain volumes, and dementia. In both children and adults,
cardiovascular disease may have adverse effects on achievement, executive
function, memory, language, social interactions, and quality of life. Against the
backdrop of shifting demographics, risk factors for brain injury in the CHD
population are cumulative and synergistic. As neurodevelopmental sequelae in
children with CHD evolve to cognitive decline or dementia during adulthood, a
growing population of CHD can be expected to require support services. We
highlight evidence gaps and future research directions.
PMID- 27185028
TI - Letter by Aubin et al Regarding Article, "Association of Coffee Consumption with
Total and Cause-Specific Mortality in 3 Large Prospective Cohorts".
PMID- 27185029
TI - Response to Letter Regarding Article, "Association of Coffee Consumption With
Total and Cause-Specific Mortality in 3 Large Prospective Cohorts".
PMID- 27185030
TI - Letter by Nikpour et al Regarding Article, "Effect of Warfarin Treatment on
Survival of Patients With Pulmonary Arterial Hypertension (PAH) in the Registry
to Evaluate Early and Long-Term PAH Disease Management (REVEAL)".
PMID- 27185031
TI - Response to Letter Regarding Article, "Effect of Warfarin Treatment on Survival
of Patients With Pulmonary Arterial Hypertension (PAH) in the Registry to
Evaluate Early and Long-Term PAH Disease Management (REVEAL)".
PMID- 27185033
TI - Epidermal grafting versus split-thickness skin grafting for wound healing
(EPIGRAAFT): study protocol for a randomised controlled trial.
AB - BACKGROUND: Split-thickness skin grafting (SSG) is an important modality for
wound closure. However, the donor site becomes a second, often painful wound,
which may take more time to heal than the graft site itself and holds the risk of
infection and scarring. Epidermal grafting (EG) is an alternative method of
autologous skin grafting that harvests only the epidermal layer of the skin by
applying continuous negative pressure on the normal skin to raise blisters. This
procedure has minimal donor site morbidity and is relatively pain-free, allowing
autologous skin grafting in an outpatient setting. We plan to compare EG to SSG
and to further investigate the cellular mechanism by which each technique
achieves wound healing. METHODS/DESIGN: EPIGRAAFT is a multicentre, randomised,
controlled trial that compares the efficacy and wound-healing mechanism of EG
with SSG for wound healing. The primary outcome measures are the proportion of
wounds healed in 6 weeks and the donor site healing time. The secondary outcome
measures include the mean time for complete wound healing, pain score, patient
satisfaction, health care utilisation, cost analysis, and incidence of adverse
events. DISCUSSION: This study is expected to define the efficacy of EG and
promote further understanding of the mechanism of wound healing by EG compared to
SSG. The results of this study can be used to inform the current best practise
for wound care. TRIAL REGISTRATION: Clinicaltrials.gov identifier, NCT02535481 .
Registered on 11 August 2015.
PMID- 27185034
TI - Evaluation of suitability of a micro-processing unit of motion analysis for upper
limb tracking.
AB - The aim of this study is to assess the suitability of a micro-processing unit of
motion analysis (MPUMA), for monitoring, reproducing, and tracking upper limb
movements. The MPUMA is based on an inertial measurement unit, a 16-bit digital
signal controller and a customized algorithm. To validate the performance of the
system, simultaneous recordings of the angular trajectory were performed with a
video-based motion analysis system. A test of the flexo-extension of the shoulder
joint during the active elevation in a complete range of 120o of the upper limb
was carried out in 10 healthy volunteers. Additional tests were carried out to
assess MPUMA performance during upper limb tracking. The first, a 3D motion
reconstruction of three movements of the shoulder joint (flexo-extension,
abduction-adduction, horizontal internal-external rotation), and the second, an
upper limb tracking online during the execution of three movements of the
shoulder joint followed by a continuous random movement without any restrictions
by using a virtual model and a mechatronic device of the shoulder joint.
Experimental results demonstrated that the MPUMA measured joint angles that are
close to those from a motion-capture system with orientation RMS errors less than
3o.
PMID- 27185032
TI - BioCode gold-nanobeacon for the detection of fusion transcripts causing chronic
myeloid leukemia.
AB - BACKGROUND: Gold-nanobeacons (Au-nanobeacons) have proven to be versatile systems
for molecular diagnostics and therapeutic actuators. Here, we present the
development and characterization of two gold nanobeacons combined with Forster
resonance energy transfer (FRET) based spectral codification for dual mode
sequence discrimination. This is the combination of two powerful technologies
onto a single nanosystem. RESULTS: We proved this concept to detect the most
common fusion sequences associated with the development of chronic myeloid
leukemia, e13a2 and e14a2. The detection is based on spectral shift of the donor
signal to the acceptor, which allows for corroboration of the hybridization
event. The Au-nanobeacon acts as scaffold for detection of the target in a
homogenous format whose output capability (i.e. additional layer of information)
is potentiated via the spectral codification strategy. CONCLUSIONS: The spectral
coded Au-nanobeacons permit the detection of each of the pathogenic fusion
sequences, with high specificity towards partial complementary sequences. The
proposed BioCode Au-nanobeacon concept provides for a nanoplatform for molecular
recognition suitable for cancer diagnostics.
PMID- 27185035
TI - Parametric electrical impedance tomography for measuring bone mineral density in
the pelvis using a computational model.
AB - Osteoporosis is defined as bone microstructure deterioration resulting a decrease
of bone's strength. Measured bone mineral density (BMD) constitutes the main tool
for Osteoporosis diagnosis, management, and defines patient's fracture risk. In
the present study, parametric electrical impedance tomography (pEIT) method was
examined for monitoring BMD, using a computerized simulation model and
preliminary real measurements. A numerical solver was developed to simulate
surface potentials measured over a 3D computerized pelvis model. Varying cortical
and cancellous BMD were simulated by changing bone conductivity and permittivity.
Up to 35% and 16% change was found in the real and imaginary modules of the
calculated potential, respectively, while BMD changes from 100% (normal) to 60%
(Osteoporosis). Negligible BMD relative error was obtained with SNR>60 [dB].
Position changes errors indicate that for long term monitoring, measurement
should be taken at the same geometrical configuration with great accuracy. The
numerical simulations were compared to actual measurements that were acquired
from a healthy male subject using a five electrodes belt bioimpedance device. The
results suggest that pEIT may provide an inexpensive easy to use tool for
frequent monitoring BMD in small clinics during pharmacological treatment, as a
complementary method to DEXA test.
PMID- 27185036
TI - MicroRNA-21 Regulates Non-Small Cell Lung Cancer Cell Invasion and Chemo
Sensitivity through SMAD7.
AB - BACKGROUND/AIMS: SMAD7 is a key inhibitor of transforming growth factor beta
(TGFbeta) receptor signaling, which regulates the alteration of cancer cell
invasiveness through epithelial-mesenchymal cell conversion. Carboplatin is a
commonly used drug in the chemotherapy for non-small cell lung cancer (NSCLC).
Nevertheless, the molecular mechanisms underlying its suppressive effects on the
NSCLC cell invasion are not completely understood. In the current study, we
addressed this question by analyzing the effects of Carboplatin on microRNA
regulated SMAD7. METHODS: We used Carboplatin to treat NSCLC cell lines. We
performed bioinformatics analyses on the binding of microRNA-21 (miR-21) to the
3'-UTR of SMAD7 mRNA, and verified the biological effects of this binding using
promoter luciferase reporter assay. The effects of Carboplatin or miR-21
modification on NSCLC cell invasion were evaluated in either a transwell cell
invasion assay, or a scratch wound healing assay. RESULTS: We found that
Carboplatin inhibited the NSCLC cell invasion, in either a transwell cell
invasion assay, or a scratch wound healing assay. Moreover, Carboplatin increased
the levels of SMAD7 protein, but not mRNA, in NSCLC cells, suggesting presence of
post-transcriptional control of SMAD7 by Carboplatin. Furthermore, expression of
miR-21 was found to be inhibited by Carboplatin, and bioinformatics analyses
showed that miR-21 targeted the 3'-UTR of SMAD7 mRNA to inhibit its translation,
which was confirmed by luciferase reporter assay. CONCLUSION: Carboplatin may
upregulate SMAD7 through suppression of miR-21 to inhibit TGFbeta receptor
signaling mediated NSCLC cell invasion.
PMID- 27185037
TI - Prediction of outcome by lymph node ratio in patients with parotid gland cancer.
AB - OBJECTIVE: Lymph node ratio (LNR) has been shown to be an independent predictor
of recurrence risk and survival in different entities of carcinoma. METHODS: In
this retrospective chart review, 128 patients with parotid gland cancer (PGC)
subsequently treated by primary surgery were included. About 64% (n = 82) of
these patients were additionally treated with adjuvant radiotherapy. Five-year
overall survival rates were determined by subgroups based on LNR value. RESULTS:
Lymph node ratio was found to be significantly associated with overall survival
rate (P < 0.001). Using univariate analyses, pathological tumour-node-metastasis
(TNM)-stage, UICC-stage grouping and extracapsular spread were found to be
significant predictors of overall survival (P < 0.001). However, with a
multivariate analyses, LNR remained the only independent predictor of overall
survival (P = 0.043). CONCLUSIONS: After surgery for PGC, evaluation of the neck
using LNR was found to reliably stratify the overall survival rate.
PMID- 27185039
TI - Moving towards a new vision: implementation of a public health policy
intervention.
AB - BACKGROUND: Public health systems in Canada have undergone significant policy
renewal over the last decade in response to threats to the public's health, such
as severe acute respiratory syndrome. There is limited research on how public
health policies have been implemented or what has influenced their
implementation. This paper explores policy implementation in two exemplar public
health programs -chronic disease prevention and sexually-transmitted infection
prevention - in Ontario, Canada. It examines public health service providers',
managers' and senior managements' perspectives on the process of implementation
of the Ontario Public Health Standards 2008 and factors influencing
implementation. METHODS: Public health staff from six health units representing
rural, remote, large and small urban settings were included. We conducted 21
focus groups and 18 interviews between 2010 (manager and staff focus groups) and
2011 (senior management interviews) involving 133 participants. Research
assistants coded transcripts and researchers reviewed these; the research team
discussed and resolved discrepancies. To facilitate a breadth of perspectives,
several team members helped interpret the findings. An integrated knowledge
translation approach was used, reflected by the inclusion of academics as well as
decision-makers on the team and as co-authors. RESULTS: Front line service
providers often were unaware of the new policies but managers and senior
management incorporated them in operational and program planning. Some
participants were involved in policy development or provided feedback prior to
their launch. Implementation was influenced by many factors that aligned with
Greenhalgh and colleagues' empirically-based Diffusion of Innovations in Service
Organizations Framework. Factors and related components that were most clearly
linked to the OPHS policy implementation were: attributes of the innovation
itself; adoption by individuals; diffusion and dissemination; the outer context -
interorganizational networks and collaboration; the inner setting -
implementation processes and routinization; and, linkage at the design and
implementation stage. CONCLUSIONS: Multiple factors influenced public health
policy implementation. Results provide empirical support for components of
Greenhalgh et al's framework and suggest two additional components - the role of
external organizational collaborations and partnerships as well as planning
processes in influencing implementation. These are important to consider by
government and public health organizations when promoting new or revised public
health policies as they evolve over time. A successful policy implementation
process in Ontario has helped to move public health towards the new vision.
PMID- 27185038
TI - Variable RBE in proton therapy: comparison of different model predictions and
their influence on clinical-like scenarios.
AB - BACKGROUND: In proton radiation therapy a constant relative biological
effectiveness (RBE) of 1.1 is usually assumed. However, biological experiments
have evidenced RBE dependencies on dose level, proton linear energy transfer
(LET) and tissue type. This work compares the predictions of three of the main
radio-biological models proposed in the literature by Carabe-Fernandez,
Wedenberg, Scholz and coworkers. METHODS: Using the chosen models, a spread-out
Bragg peak (SOBP) as well as two exemplary clinical cases (single field and two
fields) for cranial proton irradiation, all delivered with state-of-the-art
pencil-beam scanning, have been analyzed in terms of absorbed dose, dose-averaged
LET (LET D ), RBE-weighted dose (D RBE) and biological range shift distributions.
RESULTS: In the systematic comparison of RBE predictions by the three models we
could show different levels of agreement depending on (alpha/beta) x and LET
values. The SOBP study emphasizes the variation of LET D and RBE not only as a
function of depth but also of lateral distance from the central beam axis.
Application to clinical-like scenario shows consistent discrepancies from the
values obtained for a constant RBE of 1.1, when using a variable RBE scheme for
proton irradiation in tissues with low (alpha/beta) x , regardless of the model.
Biological range shifts of 0.6- 2.4 mm (for high (alpha/beta) x ) and 3.0 - 5.4
mm (for low (alpha/beta) x ) were found from the fall-off analysis of individual
profiles of RBE-weighted fraction dose along the beam penetration depth.
CONCLUSIONS: Although more experimental evidence is needed to validate the
accuracy of the investigated models and their input parameters, their consistent
trend suggests that their main RBE dependencies (dose, LET and (alpha/beta) x )
should be included in treatment planning systems. In particular, our results
suggest that simpler models based on the linear-quadratic formalism and LETD
might already be sufficient to reproduce important RBE dependencies for re
evaluation of plans optimized with the current RBE = 1.1 approximation. This
approach would be a first step forward to consider RBE variations in proton
therapy, thus enabling a more robust choice of biological dose delivery. The
latter could in turn impact clinical outcome, especially in terms of reduced
toxicities for tumors adjacent to organs at risk.
PMID- 27185040
TI - Ultralow-phase-noise millimetre-wave signal generator assisted with an electro
optics-modulator-based optical frequency comb.
AB - Low-noise millimetre-wave signals are valuable for digital sampling systems,
arbitrary waveform generation for ultra-wideband communications, and coherent
radar systems. However, the phase noise of widely used conventional signal
generators (SGs) will increase as the millimetre-wave frequency increases. Our
goal has been to improve commercially available SGs so that they provide a low
phase-noise millimetre-wave signal with assistance from an electro-optics
modulator-based optical frequency comb (EOM-OFC). Here, we show that the phase
noise can be greatly reduced by bridging the vast frequency difference between
the gigahertz and terahertz ranges with an EOM-OFC. The EOM-OFC serves as a
liaison that magnifies the phase noise of the SG. With the EOM-OFC used as a
phase noise "booster" for a millimetre-wave signal, the phase noise of widely
used SGs can be reduced at an arbitrary frequency f (6 ? f ? 72 GHz).
PMID- 27185041
TI - Transitioning a home telehealth project into a sustainable, large-scale service:
a qualitative study.
AB - BACKGROUND: This study was a component of the Flinders Telehealth in the Home
project, which tested adding home telehealth to existing rehabilitation,
palliative care and geriatric outreach services. Due to the known difficulty of
transitioning telehealth projects services, a qualitative study was conducted to
produce a preferred implementation approach for sustainable and large-scale
operations, and a process model that offers practical advice for achieving this
goal. METHODS: Initially, semi-structured interviews were conducted with senior
clinicians, health service managers and policy makers, and a thematic analysis of
the interview transcripts was undertaken to identify the range of options for
ongoing operations, plus the factors affecting sustainability. Subsequently, the
interviewees and other decision makers attended a deliberative forum in which
participants were asked to select a preferred model for future implementation.
Finally, all data from the study was synthesised by the researchers to produce a
process model. RESULTS: 19 interviews with senior clinicians, managers, and
service development staff were conducted, finding strong support for home
telehealth but a wide diversity of views on governance, models of clinical care,
technical infrastructure operations, and data management. The deliberative forum
worked through these options and recommended a collaborative consortium approach
for large-scale implementation. The process model proposes that the key factor
for large-scale implementation is leadership support, which is enabled by 1)
showing solutions to the problems of service demand, budgetary pressure and the
relationship between hospital and primary care, 2) demonstrating how home
telehealth aligns with health service policies, and 3) achieving clinician
acceptance through providing evidence of benefit and developing new models of
clinical care. Two key actions to enable change were marketing telehealth to
patients, clinicians and policy-makers, and building a community of practice.
CONCLUSIONS: The implementation of home telehealth services is still in an early
stage. Change agents and a community of practice can contribute by marketing
telehealth, demonstrating policy alignment and providing potential solutions for
difficult health services problems. This should assist health leaders to move
from trials to large-scale services.
PMID- 27185042
TI - Association between telomere length and survival in cancer patients: a meta
analysis and review of literature.
AB - The relationship between telomere length and cancer survival has been widely
studied. To gain a deeper insight, we reviewed the published studies. A total of
29 studies evaluated telomere length in the peripheral blood; 22 studies
evaluated telomere length in the tumor tissue. First, in the peripheral blood
studies, for solid tumor patients with shortened telomere length, the combined
hazard ratios (HRs) for mortality and tumor progression were 1.21 (95%CI, 1.10
1.32) and 1.71 (95%CI, 1.37-2.13), respectively. Meanwhile, in hematology
malignancy, the combined HRs for mortality and tumor progression were 2.83
(95%CI, 2.14-3.74) and 2.65 (95%CI, 2.18-3.22), respectively. Second, in the
studies that use tumor tissue, for patients with shortened telomeres, the
combined HRs for mortality and tumor progression were 1.26 (95%CI, 0.95-1.66) and
1.65 (95%CI, 1.26-2.15), respectively. In the studies that calculate the telomere
length ratios of tumor tissue to adjacent normal mucosa, for patients with lower
telomere length ratios, the combined HRs were 0.66 (95%CI, 0.53-0.83) and 0.74
(95%CI, 0.41-1.32) for mortality and tumor progression, respectively. In
conclusion, shortened telomere in peripheral blood and tumor tissue might
indicate poor survival for cancer patients. However, by calculating the telomere
length ratios of tumor tissue to adjacent normal mucosa, the lower ratio might
indicate better survival.
PMID- 27185043
TI - The effect of age on outcome after intra-arterial treatment in acute ischemic
stroke: a MR CLEAN pretrial study.
AB - BACKGROUND: In recent randomized controlled trials (RCTs) intra-arterial
treatment (IAT) has been proven effective and safe for patients with acute
ischemic stroke (AIS). So far, there seemed to be no interaction between older
age (>80) and main treatment effect. We studied the association of older age with
outcome and adverse events after IAT in a cohort of intra arterially treated
patients. METHODS AND FINDINGS: Data from all AIS patients with proven proximal
anterior circulation cerebral artery occlusion who were intra arterially treated
between 2002 until the start of the MR CLEAN trial were studied retrospectively.
Duration of the procedure, recanalization (Thrombolysis In Cerebral Infarction
score (TICI)), early neurological recovery (i.e. decrease on NIHSS of >= 8
points) after one week or at discharge, good functional outcome at discharge by
modified Rankin Scale (mRS <= 2) and the occurrence of neurological and non
neurological adverse events were assessed and the association with age was
investigated. In total 315 patients met our inclusion criteria. Median age was 63
years (range 22-93) and 17 patients (5.4%) were over 80. Age was inversely
associated with good functional outcome (adjusted Odds Ratio (aOR) 0.80, 95% CI:
0.66-0.98) for every 10 years increase of age. Age was not associated with longer
duration of the procedure, lower recanalization rate or less early neurological
recovery. The risk of all adverse events (aOR 1.27; 95% CI: 1.08-1.50) and non
neurological adverse events (aOR 1.34; 95% CI: 1.11-1.61) increased, but that of
peri-procedural adverse events (aOR 0.79; 95% CI: 0.66-0.94) decreased with age.
CONCLUSION: Higher age is inversely associated with good functional outcome after
IAT in patients with AIS. However, treatment related adverse events are not
related to age. These findings may help decision making when considering
treatment of older patients with AIS.
PMID- 27185044
TI - The influence of the modulus-density relationship and the material mapping method
on the simulated mechanical response of the proximal femur in side-ways fall
loading configuration.
AB - Contributing to slow advance of finite element (FE) simulations for hip fracture
risk prediction, into clinical practice, could be a lack of consensus in the
biomechanics community on how to map properties to the models. Thus, the aim of
the present study was first, to systematically quantify the influence of the
modulus-density relationship (E-rho) and the material mapping method (MMM) on the
predicted mechanical response of the proximal femur in a side-ways fall (SWF)
loading configuration and second, to perform a model-to-model comparison of the
predicted mechanical response within the femoral neck for all the specimens
tested in the present study, using three different modelling techniques that have
yielded good validation outcome in terms of surface strain prediction and whole
bone response according to the literature. We found the outcome to be highly
dependent on both the E-rho relationship and the MMM. In addition, we found that
the three modelling techniques that have resulted in good validation outcome in
the literature yielded different principal strain prediction both on the surface
as well as internally in the femoral neck region of the specimens modelled in the
present study. We conclude that there exists a need to carry out a more
comprehensive validation study for the SWF loading mode to identify which
combination of MMMs and E-rho relationship leads to the best match for whole bone
and local mechanical response. The MMMs tested in the present study have been
made publicly available at https://simtk.org/home/mitk-gem.
PMID- 27185045
TI - Pump function curve shape for a model lymphatic vessel.
AB - The transport capacity of a contractile segment of lymphatic vessel is defined by
its pump function curve relating mean flow-rate and adverse pressure difference.
Numerous system characteristics affect curve shape and the magnitude of the
generated flow-rates and pressures. Some cannot be varied experimentally, but
their separate and interacting effects can be systematically revealed
numerically. This paper explores variations in the rate of change of active
tension and the form of the relation between active tension and muscle length,
factors not known from experiment to functional precision. Whether the pump
function curve bends toward or away from the origin depends partly on the
curvature of the passive pressure-diameter relation near zero transmural
pressure, but rather more on the form of the relation between active tension and
muscle length. A pump function curve bending away from the origin defines a well
performing pump by maximum steady output power. This behaviour is favoured by a
length/active-tension relationship which sustains tension at smaller lengths.
Such a relationship also favours high peak mechanical efficiency, defined as
output power divided by the input power obtained from the lymphangion diameter
changes and active-tension time-course. The results highlight the need to pin
down experimentally the form of the length/active-tension relationship.
PMID- 27185046
TI - [Intraoperative echocardiography guidelines in Brazil - Is it time for a task
force?]
AB - BACKGROUND AND OBJECTIVES: The Brazilian Society of Anesthesiology (SBA) has been
promoting continuing education in intraoperative echocardiography in Brazil since
2011, with the implementation of an Intraoperative Echocardiography Course
(ETI/SBA). Although echocardiography is a reality of anesthesiology practice in
Brazil, we still do not have an established policy on the area of expertise, job
training, and recognition by the other societies, such as the Brazilian Society
of Cardiology (SBC). The aim of this paper is to contextualize the anesthesiology
in the intraoperative echocardiography in Brazil and promote a discussion on the
formation of a Task Force along with the SBC to begin drawing up the Brazilian
Guidelines on Intraoperative Echocardiography. CASE REPORT: The first reports on
the involvement of anesthesiology in Brazil with intraoperative echocardiography
are from the 80s and 90s. However, this technique implementation in routine
practice in the Brazilian anesthesiology occurred in 2011 with the formation of
the ETI/SBA Course. Since then, the SBA has been promoting a continuing education
of its members and disseminating the ETI/SBA Course throughout Brazil. More than
200 associates have taken this course, and the vast majority works with cardiac
surgery. CONCLUSION: Intraoperative echocardiography is a reality in the practice
of the Brazilian anesthesiology, and the ETI/SBA Course has been promoting its
continuing education, however, we still do not have a grounded guideline. As
occurred in major worldwide centers, we have to promote a Task Force along with
the SBC in order to begin the drawing up of the Brazilian Guidelines on
Intraoperative Echocardiography.
PMID- 27185047
TI - Interactions of the "piano-stool" [ruthenium(II)(eta(6) -arene)(quinolone)Cl](+)
complexes with water; DFT computational study.
AB - Full optimizations of stationary points along the reaction coordinate for the
hydration of several quinolone Ru(II) half-sandwich complexes were performed in
water environment using the B3PW91/6-31+G(d)/PCM/UAKS method. The role of diffuse
functions (especially on oxygen) was found crucial for correct geometries along
the reaction coordinate. Single-point (SP) calculations were performed at the
B3LYP/6-311++G(2df,2pd)/DPCM/saled-UAKS level. In the first part, two possible
reaction mechanisms-associative and dissociative were compared. It was found that
the dissociative mechanism of the hydration process is kinetically slightly
preferred. Another important conclusion concerns the reaction channels. It was
found that substitution of chloride ligand (abbreviated in the text as
dechlorination reaction) represents energetically and kinetically the most
feasible pathway. In the second part the same hydration reaction was explored for
reactivity comparison of the Ru(II)-complexes with several derivatives of
nalidixic acid: cinoxacin, ofloxacin, and (thio)nalidixic acid. The hydration
process is about four orders of magnitude faster in a basic solution compared to
neutral/acidic environment with cinoxacin and nalidixic acid as the most reactive
complexes in the former and latter environments, respectively. The explored
hydration reaction is in all cases endergonic; nevertheless the endergonicity is
substantially lower (by ~6 kcal/mol) in basic environment. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27185048
TI - Pilot evaluation of ivacaftor for chronic bronchitis.
PMID- 27185049
TI - Detection of hepatitis C virus (HCV) core-specific antibody suggests occult HCV
infection among blood donors.
AB - BACKGROUND: Blood transfusion safety is based on reliable donor screening for
transmissible infections such as the hepatitis C virus (HCV) infection. STUDY
DESIGN AND METHODS: A novel HCV core-specific antibody was assayed on random
single donations from 2007 first-time blood donors who tested negative for anti
HCV and HCV RNA on routine screening. Sample collection broke the code between
donations and donors for ethical reasons. RESULTS: Forty-two donations (2.1%)
displayed reactivity in the novel test. The specificity of the reactivity was
evaluated by a peptide inhibition assay, and testing against additional
nonoverlapping HCV core peptide epitopes and other HCV antigens was performed on
these samples. Six donations (14.3%; 0.30% from the total) were considered to
contain anti-HCV after such supplemental testing. HCV RNA detection was also
performed in peripheral blood mononuclear cells (PBMNCs) and serum or plasma
samples from reactive donors after virus concentration by ultracentrifugation.
HCV RNA tested negative in all PBMNCs samples, and a very low amount of viral
genome was detected in serum or plasma concentrates from three anti-HCV core
reactive donors (7.1%) but not among concentrates from 100 randomly selected
nonreactive donors. Sequencing of these polymerase chain reaction products
revealed differences between the isolates that excluded partially sample
contamination from a common source. CONCLUSION: These findings argue in favor of
an ongoing occult HCV infection among these blood donors and account for some
rather low, but perhaps not negligible, infection risk for such donations. Future
studies involving larger samples of donations from traceable donors would
enlighten the significance of these findings for the viral safety of the blood
supply.
PMID- 27185051
TI - Synthesis of Tunable Band Gap Semiconductor Nickel Sulphide Nanoparticles: Rapid
and Round the Clock Degradation of Organic Dyes.
AB - Controlled shape and size with tuneable band gap (1.92-2.41 eV), nickel sulphide
NPs was achieved in presence of thiourea or thioacetamide as sulphur sources with
the variations of temperature and capping agents. Synthesized NPs were fully
characterized by powder XRD, IR, UV-vis, DRS, FE-SEM, TEM, EDX, XPS, TGA and BET.
Capping agent, temperature and sulphur sources have significant role in
controlling the band gaps, morphology and surface area of NPs. The catalytic
activities of NPs were tested for round the clock (light and dark) decomposition
of crystal violet (CV), rhodamine B (RhB), methylene blue (MB), nile blue (NB)
and eriochrome black T (EBT). Agitation speed, temperature, pH and ionic strength
have significant role on its catalytic activities. The catalyst was found to
generate reactive oxygen species (ROS) both in presence and absence of light
which is responsible for the decomposition of dyes into small fractions,
identified with ESI-mass spectra.
PMID- 27185050
TI - Epitope mapping by a Wnt-blocking antibody: evidence of the Wnt binding domain in
heparan sulfate.
AB - Heparan sulfate (HS) is a polysaccharide known to modulate many important
biological processes, including Wnt signaling. However, the biochemical
interaction between HS and Wnt molecules is not well characterized largely due to
the lack of suitable methods. To determine the Wnt binding domain in HS, we used
a Wnt signaling-inhibitory antibody (HS20) and a panel of synthetic HS
oligosaccharides with distinct lengths and sulfation modifications. We found that
the binding of HS20 to heparan sulfate required sulfation at both the C2 position
(2-O-sulfation) and C6 position (6-O-sulfation). The oligosaccharides with the
greatest competitive effect for HS20 binding were between six and eight
saccharide residues in length. Additionally, a four residue-long oligosaccharide
could also be recognized by HS20 if an additional 3-O-sulfation modification was
present. Furthermore, similar oligosaccharides with 2-O, 6-O and 3-O-sulfations
showed inhibition for Wnt activation. These results have revealed that HS20 and
Wnt recognize a HS structure containing IdoA2S and GlcNS6S, and that the 3-O
sulfation in GlcNS6S3S significantly enhances the binding of both HS20 and Wnt.
This study provides the evidence for identifying the Wnt binding domain in HS and
suggests a therapeutic approach to target the interaction of Wnt and HS in cancer
and other diseases.
PMID- 27185053
TI - An examination of racial differences in 5-year survival of cervical cancer among
African American and white American women in the southeastern US from 1985 to
2010.
AB - Disparities in Cervical Cancer (CC) mortality outcomes between African American
(AA) and White women have been studied for decades. However, conclusions about
the effect of race on CC survival differ across studies. This study assessed
differences in CC survival between AA and White women diagnosed between 1985 and
2010 and treated at two major hospitals in the southeastern US. The study sample
included 925 AA and 1192 White women diagnosed with cervical adenocarcinoma,
adenosquamous cell carcinoma, or squamous cell carcinoma. Propensity score
adjustment and matching were employed to compare 5-year survival between the two
racial groups. Crude comparisons suggested relevant racial differences in
survival. However, the racial differences became of small magnitude after
propensity-score adjustment and in matched analyses. Nonlinear models identified
age at diagnosis, cancer stage, mode of treatment, and histological subtype as
the most salient characteristics predicting 5-year survival of CC, yet these
characteristics were also associated with race. Crude racial differences in
survival might be partly explained by underlying differences in the
characteristics of racial groups, such as age at diagnosis, histological subtype,
cancer stage, and the mode of treatment. The study results highlight the need to
improve access to early screening and treatment opportunities for AA women to
improve posttreatment survival from CC.
PMID- 27185054
TI - Solid phase extraction, separation and preconcentration of rare elements
thorium(IV), uranium(VI), zirconium(IV), cerium(IV) and chromium(III) amid
several other foreign ions with eriochrome black T anchored to 3-D networking
silica gel.
AB - The present work reports the systematic studies on extraction, separation and
preconcentration of Th(IV), U(VI), Zr(IV), Ce(IV) and Cr(III) amid several other
foreign ions using EBT anchored {SiO2}n3-D microarray. The effect of various
sorption parameters, such as pH, concentration, temperature, sample volume, flow
rate and co-existing foreign ions were investigated. Quantitative sorption was
ensured at solution pH: 6.0-6.5 for Th(IV), Ce(IV), Cr(III) and pH: 2.75-3.0 for
Zr(IV), U(VI) couple. Analysis on extracted species and extraction sites reveals
that [Th4(MU(2)-OH)8(H2O)4](8+), [Ce6(MU(2)-OH)12(H2O)5](12+), [Cr3(MU(2)
OH)4(H2O)](5+), [(UO2)3(MU(2)-OH)5(H2O)3](+) and [Zr4(MU(2)-OH)8(H2O)0.5](8+) for
the respective metal ions gets extracted at HOMO of the extractor. HOMO-{metal
ion species} was found to be 1:1 complexation. Sorption was endothermic, entropy
gaining, instantaneous and spontaneous in nature. A density functional theory
(DFT) calculation has been performed to analyze the 3-D structure and electronic
distribution of the synthesized extractor.
PMID- 27185055
TI - Intrinsic advantages of packed capillaries over narrow-bore columns in very high
pressure gradient liquid chromatography.
AB - 250MUm*100mm fused silica glass capillaries were packed with 1.8MUm high-strength
silica (HSS) fully porous particles. They were prepared without bulky stainless
steel endfittings and metal frits, which both generate significant sample
dispersion. The isocratic efficiencies and gradient peak capacities of these
prototype capillary columns were measured for small molecules (n-alkanophenones)
using a home-made ultra-low dispersive micro-HPLC instrument. Their resolution
power was compared to that of standard 2.1mm*100mm very high-pressure liquid
chromatography (vHPLC) narrow-bore columns packed with the same particles. The
results show that, for the same column efficiency (25000 plates) and gradient
steepness (0.04min(-1)), the peak capacity of the 250MUm i.d. capillary columns
is systematically 15-20% higher than that of the 2.1mm i.d. narrow-bore columns.
A validated model of gradient chromatography enabled one to predict accurately
the observed peak capacities of the capillary columns for non-linear solvation
strength retention behavior and under isothermal conditions. Thermodynamics
applied to the eluent quantified the temperature difference for the thermal
gradients in both capillary and narrow-bore columns. Experimental data revealed
that the gradient peak capacity is more affected by viscous heating than the
column efficiency. Unlike across 2.1mm i.d. columns, the changes in eluent
composition across the 250MUm i.d. columns during the gradient is rapidly relaxed
by transverse dispersion. The combination of (1) the absence of viscous heating
and (2) the high uniformity of the eluent composition across the diameter of
capillary columns explains the intrinsic advantage of capillary over narrow-bore
columns in gradient vHPLC.
PMID- 27185052
TI - Biomonitoring of concurrent exposure to ochratoxin A and citrinin in pregnant
women in Bangladesh.
AB - Ochratoxin A (OTA) and citrinin (CIT) are both nephrotoxic and teratogenic in
animals, and the occurrence of these mycotoxins in food may cause adverse health
effects in humans. Data on the combined exposure to these food contaminants are
still scarce, especially in pregnancy. Therefore, a biomonitoring study was
conducted to determine the presence of urinary biomarkers of exposure to OTA and
CIT in pregnant women in Bangladesh. In total, 54 spot urine samples were
collected from residents of a rural and a suburban area of the Savar region in
Dhaka district for analysis of OTA and CIT urinary biomarkers by previously
validated HPLC-FD and LC-MS/MS methods. Most urines were positive for OTA and CIT
biomarkers, with OTA being detected in 93 % (range 0.01-0.84 ng/mL) and CIT
biomarkers in 87 % (range 0.02-6.93 ng/mL) of all samples. The mean levels of OTA
were different between the rural (0.06 +/- 0.07 ng/mL) and suburban (0.15 +/-
0.19 ng/mL) study participants. CIT and its metabolite dihydrocitrinone (HO-CIT)
were more than twofold higher in the rural (0.42 +/- 1.20 and 0.55 +/- 1.04
ng/mL, respectively) than the suburban (CIT 0.15 +/- 0.13 ng/mL; HO-CIT 0.23 +/-
0.18 ng/mL) participants. When a provisional daily intake for CIT was calculated,
it exceeded the preliminary tolerable value set by European Food Safety Authority
(0.2 MUg/kg/day) in 9 % of the rural participants but in none of the urban
participants. Urinary biomarker levels for OTA and CIT did not show significant
association with intake of certain types of food consumed by the pregnant women,
although total CIT biomarker levels were considerably higher among participants
who consumed more rice in a day. Overall, this study indicates a frequent co
exposure to OTA and CIT among pregnant women in Bangladesh, at levels similar to
those determined recently in the general population of this country.
PMID- 27185056
TI - Liquid chromatography and supercritical fluid chromatography as alternative
techniques to gas chromatography for the rapid screening of anabolic agents in
urine.
AB - This work describes the development of two methods involving supported liquid
extraction (SLE) sample treatment followed by ultra-high performance liquid
chromatography or ultra-high performance supercritical fluid chromatography
coupled to tandem mass spectrometry (UHPLC-MS/MS and UHPSFC-MS/MS) for the
screening of 43 anabolic agents in human urine. After evaluating different
stationary phases, a polar-embedded C18 and a diol columns were selected for
UHPLC-MS/MS and UHPSFC-MS/MS, respectively. Sample preparation, mobile phases and
MS conditions were also finely tuned to achieve highest selectivity,
chromatographic resolution and sensitivity. Then, the performance of these two
methods was compared to the reference routine procedure for steroid analyses in
anti-doping laboratories, which combines liquid-liquid extraction (LLE) followed
by gas chromatography coupled to tandem mass spectrometry (GC-MS/MS). For this
purpose, urine samples spiked with the compounds of interest at five different
concentrations were analyzed using the three analytical platforms. The retention
and selectivity of the three techniques were very different, ensuring a good
complementarity. However, the two new methods displayed numerous advantages. The
overall procedure was much faster thanks to high throughput SLE sample treatment
using 48-well plates and faster chromatographic analysis. Moreover, the highest
sensitivity was attained using UHPLC-MS/MS with 98% of the doping agents detected
at the lowest concentration level (0.1ng/mL), against 76% for UHPSFC-MS/MS and
only 14% for GC-MS/MS. Finally, the weakest matrix effects were obtained with
UHPSFC-MS/MS with 76% of the analytes displaying relative matrix effect between
20 and 20%, while the GC-MS/MS reference method displayed very strong matrix
effects (over 100%) for all of the anabolic agents.
PMID- 27185058
TI - The Road to MOF-Related Functional Materials and Beyond: Desire, Design,
Decoration, and Development.
AB - Metal-organic frameworks (MOFs), which are known as a class of porous
coordination polymers, have proven to be of great significance to manifold
applications, owing to their fascinating topology, ultrahigh porosity, enormous
internal surface area, and the combination of being as rigid as inorganic
materials and as flexible as organic materials . In this review, we give a
concise history of the development of MOFs as functional materials prior to our
entry into this area in 2006, then a summary of our road to participate in and
extend the outline of the research in MOFs chemistry, as well as the challenge in
further designing applicable functional materials. We describe not only the road
of evolution from the past, present, and future of this chemistry, but also the
road to finalize a functional material from the desire to the design, synthesis,
and postmodification of a MOF. Throughout the review, we particularly emphasize
the improvements in the application of MOFs as heterogeneous catalysts, such as
employing MOFs as one component for the construction of composites, and their
extended scope in tough catalytic reactions. Examples of applications in gas
storage and separation, small molecular sensing, and our perspectives for future
applications triggered by MOFs, are also introduced.
PMID- 27185057
TI - How do Physicians Decide to Refer Their Patients for Psychiatric Genetic
Counseling? A Qualitative Study of Physicians' Practice.
AB - Psychiatric genetic counseling (PGC) is an emerging specialty discipline within
the genetic counseling profession. A specialist PGC service was founded in 2012
in Vancouver, Canada, and though patient benefits have been demonstrated, many
physicians do not regularly refer patients to the service despite awareness of
its availability. We conducted a qualitative study involving semi-structured
telephone interviews with Vancouver-based physicians who were aware of the PGC
service to explore this phenomenon. Interviews were audio-recorded, transcribed
verbatim, coded, and analysed for emergent themes. Consistent with a grounded
theory approach, constant comparison was employed throughout data collection and
analysis. Analyses of interviews conducted with 12 physicians revealed that
referral practices were informed by perceptions about the purpose of PGC and
interpretation of patient cues. Physicians perceived PGC as an information
focused intervention, and considered referral when patients explicitly expressed
desire for information about recurrence risk or etiology that they felt unable to
adequately address themselves. Even when physicians identified psychotherapeutic
benefits of PGC, patient needs of this nature were not perceived as cues
prompting referral to PGC. These data suggest that further work is necessary to
position PGC in physicians' minds as a service that could potentially benefit
most individuals with psychiatric disorders and their families, and that it
encompasses more than information provision. It is important to increase
physicians' awareness of the complementary role that genetic counselors can play
to that of the physician in providing psychotherapeutically oriented counselling
about illness etiology.
PMID- 27185060
TI - A critical review of complementary and alternative medicine use by women with
cyclic perimenstrual pain and discomfort: a focus upon prevalence, patterns and
applications of use and users' motivations, information seeking and self
perceived efficacy.
AB - INTRODUCTION: Complementary and alternative medicine (CAM) is used for treating
cyclic perimenstrual pain and discomfort. This critical review examines women's
reported CAM use, its perceived effectiveness and information relating to women's
attitudes, behaviors, motivations and patterns of CAM use in its treatment.
MATERIAL AND METHODS: An extensive search of the main medical databases EBSCO,
CINAHL, Medline, AMED and SCOPUS, as well as additional hand searches, was
conducted. Papers included were confined to those that had been peer-reviewed,
written in English and that contained original research into CAM use for cyclic
perimenstrual pain and discomfort among adult women. RESULTS: CAM, particularly
herbal medicine, nutritional supplements and massage, is widely used for a range
of cyclic perimenstrual pain and discomfort symptoms. A large number of CAM
modalities are adopted, often simultaneously and with little professional
oversight. Women's assessment of efficacy of different CAM modalities is
positive, though the majority of users are self-prescribing apparently without
professional guidance. Although the uptake of CAM for cyclic perimenstrual pain
and discomfort is widespread, few empirical data are available regarding which
women are using CAM, their motivations for doing so and, importantly, the sources
through which women receive information about CAM. CONCLUSIONS: This review
highlights the extensive use of (often self-prescribed) CAM in a number of
countries to alleviate the widespread symptoms of cyclic perimenstrual pain and
discomfort. An understanding of all health care use by women with perimenstrual
pain and discomfort is vital to help ensure safe, effective and coordinated
health care that can lead to optimal patient outcomes.
PMID- 27185059
TI - LOGIQA: a database dedicated to long-range genome interactions quality
assessment.
AB - BACKGROUND: Proximity ligation-mediated methods are essential to study the impact
of three-dimensional chromatin organization on gene programming. Albeit
significant progress has been made in the development of computational tools that
assess long-range chromatin interactions, next to nothing is known about the
quality of the generated datasets. METHOD: We have developed LOGIQA ( www.ngs
qc.org/logiqa ), a database hosting quality scores for long-range genome
interaction assays, accessible through a user-friendly web-based environment.
RESULTS: Currently, LOGIQA harbors QC scores for >900 datasets, which provides a
global view of their relative quality and reveals the impact of genome size,
coverage and other technical aspects. LOGIQA provides a user-friendly dataset
query panel and a genome viewer to assess local genome-interaction maps at
different resolution and quality-assessment conditions. CONCLUSIONS: LOGIQA is
the first database hosting quality scores dedicated to long-range chromatin
interaction assays, which in addition provides a platform for visualizing genome
interactions made available by the scientific community.
PMID- 27185061
TI - Cutaneous cylindroma: it's all about MYB.
AB - Cutaneous cylindroma is a rare benign tumour that occasionally turns into
malignant cylindrocarcinoma. The cancer can be sporadic or emerge in the context
of Brooke-Spiegler syndrome (BSS), an inheritable condition characterized by
mutation of the gene CYLD, encoding a tumour suppressor protein that controls the
activity of the transcription factor NF-kB. Sporadic cylindromas present
histological features shared with adenoid cystic carcinoma (ACC), a head and neck
cancer originating from salivary or other exocrine glands. Like ACCs, sporadic
cylindromas express, although at lower frequency, the aberrant fusion transcript
MYB-NFIB. In a paper recently published in the Journal of Pathology, the research
teams led by Neil Rajan and Goran Stenman demonstrate that CYLD-defective
cyclindromas in BSS patients are negative for the MYB-NFIB fusion. Only the wild
type MYB oncoprotein is activated in the majority of these tumours. RNA
interference studies in cells derived from BSS patients indicate that ablating
MYB expression results in a striking reduction of cylindroma cell proliferation,
suggesting that MYB plays a pivotal role in the biology of this cancer. The take
home message of the study is that activation of MYB, in its wild-type form or
fusion derivatives, is a common feature of spontaneous and hereditary
cylindromas, constituting a potentially actionable therapeutic target. Copyright
(c) 2016 Pathological Society of Great Britain and Ireland. Published by John
Wiley & Sons, Ltd.
PMID- 27185062
TI - ADVICE for a healthier life: Adult Vaccination Campaign in Europe.
AB - Immunization is one of the most effective public health measures to prevent
disease. Despite relatively good vaccination rates in childhood in many parts of
the world, vaccines to prevent diseases are underused in the adult population and
adult vaccination rates are still far below the target. The European Federation
of Internal Medicine (EFIM), declared that 'internal medicine must focus on
better care for individuals, better health care for populations and lower costs'.
Adult vaccination is a good example of a public health initiative aimed at
reducing morbidity and mortality, but awareness of the need for adult vaccination
and uptake of the programs across Europe is variable. The Adult Vaccination
Campaign in Europe (ADVICE) was developed with an aim to raise awareness for
adult vaccination and to understand the dynamics of the vaccination practices and
the possible barriers against achieving targeted vaccination rates in Europe. In
order to reach vaccination targets, we need evidence based, up to date
guidelines; recommendations at national and international levels; surveillance
for vaccination rates; and opportunities to provide vaccines more readily.
Leadership at a European level and a firm research and action agenda are crucial.
The European Federation of Internal Medicine can take the lead as it declared its
interest on 'better care for individuals, better health care for populations'.
Hence, we consider ADVICE a very timely and very valuable initiative to draw a
roadmap to improve adult vaccination rates in Europe.
PMID- 27185063
TI - Effects of zinc oxide nanoparticles on human coronary artery endothelial cells.
AB - Inhalation of zinc oxide (ZnO) metal fumes is known to cause metal fume fever and
to have systemic effects; however, the effects of ZnO nanoparticles (ZnONPs) on
the cardiovascular system remain unclear. The objective of this study was to
investigate the cardiovascular toxicity of ZnONPs. Human coronary artery
endothelial cells (HCAECs) were exposed to ZnONPs of different sizes to
investigate the cell viability, 8-hydroxy-2'-deoxyguanosine (8-OHdG), interleukin
(IL)-6, nitric oxide (NO), and regulation of cardiovascular disease-related
genes. Exposure of HCAECs to ZnONPs resulted in decreased cell viability and
increased levels of 8-OHdG, IL-6, and NO. Downregulation of cardiovascular
associated genes was observed in response to ZnONPs in HCAECs determined by qPCR,
suggesting that the calcium signaling pathway, neuroactive ligand-receptor
interaction, hypertrophic cardiomyopathy, dilated cardiomyopathy, and renin
angiotensin system are important affected pathways in response to ZnONPs.
Furthermore, we observed a significant response of AGTR1 to ZnONP exposure in
HCAECs. Our results suggest that ZnONPs cause toxicity to HCAECs, which could be
associated with cardiovascular dysfunction.
PMID- 27185064
TI - Kruppel homolog 1 and E93 mediate Juvenile hormone regulation of metamorphosis in
the common bed bug, Cimex lectularius.
AB - The common bed bug is an obligate hematophagous parasite of humans. We studied
the regulation of molting and metamorphosis in bed bugs with a goal to identify
key players involved. qRT-PCR studies on the expression of genes known to be
involved in molting and metamorphosis showed high levels of Kruppel homolog 1 [Kr
h1, a transcription factor that plays key roles in juvenile hormone (JH) action]
mRNA in the penultimate nymphal stage (N4). However, low levels of Kr-h1 mRNA
were detected in the fifth and last nymphal stage (N5). Knockdown of Kr-h1 in N4
resulted in a precocious development of adult structures. Kr-h1 maintains the
immature stage by suppressing E93 (early ecdysone response gene) in N4. E93
expression increases during the N5 in the absence of Kr-h1 and promotes the
development of adult structures. Knockdown of E93 in N5 results in the formation
of supernumerary nymphs. The role of JH in the suppression of adult structures
through interaction with Kr-h1 and E93 was also studied by the topical
application of JH analog, methoprene, to N5. Methoprene induced Kr-h1 and
suppressed E93 and induced formation of the supernumerary nymph. These data show
interactions between Kr-h1, E93 and JH in the regulation of metamorphosis in the
bed bugs.
PMID- 27185065
TI - Cardiac assessment prior to non-cardiac surgery.
AB - BACKGROUND: Increasingly, patients undergoing non-cardiac surgery are older and
have more comorbidities yet preoperative cardiac assessment appears haphazard and
unsystematic. We hypothesised that patients at high cardiac risk were not
receiving adequate cardiac assessment, and patients with low-cardiac risk were
being over-investigated. AIMS: To compare in a representative sample of patients
undergoing non-cardiac surgery the use of cardiac investigations in patients at
high and low preoperative cardiac risk. METHODS: We examined cardiac assessment
patterns prior to elective non-cardiac surgery in a representative sample of
patients. Cardiac risk was calculated using the Revised Cardiac Risk Index.
RESULTS: Of 671 patients, 589 (88%) were low risk and 82 (12%) were high risk. We
found that nearly 14% of low-risk and 45% of high-risk patients had
investigations for coronary ischaemia prior to surgery. Vascular surgery had the
highest rate of investigation (38%) and thoracic patients the lowest rate (14%).
Whilst 78% of high-risk patients had coronary disease, only 46% were on beta
blockers, 49% on aspirin and 77% on statins. For current smokers (17.3% of
cohort, n = 98), 60% were advised to quit pre-op. CONCLUSIONS: Practice patterns
varied across surgical sub-types with low-risk patients tending to be over
investigated and high-risk patients under-investigated. A more systemised
approach to this large group of patients could improve clinical outcomes, and
more judicious use of investigations could lower healthcare costs and increase
efficiency in managing this cohort.
PMID- 27185066
TI - Deep Photoacoustic/Luminescence/Magnetic Resonance Multimodal Imaging in Living
Subjects Using High-Efficiency Upconversion Nanocomposites.
AB - A gadolinium-doped multi-shell upconversion nanoparticle under 800 nm excitation
is synthesized with a 10-fold fluorescence-intensity enhancement over that under
980 nm. The nanoformulations exhibit excellent
photoacoustic/luminescence/magnetic resonance tri-modal imaging capabilities,
enabling visualization of tumor morphology and microvessel distribution at a new
imaging depth.
PMID- 27185067
TI - Nonlinear response surface in the study of interaction analysis of three
combination drugs.
AB - Few articles have been written on analyzing three-way interactions between drugs.
It may seem to be quite straightforward to extend a statistical method from two
drugs to three-drugs. However, there may exist more complex nonlinear response
surface of the interaction index (II) with more complex local synergy and/or
local antagonism interspersed in different regions of drug combinations in a
three-drug study, compared in a two-drug study. In addition, it is not possible
to obtain a four-dimensional (4D) response surface plot for a three-drug study.
We propose an analysis procedure to construct the dose combination regions of
interest (say, the synergistic areas with II<=0.9). First, use the model robust
regression method (MRR), a semiparametric method, to fit the entire response
surface of the II, which allows to fit a complex response surface with local
synergy/antagonism. Second, we run a modified genetic algorithm (MGA), a
stochastic optimization method, many times with different random seeds, to allow
to collect as many feasible points as possible that satisfy the estimated values
of II<=0.9. Last, all these feasible points are used to construct the approximate
dose regions of interest in a 3D. A case study with three anti-cancer drugs in an
in vitro experiment is employed to illustrate how to find the dose regions of
interest.
PMID- 27185068
TI - Effect of a polysaccharide from Poria cocos on humoral response in mice immunized
by H1N1 influenza and HBsAg vaccines.
AB - Poria cocos has a long history of medicinal use in China. Polysaccharides and
their derivatives in the medicine exhibit many beneficial biological activities
including anticancer, anti-inflammatory, antioxidant and antiviral activities. In
this study, a new polysaccharide (PCP-II) was isolated from sclerotium of Poria
cocos. Its physico-chemical characters were identified and its adjuvant activity
was investigated in mice co-immunized with H1N1 influenza vaccine and hepatitis B
surface antigen (HBsAg). The results revealed that PCP-II has a molecular weight
of 29.0kDa. It was composed of fucose, mannose, glucose and galactose in molar
ration of 1.00:1.63:0.16:6.29 respectively. Pharmacological data demonstrated
that PCP-II increased antigen-specific antibody levels in mice immunized with
influenza vaccine. PCP-II also elicited anti-HBsAg antibodies at significantly
higher titers and generated robust and durable immunity compared to mice
immunized with HBsAg-alum following two administrations. PCP-II improved
proliferation of splenocytes, stimulated IL-12p70 and TNF-alpha productions in
dendritic cells and macrophages respectively. These results suggested that PCP-II
adjuvanted vaccines enhanced humoral and cellular immunity. PCP-II could be
developed as an efficacious adjuvant in human and animal vaccines.
PMID- 27185069
TI - Extracellular matrix-based cryogels for cartilage tissue engineering.
AB - In this study, we investigated various highly porous extracellular matrix (ECM)
based cryogels for cartilage tissue engineering. For the fabrication of ECM-based
cryogels, either methacrylated chondroitin sulfate (MeCS) or methacrylated
hyaluronic acid (MeHA) were cross-linked along with poly (ethylene glycol)
diacrylates (PEGDA) via free radical polymerization under freezing conditions.
This procedure induces ice crystallization (used as a porogen) prior polymer
crosslinking in which, after complete cryopolymerization, a thawing process
transforms the ice crystals into a unique interconnected macroporous structure
within ECM-cryogels. The developed ECM-cryogels exhibited an average
macroporosity of 75% and supported the infiltration of chondrocyteds. When rabbit
chondrocytes were cultured on ECM-cryogels, MeCS-based cryogels stimulated
aggrecan gene expression and GAG accumulation, whereas MeHA-based cryogels
stimulated type II collagen gene expression and collagen accumulation. These
results demonstrate that design of ECM-based cryogels can play an important role
in promoting specific ECM proteins secretion for cartilage tissue engineering.
PMID- 27185070
TI - Structural-functional insights and studies on saccharide binding of Sophora
japonica seed lectin.
AB - Functional and conformational transitions of the Sophora japonica seed lectin
(SJL) were studied in detail using bioinformatics and biophysical tools. Homology
model of the lectin displayed all the characteristics of the legume lectin
monomer and the experimental observations correlated well with the structural
information. In silico studies were performed by protein-ligand docking,
calculating the respective binding energies and the residues involved in the
interactions were derived from LigPlot(+) analysis. Fluorescence titrations
showed three times higher affinity of T-antigen disaccharide than N-acetyl
galactosamine (GalNAc) towards SJL indicating extended sugar binding site of the
lectin. Thermodynamic parameters of T-antigen binding to SJL indicated the
process to be endothermic and entropically driven while those of GalNAc showed
biphasic process. SDS-PAGE showed post-translationally modified homotetrameric
species of the lectin under native conditions. In presence of guanidine
hydrochloride (0.5-5.0M), the tetramer first dissociated into dimers followed by
unfolding of the protein as indicated by size exclusion chromatography,
fluorescence and CD spectroscopy. Different structural rearrangements were
observed during thermal denaturation of SJL at physiological pH 7.2, native pH
8.5 and molten globule inducing pH 1.0. Topological information revealed by
solute quenching studies at respective pH indicated differential hydrophobic
environment and charge density around tryptophan residues.
PMID- 27185071
TI - Development of UV cross-linked gelatin coated electrospun poly(caprolactone)
fibrous scaffolds for tissue engineering.
AB - Cardiovascular disease is the leading cause of morbidity and mortality among
industrialized countries. Vascular grafts are often required for the surgical
treatments. Considering the limitations associated with the use of autografts and
with the currently available synthetic materials, a growing demand in tissue
engineered vascular grafts has been registered. During the work here described,
electrospinning technique was used to prepared fibrous matrices to be applied as
vascular implants. For that purpose, electrospun polycaprolactone (PCL) fibrous
mats were produced and afterwards coated with different hydrogel formulations
based in photocrosslinkable gelatin (GelMA) and the macromers poly(ethylene
glycol) acrylate (PEGA) and poly(ethylene glycol) diacrylate (PEGDA). These were
further photocrosslinked under UV irradiation using Irgacure(r) 2959 (by BASF) as
the photoinitiator. The suitability of the coated scaffolds for the intended
application, was evaluated by assessing their chemical/physical properties as
well as their interaction with blood and endothelial cells.
PMID- 27185072
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): When should pharmacotherapy for psychiatric/behavioural disorders in
children with epilepsy be prescribed?
AB - The most important factor in deciding whether psychotropic medication should be
prescribed is a meticulous assessment of the possible causes of the
behavioural/psychiatric disturbance. This assessment should include a
consideration of the possible roles of the epilepsy itself, treatment of the
epilepsy, associated brain damage or dysfunction, reactions to the epilepsy and
causes that are unrelated to the epilepsy or its treatment. If the epilepsy
itself or antiepileptic drug treatment are responsible for the disorder then a
review of antiepileptic medication is required. Contrary to popular myth, most
psychotropic medications are not contraindicated in children with epilepsy.
Treatment with methylphenidate, dexamfetamine, atomoxetine, clonidine or low-dose
risperidone are unlikely to precipitate seizures. The selective serotonin
reuptake inhibitors might protect against seizures but some of these are powerful
enzyme inhibitors, implying that careful monitoring to avoid antiepileptic drug
toxicity is recommended. In many cases, the appropriate approach will be through
other interventions such as behavioural management or providing the young person
with empowering strategies, implying that psychotropic pharmacotherapy should not
be the first-line treatment. However, if assessment indicates that psychotropic
medication is necessary, it can be of great benefit.
PMID- 27185073
TI - Non-biased and complete case registration of lymphoid leukemia and lymphoma for
five years: a first representative index of Japan from an epidemiologically
stable Miyagi Prefecture.
AB - Previous worldwide epidemiological studies on lymphoid leukemia and/or lymphoma
(LL/L) had considerable bias because of difficulty in covering all clinical
departments of hospitals in a restricted area (population base). These studies
may not have reflected the actual number of newly diagnosed cases (incidence)
strictly, or the true LL/L subtype frequencies. We searched all cases of newly
diagnosed LL/L in Miyagi Prefecture over a 5-year period, including those that
were discovered as LL/L sorely after autopsy. We registered the actual number of
2098 cases in the prefecture and calculated an accurate incidence rate (17.8 per
100,000 persons). Additionally, we identified more realistic and detailed
frequencies of LL/L subtypes including the leukemic phase of some lymphomas. As
Miyagi Prefecture is an area in which the population dynamics are relatively
stable and representative of Japan, the result of our epidemiological study can
be used as the first representative index of LL/L for Japan.
PMID- 27185074
TI - A measurement framework for adherence in patient decision aid trials applied in a
systematic review subanalysis.
AB - OBJECTIVE: To explore how studies of decision aids conceptualize and measure
adherence and to evaluate the effect of patient decision aids on adherence. STUDY
DESIGN AND SETTING: A subanalysis of adherence studies included in the 2014
Cochrane review on patient decision aids. An adherence framework for decision aid
trials is presented which includes two types of adherence: "adherence to choice"
and "adherence to treatment." Included studies were classified based on the
adherence framework, and their impact on adherence was assessed. RESULTS:
Thirteen trials involving 2,115 patients were included. Of these 13, eight
measured "adherence to choice" and 10 measured "adherence to treatment." There
was considerable heterogeneity in how adherence was measured, with studies
varying in whether they considered baseline choice, follow-up choice, or neither,
and whether they presented separate or aggregated adherence measures. No studies
measuring "adherence to choice" reported significant differences between the
decision aid and comparator, whereas four studies measuring adherence to
treatment reported a statistically significant difference between the decision
aid and comparator, with three favoring the decision aid arm. CONCLUSIONS: The
adherence framework provided insight into important measurement factors. There
remains considerable heterogeneity in measures of adherence which makes it
difficult to draw conclusions.
PMID- 27185075
TI - Serum I-FABP Detects Gluten Responsiveness in Adult Celiac Disease Patients on a
Short-Term Gluten Challenge.
AB - OBJECTIVES: Response to gluten challenge (GC) is a key feature in diagnostic
algorithms and research trials in celiac disease (CD). Currently, autoantibody
titers, late responders to GC, and invasive duodenal biopsies are used to
evaluate gluten responsiveness. This study investigated the accuracy of serum
intestinal-fatty acid binding protein (I-FABP), a marker for intestinal
epithelial damage, to predict intestinal damage during GC in patients with CD.
METHODS: Twenty adult CD patients in remission underwent a two-week GC with 3 or
7.5 g of gluten daily. Study visits occurred at day -14, 0, 3, 7, 14, and 28.
Serum I-FABP, antibodies to tissue transglutaminase (tTG-IgA), deamidated gliadin
peptides (IgA-DGP), and anti-actin (AAA-IgA) were assessed at each visit. Villous
height to crypt-depth ratio (Vh:Cd) and intraepithelial lymphocyte (IEL) count
were evaluated at day -14, 3, and 14. Forty-three CD-serology negative
individuals were included to compare serum I-FABP levels in CD patients on a
gluten-free diet (GFD) with those in healthy subjects. RESULTS: Serum I-FABP
levels increased significantly during a two-week GC. In contrast, the most
pronounced autoantibody increase was found at day 28, when patients had already
returned to a GFD for two weeks. IgA-AAA titers were only significantly elevated
at day 28. I-FABP levels and IEL count correlated at baseline (r=0.458, P=0.042)
and at day 14 (r=0.654, P=0.002) of GC. Neither gluten dose nor time on a GFD
influenced I-FABP change during GC. CONCLUSIONS: Serum I-FABP levels increased
significantly during a two-week GC in adult CD patients and correlated with IEL
count. The data suggest that serum I-FABP is an early marker of gluten-induced
enteropathy in celiac patients and may be of use in both clinical and research
settings.
PMID- 27185076
TI - Predictors of Early Failure After Fecal Microbiota Transplantation for the
Therapy of Clostridium Difficile Infection: A Multicenter Study.
AB - OBJECTIVES: Fecal microbiota transplant (FMT) is a highly efficacious treatment
for recurrent or refractory Clostridium difficile infection (CDI); however, 10
20% of patients fail to achieve cure after a single FMT. The aim of this study
was to identify risk factors associated with FMT failure and to develop and
validate a prediction model for FMT failure. METHODS: Patient characteristics,
CDI history, FMT characteristics, and outcomes data for patients treated between
2011 and 2015 at three academic tertiary referral centers were prospectively
collected. Early FMT failure was defined as non-response or recurrence of
diarrhea associated with positive stool C. difficile toxin or PCR within 1 month
of FMT. Late FMT failure was defined as recurrence of diarrhea associated with
positive stool C. difficile toxin or PCR between 1 and 3 months of the FMT.
Patient data from two centers were used to determine independent predictors of
FMT failure and to build a prediction model. A risk index was constructed based
on coefficients of final predictors. The patient cohort from the third center was
used to validate the prediction model. RESULTS: Of 328 patients in the
developmental cohort, 73.5% (N=241) were females with a mean age of 61.4+/-19.3
years; 19.2% (N=63) had inflammatory bowel disease (IBD), and 23.5% (N=77) were
immunocompromised. The indication for FMT was recurrent CDI in 87.2% (N=286) and
severe or severe-complicated in 12.8% (N=42). FMT was performed as an inpatient
in 16.7% (N=54). The stool source was patient-directed donors in 40% (N=130) of
cases. The early FMT failure rate was 18.6%, and the late failure rate was 2.7%.
In the multivariable analysis, predictors of early FMT failure included severe or
severe-complicated CDI (odds ratio (OR) 5.95, 95% confidence interval (CI): 2.26
15.62), inpatient status during FMT (OR 3.78, 95% CI: 1.55-9.24), and previous
CDI-related hospitalization (OR 1.43, 95% CI: 1.18-1.75); with each additional
hospitalization, the odds of failure increased by 43%. Risk scores ranged from 0
to 13, with 0 indicating low risk, 1-2 indicating moderate risk, and >=3
indicating high risk. In the developmental cohort, early FMT failure rates were
5.6% for low risk, 12.7% for moderate risk, and 41% for high-risk patients. Of
134 patients in the validation cohort, 57% (N=77) were females with a mean age of
66+/-18.1 years; 9.7% (N=13) had IBD, and 17.9% (N=24) were immunocompromised.
The early FMT failure rate at 1 month was 19.4%, with an additional 3% failing by
3 months. In the validation cohort, FMT failure rates were 2.1% for low risk,
16.1% for moderate risk, and 35.7% for high risk patients. The area under the
receiver operating characteristic curve (AUROC) for FMT failure was 0.81 in the
developmental cohort and 0.84 in the validation cohort. CONCLUSIONS: Severe and
severe-complicated indication, inpatient status during FMT, and the number of
previous CDI-related hospitalizations are strongly associated with early failure
of a single FMT for CDI. The novel prediction model has good discriminative power
at identifying individuals who are at high risk of failure after FMT therapy and
may assist the treating physician in subsequent management plans.
PMID- 27185077
TI - Randomized, Placebo-Controlled Trial of Biofeedback for the Treatment of
Rumination.
AB - OBJECTIVES: We previously demonstrated that rumination is produced by an
unperceived, somatic response to food ingestion, and we developed an original
biofeedback technique based on electromyography (EMG)-guided control of abdomino
thoracic muscular activity. Our aim was to demonstrate the superiority of
biofeedback vs. placebo for the treatment of rumination. METHODS: Randomized,
placebo-controlled trial performed in a referral center. Consecutive patients who
fulfilled the Rome III criteria for rumination (18 women, 6 men; 19-79 years age)
were selected and all included in the study; 1 patient assigned to placebo
withdrew because of an unrelated accident. Abdomino-thoracic muscle activity
after a challenge meal was recorded by EMG. The patients in the biofeedback group
were shown the signal and instructed to control muscle activity, whereas the
patients in the placebo group were not shown the signal and were given oral
simethicone. Each patient underwent 3 sessions over a 10-day period. MAIN
OUTCOME: number of rumination events as measured by questionnaires for 10
consecutive days before and after intervention. RESULTS: Patients on biofeedback
(n=12) but not on placebo (n=11) effectively learned to reduce intercostal
activity (by 51+/-6% vs. 10+/-7% increment on placebo; P<0.001) and anterior wall
muscle activity (by 52+/-4% vs. 9+/-2% increment on placebo; P<0.001).
Biofeedback treatment resulted in a 74+/-6% reduction in rumination activity
(from 29+/-6 before to 7+/-2 daily events after intervention) vs. 1+/-14% on
placebo; P=0.001 (from 21+/-2 before to 21+/-4 daily events after intervention).
CONCLUSIONS: Rumination can be effectively corrected by biofeedback-guided
control of abdomino-thoracic muscular activity.
PMID- 27185078
TI - Risks and Predictors of Gastric Adenocarcinoma in Patients with Gastric
Intestinal Metaplasia and Dysplasia: A Population-Based Study.
AB - OBJECTIVES: Gastric intestinal metaplasia and dysplasia are precursor lesions for
adenocarcinoma. The risks of progression to malignancy from these lesions are not
well characterized, particularly in the US populations. METHODS: We identified
4,331 Kaiser Permanente Northern California members who were diagnosed with
gastric intestinal metaplasia or dysplasia between 1997 and 2006 and followed
them through December 2013. The incident rates of gastric adenocarcinoma,
relative risks in comparison with the Kaiser Permanente general population, and
predictors of progression to malignancy were investigated. RESULTS: Among 4,146
individuals with gastric intestinal metaplasia and 141 with low-grade dysplasia
with 24,440 person-years follow-up, 17 and 6 cases of gastric adenocarcinoma were
diagnosed, respectively, after 1 year from the index endoscopy. The incidence
rate of gastric adenocarcinoma was 0.72/1,000 person-years in patients with
intestinal metaplasia, with a relative risk of 2.56 (95% confidence interval (CI)
1.49-4.10) compared with the Kaiser Permanente member population, and 7.7/1,000
person-years for low-grade dysplasia, with a relative risk of 25.6 (95% CI, 9.4
55.7). The median time for gastric intestinal metaplasia to progress to
adenocarcinoma was 6.1 years, and for low-grade dysplasia, 2.6 years. Hispanic
race/ethnicity and history of dysplasia were associated with significantly higher
risk of progression to gastric adenocarcinoma. CONCLUSIONS: Gastric intestinal
metaplasia and dysplasia are significant predictors for gastric adenocarcinoma.
The low risk for malignancy associated with intestinal metaplasia does not
support routine endoscopic surveillance. However, surveillance should be
considered in patients at higher risks, including those with suspicious
endoscopic features, presence of dysplasia, and Hispanic race/ethnicity.
PMID- 27185079
TI - The Efficacy and Tolerability of a Triple Therapy Containing a Potassium
Competitive Acid Blocker Compared With a 7-Day PPI-Based Low-Dose Clarithromycin
Triple Therapy.
AB - OBJECTIVES: This study evaluated the efficacy and tolerability of potassium
competitive acid blocker (P-CAB), a new class of gastric acid inhibitory agents,
as first-line H. pylori eradication treatment compared with 7-day proton pump
inhibitor (PPI)-based triple therapy. METHODS: We retrospectively reviewed the
medical records of 661 consecutive patients who received first-line H. pylori
eradication treatment between January 2013 and October 2015. Patients who
received 7-day P-CAB therapy (vonoprazan 20 mg+amoxicillin 750 mg+clarithromycin
200 mg twice/day; n=181) were compared with those who received 7-day PPI therapy
(lansoprazole 30 mg/rabeprazole 20 mg+amoxicillin 750 mg+clarithromycin 200 mg
twice/day; n=480) using propensity score matching analysis. The successful
eradication and adverse event rates were compared between the two groups.
RESULTS: The propensity score matching analysis yielded 175 matched pairs.
Adjusted comparisons between the two groups showed a significantly higher
eradication rate for the P-CAB than the PPI group in both intention-to-treat
(89.1 vs. 70.9%; P<0.001) and per-protocol analyses (91.2 vs. 71.7%; P<0.001).
There was no significant difference in the incidence of adverse events between
the two therapies except skin rash. No patients discontinued H. pylori
eradication treatment because of adverse events. CONCLUSIONS: Seven-day P-CAB
based triple therapy was more effective than 7-day PPI-based triple therapy as a
first-line H. pylori eradication treatment. Seven-day P-CAB-based triple therapy
was generally well-tolerated.
PMID- 27185082
TI - Vocational outcome 6-15 years after a traumatic brain injury.
AB - PRIMARY OBJECTIVE: To describe vocational outcome 6-15 years after a traumatic
brain injury (TBI) among individuals who were productive by working or studying
at the time of their TBI and determine the associations with variables related to
the time of injury and at follow-up. METHODS AND PROCEDURES: Thirty-four
individuals with a mild TBI and 45 with a moderate-to-severe TBI were assessed on
average 10 years post-injury. Logistic regression was used to determine the
association between their current vocational situation and variables related to
the time of injury (gender, age, injury severity and educational level) and at
follow-up (time since injury, marital status and overall disability). RESULTS: A
total of 67% were productive at follow-up. Age at injury, injury severity and the
degree of disability at follow-up were strongly associated with being productive.
Younger individuals with milder TBI and less severe disability were significantly
more likely to be fully productive. No significant associations were found
between productivity and gender, education, time since injury or marital status.
CONCLUSIONS: This study indicates that return to productivity in a long-term
perspective after a TBI is possible, in particular when the individual is young,
has sustained a mild TBI and has a milder form of overall disability.
PMID- 27185083
TI - Near-Infrared Upconversion Chemodosimeter for In Vivo Detection of Cu(2+) in
Wilson Disease.
AB - Near-infrared upconversion chemodosimetry is a promising detection method by
virtue of the frequency upconversion technique, which shows very high sensitivity
and selectivity for the detection of Cu(2+) ions in vitro and in vivo. This
method offers a new opportunity for noninvasive diagnosis of Wilson disease
associated with Cu(2+) detection in clinical medicine.
PMID- 27185080
TI - Metabolically Healthy Obesity and the Development of Nonalcoholic Fatty Liver
Disease.
AB - OBJECTIVES: The risk of nonalcoholic fatty liver disease (NAFLD) among obese
individuals without obesity-related metabolic abnormalities, a condition referred
to as metabolically healthy obese (MHO), is largely unexplored. Therefore, we
examined the association between body mass index (BMI) categories and the
development of NAFLD in a large cohort of metabolically healthy men and women.
METHODS: A cohort study was conducted in 77,425 men and women free of NAFLD and
metabolic abnormalities at baseline, who were followed-up annually or biennially
for an average of 4.5 years. Being metabolically healthy was defined as not
having any metabolic syndrome component and having a homeostasis model assessment
of insulin resistance <2.5. The presence of fatty liver was determined using
ultrasound. RESULTS: During 348,193.5 person-years of follow-up, 10,340
participants developed NAFLD (incidence rate, 29.7 per 1,000 person-years). The
multivariable adjusted hazard ratios (95% confidence intervals) for incident
NAFLD comparing overweight and obese with normal-weight participants were 2.15
(2.06-2.26) and 3.55 (3.37-3.74), respectively. In detailed dose-response
analyses, increasing baseline BMI showed a strong and approximately linear
relationship with the incidence of NAFLD, with no threshold at no risk. This
association was present in both men and women, although it was stronger in women
(P for interaction <0.001), and it was evident in all clinically relevant
subgroups evaluated, including participants with low inflammation status.
CONCLUSIONS: In a large cohort of strictly defined metabolically healthy men and
women, overweight and obesity were strongly and progressively associated with an
increased incidence of NAFLD, suggesting that the obese phenotype per se,
regardless of metabolic abnormalities, can increase the risk of NAFLD.
PMID- 27185081
TI - Recombinant vesicular stomatitis virus-based dengue-2 vaccine candidate induces
humoral response and protects mice against lethal infection.
AB - Dengue is the most important arbovirus disease throughout the world and it is
responsible for more than 500,000 dengue hemorrhagic cases and 22,000 deaths
every year. One vaccine was recently licensed for human use in Brazil, Mexico and
Philippines and although at least seven candidates have been in clinical trials
the results of the most developed CYD vaccine have demonstrated immunization
problems, such as uneven protection and interference between serotypes. We
constructed a vaccine candidate based on vesicular stomatitis virus (VSV)
expression of pre-membrane (prM) and envelope (E) proteins of dengue-2 virus
(DENV-2) and tested it in mice to evaluate immunogenicity and protection against
DENV-2 infection. VSV has been successfully used as vaccine vectors for several
viruses to induce strong humoral and cellular immune responses. The VSV-DENV-2
recombinant was constructed by inserting the DENV-2 structural proteins into a
VSV plasmid DNA for recombinant VSV-DENV-2 recovery. Infectious recombinant VSV
viruses were plaque purified and prM and E expression were confirmed by
immunofluorescence and radiolabeling of proteins of infected cells. Forty Balb/C
mice were inoculated through subcutaneous (s.c.) route with VSV-DENV-2 vaccine in
a two doses schedule 15 d apart and 29 d after first inoculation, sera were
collected and the mice were challenged with 50 lethal doses (LD50) of a
neurovirulent DENV-2. The VSV-DENV-2 induced anti-DENV-2 antibodies and protected
animals in the challenge experiment comparable to DENV-2 immunization control
group. We conclude that VSV is a promising platform to test as a DENV vaccine and
perhaps against others Flaviviridae.
PMID- 27185085
TI - Severe and fatal pharmaceutical poisoning in young children in the UK.
AB - OBJECTIVE: Accidental poisoning in young children is common, but severe or fatal
events are rare. This study was performed to identify the number of such events
occurring in the UK and the medications that were most commonly responsible.
DESIGN: Analysis of national data sets containing information relating to severe
and fatal poisoning in children in the UK. DATA SOURCES: Office of National
Statistics mortality data for fatal poisoning; Paediatric Intensive Care Audit
Network admissions database and the National Poisons Information Service for
severe non-fatal poisoning; Hospital Episode Statistics for admission data for
implicated agents. RESULTS: Between 2001 and 2013, there were 28 children aged 4
years and under with a death registered as due to accidental poisoning by a
pharmaceutical product in England and Wales. Methadone was the responsible drug
in 16 (57%) cases. In the UK, 201 children aged 4 years and under were admitted
to paediatric intensive care with pharmaceutical poisoning between 2002 and 2012.
The agent(s) responsible was identified in 115 cases, most commonly
benzodiazepines (22/115, 19%) and methadone (20/115, 17%). CONCLUSIONS: Methadone
is the most common pharmaceutical causing fatal poisoning and a common cause of
intensive care unit admissions in young children in the UK.
PMID- 27185087
TI - Wrist extension or flexion mobilization orthosis: The dinosaur design.
AB - These authors describe how to fabricate an orthotic device to regain wrist range
of motion flexion and extension deficits. Using 1 device can offer a more cost
effective solution than using 2 separate devices. -Kristin Valdes, OTD, OT, CHT,
Practice Forum Editor.
PMID- 27185086
TI - Experimental and theoretical approaches for Cd(II) biosorption from aqueous
solution using Oryza sativa biomass.
AB - Biomass of Oryza sativa (OS) was tested for the removal of Cd(II) ions from
synthetic and real wastewater samples. Batch experiments were conducted to
investigate the effects of operating parameters on Cd(II) biosorption. Fourier
transform infrared spectroscopy, scanning electron microscopy, and energy
dispersive x-ray spectroscopy were used to examine the surface characteristics of
the Cd(II)-loaded biomass. The maximum removal efficiency of Cd(II) was 89.4% at
optimum pH 6.0, biosorbent dose 10.0 g L(-1), initial Cd(II) 50 mg L(-1), and
biosorbent particle size 0.5 mm. The applicability of Langmuir and Freundlich
isotherms to the sorbent system implied the existence of both monolayer and
heterogeneous surface conditions. Kinetic studies revealed that the adsorption
process of Cd(II) followed the pseudo-second-order model (r2: 0.99). On the
theoretical side, an adaptive neuro-fuzzy inference system (ANFIS) was applied to
select the operating parameter that mostly influences the Cd(II) biosorption
process. Results from ANFIS indicated that pH was the most influential parameter
affecting Cd(II) removal efficiency, indicating that the biomass of OS was
strongly pH sensitive. Finally, the biomass was confirmed to adsorb Cd(II) from
real wastewater samples with removal efficiency close to 100%. However,
feasibility studies of such systems on a large-scale application remain to be
investigated.
PMID- 27185084
TI - Microfluidic models for adoptive cell-mediated cancer immunotherapies.
AB - Current adoptive T cell therapies have shown promising results in clinical trials
but need further development as an effective cancer treatment. Here, we discuss
how 3D microfluidic tumour models mimicking the tumour microenvironment could
help in testing T cell immunotherapies by assessing engineered T cells and
identifying combinatorial therapy to improve therapeutic efficacy. We propose
that 3D microfluidic systems can be used to screen different patient-specific
treatments, thereby reducing the burden of in vivo testing and facilitating the
rapid translation of successful T cell cancer immunotherapies to the clinic.
PMID- 27185088
TI - Analyzing finger interdependencies during the Purdue Pegboard Test and
comparative activities of daily living.
AB - STUDY DESIGN: Bench and cross-sectional study. INTRODUCTION: Information obtained
from dexterity tests is an important component of a comprehensive examination of
the hand. PURPOSE OF THE STUDY: To analyze and compare finger interdependencies
during the performance of the Purdue Pegboard Test (PBT) and comparative daily
tasks. METHODS: A method based on the optoelectronic kinematic analysis of the
precision grip style and on the calculation of cross-correlation coefficients
between relevant joint angles, which provided measures of the degree of finger
coordination, was conducted on 10 healthy participants performing the PBT and 2
comparative daily living tasks. RESULTS: Daily tasks showed identifiable
interdependencies patterns between the metacarpophalangeal joints of the fingers
involved in the grip. Tasks related to activities of daily living resulted in
significantly higher cross-correlation coefficients across subjects and movements
during the formation and manipulation phases of the tasks (0.7-0.9), whereas the
release stage produced significantly lower movement correlation values (0.3-0.7).
Contrarily, the formation and manipulation stages of the PBT showed low finger
correlation across most subjects (0.2-0.6), whereas the release stage resulted in
the highest values for all relevant movements (0.65-0.9). DISCUSSION:
Interdependencies patterns were consistent for the activities of daily living but
differ from the patterns observed from the PBT. CONCLUSIONS: The PBT does not
compare well with the whole range of finger movements that account for hand
performance during daily tasks. LEVEL OF EVIDENCE: Not applicable.
PMID- 27185089
TI - Combination of deep eutectic solvent and ionic liquid to improve biocatalytic
reduction of 2-octanone with Acetobacter pasteurianus GIM1.158 cell.
AB - The efficient anti-Prelog asymmetric reduction of 2-octanone with Acetobacter
pasteurianus GIM1.158 cells was successfully performed in a biphasic system
consisting of deep eutectic solvent (DES) and water-immiscible ionic liquid (IL).
Various DESs exerted different effects on the synthesis of (R)-2-octanol. Choline
chloride/ethylene glycol (ChCl/EG) exhibited good biocompatibility and could
moderately increase the cell membrane permeability thus leading to the better
results. Adding ChCl/EG increased the optimal substrate concentration from 40 mM
to 60 mM and the product e.e. kept above 99.9%. To further improve the reaction
efficiency, water-immiscible ILs were introduced to the reaction system and an
enhanced substrate concentration (1.5 M) was observed with C4MIM.PF6.
Additionally, the cells manifested good operational stability in the reaction
system. Thus, the efficient biocatalytic process with ChCl/EG and C4MIM.PF6 was
promising for efficient synthesis of (R)-2-octanol.
PMID- 27185090
TI - Digestion of cooked meat proteins is slightly affected by age as assessed using
the dynamic gastrointestinal TIM model and mass spectrometry.
AB - In humans, meat ensures the supply of proteins with high nutritional value and
indispensable amino acids. The main goal of the present study was to compare the
degradation of meat proteins in adult and elderly digestive conditions. Cooked
meat was subjected to in vitro digestion in the dynamic multi-compartmental TIM
(TNO gastroIntestinal Model) system. Digestibility and bioaccessibility were
determined using nitrogen balance and digestion products were identified using
mass spectrometry. The TIM model was adapted according to in vivo data to mimic
the specific digestive conditions of elderly people. Meat protein digestibility
and bioaccessibility were around 96 and 60% respectively and were not influenced
by age (P > 0.05). As much as 800 peptides were identified in the duodenal and
jejunal compartments issued from 50 meat proteins with a percentage of coverage
varying from 13 to 69%. Six proteins, mainly from the cytosol, were
differentially hydrolyzed under the adult and elderly digestive conditions.
Pyruvate kinase was the only protein clearly showing a delay in its degradation
under elderly digestive conditions. This study provides significant insights into
the understanding of meat protein dynamic digestion. Such data will be helpful to
design in vivo studies aiming to evaluate dietary strategies that can attenuate
muscle mass loss and more generally maintain a better quality of life in the
elderly population.
PMID- 27185091
TI - Efficacy of Rechallenge of Metastatic Renal Cell Carcinoma Patient With Sunitinib
After Prior Resistance to Axitinib: Case Report and Review of the Literature.
PMID- 27185097
TI - Magnetization transfer as a potential tool for the early detection of acute graft
rejection after lung transplantation in mice.
AB - PURPOSE: To investigate the value of magnetization transfer (MT) measurements for
assessment of acute rejection (AR) in a murine lung transplantation model.
MATERIALS AND METHODS: Thirty mice including 15 C57BL/10 mice serving as donors
and 15 C57BL/6 mice as recipients were examined in this study. MT imaging
datasets were acquired on a 4.7 Tesla small animal MR scanner using a three
dimensional zero echo time sequence with a Gaussian-shaped MT prepulse with 1000
degrees or 3000 degrees flip angle and systematic variation of off-resonance
frequencies between 1000 and 15,000 Hz. After image acquisition, the images were
qualitatively assessed, magnetization transfer ratio (MTR) values were calculated
and lungs were taken for histologic examination including staining with
hematoxylin/eosin, Masson's trichrome (collagen), and alpha-smooth muscle
(fibroproliferative tissue) staining. RESULTS: Lung transplantation was
successfully performed in all 15 mice. All animals showed AR characterized by the
presence of interstitial mononuclear cell infiltrates. There were significant
differences of MTR in lungs with and without AR (P = 0.007). With a flip angle of
1000 degrees , the largest differences between the MTR of healthy lungs and lungs
with AR were observed for an off-resonance frequency of 10,000 Hz (difference MTR
1.80%) and 15,000 Hz (1.91%) and with a flip angle of 3000 degrees at off
resonance frequencies of 6000 Hz (1.37%) and 8000 Hz (1.70%). CONCLUSION: MT
measurements may provide a tool for the quantitative assessment of AR. J. Magn.
Reson. Imaging 2016;44:1091-1098.
PMID- 27185098
TI - Just Say No: Stemming the Tide of Antibiotic Overprescription.
PMID- 27185102
TI - Actions of activin A, connective tissue growth factor, hepatocyte growth factor
and teratocarcinoma-derived growth factor 1 on the development of the bovine
preimplantation embryo.
AB - The reproductive tract secretes bioactive molecules collectively known as
embryokines that can regulate embryonic growth and development. In the present
study we tested four growth factors expressed in the endometrium for their
ability to modify the development of the bovine embryo to the blastocyst stage
and alter the expression of genes found to be upregulated (bone morphogenetic
protein 15 (BMP15) and keratin 8, type II (KRT8)) or downregulated (NADH
dehydrogenase 1 (ND1) and S100 calcium binding protein A10 (S100A10)) in embryos
competent to develop to term. Zygotes were treated at Day 5 with 0.01, 0.1 or
1.0nM growth factor. The highest concentration of activin A increased the
percentage of putative zygotes that developed to the blastocyst stage. Connective
tissue growth factor (CTGF) increased the number of cells in the inner cell mass
(ICM), decreased the trophectoderm:ICM ratio and increased blastocyst expression
of KRT8 and ND1. The lowest concentration of hepatocyte growth factor (HGF)
reduced the percentage of putative zygotes becoming blastocysts. Teratocarcinoma
derived growth factor 1 increased total cell number at 0.01nM and expression of
S100A10 at 1.0nM, but otherwise had no effects. Results confirm the
prodevelopmental actions of activin A and indicate that CTGF may also function as
an embryokine by regulating the number of ICM cells in the blastocyst and
altering gene expression. Low concentrations of HGF were inhibitory to
development.
PMID- 27185100
TI - Improvement of cancellous bone microstructure in patients on teriparatide
following alendronate pretreatment.
AB - An increase in procollagen type I amino-terminal propeptide (PINP) early after
teriparatide initiation was shown to correlate with increased lumbar spine areal
BMD and is a good predictor of the anabolic response to teriparatide. Few data
exist correlating PINP and bone microstructure, and no data exist in patients on
teriparatide following prior potent antiresorptive treatment. This exploratory
analysis aimed to investigate the effects of teriparatide on cancellous bone
microstructure and correlations of bone markers with microstructure in
alendronate-pretreated patients. This was a post hoc analysis of changes in bone
markers and three-dimensional indices of bone microstructure in paired iliac
crest biopsies from a prospective teriparatide treatment study in postmenopausal
women with osteoporosis who were either treatment-naive (TN, n=16) or alendronate
pretreated (ALN, n=29) at teriparatide initiation. Teriparatide (20MUg/day) was
given for 24months; biopsies were taken at baseline and endpoint, and serum
concentrations of PINP and type 1 collagen cross-linked C-telopeptide (betaCTX)
were measured at intervals up to 24months. In the TN and ALN groups,
respectively, mean (SD) increases in three-dimensional bone volume/tissue volume
were 105 (356)% (P=0.039) and 55 (139)% (P<0.005) and trabecular thickness 30.4
(30)% (P<0.001) and 30.8 (53)% (P<0.001). No significant changes were observed in
trabecular number or separation. In the ALN patients, 3-month change of neither
PINP nor betaCTX correlated with indices of cancellous bone microstructure.
However, 12-month changes in biochemical bone markers correlated significantly
with improvements in bone volume/tissue volume, r=0.502 (P<0.01) and r=0.378
(P<0.05), trabecular number, r=0.559 (P<0.01) and r=0.515 (P<0.01), and reduction
of trabecular separation, r=-0.432 (P<0.05) and r=-0.530 (P<0.01), for PINP and
betaCTX, respectively. We conclude that cancellous bone microstructure improved
with teriparatide therapy irrespective of prior antiresorptive use.
PMID- 27185101
TI - Phosphorescent Differential Sensing of Physiological Phosphates with Lanthanide
Ions-Modified Mn-Doped ZnCdS Quantum Dots.
AB - Phosphates, both inorganic and organic, play fundamental roles in numerous
biological and chemical processes. The biological functions of phosphates connect
with each other, analysis of single phosphate-containing biomolecule therefore
cannot reveal the exact biological significance of phosphates. Sensor array is
therefore the best choice for differentiation analysis of physiological
phosphates. Lanthanide ions possess high affinity toward physiological
phosphates, while lanthanide ions can also efficiently quench the luminescence of
quantum dots (QDs). Taking lanthanide ions as cartridges, here we proposed a
sensor array for sensing of physiological phosphates based on lanthanide ions
modified Mn-doped ZnCdS phosphorescent QDs in the manner of indicator
displacement assay. A series of lanthanide ions were selected as quencher for
phosphorescent QDs. Physiological phosphates could subsequently displace the
quencher and recover the phosphorescence. Depending on their varied
phosphorescence restoration, a sensor array was thus developed. The photophysics
of phosphorescence quenching and restoration were studied in detail for better
understanding the mechanism of the sensor array. The exact contribution of each
sensor element to the sensor array was evaluated. Those sensor elements with
little contribution to the differentiation analysis were removed for narrowing
the size of the array. The proposed sensor array was successfully explored for
probing nucleotide phosphates-involved enzymatic processes and their metabolites,
simulated energy charge changes, and analysis of physiological phosphates in
biological samples.
PMID- 27185103
TI - Rapid characterization of hybridomas producing monoclonal antibodies against
platelet beta3 integrin using ELIspot.
AB - Generally, B-cell responses against human platelet antigens are assessed by the
serological detection of specific platelet antibodies, mostly against beta3
integrin. However, this approach seems to be of low sensitivity, since platelet
autoantibodies against alphaIIbbeta3 are detected in only 50% of all patients
with immune thrombocytopenia (ITP). In this study, a novel B-cell ELIspot method
was established to characterize the specificity of mouse monoclonal antibodies
(moabs) against human beta3 integrin. Moabs produced by hybridomas were
immobilized on membrane and bound antibodies were visualized as spots using
biotinylated recombinant proteins alphaIIbbeta3 or alphavbeta3 and the enzyme
labeled streptavidin-substrate system. Three hybridomas, Gi5, Gi16 and AP3,
designated previously as anti-alphaIIbbeta3, anti-alphaIIb and anti-beta3,
respectively, were investigated. Hybridoma producing moab against CD177 was used
as the negative control. Whereas AP3 reacted with alphaIIbbeta3 and alphavbeta3,
Gi5 only formed spots with alphaIIbbeta3. Titration analysis showed that the
number of spots correlated significantly with the number of seeded cells.
Approximately 15 antibody producing hybridoma cells could be identified among 103
nonproducing B-cells. Furthermore, superior correlation with the total number of
IgG producing cells was obtained. Analysis of the third hybridoma, Gi16 (anti
alphaIIb), showed only few spots with alphaIIbbeta3, indicating that this
hybridoma contained different clones (producer and non-producer). Significant
increased number of spots could be identified after re-cloning of these clones by
limiting dilution method. Our results demonstrate that this B-cell ELIspot assay
can be used for the identification of a small number of hybridoma cells producing
moabs against beta3 integrin, verification of their monoclonality, productivity
and for determining their specificity in the early state of workup steps. In the
future, this approach may be useful to define B-cell clones in patients who
developed platelet antibodies against different beta3-integrins and to
differentiate their diversities.
PMID- 27185105
TI - Violence is Rare in Autism: When It Does Occur, Is It Sometimes Extreme?
AB - A small body of literature has suggested that, rather than being more likely to
engage in offending or violent behavior, individuals with autism spectrum
disorder (ASD) may actually have an increased risk of being the victim rather
than the perpetrator of violence (Sobsey, Wells, Lucardie, & Mansell, 1995 ).
There is no evidence that people with ASD are more violent than those without ASD
(Im, 2016). There is nevertheless a small subgroup of individuals with ASD who
exhibit violent offending behaviours and our previous work has suggested that
other factors, such as adverse childhood experiences, might be important in this
subgroup (Allely, Minnis, Thompson, Wilson, & Gillberg, 2014 ). Fitzgerald ( 2015
) highlights that school shootings and mass killings are not uncommonly carried
out by individuals with neurodevelopmental disorders, with frequent evidence of
warning indicators. The aim of the present review is to investigate this in more
detail using the 73 mass shooting events identified by Mother Jones
(motherjones.com) in their database for potential ASD features. There are 73 mass
shooting events but there are two events where there is a pair of shooters which
meant that 75 mass shooter cases were investigated. This exercise tentatively
suggests evidence of ASD in six of 75 included cases (8%) which is about eight
times higher when compared to the prevalence of ASD found in the general
population worldwide (motherjones.com). The 8% figure for individuals with ASD
involved mass killings is a conservative estimate. In addition to the six cases
which provide the 8% figure, there were 16 other cases with some indication of
ASD. Crucially, ASD may influence, but does not cause, an individual to commit
extreme violent acts such as a mass shooting episode.
PMID- 27185104
TI - Learning and the transformative potential of citizen science.
AB - The number of collaborative initiatives between scientists and volunteers (i.e.,
citizen science) is increasing across many research fields. The promise of
societal transformation together with scientific breakthroughs contributes to the
current popularity of citizen science (CS) in the policy domain. We examined the
transformative capacity of citizen science in particular learning through
environmental CS as conservation tool. We reviewed the CS and social-learning
literature and examined 14 conservation projects across Europe that involved
collaborative CS. We also developed a template that can be used to explore
learning arrangements (i.e., learning events and materials) in CS projects and to
explain how the desired outcomes can be achieved through CS learning. We found
that recent studies aiming to define CS for analytical purposes often fail to
improve the conceptual clarity of CS; CS programs may have transformative
potential, especially for the development of individual skills, but such
transformation is not necessarily occurring at the organizational and
institutional levels; empirical evidence on simple learning outcomes, but the
assertion of transformative effects of CS learning is often based on assumptions
rather than empirical observation; and it is unanimous that learning in CS is
considered important, but in practice it often goes unreported or unevaluated. In
conclusion, we point to the need for reliable and transparent measurement of
transformative effects for democratization of knowledge production.
PMID- 27185106
TI - Short-term pulse rate variability is better characterized by functional near
infrared spectroscopy than by photoplethysmography.
AB - Pulse rate variability (PRV) can be extracted from functional near-infrared
spectroscopy (fNIRS) (PRV(NIRS)) and photoplethysmography (PPG) (PRV(PPG))
signals. The present study compared the accuracy of simultaneously acquired
PRV(NIRS) and PRV(PPG), and evaluated their different characterizations of the
sympathetic (SNS) and parasympathetic (PSNS) autonomous nervous system activity.
Ten healthy subjects were recorded during resting-state (RS) and respiratory
challenges in two temperature conditions, i.e., room temperature (23 degrees C)
and cold temperature (4 degrees C). PRV(NIRS) was recorded based on fNIRS
measurement on the head, whereas PRV(PPG) was determined based on PPG measured at
the finger. Accuracy between PRV(NIRS) and PRV(PPG), as assessed by cross
covariance and cross-sample entropy, demonstrated a high degree of correlation (r
> 0.9), which was significantly reduced by respiration and cold temperature.
Characterization of SNS and PSNS using frequency-domain, time-domain, and
nonlinear methods showed that PRV(NIRS) provided significantly better information
on increasing PSNS activity in response to respiration and cold temperature than
PRV(PPG). The findings show that PRV(NIRS) may outperform PRV(PPG) under
conditions in which respiration and temperature changes are present, and may,
therefore, be advantageous in research and clinical settings, especially if
characterization of the autonomous nervous system is desired.
PMID- 27185107
TI - Individual differences in the distribution of sperm acrosome-associated 1
proteins among male patients of infertile couples; their possible impact on
outcomes of conventional in vitro fertilization.
AB - The aims of this study were to show the existence of individual differences in
the distribution of sperm acrosome-associated 1 (SPACA1) among male patients of
infertile couples and to examine their possible impact on the outcomes of
conventional in vitro fertilization (IVF). The spermatozoa were collected from
male patients of infertile couples, washed by centrifugation, collected by the
swim-up method, and then used for clinical treatments of conventional IVF. The
surplus sperm samples were fixed and stained with an anti-SPACA1 polyclonal
antibody for the immunocytochemistry. In the clinical IVF treatments,
fertilization rates and blastocyst development rates were evaluated. The
immunocytochemical observations revealed that SPACA1 were localized definitely in
the acrosomal equatorial segment and variedly in the acrosomal principal segment.
Specifically, the detection patterns of SPACA1 in the acrosomal principal segment
could be classified into three categories: (A) strong, (B) intermediate or faint,
and (C) almost no immunofluorescence. The SPACA1 indexes were largely different
among male patients with the wide range from 13 to 199 points. The SPACA1 indexes
were significantly correlated with developmental rates of embryos to blastocysts
(r = 0.829, P = 0.00162), although they were barely associated with fertilization
rates at 19 h after insemination (r = 0.289, P = 0.389). These results suggest
that the distribution of SPACA1 in sperm affects the outcomes of conventional
IVF. In conclusion, this study provides initial data to promote large-scale
clinical investigation to demonstrate that the SPACA1 indexes are valid as
molecular biomarkers that can predict the effectiveness of conventional IVF of
infertile couples.
PMID- 27185108
TI - Multivalent ligand mimetics of LecA from P. aeruginosa: synthesis and NMR
studies.
AB - Molecular recognition of glycans plays an important role in glycomic and
glycobiology studies. For example, pathogens have a number of different types of
lectin for targeting host sugars. In bacteria, lectins exist sometimes as domains
of bacterial toxins and exploit adhesion to glycoconjugates as a means of
entering host cells. Herein, we describe the synthesis of three glycodendrons
with the aim to dissect the fine structural details involved in the multivalent
carbohydrate-protein interactions. LecA, from the pathogen Pseudomonas
aeruginosa, has been used to characterize galactose dendrons interaction using
one of the most widespread NMR technique for the elucidation of receptor-ligand
binding in solution, the saturation transfer difference (STD) NMR. Furthermore,
the effective hydrodynamic radius of each dendrimer recognized by LecA was
estimated from the diffusion coefficients determined by pulsed-field-gradient
stimulated echo (PFG-STE) NMR experiments.
PMID- 27185109
TI - Modified pineapple peel cellulose hydrogels embedded with sepia ink for effective
removal of methylene blue.
AB - Novel composite hydrogels based on pineapple peel cellulose and sepia ink were
synthesized by homogeneous acetylation of cellulose in ionic liquid 1-butyl-3
methylimidazolium chloride. The structure and morphology of the prepared
hydrogels were characterized by Fourier transform infrared spectroscopy, field
emission scanning electron microscope, X-ray diffraction, thermogravimetry and
differential scanning calorimetry. The effects of acetylation time, acetylation
temperature, molar ratio of acetic anhydride/anhydroglucose unit and the additive
amount of sepia ink on methylene blue adsorption capacity of the hydrogels
embedded with sepia ink were also investigated. Methylene blue adsorption of the
hydrogels followed pseudo-second-order kinetic model and sepia ink improved
adsorption capacity significantly. The adsorption capacity at equilibrium was
increased from 53.72 to 138.25mg/g when the additive amount of sepia ink of the
hydrogels was 10%.
PMID- 27185110
TI - Characterisation of microcrystalline cellulose from oil palm fibres for food
applications.
AB - Microcrystalline cellulose (MCC) extracted from empty fruit bunches (EFB), stalk
and spikelet were characterised through physicochemical and microstructure
analyses. Raw stalk fibres yielded the highest cellulose content (42.43%),
followed by EFB (32.33%) and spikelet (18.83%). Likewise, lowest lignin and
residual oil content was reported in raw stalk fibres compared to EFB and
spikelet. SEM revealed significant changes on fibres' surface morphology
throughout the extraction process. FTIR analysis showed that main characteristic
peaks of hemicellulose and lignin was absent on the extracted MCC. The
crystallinity index for MCC extracted from EFB (82.5%), stalk (82.2%) and
spikelet (86.5%) was comparable to commercial MCC (81.9%). Results suggested
stalk fibres is more preferable for the production of MCC compared to EFB and
spikelet. Further rheological studies showed viscoelastic behaviour with no
significant differences between commercial and stalk-based MCC, while modelling
work showed ability to simulate complex deformation of the MCC-hydrogel/food
mixture during processing/handling stage.
PMID- 27185111
TI - Preparation of succinylated cellulose membranes for functionalization purposes.
AB - The anhydroglucose chains of cellulose possess hydroxyls that facilitate
different chemical modification strategies to expand on, or provide new
applications for membranes produced by the bacteria Gluconacetobacter xylinus.
Conjugation with biomolecules such as proteins, especially by the amine groups,
is of great value and interest for the production of biomaterial derivatives from
bacterial cellulose. To assist in these modifications, cellulose was succinylated
in order to prevent steric hindrance and to create an attachment point for
conjugation. Bacterial cellulose membranes were first treated in dichloromethane
and reacted with succinic anhydride through a series of conditions. The membrane
structure remained intact after these first processes and the product was
confirmed by Infra-Red spectroscopy and solid state nuclear magnetic resonance
and characterized by X-ray diffraction, thermogravimetry and atomic force
microscopy. Hydrolyzed collagen was used as a model protein of interest to be
conjugated to these membranes, which furnished a biomaterial functionalized over
its surface.
PMID- 27185112
TI - A new photoelectric ink based on nanocellulose/CdS quantum dots for screen
printing.
AB - CdS quantum dots with excellent photoelectrical properties embedded in
nanocellulose could be exploited for use in photoelectrical ink. In this work,
nanocellulose/CdS quantum dot composites were fabricated by controlling the
carboxylate content of the nanocellulose and the molar ratio of Cd(2+)/-COOH. New
photoelectric inks were prepared based on the composites, in which the CdS
quantum dots acted as the pigment and the nanocellulose as the binder. The
results of the photocurrent of the composites showed that the photocurrent could
be tailored by the carboxylate content and the molar ratio of Cd(2+)/-COOH. And
the photocurrent could be as high as 2MUA. The surface tension of the
photoelectric ink was 27.80+/-0.03mN/m and its viscosity was 30.3mPas. The
photoelectric ink was stable with excellent fluidity and rheology, it could
therefore be applied to screen-printing and three-dimensional (3D) printing.
PMID- 27185113
TI - Interaction between kappa- and iota-carrageenan and anthocyanins from Vaccinium
myrtillus.
AB - Anthocyanins (ATCs) from the Vaccinium myrtillus water extract have been
incorporated into the kappa-carrageenan (kappa-CARG) or iota-carrageenan (iota
CARG) during complexation in dilute solutions or adsorption onto the
microgranules of kappa-CARG or iota-CARG cross-linked with epichlorohydrin. At
the optimal weight ratio of CARG to ATC at 0.4:1 and the total ATCs and CARG
concentration<=0.07g/L, stable dispersions of the kappa-CARG/ATCs or iota
CARG/ATCs complex nanosize particles were obtained. The Langmuir, Freundlich and
Dubinin-Radushkevich adsorption models have been used to describe the equilibrium
adsorption of ATCs on cross-linked CARG. The introduction of ATCs into CARG and
cross-linked CARG was confirmed by FT-IR spectroscopy. The data of the HPLC
analysis showed that during adsorption on cross-linked iota-CARG microgranules
ATCs are isolated from the crude water extract of wild bilberries, whereas other
phenolics remain in the adsorption solution. After desorption from cross-linked
CARG/ATC into different media the sufficiently pure and stable solutions of ATCs
were obtained.
PMID- 27185114
TI - Freeze-thaw induced gelation of alginates.
AB - Adding divalent ions or lowering pH below the pKa values of alginate monomers are
common ways in preparing alginate gels. Herein a new way of preparing alginate
gels using freeze-thaw technique is described. Solvent crystallization during
freezing drove the polymers to associate into certain structures that became the
junction zones of hydrogels after thawing. It enabled the preparation of alginate
gels at pH 4.0 and 3.5, two pH at which the gel could not be formed previously.
At pH 3.0 where alginate gel could be formed initially, applying freeze-thaw
treatment increased the gel storage modulus almost 100 times. The formation of
hydrogels and the resulting gel properties, such as dynamic moduli and gel
syneresis were influenced by the pH values, number of freeze-thaw cycles,
alginate concentrations, and ionic strengths. The obtained hydrogels were soft
and demonstrated a melting behavior upon storage, which may find novel
applications in the biomedical industry.
PMID- 27185115
TI - Single molecule investigation of the onset and minimum size of the calcium
mediated junction zone in alginate.
AB - One of the principal roles of alginate, both natively and in commercial
applications, is gelation via Ca(2+)-mediated crosslinks between blocks of
guluronic acid. In this work, single molecule measurements were carried out
between well-characterised series of nearly monodisperse guluronic acid blocks
('oligoGs') using dynamic force spectroscopy. The measurements provide evidence
that for interaction times on the order of tens of milliseconds the maximum
crosslink strength is achieved by pairs of oligoGs long enough to allow the
coordination of 4Ca(2+) ions, with both shorter and longer oligomers forming
weaker links. Extending the interaction time from tens to hundreds of
milliseconds allows longer oligoGs to achieve much stronger crosslinks but does
not change the strength of individual links between shorter oligoGs. These
results are considered in light of extant models for the onset of cooperative
crosslinking in polyelectrolytes and an anisotropic distribution of oligoGs on
interacting surfaces and provide a timescale for the formation and relaxation of
alginate gels at the single crosslink level.
PMID- 27185116
TI - The linear structure of beta-glucan from baker's yeast and its activation of
macrophage-like RAW264.7 cells.
AB - Yeast beta-glucan has many formulations with different chemical structures, water
solubility and purity. In particular, the purity of beta-glucan in these
formulations is variable and relatively low, contributing to different data on
its biological activity. In this study, the major polysaccharide component in the
crude Baker's yeast polysaccharides coded as BBG with high purity of 99% was
obtained, and its chemical structure was determined to be a linear beta-(1,3)
glucan. It was found that BBG interacted with complement receptor 3 (CR3) and
toll-like receptor 2 (TLR2) on the surface of macrophage-like RAW264.7 cells, and
initiated activation of RAW264.7 cells characterized by significant production of
tumor necrosis factor-alpha (TNF-alpha) and monocyte chemoattractant protein 1
(MCP-1). Additionally, activation of the nuclear factor kappaB p65 (NF-kappaB
p65), c-Jun N-terminal kinase (JNK) and extracellular signal-regulated kinase
(ERK) induced by BBG, were also observed, further confirming the stimulation of
RAW264.7 cells by BBG. All these findings provided important scientific evidences
for better understanding the molecular mechanism of action for the linear beta
(1,3)-glucan in cells.
PMID- 27185118
TI - Effect of starch on the mechanical and in vitro properties of collagen
hydroxyapatite sponges for applications in dentistry.
AB - This study sought to improve the mechanical and blood-absorbing properties of
collagen sponges, while keeping them compressible, by incorporating blended
hydroxyapatite (HA)-starch. Results were compared with CollaPlug((r)) (pure
collagen). The elastic modulus increased from 1.5+/-0.2kPa for CollaPlug((r)) to
49+/-8kPa for sponges with composition 1:4:10 (collagen:HA:starch, by weight).
The modified microstructure and surface area provided by the starch granules on
the sponges improved cell viability. Sponges with composition 1:4:10 maintained
their blood-clotting capability with almost no change from 5 to 15min after
contact with blood, while CollaPlug((r)) diminished to about half its capacity to
absorb blood and form clots. Incorporation of HA-starch into the sponges with
composition of 1:4:10, increased the elastic modulus of the collagen-HA sponges,
making them more structurally robust. The viability of cells and the blood
clotting capability increased with starch incorporation.
PMID- 27185117
TI - Nanofibrillated cellulose from tobacco industry wastes.
AB - Tobacco stems waste underwent steam explosion pulping for nanofibrillated
cellulose (NFC) production. In order to obtain NFC hydrogels, the pulp obtained
by steam explosion was bleached and refined in a grinder employing specific
energy of up to 5067kWh/t. Eucalyptus kraft pulp was processed under the same
conditions to produce NFC hydrogels, later used in order to compare with NFC
hydrogels from tobacco stems waste. According to statistical analysis, the
optimum tobacco stems pulping condition was obtained with a severity index of
log3.0 and active alkali of 16.25%. These conditions allowed obtaining a bleached
pulp with Schopper Riegler degree of 46. Electronic microscopy with field
emission showed a higher presence of nanofibers in the tobacco stems pulp than in
commercial eucalyptus kraft pulp, both after refining. Thermal analysis indicated
that tobacco stems pulp degrade at lower temperatures than eucalyptus kraft pulp.
FTIR analysis did not indicate chemical bonding differences between the two
pulps.
PMID- 27185119
TI - Anti-diabetic polysaccharides from natural sources: A review.
AB - Diabetes mellitus (DM) is a metabolic disease attracted worldwide concerns, which
severely impairs peoples' quality of life and is attributed to several life
threatening complications, including atherosclerosis, nephropathy and
retinopathy. The current therapies for DM include mainly oral anti-diabetic drugs
and insulin. However, continuous use of these causes insulin resistance and side
effects, and the demand of effective, nontoxic and affordable drugs for DM
patients is eager. Several previous studies have shown that non-toxic biological
macromolecules, mainly polysaccharides, possess prominent efficacies on DM. Based
on these encouraging observations, a great deal of efforts have been focused on
discovering anti-diabetic polysaccharides for the development of effective
therapeutics for DM. This review focuses on the advancements in the anti-diabetic
efficacy of various natural polysaccharides and polysaccharide complexes from
2010 to 2015.
PMID- 27185120
TI - Reactive electrospinning of composite nanofibers of carboxymethyl chitosan cross
linked by alginate dialdehyde with the aid of polyethylene oxide.
AB - We have prepared carboxymethyl chitosan-alginate dialdehyde (CMCS-ADA) nanofibers
via a reactive electrospinning process with the aid of polyethylene oxide (PEO).
The presence of PEO delayed the gelation of CMCS and ADA, thus providing ease of
use to adjust the mixing of CMCS-PEO and ADA-PEO blended solution. The mixed
solution can be adjusted to come out from the needle before the gel formation or
when the gel was just about to form. Defect-free CMCS-ADA-PEO nanofibers with
average diameters ranging from 100nm to 900nm were obtained using water as a
solvent. The in situ cross-linked CMCS-ADA nanofibers were then obtained
following the extraction of water-soluble PEO. After immersion in phosphate
buffered saline (PBS) at a pH of 7.4 for up to 15days, the as-spun CMCS-ADA-PEO
composite nanofibers maintained structural integrity, confirming the success of
the crosslinking. The PEO-extracted CMCS-ADA nanofibers promoted the adhesion,
proliferation and alkaline phosphatase activity of bone marrow stromal cells.
PMID- 27185121
TI - Development of polyamide-6,6/chitosan electrospun hybrid nanofibrous scaffolds
for tissue engineering application.
AB - The development of biofunctional and bioactive hybrid polymeric scaffolds seek to
mitigate the current challenges in the emerging field of tissue engineering. In
this paper, we report the fabrication of a biomimetic and biocompatible
nanofibrous scaffolds of polyamide-6,6 (PA-6,6) blended with biopolymer chitosan
via one step co-electrospinning technique. Different weight percentage of
chitosan 10wt%, 15wt%, and 20wt% were blended with PA-6,6, respectively. The
nanocomposite electrospun scaffolds mats enabled to provide the osteophilic
environment for cells growth and biomineralization. The morphological and
physiochemical properties of the resulted scaffolds were studied using field
emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), and
Fourier transform-infrared (FT-IR) spectroscopy. The improvement in
hydrophilicity and mechanical strength of the bio-nanocomposite mesh with 20wt%
chitosan embedded, was the desired avenue for adhesion, proliferation and
maturation of osteoblast cells as compared to other sample groups and pure PA-6,6
fibrous mat. The biomineralization of the nanocomposite electrospun mats also
showed higher ability to nucleate bioactive calcium phosphate (Ca/P)
nanoparticles comparing to pristine PA-6,6. Furthermore, the biomimetic nature of
scaffolds exhibited the cells viability and regeneration of pre-osteoblast (MC3T3
E1) cells which were assessed via in vitro cell culture test. Collectively, the
results suggested that the optimized 20wt% of chitosan supplemented hybrid
electrospun fibrous scaffold has significant effect in biomedical field to create
osteogenic capabilities for tissue engineering.
PMID- 27185123
TI - Structure of clusters and building blocks in amylopectin from African rice
accessions.
AB - Enzymatic hydrolysis in combination with gel-permeation and anion-exchange
chromatography techniques were employed to characterise the composition of
clusters and building blocks of amylopectin from two African rice (Oryza
glaberrima) accessions-IRGC 103759 and TOG 12440. The samples were compared with
one Asian rice (Oryza sativa) sample (cv WITA 4) and one O. sativa*O. glaberrima
cross (NERICA 4). The average DP of clusters from the African rice accessions
(ARAs) was marginally larger (DP=83) than in WITA 4 (DP=81). However, regarding
average number of chains, clusters from the ARAs represented both the smallest
and largest clusters. Overall, the result suggested that the structure of
clusters in TOG 12440 was dense with short chains and high degree of branching,
whereas the situation was the opposite in NERICA 4. IRGC 103759 and WITA 4
possessed clusters with intermediate characteristics. The commonest type of
building blocks in all samples was group 2 (single branched dextrins)
representing 40.3-49.4% of the blocks, while groups 3-6 were found in
successively lower numbers. The average number of building blocks in the clusters
was significantly larger in NERICA 4 (5.8) and WITA 4 (5.7) than in IRGC 103759
and TOG 12440 (5.1 and 5.3, respectively).
PMID- 27185122
TI - Chitosan/poly(vinyl alcohol)/bovine bone powder biocomposites: A potential
biomaterial for the treatment of atopic dermatitis-like skin lesions.
AB - Atopic dermatitis (AD) is a chronic inflammatory skin disease that affects a
large percent of the worlds population. This long-lasting skin disease has been
treated by different approaches according to its causative agent and severity.
Nonetheless, the use of advanced biomaterials to treat AD is poorly explored. The
present study assessed the protective effectiveness of biocomposites films based
on chitosan (Cs), poly(vinyl alcohol) (PVA) and bovine bone powder (BBP) on AD
like skin lesions. These original biocomposites were fully characterized and in
vivo biological assays concerning the AD treatment were performed using a mouse
model induced by 2,4-dinitrochlorobenzene (DNCB). The dorsal skin and ear of
Balb/c female mice were challenging cutaneously with DNCB. Our findings
demonstrate BBP-based biocomposite attenuated and treated considerably the DNCB
induced skin lesions in an AD-like model. In this sense, this study suggests that
this original biocomposite may be applied as an active biomaterial for AD
treatment.
PMID- 27185124
TI - Chitosan-starch beads prepared by ionotropic gelation as potential matrices for
controlled release of fertilizers.
AB - The present study examines the agrochemical application of macrospheres prepared
with chitosan and chitosan-starch blends by an easy dripping technique, using a
sodium tripolyphosphate aqueous solution as the crosslinking agent. These
biopolymers form hydrogels that could be a viable alternative method to obtain
controlled-release fertilizers (CRFs). Three different concentrations (ranging
from 20 to 100wt/wt% of chitosan) and two crosslinking times (2 or 4h) were used.
The resulting polymeric matrices were examined by scanning electron microscopy
coupled with energy dispersive X-ray, X-ray diffraction, Fourier transform
infrared spectroscopy, solid-state nuclear magnetic resonance, thermogravimetric
analysis and differential scanning calorimetry. Ionotropic gelation and
neutralization induced the formation of the macrospheres. The crosslinking time
and the composition of the polymeric hydrogel controlled the crosslinking degree,
the swelling behavior and the fertilizer loading capability. Potassium nitrate
loaded beads were shown to be useful as a controlled-release fertilizer. After
14days of continuous release into distilled water, the cumulative concentration
in the release medium reached between 70 and 93% of the initially loaded salt,
depending on the matrix used. The prepared beads showed properties that make them
suitable for use in the agrochemical industry as CRFs.
PMID- 27185126
TI - Dye adsorption and bactericidal properties of TiO2/chitosan coating layer.
AB - A new kind of titanium oxide dispersed in chitosan (TiO2/CS) nanocomposite
adsorbent was prepared and adhered to high surface area substrate, cellulose
microfibers mat (CMM). CS-CMM and TiO2/CS-CMM were used for the thymol violet
(TV) dye removal from wastewater. Characterization of materials was carried out
by X-ray diffraction, scanning electron microscope and energy dispersive X-ray
spectroscopy. The adsorption properties of both the CS-CMM and TiO2/CS-CMM were
investigated as a function of adsorbent dosage, solution pH, and contact time. It
was revealed that the composites pretreated in the solution with higher pH value
exhibited larger adsorption capacities. Kinetic studies showed that the
composites could adsorb TV dye rapidly and reached the equilibrium in 90min. The
adsorption process followed pseudo-second order kinetics and involved particle
diffusion mechanism. The calculated maximum adsorption capacities of CS-CMM and
TiO2/CS-CMM were 84.32 and 97.51mgg(-1), respectively. Compare to CS, the TiO2/CS
nanocomposite coated CMM showed higher antibacterial characteristics as tested
against Escherichia coli.
PMID- 27185125
TI - Application of ethyl cellulose, microcrystalline cellulose and octadecanol for
wax based floating solid dispersion pellets.
AB - The present study aimed to develop and optimize the wax based floating sustained
release dispersion pellets for a weakly acidic hydrophilic drug protocatechuic
acid to achieve prolonged gastric residence time and improved bioavailability.
This low-density drug delivery system consisted of octadecanol/microcrystalline
cellulose mixture matrix pellet cores prepared by extrusion-spheronization
technique, coated with drug/ethyl cellulose 100cp solid dispersion using single
step fluid-bed coating method. The formulation-optimized pellets could maintain
excellent floating state without lag time and sustain the drug release
efficiently for 12h based on non-Fickian transport mechanism. Observed by SEM,
the optimized pellet was the dispersion-layered spherical structure containing a
compact inner core. DSC, XRD and FTIR analysis revealed drug was uniformly
dispersed in the amorphous molecule form and had no significant physicochemical
interactions with the polymer dispersion carrier. The stability study of the
resultant pellets further proved the rationality and integrity of the developed
formulation.
PMID- 27185128
TI - Determination of the glass transition temperature of cyclodextrin polymers.
AB - The aim of this work was to determine the main physical characteristics of beta
cyclodextrin polymers, well known for improving complexation capacities and
providing enhanced and sustained release of a large panel of drugs. Two polymers
were investigated: a polymer of beta-cyclodextrin (polybeta-CD) and a polymer of
partially methylated (DS=0.57) beta-cyclodextrin (polyMe-beta-CD). The physical
characterizations were performed by powder X-ray diffraction and differential
scanning calorimetry. The results indicate that these polymers are amorphous and
that their glass transition is located above the thermal degradation point of the
materials preventing their direct observation and thus their full
characterization. We could however estimate the virtual glass transition
temperatures by mixing the polymers with different plasticizers (trehalose and
mannitol) which decreases Tg sufficiently to make the glass transition
observable. Extrapolation to zero plasticizer concentration then yield the
following Tg values: Tg (polyMe-beta-CD)=317 degrees C+/-5 degrees C and Tg
(polybeta-CD)=418 degrees C+/-6 degrees C.
PMID- 27185127
TI - Bathroom greywater recycling using polyelectrolyte-complex bilayer membrane:
Advanced study of membrane structure and treatment efficiency.
AB - Polyelectrolyte-complex bilayer membrane (PCBM) was fabricated using
biodegradable chitosan and alginate polymers for subsequent application in the
treatment of bathroom greywater. In this study, the properties of PCBMs were
studied and it was found that the formation of polyelectrolyte network reduced
the molecular weight cut-off (MWCO) from 242kDa in chitosan membrane to 2.71kDa
in PCBM. The decrease in MWCO of PCBM results in better greywater treatment
efficiency, subsequently demonstrated in a greywater filtration study where
treated greywater effluent met the household reclaimed water standard of <2 NTU
turbidity and <30ppm total suspended solids (TSS). In addition, a further 20%
improvement in chemical oxygen demand (COD) removal was achieved as compared to a
single layer chitosan membrane. Results from this study show that the
biodegradable PCBM is a potential membrane material in producing clean treated
greywater for non-potable applications.
PMID- 27185129
TI - Elucidation of aqueous interactions between fish gelatin and sodium alginate.
AB - The interactions between fish gelatin (FG) and sodium alginate (AL) in aqueous
solutions were investigated at 25 degrees C by turbidimetric acid titration, zeta
potentiometry, dynamic light scattering, methylene blue spectrophotometry,
confocal microscopy, and three types of state diagram. FG formed solid-state
insoluble complexes, i.e., precipitates, with AL, mainly by electrostatic
attractions; the complex formation was significantly influenced by pH, FG-to-AL
weight ratio, total biopolymer concentration (CT), and ionic strength. The
insoluble complexes formed below a boundary pH (pHphi1) underwent continuous
aggregation during acid titration, until immediate visible precipitation occurred
at another boundary pH (pHp). The formation and aggregation of insoluble
complexes were facilitated by increasing CT or adding small amounts of NaCl, but
were greatly suppressed in the presence of high NaCl concentration. The insoluble
complexes were formed reversibly depending on pH and transformed to a coupled gel
network after 24h incubation, depending on pH, CT, and ionic strength.
PMID- 27185130
TI - Hydrogen peroxide generation by the Weissberger biogenic oxidative system during
hyaluronan degradation.
AB - By applying the enzyme catalase, our study on hyaluronan degradation confirms the
generation of hydrogen peroxide using the Weissberger biogenic oxidative system
(WBOS), which is composed of ascorbate and cupric ions. Dynamic viscosities of
hyaluronan (HA) solutions influenced by WBOS in the absence and presence of
catalase were analysed by rotational viscometry. Molar masses of HAs were
determined by size-exclusion chromatography with multi-angle laser-light
scattering. Our results show that catalase dose-dependently inhibited the
degradation of HA macromolecules, which presumably confirms the generation of
H2O2 in the reaction system. This has implications in range of biomedical
applications such as arthritic joint treatment, tissue engineering, ocular and
cosmetic surgery.
PMID- 27185131
TI - Photocatalytic activity and antimicrobial properties of paper sheets modified
with TiO2/Sodium alginate nanocomposites.
AB - Photocatalytic paper sheets were prepared by addition of different ratios of
TiO2/Sodium alginate (TSA) nanocomposite. The modified paper sheets were
characterized by XRD, TGA. Their morphology was studied by scanning electron
microscope (SEM) and energy dispersive X-ray (EDX). Photocatalytic activity of
modified paper has been studied by analysis of chemical oxygen demand (COD) of
waste-water. The results confirmed the mineralization of the waste-water and
enhanced removal of chemical oxygen demand (COD) by increasing the amount of
photocatalyst in the paper. Moreover, the results also confirmed that presence of
sodium alginate as biopolymer increased adhesion of nanoparticles to paper fibers
and reduced the harmful effect of the photocatalyst on them. The paper sheets
containing 7% as well as 15% TSA showed high photocatalytic activity and anti
bacterial effect against Salmonella typhimurium higher than standard antibiotic
beside other microorganisms such as Candida albicans. The maximum antimicrobial
effect was found in case of specimen loaded with 15% TSA. Moreover, it was found
that by adding 20% TSA to the paper matrix, the properties of the paper composite
collapse. The obtained results confirm the possible utilization of the modified
paper in both hygienic and food packaging applications.
PMID- 27185133
TI - Non toxic, antibacterial, biodegradable hydrogels with pH-stimuli sensitivity:
Investigation of swelling parameters.
AB - In this work, a series of pH-sensitive hydrogels were synthesized from Sodium
alginate (SA), Ethylene glycol (EG) and Acrylic acid (AA). Biodegradability of
hydrogel was tested against soil burial test for 35days and in vitro phosphate
buffer solution test for 10days respectively. Degradation of the sample might be
due to the breakdown of ester linkage and hydrophilic pendant functionality
present in hydrogel. The progression of biodegradation was examined by Scanning
electron microscopy (SEM) and Fourier transform infrared spectroscopy (FT-IR).
Detailed swelling parameters such as swelling equilibrium Seq (%) at various pH,
biological fluids (distilled water (DW), physiological saline 0.89% NaCl (PS),
iso-osmotic phosphate buffer at pH 7.4 (PB)) and equilibrium water content (EWC)
have also been investigated, which revealed that dynamic compassion of hydrogels.
The hydrogel has shown strong antibacterial activity against Escherichia coli
(gram negative) and Staphylococcus aureus (gram positive) bacteria's. Cytotoxic
assays, using MTT Assay in 3T3 fibroblast Cell line was performed. At 10MUg/ml,
cell viability was in the range of 92-94%. However, the cell viability (%)
decreases with increasing concentration of sample. The synergistic effect of
biodegradable hydrogels possessing excellent swelling properties, high water
content, biocompatibility and wound healing tendency using in vivo test can be
made as suitable candidate for biomedical applications. In vivo wound healing
studies conducted on a Wister albino rat model of incision wound performed for
9days. The results revealed that more accelerated wound healing have been
observed even in shorter duration. Thus, the synthesized hydrogel with great pH
responsiveness and excellent drug delivery may have a great opening for
biomedical applications.
PMID- 27185132
TI - Antibacterial activity and mechanism of chitosan with ultra high molecular
weight.
AB - Chitosan with different degree of deacetylation (DD) and ultra high molecular
weight (MW >10(6)) was prepared from beta-chitin by mild deacetylation. The
effects of DD of chitosan and pH value of its solution/suspension on its
antibacterial activity were investigated. The results showed that the optimal pH
value was 6.0 for the highest bactericidal activity. The antibacterial activity
against Escherichia coli and Staphylococcus aureus of chitosan solution at pH 6.0
enhanced as the DD of chitosan increased. Same as chitosan with low MW, the
antibacterial activity of chitosan with high MW in acidic solution was also due
to the amino protonation and subsequently cationic formation. Its ultra long
molecular chain was propitious to coat and bind the E. coli and S. aureus, and
highly enhanced its antibacterial activity. E. coli and S. aureus were at first
restrained and then killed by chitosan and the cells were ruptured and decomposed
gradually.
PMID- 27185134
TI - Rheological and microstructural properties of porcine gastric digesta and diets
containing pectin or mango powder.
AB - Hydrated polysaccharides and their assemblies are known to modulate gastric
emptying rate due to their capacity to change the structural and rheological
properties of gastric contents (digesta). In the present study, we investigated
the rheological and microstructural properties of gastric digesta from pigs fed
with diets incorporating mango powder or pectin, and compared results with those
from hydrated diets of the same water content, in order to investigate the
origins for rheological changes in the pig stomach. All of the hydrated diets and
gastric digesta were particle-dominated suspensions, generally showing weak gel
or more solid-like behavior with the storage modulus (G') always greater than
loss modulus (G") under small deformation oscillatory measurements, and with
small deformation viscosity greater than steady shear viscosity (i.e. non-Cox
Merz superposition). Although significant rheological differences were observed
between the hydrated diets, rheological parameters for gastric digesta were
similar for all diets, indicative of a rheological homeostasis in the pig
stomach. Whilst the addition of gastric mucin (20mg/mL) to control and mango
diets altered the rheology to match the gastric digesta rheology, the effect of
mucin on the pectin-containing diet was negligible. The viscous effect of pectin
also hindered the action of alpha amylase as observed from relatively less
damaged starch granules in pectin digesta compared to mango and control digesta.
Based on the experimental findings that the rheology of gastric digesta differs
from hydrated diets of the same water content, the current study revealed
composition-dependent complex behavior of gastric digesta in vivo, suggesting
that the rheology of food products or ingredients may not necessarily reflect the
rheological effect when ingested.
PMID- 27185135
TI - Tuning of cellulose fibres' structure and surface topography: Influence of
swelling and various drying procedures.
AB - Presented study deals with the pre-treatment of cellulose fibres with the aim to
activate their surface and to enlarge their pore system, leading to an
enhancement of fibres' affinity for subsequent functionalization processes.
Swelling of fibres in aqueous solutions of sodium hydroxide opens their fibrillar
structure, while freezing and freeze-drying retain this enlargement of the pore
system, in contrast with conventional air or elevated temperature drying. Effect
of different pre-treatment procedures on fibres' supramolecular structure,
enlargement of their pore system, surface topography, zeta potential and
mechanical properties was investigated. Degree of enhancement of the pore system
depends on the concentration of sodium hydroxide and type of freezing; higher
alkali concentrations are more effective, but at the cost of extensive
deterioration of mechanical properties. Swelling of fibres in lower
concentrations of NaOH, in combination with freeze drying, offers an acceptable
compromise between enhancement of the fibres' pore system, changes in surface
potential and tensile properties of treated fibres. Design of a suitable regime
of swelling and drying of cellulose fibres results in an effective procedure for
controlled tuning of their surface topography in combination with an increase of
the available internal surface area and pore volume.
PMID- 27185136
TI - Cyclodextrin type dependent host-guest interaction mode with phthalocyanine and
their influence on photodynamic activity to cancer.
AB - Three host-guest complexes of phthalocyanines (Pc) with alpha-, beta- or gamma
cyclodextrins (CDs) were prepared and their interaction modes, reactive oxygen
species (ROSs) generation ability and in vitro anticancer activities were studied
and compared. After forming complex with CD, the aggregation degree of Pc was
greatly decreased and the water solubility and photodynamic activity was sharply
increased. Computer modeling results indicated that the interaction modes between
Pc and CDs were varied with different kinds of CD. Especially, the complex of Pc
and beta-CD has superior stability, ROSs generation ability, and anticancer
activity to other complexes.
PMID- 27185137
TI - Preparation of biodegradable xanthan-glycerol hydrogel, foam, film, aerogel and
xerogel at room temperature.
AB - Polymers, hence hydrogels, pollute waters and soils accelerating environmental
degradation. Environmentally benign hydrogels were made in water from
biodegradable xanthan (X) and glycerol (G) at 22.5+/-2.5 degrees C. Molar ratio
[G]/[X]<3.0 was used to maximize crosslinking by mono-glycerol instead by poly
glycerol. XG-hydrogels were transformed into: XG-foams, XG-films, and XG-aerogel.
Anionic character of XG-materials changes with changing [G]/[X] ratio. XG-films
made from XG-hydrogels absorb up to 40 times more water than XG-films made from
XG-foams. The films made from XG-foams and HCl do not dissolve in water during
48h. Making XG-materials is a no-waste process which decreases pollution,
eliminates waste disposal costs, and minimizes energy expenses. XG-materials are
suitable for both industrial and environmental applications including slow
release and concentration of cations. XG-materials, made of xanthan, microbial
polysaccharide, could also be used in applications targeting populations that do
not consume meat or meat based products.
PMID- 27185138
TI - The fast and effective isolation of nanocellulose from selected cellulosic
feedstocks.
AB - A new process for the production of nanocellulose from selected cellulose
containing natural materials has been developed. The liquefaction reaction, using
glycols and mild acid catalysis (methane sulphonic acid), was applied to four
model materials, namely cotton linters, spruce wood, eucalyptus wood and Chinese
silver grass. The process contains only four steps, the milling, the glycolysis
reaction, centrifugation and final rinsing with an organic solvent. The
nanocrystalline cellulose recovery was between 56% and 75%. The crystallinity
index was greater than that of the starting materials due to the liquefaction of
lignin, hemicelluloses and amorphous cellulose. The final product was a stable,
highly concentrated nanocrystalline cellulose suspension in the organic medium.
The liquid residue, after the liquefaction of the cotton linters contained
significant quantities of levulinic acid. Different sugars were identified in the
liquid residues that were derived from cellulose and hemicelluloses during the
liquefaction reaction.
PMID- 27185139
TI - Development of nanocellulose scaffolds with tunable structures to support 3D cell
culture.
AB - Swollen three-dimensional nanocellulose films and their resultant aerogels were
prepared as scaffolds towards tissue engineering application. The nanocellulose
hydrogels with various swelling degree (up to 500 times) and the resultant
aerogels with desired porosity (porosity up to 99.7% and specific surface area up
to 308m(2)/g) were prepared by tuning the nanocellulose charge density, the
swelling media conditions, and the material processing approach. Representative
cell-based assays were applied to assess the material biocompatibility and
efficacy of the human extracellular matrix (ECM)-mimicking nanocellulose
scaffolds. The effects of charge density and porosity of the scaffolds on the
biological tests were investigated for the first time. The results reveal that
the nanocellulose scaffolds could promote the survival and proliferation of tumor
cells, and enhance the transfection of exogenous DNA into the cells. These
results suggest the usefulness of the nanocellulose-based matrices in supporting
crucial cellular processes during cell growth and proliferation.
PMID- 27185141
TI - Application of model bread baking in the examination of arabinoxylan-protein
complexes in rye bread.
AB - The changes in molecular mass of arabinoxylan (AX) and protein caused by bread
baking process were examined using a model rye bread. Instead of the normal
flour, the dough contained starch, water-extractable AX and protein which were
isolated from rye wholemeal. From the crumb of selected model breads, starch was
removed releasing AX-protein complexes, which were further examined by size
exclusion chromatography. On the basis of the research, it was concluded that
optimum model mix can be composed of 3-6% AX and 3-6% rye protein isolate at 94
88% of rye starch meaning with the most similar properties to low extraction rye
flour. Application of model rye bread allowed to examine the interactions between
AX and proteins. Bread baked with a share of AX, rye protein and starch, from
which the complexes of the highest molar mass were isolated, was characterized by
the strongest structure of the bread crumb.
PMID- 27185140
TI - An environment-friendly and multi-functional absorbent from chitosan for organic
pollutants and heavy metal ion.
AB - Developing environment-friendly green absorbents for disposal of wastewater
remains to be studied. In this paper, the cross-linked chitosan aerogel (CsA) as
an environment-friendly absorbent was obtained by a simple method involving cross
linked process and freeze drying technique. Compared with conventional
absorbents, the porous chitosan aerogel was provided with unique properties such
as low density (0.0283g/cm(3)), high porosity (97.98%) and outstanding adsorption
performance. The chitosan aerogel also displayed good reusability and excellent
elasticity with a maximal thickness recovery up to 96.8% of the original
thickness. The as-prepared absorbent exhibited preferable adsorption capacities
for crude oil, diesel and copper ion (41.07g/g, 31.07g/g and 21.38mg/g,
respectively). The aerogel can collect a wide range of organic solvents and oils
with absorption capacities up to 40 times their own weight, depending on the
density and viscosity of the liquids. The adsorption capacity for heavy metal ion
was also considerable and the maximum adsorption capacity (qm) of the aerogel for
copper ion was 35.08mg/g according to Langmuir isotherm model. Consequently, the
chitosan aerogel with versatile adsorption properties has a good potential for
wastewater treatment in environmental application.
PMID- 27185142
TI - Oxidation of amylose and amylopectin by hydroxyl radicals assessed by
electrospray ionisation mass spectrometry.
AB - The hydroxyl radicals (HO) are one of the most reactive oxygen species (ROS)
involved in the oxidative damage of biological molecules, including
carbohydrates. During the industrial processing of food, ROS can be formed. In
order to identify the structural changes induced in starch by oxidation, amylose,
amylopectin, and maltotriose, an oligosaccharide structurally related to these
polysaccharides, were subjected to oxidation with HO generated under Fenton
reaction conditions (Fe(2+)/H2O2). The oxidised polysaccharides were hydrolysed
by alpha-amylase and the obtained oligosaccharides were fractionated by ligand
exchange/size-exclusion chromatography. Both acidic and neutral alpha-amylase
resistant oligosaccharides were characterized by mass spectrometry. In oxidised
neutral products, new keto, hydroxyl, and hydroperoxy moieties, and oxidative
ring scission were observed at the reducing end of the oligosaccharides. The acid
sugar residues occurred at the reducing end and included gluconic and glucuronic
acid derivatives, and acids formed by oxidative ring scission, namely,
arabinonic, erythronic, glyceric and glycolic acids.
PMID- 27185143
TI - Synthesis of polyvinyl alcohol/cellulose nanofibril hybrid aerogel microspheres
and their use as oil/solvent superabsorbents.
AB - Superhydrophobic and crosslinked poly(vinyl alcohol) (PVA)/cellulose nanofibril
(CNF) aerogel microspheres were prepared via a combination of the water-in-oil
(W/O) emulsification process with the freeze-drying process, followed by thermal
chemical vapor deposition of methyltrichlorosilane. The oil phase and the cooling
agent were judiciously selected to ensure that the frozen ice microspheres can be
easily separated from the emulsion system. The silanized microspheres were highly
porous with a bulk density ranging from 4.66 to 16.54mg/cm(3). The effects of the
solution pH, stirring rate, and emulsifier concentration on the morphology and
microstructure of the aerogel microspheres were studied. The highly porous
structure of the ultralight aerogel microspheres demonstrated an ultrahigh crude
oil absorption capacity (up to 116 times its own weight). This study provides a
novel approach for the large-scale preparation of polymeric aerogel microspheres
with well-controlled particle sizes that can be used for various applications
including oil and chemical spill/leak clean-up.
PMID- 27185144
TI - Evaluation of different methods to prepare superabsorbent hydrogels based on
deacetylated gellan.
AB - This study stands out for analyzing distinct ways of preparing hydrogels from
deacetylated gellan gum that have high swelling capacity and good thermal
resistance. We carried out a thorough investigation, applying various
combinations of different experimental parameters. Two preparation methods were
evaluated, in which the pH was adjusted before or after thermal treatment of the
gellan solution, with subsequent addition of the crosslinking agent, to assess
the influence of preparation method on the conformation of the gellan chains
regarding formation of double helices. The pH range tested varied from acid (2, 3
or 4) to basic (8, 9 or 10). Gellan solution was prepared in different
concentrations. Both pure gellan and hydrogel samples were characterized by
Fourier-transform infrared spectroscopy and thermogravimetry. Pure gellan was
also characterized by atomic absorption spectroscopy. The swelling degree of the
hydrogels was analyzed. The results showed that all the hydrogels had high
swelling capacity (>400%), so they can be considered superabsorbent materials.
Hydrogels prepared with acid pH in general had lower thermal resistance than
samples prepared in alkaline pH, regardless of the preparation method. Samples
prepared with alkaline pH tended to have initial decomposition temperature
similar to that of pure gellan.
PMID- 27185145
TI - Immune-enhancing activity of extracellular polysaccharides isolated from Rhizopus
nigricans.
AB - Extracellular polysaccharides (EPS1-1) was extracted from fermentation liquor of
Rhizopus nigricans and evaluated its immune-enhancing activities in vitro and in
vivo. Results suggested that the proliferation of lymphocyte was stimulated after
treated with EPS1-1. Moreover, the activities of macrophages were enhanced by
increasing the activities of phagocytosis and acid phosphatase, the production of
NO and the mRNA levels of IL-2, TNF-alpha and iNOS. Furthermore, EPS1-1 could
significantly boost the immunity of normal and immunosuppressed mice, which
included the increase of loaded swimming time, footpad swelling, organ index and
the secretion of IL-2 and TNF-alpha in serum, thus suggesting that EPS1-1 could
improve the body immunity through cellular immunity and humoral immunity. These
findings provided further insights into the potential use of EPS1-1 as
immunopotentiator or new function food.
PMID- 27185146
TI - Controlled production of polysaccharides-exploiting nutrient supply for levan and
heteropolysaccharide formation in Paenibacillus sp.
AB - Bacterial exopolysaccharides (EPSs) are promising sustainable alternatives to
synthetic polymers. Here we describe the production and characterization of
different EPSs produced by the recently isolated Paenibacillus sp. 2H2. A final
EPS titer of 4.54gL(-1) was recovered after a 17-h fermentation, corresponding to
a volumetric productivity of 0.27gL(-1)h(-1). Remarkably, supplying the
fermentation with specific carbon and nitrogen sources could be exploited for the
production of different polymers. A pure heteropolysaccharide composed of
glucose, mannose, galactose, and glucuronic acid (3.5:2:1:0.1) was obtained when
using glucose/glycerol and peptone as substrates. A pure levan-type polymer or
mixture of both polymers was observed with sucrose and NaNO3 or peptone. To our
knowledge, this is the first report that nutrients, particularly nitrogen
sources, can be used to fine-tune EPS production in Paenibacillaceae. Rheological
characterization of the heteropolysaccharide revealed impressive thickening
properties, suggesting its potential application in commodity materials.
PMID- 27185147
TI - Fabrication of bacterial cellulose-ZnO composite via solution plasma process for
antibacterial applications.
AB - Zinc oxide (ZnO) was successfully synthesized by applying a solution plasma, a
plasma discharge in a liquid phase, without the addition of a reducing agent and
simultaneously deposited into a bacterial cellulose pellicle that functioned as a
template. By the reasons of its nano-sized structure as well as favorable porous
configuration, the BC pellicle has been proved to be a splendid upholding
template for the coordination of ZnO. In addition, the ZnO-deposited BC
composites demonstrated strong antibacterial activity without a photocatalytic
reaction against both Staphylococcus aureus and Escherichia coli. Hence, the ZnO
deposited BC composites can be used as an antibacterial material in wound
dressing and water disinfection applications.
PMID- 27185148
TI - Optimization of infrared-assisted extraction of Bletilla striata polysaccharides
based on response surface methodology and their antioxidant activities.
AB - Bletilla striata polysaccharides (BSP) have attracted extensive research interest
due to their potential medical application. Herein, infrared-assisted technique
is employed for the first time to extract BSP from B. striata (Thunb.) Reichb.f.
based on a Box-Behnken design (BBD) and response surface methodology, with the
optimum extraction parameters as follows: 75 degrees C extraction temperature,
2.5h extraction time; and water to solid ratio (53ml/g). Based on it, 43.95+/
0.26% yield of crude BSP was obtained. Subsequently, crude BSP was further
decolorized, deproteinized, freeze-dried, and purified by a DEAE-52 cellulose
column. Furthermore, the micro-structure and a triple-helical structure of BSP
were characterized. Fourier transform infrared spectra confirmed its
polysaccharide characterization via typical peaks. In addition, the significant
in vitro antioxidant profiles of BSP were demonstrated by superoxide anion
radical-scavenging assay, hydroxyl radical scavenging assay, DPPH free radical
scavenging activity and chelation of ferrous ions. Taken together, this study
provide an efficient extraction technique for BSP as a promising natural
antioxidant.
PMID- 27185149
TI - Polyelectrolyte complex nanoparticles from cationised gelatin and sodium alginate
for curcumin delivery.
AB - Self assembled hybrid polyelectrolyte complex (PEC) nanoparticles are prepared
from cationically modified gelatin and sodium alginate (Alg) by electrostatic
complexation between the polymers. Cationised gelatin (CG) is prepared by the
reaction of gelatin with ethylenediamine. Structural changes in gelatin, after
modification with ethylenediamine are investigated by XRD and (1)H NMR
spectroscopy. Hybrid polyelectrolyte nanoparticles, labeled CG/Alg, are prepared
by simple mixing of CG and Alg. CG/Alg complex shows spherical morphology as
confirmed by scanning electron microscopy. These polyelectrolyte complex
nanoparticles can be used for the encapsulation and delivery of natural
antioxidant curcumin to carcinoma cells. CG/Alg nanoparticles show curcumin
encapsulation efficiency of 69% and exhibit sustained release of curcumin in
vitro. Anticancer activity of curcumin loaded CG/Alg nanoparticles towards MCF-7
cells is disclosed by MTT assay. Intracellular uptake of the drug encapsulated
nanoparticles is confirmed by fluorescent imaging.
PMID- 27185150
TI - Hydrolyzability of xylan after adsorption on cellulose: Exploration of xylan
limitation on enzymatic hydrolysis of cellulose.
AB - During pretreatment of lignocellulosic materials, the dissolved xylan would re
adsorb on cellulose, and then inhibits the cellulose hydrolysis by cellulases.
However, the hydrolyzability of xylan adsorbed on cellulose is not clear. In this
work, the adsorption behavior of xylans on celluloses and the hydrolysis of
adsorbed xylan by xylanase (XYL) were investigated. The results indicated that
the adsorption of beechwood xylan (BWX) and oat spelt xylan (OSX) on Avicel was
conformed to Langmuir-type adsorption isotherm. Higher ion strength increased the
adsorption of BWX on Avicel, but not that of OSX. Both BWX and OSX adsorbed on
Avicel and corn stover after dilute acid pretreatment (CS-DA) could be hydrolyzed
by XYL. Compared to OSX, BWX adsorbed on cellulosic materials could be more
easily hydrolyzed by XYL. Thus, supplementation of XYL could hydrolyze the xylan
adsorbed on cellulose and potentially improved hydrolysis efficiency of
lignocelluloses.
PMID- 27185152
TI - Visualisation of xanthan conformation by atomic force microscopy.
AB - Direct visual evidence obtained by atomic force microscopy demonstrates that when
xanthan is adsorbed from aqueous solution onto the heterogeneously charged
substrate mica, its helical conformation is distorted. Following adsorption it
requires annealing for several hours to restore its ordered helical state. Once
the helix state reforms, the AFM images obtained showed clear resolution of the
periodicity with a value of 4.7nm consistent with the previously predicted
models. In addition, the images also reveal evidence that the helix is formed by
a double strand, a clarification of an ambiguity of the xanthan ultrastructure
that has been outstanding for many years.
PMID- 27185151
TI - Structural characterization of complexes prepared with glycerol monoestearate and
maize starches with different amylose contents.
AB - Morphology and different structural features of V-amylose complexes prepared with
different concentrations (1%, 2%, 3%) of glycerol monostearate (GMS) and normal
maize (NMS), waxy maize (WMS), and high amylose maize (HAMS) starches were
evaluated using X-ray diffraction, differential scanning calorimetry, scanning
electronic microscopy (SEM), atomic force microscopy (AFM), and transmission
electronic microscopy (TEM). There was inclusion complex formation between all
starches and GMS regardless of emulsifier concentration, with exception of WMS
2%GMS and WMS-3%GMS samples. All of the inclusion complexes displayed a V-type
crystalline pattern and endothermic dissociation peaks between 115 and 120
degrees C. They also displayed faceted crystalline structures with a tendency of
the crystals to aggregate and form agglomerates of various sizes. TEM images of
the complexes showed an aggregated strand structure interwoven with the GMS.
Emulsifier and amylose quantities directly influenced complex formation. At high
GMS concentrations, there was higher tendency of emulsifier to self-associate
rather than form complexes with amylose.
PMID- 27185153
TI - Catalytic pyrolysis of cellulose in ionic liquid [bmim]OTf.
AB - This study discussed the catalytic cracking process of cellulose in ionic liquid
1-butyl-3-methylimidazolium trifluoromethanesulfonate ([bmim]OTF) under 180
degrees C, 240 degrees C and 340 degrees C, found that [bmim]OTF is an effective
catalyst which can effectively reduce the pyrolysis temperature(nearly 200
degrees C) of the cellulose. FRIR, XRD and SEM were used to analyze the structure
characterization of fiber before and after the cracking; GC-MS was used for
liquid phase products analysis; GC was used to analyze gas phase products. The
results showed that the cellulose pyrolysis in [bmim]OTf mainly generated CO2, CO
and H2, also generated 2-furfuryl alcohol, 2,5-dimethyl-1,5-diallyl-3-alcohol,
1,4-butyrolactone, 5-methyl furfural, 4-hydroxy butyric acid, vinyl propionate, 1
acetoxyl group-2-butanone, furan formate tetrahydrofuran methyl ester liquid
product, and thus simulated the evolution mechanism of cellulose pyrolysis
products based on the basic model of cellulose monomer.
PMID- 27185154
TI - Synthesis and characterization of ZnO:CeO2:nanocellulose:PANI bionanocomposite. A
bimodal agent for arsenic adsorption and antibacterial action.
AB - In the present study we report the generation of a bimodal,
ZnO:CeO2:nanocellulose:polyaniline bionanocomposite having an appreciable
remediation efficiency for dissolved Arsenic along with a noticeable
antibacterial activity. The microstructural analysis of the synthesized
bionanocomposite was carried out by TEM, XRD and FTIR studies, which confirmed
the incorporation of the nanoscaled ZnO and CeO2 in the polymeric
nanocellulose:polyaniline matrix. The bionanocomposite exhibited a remediation
efficiency above ~95% against As under different adsorbent concentrations and pH
conditions. The biosorption mechanism of As on the nanobiosorbent was found to
conform to the Freundlich and Dubinin-Radushkevich isotherms. Antibacterial
assays for the bionanocomposite showed a high antibacterial activity with MIC50
values of 10.6MUgml(-1) against the Gram-positive Bacillus subtilis and
10.3MUgml(-1) against the Gram-negative Escherichia coli. Thus, the
bionanocomposite shall be of high interest as a novel and sustainable matrix for
the design of coats/devices that effectuate arsenic adsorption and microbial
control, to generate contaminant free potable water.
PMID- 27185155
TI - Removal of Cd(II) and Pb(II) from wastewater by using triethylenetetramine
functionalized grafted cellulose acetate-manganese dioxide composite.
AB - In this manuscript, we have studied the removal of Cd(II) and Pb(II) ions from
aqueous solution by using triethylenetetramine functionalized cellulose acetate
grafted with the copolymer-manganese dioxide composite. The novel sorbent
cellulose was extracted from the mangrove trees (Avicennia marina) and it was
then acetylated and grafted with acrylamide. The sorbent composite was designed
to interact simultaneously with higher metal loading by complexation-adsorption
process. FT-IR, SEM, EDAX and TGA techniques were employed to characterize the
cellulose modified composite. Sorption equilibria were established after 30min
and their data were described by Langmuir and Freundlich models. The
functionalized hybrid cellulose composite showed maximum adsorption capacity
82.06 and 196.84mgg(-1) for Cd(II) and Pb(II), respectively. The studied metal
ions were successfully recovered from real wastewater samples of different
matrices.
PMID- 27185156
TI - Increased Melatonin Signaling Is a Risk Factor for Type 2 Diabetes.
AB - Type 2 diabetes (T2D) is a global pandemic. Genome-wide association studies
(GWASs) have identified >100 genetic variants associated with the disease,
including a common variant in the melatonin receptor 1 b gene (MTNR1B). Here, we
demonstrate increased MTNR1B expression in human islets from risk G-allele
carriers, which likely leads to a reduction in insulin release, increasing T2D
risk. Accordingly, in insulin-secreting cells, melatonin reduced cAMP levels, and
MTNR1B overexpression exaggerated the inhibition of insulin release exerted by
melatonin. Conversely, mice with a disruption of the receptor secreted more
insulin. Melatonin treatment in a human recall-by-genotype study reduced insulin
secretion and raised glucose levels more extensively in risk G-allele carriers.
Thus, our data support a model where enhanced melatonin signaling in islets
reduces insulin secretion, leading to hyperglycemia and greater future risk of
T2D. The findings also imply that melatonin physiologically serves to inhibit
nocturnal insulin release.
PMID- 27185158
TI - Saving the Military Surgeon: Maintaining Critical Clinical Skills in a Changing
Military and Medical Environment.
PMID- 27185157
TI - Integrated metabolomic and transcriptome analyses reveal finishing forage affects
metabolic pathways related to beef quality and animal welfare.
AB - Beef represents a major dietary component and source of protein in many
countries. With an increasing demand for beef, the industry is currently
undergoing changes towards naturally produced beef. However, the true differences
between the feeding systems, especially the biochemical and nutritional aspects,
are still unclear. Using transcriptome and metabolome profiles, we identified
biological pathways related to the differences between grass- and grain-fed Angus
steers. In the latissimus dorsi muscle, we have recognized 241 differentially
expressed genes (FDR < 0.1). The metabolome examinations of muscle and blood
revealed 163 and 179 altered compounds in each tissue (P < 0.05), respectively.
Accordingly, alterations in glucose metabolism, divergences in free fatty acids
and carnitine conjugated lipid levels, and altered beta-oxidation have been
observed. The anti-inflammatory n3 polyunsaturated fatty acids are enriched in
grass finished beef, while higher levels of n6 PUFAs in grain finished animals
may promote inflammation and oxidative stress. Furthermore, grass-fed animals
produce tender beef with lower total fat and a higher omega3/omega6 ratio than
grain-fed ones, which could potentially benefit consumer health. Most
importantly, blood cortisol levels strongly indicate that grass-fed animals may
experience less stress than the grain-fed individuals. These results will provide
deeper insights into the merits and mechanisms of muscle development.
PMID- 27185159
TI - Metformin Alleviates Altered Erythrocyte Redox Status During Aging in Rats.
AB - Metformin, a biguanide drug commonly used to treat type 2 diabetes, has been
noted to function as a caloric restriction mimetic. Its antidiabetic effect
notwithstanding, metformin is currently being considered an antiaging drug
candidate, although the molecular mechanisms have not yet been unequivocally
established. This study aims to examine whether short-term metformin treatment
can provide protective effects against oxidative stress in young and old-age
rats. Young (age 4 months) and old (age 24 months) male Wistar rats were treated
with metformin (300 mg/kg b.w.) for 4 weeks. At the end of the treatment period,
an array of biomarkers of oxidative stress were evaluated, including plasma
antioxidant capacity measured in terms of ferric reducing ability of plasma
(FRAP), reactive oxygen species (ROS), lipid peroxidation (MDA), reduced
glutathione (GSH), total plasma thiol (SH), plasma membrane redox system (PMRS),
protein carbonyl (PCO), advanced oxidation protein products (AOPPs), and advanced
glycation end products (AGEs) in control and experimental groups. Metformin
treatment resulted in an increase in FRAP, GSH, SH, and PMRS activities in both
age groups compared to respective controls. On the other hand, treated groups
exhibited significant reductions in ROS, MDA, PCO, AOPP, and AGE level. Save for
FRAP and protein carbonyl, the effect of metformin on all other parameters was
more pronounced in old-aged rats. Metformin caused a significant increase in the
PMRS activity in young rats, however, the effect was less pronounced in old rats.
These findings provide evidence with respect to restoration of antioxidant status
in aged rats after short-term metformin treatment. The findings substantiate the
putative antiaging role of metformin.
PMID- 27185160
TI - "Those edibles hit hard": Exploration of Twitter data on cannabis edibles in the
U.S.
AB - AIMS: Several states in the U.S. have legalized cannabis for recreational or
medical uses. In this context, cannabis edibles have drawn considerable attention
after adverse effects were reported. This paper investigates Twitter users'
perceptions concerning edibles and evaluates the association edibles-related
tweeting activity and local cannabis legislation. METHODS: Tweets were collected
between May 1 and July 31, 2015, using Twitter API and filtered through the
eDrugTrends/Twitris platform. A random sample of geolocated tweets was manually
coded to evaluate Twitter users' perceptions regarding edibles. Raw state
proportions of Twitter users mentioning edibles were ajusted relative to the
total number of Twitter users per state. Differences in adjusted proportions of
Twitter users mentioning edibles between states with different cannabis
legislation status were assesed via a permutation test. RESULTS: We collected
100,182 tweets mentioning cannabis edibles with 26.9% (n=26,975) containing state
level geolocation. Adjusted percentages of geolocated Twitter users posting about
edibles were significantly greater in states that allow recreational and/or
medical use of cannabis. The differences were statistically significant. Overall,
cannabis edibles were generally positively perceived among Twitter users despite
some negative tweets expressing the unreliability of edible consumption linked to
variability in effect intensity and duration. CONCLUSION: Our findings suggest
that Twitter data analysis is an important tool for epidemiological monitoring of
emerging drug use practices and trends. Results tend to indicate greater tweeting
activity about cannabis edibles in states where medical THC and/or recreational
use are legal. Although the majority of tweets conveyed positive attitudes about
cannabis edibles, analysis of experiences expressed in negative tweets confirms
the potential adverse effects of edibles and calls for educating edibles-naive
users, improving edibles labeling, and testing their THC content.
PMID- 27185162
TI - Unique epitopes for carbonic anhydrase II autoantibodies related to autoimmune
retinopathy and cancer-associated retinopathy.
AB - High titers of anti-carbonic anhydrase II (anti-CA II) autoantibodies were
detected in sera of patients with autoimmune retinopathies (AR), including cancer
associated retinopathy (CAR) and also in normal population. The goal was to
investigate whether unique immunodominant epitopes for anti-CAII autoantibodies
occur in AR and CAR. A cohort of 216 patients with symptoms of AR and CAR and
healthy controls, seropositive for anti-CA II autoantibodies were analyzed for
the prevalence of CAII major domains. Autoantibody titers against CAII in sera
were determined by ELISA. Biotinylated 12-mer synthetic peptides, overlapping the
entire sequence of CAII, were coated onto a microplate and monospecific sera were
tested for their ability to bind specific peptides by ELISA. We identified 3
epitopes common for AR, CAR and control subjects but the key epitopes were
significantly different between sera from different groups (p = 0.009). Ninety
one percent of AR sera predominantly reacted with the N-terminal epitope 85-90 (p
< 0.0001), which corresponded to the catalytic core of the enzyme. The major
epitope for 77% of CAR autoantibodies was found to be reactive with the peptide
218-222 (P = 0.0005) clustered within the alpha-helix. The analysis of epitope
position in a 3D structure of the native CAII revealed their partial or full
exposure on the protein surface. Anti-CAII autoantibodies from normal healthy
controls did not share the major determinants with either group of patients. We
also observed an epitope shift in antibody recognition from the AR-like epitope
profile to the CAR-like profile in a patient who developed cancer 2 years after
initial symptoms of vision loss (p < 0.0001). In conclusion, autoantibodies
against CAII recognized different epitopes, depending whether they originated in
patients with or without cancer. Also, antibodies targeted different determinates
within the molecule during the development of retinopathy from non-paraneoplastic
to paraneoplastic, suggesting an intramolecular epitope spreading phenomenon.
Accurate distinction between AR and CAR is critical in designing immunotherapies
and better diagnosis for those two conditions.
PMID- 27185163
TI - Resident corneal c-fms(+) macrophages and dendritic cells mediate early cellular
infiltration in adenovirus keratitis.
AB - The cornea contains a heterogeneous population of antigen-presenting cells with
the capacity to contribute to immune responses. Adenovirus keratitis is a severe
corneal infection with acute and chronic phases. The role of resident corneal
antigen-presenting cells in adenovirus keratitis has not been studied. We
utilized transgenic MaFIA mice in which c-fms expressing macrophages and
dendritic cells can be induced to undergo apoptosis, in a mouse model of
adenovirus keratitis. Clinical keratitis and recruitment of myeloperoxidase and
CD45(+) cells were diminished in c-fms depleted, adenovirus infected mice, as
compared to controls, consistent with a role for myeloid-lineage cells in
adenovirus keratitis.
PMID- 27185165
TI - Surgical resection of medulla oblongata hemangioblastomas: outcome and
complications.
AB - OBJECT: The purpose of this study was to analyze the surgical outcome and
complications of a single-center series of medulla oblongata (MO)
hemangioblastomas. METHODS: We retrospectively reviewed the medical charts of all
medulla oblongata hemangioblastomas operated on at our institution between 1996
and 2015. All patients had a pre- and postoperative MRI and a minimum follow-up
of 6 months. Patients were scored according to the Karnofsky Performance Scale
(KPS) and McCormick Scale at the moment of admission, discharge and the last
follow-up. RESULTS: Thirty-one surgical procedures were performed on 27 patients
(16 females and 11 males). The mean age was 33 years, and 93 % of patients had
von Hippel Lindau (VHL) disease. Three patients experienced very complicated
postoperative courses, with one case ending in the death of the patient. Two
patients required tracheostomy. According to McCormick's classification, 7 (23 %)
of the 31 operations resulted in aggravation and 23 (74 %) in no change.
Considering the seven patients with aggravation at discharge, four patients (60
%) returned to their preoperative status, one (14 %) improved but remained below
his preoperative McCormick grade and two (29 %) did not improve. At last follow
up, KPS was ameliorated in 53 %, stable in 40 % and worsened in 7 % of cases.
CONCLUSION: Surgery of medulla oblongata hemangioblastomas is a challenging
procedure characterized by an acceptable morbidity. Transient morbidity is not
negligible even if the long-term outcome is in most cases favorable. A
compromised neurological condition seems to be the best predictor of unfavorable
outcome.
PMID- 27185164
TI - A 12-week open-label, randomized, controlled trial and 24-week extension to
assess the efficacy and safety of fluticasone propionate/formoterol in children
with asthma.
AB - OBJECTIVES: The present study was conducted to assess the efficacy, safety and
tolerability of fluticasone propionate/formoterol fumarate combination therapy
(FP/FORM; Flutiform(r)) compared with fluticasone propionate/salmeterol xinafoate
(FP/SAL; Seretide(r) Evohaler(r)) in children with asthma. METHODS: This was an
open-label, randomized, controlled, phase III trial and extension. Patients aged
4-12 years with reversible asthma [% predicted forced expiratory volume in 1
second (FEV1) 60-100%; documented reversibility of ?15% in FEV1] were randomized
to receive FP/FORM (100/10 ug b.i.d.) or FP/SAL (100/50 ug b.i.d.) for 12 weeks.
Eligible patients completing the 12-week core phase entered a 24-week extension
phase with FP/FORM (100/10 ug b.i.d.). The primary efficacy endpoint was the
change in predose FEV1 from day 0 to day 84. Secondary efficacy endpoints
included change in predose to 2-hours postdose FEV1 from day 0 to day 84, peak
expiratory flow rate (PEFR), patient-reported outcomes, rescue-medication use and
asthma exacerbations. RESULTS: In total, 211 patients were randomized and 210
completed the core phase; of these patients, 208 entered and 205 completed the
extension phase of the study. Predose FEV1 increased from day 0 to day 84
[FP/FORM, 182 ml; 95% confidence interval (CI), 127, 236; FP/SAL, 212 ml, 95% CI,
160, 265] and FP/FORM was noninferior to FP/SAL: least squares (LS) mean
treatment difference: -0.031 (95% CI, -0.093, 0.031; p = 0.026). Secondary
efficacy analyses indicated similar efficacy with both therapies. There were no
notable differences observed in the safety and tolerability profile between
treatments. No safety concerns were identified with long-term FP/FORM therapy,
and there was no evidence of an effect of FP/FORM on plasma cortisol.
CONCLUSIONS: FP/FORM improved lung function and measures of asthma control with
comparable efficacy to FP/SAL, and demonstrated a favourable safety and
tolerability profile in children aged 4-12 years.
PMID- 27185166
TI - Early-onset parkinsonism due to compound heterozygous POLG mutations.
PMID- 27185167
TI - Low frequency of GCH1 and TH mutations in Parkinson's disease.
AB - BACKGROUND: The causes of Parkinson's disease (PD) are unknown in the majority of
patients. The GCH1 gene encodes GTP-cyclohydrolase I, an important enzyme in
dopamine synthesis. Co-occurrence of dopa-responsive dystonia (DRD) and a PD
phenotype has been reported in families with GCH1 mutations. Recently, rare
coding variants in GCH1 were found to be enriched in PD patients, indicating a
role for the enzyme in the neurodegenerative process. METHODS: To further
elucidate the contribution of GCH1 mutations to sporadic PD, we examined its
coding exons in a targeted deep sequencing study of 509 PD patients (mean age at
onset 56.7 +/- 12.0 years) and 230 controls. We further included the tyrosine
hydroxylase gene TH, also known to cause DRD. Gene dose assessments were
performed to screen for large copy number variants in a subset of 48 patients
with early-onset PD. RESULTS: No putatively pathogenic GCH1 mutations were found.
The frequency of rare heterozygous variants in the TH gene was 0.69% (7/1018) in
the patient group and 0.22% (1/460) in the control group (p = 0.45). CONCLUSIONS:
Previous studies have found that coding variants in the GCH1 gene may be
considered a risk factor for PD. Our study indicates that mutations in GCH1 are
rare in late-onset PD. Several patients carried heterozygous variants in the TH
gene that may affect protein function. Our study was not designed to determine
with certainty if any of these variants play a role as risk factors for late
onset PD.
PMID- 27185161
TI - The role of integrins in glaucoma.
AB - Integrins are a family of heterodimeric transmembrane receptors that mediate
adhesion to the extracellular matrix (ECM). In addition to their role as adhesion
receptors, integrins can act as ''bidirectional signal transducers'' that
coordinate a large number of cellular activities in response to the extracellular
environment and intracellular signaling events. This bidirectional signaling
helps maintain tissue homeostasis. Dysregulated bidirectional signaling, however,
could trigger the propagation of feedback loops that can lead to the
establishment of a disease state such as glaucoma. Here we discuss the role of
integrins and bidirectional signaling as they relate to the glaucomatous
phenotype with special emphasis on the alphavbeta3 integrin. We present evidence
that this particular integrin may have a significant impact on the pathogenesis
of glaucoma.
PMID- 27185168
TI - Race and Sex Differences in the Incidence and Prognostic Significance of Silent
Myocardial Infarction in the Atherosclerosis Risk in Communities (ARIC) Study.
AB - BACKGROUND: Race and sex differences in silent myocardial infarction (SMI) are
not well established. METHODS AND RESULTS: The analysis included 9498
participants from the Atherosclerosis Risk in Communities (ARIC) study who were
free of cardiovascular disease at baseline (visit 1, 1987-1989). Incident SMI was
defined as ECG evidence of MI without clinically documented MI (CMI) after the
baseline until ARIC visit 4 (1996-1998). Coronary heart disease and all-cause
deaths were ascertained starting from ARIC visit 4 until 2010. During a median
follow-up of 8.9 years, 317 participants (3.3%) developed SMI and 386 (4.1%)
developed CMI. The incidence rates of both SMI and CMI were higher in men (5.08
and 7.96 per 1000-person years, respectively) than in women (2.93 and 2.25 per
1000-person years, respectively; P<0.0001 for both). Blacks had a
nonsignificantly higher rate of SMI than whites (4.45 versus 3.69 per 1000-person
years; P=0.217), but whites had higher rate of CMI than blacks (5.04 versus 3.24
per 1000-person years; P=0.002). SMI and CMI (compared with no MI) were
associated with increased risk of coronary heart disease death (hazard ratio,
3.06 [95% confidence interval, 1.88-4.99] and 4.74 [95% confidence interval, 3.26
6.90], respectively) and all-cause mortality (hazard ratio, 1.34 [95% confidence
interval, 1.09-1.65] and 1.55 [95% confidence interval, 1.30-1.85],
respectively). However, SMI and CMI were associated with increased mortality
among both men and women, with potentially greater increased risk among women
(interaction P=0.089 and 0.051, respectively). No significant interactions by
race were detected. CONCLUSIONS: SMI represents >45% of incident MIs and is
associated with poor prognosis. Race and sex differences in the incidence and
prognostic significance of SMI exist that may warrant considering SMI in
personalized assessments of coronary heart disease risk.
PMID- 27185171
TI - IL-23 Promotes Myocardial I/R Injury by Increasing the Inflammatory Responses and
Oxidative Stress Reactions.
AB - BACKGROUND/AIMS: Inflammation and oxidative stress play an important role in
myocardial ischemia and reperfusion (I/R) injury. We hypothesized that IL-23, a
pro-inflammatory cytokine, could promote myocardial I/R injury by increasing the
inflammatory response and oxidative stress. METHODS: Male Sprague-Dawley rats
were randomly assigned into sham operated control (SO) group, ischemia and
reperfusion (I/R) group, (IL-23 + I/R) group and (anti-IL-23 + I/R) group. At 4 h
after reperfusion, the serum concentration of lactate dehydrogenase (LDH),
creatine kinase (CK) and the tissue MDA concentration and SOD activity were
measured. The infarcte size was measured by TTC staining. Apoptosis in heart
sections were measured by TUNEL staining. The expression of HMGB1 and IL-17A were
detected by Western Blotting and the expression of TNF-alpha and IL-6 were
detected by Elisa. RESULTS: After 4 h reperfusion, compared with the I/R group,
IL-23 significantly increased the infarct size, the apoptosis of cardiomyocytes
and the levels of LDH and CK (all P < 0.05). Meanwhile, IL-23 significantly
increased the expression of eIL-17A, TNF-alpha and IL-6 and enhanced both the
increase of the MDA level and the decrease of the SOD level induced by I/R (all
P<0.05). IL-23 had no effect on the expression of HMGB1 (p > 0.05). All these
effects were abolished by anti-IL-23 administration. CONCLUSION: The present
study suggested that IL-23 may promote myocardial I/R injury by increasing the
inflammatory responses and oxidative stress reaction.
PMID- 27185170
TI - Process-based approach to modeling recurrent-event data explicated on the basis
of occurrences of tooth losses in two different prosthetic treatment concepts.
AB - BACKGROUND: In studies comparing different prosthetic treatment concepts the
repeated loss of teeth was chosen as the primary outcome. The resulting data
appear to represent a data structure of recurrent events. However, the
application of an existing method for recurrent events is far from
straightforward. Often only the first event or the final state is analyzed using
Kaplan-Meier survival statistics, thereby giving a great deal of information
away. METHODS: The paper presents a strategy for the analysis of recurrent data
using a previously published study on the influence of different prosthetic
treatment concepts for the shortened dental arch on tooth loss. A method based on
cumulative sample history functions of recurrent events was adjusted for tooth
loss. The shapes of these cumulative functions suggest a time dependency of the
recurrence rate. To keep the model as simple as possible, a tripartite Poisson
process (which assumes piecewise time-independent rates) was fitted to the
cumulative mean functions stratified by treatment. RESULTS: Within the middle
interval of the three-phasic process, the treatment effects differ significantly,
which is interpreted as a delay of tooth loss due to the use of one type of
prosthesis (fixed) compared with the other (removable). CONCLUSIONS: An analysis
based on cumulative history functions is based on process, therefore, temporally
changing characteristics are better captured than in methods for survival
analyses. The presented approach offers useful new insight into the temporal
behavior of ongoing tooth loss after prosthetic treatment. TRIAL REGISTRATION:
The trial has been registered at controlled-trials.com under ISRCTN97265367
(registration date 4 April 2008).
PMID- 27185169
TI - Role of prophylactic central compartment lymph node dissection in clinically N0
differentiated thyroid cancer patients: analysis of risk factors and review of
modern trends.
AB - In the last years, especially thanks to a large diffusion of ultrasound-guided
FNBs, a surprising increased incidence of differentiated thyroid cancer (DTC),
"small" tumors and microcarcinomas have been reported in the international
series. This led endocrinologists and surgeons to search for "tailored" and "less
aggressive" therapeutic protocols avoiding risky morbidity and useless
"overtreatment". Considering the most recent guidelines of referral endocrine
societies, we analyzed the role of routine or so-called prophylactic central
compartment lymph node dissection (RCLD), also considering its benefits and
risks. Literature data showed that the debate is still open and the surgeons are
divided between proponents and opponents of its use. Even if lymph node
metastases are commonly observed, and in up to 90% of DTC cases micrometastases
are reported, the impact of lymphatic involvement on long-term survival is
subject to intensive research and the best indications of lymph node dissection
are still controversial. Identification of prognostic factors for central
compartment metastases could assist surgeons in determining whether to perform
RLCD. Considering available evidence, a general agreement to definitely reserve
RCLD to "high-risk" cases was observed. More clinical researches, in order to
identify risk factors of meaningful predictive power and prospective long-term
randomized trials, should be useful to validate this selective approach.
PMID- 27185172
TI - Both the apoptotic suicide pathway and phagocytosis are required for a programmed
cell death in Caenorhabditis elegans.
AB - BACKGROUND: Programmed cell deaths in the nematode Caenorhabditis elegans are
generally considered suicides. Dying cells are engulfed by neighboring cells in a
process of phagocytosis. To better understand the interaction between the
engulfment and death processes, we analyzed B.al/rapaav cell death, which has
been previously described as engulfment-dependent and hence as a possible murder.
RESULTS: We found that B.al/rapaav is resistant to caspase-pathway activation:
the caspase-mediated suicide pathway initiates the cell-death process but is
insufficient to cause B.al/rapaav death without the subsequent assistance of
engulfment. When the engulfing cell P12.pa is absent, other typically non
phagocytic cells can display cryptic engulfment potential and facilitate this
death. CONCLUSIONS: We term this death an "assisted suicide" and propose that
assisted suicides likely occur in other organisms. The study of assisted suicides
might provide insight into non-cell autonomous influences on cell death.
Understanding the mechanism that causes B.al/rapaav to be resistant to activation
of the caspase pathway might reveal the basis of differences in the sensitivity
to apoptotic stimuli of tumor and normal cells, a key issue in the field of
cancer therapeutics.
PMID- 27185173
TI - Short-term clinical outcomes after off-pump coronary artery bypass grafting at a
single Veterans Affairs Medical Center.
AB - BACKGROUND: We retrospectively assessed the outcomes after coronary
revascularization at a single Veterans Affairs Medical Center when a strategy of
assigning higher risk patients to off-pump coronary artery bypass grafting (CABG)
was employed. METHODS: Over a 5 year period all consecutive patients that
underwent CABG at our VA Medical Center were assigned to a surgeon who either
performs the CABG exclusively off-pump or to one who performed the CABG on-pump.
The higher risk patients were assigned preferentially for off-pump
revascularization. VASQIP (VA Hospitals Surgical Quality Improvement Program)
data between 10/2007 and 12/2012 were retrospectively reviewed at our VA Medical
Center and the short term outcomes were assessed. RESULTS: A total of 252
consecutive patients underwent off-pump CABG (n = 170) and on-pump CABG (n = 82).
There were significantly more patients with low LVEF (<45 %; p = 0.008) and
cerebrovascular disease in the off-pump group (p = 0.024). The number of patients
smoking at the time of surgery was significantly higher in the off-pump group (p
= 0.002) as well. The 30-day composite morbidity and mortality was 6 % for all
CABG patients and significantly lower with off-pump vs. on-pump CABG (3.5 % vs.
11 %; p = 0.019). There were no conversions from off-pump to on-pump surgery.
CONCLUSIONS: A selective strategy to direct higher risk patients towards an off
pump revascularization yielded favorable outcomes in an unselected veteran
population treated at a single VA Medical Center over a 5 year period.
PMID- 27185175
TI - Changes on Adipose Tissue Distribution After Laparoscopic Roux-en-Y Gastric
Bypass in Obese Gottingen Minipig. Effects on Glucose Metabolism.
AB - BACKGROUND: The objective of the study was to assess Roux-en-Y independent-to
weight metabolic effects on an obese Gottingen minipig. METHODS: Eleven castrated
Gottingen minipigs were enrolled. Minipigs became obese after a 9-month period of
experimental diet. The animals were then subjected to a laparoscopic Roux-en-Y
gastric bypass (period P1) followed by 4 months of follow-up (period P2). During
this last period, they were fed to maintain similar body weight to that observed
at the day of surgery. Registered parameters during each period included
biometric variables; abdominal adipose tissue (AT) distribution; lipid profile;
HOMA-IR; and fasting plasma leptin, adiponectin, glucose, insulin and
fructosamine. RESULTS: Two pigs were unable to complete the study due to
postoperative complications. Four months after surgery, the body weight (kg) was
maintained (P1 51.90 +/- 5.78 vs P2 51.47 +/- 5.68). On the contrary,
intraperitoneal AT (p < 0.0001), glucose (mmol/L) (P1: 5.53 +/- 0.37 vs 4.66 +/-
0.72, p = 0.019), fructosamine (MUmol/L) (p = 0.0001) and insulin (pmol/L) (P1:
36.66 +/- 13.94 vs P2: 21.42 +/- 12.62, p = 0.001) significantly decreased after
surgery. Lipid profile showed a significant increase after high-fat feeding
period and a slight reduction at P2, which continued increasing. At the end of
the study, leptin plasma levels (ng/mL) showed a significant reduction compared
to basal value (p = 0.001). CONCLUSIONS: The improvement in glucose metabolism
seems to occur by means of the redistribution of body fat. In order to fully
confirm this hypothesis, more studies including the evaluation of incretins and
inflammatory molecules, as well as a glycaemia control study against glucose
load, are needed.
PMID- 27185174
TI - Using short-message-service notification as a method to improve acute flaccid
paralysis surveillance in Papua New Guinea.
AB - BACKGROUND: High quality acute flaccid paralysis (AFP) surveillance is required
to maintain polio-free status of a country. Papua New Guinea (PNG) is considered
as one of the highest risk countries for polio re-importation and circulation in
the Western Pacific Region (WPRO) of the World Health Organization due to poor
healthcare infrastructure and inadequate performance in AFP surveillance. The
Government of PNG, in collaboration with WHO, piloted the introduction of short
message-service (SMS) to sensitize pediatricians and provincial disease control
officers on AFP and to receive notification of possible AFP cases to improve
surveillance quality in PNG. METHODS: Ninety six health care professionals were
registered to receive SMS reminders to report any case of acute flaccid
paralysis. Fourteen SMS messages were sent to each participant from September
2012 to November 2013. The number of reported AFP cases were compared before and
after the introduction of SMS. RESULTS: Two hundred fifty three unique responses
were received with an overall response rate of 21 %. More than 80 % of responses
were reported within 3 days of sending the SMS. The number of reported AFP cases
increased from 10 cases per year in 2009-2012 to 25 cases per year during the
study period and correlated with provincial participation of the health care
professionals. CONCLUSIONS: Combined with improved sensitization of health care
professionals on AFP reporting criteria and sample collection, SMS messaging
provides an effective means to increase timely reporting and improve the
availability of epidemiologic information on polio surveillance in PNG.
PMID- 27185176
TI - Results of Laparoscopic Sleeve Gastrectomy in 541 Consecutive Patients with Low
Baseline Body Mass Index (30-35 kg/m2).
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (LSG) is currently the leading
bariatric procedure and targets, among other obesity classes, patients with BMI
30-35 kg/m2, which are reaching alarming proportions. METHODS: Between February
2010 and August 2015, data on 541 consecutive patients with BMI 30-35 kg/m2
undergoing LSG were prospectively collected and analyzed. RESULTS: Mean age was
32 +/- 8 years (13-65) and 419 (77.4 %) were women. Preoperative weight was 92.0
+/- 8.8 kg (65-121) and BMI was 32.6 +/- 1.5 kg/m2 (30-35). Comorbidities were
detected in 210 (39 %) patients. Operative time was 74 +/- 12 min (40-110) and
postoperative stay was 1.7 +/- 0.22 days (1-3). There were no deaths, leaks,
abscesses or strictures and the rate of hemorrhage was 1.2 %. At 1 year, 98 %
were followed and BMI decreased to 24.7 +/- 1.6, the percentage of total weight
loss (% TWL) was 24.1 +/- 4.7 while the percentage of excess BMI loss (%EBMIL)
reached 106.1 +/- 24.1. At 5 years, 76 % of followed patients achieved a >=50 %
EBMIL. CONCLUSION: With appropriate surgical expertise, LSG in patients with BMI
30-35 kg/m2 achieved excellent outcomes with a zero fistula rate.
PMID- 27185177
TI - Impact of Sleeve Gastrectomy on Type 2 Diabetes Mellitus, Gastric Emptying Time,
Glucagon-Like Peptide 1 (GLP-1), Ghrelin and Leptin in Non-morbidly Obese
Subjects with BMI 30-35.0 kg/m2: a Prospective Study.
AB - BACKGROUND: The study was conducted to evaluate the impact of laparoscopic sleeve
gastrectomy (LSG) on type 2 diabetes mellitus (T2DM) in patients with a body mass
index (BMI) of 30.0-35.0 kg/m2. Possible mechanisms, including alterations in
gastric emptying time (GET), glucagon-like peptide 1 (GLP-1), ghrelin and leptin,
were evaluated. METHODS: Twenty obese patients with T2DM and with a BMI of 30.0
35.0 kg/m2 underwent LSG during March 2012 to February 2015. Glycosylated
haemoglobin (HbA1c), fasting plasma glucose (FPG) and GET were measured at
baseline, 3 months, 6 months, 12 months and 24 months after surgery. Fasting and
post-prandial levels of serum GLP-1, ghrelin and leptin were measured pre
operatively and after 3 and 6 months. RESULTS: The average duration of follow-up
was 17.6 months, and 10 patients had completed 2 years of follow-up. After 2
years, the average BMI decreased from 33.4 +/- 1.2 to 26.7 +/- 1.8 kg/m2. The
mean HbA1c decreased from 8.7 +/- 1.6 to 6.7 +/- 1.5 %, respectively. Ten
patients achieved complete remission. Insulin could be stopped in all six
patients who were on it pre-operatively. Meal-stimulated GLP-1 response and serum
insulin at 30 min showed a significant increase following surgery. There was a
significant decrease in GET. CONCLUSIONS: This prospective study confirms the
positive impact of LSG on diabetic status of non-morbidly obese patients. The
possible mechanisms include the rise in post-prandial GLP-1 level induced by
accelerated gastric emptying, leading to an increase in insulin secretion. LSG
also leads to decreased ghrelin and leptin levels which may have a role in
improving glucose homeostasis after surgery.
PMID- 27185178
TI - Usefulness of transcutaneous PCO2 to assess nocturnal hypoventilation in
restrictive lung disorders.
AB - BACKGROUND AND OBJECTIVE: Nocturnal hypoventilation is now an accepted indication
for the initiation of non-invasive ventilation. Nocturnal hypoventilation may be
an under diagnosed condition in chronic respiratory failure. The most appropriate
strategy to identify sleep hypoventilation is not yet clearly defined. In
clinical practice, it is indirectly assessed using nocturnal pulse oximetry (NPO)
and morning arterial blood gases (mABG). Even though continuous transcutaneous
carbon dioxide partial pressure (TcPCO2 ) monitoring is theoretically superior to
NPO plus mABG, it is not routinely used. We aimed to prospectively compare NPO
plus mABG with nocturnal TcPCO2 for the detection of alveolar hypoventilation in
a cohort of patients with chronic restrictive respiratory dysfunction. METHODS:
We assessed 80 recordings of mABG, nocturnal TcPCO2 and NPO in 72 consecutive
patients with neuromuscular disease or thoracic cage disorders. Nocturnal
hypoventilation was defined as a mean nightime TcPCO2 >=50 mm Hg, and nocturnal
hypoxaemia as >=30% of the night with transcutaneous pulse oxygen saturation
<=90% and/or >5 consecutive minutes with transcutaneous pulse oxygen saturation
<=88%. RESULTS: Amongst the 80 recordings, 25 of 76 (32.9%) without nocturnal
hypoxaemia and 16 of 59 (27.1%) without hypercapnia on mABG showed nocturnal
hypoventilation on TcPCO2 . Amongst recordings showing both normal NPO and mABG,
16 of 52 (30.8%) had a mean TcPCO2 >=50 mm Hg. Nocturnal hypoxaemia was
associated with nocturnal hypoventilation in all recordings. However, 5 of 21
(23.8%) recordings that showed an absence of nocturnal hypoventilation at the
chosen threshold showed hypercapnia on mABG. CONCLUSION: Morning arterial blood
gases and NPO alone or in combination underestimate nocturnal hypoventilation in
patients with chronic restrictive respiratory dysfunction of extrapulmonary
origin.
PMID- 27185179
TI - Effects of additional anterior body mass on gait.
AB - BACKGROUND: Gradual increases in mass such as during pregnancy are associated
with changes in gait at natural velocities. The purpose of this study was to
examine how added mass at natural and imposed slow walking velocities would
affect gait parameters. METHODS: Eighteen adult females walked at two velocities
(natural and 25 % slower than their natural pace) under four mass conditions
(initial harness only (1 kg), 4.535 kg added anteriorly, 9.07 kg added
anteriorly, and final harness only (1 kg)). We collected gait kinematics (100 Hz)
using a motion capture system. RESULTS: Added anterior mass decreased cycle time
and stride length. Stride width decreased once the mass was removed (p < .01).
Added mass resulted in smaller peak hip extension angles (p < .01). The imposed
slow walking velocity increased cycle time, double limb support time and
decreased stride length, peak hip extension angles, and peak plantarflexion
angles (p < .01). With added anterior mass and an imposed slow walking velocity,
participants decreased cycle time when mass was added and increased cycle time
once the mass was removed (p < .01). CONCLUSIONS: Gait adaptations may be
commensurate with the magnitude of additional mass when walking at imposed slow
versus natural velocities. This study presents a method for understanding how
increased mass and imposed speed might affect gait independent of other effects
related to pregnancy. Examining how added body mass and speed influence gait is
one step in better understanding how women adapt to walking under different
conditions.
PMID- 27185180
TI - Role of yttrium-90 in the management of unresectable hepatocellular carcinoma and
hepatic metastases.
AB - BACKGROUND AND AIMS: Hepatocellular carcinoma (HCC) is the sixth most common
cancer and third leading cause of cancer-related death in the world. The
management of unresectable HCC and hepatic metastases from various solid tumors
is a clinical dilemma. There is paucity of data on the treatment of unresectable
HCC and hepatic metastases with yttrium-90 (90Y) radioembolization. METHODS:
Thirty patients (mean age; 55.2 years; range 43-82 years) comprising 21 patients
with HCC (12 patients have cirrhosis of which 3 patients belong to Child-Pugh
class A and 9 patients belong to Child-Pugh class B), 7 patients with metastasis
from colorectal cancer, 1 patient with metastasis from melanoma, and 1 patient
with metastasis from ovarian carcinoma underwent resin-based 90Y
radioembolization between 2013 and 2015 in our study. In all the patients, after
embolization of non-target vasculature, SPECT and planar scintigraphy were done
with the injection of 5-6 mCi (185-222 MBq) of 99mTc-labeled macroaggregated
albumin (MAA) into the hepatic artery. Then, lung shunt fraction was assessed and
dose was calculated based on body surface area (BSA) method for SIR-Spheres. Post
therapeutic 90Y bremsstrahlung SPECT and 90Y PET was performed within 30 hours
following therapy to see the hepatic and extrahepatic distribution of spheres.
Side effects following therapy were noted in all the patients. All patients were
followed up with triphasic CT liver 3 months following therapy. Therapeutic
response was evaluated with necrosis criteria used for therapy response
assessment in solid tumors. RESULTS: On follow up, 14 patients (46 %) developed
minor side effects following treatment and resolved without active intervention.
The most common side effects include mild abdominal pain in 11 patients (36 %),
nausea in 8 patients (26 %), and fatigue in 6 patients (20 %). On follow up
imaging at 3 months following treatment, a complete response was observed in two
patients (7 %), partial response in seven patients (23 %), stable disease in 15
patients (50 %), and progressive disease in six patients (20 %). CONCLUSION: This
study provides supportive evidence of the safety and efficacy on 90Y
radioembolization for the treatment of unresectable HCC and hepatic metastases
from various solid tumors. 90Y PET is a better radionuclide technique for
assessing the hepatic and extrahepatic distribution of spheres following therapy
compared to 90Y Bremsstrahlung SPECT. Thus, 90Y radioembolization is proving to
be promising treatment with average disease control rates around 80 % and should
be widely utilized.
PMID- 27185181
TI - Influence of coumarin and some coumarin derivatives on serum lipid profiles in
carbontetrachloride-exposed rats.
AB - In the present study, coumarin and some coumarin derivatives (esculetin,
scoparone, and 4-methylumbelliferone) were investigated for their lipid-lowering
effect in rats. Male Sprague-Dawley rats (150-200 g) were divided into six groups
and each group comprised of five rats. Hepatic injury-dependent hyperlipidemia
was induced by carbon tetrachloride (CCl4, 1.25 ml/kg). Coumarin and coumarin
derivatives esculetin (35 mg/kg), scoparone (35 mg/kg), 4-methylumbelliferone (35
mg/kg), or coumarin (30 mg/kg) were administered to experimental groups at 12-h
intervals. Animals received the derivatives esculetin, scoparone or 4
methylumbelliferone prior to the administration of a single toxic dose of CCl4.
Serum total cholesterol (TC), triglyceride (TG), very low-density lipoprotein
cholesterol (VLDL-C), and low-density lipoprotein cholesterol (LDL-C) levels
significantly increased in CCl4-treated group ( p < 0.05, p < 0.01, p < 0.01, and
p < 0.05, respectively), while levels of serum high-density lipoprotein
cholesterol (HDL-C) decreased ( p < 0.01). 4-Methylumbelliferone had no recovery
effects on serum TC levels, however, significantly prevented CCl4-induced
hyperlipidemia by reducing TG and VLDL-C levels ( p < 0.05 and p < 0.05,
respectively). In addition, coumarin had no recovery effect on any of the serum
lipid parameters against CCl4-induced hyperlipidemia. Among the coumarin
derivatives only esculetin and scoparone significantly prevented serum HDL-C in
CCl4-induced dyslipidemia. The results from this study indicate that the chemical
structure of coumarins plays an important role on the regulation of serum lipid
profiles.
PMID- 27185182
TI - Comparative transcriptome analysis revealing dormant conidia and germination
associated genes in Aspergillus species: an essential role for AtfA in conidial
dormancy.
AB - BACKGROUND: Fungal conidia are usually dormant unless the extracellular
conditions are right for germination. Despite the importance of dormancy, little
is known about the molecular mechanism underlying entry to, maintenance of, and
exit from dormancy. To gain comprehensive and inter-species insights,
transcriptome analyses were conducted across Aspergillus fumigatus, Aspergillus
niger, and Aspergillus oryzae. RESULTS: We found transcripts of 687, 694, and 812
genes were enriched in the resting conidia compared with hyphae in A. fumigatus,
A. niger, and A. oryzae, respectively (conidia-associated genes). Similarly,
transcripts of 766, 1,241, and 749 genes were increased in the 1 h-cultured
conidia compared with the resting conidia (germination-associated genes). Among
the three Aspergillus species, we identified orthologous 6,172 genes, 91 and 391
of which are common conidia- and germination-associated genes, respectively. A
variety of stress-related genes, including the catalase genes, were found in the
common conidia-associated gene set, and ribosome-related genes were significantly
enriched among the germination-associated genes. Among the germination-associated
genes, we found that calA-family genes encoding a thaumatin-like protein were
extraordinary expressed in early germination stage in all Aspergillus species
tested here. In A. fumigatus 63 % of the common conidia-associated genes were
expressed in a bZIP-type transcriptional regulator AtfA-dependent manner,
indicating that AtfA plays a pivotal role in the maintenance of resting conidial
physiology. Unexpectedly, the precocious expression of the germination-associated
calA and an abnormal metabolic activity were detected in the resting conidia of
the atfA mutant, suggesting that AtfA was involved in the retention of conidial
dormancy. CONCLUSIONS: A comparison among transcriptomes of hyphae, resting
conidia, and 1 h-grown conidia in the three Aspergillus species revealed likely
common factors involved in conidial dormancy. AtfA positively regulates conidial
stress-related genes and negatively mediates the gene expressions related to
germination, suggesting a major role for AtfA in Aspergillus conidial dormancy.
PMID- 27185184
TI - Trends in the epidemiology of head and neck cancer in London.
AB - BACKGROUND: Head and neck cancers [HNCs] are biologically heterogeneous tumours.
The objectives of this study were to describe trends in incidence of HNCs amongst
London residents by sex, age, anatomical site, deprivation and ethnicity.
METHODS: Annual age-standardised incidence rates [ASRs] were calculated on HNC
registration data, overall and for specific cancer sites, by sex and morphology
(1985-2010) and area-based socio-economic deprivation score (2006-2010). Age
standardised incidence rate ratios [IRRs] for the main ethnic groups were
calculated by cancer site, using White males and females as the reference groups
(1998-2009). RESULTS: The ASR of HNC in males increased by 40% from 17.3 [95% CI:
15.8-18.6] to 24.2 [95% CI: 22.5-25.8] per 100 000 and in females by 87% from 7.0
[95% CI: 6.2-7.8] to 13.1 [95% CI: 11.9-14.2] per 100 000. Seventy-three per cent
of cases spanned four cancer sites: larynx, thyroid, oral and oropharynx. Larynx
was most common (23%), and had the highest male: female ratio (6 : 1); ASRs
decreased significantly over time, most notably in males [P < 0.001]. Oral cavity
was the second most common (21%), with a male: female ratio of 2 : 1, and
increasing ASRs in both sexes [P < 0.001]. The majority of cases were male (64%)
and from deprived areas (59%). Deprivation was associated with a significantly
higher incidence for larynx (males), oropharynx (males and females) and oral
cavity (females) [P < 0.05]. The age-specific rate for middle-aged adults (45-64
years) was high for oropharyngeal cancer. The incidence of thyroid cancers
increased significantly in both sexes [P < 0.001], and this was the only site
more common in females. One in five cases with known ethnicity was from a non
White group (20%). Compared with their White counterparts, Bangladeshi females
had a higher incidence of oral, laryngeal and thyroid cancers; Chinese males and
females had a higher incidence of nasopharyngeal cancer; and Pakistani and Indian
females and Indian males also had higher incidence of oral cancer. CONCLUSIONS:
HNCs are increasing in London males and females with significant variation by
cancer site over time; oral and oropharyngeal cancers show the most significant
rise, with implications for public health action and service provision.
PMID- 27185185
TI - Commentary on: Prevention of postoperative bile leakage using an omental plugging
technique for subtotal cholecystectomy in the "difficult gallbladder".
PMID- 27185183
TI - Nosocomial rotavirus infection: An up to date evaluation of European studies.
AB - Rotavirus (RV) is worldwide considered as the most important viral agent of acute
gastroenteritis in children less than 5 y. Since 2006, the availability of anti
RV vaccines has deeply modified the incidence and economic burden of RV
infection. In Europe, some countries have introduced an anti-RV vaccination
program in the last 10 y. Although community acquired RV (CARV) disease is the
most studied condition of RV infection, recently some authors have highlighted
the importance of nosocomial RV (nRV) disease as an emerging public health issue.
The aim of this review is to summarize the epidemiology of both CARV and nRV, in
order to discuss the difficulty of a clear evaluation of the burden of the
disease in absence of comparable data. In particular, we focused our attention to
European studies regarding nRV in terms of divergences related to definition,
report of incidence rate and methodological issues.
PMID- 27185188
TI - Bridges between mitochondrial oxidative stress, ER stress and mTOR signaling in
pancreatic beta cells.
AB - Pancreatic beta cell dysfunction, i.e., failure to provide insulin in
concentrations sufficient to control blood sugar, is central to the etiology of
all types of diabetes. Current evidence implicates mitochondrial oxidative stress
and endoplasmic reticulum (ER) stress in pancreatic beta cell loss and impaired
insulin secretion. Oxidative and ER stress are interconnected so that misfolded
proteins induce reactive oxygen species (ROS) production; likewise, oxidative
stress disturbs the ER redox state thereby disrupting correct disulfide bond
formation and proper protein folding. mTOR signaling regulates many metabolic
processes including protein synthesis, cell growth, survival and proliferation.
Oxidative stress inhibits mTORC1, which is considered an important suppressor of
mitochondrial oxidative stress in beta cells, and ultimately, controls cell
survival. The interplay between ER stress and mTORC1 is complicated, since the
unfolded protein response (UPR) activation can occur upstream or downstream of
mTORC1. Persistent activation of mTORC1 initiates protein synthesis and UPR
activation, while in the later phase induces ER stress. Chronic activation of ER
stress inhibits Akt/mTORC1 pathway, while under particular settings, acute
activation of UPR activates Akt-mTOR signaling. Thus, modulating mitochondrial
oxidative stress and ER stress via mTOR signaling may be an approach that will
effectively suppress obesity- or glucolipotoxicity-induced metabolic disorders
such as insulin resistance and type 2 diabetes mellitus (T2DM). In this review,
we focus on the regulations between mTOR signaling and mitochondrial oxidative or
ER stress in pancreatic beta cells.
PMID- 27185187
TI - Phosphorylated heat shock protein 27 promotes lipid clearance in hepatic cells
through interacting with STAT3 and activating autophagy.
AB - Nonalcoholic fatty liver disease (NAFLD) has become the major liver disease
worldwide. Recently, several studies have identified that the activation of
autophagy attenuates hepatic steatosis. Heat shock protein 27 (Hsp27) is involved
in autophagy in response to various stimuli. In this study, we demonstrate that
phosphorylated Hsp27 stimulates autophagy and lipid droplet clearance and
interacts with STAT3. In vivo study showed that high fat diet (HFD) feeding
increased Hsp25 (mouse orthology of Hsp27) phosphorylation and autophagy in mouse
livers. Inhibition of Hsp25 phosphorylation exacerbated HFD-induced hepatic
steatosis in mice. In vitro study showed that palmitate-induced lipid overload in
hepatic cells was enhanced by Hsp27 knockdown, KRIBB3 treatment and Hsp27-3A (non
phosphorylatable) overexpression but was prevented by Hsp27-WT (wild type) and
Hsp27-3D (phosphomimetic) overexpression. Mechanism analysis demonstrated that
palmitate could induce Hsp27 phosphorylation which promoted palmitate-induced
autophagy. Phosphorylated Hsp27 interacted with STAT3 in response to palmitate
treatment, and disrupted the STAT3/PKR complexes, facilitated PKR-dependent
eIF2alpha phosphorylation, and thus stimulated autophagy. To conclude, our study
provides a novel mechanism by which the phosphorylated Hsp27 promotes hepatic
lipid clearance and suggests a new insight for therapy of steatotic diseases such
as nonalcoholic fatty liver disease (NAFLD).
PMID- 27185189
TI - Ecology of conflict: marine food supply affects human-wildlife interactions on
land.
AB - Human-wildlife conflicts impose considerable costs to people and wildlife
worldwide. Most research focuses on proximate causes, offering limited
generalizable understanding of ultimate drivers. We tested three competing
hypotheses (problem individuals, regional population saturation, limited food
supply) that relate to underlying processes of human-grizzly bear (Ursus arctos
horribilis) conflict, using data from British Columbia, Canada, between 1960
2014. We found most support for the limited food supply hypothesis: in bear
populations that feed on spawning salmon (Oncorhynchus spp.), the annual number
of bears/km(2) killed due to conflicts with humans increased by an average of 20%
(6-32% [95% CI]) for each 50% decrease in annual salmon biomass. Furthermore, we
found that across all bear populations (with or without access to salmon), 81% of
attacks on humans and 82% of conflict kills occurred after the approximate onset
of hyperphagia (July 1(st)), a period of intense caloric demand. Contrary to
practices by many management agencies, conflict frequency was not reduced by
hunting or removal of problem individuals. Our finding that a marine resource
affects terrestrial conflict suggests that evidence-based policy for reducing
harm to wildlife and humans requires not only insight into ultimate drivers of
conflict, but also management that spans ecosystem and jurisdictional boundaries.
PMID- 27185190
TI - Towards medicinal mechanochemistry: evolution of milling from pharmaceutical
solid form screening to the synthesis of active pharmaceutical ingredients
(APIs).
AB - This overview highlights the emergent area of mechanochemical reactions for
making active pharmaceutical ingredients (APIs), and covers the latest advances
in the recently established area of mechanochemical screening and synthesis of
pharmaceutical solid forms, specifically polymorphs, cocrystals, salts and salt
cocrystals. We also provide an overview of the most recent developments in
pharmaceutical uses of mechanochemistry, including real-time reaction monitoring,
techniques for polymorph control and approaches for continuous manufacture using
twin screw extrusion, and more. Most importantly, we show how the overlap of
previously unrelated areas of mechanochemical screening for API solid forms,
organic synthesis by milling, and mechanochemical screening for molecular
recognition, enables the emergence of a new research discipline in which
different aspects of pharmaceutical and medicinal chemistry are addressed through
mechanochemistry rather than through conventional solution-based routes. The
emergence of such medicinal mechanochemistry is likely to have a strong impact on
future pharmaceutical and medicinal chemistry, as it offers not only access to
materials and reactivity that are sometimes difficult or even impossible to
access from solution, but can also provide a general answer to the demands of the
pharmaceutical industry for cleaner, safer and efficient synthetic solutions.
PMID- 27185186
TI - Myofibril growth during cardiac hypertrophy is regulated through dual
phosphorylation and acetylation of the actin capping protein CapZ.
AB - The mechanotransduction signaling pathways initiated in heart muscle by increased
mechanical loading are known to lead to long-term transcriptional changes and
hypertrophy, but the rapid events for adaptation at the sarcomeric level are not
fully understood. The goal of this study was to test the hypothesis that actin
filament assembly during cardiomyocyte growth is regulated by post-translational
modifications (PTMs) of CapZbeta1. In rapidly hypertrophying neonatal rat
ventricular myocytes (NRVMs) stimulated by phenylephrine (PE), two-dimensional
gel electrophoresis (2DGE) of CapZbeta1 revealed a shift toward more negative
charge. Consistent with this, mass spectrometry identified CapZbeta1
phosphorylation on serine-204 and acetylation on lysine-199, two residues which
are near the actin binding surface of CapZbeta1. Ectopic expression of dominant
negative PKCE (dnPKCE) in NRVMs blunted the PE-induced increase in CapZ dynamics,
as evidenced by the kinetic constant (Kfrap) of fluorescence recovery after
photobleaching (FRAP), and concomitantly reduced phosphorylation and acetylation
of CapZbeta1. Furthermore, inhibition of class I histone deacetylases (HDACs)
increased lysine-199 acetylation on CapZbeta1, which increased Kfrap of CapZ and
stimulated actin dynamics. Finally, we show that PE treatment of NRVMs results in
decreased binding of HDAC3 to myofibrils, suggesting a signal-dependent mechanism
for the regulation of sarcomere-associated CapZbeta1 acetylation. Taken together,
this dual regulation through phosphorylation and acetylation of CapZbeta1
provides a novel model for the regulation of myofibril growth during cardiac
hypertrophy.
PMID- 27185191
TI - Comparison between flipped classroom and team-based learning in fixed
prosthodontic education.
AB - PURPOSE: We previously investigated the effects of team-based learning (TBL) on
fixed prosthodontic education and reported that TBL could have higher efficiency
with high student satisfaction than traditional lecture. In the current report,
we introduced flipped classroom to the fixed prosthodontic education and compared
their effectiveness based on the final examination score in addition to TBL.
METHODS: Participants were 41 students from Tokushima University School of
Dentistry who attended a fixed prosthodontics course. The first six classes
adopted the flipped classroom style while the latter eight classes adopted TBL.
To evaluate the relationship between learning styles and their effectiveness, we
compared results from the term-end examination between the curriculum covered by
flipped classroom and TBL-style classes. To draw comparisons, a referential
examination with the same questions was conducted to eight faculty members who
had not attended any of these classes. RESULTS: Term-end examination results
showed that TBL classes had slightly higher scores than flipped classroom
classes. Referential examination results also showed higher scores for the same
curriculum and no significant interaction was found between class formats and the
term-end and referential examination scores. Analysis revealed no noticeable
difference in the effectiveness of the class formats. CONCLUSION: Our previous
study reported that TBL had higher efficiency than traditional style lecture. In
the current study, there was no statistical difference in the examination score
between flipped classroom and TBL. Therefore, we conclude that both styles are
highly effective than traditional style lecture and constitute valid formats for
clinical dental education.
PMID- 27185192
TI - Development of phytotoxicity indexes and their correlation with ecotoxicological,
stability and physicochemical parameters during passive composting of poultry
manure.
AB - Both raw and composted poultry manure is applied as soil amendment. The aims of
this study were: (1) to develop phytotoxicity indexes for organic wastes and
composts, and (2) to assess the correlation among phytotoxicity indexes,
ecotoxicological endpoints and stability and physicochemical parameters during
passive composting of poultry manure. Six 2-m(3) composting piles were
constructed and four parameter groups (physicochemical and microbiological
parameters, ecotoxicological endpoints, and biological activity) were determined
at four sampling times during 92days. Extracts were used to carry out acute
toxicity tests on Daphnia magna, Lactuca sativa and Raphanus sativus. Composting
decreased average toxicity 22.8% for the 3 species and D. magna was the most
sensitive species. The static respiration index decreased from 1.12 to
0.46mgO2gOMh(-1) whilst organic matter reduced by 64.1% at the end of the
process. Escherichia coli colonies remained higher than values recommended by
international guidelines. The D. magna immobilization test allowed the assessment
of possible leachate or run-off toxicity. The new phytotoxicity indexes (RGIC0.8
and GIC80%), proposed in this study, as well as salinity, proved to be good
maturity indicators. Hence, these phytotoxicity indexes could be implemented in
monitoring strategies as useful ecotoxicological tools. Multivariate analyses
demonstrated positive correlations between ecotoxicological endpoints (low
toxicity) and biological activity (stability). These two parameter groups were
associated at the final sampling time and showed negative correlations with
several physicochemical parameters (organic and inorganic contents). The final
poultry manure compost was rendered stable, but immature and, thus, unsuitable
for soil amending.
PMID- 27185193
TI - Management of acute heart failure in elderly patients.
AB - Acute heart failure (AHF) is the most common cause of unplanned hospital
admissions, and is associated with high mortality rates. Over the next few
decades, the combination of improved cardiovascular disease survival and
progressive ageing of the population will further increase the prevalence of AHF
in developed countries. New recommendations on the management of AHF have been
published recently, but as elderly patients are under-represented in clinical
trials, and scientific evidence is often lacking, the diagnosis and management of
AHF in this population is challenging. The clinical presentation of AHF,
especially in patients aged>85years, differs substantially from that in younger
patients, with unspecific symptoms, such as fatigue and confusion, often
overriding dyspnoea. Older patients also have a different risk profile compared
with younger patients: often heart failure with preserved ejection fraction, and
infection as the most frequent precipitating factor of AHF. Moreover, co
morbidities, disability and frailty are common, and increase morbidity, recovery
time, readmission rates and mortality; their presence should be detected during a
geriatric assessment. Diagnostics and treatment for AHF should be tailored
according to cardiopulmonary and geriatric status, giving special attention to
the patient's preferences for care. Whereas many elderly AHF patients may be
managed similarly to younger patients, different strategies should be applied in
the presence of relevant co-morbidities, disability and frailty. The option of
palliative care should be considered at an early stage, to avoid unnecessary and
harmful diagnostics and treatments.
PMID- 27185195
TI - Classical-Reaction-Driven Stereo- and Regioselective C(sp(3) )-H
Functionalization of Aliphatic Amines.
AB - A large variety of synthetic methods have been developed for the synthesis of
functionalized aliphatic amines because of their broad spectrum of application.
Metallic reagents/catalysts and/or toxic oxidants are involved in most of the
cases. Direct C?H functionalization of aliphatic amines via their classical
condensation reactions with suitable carbonyl compounds is advantageous because
this method avoids hazardous metallic reagents, toxic oxidants and pre
activation/pre-functionalization step(s). In this account, the concept of direct
C?H functionalization of aliphatic amines based on the classical condensation
isomerization-addition (CIA) strategy followed by recent contributions from our
ongoing research in the field along with relevant examples from other groups are
described. Successes in stereo- and regioselective C?C and C?O bond formation via
direct alpha- as well as beta-C(sp(3) )-H functionalization are discussed.
PMID- 27185194
TI - Deep Patient: An Unsupervised Representation to Predict the Future of Patients
from the Electronic Health Records.
AB - Secondary use of electronic health records (EHRs) promises to advance clinical
research and better inform clinical decision making. Challenges in summarizing
and representing patient data prevent widespread practice of predictive modeling
using EHRs. Here we present a novel unsupervised deep feature learning method to
derive a general-purpose patient representation from EHR data that facilitates
clinical predictive modeling. In particular, a three-layer stack of denoising
autoencoders was used to capture hierarchical regularities and dependencies in
the aggregated EHRs of about 700,000 patients from the Mount Sinai data
warehouse. The result is a representation we name "deep patient". We evaluated
this representation as broadly predictive of health states by assessing the
probability of patients to develop various diseases. We performed evaluation
using 76,214 test patients comprising 78 diseases from diverse clinical domains
and temporal windows. Our results significantly outperformed those achieved using
representations based on raw EHR data and alternative feature learning
strategies. Prediction performance for severe diabetes, schizophrenia, and
various cancers were among the top performing. These findings indicate that deep
learning applied to EHRs can derive patient representations that offer improved
clinical predictions, and could provide a machine learning framework for
augmenting clinical decision systems.
PMID- 27185196
TI - Tantalum implanted entangled porous titanium promotes surface osseointegration
and bone ingrowth.
AB - Porous Ti is considered to be an ideal graft material in orthopaedic and dental
surgeries due to its similar spatial structures and mechanical properties to
cancellous bone. In this work, to overcome the bioinertia of Ti, Ta-implanted
entangled porous titanium (EPT) was constructed by plasma immersion ion
implantation &deposition (PIII&D) method. Ca-implanted and unimplanted EPTs were
investigated as control groups. Although no difference was found in surface
topography and mechanical performances, both Ca- and Ta-implanted groups had
better effects in promoting MG-63 cell viability, proliferation, differentiation,
and mineralization than those of unimplanted group. The expression of osteogenic
related markers examined by qRT-PCR and western blotting was upregulated in Ca-
and Ta-implanted groups. Moreover, Ta-implanted EPT group could reach a higher
level of these effects than that of Ca-implanted group. Enhanced osseointegration
of both Ca- and Ta-implanted EPT implants was demonstrated through in vivo
experiments, including micro-CT evaluation, push-out test, sequential fluorescent
labeling and histological observation. However, the Ta-implanted group possessed
more stable and continuous osteogenic activity. Our results suggest that Ta
implanted EPT can be developed as one of the highly efficient graft material for
bone reconstruction situations.
PMID- 27185197
TI - Phase II trial of ofatumumab plus ESHAP (O-ESHAP) as salvage treatment for
patients with relapsed or refractory classical Hodgkin lymphoma after first-line
chemotherapy.
AB - The management of recurrent/refractory (R/R) Hodgkin lymphoma (HL) remains
challenging. Previously published data have shown some efficacy of rituximab in
this setting. The purpose of this phase II trial was to investigate the activity
of ofatumumab in combination with etoposide, steroids, cytarabine and cisplatin
(O-ESHAP) in 62 patients with R/R classical HL. Treatment consisted of ESHAP plus
ofatumumab 1000 mg on days 1 and 8 of the first cycle and day 1 of the second and
third cycles. O-ESHAP was well tolerated with only 3% of patients requiring
treatment discontinuation because of adverse events. Overall response rate was
73% (44% complete metabolic response). In multivariate analysis, early relapse (P
< 0.001), bulky disease (P < 0.001) and B symptoms (P < 0.001) were the most
important prognostic factors for response. No failures of stem cell mobilization
were observed. The high response rate, particularly the complete metabolic
response rate, the low toxicity profile, and the high mobilizing potential of the
O-ESHAP regimen suggest that patients with R/R HL may benefit from this salvage
regimen. However, with the encouraging results observed with other new
therapeutic agents in HL, the O-ESHAP regimen could be restricted to patients
failing these agents or to those with R/R nodular lymphocyte-predominant HL.
PMID- 27185198
TI - Functional evaluation of TERT-CLPTM1L genetic variants associated with
susceptibility of papillary thyroid carcinoma.
AB - TERT is the catalytic subunit of telomerase which plays an essential part in
cellular immortality by maintaining telomere integrity. TERT is commonly over
expressed in human malignancies, indicating its key role in cell transformation.
The chromosome 5p15.33 TERT-CLPTM1L region has been associated with
susceptibility of multiple cancers via a genome-wide association approach.
However, the involvement of this locus in papillary thyroid carcinoma (PTC)
etiology is still largely unknown. We analyzed 15 haplotype-tagging single
nucleotide polymorphisms (htSNPs) of the TERT-CLPTM1L region in a two stage case
control design. After genotyping 2300 PTC patients and frequency-matched 2300
unaffected controls, we found that TERT rs2736100 genetic variant is
significantly associated with elevated PTC risk. Ex vivo reporter gene assays
indicated that the PTC susceptibility rs2736100 polymorphism locating in a
potential TERT intronic enhancer has a genotype-specific effect on TERT
expression. Correlations between rs2736100 genotypes and tissue-specific TERT
expression supported the regulatory function of this genetic variant in vivo. Our
data demonstrated that the functional TERT rs2736100 SNP as a novel genetic
component of PTC etiology. This study, together with recent studies in other
cancers, unequivocally establishes an essential role of TERT in cancers.
PMID- 27185199
TI - Rapid approximate calculation of water binding free energies in the whole
hydration domain of (bio)macromolecules.
AB - The evaluation of water binding free energies around solute molecules is
important for the thermodynamic characterization of hydration or association
processes. Here, a rapid approximate method to estimate water binding free
energies around (bio)macromolecules from a single molecular dynamics simulation
is presented. The basic idea is that endpoint free-energy calculation methods are
applied and the endpoint quantities are monitored on a three-dimensional grid
around the solute. Thus, a gridded map of water binding free energies around the
solute is obtained, that is, from a single short simulation, a map of favorable
and unfavorable water binding sites can be constructed. Among the employed free
energy calculation methods, approaches involving endpoint information pertaining
to actual thermodynamic integration calculations or endpoint information as
exploited in the linear interaction energy method were examined. The accuracy of
the approximate approaches was evaluated on the hydration of a cage-like molecule
representing either a nonpolar, polar, or charged water binding site and on alpha
and beta-cyclodextrin molecules. Among the tested approaches, the linear
interaction energy method is considered the most viable approach. Applying the
linear interaction energy method on the grid around the solute, a semi
quantitative thermodynamic characterization of hydration around the whole solute
is obtained. Disadvantages are the approximate nature of the method and a limited
flexibility of the solute. (c) 2016 Wiley Periodicals, Inc.
PMID- 27185200
TI - Collection and immunoselection of CD34+ cells: the impact of age, sex, and
diabetes in patients with chronic heart failure.
AB - BACKGROUND: Mobilized peripheral blood is the most common source of CD34+ cells
intended for transplantations. The collection and enrichment of CD34+ cells could
be affected by various factors and there are some controversies regarding the
effects of patient-related factors. The aim of this study was to assess the
impact of age, sex, and diabetes on the CD34+ cell grafts in patients with
chronic heart failure. STUDY DESIGN AND METHODS: Cell grafts from 100 adult
patients scheduled for autologous CD34+ cell transplantation were investigated.
The CD34+ cells were collected using leukapheresis after granulocyte-colony
stimulating factor mobilization and further enriched using the immunomagnetic
CD34+ selection. The number of CD34+ cells and their viability were determined by
flow cytometry. RESULTS: Older patients had significantly lower CD34+ cell counts
than younger patients. The differences between men and women were not found.
There was a trend toward an inverse relationship between diabetes and the CD34+
cell count, however, without any significance. No differences in the CD34+ cell
viability (97.6% before and 97.9% after selection) were found. The mean CD34+
cell recovery was 59.7% and was not statistically different between age groups,
sex, and diabetic patients. CONCLUSION: Before the CD34+ cells are collected the
patient's age should be considered. The study did not demonstrate a significant
impact of sex and diabetes on the CD34+ cell count. While age and sex did not
affect the immunoselection process, diabetes slightly reduced cell recovery. Cell
viabilities before and after the cell enrichment were comparable between the
tested samples.
PMID- 27185201
TI - To what extent do the presentation of fetus, amniotic fluid index and fetal
weight at term affect the cardiac axis?
AB - OBJECTIVE: To analyse the change in cardiac axis with advancing gestational age
and the factors that may affect it. METHODS: 45 healthy pregnant women in 20th
weeks of gestation were enrolled to the study. The cardiac axis was noted for
each participant. The same group was once more assessed at term and the change in
cardiac axis was calculated with the difference of cardiac axis at term and the
20th gestational weeks. Change in cardiac axis with advancing gestational weeks
and factors that may affect it such as amniotic fluid index (AFI), estimated
fetal weight (EFW) at term, actual birth weight and presentation of the fetus at
term were evaluated. RESULTS: The median of ages was 28.0 (IQR=12.0)years within
a range of 18-39years. The median of change in cardiac axis was 11.0 (IQR=9.0)
degrees within a range of 3.0-47.0 degrees. The change in cardiac axis in regard
to the fetal presenting part was 11.0 (IQR=8.0) degrees in vertex presentation
and 23.50 (IQR=21.0) degrees in breech presentation. 81.1% of the variation in
change in cardiac axis was found to be due to the actual birth weight and fetal
presentation, with each 100g increase in actual birth weight leads to an increase
of 0.375 degrees in cardiac axis. CONCLUSION: EFW at term, presentation of the
fetus and the actual birth weight were all found to be significant in predicting
the change in cardiac axis, but not AFI. These findings may have future potential
value in evaluating electrocardiogram (ECG), especially of pregnants with
extremes of left axis deviation (polyhydramnios, large fetus or breech
presentation). Clinicians should be cautious during the interpretation of the ECG
in pregnants, especially in those with extremes of left axis deviation because of
either polyhydramnios, large fetus or breech presentation.
PMID- 27185202
TI - Heavy chain (LvH) and light chain (LvL) of lipovitellin (Lv) of zebrafish can
both bind to bacteria and enhance phagocytosis.
AB - Lipovitellin (Lv) is an apoprotein in oviparous animals. Lv consists of a heavy
chain (LvH) and a light chain (LvL) which are traditionally regarded as energy
reserves for developing embryos. Recently, Lv has been shown to be involved in
immune defense of developing embryos in fish. However, it remains unknown if each
of LvH and LvL possesses immune activity; and if so, whether or not they function
similarly. Here we clearly demonstrated that recombinant LvH (rLvH) and LvL
(rLvL) from zebrafish vg1 gene bound to both the Gram-negative bacteria
Escherichia coli and Vibrio anguillarum and the Gram-positive bacteria
Staphylococcus aureus and Micrococcus luteus as well as the pathogen-associated
molecular patterns LPS, LTA and PGN. In addition, both rLvH and rLvL were able to
enhance the phagocytosis of bacteria E. coli and S. aureus by macrophages. All
these data suggest that both LvH and LvL, in addition to being energy reserves,
are also maternal immune-relevant factors capable of interacting with invading
bacteria in zebrafish embryos/larvae.
PMID- 27185203
TI - Identification and characterization of a constitutively expressed
Ctenopharyngodon idella ADAR1 splicing isoform (CiADAR1a).
AB - As one member of ADAR family, ADAR1 (adenosine deaminase acting on RNA 1) can
convert adenosine to inosine within dsRNA. There are many ADAR1 splicing isoforms
in mammals, including an interferon (IFN) inducible ~150 kD protein (ADAR1-p150)
and a constitutively expressed ~110 kD protein (ADAR1-p110). The structural
diversity of ADAR1 splicing isoforms may reflect their multiple functions. ADAR1
splicing isoforms were also found in fish. In our previous study, we have cloned
and identified two different grass carp ADAR1 splicing isoforms, i.e. CiADAR1 and
CiADAR1-like, both of them are IFN-inducible proteins. In this paper, we
identified a novel CiADAR1 splicing isoform gene (named CiADAR1a). CiADAR1a gene
contains 15 exons and 14 introns. Its full-length cDNA is comprised of a 5' UTR
(359 bp), a 3' UTR (229 bp) and a 2952 bp ORF encoding a polypeptide of 983 amino
acids with one Z-DNA binding domain, three dsRNA binding motifs and a highly
conserved hydrolytic deamination domain. CiADAR1a was constitutively expressed in
Ctenopharyngodon idella kidney (CIK) cells regardless of Poly I:C stimulation by
Western blot assay. In normal condition, CiADAR1a was found to be present mainly
in the nucleus. After treatment with Poly I:C, it gradually shifted to cytoplasm.
To further investigate the mechanism of transcriptional regulation of CiADAR1a,
we cloned and identified its promoter sequence. The transcriptional start site of
CiADAR1a is mapped within the truncated exon 2. CiADAR1a promoter is 1303 bp in
length containing 4 IRF-Es. In the present study, we constructed pcDNA3.1
eukaryotic expression vectors with IRF1 and IRF3 and co-transfected them with
pGL3-CiADAR1a promoter into CIK cells. The results showed that neither the over
expression of IRF1 or IRF3 nor Poly I:C stimulation significantly impacted
CiADAR1a promoter activity in CIK cells. Together, according to the molecular and
expression characteristics, subcellular localization and transcriptional
regulatory mechanism, we deduced that CiADAR1a shared a high degree of homology
with mammalian ADAR1-p110.
PMID- 27185204
TI - Life-threatening hemorrhage after zygomatic bone surgery. About 2 posttraumatic
cases.
AB - INTRODUCTION: Management of zygomatic bone fractures is still debated. Method and
delay for intervention has to be chosen considering respective issues of
operative or conservative treatments, especially hemorrhagic complications. The
maxillofacial surgeon must be able to determine life-threatening situations and
to react appropriately. CASES REPORT: We report 2 cases of external carotid
branches pseudoaneurysm leading to massive hemorrhage after early or delayed
zygomatic fracture surgery. The first patient underwent open reduction of
fracture by intraoral approach. An active bleeding occurred in the immediate
postoperative time. In the second case, a zygomatic osteotomy was performed 1
year after fracture. Bleeding occurred 2 weeks after surgery. In both cases,
angiography demonstrated a pseudoaneurysm developed from the external carotid
branches. Embolisation led to rapid bleeding control. DISCUSSION: Severe
hemorrhage resulting from maxillofacial trauma may be life-threatening. Once the
"damage control" principles applied, selective embolisation of external carotid
branches is an efficient alternative to surgery for the control of bleeding
resulting from ruptured pseudoaneurysm.
PMID- 27185205
TI - [Atraumatic bone expansion: Interest of piezo-surgery, conicals expanders and
immediate implantation combination].
AB - The durability of dental implants depends on the presence of a 1mm coating bone
sheath all around the fixture. Therefore, bone resorption represents a challenge
for the practitioner. Bone expansion is a surgical technique that allows the
management of horizontal bone atrophy. Cortical bone splitting allows for an
enlargement of the residual crest by displacement of the vestibular bone flap.
The immediate placement of implants secures the widening and allows for a 97%
survival rate. However, bone expansion is hard to undertake in sites with high
bone density. Furthermore, the use of traditional instruments increases patient's
stress and the risk for an interruptive fracture during bone displacement. Non
traumatic bone expansion is one solution to this problem. The combination of
piezo-surgery and conical expanders allows for a secured displacement of the
selected bone flap as well as an immediate implant placement, avoiding the risk
of slipping, overheating, or fracture, all within an undeniable operative
comfort. Non-traumatic bone expansion is a reliable, reproducible, conservative,
and economical in time and cost procedure. We describe our atraumatic bone
expension and immediate implant placement technique in high bone density sites
and illustrate it by a clinical case.
PMID- 27185206
TI - Calcium hydroxide as a processing base in alkali-aided pH-shift protein recovery
process.
AB - BACKGROUND: Protein may be recovered by using pH shifts to solubilize and
precipitate protein. Typically, sodium hydroxide is used as the processing base;
however, this has been shown to significantly increase sodium in the final
recovered protein. RESULTS: Protein was extracted from black bullhead catfish
(Ameiurus melas) using a pH-shift method. Protein was solubilized using either
sodium hydroxide (NaOH) or calcium hydroxide (Ca(OH)2 ) and precipitated at pH
5.5 using hydrochloric acid (HCl). Protein solubility was greater when Ca(OH)2
was used compared to NaOH during this process. Using Ca(OH)2 as the processing
base yielded the greatest lipid recovery (P < 0.05) at 77 g 100 g-1 , whereas the
greatest (P < 0.05) protein recovery yield was recorded as 53 g 100 g-1 protein
using NaOH. Protein solubilized with Ca(OH)2 had more (P < 0.05) calcium in the
protein fraction, whereas using NaOH increased (P < 0.05) sodium content.
CONCLUSION: Results of our study showed that protein solubility was increased and
the recovered protein had significantly more calcium when Ca(OH)2 was used as the
processing base. Results showed both NaOH and Ca(OH)2 to be an effective
processing base for pH-shift protein recovery processes. (c) 2016 Society of
Chemical Industry.
PMID- 27185207
TI - Chronic myelomonocytic leukemia: 2016 update on diagnosis, risk stratification,
and management.
AB - Chronic myelomonocytic leukemia (CMML) is a clonal hematopoietic stem cell
disorder characterized by overlapping features of myelodysplastic syndromes and
myeloproliferative neoplasms. Diagnosis is based on the presence of persistent
(>3 months) peripheral blood monocytosis (>1 * 10(9) /L), along with bone marrow
dysplasia. Clonal cytogenetic abnormalities occur in ~20-30% of patients, while
>90% have gene mutations. Mutations involving TET2 (~60%), SRSF2 (~50%), ASXL1
(~40%), and RAS (~30%) are frequent; with only ASXL1 mutations negatively
impacting overall survival. Two molecularly integrated, CMML-specific prognostic
models include; the Groupe Francais des Myelodysplasies (GFM) and the Molecular
Mayo Model (MMM). The GFM model segregates patients into 3 groups based on: age
>65 years, WBC >15 * 10(9) /L, anemia, platelets <100 * 10(9) /L, and ASXL1
mutation status, with respective median survivals of 56 (low), 27.4
(intermediate), and 9.2 (high) months. The MMM is based on ASXL1 mutational
status, absolute monocyte count >10 * 10(9) /L, hemoglobin <10 g/dL, platelets
<100 * 109/L and circulating immature myeloid cells. This model stratifies
patients into four groups; high (>=3 risk factors), intermediate-2 (2 risk
factors), intermediate-1 (1 risk factor) and low (no risk factors), with median
survivals of 16, 31, 59, and 97 months, respectively. Hypomethylating agents such
as 5-azacitidine and decitabine are commonly used, with overall response rates of
~30-40% and complete remission rates of ~7-17%. Allogeneic stem cell transplant
is the only potentially curative option, but is associated with significant
morbidity and mortality. Individualized therapy, including epigenetic modifiers
and small molecule inhibitors, are exciting prospects. Am. J. Hematol. 91:632
642, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27185208
TI - Homozygosity for a haplotype in the HBG2-OR51B4 region is exclusive to Arab
Indian haplotype sickle cell anemia.
PMID- 27185209
TI - Host glycosylation pathways and the unfolded protein response contribute to the
infection by Francisella.
AB - Protein glycosylation processes play a crucial role in most physiological
functions, including cell signalling, cellular differentiation and adhesion. We
previously demonstrated that rapid deglycosylation of membrane proteins was
specifically triggered after infection of human macrophages by the bacterial
pathogen Francisella tularensis. Using a glycan processing gene microarray, we
found here that Francisella infection modulated expression of numerous
glycosidase and glycosyltransferase genes. Furthermore, analysis of cell extracts
from infected macrophages by Lectin and Western blotting revealed an important
increase of N- and O-protein glycosylation. We chose to focus in the present work
on one of the O-glycosylated proteins identified by mass spectrometry, the
multifunctional endoplasmic reticulum chaperone BiP (HSPA5/GRP78). We demonstrate
that BiP expression is modulated upon Francisella infection and is required to
support its intracellular multiplication. Moreover, we show that Francisella
differentially modulates the BiP-dependent activation of three key proteins of
the unfolded protein response (UPR), IRE1, PERK and ATF6. The effects exerted on
human cells by Francisella may thus constitute a novel excample of UPR
manipulation contributing to intracellular bacterial adaptation.
PMID- 27185210
TI - An Observational Study to Evaluate the Usability and Intent to Adopt an
Artificial Intelligence-Powered Medication Reconciliation Tool.
AB - BACKGROUND: Medication reconciliation (the process of creating an accurate list
of all medications a patient is taking) is a widely practiced procedure to reduce
medication errors. It is mandated by the Joint Commission and reimbursed by
Medicare. Yet, in practice, medication reconciliation is often not effective
owing to knowledge gaps in the team. A promising approach to improve medication
reconciliation is to incorporate artificial intelligence (AI) decision support
tools into the process to engage patients and bridge the knowledge gap.
OBJECTIVE: The aim of this study was to improve the accuracy and efficiency of
medication reconciliation by engaging the patient, the nurse, and the physician
as a team via an iPad tool. With assistance from the AI agent, the patient will
review his or her own medication list from the electronic medical record (EMR)
and annotate changes, before reviewing together with the physician and making
decisions on the shared iPad screen. METHODS: In this study, we developed iPad
based software tools, with AI decision support, to engage patients to "self
service" medication reconciliation and then share the annotated reconciled list
with the physician. To evaluate the software tool's user interface and workflow,
a small number of patients (10) in a primary care clinic were recruited, and they
were observed through the whole process during a pilot study. The patients are
surveyed for the tool's usability afterward. RESULTS: All patients were able to
complete the medication reconciliation process correctly. Every patient found at
least one error or other issues with their EMR medication lists. All of them
reported that the tool was easy to use, and 8 of 10 patients reported that they
will use the tool in the future. However, few patients interacted with the
learning modules in the tool. The physician and nurses reported the tool to be
easy-to-use, easy to integrate into existing workflow, and potentially time
saving. CONCLUSIONS: We have developed a promising tool for a new approach to
medication reconciliation. It has the potential to create more accurate
medication lists faster, while better informing the patients about their
medications and reducing burden on clinicians.
PMID- 27185212
TI - Does enhancing personal care assistants' own oral health influence their
attitudes and practices towards oral care for residents - a pilot study.
AB - OBJECTIVES: To investigate whether, within a residential care facility,
increasing personal care assistants' (PCAs) awareness of their own oral health
status and self-care skills would alter existing attitudes and behavioural
intentions related to the oral health care of residents. METHODS: PCAs (n = 15)
in the dementia care unit of a residential care facility in Melbourne, Australia,
were invited to participate in a small research project that appeared to test the
effectiveness of a work-place oral health educational programme in enhancing
their own oral health whilst masking the actual outcome of interest, namely its
effect on PCAs oral healthcare attitudes and practices towards the residents.
RESULTS: Post-intervention, the self-reported confidence of the PCAs to identify
their personal risk for oral health problems, identifying common oral health
conditions and determining the factors contributing to their personal oral health
was increased significantly (P < 0.05). Post-intervention, the self-reported
confidence of the PCAs to feeling confident to identify factors that could
contribute to poor oral health of residents, identify resident's higher risk for
poor oral health and feeling confident in identifying common oral health
conditions in residents was also increased significantly (P < 0.05). CONCLUSION:
The results of this pilot study show that the educational intervention to
increase the personal care assistants' (PCAs) awareness of their own oral health
status and self-care skills increased the confidence of the carers to identify
oral health risks in the residents, as well as increasing their self-reported
confidence in providing oral care to residents.
PMID- 27185211
TI - Increased protein processing gene signature in HDACi-resistant cells predicts
response to proteasome inhibitors.
PMID- 27185214
TI - FTIR spectroscopy and scanning electron microscopic analysis of pretreated
biosorbent to observe the effect on Cr (VI) remediation.
AB - Various chemical and physical treatments have been applied to indigenously
isolated cyanobacterial strain, Lyngbya putealis HH-15, to observe the effect on
chromium removal capacity. Pretreatment with hydrochloric acid (99.1%) and nitric
acid (98.5%) resulted in enhanced chromium removal as compared to untreated
control biosorbent (98.1%). Pretreatment with acetic acid (97.9%), methanol
(97.0%), calcium chloride (96.0%), hot water (95.2%), and sodium hydroxide
(93.9%) did not improve the chromium removal capacity of biosorbent. Fourier
transform infrared spectrometry (FTIR) and scanning electron microscopy (SEM)
analysis identified changes in biomass functionality and availability after
physical and chemical modification-the results of which were in agreement with
metal removal studies. In conclusion, this acid-treated biosorbent represents a
suitable candidate to replace conventional removal technologies for metal-bearing
wastewaters.
PMID- 27185213
TI - Reduction in squamous cell carcinomas in mouse skin by dietary zinc
supplementation.
AB - Inadequate dietary Zn consumption increases susceptibility to esophageal and
other cancers in humans and model organisms. Since Zn supplementation can prevent
cancers in rodent squamous cell carcinoma (SCC) models, we were interested in
determining if it could have a preventive effect in a rodent skin cancer model,
as a preclinical basis for considering a role for Zn in prevention of human
nonmelanoma skin cancers, the most frequent cancers in humans. We used the 7,12
dimethyl benzanthracene carcinogen/phorbol myristate acetate tumor promoter
treatment method to induce skin tumors in Zn-sufficient wild-type and Fhit (human
or mouse protein) knockout mice. Fhit protein expression is lost in >50% of human
cancers, including skin SCCs, and Fhit-deficient mice show increased sensitivity
to carcinogen induction of tumors. We hypothesized that: (1) the skin cancer
burdens would be reduced by Zn supplementation; (2) Fhit(-/-) (Fhit, murine
fragile histidine triad gene) mice would show increased susceptibility to skin
tumor induction versus wild-type mice. 30 weeks after initiating treatment, the
tumor burden was increased ~2-fold in Fhit(-/-) versus wild-type mice (16.2
versus 7.6 tumors, P < 0.001); Zn supplementation significantly reduced tumor
burdens in Fhit(-/-) mice (males and females combined, 16.2 unsupplemented versus
10.3 supplemented, P = 0.001). Most importantly, the SCC burden was reduced after
Zn supplementation in both strains and genders of mice, most significantly in the
wild-type males (P = 0.035). Although the mechanism(s) of action of Zn
supplementation in skin tumor prevention is not known in detail, the Zn
supplemented tumors showed evidence of reduced DNA damage and some cohorts showed
reduced inflammation scores. The results suggest that mild Zn supplementation
should be tested for prevention of skin cancer in high-risk human cohorts.
PMID- 27185215
TI - Droplet-based magnetic bead immunoassay using microchannel-connected multiwell
plates (MUCHAMPs) for the detection of amyloid beta oligomers.
AB - Multiwell plates are regularly used in analytical research and clinical diagnosis
but often require laborious washing steps and large sample or reagent volumes
(typically, 100 MUL per well). To overcome such drawbacks in the conventional
multiwell plate, we present a novel microchannel-connected multiwell plate
(MUCHAMP) that can be used for automated disease biomarker detection in a small
sample volume by performing droplet-based magnetic bead immunoassay inside the
plate. In this MUCHAMP-based immunoassay platform, small volumes (30-50 MUL) of
aqueous-phase working droplets are stably confined within each well by the simple
microchannel structure (200-300 MUm in height and 0.5-1 mm in width), and
magnetic beads are exclusively transported into an adjacent droplet through the
oil-filled microchannels assisted by a magnet array aligned beneath and
controlled by a XY-motorized stage. Using this MUCHAMP-based platform, we were
able to perform parallel detection of synthetic amyloid beta (Abeta) oligomers as
a model analyte for the early diagnosis of Alzheimer's disease (AD). This
platform easily simplified the laborious and consumptive immunoassay procedure by
achieving automated parallel immunoassay (32 assays per operation in 3-well
connected 96-well plate) within 1 hour and at low sample consumption (less than
10 MUL per assay) with no cumbersome manual washing step. Moreover, it could
detect synthetic Abeta oligomers even below 10 pg mL(-1) concentration with a
calculated detection limit of ~3 pg mL(-1). Therefore, the MUCHAMP and droplet
based magnetic bead immunoassay, with the combination of XY-motorized magnet
array, would be a useful platform in the diagnosis of human disease, including
AD, which requires low consumption of the patient's body fluid sample and
automation of the entire immunoassay procedure for high processing capacity.
PMID- 27185216
TI - Thiamine as a neuroprotective agent after cardiac arrest.
AB - AIMS: Reduction of pyruvate dehydrogenase (PDH) activity in the brain is
associated with neurological deficits in animals resuscitated from cardiac
arrest. Thiamine is an essential co-factor of PDH. The objective of this study
was to examine whether administration of thiamine improves outcomes after cardiac
arrest in mice. Secondarily, we aimed to characterize the impact of cardiac
arrest on PDH activity in mice and humans. METHODS: Animal study: Adult mice were
subjected to cardiac arrest whereupon cardiopulmonary resuscitation was
performed. Thiamine or vehicle was administered 2min before resuscitation and
daily thereafter. Mortality, neurological outcome, and metabolic markers were
evaluated. Human study: In a convenience sample of post-cardiac arrest patients,
we measured serial PDH activity from peripheral blood mononuclear cells and
compared them to healthy controls. RESULTS: Animal study: Mice treated with
thiamine had increased 10-day survival (48% versus 17%, P<0.01) and improved
neurological function when compared to vehicle-treated mice. In addition,
thiamine markedly improved histological brain injury compared to vehicle. The
beneficial effects of thiamine were accompanied by improved oxygen consumption in
mitochondria, restored thiamine pyrophosphate levels, and increased PDH activity
in the brain at 10 days. Human study: Post-cardiac arrest patients had lower PDH
activity in mononuclear cells than did healthy volunteers (estimated difference:
5.8O.D./min/mg protein, P<0.001). CONCLUSIONS: The provision of thiamine after
cardiac arrest improved neurological outcome and 10-day survival in mice. PDH
activity was markedly depressed in post-cardiac arrest patients suggesting that
this pathway may represent a therapeutic target.
PMID- 27185217
TI - Therapeutic hypothermia and outcomes in paediatric out-of-hospital cardiac
arrest: A nationwide observational study.
AB - OBJECTIVES: This study aimed to analyse the association between mild therapeutic
hypothermia (MTH) and outcomes in paediatric patients who survived out-of
hospital cardiac arrest (OHCA) by using their initial electrocardiogram (ECG)
rhythm, which is the key factor used to predict prognosis in paediatric OHCA.
METHODS: This cross-sectional observational study utilised the registry of
paediatric OHCA patients who survived to admission from 2008 to 2014 in the
national OHCA database. MTH was defined as all cooling methods applied after the
return of spontaneous circulation. Primary and secondary outcomes were survival
to discharge and good neurologic recovery, respectively. Multivariable logistic
regression analysis with an interaction term between MTH and the initial ECG at
the scene was conducted to calculate adjusted odds ratios (AORs) and 95%
confidence intervals (CIs) after adjusting for potential confounders. RESULTS:
Among the 663 enrolled patients, the rates of survival to discharge and good
neurological recovery in the MTH and non-MTH groups were similar, at 48.1% vs.
40.2% (P=0.17, AOR 1.05 [0.59-1.88]) and 22.2% vs. 8.7% (P=0.45, AOR 1.22 [0.59
2.51]). In the interaction model, the AORs of MTH in shockable rhythm vs. non
shockable rhythm for survival to discharge (AOR 0.62 [0.15-2.52] vs. 1.17 [0.62
2.2]) and good neurological recovery (0.42 [0.12-1.45] vs. 2.22 [0.83-5.98]) were
not significantly different. CONCLUSION: MTH and the effect of MTH across the
initial ECG at the scene were not significantly associated with survival or good
neurologic recovery in paediatric OHCA survivors.
PMID- 27185218
TI - Risk factors and outcomes of in-hospital cardiac arrest following pediatric heart
operations of varying complexity.
AB - BACKGROUND: Multi center data regarding cardiac arrest in children undergoing
heart operations of varying complexity are limited. METHODS: Children <18 years
undergoing heart surgery (with or without cardiopulmonary bypass) in the Virtual
Pediatric Systems (VPS, LLC) Database (2009-2014) were included. Multivariable
mixed logistic regression models were adjusted for patient's characteristics,
surgical risk category (STS-EACTS Categories 1, 2, and 3 classified as "low"
complexity and Categories 4 and 5 classified as "high" complexity), and hospital
characteristics. RESULTS: Overall, 26,909 patients (62 centers) were included. Of
these, 2.7% had cardiac arrest after cardiac surgery with an associated mortality
of 31%. The prevalence of cardiac arrest was lower among patients undergoing low
complexity operations (low complexity vs. high complexity: 1.7% vs. 5.9%).
Unadjusted outcomes after cardiac arrest were significantly better among patients
undergoing low complexity operations (mortality: 21.6% vs. 39.1%, good
neurological outcomes: 78.7% vs. 71.6%). In adjusted models, odds of cardiac
arrest were significantly lower among patients undergoing low complexity
operations (OR: 0.55, 95% CI: 0.46-0.66). Adjusted models, however, showed no
difference in mortality or neurological outcomes after cardiac arrest regardless
of surgical complexity. Further, our results suggest that incidence of cardiac
arrest and mortality after cardiac arrest are a function of patient
characteristics, surgical risk category, and hospital characteristics. Presence
of around the clock in-house attending level pediatric intensivist coverage was
associated with lower incidence of post-operative cardiac arrest, and presence of
a dedicated cardiac ICU was associated with lower mortality after cardiac arrest.
CONCLUSIONS: This study suggests that the patients undergoing high complexity
operations are a higher risk group with increased prevalence of post-operative
cardiac arrest. These data further suggest that patients undergoing high
complexity operations can be rescued after cardiac arrest with a high survival
rate.
PMID- 27185219
TI - A Perovskite Electrocatalyst for Efficient Hydrogen Evolution Reaction.
AB - Perovskite oxides are demonstrated for the first time as efficient
electrocatalysts for the hydrogen evolution reaction (HER) in alkaline solutions.
A-site praseodymium-doped Pr0.5 (Ba0.5 Sr0.5 )0.5 Co0.8 Fe0.2 O3- delta
(Pr0.5BSCF) exhibits dramatically enhanced HER activity and stability compared to
Ba0.5 Sr0.5 Co0.8 Fe0.2 O3- delta (BSCF), superior to many well-developed
bulk/nanosized nonprecious electrocatalysts. The improved HER performance
originates from the modified surface electronic structures and properties of
Pr0.5BSCF induced by the Pr-doping.
PMID- 27185221
TI - Positive-contrast cellular MRI of embryonic stem cells for tissue regeneration
using a highly efficient T1 MRI contrast agent.
AB - PURPOSE: To investigate the feasibility of high-sensitivity cellular MRI of
embryonic stem (ES) cells using a novel cell permeable and cell retentive T1
contrast agent. MATERIALS AND METHODS: Mouse ES cells were labeled with a novel
manganese porphyrin contrast agent, MnAMP, at 0.1 mM over 2 to 24 h and retained
in contrast-free medium for up to 24 h postlabeling. MRI was performed on a 3
Tesla clinical scanner; T1 and T2 relaxation times were measured. Quantification
of manganese content was performed using atomic absorption spectroscopy.
Viability and proliferation assays were done for the longest labeling interval.
Differentiation capacity was assessed using the hanging drop method to direct
differentiation toward cardiomyocytes. RESULTS: MnAMP-labeled ES cells exhibited
over a fourfold decrease in T1 compared with unlabeled cells, and maintained up
to a threefold decrease 24 h postlabeling. Viability and proliferation were not
affected. Most importantly, labeled ES cells differentiated into functional
cardiomyocytes that exhibited normal contractility patterns. CONCLUSION: MnAMP
based cellular MRI is a very high sensitivity T1 approach for cellular imaging.
It has the potential for noninvasive in vivo monitoring of stem cell therapy in
cardiac regeneration and other tissue engineering and regenerative medicine
applications. J. Magn. Reson. Imaging 2016;44:1456-1463.
PMID- 27185220
TI - Visible-light photoredox synthesis of unnatural chiral alpha-amino acids.
AB - Unnatural chiral alpha-amino acids are widely used in fields of organic
chemistry, biochemistry and medicinal chemistry, and their synthesis has
attracted extensive attention. Although the asymmetric synthesis provides some
efficient protocols, noble and elaborate catalysts, ligands and additives are
usually required which leads to high cost. Distinctly, it is attractive to make
unnatural chiral alpha-amino acids from readily available natural alpha-amino
acids through keeping of the existing chiral alpha-carbon. However, it is a great
challenge to construct them under mild conditions. In this paper, 83 unnatural
chiral alpha-amino acids were prepared at room temperature under visible-light
assistance. The protocol uses two readily available genetically coded
proteinogenic amino acids, L-aspartic acid and glutamic acid derivatives as the
chiral sources and radical precursors, olefins, alkynyl and alkenyl sulfones, and
2-isocyanobiphenyl as the radical acceptors, and various unnatural chiral alpha
amino acids were prepared in good to excellent yields. The simple protocol, mild
conditions, fast reactions, and high efficiency make the method an important
strategy for synthesis of diverse unnatural chiral alpha-amino acids.
PMID- 27185223
TI - Pediatric Reference Values and Z Score Equations for Left Ventricular Systolic
Strain Measured by Two-Dimensional Speckle-Tracking Echocardiography.
AB - BACKGROUND: In pediatric echocardiography, myocardial strain measurements are
likely influenced by cardiac size and growth in healthy children. The application
of this technique in clinical practice has been hampered by the lack of good
normal reference values for the pediatric population. The aim of this study was
to determine reference values and Z score equations for left ventricular systolic
circumferential and longitudinal strain in a healthy pediatric population.
METHODS: Two hundred thirty-three healthy subjects 1 to 18 years of age were
prospectively recruited. Left ventricular systolic longitudinal and
circumferential strain measurements were recorded using two-dimensional speckle
tracking. Normalization for body size was performed using parametric nonlinear
regression modeling. Several analyses were performed to detect potential residual
associations with body size, residual heteroscedasticity, or departure from an
adequate Z score distribution. RESULTS: There were weak but statistically
significant nonlinear associations between body size and most strain values. Body
surface area was superior to adjust for body size compared with age, height, and
weight. Most strain values displayed a second-order polynomial relationship with
body surface area. Z score equations were computed with adequate normal
distributions and without residual associations in relation to BSA for most
strain parameters. CONCLUSIONS: There was a weak but significant influence of
body size on most left ventricular circumferential and longitudinal systolic
strain parameters used in pediatric echocardiography. Z scores are presented for
strain measurements normalized to body surface area and adjusted for
heteroscedasticity. The use of these normalized values may reduce the risk for
misclassification caused by normal variation in myocardial strain values during
growth.
PMID- 27185224
TI - A review of the neuroprotective role of vitamin D in traumatic brain injury with
implications for supplementation post-concussion.
AB - BACKGROUND: Nutritional interventions are promising treatment adjuncts in the
management of concussion. Vitamin D (VDH) supplementation has demonstrated
neuroprotective properties in multiple models of acquired brain injury.
OBJECTIVE: Review the neuroprotective role of VDH supplementation following
traumatic brain injury (TBI). METHODS: A Medline search was conducted to review
manuscripts investigating the influence of VDH status or supplementation on TBI
outcomes. RESULTS: The search identified 165 studies, of which five were
included. Four manuscripts studied a rodent model of TBI, while one studied a
clinical sample. Vitamin D monotherapy independently reduced inflammation and
neuronal injury following TBI, with a more robust effect observed in combination
with progesterone (PROG). One study demonstrated VDH deficiency exacerbates post
TBI inflammatory response. One study in a clinical sample found combination
therapy superior to PROG alone or placebo in improving outcomes after severe TBI.
One study observed a more robust response to low-dose VDH compared to high-dose
VDH when given in combination with PROG. CONCLUSION: A protective role for VDH
and a vitamin D sufficient status was identified for numerous outcomes following
TBI. However, VDH supplementation cannot be recommended at this time to improve
outcomes following TBI.
PMID- 27185225
TI - Design of Mixed-Metal Silver Decamolybdate Nanostructures for High Specific
Energies at High Power Density.
AB - Mixed-metal molybdates are interesting host materials for ion-insertion
electrodes due to their versatile crystal chemistry, which confers a highway for
the conduction of electrons as well as ions. Silver decamolybdate in triclinic
crystal structure (T-Ag6 Mo10 O33 ) consists of layers of MoO6 octahedra
separated by arrays of silver ions that are able to store a high amount of
charges.
PMID- 27185231
TI - Changes in volume during the four months' remodelling period of iliac crest
grafts in reconstruction of the alveolar ridge.
AB - Our aim was to assess the four months' resorption rates of onlay iliac crest
grafts in atrophic jaws prospectively, and to identify factors that influence
them. Twenty-four patients had reconstructions of the alveolar ridge with iliac
crest onlay grafts at 30 sites on the mandibles and maxillas. The augmentation
volumes were measured on cone-beam computed tomographic (CT) data-sets directly
after augmentation (V1), and after four months' remodelling (V2). Statistical
analysis allowed identification of potential influences from the recipient sites,
volume of the graft, and the patients' smoking behaviour. The mean (range)
initial onlay graft volume (V1) was 2.82 (0.66 to 6.41) ml. After four months,
the mean measured onlay graft volume (V2) was 2.39 (0.47 to 6.21) ml. Mean iliac
crest onlay graft volume resorption after four months of remodelling was 0.43 (
0.15 - 1.78) ml (15%). We found no significant differences in the resorption
rates of iliac crest onlay grafts between different recipient sites (maxilla and
mandible) or in dependence on the volume of iliac crest grafts. Smokers tended to
have a higher rate of resorption, but not significantly so (p=0.056). The results
of this study indicate the most favourable resorption rates for iliac crest onlay
grafts that we know have seen published to date.
PMID- 27185230
TI - Leading article: Use of smartphones to pass on information about patients - what
are the current issues?
AB - Many doctors now use mobile devices such as smartphones to communicate with one
another about their patients, and sometimes this is without the knowledge and
approval of their employer. We know of little information about the use of
texting and other web-based messaging services by doctors in hospitals, so we
reviewed relevant published studies to assess the safety and usefulness of
current methods of digital communication.
PMID- 27185232
TI - Primary signet-ring cell adenocarcinoma of the head and neck: a case study and
brief review.
AB - We present a patient who had an aggressive primary signet-ring cell
adenocarcinoma in the oral cavity that spread rapidly and led to his death. Most
reports describe an indolent clinical course, but further reports are needed to
better evaluate the particular clinical characteristics and course of this
uncommon and biologically variable condition.
PMID- 27185233
TI - Sialendoscopy and sialendoscopically-assisted operations in the treatment of
lithiasis of the submandibular and parotid glands: our experience of 239 cases.
AB - We present the results of treatment of sialolithiasis of the submandibular and
parotid glands using sialendoscopy and sialendoscopy-assisted surgery. Between
2009-2013, 397 consecutive patients (mean (range) age 48 (18-76) years) were
treated for obstructive diseases of the major salivary glands (sialolithiasis
n=239, 175 submandibular and 64 parotid). In a total of 175 patients with 191
stones in the submandibular gland treated by endoscopic retrieval or surgical
release, 149 patients (85%) were rendered free of stones (by sialendoscopy alone
n=82, and sialendoscopy with operation n=67) Twenty patients (11%) had residual
stones and 6 patients (4%) required excisionof the gland. Sixty-four patients had
71 stones removed from the parotid gland by endoscopic retrieval or surgical
release and 43 (67%) were free of stones (by sialendoscopy alone n=25, and
sialendoscopy with operation n=18). Twenty patients (31%) had residual stones and
one (2%) required removal of the gland. In the group of patients whose stones
were removed endoscopically, the effectiveness of sialendoscopy was 87% and 85%,
respectively. We confirm that sialendoscopy and sialendoscopy-assisted removal is
the current treatment of choice for stones in the submandibular and parotid
glands. The indications for excision of the gland are becoming less common as
first-line treatment, although it is still indispensable in some cases.
PMID- 27185235
TI - Simple and effective method for observation of suspended parasite specimens using
vaseline and paraffin mixture.
AB - Parasitic specimens derived from protozoans and helminths have variable
thickness. Therefore, microscopic observation of these specimens requires a
preparation technique where the space between the coverslip and slide glass can
be freely adjusted. However, standard suspension methods for parasites do not
afford this flexibility due to the thickness of eggs and parasites. Mounting too
large of a sample results in a floating coverslip, making observation difficult.
In this article, we developed vaseline-paraffin solution (VPS) as a simple and
effective mounting technique for observation of suspended parasite specimens. VPS
placed between the coverslip and slide glass makes it possible to adjust the
space between to accommodate specimens of variable thickness. For example,
patterning of Toxocara egg surface protein layers can be observed using this
improved method. Furthermore, VPS can be used as a sealing medium for long-term
preservation. It is possible to keep suspended parasite specimens for more than
two weeks.
PMID- 27185234
TI - High-intensity cardiac infections of Phthinomita heinigerae n. sp. (Digenea:
Aporocotylidae) in the orangelined cardinalfish, Taeniamia fucata (Cantor), off
Heron Island on the Great Barrier Reef.
AB - We report a new species of aporocotylid trematode (Platyhelminthes: Digenea) from
the heart of the orangelined cardinalfish, Taeniamia fucata (Cantor), from off
Heron Island on the southern Great Barrier Reef. We used an integrated approach,
analysing host distribution, morphology, and genetic data from the internal
transcribed spacer 2 of the ribosomal DNA, to circumscribe Phthinomita heinigerae
n. sp. This is the first species of Phthinomita Nolan & Cribb, 2006 reported from
the Apogonidae; existing species and known 'types' are recorded from species of
the Labridae, Mullidae, and Siganidae. The new species is distinguished from its
11 congeners in having a body 2977-3539 long and 16.5-22.4 times longer than
wide, an anterior testis 6.2-8.2 times longer than wide and 8.3-13.0 times longer
than the posterior testis, a posterior testis whose width is 35-56% of the body
width, and an ovary positioned 11-13% of the body length from the posterior end,
and is entirely anterior to the posterior margin of the anterior testis. In
addition, 2-34 base differences (0.4-7.0% sequence divergence over 485 base
positions) were detected among the ITS2 sequence representing P. heinigerae n.
sp. and the 14 representing other Phthinomita species/molecular types. Prevalence
and intensity of infection with P. heinigerae n. sp. was relatively high within
the heart tissue of T. fucata, with 19 of 20 fish examined from off Heron Island
infected (95%) with 7-25 adult worms (arithmetic mean 16.6). Infections by these
parasites accounted for an occupation of 7-30% of the total estimated heart
volume.
PMID- 27185236
TI - The Meaning of NMD: Translate or Perish.
AB - Premature translation termination leads to a reduced mRNA level in all types of
organisms. In eukaryotes, the phenomenon is known as nonsense-mediated mRNA decay
(NMD). This is commonly regarded as the output of a specific surveillance and
destruction mechanism that is activated by the presence of a premature
translation termination codon (PTC) in an atypical sequence context. Despite two
decades of research, it is still unclear how NMD discriminates between PTCs and
normal stop codons. We suggest that cells do not possess any such mechanism and
instead propose a new model in which this mRNA depletion is a consequence of the
appearance of long tracts of mRNA that are unprotected by scanning ribosomes.
PMID- 27185237
TI - Can Population Genetics Adapt to Rapid Evolution?
AB - Population genetics largely rests on a 'standard model' in which random genetic
drift is the dominant force, selective sweeps occur infrequently, and deleterious
mutations are purged from the population by purifying selection. Studies of
phenotypic evolution in nature reveal a very different picture, with strong
selection and rapid heritable trait changes being common. The time-rate scaling
of phenotypic evolution suggests that selection on phenotypes is often
fluctuating in direction, allowing phenotypes to respond rapidly to environmental
fluctuations while remaining within relatively constant bounds over longer
periods. Whether such rapid phenotypic evolution undermines the standard model
will depend on how many genomic loci typically contribute to strongly selected
traits and how phenotypic evolution impacts the dynamics of genetic variation in
a population. Population-level sequencing will allow us to dissect the genetic
basis of phenotypic evolution and study the evolutionary dynamics of genetic
variation through direct measurement of polymorphism trajectories over time.
PMID- 27185238
TI - Dynamic Encounters of Genes and Transcripts with the Nuclear Pore.
AB - Transcribed mRNA molecules must reach the cytoplasm to undergo translation.
Technological developments in imaging have placed mRNAs under the spotlight,
allowing the quantitative study of the spatial and temporal dynamics of the
nucleocytoplasmic mRNA export process. Here, we discuss studies that have used
such experimental approaches to demonstrate that gene tethering at the nuclear
pore complex (NPC) regulates mRNA expression, and to characterize mRNA dynamics
during transport in real time. The paths taken by mRNAs as they move from their
sites of transcription and travel through the nucleoplasm, in between chromatin
domains, and finally through the NPC, can now be observed in detail.
PMID- 27185239
TI - Sensitive Electrochemiluminescence Immunosensor for Detection of N-Acetyl-beta-d
glucosaminidase Based on a "Light-Switch" Molecule Combined with DNA Dendrimer.
AB - Here, a novel "light-switch" molecule of Ru (II) complex ([Ru(dcbpy)2dppz](2+)
DPEA) with self-enhanced electrochemiluminescence (ECL) property is proposed,
which is almost nonemissive in aqueous solution but is brightly luminescent when
it intercalates into DNA duplex. Owing to less energy loss and shorter electron
transfer distance, the intramolecular ECL reaction between the luminescent
[Ru(dcbpy)2dppz](2+) and coreactive tertiary amine group in N,N
diisopropylethylenediamine (DPEA) makes the obtained "light-switch" molecule
possess much higher light-switch efficiency compared with the traditional "light
switch" molecule. For increasing the loading amount and further enhancing the
luminous efficiency of the "light-switch" molecule, biotin labeled DNA dendrimer
(the fourth generation, G4) is prepared from Y-shape DNA by a step-by-step
assembly strategy, which provides abundant intercalated sites for
[Ru(dcbpy)2dppz](2+)-DPEA. Meanwhile, the obtained nanocomposite (G4
[Ru(dcbpy)2dppz](2+)-DPEA) could well bind with streptavidin labeled detection
antibody (SA-Ab2) due to the existence of abundant biotin. Through sandwiched
immunoreaction, an ECL immunosensor was fabricated for sensitive determination of
N-acetyl-beta-d-glucosaminidase (NAG), a typical biomarker for diabetic
nephropathy (DN). The detemination linear range was 0.1 pg mL(-1) to 1 ng mL(-1),
and the detection limit was 0.028 pg mL(-1). The developed strategy combining the
ECL self-enhanced "light-switch" molecular and DNA nanotechnology offers an
effective signal amplification mean and provides ample potential for further
bioanalysis and clinical study.
PMID- 27185240
TI - The effects in vitro of TNF-alpha and its antagonist 'etanercept' on ejaculated
human sperm.
AB - Tumour necrosis factor (TNF)-alpha is primarily involved in the regulation of
cell proliferation and apoptosis; in addition it possesses pro-inflammatory
properties. Anti-TNF-alpha strategies involve either administration of anti-TNF
alpha antibody or soluble TNF receptor to mop up circulating TNF-alpha.
Etanercept, a recombinant human TNF-alpha receptor, was found to be effective in
the treatment of rheumatoid arthritis. The impact of TNF-alpha inhibitors on
human fertility is of notable interest. This in vitro study investigated the
effect of different concentrations of TNF-alpha and etanercept used alone or in
combination on sperm viability, motility, mitochondrial function, percentage of
apoptosis and chromatin integrity in swim-up selected human spermatozoa. A
negative effect of TNF-alpha (300 and 500ng mL-1) and etanercept (from 800ug mL-1
to 2000ug mL-1) individually on sperm viability, motility, mitochondrial
function, percentage of apoptotic spermatozoa and sperm DNA integrity was
demonstrated. However, at concentrations of 100 and 200ug mL-1, etanercept can
block, in a significant way, the toxic effects of TNF-alpha (500ng mL-1) on
studied sperm characteristics. Our results confirm that TNF-alpha has a
detrimental effect on sperm function and suggest, for the first time, that
etanercept may counteract the in vitro toxic action of TNF-alpha. This data
appears to be quite promising, although further studies, both in vivo and in
vitro, are needed to understand the exact mechanism of action of TNF-alpha and
TNF-alpha antagonists on sperm function.
PMID- 27185241
TI - Enhancement of DNA double-strand break induction and cell killing by K-shell
absorption of phosphorus in human cell lines.
AB - PURPOSE: To investigate an enhancement of DNA double-strand break (DSB) induction
and cell killing effect by K-shell ionization of phosphorus atoms and Auger
electrons on human cell lines. MATERIALS AND METHODS: Induction of DSB, DNA
damage responses, cell cycle distributions, and cell killing effects were
investigated after exposures of the cells with monochromatic synchrotron
radiation soft X-rays of 2153 and 2147 eV, which were the resonance peak and off
peak, respectively, of the K-shell photoabsorption of phosphorus. RESULTS: Higher
biological effects in the cells irradiated with soft X-rays at 2153 eV than at
2147 eV were observed in (i) the efficiency of 53BP1/gamma-H2AX co-localized foci
formation per dose and residual number of foci, (ii) prolonged phosphorylation
levels of DSB repair and/or cell cycle checkpoint related proteins and G2 arrest,
(iii) the cell killing effects at the 10% survival level of normal human
fibroblasts, HeLa cells, and human glioblastoma M059K cells (1.2-1.5 times
higher) and that of human ataxia telangiectasia mutated (ATM)-defective cells and
glioblastoma DNA-dependent protein kinase catalytic subunit (DNA-PKcs)-defective
cells (1.2 times). CONCLUSION: The yield of DSB and partly less-reparable complex
DNA damage induction in human cells was enhanced by K-shell photoabsorption of
phosphorus and low-energy Auger electrons.
PMID- 27185242
TI - Allergic disease and Staphylococcus aureus carriage in adolescents in the Arctic
region of Norway.
AB - BACKGROUND: Allergic diseases are common chronic diseases in children and
adolescents, but limited epidemiological data are available during transition
into adulthood. Nasal Staphylococcus aureus carriage has been linked to increased
prevalence of allergic disease. The objective of this study was to define the
prevalence of allergic diseases in adolescents above the Arctic Circle in
Northern Norway and to study the associations of S. aureus carriage with allergic
diseases. METHODS: A school-based cohort in late adolescence (18-19 years) was
invited to participate in a cross-sectional study on lifestyle and health, and
868 attended (71.9%). Self-reported allergic disease and severity of eczema were
assessed by Mechanisms of the Development of Allergy and Patient-Oriented Eczema
Measure questionnaires. Participants were tested with spirometry and exhaled
nitric oxide (FeNO) and swabbed for bacterial culture from nose and eczematous
skin. RESULTS: We found asthma, eczema, allergic rhinitis (AR), and nasal S.
aureus carriage among 11.9%, 10.4%, 26.0%, and 51.3% of the participants,
respectively, and 10.2% had allergic multimorbidity. Lifetime prevalence for any
allergic disease was 45.1%. Reduced lung function and increased FeNO were found
in 11.6% and 22.1% in participants with asthma, respectively. Nasal S. aureus
carriage was associated with eczema, severe asthma, and severe AR. FeNO > 25 ppb
was associated with both asthma and nasal S. aureus carriage. CONCLUSION: Asthma,
eczema, and AR are common among adolescents above the Arctic Circle in Norway.
Allergic disease is associated with S. aureus carriage, but its role in the
pathogenesis and severity is not established.
PMID- 27185243
TI - Surgical repair of an aneurysm-like fistula connecting the left main coronary
artery with the right atrium.
AB - Coronary fistula is defined as an anomalous connection between a coronary artery
and any of the four chambers of the heart or any of its great vessels. A coronary
fistula connecting the left main coronary artery to the right atrium is the most
uncommon. In the present study, we report the surgical management of a very
uncommon case of an aneurysm-like fistula connecting the left main coronary
artery to the right atrium in a 2-year-old boy.
PMID- 27185244
TI - Prevalence, continuation, and identification of postpartum depressive
symptomatology among refugee, asylum-seeking, non-refugee immigrant, and Canadian
born women: results from a prospective cohort study.
AB - This study assessed the prevalence, continuation, and identification of maternal
depressive symptomatology over the first 16 weeks postpartum among refugee,
asylum-seeking, non-refugee immigrant, and Canadian-born women. A sample of 1125
women (143 refugees, 369 asylum-seekers, 303 non-refugee immigrant, and 310
Canadian-born) completed the Edinburgh Postnatal Depression Scale (EPDS) at 1 and
16 weeks postpartum. The sensitivity, specificity, and predictive power of the 1
week EPDS to identify women with elevated EPDS scores at 16 weeks were
determined. The total number of women with EPDS scores >9 for each group at 1 and
16 weeks, respectively, was 26.6 and 18.2 % for refugees; 25.2 and 24.1 % for
asylum-seekers; 22.4 and 14.2 % for non-refugee immigrants, and 14.8 and 7.4 %
for Canadian-born. Using the cut-off score of 9/10, the 1-week EPDS accurately
classified 77.6 % refugee, 73.4 % asylum-seeking, 76.6 % non-refugee immigrant,
and 85.5 % Canadian-born women at 16 weeks with or without postpartum depressive
symptomatology. The 1-week EPDS was significantly correlated to the 16-week EPDS
(r = 0.46, p < 0.01). All groups were significantly more likely to exhibit
depressive symptomatology at 16 weeks if they had EPDS scores >9 at 1 week
postpartum: refugees (OR = 6.9, 95 % CI = 2.8-17.3), asylum-seekers (OR = 4.0, 95
% CI = 2.4-6.7), non-refugee immigrants (OR = 3.8, 95 % CI = 2.0-7.6), and
Canadian-born women (OR = 8.0, 95 % CI = 3.3-19.8). Our findings suggest that
refugee, asylum-seeking, non-refugee immigrant, and Canadian-born women at risk
of postpartum depression may be identified early in the postpartum period such
that secondary preventive interventions may be implemented.
PMID- 27185245
TI - Osthole Induces Cell Cycle Arrest and Inhibits Migration and Invasion via
PTEN/Akt Pathways in Osteosarcoma.
AB - BACKGROUND/AIMS: Osteosarcoma is the second highest cause of cancer-related death
in children and adolescents. Majority of osteosarcoma patients (90%) show
metastasis. Previous reports revealed that osthole showed antitumor activities
via induction of apoptosis and inhibition of proliferation. However, the
potential effects and detailed molecular mechanisms involved remained unclear.
METHODS: Cell viability was analyzed by MTT assay in osteosarcoma cell lines MG
63 and SAOS-2. Cell cycle was detected by flow cytometry. The effects of
migration and invasion were evaluated by wound healing assay and transwell
assays. Moreover, the level of proteins expression was determined by Western
blot. RESULTS: The cell viability of MG63 and SAOS-2 were markedly inhibited by
osthole in a dose- and time-dependent manner. Cell cycle was arrested and the
ability of migration and invasion was obviously reduced when cells were exposed
to osthole. Moreover, enzymes involved in PTEN/Akt pathway were regulated such as
PTEN and p-Akt proteins. Furthermore, osthole inhibited the tumor growth in vivo.
CONCLUSION: Our study unraveled, for the first time, the ability of osthole to
suppress osteosarcoma and elucidated the regulation of PTEN/Akt pathway as a
signaling mechanism for the anti-tumor action of osthole. These findings indicate
that osthole may represent a novel therapeutic strategy in the treatment of
osteosarcoma.
PMID- 27185247
TI - Influencing factors associated with the mode of birth among childbearing women in
Hunan Province: a cross-sectional study in China.
AB - BACKGROUND: An unnecessary Caesarean section (CS) can cause increased maternal
and perinatal morbidity and other adverse short- and long-term outcomes. However,
countries worldwide have witnessed an increasing trend toward the use of CS. Our
objectives were to explore the influencing factors associated with the mode of
birth among childbearing women in Hunan Province and to provide evidence and
suggestions for the improvement and further understanding of vaginal birth (VB)
in China. METHODS: A total of 977 childbearing women (375 pregnant women and 602
mothers of infants) were enrolled in this study using a two-stage cluster
sampling method, and a self-administered questionnaire was used to collect data
relating to the mode of birth. A t-test and chi (2)-test were used to analyse the
differences between groups, and logistic regression analysis was used to explore
the factors that influenced the mode of birth. RESULTS: The VB ratio was 46.2 %,
while the CS ratio was 53.8 % in Hunan Province. Among women whose preference was
VB, only 69.4 % gave birth by VB. Among women whose preference was CS, 98.1 %
gave birth by CS. The top four reasons for preferring CS were a lack of
confidence in VB (37.3 %), an abnormality in the prenatal examination (36.6 %),
the notion that the baby would suffer fewer risks (34.8 %) and the fear of pain
from VB (32.7 %). Age, prenatal examination, and doctors' suggestion were
significantly associated with women's mode of birth preference, while place of
household registration, husband's preference, prenatal examination and doctors'
suggestion had a significant influence on women who changed their choice from VB
to CS. CONCLUSIONS: The percentage of CS in Hunan was extremely high. Medical
factors, such as abnormalities in prenatal examinations, and non-medical factors,
such as a lack of confidence in VB, the fear of pain during VB, the desire to
select the time of birth and healthy birth systems, should be seriously
considered. Targeted health promotion interventions should be implemented to
improve the performance of VB.
PMID- 27185246
TI - Employment in French young adult survivors of childhood leukemia: an LEA study
(for Leucemies de l'Enfant et de l'Adolescent-childhood and adolescent leukemia).
AB - PURPOSE: Our principal aim was to assess the occupational outcomes of French
survivors of childhood leukemia, compared to national population. The secondary
objective was to identify determinants linked with employment stability after
childhood leukemia. METHODS: All survivors aged 15 and over enrolled in the
French LEA Cohort (Childhood and Adolescent Leukemia) were included. Occupational
data were self-reported. The occupational distributions expected in the cohort
for each age range were established based on the distribution in France as
reference, and comparisons between observed and expected distributions were
performed. Logistic regression model was used to explore determinants of
stability of survivors' employment. RESULTS: The questionnaire was completed by
845 eligible survivors (response rate 87.8 %), with a mean age of 22.3 +/- 5.4
years and a mean follow-up duration of 14.3 +/- 6.3 years. Among the 361
survivors currently in the labor market, 36 (10.0 %) were seeking a job, which is
significantly lower than expected (19.3 %) compared to French population.
Conversely, among those currently employed, the number of survivors in unstable
employment (43.9 %) was significantly higher than expected (33.5 %). Younger age
and higher number of late effects were risk factors for unstable employment.
CONCLUSIONS: While the employment rate of the young French adult population of
childhood leukemia survivors seems rather positive, access to a steady job
appears to be compromised for some survivors. IMPLICATIONS FOR CANCER SURVIVORS:
A strategy to better identify particular subgroups of survivors at greatest risk
for difficulties in their professional achievement will help ensure the
development of specific intervention strategies and support procedures.
PMID- 27185249
TI - Complexity: An interpretative phenomenological analysis of the experiences of
mothers of deaf children with cochlear implants and autism.
AB - The purpose of this study was to explore the experiences of parenting a child
with a dual diagnosis of childhood deafness and autism spectrum disorder who
underwent cochlear implantation. Experiences of these parents are rarely
discussed within the literature. Interpretive Phenomenological Analysis was used
to examine nine mothers of boys (4-9 years old) for understanding their parenting
experiences. Three superordinate themes were identified: complexity, personal and
family sacrifices and parent-professional partnerships. These themes provide a
rich account of mothers' interpretations of their experiences, and reflect the
numerous challenges they face. This study helps expand the literature on cochlear
implantation for children with autism spectrum disorder, and discusses
implications for clinical and educational practice.
PMID- 27185248
TI - Transcriptome analysis of Sporisorium scitamineum reveals critical environmental
signals for fungal sexual mating and filamentous growth.
AB - BACKGROUND: Sporisorium scitamineum causes the sugarcane smut disease, one of the
most serious constraints to global sugarcane production. S. scitamineum possesses
a sexual mating system composed of two mating-type loci, a and b locus. We
previously identified and deleted the b locus in S. scitamineum, and found that
the resultant SsDeltaMAT-1b mutant was defective in mating and pathogenicity.
RESULTS: To further understand the function of b-mating locus, we carried out
transcriptome analysis by comparing the transcripts of the mutant strain
SsDeltaMAT-1b, from which the SsbE1 and SsbW1 homeodomain transcription factors
have previously been deleted, with those from the wild-type MAT-1 strain. Also
the transcripts from SsDeltaMAT-1b X MAT-2 were compared with those from wild
type MAT-1 X MAT-2 mating. A total of 209 genes were up-regulated (p < 0.05) in
the SsDeltaMAT-1b mutant, compared to the wild-type MAT-1 strain, while 148 genes
down-regulated (p < 0.05). In the mixture, 120 genes were up-regulated (p < 0.05)
in SsDeltaMAT-1b X MAT-2, which failed to mate, compared to the wild-type MAT-1 X
MAT-2 mating, and 271 genes down-regulated (p < 0.05). By comparing the up- and
down-regulated genes in these two sets, it was found that 15 up-regulated and 37
down-regulated genes were common in non-mating haploid and mating mixture, which
indeed could be genes regulated by b-locus. Furthermore, GO and KEGG enrichment
analysis suggested that carbon metabolism pathway and stress response mediated by
Hog1 MAPK signaling pathway were altered in the non-mating sets. CONCLUSIONS:
Experimental validation results indicate that the bE/bW heterodimeric
transcriptional factor, encoded by the b-locus, could regulate S. scitamineum
sexual mating and/or filamentous growth via modulating glucose metabolism and
Hog1-mediating oxidative response.
PMID- 27185251
TI - Nutrition and Diet as It Relates to Health and Well-Being of Native Hawaiian
Kupuna (Elders): A Systematic Literature Review.
AB - PURPOSE: The key to improving the health and well-being of Native Hawaiians is to
understand the historical events that have caused change to their diet and
nutrition, and identify the connection between food, life, and the land. The
purpose of this article is to (a) present a review of the literature addressing
nutrition and diet as it relates to health and well-being of Native Hawaiian
kupuna (elders) and (b) identify limitations and gaps to promote future research.
DESIGN: This systematic literature review focused on 29 studies. FINDINGS: Native
Hawaiians have the highest body mass index levels, highest daily energy
(kilocalorie) intake, and lowest multivitamin use. They have the highest
prevalence of diabetes and hypertension compared with Whites. Traditional
Hawaiian diet programs and family support were beneficial to improving health and
well-being. CONCLUSION: Future research of traditional Hawaiian diet programs and
revitalization of the culture may lead to improving the health and well-being of
Native Hawaiians.
PMID- 27185250
TI - The Social Ecological Model and Physical Activity Interventions for Hispanic
Women With Type 2 Diabetes: A Review.
AB - Hispanic women are less physically active and have higher rates of type 2
diabetes (DM2) when compared with other population groups. This review uses the
social ecological model as a framework to identify the individual and social
environmental factors associated with successful physical activity (PA)
interventions for Hispanic women with DM2. Research questions include (a) Which
social ecological levels have been applied to PA interventions? (b) Which
individual and social environmental intervention strategies are associated with
successful PA outcomes? Database searches using CINAHL, PubMed, and Scopus for
the years 2000 to 2015 identified 10 studies; with 6 using quasi-experimental
study designs and 4 using randomized controlled designs. Inclusion criteria were
Hispanic/Latina women with DM2, >=70% women, PA interventions, measures of PA,
and quantitative designs. Future research should focus on a combination of
intervention levels, and DM2 programs should place a greater emphasis on PA
intervention strategies.
PMID- 27185252
TI - Critiquing the response to the Ebola epidemic through a Primary Health Care
Approach.
AB - BACKGROUND: The 2014/2015 West Africa Ebola epidemic has caused the global public
health community to engage in difficult self-reflection. First, it must consider
the part it played in relation to an important public health question: why did
this epidemic take hold and spread in this unprecedented manner? Second, it must
use the lessons learnt to answer the subsequent question: what can be done now to
prevent further such outbreaks in the future? These questions remain relevant,
even as scientists announce that the Guinea Phase III efficacy vaccine trial
shows that rVSV-EBOV (Merck, Sharp & Dohme) is highly efficacious in individuals.
This is a major breakthrough in the fight against Ebola virus disease (EVD). It
does not replace but may be a powerful adjunct to current strategies of EVD
management and control. DISCUSSION: We contribute to the current self-reflection
by presenting an analysis using a Primary Health Care (PHC) approach. This
approach is appropriate as African countries in the region affected by EVD have
recommitted themselves to PHC as a framework for organising health systems and
the delivery of health services. The approach suggests that, in an epidemic made
complex by weak pre-existing health systems, lack of trust in authorities and
mobile populations, a broader approach is required to engage affected
communities. In the medium-term health system development with attention to
primary level services and community-based programmes to address the major
disease burden of malaria, diarrhoeal disease, meningitis, tuberculosis and
malnutrition is needed. This requires the development of local management and an
investment in human resources for health. Crucially this has to be developed
ahead of, and not in parallel with, future outbreaks. In the longer-term a
commitment is required to address the underlying social determinants which make
these countries so vulnerable, and limit their capacity to respond effectively
to, epidemics such as EVD. CONCLUSION: The PHC approach offers an insightful
critique of the global and regional factors which have compromised the response
of health systems in Guinea, Liberia and Sierra Leone as well as suggesting what
a strengthened EVD response might involve in the short, medium and long-term.
PMID- 27185254
TI - Anatomical-Ultrasound Visor for Regional Anaesthesia.
AB - INTRODUCTION: Regions considered optimal for performing peripheral nerve blocking
have been well documented. However identify and perform regional anesthesia in
those regions from ultrasound images remains a challenge. AIM: This study aims to
develop a virtual environment for the simulation of ultrasound exploration of the
neck nerves and both the upper and lower limbs for regional anesthesia. METHOD:
Cross-sectional images were obtained from Magnetic Resonance Imaging for puncture
regions involved in ultrasound-guided nerve block. RESULTS: A three-dimensional
digital viewer was developed for the anatomical and ultrasound identification of
key structures involved in peripheral nerve block in neck, upper and lower limbs.
CONCLUSION: This study provides a virtual environment software used to simulate
ultrasound exploration of nerve neck and upper and lower limbs for regional
anesthesia. DISCUSSION: Potential implications of this tool for improving the
ultrasound exploration for regional anesthesia and acquisition of anatomical
knowledge are further discussed.
PMID- 27185253
TI - Vagus Nerve Stimulation: Rapid versus Slow Cycling in a Laboratory Model.
PMID- 27185255
TI - Distance Metric Based Oversampling Method for Bioinformatics and Performance
Evaluation.
AB - An imbalanced classification means that a dataset has an unequal class
distribution among its population. For any given dataset, regardless of any
balancing issue, the predictions made by most classification methods are highly
accurate for the majority class but significantly less accurate for the minority
class. To overcome this problem, this study took several imbalanced datasets from
the famed UCI datasets and designed and implemented an efficient algorithm which
couples Top-N Reverse k-Nearest Neighbor (TRkNN) with the Synthetic Minority
Oversampling TEchnique (SMOTE). The proposed algorithm was investigated by
applying it to classification methods such as logistic regression (LR), C4.5,
Support Vector Machine (SVM), and Back Propagation Neural Network (BPNN). This
research also adopted different distance metrics to classify the same UCI
datasets. The empirical results illustrate that the Euclidean and Manhattan
distances are not only more accurate, but also show greater computational
efficiency when compared to the Chebyshev and Cosine distances. Therefore, the
proposed algorithm based on TRkNN and SMOTE can be widely used to handle
imbalanced datasets. Our recommendations on choosing suitable distance metrics
can also serve as a reference for future studies.
PMID- 27185257
TI - Immune response of turkey poults exposed at 1 day of age to either attenuated or
wild Salmonella strains.
AB - Salmonellosis is a foodborne zoonosis that is most often acquired by consuming
poultry products such as eggs and poultry meat. Amongst other measures the
vaccination of food-producing poultry is thought to contribute to a reduction in
human salmonellosis. In the European Union (EU) in 2014 the licence of a
commercially available Salmonella vaccine for chickens and ducks was extended to
turkeys. In the present study, we examined the course of infection with a
virulent Salmonella enterica ssp. enterica serovar Enteritidis (SE) strain, a
virulent S. enterica ssp. enterica serovar Typhimurium (ST) strain, and the
respective live vaccine containing attenuated strains of both serovars in turkey
poults. Besides collecting microbiological data and detecting invading Salmonella
in the caecal mucosa via immunohistochemistry, we also assessed immune reactions
in terms of antibody production, influx of CD4-, CD8alpha- and CD28-positive
cells into the caecal mucosa and the expression of four different immune-related
proteins. We found that the attenuated strains were able to invade the caecum,
but to a lower degree and for a shorter duration of time compared to virulent
strains. Infections with virulent Salmonellae also caused an increase in CD4-,
CD8alpha- and CD28-positive cells in the caecal mucosa and an increased
transcription of iNOS, IL-8-like chemokines, and IFN-gamma. In poults treated
with attenuated bacteria we could not detect any evidence of immune responses. In
conclusion, the vaccine showed a lower degree of caecal invasion and induced
weaker immune reactions compared to the virulent Salmonella strains in turkeys.
The efficiency of the vaccine has to be verified in future studies.
PMID- 27185258
TI - A proteomics-based identification of putative biomarkers for disease in bovine
milk.
AB - The objective of this study was to identify and characterize potential biomarkers
for disease resistance in bovine milk that can be used to indicate dairy cows at
risk to develop future health problems. We selected high- and low-resistant cows
i.e. cows that were less or more prone to develop diseases according to farmers'
experience and notifications in the disease registration data. The protein
composition of milk serum samples of these high- and low-resistant cows were
compared using NanoLC-MS/MS. In total 78 proteins were identified and quantified
of which 13 were significantly more abundant in low-resistant cows than high
resistant cows. Quantification of one of these proteins, lactoferrin (LF), by
ELISA in a new and much larger set of full fat milk samples confirmed higher LF
levels in low- versus high-resistant cows. These high- and low-resistant cows
were selected based on comprehensive disease registration and milk recording
data, and absence of disease for at least 4 weeks. Relating the experienced
diseases to LF levels in milk showed that lameness was associated with higher LF
levels in milk. Analysis of the prognostic value of LF showed that low-resistant
cows with higher LF levels in milk had a higher risk of being culled within one
year after testing than high-resistant cows. In conclusion, LF in milk are higher
in low-resistant cows, are associated with lameness and may be a prognostic
marker for risk of premature culling.
PMID- 27185259
TI - Characterization of host responses induced by Toll-like receptor ligands in
chicken cecal tonsil cells.
AB - The innate responses of cecal tonsils against invading microorganisms are
mediated by conserved pattern recognition receptors (PRRs) such as the Toll-like
receptors (TLRs). TLRs expressed by mammalian and avian immune system cells have
the capability to recognize pathogen-associated molecular patterns (PAMPs).
Although, the role of TLR ligands in innate and adaptive responses in chickens
has been characterized in spleen and bursa of Fabricius, considerably less is
known about responses in cecal tonsils. The aim of the current study was to
assess responses of mononuclear cells from cecal tonsils to treatment with the
TLR2, TLR4 and TLR21 ligands, Pam3CSK4, lipopolysaccharide (LPS), and CpG
oligodeoxynucleotide (ODN), respectively. All three ligands induced significant
up-regulation of interferon (IFN)-gamma, interleukin (IL)-1beta, IL-6 and
CxCLi2/IL-8, whereas no significant changes were observed in expression of IL-13
or the antimicrobial peptides, avian beta-defensin (AvBD) 1, AvBD2 and
cathelicidin 3 (CATHL-3). In general, CpG ODN elicited the highest cytokine
responses by cecal tonsil mononuclear cells, inducing significantly higher
expression compared to LPS and Pam3CSK4, for IFNgamma, IL-1beta, IL-6 and CxCLi2
at various time points. These findings suggest the potential use of TLR21 ligands
as mucosal vaccine adjuvants, especially in the context of pathogens of the
intestinal tract.
PMID- 27185256
TI - DNA hypermethylation of CD3(+) T cells from cord blood of infants exposed to
intrauterine growth restriction.
AB - AIMS/HYPOTHESIS: Intrauterine growth restriction (IUGR) is associated with
increased susceptibility to obesity, metabolic syndrome and type 2 diabetes.
Although the mechanisms underlying the developmental origins of metabolic disease
are poorly understood, evidence suggests that epigenomic alterations play a
critical role. We sought to identify changes in DNA methylation patterns that are
associated with IUGR in CD3(+) T cells purified from umbilical cord blood
obtained from male newborns who were appropriate for gestational age (AGA) or who
had been exposed to IUGR. METHODS: CD3(+) T cells were isolated from cord blood
obtained from IUGR and AGA infants. The genome-wide methylation profile in eight
AGA and seven IUGR samples was determined using the HELP tagging assay.
Validation analysis using targeted bisulfite sequencing and bisulfite massARRAY
was performed on the original cohort as well as biological replicates consisting
of two AGA and four IUGR infants. The Segway algorithm was used to identify
methylation changes within regulatory regions of the genome. RESULTS: A global
shift towards hypermethylation in IUGR was seen compared with AGA (89.8% of 4,425
differentially methylated loci), targeted to regulatory regions of the genome,
specifically promoters and enhancers. Pathway analysis identified dysregulation
of pathways involved in metabolic disease (type 2 diabetes mellitus, insulin
signalling, mitogen-activated protein kinase signalling) and T cell development,
regulation and activation (T cell receptor signalling), as well as transcription
factors (TCF3, LEF1 and NFATC) that regulate T cells. Furthermore, bump-hunting
analysis revealed differentially methylated regions in PRDM16 and HLA-DPB1, genes
important for adipose tissue differentiation, stem cell maintenance and function
and T cell activation. CONCLUSIONS/INTERPRETATION: Our findings suggest that the
alterations in methylation patterns observed in IUGR CD3(+) T cells may have
functional consequences in targeted genes, regulatory regions and transcription
factors. These may serve as biomarkers to identify those at 'high risk' for
diminished attainment of full health potential who can benefit from early
interventions. ACCESS TO RESEARCH MATERIALS: HELP tagging data: Gene Expression
Omnibus database (GSE77268), scheduled to be released on 25 January 2019.
PMID- 27185261
TI - Comparison of the immune competence of Turopolje, German Landrace * Turopolje,
and German Landrace * Pietrain pigs after PRRSV vaccination.
AB - The competences of the immune systems of the ancient pig breed Turopolje (T*T),
German Landrace * Turopolje (L*T) and 'modern' pig breed German Landrace *
Pietrain (L*P) were compared in this study. All pigs were immunized with a
modified live vaccine against 'Porcine Reproductive and Respiratory Syndrome'
(PRRS) virus (Ingelvac PRRS MLV((r))) to simulate an infection. Antibody
production against PRRS MLV was evaluated in serum. Elimination of the viral
infectious fragments during the experimental period was monitored in serum,
leukocytes and tonsils by RT-qPCR. Furthermore relevant immune marker genes were
quantified either on gene expression level using RT-qPCR [toll like receptor
(TLR) 7, TLR8, TRAF6, CD163, SIGLEC1, CD4, CD8, CD14, CD19, tumor necrosis factor
alpha (TNFalpha), interleukin (IL) 1, IL2, IL6, IL12], and on protein level using
ELISA [interleukin (IL)-1, IL-2, IL-6, and IL-12]. The three breeds showed
individual inactivation efficiencies as a reaction to the PRRS MLV vaccination.
T*T eliminated the virus in serum within 16 days, followed by L*T (28 days) and
L*P (36 days). The antibody titers against PRRS MLV of L*T and L*P were
significantly higher compared to T*T (p<0.05). The gene expression data and
protein analysis of interleukins revealed that T*T reacted with a type 1 immune
response. In contrast, the two other breeds (L*T and L*P) showed a type 2 immune
response, which resulted in the higher synthesis of B-cells and an increased
concentration of specific anti-PRRS MLV antibodies.
PMID- 27185260
TI - Evidence for the existence of regulatory and effector B cell populations in
Peyer's patches of sheep.
AB - IL-10 secreting CD21(+) B cells exist in sheep Peyer's patches (PP). It's not
known however, whether all PP B cells are regulatory or whether an effector
population also exists in this tissue. To further characterize the subpopulations
of B cells in PP's, highly purified B cells were negatively sorted from jejunal
PP and fractionated according to co-expression of CD72(+)CD21(+)or CD72(+)CD21(-)
molecules and then stimulated with the TLR9-agonist, CpG ODN. IL-10, IL-12, IFN
gamma, and IgM production were then assayed. We observed that only highly
purified CD72(+)CD21(+) B cells spontaneously secreted high levels of IL-10, but
they did not produce any IL-12, IFN-gamma or IgM suggesting that this cell
population contains regulatory B cells. In contrast, CD72(+)CD21(-) B cells did
not secrete IL-10, but secreted IL-12, IFN-gamma, and IgM, suggesting they
include effector cells. In addition, B cells expressing surface IgA, IgM and IgG1
all secreted similar levels of IL-10. We further confirmed that only B cells
produce IL-10, while other cells in the PP including DCs and T cells do not. Our
investigations may provide evidence for the existence of two sub-populations in
sheep PP; IL-10 secreting regulatory (CD72(+)CD21(+)) cells, and IL-12/IFN
gamma/IgM-secreting effector (CD72(+)CD21(-)) cells.
PMID- 27185262
TI - Efficacy of an inactivated genotype 2b porcine epidemic diarrhea virus vaccine in
neonatal piglets.
AB - Massive outbreaks of porcine epidemic diarrhea virus (PEDV) recurred in South
Korea in 2013-2014 and affected approximately 40% of the swine breeding herds
across the country, incurring a tremendous financial impact on producers and
consumers. Despite the nationwide use of commercially available attenuated and
inactivated vaccines in South Korea, PEDV has continued to plague the domestic
pork industry, raising concerns regarding their protective efficacies and the
need for new vaccine development. In a previous study, we isolated and serially
cultivated a Korean PEDV epidemic strain, KOR/KNU-141112/2014, in Vero cells.
With the availability of a cell culture-propagated PEDV strain, we are able to
explore vaccination and challenge studies on pigs. Therefore, the aim of the
present study was to produce an inactivated PEDV vaccine using the KNU-141112
strain and evaluate its effectiveness in neonatal piglets. Pregnant sows were
immunized intramuscularly with the inactivated adjuvanted monovalent vaccine at
six and three weeks prior to farrowing. Six-day-old piglets born to vaccinated or
unvaccinated sows were challenged with the homogeneous KNU-141112 virus. The
administration of the inactivated vaccine to sows greatly increased the survival
rate of piglets challenged with the virulent strain, from 0% to approximately 92%
(22/24), and significantly reduced diarrhea severity including viral shedding in
feces. In addition, litters from unvaccinated sows continued to lose body weight
throughout the experiment, whereas litters from vaccinated sows started
recovering their daily weight gain at 7 days after the challenge. Furthermore,
strong neutralizing antibody responses to PEDV were verified in immunized sows
and their offspring, but were absent in the unvaccinated controls. Altogether,
our data demonstrated that durable lactogenic immunity was present in dams
administrated with the inactivated vaccine and subsequently conferred critical
passive immune protection to their own litters against virulent PEDV infection.
PMID- 27185263
TI - Passive immunisation, an old idea revisited: Basic principles and application to
modern animal production systems.
AB - Immunisation by administration of antibodies (immunoglobulins) has been known for
more than one hundred years as a very efficient means of obtaining immediate,
short-lived protection against infection and/or against the disease-causing
effects of toxins from microbial pathogens and from other sources. Thus, due to
its rapid action, passive immunisation is often used to treat disease caused by
infection and/or toxin exposure. However immunoglobulins may also be administered
prior to exposure to infection and/or toxin, although they will not provide long
lasting protection as is seen with active immunisation (vaccination) in which an
immunological memory is established by controlled exposure of the host to the
pathogen in question. With multi-factorial infectious diseases in production
animals, especially those that have proven hard to control by vaccination, the
potential of passive immunisation remains big. This review highlights a number of
examples on the use of passive immunisation for the control of infectious disease
in the modern production of a range of animals, including pigs, cattle, sheep,
goat, poultry and fish. Special emphasis is given on the enablement of passive
immunisation strategies in these production systems through low cost and ease of
use as well as on the sources, composition and purity of immunoglobulin
preparations used and their benefits as compared to current measures, including
vaccination (also comprising maternal vaccination), antibiotics and feed
additives such as spray-dried plasma. It is concluded that provided highly
efficient, relatively low-price immunoglobulin products are available, passive
immunisation has a clear role in the modern animal production sector as a means
of controlling infectious diseases, importantly with a very low risk of causing
development of bacterial resistance, thus constituting a real and widely
applicable alternative to antibiotics.
PMID- 27185265
TI - MicroRNA-93 promotes the malignant phenotypes of human glioma cells and induces
their chemoresistance to temozolomide.
AB - MicroRNAs (miRNAs), a class of small non-coding RNAs, can induce mRNA degradation
or repress translation by binding to the 3'-untranslated region (UTR) of its
target mRNA. Recently, some specific miRNAs, e.g. miR-93, have been found to be
involved in pathological processes by targeting some oncogenes or tumor
suppressors in glioma. However, the regulatory mechanism of miR-93 in the
biological behaviors and chemoresistance of glioma cells remains unclear. In the
present study, in situ hybridization and real-time RT-PCR data indicated that miR
93 was significantly upregulated in glioma patients (n=43) compared with normal
brain tissues (n=8). Moreover, the upregulated miR-93 level was significantly
associated with the advanced malignancy. We also found that upregulation of miR
93 promoted the proliferation, migration and invasion of glioma cells, and that
miR-93 was involved in the regulation of cell cycle progression by mediating the
protein levels of P21, P27, P53 and Cyclin D1. P21 was further identified as a
direct target of miR-93. Knockdown of P21 attenuated the suppressive effects of
miR-93 inhibition on cell cycle progression and colony formation. In addition,
inhibition of miR-93 enhanced the chemosensitization of glioma cells to
temozolomide (TMZ). Based on these above data, our study demonstrates that miR
93, upregulated in glioma, promotes the proliferation, cell cycle progression,
migration and invasion of human glioma cells and suppresses their
chemosensitivity to TMZ. Therefore, miR-93 may become a promising diagnostic
marker and therapeutic target for glioma.
PMID- 27185264
TI - Correlative near-infrared light and cathodoluminescence microscopy using Y2O3:Ln,
Yb (Ln = Tm, Er) nanophosphors for multiscale, multicolour bioimaging.
AB - This paper presents a new correlative bioimaging technique using Y2O3:Tm, Yb and
Y2O3:Er, Yb nanophosphors (NPs) as imaging probes that emit luminescence excited
by both near-infrared (NIR) light and an electron beam. Under 980 nm NIR light
irradiation, the Y2O3:Tm, Yb and Y2O3:Er, Yb NPs emitted NIR luminescence (NIRL)
around 810 nm and 1530 nm, respectively, and cathodoluminescence at 455 nm and
660 nm under excitation of accelerated electrons, respectively. Multimodalities
of the NPs were confirmed in correlative NIRL/CL imaging and their locations were
visualized at the same observation area in both NIRL and CL images. Using CL
microscopy, the NPs were visualized at the single-particle level and with
multicolour. Multiscale NIRL/CL bioimaging was demonstrated through in vivo and
in vitro NIRL deep-tissue observations, cellular NIRL imaging, and high-spatial
resolution CL imaging of the NPs inside cells. The location of a cell sheet
transplanted onto the back muscle fascia of a hairy rat was visualized through
NIRL imaging of the Y2O3:Er, Yb NPs. Accurate positions of cells through the
thickness (1.5 mm) of a tissue phantom were detected by NIRL from the Y2O3:Tm, Yb
NPs. Further, locations of the two types of NPs inside cells were observed using
CL microscopy.
PMID- 27185266
TI - Body-enlarging effect of royal jelly in a non-holometabolous insect species,
Gryllus bimaculatus.
AB - Honeybee royal jelly is reported to have body-enlarging effects in holometabolous
insects such as the honeybee, fly and silkmoth, but its effect in non
holometabolous insect species has not yet been examined. The present study
confirmed the body-enlarging effect in silkmoths fed an artificial diet instead
of mulberry leaves used in the previous literature. Administration of honeybee
royal jelly to silkmoth from early larval stage increased the size of female
pupae and adult moths, but not larvae (at the late larval stage) or male pupae.
We further examined the body-enlarging effect of royal jelly in a non
holometabolous species, the two-spotted cricket Gryllus bimaculatus, which
belongs to the evolutionarily primitive group Polyneoptera. Administration of
royal jelly to G. bimaculatus from its early nymph stage enlarged both males and
females at the mid-nymph and adult stages. In the cricket, the body parts were
uniformly enlarged in both males and females; whereas the enlarged female
silkmoths had swollen abdomens. Administration of royal jelly increased the
number, but not the size, of eggs loaded in the abdomen of silkmoth females. In
addition, fat body cells were enlarged by royal jelly in the silkmoth, but not in
the cricket. These findings suggest that the body-enlarging effect of royal jelly
is common in non-holometabolous species, G. bimaculatus, but it acts in a
different manner than in holometabolous species.
PMID- 27185267
TI - Effects of TiO2 nanoparticles on nutrition metabolism in silkworm fat body.
AB - Silkworm (Bombyx mori) is an important economic insect with a fat body that plays
a crucial role in the storage and transfer of nutrients. It is also known that
TiO2 nanoparticles (NPs) can improve feed efficiency and promote silk protein
synthesis in the silkworm. In this study, we profiled gene expression in the
silkworm fat body after TiO2 NP treatment, validated the major RNA-seq findings,
and determined the contents of trehalose and triglyceride, the activity of
lipase, and the amount of total proteins. RNA-seq analysis revealed that TiO2 NP
treatment caused significant expression changes in 341 genes (P<=0.01), 138 of
which were upregulated while the other 203 were downregulated. The expression
levels of two target genes in the insulin signaling pathway and two protein
metabolism-related target genes, three lipid metabolism-associated target genes,
two carbohydrate metabolism related target genes and expression levels of seven
heat shock protein genes were increased, and that of threonine dehydratase gene
and fatty acid transport protein gene were decreased. The RNA-seq results of 16
genes were validated by quantitative real-time PCR. The lipase activity, content
of trehalose, and amount of total proteins were elevated by 3.86-fold, 1.34-fold,
and 1.21-fold, respectively, and the content of triglyceride was decreased by
0.94-fold after TiO2 NP treatment. These results indicated that TiO2 NPs
activated the insulin signaling pathway, promoted the metabolism of protein, fat,
and carbohydrate, and improved nutrition metabolism. Our study provides new
support for the understanding of the beneficial effect of TiO2 NPs on silkworm
nutrient metabolism.
PMID- 27185268
TI - Downregulation of leptin inhibits growth and induces apoptosis of lung cancer
cells via the Notch and JAK/STAT3 signaling pathways.
AB - Previous studies have documented that leptin is involved in the pathogenesis of
many human cancer types by regulation of numerous signal transduction pathways.
The aim of this study was to investigate the biological roles of leptin and the
mechanisms attributed to its action in non-small cell lung cancer (NSCLC) cell
lines. The expression of leptin was measured by quantitative real-time PCR and
western blot in seven NSCLC cell lines. Proliferation and apoptosis of NSCLC
cells in response to leptin knockdown were determined by MTT assay and flow
cytometry, respectively. The effect of leptin knockdown on the Notch and
JAK/STAT3 signaling pathways was further examined by western blot. Leptin
expression was significantly increased in NSCLC cell lines compared with normal
human bronchial epithelial cell HBE. Leptin knockdown inhibited cell
proliferation and induced apoptosis in NSCLC cell lines through inactivation of
the Notch and JAK/STAT3 signaling pathways. Furthermore, gene silencing of Notch
signaling with Notch-1 siRNA or inhibition of JAK/STAT3 signaling by JSI-124, an
inhibitor of STAT3, resulted in proliferation inhibition and apoptosis induction
in NSCLC A549 cells. Our findings suggested that leptin knockdown could become a
new approach for the prevention of lung cancer progression, which is likely to be
mediated at least partially by inactivation of the Notch and JAK/STAT3 signaling
pathways.
PMID- 27185269
TI - Role of carriers in the transmission of pneumonia in bighorn sheep (Ovis
canadensis).
AB - In the absence of livestock contact, recurring lamb mortality in bighorn sheep
(Ovis canadensis) populations previously exposed to pneumonia indicates the
likely presence of carriers of pneumonia-causing pathogens, and possibly
inadequate maternally derived immunity. To investigate this problem we commingled
naive, pregnant ewes (n=3) with previously exposed rams (n=2). Post-commingling,
all ewes and lambs born to them acquired pneumonia-causing pathogens (leukotoxin
producing Pasteurellaceae and Mycoplasma ovipneumoniae), with subsequent lamb
mortality between 4-9 weeks of age. Infected ewes became carriers for two
subsequent years and lambs born to them succumbed to pneumonia. In another
experiment, we attempted to suppress the carriage of leukotoxin-producing
Pasteurellaceae by administering an antibiotic to carrier ewes, and evaluated
lamb survival. Lambs born to both treatment and control ewes (n=4 each) acquired
pneumonia and died. Antibody titers against leukotoxin-producing Pasteurellaceae
in all eight ewes were 'protective' (>1:800 and no apparent respiratory disease);
however their lambs were either born with comparatively low titers, or with high
(but non-protective) titers that declined rapidly within 2-8 weeks of age,
rendering them susceptible to fatal disease. Thus, exposure to pneumonia-causing
pathogens from carrier ewes, and inadequate titers of maternally derived
protective antibodies, are likely to render bighorn lambs susceptible to fatal
pneumonia.
PMID- 27185273
TI - PyFREC: Software for Forster electronic coupling evaluation in molecular
fragments.
AB - Electronic couplings are crucial for understanding exciton dynamics and
associated energy transfer in artificial and natural chromophores. The proposed
PyFREC (Python FRagment Electronic Coupling) software enables evaluation of
electronic couplings based on the Forster model. PyFREC features the
decomposition of electronic couplings, obtained through quantum chemical
calculations, into the orientation and dipole strength components. Furthermore,
the variation method to evaluate energies of coupled electronic excited states
and delocalization of electronic excitations is implemented in the software.
PyFREC has been tested on the S22 benchmark dataset of non-covalent complexes and
water clusters. (c) 2016 Wiley Periodicals, Inc.
PMID- 27185270
TI - Patients' expectations of the role of the community pharmacist: Development and
testing of a conceptual model.
AB - BACKGROUND: The roles of community pharmacists are evolving to include provision
of expanded professional pharmacy services, thus leading to an increased interest
in pharmacist-patient interactions. Role theory can be used to explain the
interaction between this pair of individuals, by focusing on the roles performed
by each one. OBJECTIVE: To develop and test a model that relates patients' image
of the pharmacist to their expectations of pharmacist's role, and how this then
influences patients' reactions toward the pharmacist's role. METHODS: A
qualitative study was undertaken, and a questionnaire was created for the
development of the model, based on role theory. The content, dimensions, validity
and reliability of the questionnaire were pre-tested qualitatively and in a pilot
mail survey. The reliability and validity of the proposed model were tested using
confirmatory factor analysis (CFA). Structural equation modelling (SEM) was used
to explain relationships between dimensions of the final model. RESULTS: A final
model was developed. CFA concluded that the model was valid and reliable
(Goodness of Fit indices: chi2(109) = 227.662, P = 0.000, RMSEA = 0.05, SRMR =
0.05, GFI = 1.00, NNFI = 0.90, CFI = 0.92). SEM indicated that "perceived
pharmacist image" was associated positively and significantly with both
"professional expectations" (the standardized path coefficient of (H) = 0.719, P
< 0.05), as well as "courtesy expectations" (the standardized path coefficient of
(H) = 0.582, P < 0.05). At the same time, "professional expectations" were
associated positively and significantly with "positive reactions" (the
standardized path coefficient of (H) = 0.358, P < 0.05), but negatively with
"Negative reactions" (the standardized path coefficient of (H) = -0.427, P <
0.05). "Courtesy expectations" were associated positively and significantly with
"positive reactions" (the standardized path coefficient of (H) = 0.081, P <
0.05), as well as "negative reactions" (the standardized path coefficient of (H)
= 0.450, P < 0.05). CONCLUSIONS: A valid and reliable model of patients' image of
the pharmacist related to their expectations and reactions to the pharmacist's
role was developed and tested. When the perceived image of the pharmacist is
enhanced, patients' expectations of the pharmacist are heightened; in turn, these
expectations were associated with reactions of patients.
PMID- 27185271
TI - Phrenic motor neuron TrkB expression is necessary for acute intermittent hypoxia
induced phrenic long-term facilitation.
AB - Phrenic long-term facilitation (pLTF) is a form of hypoxia-induced spinal
respiratory motor plasticity that requires new synthesis of brain derived
neurotrophic factor (BDNF) and activation of its high-affinity receptor,
tropomyosin receptor kinase B (TrkB). Since the cellular location of relevant
TrkB receptors is not known, we utilized intrapleural siRNA injections to
selectively knock down TrkB receptor protein within phrenic motor neurons. TrkB
receptors within phrenic motor neurons are necessary for BDNF-dependent acute
intermittent hypoxia-induced pLTF, demonstrating that phrenic motor neurons are a
critical site of respiratory motor plasticity.
PMID- 27185272
TI - Bile acids induce activation of alveolar epithelial cells and lung fibroblasts
through farnesoid X receptor-dependent and independent pathways.
AB - BACKGROUND AND OBJECTIVE: The roles of bile acid microaspiration and bile acid
activated farnesoid X receptor (FXR) in the pathogenesis of idiopathic pulmonary
fibrosis (IPF) remain unclear. We hypothesized that bile acids activate alveolar
epithelial cells (AECs) and lung fibroblasts, which may be regulated by FXR
activation. METHODS: Human AECs and normal or IPF-derived lung fibroblast cells
were incubated with the three major bile acids: lithocholic acid (LCA),
deoxycholic acid (DCA) and chenodeoxycholic acid (CDCA). The AECs injury indices,
epithelial-mesenchymal transition (EMT) and lung fibroblast activation were
evaluated. FXR expression in IPF lungs and the roles of FXR and FXR-independent
pathways in bile acid-induced profibrotic effects were also investigated.
RESULTS: LCA, DCA and CDCA reduced cell viability and increased intracellular
reactive oxygen species (ROS) production in A549 cells. They all induced EMT, as
shown by enhanced alpha-SMA and vimentin and decreased E-cadherin levels. LCA
directly induced differentiation of lung fibroblasts to myofibroblasts. All three
bile acids promoted cellular migration but not proliferation of lung fibroblasts.
FXR expression was upregulated in IPF lungs, and inhibition of FXR restrained the
bile acid-induced EMT and lung fibroblast activation. Differentiation and
proliferation were enhanced in lung fibroblasts exposed to conditioned medium
from bile acid-stimulated A549 cells, which contained increased levels of
profibrotic factors. TGF-beta/Smad3 signaling was also involved in the bile acid
induced EMT and lung fibroblast differentiation. CONCLUSION: Bile acid
microaspiration may promote the development of pulmonary fibrosis by inducing
activation of AECs and lung fibroblasts via FXR-dependent and independent
pathways.
PMID- 27185274
TI - Epidemiology and detection of acinetobacter using conventional culture and in
house developed PCR based methods.
AB - Active surveillance cultures for multidrug-resistant (MDR) gram-negative bacteria
is one strategy to control outbreaks. The objectives of the study are to evaluate
the prevalence of Acinetobacter colonization and to compare conventional culture
and in-house developed PCR based method. Swabs were collected from patients
transferred from another organization or were admitted to the intensive care
units. Swabs were cultured by conventional method and were tested using in-house
LightCycler(r) 2.0 real-time PCR method. Of 449 tested samples, the majority came
from cardiac step down unit (188, 42%), male medical floor (80; 18%), and
coronary care unit (66; 13.4%). Of the total specimens, 14 (3%) were positive by
PCR and 12 (2.6%) were positive by routine cultures. The positivity rates among
wounds, respiratory, perineal, and nasal samples were 3.2%, 9.7%, 4.6% and 0.8%
respectively. Two positive samples by PCR were negative by routine culture. The
overall concordance rate was 99.5% and the positive concordance rate was 85.7%.
The current study revealed a low prevalence of MDR Acinetobacter among the
studied population. The LightCycler(r) 2.0 PCR produced comparable positive
results to routine cultures.
PMID- 27185275
TI - Clinical and microbiological features of resistant gram-negative bloodstream
infections in children.
AB - BACKGROUND: Bloodstream infections (BSIs) caused by Gram-negative (GN) bacteria
cause significant morbidity and mortality. There is a worldwide increase in the
reported incidence of resistant microorganisms; therefore, surveillance programs
are important to define resistance patterns of GN microorganisms causing BSIs.
The objective of this study was to describe the clinical and microbiological
features of resistant GN BSIs in a tertiary pediatric hospital in Turkey.
METHODS: Patients between 1 month and 18 years of age hospitalized between
January 2005 and December 2012 were included in this study. The presence of ESBL
and AmpC type beta-lactamase activity were evaluated using the Clinical and
Laboratory Standards Institute (CLSI) disk diffusion and double-disk synergy
tests. RESULTS: A total of 209 resistant GN bacterial BSI episodes were
identified in 192 patients. Of 192 children, 133 (69.2%) were aged <=48 months of
age. Sixty-six (31.6%) of the BSIs were considered community-acquired and 143
(68.4%) were hospital-acquired infections. The most common isolates were non
fermenting GN bacteria (n=117, 55.9%). The major causative pathogens were
Pseudomonas spp. in non-fermenting GN bacteria. The resistance rates to imipenem
for Pseudomonas spp. and Acinetobacter spp. were 40.5% and 41.6%, respectively.
The most common isolates in fatal patients were Pseudomonas spp. followed by
Escherichia coli. The overall 28-day mortality rate was 16.3%. CONCLUSIONS:
Although our study was performed at a single center and represents a local
population, based on this study, it is concluded that surveillance programs and
studies of novel antibiotics for resistant GN bacteria focusing on pediatric
patients are required.
PMID- 27185276
TI - Detection of vasostatin-1-specific CD8(+) T cells in non-obese diabetic mice that
contribute to diabetes pathogenesis.
AB - Chromogranin A (ChgA) is an antigenic target of pathogenic CD4(+) T cells in a
non-obese diabetic (NOD) mouse model of type 1 diabetes (T1D). Vasostatin-1 is a
naturally processed fragment of ChgA. We have now identified a novel H2-K(d)
restricted epitope of vasostatin-1, ChgA 36-44, which elicits CD8(+) T cell
responses in NOD mice. By using ChgA 36-44/K(d) tetramers we have determined the
frequency of vasostatin-1-specific CD8(+) T cells in pancreatic islets and
draining lymph nodes of NOD mice. We also demonstrate that vasostatin-1-specific
CD4(+) and CD8(+) T cells constitute a significant fraction of islet-infiltrating
T cells in diabetic NOD mice. Adoptive transfer of T cells from ChgA 36-44
peptide-primed NOD mice into NOD/severe combined immunodeficiency (SCID) mice led
to T1D development. These findings indicate that vasostatin-1-specific CD8(+) T
cells contribute to the pathogenesis of type 1 diabetes in NOD mice.
PMID- 27185278
TI - Generation of human haploid embryonic stem cells from parthenogenetic embryos
obtained by microsurgical removal of male pronucleus.
PMID- 27185279
TI - High-sensitivity chemiluminescent immunoassay investigation and application for
the detection of T-2 toxin and major metabolite HT-2 toxin.
AB - BACKGROUND: T-2 toxin is a widely distributed mycotoxin in cereals. HT-2 toxin is
the major metabolite, which is also a contaminant in cereals. T-2 toxin and HT-2
toxin have been identified as having carcinogenic, hepatotoxic, teratogenic and
immunotoxic properties. To reduce the risk of contamination, a rapid, highly
sensitive and inexpensive assay for the detection is required. RESULTS: In this
study a high-sensitivity chemiluminescent enzyme-linked immunoassay (CL-ELISA) of
T-2 toxin and HT-2 toxin was developed. With the help of the chemiluminescent
substrate, this protocol showed a highly sensitive character with an IC50 as low
as 33.28 ng mL-1 and 27.27 ng mL-1 for T-2 and HT-2, respectively. In addition,
this method had no cross-reaction with other structurally related mycotoxins.
CONCLUSION: These results indicated that the developed CL-ELISA could be applied
for the detection of T-2 toxin and HT-2 toxin in actual samples without
complicated steps. (c) 2016 Society of Chemical Industry.
PMID- 27185277
TI - Reduced levels of dopamine and altered metabolism in brains of HPRT knock-out
rats: a new rodent model of Lesch-Nyhan Disease.
AB - Lesch-Nyhan disease (LND) is a severe neurological disorder caused by loss-of
function mutations in the gene encoding hypoxanthine phosphoribosyltransferase
(HPRT), an enzyme required for efficient recycling of purine nucleotides.
Although this biochemical defect reconfigures purine metabolism and leads to
elevated levels of the breakdown product urea, it remains unclear exactly how
loss of HPRT activity disrupts brain function. As the rat is the preferred rodent
experimental model for studying neurobiology and diseases of the brain, we used
genetically-modified embryonic stem cells to generate an HPRT knock-out rat. Male
HPRT-deficient rats were viable, fertile and displayed normal caged behaviour.
However, metabolomic analysis revealed changes in brain biochemistry consistent
with disruption of purine recycling and nucleotide metabolism. Broader changes in
brain biochemistry were also indicated by increased levels of the core metabolite
citrate and reduced levels of lipids and fatty acids. Targeted MS/MS analysis
identified reduced levels of dopamine in the brains of HPRT-deficient animals,
consistent with deficits noted previously in human LND patients and HPRT knock
out mice. The HPRT-deficient rat therefore provides a new experimental platform
for future investigation of how HPRT activity and disruption of purine metabolism
affects neural function and behaviour.
PMID- 27185280
TI - Regulation of Irregular Neuronal Firing by Autaptic Transmission.
AB - The importance of self-feedback autaptic transmission in modulating spike-time
irregularity is still poorly understood. By using a biophysical model that
incorporates autaptic coupling, we here show that self-innervation of neurons
participates in the modulation of irregular neuronal firing, primarily by
regulating the occurrence frequency of burst firing. In particular, we find that
both excitatory and electrical autapses increase the occurrence of burst firing,
thus reducing neuronal firing regularity. In contrast, inhibitory autapses
suppress burst firing and therefore tend to improve the regularity of neuronal
firing. Importantly, we show that these findings are independent of the firing
properties of individual neurons, and as such can be observed for neurons
operating in different modes. Our results provide an insightful mechanistic
understanding of how different types of autapses shape irregular firing at the
single-neuron level, and they highlight the functional importance of autaptic
self-innervation in taming and modulating neurodynamics.
PMID- 27185281
TI - Pten Cell Autonomously Modulates the Hematopoietic Stem Cell Response to
Inflammatory Cytokines.
AB - Pten negatively regulates the phosphatidylinositol 3-kinase (PI3K) pathway and is
required to maintain quiescent adult hematopoietic stem cells (HSCs). Pten has
been proposed to regulate HSCs cell autonomously and non-cell autonomously, but
the relative importance of each mechanism has not been directly tested.
Furthermore, the cytokines that activate the PI3K pathway upstream of Pten are
not well defined. We sought to clarify whether Pten cell autonomously or non-cell
autonomously regulates HSC mobilization. We also tested whether Pten deficiency
affects the HSC response to granulocyte colony-stimulating factor (G-CSF) and
interferon-alpha (IFNalpha) since these cytokines induce HSC mobilization or
proliferation, respectively. We show that Pten regulates HSC mobilization and
expansion in the spleen primarily via cell-autonomous mechanisms. Pten-deficient
HSCs do not require G-CSF to mobilize, although they are hyper-sensitized to even
low doses of exogenous G-CSF. Pten-deficient HSCs are similarly sensitized to
IFNalpha. Pten therefore modulates the HSC response to inflammatory cytokines.
PMID- 27185283
TI - Single Targeted Exon Mutation Creates a True Congenic Mouse for Competitive
Hematopoietic Stem Cell Transplantation: The C57BL/6-CD45.1(STEM) Mouse.
AB - Defining the molecular regulators of hematopoietic stem and progenitor cells
(HSPCs) requires in vivo functional analyses. Competitive bone marrow transplants
(BMTs) compare control and test HSPCs to demonstrate the functional role of a
genetic change or chemical perturbation. Competitive BMT is enabled by antibodies
that specifically recognize hematopoietic cells from congenic mouse strains due
to variants of the cell surface protein CD45, designated CD45.1 and CD45.2. The
current congenic competitor strain, B6.SJL-Ptprc(a) Pepc(b)/BoyJ (CD45.1), has a
substantial inherent disadvantage in competition against the C57BL/6 (CD45.2)
strain, confounding experimental interpretation. Despite backcrossing, the
congenic interval over which the B6.SJL-Ptprc(a) Pepc(b)/BoyJ strain differs is
almost 40 Mb encoding ~300 genes. Here, we demonstrate that a single amino acid
change determines the CD45.1 epitope. Further, we report on the single targeted
exon mutant (STEM) mouse strain, CD45.1(STEM), which is functionally equivalent
to CD45.2 cells in competitive BMT. This strain will permit the precise
definition of functional roles for candidate genes using in vivo HSPC assays.
PMID- 27185284
TI - Outcomes of intensity-modulated radiotherapy as primary treatment for
oropharyngeal squamous cell carcinoma - a European singleinstitution analysis.
AB - OBJECTIVES: To analyse survival and toxicity outcomes in patients treated with
primary intensity-modulated radiotherapy (IMRT) for oropharyngeal squamous cell
carcinoma (OPSCC) in the era of routine human papilloma virus (HPV) testing.
DESIGN: Single-institution case series. SETTING: Tertiary Head and Neck Cancer
Unit. PARTICIPANTS: A total of 186 patients received IMRT (+/- chemotherapy) for
radical primary treatment of OPSCC between March 2010 and December 2013. HPV
status was available for 88% of cases. Median radiation dose was 65 Gy in 30
daily fractions. 90% of stage III/IV patients received concurrent chemotherapy or
cetuximab. MAIN OUTCOME MEASURES: Overall, disease-free and disease-specific
survival; rates of late xerostomia and dysphagia. RESULTS: A total of 177
patients completed treatment (Stage I/II: 23; Stage III/IV: 154), with median
follow-up of 26 months. Estimated 3-year overall survival (OS), disease-free
survival (DFS) and disease-specific survival (DSS) rates were 77.2% (70.5-83.9),
72.3% (65.4-79.2) and 80.2% (74.1-86.3). Estimated 3-year OS, DFS and DSS for HPV
positive patients were 90.9% (85.2-96.6), 87.9% (81.4-94.4) and 91.8% (86.3
97.3). A previously identified risk stratification method was validated, showing
improved OS for low-risk over high-risk patients (HR 0.09, P < 0.001). The 2-year
feeding tube retention rate was 6%, and 2-year grade >=2 xerostomia rate was 38%
(23% if mean contralateral parotid dose <24 Gy). CONCLUSIONS: Outcomes with IMRT
are favourable, particularly in the HPV-positive patient group. This data further
supports the use of a previously described prognostication model that can be used
to select patients for escalation/de-escalation clinical trials.
PMID- 27185285
TI - Revealing martensitic transformation and alpha/beta interface evolution in
electron beam melting three-dimensional-printed Ti-6Al-4V.
AB - As an important metal three-dimensional printing technology, electron beam
melting (EBM) is gaining increasing attention due to its huge potential
applications in aerospace and biomedical fields. EBM processing of Ti-6Al-4V as
well as its microstructure and mechanical properties were extensively
investigated. However, it is still lack of quantitative studies regarding its
microstructural evolution, indicative of EBM thermal process. Here, we report
alpha' martensitic transformation and alpha/beta interface evolution in varied
printing thicknesses of EBM-printed Ti-6Al-4V block samples by means of atom
probe tomography. Quantitative chemical composition analysis suggests a general
phase transformation sequence. By increasing in-fill hatched thickness, elemental
partitioning ratios arise and beta volume fraction is increased. Furthermore, we
observe kinetic vanadium segregation and aluminum depletion at interface front
and the resultant alpha/beta interface widening phenomenon. It may give rise to
an increased alpha/beta lattice mismatch and weakened alpha/beta interfaces,
which could account for the degraded strength as printing thickness increases.
PMID- 27185282
TI - Setting Global Standards for Stem Cell Research and Clinical Translation: The
2016 ISSCR Guidelines.
AB - The International Society for Stem Cell Research (ISSCR) presents its 2016
Guidelines for Stem Cell Research and Clinical Translation (ISSCR, 2016). The
2016 guidelines reflect the revision and extension of two past sets of guidelines
(ISSCR, 2006; ISSCR, 2008) to address new and emerging areas of stem cell
discovery and application and evolving ethical, social, and policy challenges.
These guidelines provide an integrated set of principles and best practices to
drive progress in basic, translational, and clinical research. The guidelines
demand rigor, oversight, and transparency in all aspects of practice, providing
confidence to practitioners and public alike that stem cell science can proceed
efficiently and remain responsive to public and patient interests. Here, we
highlight key elements and recommendations in the guidelines and summarize the
recommendations and deliberations behind them.
PMID- 27185286
TI - A meta-analysis of non-invasive brain stimulation and autonomic functioning:
Implications for brain-heart pathways to cardiovascular disease.
AB - Given the intrinsic connection between the brain and the heart, a recent body of
research emerged with the aim to influence cardiovascular system functioning by
non-invasive brain stimulation (NIBS) methods such as repetitive transcranial
magnetic stimulation and transcranial direct current stimulation. Despite the
implications of cardiovascular activity modulation for therapeutic purposes, such
effects of NIBS have not yet been quantified. The aim of this study was to meta
analyze studies on NIBS effects on blood pressure (BP), heart rate (HR) and its
variability (HRV). PubMed and Scopus databases were searched for English language
studies conducted in humans. Twenty-nine studies were eligible for the analyses.
Pooled effect sizes (Hedges' g) were compared. Random effect models were used.
NIBS was effective in reducing HR (g=0.17) and enhancing HRV (g=0.30). A marginal
effect emerged for BP (g=0.21). Significant moderators were the stimulation
technique and the site of stimulation. Results show that NIBS affects
cardiovascular and autonomic nervous system activity, confirming a potential
pathogenic brain-heart pathway to cardiovascular disease.
PMID- 27185287
TI - The HLA-DRB1*15 phenotype is associated with multiple red blood cell and HLA
antibody responsiveness.
AB - BACKGROUND: Once a patient has produced a red blood cell (RBC) antibody, there is
an increased risk of additional antibody formation after subsequent RBC exposure.
Recently, we observed that HLA-DRB1*15 was overrepresented in 379 multiple RBC
antibody responders compared to controls or 562 patients with a single RBC
antibody (odds ratio [OR], 1.7; 95% confidence interval [CI], 1.3-2.3). In this
study we evaluated whether the HLA-DRB1*15 represents a responder phenotype
against HLA and/or RBC antigens. STUDY DESIGN AND METHODS: HLA-DRB1*15
frequencies in single and multiple antibody responders were compared between
three groups of individuals: 1) those with HLA antibodies, 2) those with RBC
antibodies, and 3) those with both RBC and HLA antibodies. RESULTS: A total of
3959 immunized patients (female-to-male ratio, 2.3) had been HLA-DRB1 typed.
Among the 3275 individuals with HLA antibodies, the frequency of the DRB1*15
phenotype differed significantly from 19.7% in patients with a panel reactivity
(PRA) of not more than 20% to 26.9% in patients with PRA of more than 80% (OR,
1.5; 95% CI, 1.2-1.9). This association between DRB1*15 and multiresponsiveness
was mainly due to pregnancy-induced HLA immunization. In the 257 individuals with
RBC and HLA antibodies, the frequency of DRB1*15 was 4.2 times (95% CI, 1.1-16)
higher in those with multiple RBC antibodies and HLA-PRA of more than 50%
compared to only single RBC responders with PRA of less than 20%. CONCLUSION: The
HLA-DRB1*15 phenotype is associated with broad RBC and HLA immunization.
PMID- 27185288
TI - 'Strengthening the fungal cell wall through chitin-glucan cross-links: effects on
morphogenesis and cell integrity'.
AB - The cross-linking of polysaccharides to assemble new cell wall in fungi requires
transglycosylation mechanisms by which preexisting glycosidic linkages are broken
and new linkages are created between the polysaccharides. The molecular
mechanisms for these processes, which are essential for fungal cell biology, are
only now beginning to be elucidated. Recent development of in vivo and in vitro
biochemical approaches has allowed characterization of important aspects about
the formation of chitin-glucan covalent cell wall cross-links by cell wall
transglycosylases of the CRH family and their biological function. Covalent
linkages between chitin and glucan mediated by Crh proteins control morphogenesis
and also play important roles in the remodeling of the fungal cell wall as part
of the compensatory responses necessary to counterbalance cell wall stress. These
enzymes are encoded by multigene families of redundant proteins very well
conserved in fungal genomes but absent in mammalian cells. Understanding the
molecular basis of fungal adaptation to cell wall stress through these and other
cell wall remodeling enzymatic activities offers an opportunity to explore novel
antifungal treatments and to identify potential fungal virulence factors.
PMID- 27185289
TI - The mental well-being of Central American transmigrant men in Mexico.
AB - To understand the mental health status of Central American migrant men travelling
through Mexico to the U.S., we analysed the association between migration-related
circumstances/stressors and psychological disorders. In-person interviews and a
psychiatric assessment were conducted in 2010 and 2014 with 360 primarily
Honduran transmigrant young adult males. The interviews were conducted at three
Casas del Migrante (or migrant safe houses) in the migration-corridor cities of
Monterrey, and Guadalupe, Nuevo Leon; and Saltillo, Coahuila. The results
indicated high levels of migration-related stressors including abuse and a high
prevalence of major depressive episodes (MDEs), alcohol dependency, and alcohol
abuse. Nested logistic regression models were used to separately predict MDEs,
alcohol dependency, and alcohol abuse, assessing their association with migration
experiences and socio-demographic characteristics. Logistic regression models
showed that characteristics surrounding migration (experiencing abuse, migration
duration, and attempts) are predictive of depression. Alcohol dependency and
abuse were both associated with marital status and having family/friends in the
intended U.S. destination, while the number of migration attempts also predicted
alcohol dependency. The results provide needed information on the association
between transit migration through Mexico to the U.S. among unauthorised Central
American men and major depressive disorder and alcohol abuse and dependency.
PMID- 27185290
TI - Access to Spiro and Fused Indole Derivatives from alpha,beta-Unsaturated
Aldehydes Enabled by N-Heterocyclic Carbene Catalysis.
AB - Spiro and fused indoles are attractive heterocyclic compounds with broad and
promising activities in various therapeutic fields, and thus, have become the
synthetic targets of organic chemists. In this account, we describe our recent
progress in the synthesis of a series of spiro and fused indole derivatives
through N-heterocyclic carbene (NHC)-catalyzed annulations of diverse NHC-bound
intermediates derived from alpha,beta-unsaturated aldehydes. Particularly, the
novel synthesized isatin-derived alpha-bromoenals may be used as versatile 1,3
biselectrophile synthons for combination with a range of bisnucleophiles for
potentially divergent syntheses of skeletally diverse spirooxindoles in the
future.
PMID- 27185293
TI - Theory of the development of curved barbs and their effects on feather
morphology.
AB - Feathers exhibit an extraordinary diversity of shapes, which are used by birds to
accomplish a diverse set of functions. Pennaceous feathers have a double branched
morphology that develops from a tube of epidermis, and variation in branch
geometry determines feather shape. Feather development is both complex (i.e., a
simple developmental modification can have multiple effects on mature feather
shape), and redundant (i.e., different developmental modifications can create the
same shape). Due to this, it is not readily apparent how different feather shapes
develop. In many feathers, barbs are not straight, but instead curve in toward,
or away, from the feather tip. Barb curvature can affect the shape of mature
feathers but the development of curved barbs is unknown. Previous research has
hypothesized that barb curvature could develop either during the helical growth
of barb ridges in the tubular feather germ, or during barb angle expansion as the
feather unfurls from the sheath. To better understand the development of curved
barbs and their effects on mature feathers we present a theoretical model of
curved barb development and test the model with empirical investigations of
feathers. We find that curved barbs affect many aspects of feather morphology
including vane width, barb length, and barb spacing. In real feathers, curved
barbs can develop both during helical barb ridge growth and during barb angle
expansion, with most of the observed curvature due to barb angle expansion. Our
results demonstrate that barb angle expansion as a feather unfurls from the
sheath is a complex and dynamic process that plays an important role in
determining the shape and structure of mature feathers. Curved barbs create
heterogeneity in barb geometry within the feather vane, which could have
important implications for aerodynamic function and the development of within
feather pigmentation patterns. J. Morphol. 277:995-1013, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27185292
TI - In vivo organ specific drug delivery with implantable peristaltic pumps.
AB - Classic methods for delivery of agents to specific organs are technically
challenging and causes superfluous stress. The current study describes a method
using programmable, implantable peristaltic pumps to chronically deliver drugs in
vivo, while allowing animals to remain undisturbed for accurate physiological
measurements. In this study, two protocols were used to demonstrate accurate drug
delivery to the renal medulla. First, the vasopressin receptor-2 agonist, dDAVP,
was delivered to the renal medulla resulting in a significant increase in water
retention, urine osmolality and aquaporin-2 expression and phosphorylation.
Second, in a separate group of rats, the histone deacetylase (HDAC) inhibitor,
MS275, was delivered to the renal medulla. HDAC inhibition resulted in a
significant increase in histone H3-acetylation, the hallmark for histone
deacetylase inhibition. However, this was confined to the medulla, as the histone
H3-acetylation was similar in the cortex of vehicle and MS275 infused rats,
suggesting targeted drug delivery without systemic spillover. Thus, implantable,
peristaltic pumps provide a number of benefits compared to externalized chronic
catheters and confer specific delivery to target organs.
PMID- 27185294
TI - Tests of manual dexterity and speed in Parkinson's disease: Not all measure the
same.
AB - INTRODUCTION: Timed performance tests were introduced to overcome the
disadvantages of subjective evaluation of bradykinesia in Parkinson's disease
(PD). We aimed to verify their discriminative properties and compare them with
the motion capture analysis of finger tapping. METHODS: We included 22 PD
patients (10 M, 12 F), mean age 64 (range 48-82) yrs, Hoehn & Yahr stage 2 (1
2.5) and 22 (10 M, 12 F) normal controls, mean age 66 (41-82) yrs. The key
tapping subtest of the Halstead-Reitan battery, the Purdue Pegboard test, and the
Bradykinesia-Akinesia Incoordination (BRAIN) test were performed according to the
test manuals. The finger tapping subtest of the UPDRS-III, item 23 was recorded
using a contactless 3D motion capture system Optitrack-V120. Average frequency
(AvgFrq), maximum opening velocity (MaxOpV) and amplitude decrement (AmpDec) were
computed and simultaneous video recordings of finger tapping were rated by two
experts. RESULTS: The AmpDec and MaxOpV motion capture measures best
differentiated between PD patients and controls (AUC = 0.87 and 0.81). Of the
instrumental tests, only the Purdue Pegboard attained significance in
differentiating PD patients from controls (AUC = 0.80). In PD patients, MaxOpV
correlated with the finger tapping ratings and BRAIN test, and AvgFrq correlated
with the BRAIN and Halstead-Reitan test scores. Moreover, correlations were found
between the Purdue Pegboard and finger tapping ratings. CONCLUSIONS: Contactless
3D motion capture of finger tapping allowed an independent analysis of individual
components of bradykinesia, demonstrating the amplitude decrement and maximum
opening velocity as the most powerful discriminators between PD patients and
controls.
PMID- 27185291
TI - Development and characterization of synthetic antibodies binding to the cystic
fibrosis conductance regulator.
AB - Cystic fibrosis transmembrane conductance regulator (CFTR) is a chloride channel
in the apical surface of epithelial cells in the airway and gastrointestinal
tract, and mutation of CFTR is the underlying cause of cystic fibrosis. However,
the precise molecular details of the structure and function of CFTR in native and
disease states remains elusive and cystic fibrosis researchers are hindered by a
lack of high specificity, high affinity binding reagents for use in structural
and biological studies. Here, we describe a panel of synthetic antigen-binding
fragments (Fabs) isolated from a phage-displayed library that are specific for
intracellular domains of CFTR that include the nucleotide-binding domains (NBD1
and NBD2), the R-region, and the regulatory insertion loop of NBD1. Binding
assays performed under conditions that promote the native fold of the protein
demonstrated that all Fabs recognized full-length CFTR. However, only the NBD1
specific Fab recognized denatured CFTR by western blot, suggesting a
conformational epitope requirement for the other Fabs. Surface plasmon resonance
experiments showed that the R-region Fab binds with high affinity to both the
phosphorylated and unphosphorylated R-region. In addition, NMR analysis of bound
versus unbound R-region revealed a distinct conformational effect upon Fab
binding. We further defined residues involved with antibody recognition using an
overlapping peptide array. In summary, we describe methodology complementary to
previous hybridoma-based efforts to develop antibody reagents to CFTR, and
introduce a synthetic antibody panel to aid structural and biological studies.
PMID- 27185295
TI - The Effectiveness of Self-Management Mobile Phone and Tablet Apps in Long-term
Condition Management: A Systematic Review.
AB - BACKGROUND: Long-term conditions and their concomitant management place
considerable pressure on patients, communities, and health care systems
worldwide. International clinical guidelines on the majority of long-term
conditions recommend the inclusion of self-management programs in routine
management. Self-management programs have been associated with improved health
outcomes; however, the successful and sustainable transfer of research programs
into clinical practice has been inconsistent. Recent developments in mobile
technology, such as mobile phone and tablet computer apps, could help in
developing a platform for the delivery of self-management interventions that are
adaptable, of low cost, and easily accessible. OBJECTIVE: We conducted a
systematic review to assess the effectiveness of mobile phone and tablet apps in
self-management of key symptoms of long-term conditions. METHODS: We searched
PubMed, Embase, EBSCO databases, the Cochrane Library, and The Joanna Briggs
Institute Library for randomized controlled trials that assessed the
effectiveness of mobile phone and tablet apps in self-management of diabetes
mellitus, cardiovascular disease, and chronic lung diseases from 2005-2016. We
searched registers of current and ongoing trials, as well as the gray literature.
We then checked the reference lists of all primary studies and review papers for
additional references. The last search was run in February 2016. RESULTS: Of the
9 papers we reviewed, 6 of the interventions demonstrated a statistically
significant improvement in the primary measure of clinical outcome. Where the
intervention comprised an app only, 3 studies demonstrated a statistically
significant improvement. Interventions to address diabetes mellitus (5/9) were
the most common, followed by chronic lung disease (3/9) and cardiovascular
disease (1/9). A total of 3 studies included multiple intervention groups using
permutations of an intervention involving an app. The duration of the
intervention ranged from 6 weeks to 1 year, and final follow-up data ranged from
3 months to 1 year. Sample size ranged from 48 to 288 participants. CONCLUSIONS:
The evidence indicates the potential of apps in improving symptom management
through self-management interventions. The use of apps in mHealth has the
potential to improve health outcomes among those living with chronic diseases
through enhanced symptom control. Further innovation, optimization, and rigorous
research around the potential of apps in mHealth technology will move the field
toward the reality of improved health care delivery and outcomes.
PMID- 27185296
TI - Increased biofilm formation ability in Klebsiella pneumoniae after short-term
exposure to a simulated microgravity environment.
AB - Biofilm formation is closely related to the pathogenetic processes of Klebsiella
pneumoniae, which frequently causes infections in immunocompromised individuals.
The immune system of astronauts is compromised in spaceflight. Accordingly, K.
pneumoniae, which used to be isolated from orbiting spacecraft and astronauts,
poses potential threats to the health of astronauts and mission security.
Microgravity is a key environmental cue during spaceflight. Therefore,
determining its effects on bacterial biofilm formation is necessary. In this
study, K. pneumoniae ATCC BAA-1705 was exposed to a simulated microgravity (SMG)
environment. K. pneumoniae grown under SMG formed thicker biofilms compared with
those under normal gravity (NG) control after 2 weeks of subculture. Two
indicative dyes (i.e., Congo red and calcofluor) specifically binding to
cellulose fibers and/or fimbriae were utilized to reconfirm the enhanced biofilm
formation ability of K. pneumoniae grown under SMG. Further analysis showed that
the biofilms formed by SMG-treated K. pneumoniae were susceptible to cellulase
digestion. Yeast cells mannose-resistant agglutination by K. pneumoniae type 3
fimbriae was more obvious in the SMG group, which suggests that cellulose
production and type 3 fimbriae expression in K. pneumoniae were both enhanced
under the SMG condition. Transcriptomic analysis showed that 171 genes belonging
to 15 functional categories were dysregulated in this organism exposed to the SMG
conditions compared with those in the NG group, where the genes responsible for
the type 3 fimbriae (mrkABCDF) and its regulator (mrkH) were upregulated.
PMID- 27185298
TI - Intranasal delivery of nanoparticle encapsulated tarenflurbil: A potential brain
targeting strategy for Alzheimer's disease.
AB - Poor brain penetration of tarenflurbil (TFB) was one of the major reasons for its
failure in phase III clinical trials conducted on Alzheimer's patients. Thus
there is a tremendous need of developing efficient delivery systems for TFB. This
study was designed with the aim of improving drug delivery to brain through
intranasally delivered nanocarriers. TFB was loaded into two different
nanocarriers i.e., poly (lactide-co-glycolide) nanoparticles (TFB-NPs) and solid
lipid nanoparticles (TFB-SLNs). Particle size of both the nanocarriers (<200nm)
as determined by dynamic light scattering technique and transmission electron
microscopy, assured transcellular transport across olfactory axons whose diameter
was ~200nm and then paving a direct path to brain. TFB-NPs and TFB-SLNs resulted
in 64.11+/-2.21% and 57.81+/-5.32% entrapment efficiencies respectively which
again asserted protection of drug from chemical and biological degradation in
nasal cavity. In vitro release studies proved the sustained release of TFB from
TFB-NPs and TFB-SLNs in comparison with pure drug, indicating prolonged residence
times of drug at targeting site. Pharmacokinetics suggested improved circulation
behavior of nanoparticles and the absolute bioavailabilities followed this order:
TFB-NPs (i.n.)>TFB-SLNs (i.n.)>TFB solution (i.n.)>TFB suspension (oral). Brain
targeting efficiency was determined in terms of %drug targeting efficiency (%DTE)
and drug transport percentage (DTP). The higher %DTE (287.24) and DTP (65.18)
were observed for TFB-NPs followed by TFB-SLNs (%DTE: 183.15 and DTP: 45.41)
among all other tested groups. These encouraging results proved that therapeutic
concentrations of TFB could be transported directly to brain via olfactory
pathway after intranasal administration of polymeric and lipidic nanoparticles.
PMID- 27185297
TI - Effectiveness of supersaturation promoting excipients on albendazole
concentrations in upper gastrointestinal lumen of fasted healthy adults.
AB - PURPOSE: To evaluate the impact of dosage form relevant levels of a polymeric
precipitation inhibitor and of lipid excipients on supersaturation of upper
gastrointestinal contents with albendazole, a lipophilic weak base. MATERIALS AND
METHODS: Albendazole concentrations in stomach and in duodenum were evaluated
after administration of 1) a suspension in water (Susp-Control), 2) a suspension
in water in which hydroxyprolylmethylcellulose E5 (HPMC E5) had been pre
dissolved (Susp-HPMC), and 3) and 4) two contrasting designs of lipid based
suspensions dispersed in water (Susp-IIIA and Susp-IV), on a cross-over basis to
fasted healthy adults. RESULTS: Limited, but statistically significant
supersaturation of duodenal contents was observed after Susp-HPMC, Susp-IIIA, and
Susp-IV; supersaturation was more consistent after Susp-HPMC administration.
Based on total albendazole amount per volume, gastric secretions did not
significantly alter volumes of bulk gastric contents during the first 40min post
administration of a glass of non-caloric water-based fluid. Alphalbendazole
gastric concentrations were higher than in the administered suspensions, but
similar for all four formulations. Gastric emptying of albendazole after
administration of Susp-Control or Susp-HPMC was slower than after administration
of Susp-IIIA or Susp-IV. CONCLUSIONS: Small amounts of HPMC E5 were as effective
as lipid excipients in achieving supersaturation of duodenal contents with
albendazole, a fast precipitating weak base, in fasted adults. However, compared
with the effect of HPMC E5 the effect of lipid excipients was delayed and
variable.
PMID- 27185301
TI - Wang, S.-Y., Chen, W.-L., Wang, Z.-C., et al. High expression of FAMLF is
associated with clinical response in patients with de novo acute myeloid
leukemia. Leuk. Lymphoma 2016. http://dx.doi.org/10.3109/10428194.2016.1160087.
PMID- 27185300
TI - Type I collagen and its daughter peptides for targeting mucosal healing in
ulcerative colitis: A new treatment strategy.
AB - Ulcerative colitis, particularly the chronic persistent form is characterized by
the presence of active inflammation and extensive areas of ulceration in the
colonic mucosa. The existing treatment protocol aims at only reducing intestinal
inflammation, rather than targeting mucosal ulceration. In this study, type I
collagen and its daughter peptides called collagen hydrolysate, highly popular
reconstructive materials for tissue engineering applications, are hypothesized as
healing matrices to target the recuperation of internal mucosal ulceration. The
clinical assessments on day 10 of dextran sodium sulfate induced colitis in mice
model revealed that both the collagen (1.56+/-0.29) and collagen hydrolysate
treatments (1.33+/-0.33) showed a significant reduction in the rectal bleeding
compared to the reference mesalamine treatment (2.50+/-0.33) and untreated
negative control (2.40+/-0.40). VEGF, a potent angiogenic growth factor, over
expressed during UC was down-regulated by collagen hydrolysate (1.06+/-0.25) and
collagen (1.76+/-0.45) to a greater extent than by mesalamine (2.59+/-0.51) and
untreated control (4.17+/-0.15). The down-regulation of proinflammatory cytokines
such as TNF-alpha, IL-1beta, and IL-6 also follows the same pattern. Histological
observations were in accordance with the clinical indicators. Both collagen and
collagen hydrolysate treatments showed significant reduction in mucosal damage
score and facilitated faster regeneration of damaged mucosa.
PMID- 27185299
TI - Preclinical pharmacokinetics, biodistribution, radiation dosimetry and toxicity
studies required for regulatory approval of a phase I clinical trial with (111)In
CP04 in medullary thyroid carcinoma patients.
AB - INTRODUCTION: From a series of radiolabelled cholecystokinin (CCK) and gastrin
analogues, (111)In-CP04 ((111)In-DOTA-(DGlu)6-Ala-Tyr-Gly-Trp-Met-Asp-Phe-NH2)
was selected for further translation as a diagnostic radiopharmaceutical towards
a first-in-man study in patients with medullary thyroid carcinoma (MTC). A freeze
dried kit formulation for multicentre application has been developed. We herein
report on biosafety, in vivo stability, biodistribution and dosimetry aspects of
(111)In-CP04 in animal models, essential for the regulatory approval of the
clinical trial. MATERIALS AND METHODS: Acute and extended single dose toxicity of
CP04 was tested in rodents, while the in vivo stability of (111)In-CP04 was
assessed by HPLC analysis of mouse blood samples. The biodistribution of (111)In
CP04 prepared from a freeze-dried kit was studied in SCID mice bearing double
A431-CCK2R(+/-) xenografts at 1, 4 and 24h pi. Further 4-h animal groups were
either additionally treated with the plasma expander gelofusine or injected with
(111)In-CP04 prepared by wet-labelling. Pharmacokinetics in healthy mice included
the 30min, 1, 4, 24, 48 and 72h time points pi. Dosimetric calculations were
based on extrapolation of mice data to humans adopting two scaling models.
RESULTS: CP04 was well-tolerated by both mice and rats, with an LD50>178.5MUg/kg
body weight for mice and a NOAEL (no-observed-adverse-effect-level) of 89MUg/kg
body weight for rats. After labelling, (111)In-CP04 remained >70% intact in
peripheral mouse blood at 5min pi. The uptake of (111)In-CP04 prepared from the
freeze-dried kit and by wet-labelling were comparable in the A431-CCK2R(+)
xenografts (9.24+/-1.35%ID/g and 8.49+/-0.39%ID/g, respectively; P>0.05).
Gelofusine-treated mice exhibited significantly reduced kidneys values (1.69+/
0.15%ID/g vs. 5.55+/-0.94%ID/g in controls, P<0.001). Dosimetry data revealed
very comparable effective tumour doses for the two scaling models applied, of
0.045 and 0.044mSv/MBq. CONCLUSION: The present study has provided convincing
toxicology, biodistribution and dosimetry data for prompt implementation of the
freeze-dried kit formulation without or with gelofusine administration in a
multicentre clinical trial in MTC patients.
PMID- 27185302
TI - Characterization of cadmium-resistant bacteria for its potential in promoting
plant growth and cadmium accumulation in Sesbania bispinosa root.
AB - The cadmium (Cd) resistant bacteria were isolated from soils of Damanganga river,
Vapi, and identified 11 potential Cd resistant bacteria based on 16S rDNA
sequences. The Cd resistant bacteria belonged to four different genera:
Providencia spp., Morganella sp., Stenotrophomonas sp., and Bacillus spp. The
assessment of plant growth-promoting (PGP) parameters revealed that the Cd
tolerant bacteria showed one or more PGP properties. Further, a pot experiment
was conducted to elucidate the effects of Cd resistant bacteria on the plant
growth and the uptake of Cd by Sesbania bispinosa. The bacterized seedlings
recorded 36.0-74.8% and 21.2-32.9% higher root and shoot lengths, respectively,
in Cd amended soil compared with control. The Cd mobilization in the root of S.
bispinosa by microbial inoculants ranged from 0.02 +/- 0.01 to 1.11 +/- 0.06 ppm.
The enhanced concentrations of Cd accumulation in S. bispinosa roots correspond
to the effect of the bacterial strains on metal mobilization in soil. The present
observations showed that the Cd resistant strains protect the plants against the
inhibitory effects of Cd, probably due to the production of PGP properties. The
present results provided a new insight into the phytoremediation of Cd
contaminated soil.
PMID- 27185303
TI - A microfluidics-based on-chip impinger for airborne particle collection.
AB - Capturing airborne particles from air into a liquid is a critical process for the
development of many sensors and analytical systems. A miniaturized airborne
particle sampling device (microimpinger) has been developed in this research. The
microimpinger relies on a controlled bubble generation process produced by
driving air through microchannel arrays. The particles confined in the microscale
bubbles are captured in the sampling liquid while the bubbles form, are released
and travel in a millimetre-scale sealed liquid reservoir. The microchannel arrays
in the impinger are fabricated using a soft-lithography method with
polydimethylsiloxane (PDMS) as the structural material. To prevent air leakage at
the connections, a PDMS-only sealing technique is successfully developed. The
hydrophobicity of the microchannel surface is found to be critical for generating
continuous and stable bubbles in the bubbling process. A Teflon layer is coated
on the walls of a microchannel array by vapor deposition which effectively
increases the hydrophobicity of the PDMS. The collection efficiency of the
microimpinger is measured by counting different sizes of fluorescent polystyrene
latex particles on polycarbonate membrane filters. Collection efficiencies above
90% are achieved. Furthermore, the particle capturing mechanisms during the
injection, formation and rise of a single microbubble are investigated by a
computational fluid dynamics (CFD) model. The Navier-Stokes equations are solved
along with the use of the volume-of-fluid (VOF) method to capture the bubble
deformations and the particles are tracked using a Lagrangian equation of motion.
The model is also employed to study the effect of bubble size on the collection
efficiency of the microimpinger.
PMID- 27185304
TI - Intrinsic Charge Transport across Phase Transitions in Hybrid Organo-Inorganic
Perovskites.
AB - Hall effect measurements in CH3 NH3 PbBr3 single crystals reveal that the charge
carrier mobility follows an inverse-temperature power-law dependence, MU ? T(-)
(gamma) , with the power exponent gamma = 1.4 +/- 0.1 in the cubic phase,
indicating an acoustic-phonon-dominated carrier scattering, and gamma = 0.5 +/-
0.1 in the tetragonal phase, suggesting another dominant mechanism, such as a
piezoelectric or space-charge scattering.
PMID- 27185305
TI - Robust two-dimensional superconductivity and vortex system in Bi2Te3/FeTe
heterostructures.
AB - The discovery of two-dimensional superconductivity in Bi2Te3/FeTe
heterostructures provides a new platform for the search of Majorana fermions in
condensed matter systems. Since Majorana fermions are expected to reside at the
core of the vortices, a close examination of the vortex dynamics in
superconducting interface is of paramount importance. Here, we report the
robustness of the interfacial superconductivity and 2D vortex dynamics in four as
grown and aged Bi2Te3/FeTe heterostructure with different Bi2Te3 epilayer
thickness (3, 5, 7, 14 nm). After two years' air exposure, superconductivity
remains robust even when the thickness of Bi2Te3 epilayer is down to 3 nm.
Meanwhile, a new feature at ~13 K is induced in the aged samples, and the high
field studies reveal its relevance to superconductivity. The resistance of all as
grown and aged heterostructures, just below the superconducting transition
temperature follows the Arrhenius relation, indicating the thermally activated
flux flow behavior at the interface of Bi2Te3 and FeTe. Moreover, the activation
energy exhibits a logarithmic dependence on the magnetic field, providing a
compelling evidence for the 2D vortex dynamics in this novel system. The weak
disorder associated with aging-induced Te vacancies is possibly responsible for
these observed phenomena.
PMID- 27185307
TI - Application of diffusion kurtosis imaging to odontogenic lesions: Analysis of the
cystic component.
AB - PURPOSE: To assess the feasibility of applying diffusion kurtosis imaging (DKI)
to common odontogenic lesions and to compare its diagnostic ability versus that
of the apparent diffusion coefficient (ADC) for differentiating keratocystic
odontogenic tumors (KCOTs) from odontogenic cysts. MATERIALS AND METHODS:
Altogether, 35 odontogenic lesions were studied: 24 odontogenic cysts, six KCOTs,
and five ameloblastomas. The diffusion coefficient (D) and excessive kurtosis (K)
were obtained from diffusion-weighted images at b-values of 0, 500, 1000, and
1500 s/mm2 on 3T magnetic resonance imaging (MRI). The combination of D and K
values showing the maximum density of the probable density function was
estimated. The ADC was obtained (0 and 1000 s/mm2 ). Values for odontogenic
cysts, KCOTs, and ameloblastomas were compared. Multivariate logistic regression
modeling was performed to assess the combination of D and K model versus ADC for
differentiating KCOTs from odontogenic cysts. RESULTS: The mean D and ADC were
significantly higher for ameloblastomas than for odontogenic cysts or KCOTs (P <
0.05). The mean K was significantly lower for ameloblastomas than for odontogenic
cysts or KCOTs (P < 0.05). The mean values of all parameters for odontogenic
cysts and KCOTs showed no significant differences (P = 0.369 for ADC, 0.133 for
D, and 0.874 for K). The accuracy of the combination of D and K model (76.7%) was
superior to that of ADC (66.7%). CONCLUSION: Use of DKI may be feasible for
common odontogenic lesions. A combination of DKI parameters can be expected to
increase the accuracy of its diagnostic ability compared with ADC. J. Magn.
Reson. Imaging 2016;44:1565-1571.
PMID- 27185308
TI - A French adaptation of the Overt Behaviour Scale (OBS) measuring challenging
behaviours following acquired brain injury: The Echelle des comportements
observables (ECO).
AB - PURPOSE: To develop a French version of the Overt Behaviour Scale (OBS) and
examine some of its psychometric properties. METHODS: The scale was adapted and
validated according to standard guidelines for cross-cultural adaptation of
questionnaires (Echelle des comportements observables; ECO). The reliability and
construct validity of the ECO were studied among 29 inpatients and outpatients
who sustained an acquired brain injury. The instruments were administered by 12
clinicians located at eight rehabilitation centres and the local brain injury
association. RESULTS: The ECO provided behaviour profile descriptives much like
the original scale. It showed excellent reliability and good convergent and
divergent validity, as reflected by significant associations with other measures
that contained similar behavioural items and by the absence of signification
correlations with broader constructs such as physical and cognitive abilities.
CONCLUSION: This study provides evidence that the ECO behaves much like the
original OBS, has promising initial findings with respect to reliability and
validity and is a valuable research and clinical instrument to assess the
severity and typology of challenging behaviour after an acquired brain injury and
to monitor the evolution of behaviours after intervention in French and bilingual
communities.
PMID- 27185309
TI - Simple Spinning of Heterogeneous Hollow Microfibers on Chip.
AB - A novel and simple chip-based microfluidic strategy is proposed for continuously
controlled spinning of desirable hollow microfibers. These fabricated fiber
shaped materials exhibit extraordinary morphological and structural complexity,
as well as a heterogeneous composition. The resulting specific hollow microfibers
have potential applications in numerous chemical and biomedical fields.
PMID- 27185312
TI - Depression and resting state heart rate variability in children and adolescents -
A systematic review and meta-analysis.
AB - Among adults, depression is associated with reduced vagal activity, as indexed by
high frequency heart rate variability [HF-HRV]), which correlates inversely with
depression severity. Available evidence in depressed children and adolescents
remains to be reviewed systematically. A search of the literature was performed
to identify studies reporting (i) HF-HRV in clinically depressed
children/adolescents relative to controls (k=4, n=259) and (ii) the association
between HF-HRV and depressive symptoms as measured by standardized psychometric
instruments in children and adolescents (k=6, n=2625). Random-effects meta
analysis on group differences revealed significant effects that were associated
with a moderate effect size (Hedges' g=-0.59; 95% CI [-1.05; -0.13]), indicating
lower resting state HF-HRV among clinically depressed children/adolescents (n=99)
compared to healthy controls (n=160), consistent with findings among adults.
While no correlation between HF-HRV and depressive symptom severity was observed
(r=-.041 [-0.143; 0.062]), these additional correlational findings are limited to
non-clinical samples. Findings have important clinical implications including a
potentially increased risk for future physical ill health and also the
identification of potential new treatment targets in child and adolescent
depression.
PMID- 27185313
TI - Combining bottom-up and top-down approaches for knowledge discovery: Comment on
"Towards a unified approach in the modeling of fibrosis: A review with research
perspectives" by Martine Ben Amar and Carlo Bianca.
PMID- 27185314
TI - ODEs approaches in modeling fibrosis: Comment on "Towards a unified approach in
the modeling of fibrosis: A review with research perspectives" by Martine Ben
Amar and Carlo Bianca.
PMID- 27185315
TI - Biological systems modeling in the context of fibrosis: Comment on "Towards a
unified approach in the modeling of fibrosis: A review with research
perspectives" by Martine Ben Amar and Carlo Bianca.
PMID- 27185316
TI - Calsequestrin-1 Regulates Store-Operated Ca2+ Entry by Inhibiting STIM1
Aggregation.
AB - BACKGROUND/AIMS: Stromal interacting molecule-1 (STIM1) aggregation and
redistribution to plasma membrane to interact with Orai1 constitute the core
mechanism of store-operated Ca2+ entry (SOCE). Previous study has revealed that
calsequestrin-1 (CSQ1) regulates SOCE in HEK293 cells through interacting with
STIM1 and inhibiting STIM1/Orai1 interaction. Here, we further investigate how
CSQ1/STIM1 interaction affects SOCE. METHODS: Using confocal microscopy, STIM1
aggregation and co-localizations with CSQ1 or Orai1 upon Ca2+ store depletion by
thapsigargin were measured and quantified by Imaris software in HeLa cells
transfected with different CSQ1 mutants. The interactions of CSQ1/STIM1 and
STIM1/Orai1, and internal Ca2+ changes were detected by co-immunoprecipitation
and Fura2, respectively. RESULTS: Wt-CSQ1 overexpression significantly reduced
STIM1 clustering in the perimembrane and cytosolic regions, whereas over
expression of a C-terminal amino acid 362-396 deletion mutant (C35) did not.
Consistently, a significant depression of SOCE, increased CSQ1 monomerization and
CSQ1/STIM1 interaction, and a reduced STIM1/Orai1 association were observed in wt
CSQ1 but not in C35-transfected cells. Additionally, mutant lacking C-terminal AA
388-396 deletion exerted weaker potency in inhibiting STIM1 aggregation and
association with Orai1 than wt-CSQ1. CONCLUSIONS: Our results demonstrate that
CSQ1 monomers suppress SOCE by interacting with STIM1 and attenuating STIM1
aggregation via its C-terminal amino acid 362-396.
PMID- 27185317
TI - Is there such a thing as sustainable physical activity?
AB - There is a global need to diminish climate gas emissions, and a simultaneous call
for enhanced levels of physical activity. Increased physical activity entails
reduced risk for overweight and chronic diseases, as well as a potential to
reduce transport's major contribution to global CO2 emissions. However, increased
physical activity level also implies increased energy expenditure. Therefore, we
aim to introduce the concept of sustainable physical activity, and to suggest
certain physical activity habits due to their potentially sustainable properties.
Worldwide, a third of adults and four fifths of adolescents ought to be more
physically active in order to comply with current physical activity
recommendations. Yet, considering upcoming resource challenges, types of physical
activity should be taken into account. Active transportation represents carbon
friendly means of transportation as well as an opportunity for enhanced physical
activity. Physical activity conducted in the local community is likely to favor
sustainability through less use of fossil fuel, as it makes transportation
redundant. Moreover, going "back to basic", using less equipment and appliances
for everyday tasks could contribute toward energy balance through increased
physical activity, and could decrease resource use. Finally, balancing food
intake and energy expenditure would require less food production with
accompanying energy savings.
PMID- 27185318
TI - Sexual activity and dyspareunia the first year postpartum in relation to degree
of perineal trauma.
AB - INTRODUCTION AND HYPOTHESIS: Knowledge on sexual complaints and time to sexual
resumption after obstetric anal sphincter injury (OASI) is scarce. The aim of the
study was to investigate self-reported sexual activity and coital problems 1 year
postpartum in relation to perineal trauma, in addition to delivery mode. METHODS:
Among 2,846 women recruited during pregnancy, all women who delivered with OASI
(n = 42, all third-degree perineal tears), in addition to 20 randomly selected
controls per OASI case, a total of 882 women, were sent a self-administered
questionnaire addressing time to coital resumption after delivery and potential
coital difficulty 1 year postpartum. RESULTS: By 8 weeks, half of the 561
responders (51.4 %) had resumed intercourse, increasing to 75.2 % by 12 weeks and
94.7 % 1 year postpartum. In multivariate regression analysis OASI was the
strongest predictor for postponed coital onset, defined as after 8 weeks (aOR
5.52, CI 1.59-19.16). OASI was also the only significant predictor for
dyspareunia 1 year after delivery (aOR 3.57, CI 1.39-9.19). Episiotomy was
neither a risk factor for postponed coital onset nor for dyspareunia. There were
no differences between episiotomy and second-degree laceration injury groups
regarding postponed coital onset (p = 0.45) or dyspareunia (p = 0.67) 1 year
postpartum. CONCLUSIONS: Obstetric anal sphincter injury was a strong and
independent predictor for both postponed coital resumption after delivery and for
dyspareunia 1 year postpartum, whereas episiotomy and spontaneous second-degree
lacerations were not. Our main finding of affected sexual activity after OASI
further supports the need to reduce the rates of this obstetric injury to a
minimum.
PMID- 27185321
TI - Father's age and lifestyle link to birth defects in offspring, review finds.
PMID- 27185320
TI - Pediatrician preferences, local resources, and economic factors influence
referral to a subspecialty access clinic.
AB - BACKGROUND: Pediatric patients seek timely access to subspecialty care within a
complex delivery system while facing barriers: distance, economics, and clinician
shortages. Aim We examined stakeholder perceptions about solutions to the access
challenge. We engaged over 300 referring primary care pediatricians in the
evaluation of Access Clinics at an academic children's hospital. METHODS: Using
an anonymous online survey, we asked pediatricians about their and their
patients' experiences and analyzed factors that may influence referrals. Findings
Referring pediatricians reported satisfaction; they provided feedback about their
patients' experiences, physician communication, and referral influences. Distance
from the Access Clinic does not correlate with differences in referral volume;
living in areas with higher child populations and higher median income is
associated with more referrals. Referring pediatricians have strong opinions
about referrals, are attuned to patient experiences, and desire bi-directional
communication. Multiple factors influence referral to and acceptance of Access
Clinics, but external influences have less impact than expected.
PMID- 27185319
TI - Supercritical Fluid Extraction of Citrus iyo Hort. ex Tanaka Pericarp Inhibits
Growth and Induces Apoptosis Through Abrogation of STAT3 Regulated Gene Products
in Human Prostate Cancer Xenograft Mouse Model.
AB - Activation of signal transducer and activator of transcription 3 (STAT3) is well
known to play a major role in the cell growth, survival, proliferation,
metastasis, and angiogenesis of various cancer cells. Most of the citrus species
offer large quantities of phytochemicals that have beneficial effects attributed
to their chemical components. Our study was carried out to evaluate the
anticancer effects of the pericarp of Iyokan ( Citrus iyo Hort. ex Tanaka),
locally known as yeagam in Korea, through modulation of the STAT3 signaling
pathway in both tumor cells and a nude mice model. The effect of supercritical
extracts of yeagam peel (SEYG) on STAT3 activation, associated protein kinases,
STAT3-regulated gene products, cellular proliferation, and apoptosis was
examined. The in vivo effect of SEYG on the growth of DU145 human prostate
xenograft tumors in athymic nu/nu male mice was also investigated. We found SEYG
exerted substantial inhibitory effect on STAT3 activation in human prostate
cancer DU145 cells as compared to other tumor cells analyzed. SEYG inhibited
proliferation and downregulated the expression of various STAT3-regulated gene
products such as bcl-2, bcl-xL, survivin, IAP-1/2, cyclin D1, cyclin E, COX-2,
VEGF, and MMP-9. This correlated with an increase in apoptosis as indicated by an
increase in the expression of p53 and p21 proteins, the sub-G1 arrest, and
caspase-3-induced PARP cleavage. When administered intraperitoneally, SEYG
reduced the growth of DU145 human prostate xenograft tumors through
downmodulation of STAT3 activation in athymic nu/nu male mice. Overall, these
results suggest that SEYG extract has the potential source of STAT3 inhibitors
that may have a potential in chemoprevention of human prostate cancer cells.
PMID- 27185322
TI - Internalized Homonegativity, Sense of Belonging, and Depressive Symptoms Among
Australian Gay Men.
AB - Internalized homonegativity has been directly linked to depression among gay men.
The aim of the study was to test whether internalized homonegativity is
indirectly related to depressive symptoms via a sense of belonging to the broad
gay community, gay groups, gay friends, and the general community. A sample of
246 self-identified Australian gay men, aged 18-82 years, completed the
Internalized Homophobia Scale, the Psychological subscale of the Sense of
Belonging Instrument, the Sense of Belonging Within Gay Communities Scale, and
the Centre for Epidemiological Studies Depression Scale. Results indicated that
the final model was an excellent fit to the data. Internalized homonegativity was
indirectly related to depressive symptoms via sense of belonging to gay groups,
with gay friends, and to the general community. Interventions aimed at reducing
internalized homonegativity among gay men have the potential to enhance sense of
belonging and, in turn, decrease depressive symptoms.
PMID- 27185324
TI - Peritonitis in children with automated peritoneal dialysis: a single-center study
of a 10-year experience.
AB - Peritoneal dialysis (PD) constitutes the preferred dialysis modality for children
requiring renal replacement therapy with peritonitis being one of the most common
complications of PD. This study was performed to evaluate the epidemiology,
microbiology, and outcomes of PD-associated peritonitis in Greek children for a
10-year period. A total of 27 patients (16 males) with a mean age 121.8 +/- 57.2
months were retrospective analyzed. Patients were on PD therapy for a mean
duration of 45.2 +/- 26.1 months. We found 23 episodes of PD-associated
peritonitis occurred in 9 out of 27 patients (0.23 episodes/patient-year), with
four patients experienced two or more peritonitis episodes. Gram-positive
bacteria were responsible for 15 (65.2%) peritonitis episodes, with
Staphylococcus aureus being the predominant specie isolated in 30.4% of cases. A
total of seven episodes of exit-site infections (ESIs) were identified in five
patients (0.069 episodes/patient-year) with the most common bacteria isolated
being S. aureus (57.4%). Initial antibiotic treatment included intraperitoneal
vancomycin plus ceftazidime in the majority of cases (82.6%). At the end of
study, 12 (44.4%) patients remained on PD, 11 (41.8%) underwent renal
transplantation, 2 (7.4%) shifted to hemodialysis and unfortunately, two patients
(7.4%) died. Conclusively, our study revealed a noticeable low peritonitis and
ESIs rate as compared to international data and represents the first evaluation
of the characteristics and outcomes of peritonitis in the Greek pediatric PD
population.
PMID- 27185323
TI - Neuroimaging in encephalitis: analysis of imaging findings and interobserver
agreement.
AB - AIM: To assess the role of imaging in the early management of encephalitis and
the agreement on findings in a well-defined cohort of suspected encephalitis
cases enrolled in the Prospective Aetiological Study of Encephalitis conducted by
the Health Protection Agency (now incorporated into Public Health England).
MATERIALS AND METHODS: Eighty-five CT examinations from 68 patients and 101 MRI
examinations from 80 patients with suspected encephalitis were independently
rated by three neuroradiologists blinded to patient and clinical details. The
level of agreement on the interpretation of images was measured using the kappa
statistic. The sensitivity, specificity, and negative and positive predictive
values of CT and MRI for herpes simplex virus (HSV) encephalitis and acute
disseminated encephalomyelitis (ADEM) were estimated. RESULTS: The kappa value
for interobserver agreement on rating the scans as normal or abnormal was good
(0.65) for CT and moderate (0.59) for MRI. Agreement for HSV encephalitis was
very good for CT (0.87) and MRI (0.82), but only fair for ADEM (0.32 CT; 0.31
MRI). Similarly, the overall sensitivity of imaging for HSV encephalitis was ~80%
for both CT and MRI, whereas for ADEM it was 0% for CT and 20% for MRI. MRI
specificity for HSV encephalitis between 3-10 days after symptom onset was 100%.
CONCLUSION: There is a subjective component to scan interpretation that can have
important implications for the clinical management of encephalitis cases.
Neuroradiologists were good at diagnosing HSV encephalitis; however, agreement
was worse for ADEM and other alternative aetiologies. Findings highlight the
importance of a comprehensive and multidisciplinary approach to diagnosing the
cause of encephalitis that takes into account individual clinical,
microbiological, and radiological features of each patient.
PMID- 27185326
TI - Type 1 Diabetes and Dysfunctional Intestinal Homeostasis.
AB - Despite the relatively high frequency of gastrointestinal (GI) disorders in
individuals with type 1 diabetes (T1D), termed diabetic enteropathy (DE), the
pathogenic mechanisms of these disorders remain to be elucidated. While previous
studies have assumed that DE is a manifestation of diabetic autonomic neuropathy,
other contributing factors such as enteric hormones, inflammation, and microbiota
were later recognized. More recently, the emerging role of intestinal stem cells
(ISCs) in several GI diseases has led to a new understanding of DE. Given the
absence of diagnostic methods and the lack of broadly efficacious therapeutic
remedies in DE, targeting factors and pathways that control ISC homeostasis and
are dysfunctional in DE may represent a new path for the detection and cure of
DE.
PMID- 27185325
TI - GPIHBP1 and Plasma Triglyceride Metabolism.
AB - GPIHBP1, a GPI-anchored protein in capillary endothelial cells, is crucial for
the lipolytic processing of triglyceride-rich lipoproteins (TRLs). GPIHBP1
shuttles lipoprotein lipase (LPL) to its site of action in the capillary lumen
and is essential for the margination of TRLs along capillaries - such that
lipolytic processing can proceed. GPIHBP1 also reduces the unfolding of the LPL
catalytic domain, thereby stabilizing LPL catalytic activity. Many different
GPIHBP1 mutations have been identified in patients with severe
hypertriglyceridemia (chylomicronemia), the majority of which interfere with
folding of the protein and abolish its capacity to bind and transport LPL. The
discovery of GPIHBP1 has substantially revised our understanding of intravascular
triglyceride metabolism but has also raised many new questions for future
research.
PMID- 27185327
TI - Cross-Split of Dislocations: An Athermal and Rapid Plasticity Mechanism.
AB - The pathways by which dislocations, line defects within the lattice structure,
overcome microstructural obstacles represent a key aspect in understanding the
main mechanisms that control mechanical properties of ductile crystalline
materials. While edge dislocations were believed to change their glide plane only
by a slow, non-conservative, thermally activated motion, we suggest the existence
of a rapid conservative athermal mechanism, by which the arrested edge
dislocations split into two other edge dislocations that glide on two different
crystallographic planes. This discovered mechanism, for which we coined a term
"cross-split of edge dislocations", is a unique and collective phenomenon, which
is triggered by an interaction with another same-sign pre-existing edge
dislocation. This mechanism is demonstrated for faceted alpha-Fe nanoparticles
under compression, in which we propose that cross-split of arrested edge
dislocations is resulting in a strain burst. The cross-split mechanism provides
an efficient pathway for edge dislocations to overcome planar obstacles.
PMID- 27185328
TI - Site-Specific Characterization of Cytochrome P450cam Conformations by Infrared
Spectroscopy.
AB - Conformational changes are central to protein function but challenging to
characterize with both high spatial and temporal precision. The inherently fast
time scale and small chromophores of infrared (IR) spectroscopy are well-suited
for characterization of potentially rapidly fluctuating environments, and when
frequency-resolved probes are incorporated to overcome spectral congestion,
enable characterization of specific sites in proteins. We selectively
incorporated p-cyanophenylalanine (CNF) as a vibrational probe at five distinct
locations in the enzyme cytochrome P450cam and used IR spectroscopy to
characterize the environments in substrate and/or ligand complexes reflecting
those in the catalytic cycle. Molecular dynamics (MD) simulations were performed
to provide a structural basis for spectral interpretation. Together the
experimental and simulation data suggest that the CN frequencies are sensitive to
both long-range influences, resulting from the particular location of a residue
within the enzyme, as well as short-range influences from hydrogen bonding and
packing interactions. The IR spectra demonstrate that the environments and
effects of substrate and/or ligand binding are different at each position probed
and also provide evidence that a single site can experience multiple
environments. This study illustrates how IR spectroscopy, when combined with the
spectral decongestion and spatial selectivity afforded by CNF incorporation,
provides detailed information about protein structural changes that underlie
function.
PMID- 27185329
TI - Design and synthesis of novel hydroxypyridinone derivatives as potential
tyrosinase inhibitors.
AB - Two groups of novel hydroxypyridinone derivatives 6(a-e) and 12(a-c), were
designed as potential tyrosinase inhibitors, and synthesized using kojic acid as
a starting material. The tyrosinase inhibitory activity of these two groups was
demonstrated to be potent, especially compounds 6e and 12a, whose IC50 values for
monophenolase activity were 1.95MUM and 2.79MUM, respectively. Both of these
values are lower than that of kojic acid (IC50=12.50MUM). Compounds 6e and 12a
were investigated for the inhibitory effect on diphenolase activity. The results
showed that the inhibitory mechanism of these two compounds was reversible and
that the inhibitory type was a competitive-uncompetitive mixed-type. The values
of IC50 of 6e and 12a on the diphenolase activity of tyrosinase were determined
to be 8.97MUM and 26.20MUM, respectively. The inhibitory constants (KI and KIS)
of 6e were determined as 17.17MUM and 22.09MUM, respectively; and the KI and KIS
values of 12a were 34.41MUM and 79.02MUM, respectively. Compound 6e showed a
greater ability to reduce copper and a stronger copper chelating ability than
kojic acid.
PMID- 27185332
TI - Influence of fixation with two vs. three screws on union of arthroscopic tibio
talar arthrodesis: Comparative radiographic study of 111 cases.
AB - BACKGROUND: Ankle arthrodesis is a treatment of choice for advanced tibio-talar
disease unresponsive to conservative treatment. Using arthroscopy to perform this
procedure minimises soft-tissue trauma while providing similar outcomes to those
of open surgery. Union rates have ranged across studies from 85% to 100%. The
objective of this study was to assess the potential influence on union of the
number of screws used for arthrodesis fixation. HYPOTHESIS: The working
hypothesis was that using three screws for arthrodesis produced a higher union
rate than did using only two screws. MATERIAL AND METHODS: This single-centre
retrospective comparative study included 111 cases of arthroscopic ankle
arthrodesis (in 108 patients) carried out between February 1994 and October 2012.
The number of screws was two in 75 cases and three in 36 cases. Union was
assessed on radiographs taken 2, 6, and 12months postoperatively. RESULTS: Mean
age at surgery was 55.8years. After 12months, union was achieved in 87.4% cases
overall. The non-union rate was 16% with two screws and 5.6% with three screws.
Three-screw fixation was associated with a significantly higher rate of union of
the medial gutter after 6months and of the lateral gutter after 12months.
DISCUSSION: Our findings support the use of three screws for fixation of
arthroscopic tibio-talar arthrodesis. Adding a third screw seems associated with
a lower risk of non-union and a shorter time to union. These effects can be
ascribed to greater stability of the construct. LEVEL OF EVIDENCE: Level IV,
retrospective study.
PMID- 27185330
TI - Discovery and optimization of a novel series of highly CNS penetrant M4 PAMs
based on a 5,6-dimethyl-4-(piperidin-1-yl)thieno[2,3-d]pyrimidine core.
AB - This Letter describes the chemical optimization of a novel series of M4 positive
allosteric modulators (PAMs) based on a 5,6-dimethyl-4-(piperidin-1-yl)thieno[2,3
d]pyrimidine core, identified from an MLPCN functional high-throughput screen.
The HTS hit was potent and selective, but not CNS penetrant. Potency was
maintained, while CNS penetration was improved (rat brain:plasma Kp=0.74), within
the original core after several rounds of optimization; however, the thieno[2,3
d]pyrimidine core was subject to extensive oxidative metabolism. Ultimately, we
identified a 6-fluoroquinazoline core replacement that afforded good M4 PAM
potency, muscarinic receptor subtype selectivity and CNS penetration (rat
brain:plasma Kp>10). Moreover, this campaign provided fundamentally distinct M4
PAM chemotypes, greatly expanding the available structural diversity for this
exciting CNS target.
PMID- 27185331
TI - Identification, library synthesis and anti-vibriosis activity of 2-benzyl-4
chlorophenol from cultures of the marine bacterium Shewanella halifaxensis.
AB - Summer Gut Syndrome (SGS) is caused by various Vibrio bacterial species and can
have negative effects on aquaculture farms worldwide. In New Zealand, SGS is
caused by Vibrio harveyii infecting King Salmon (Oncorhynchus tshawytscha). To
find leads for the prevention of SGS, we screened the inhibitory effects of 16
strains of Shewanella upon V. harveyii growth in competitive solid phase
cultures. The detailed investigation of Shewanella halifaxensis IRL548 revealed 2
benzyl-4-chlorophenol (1), a known, commercially available antibacterial agent,
as the major bioactive component. Synthesis of a small library of congeners to
confirm the natural product identity and to provide a structure-activity
relationship for the observed activity was also completed. Compound 1 exhibits
moderate activity against two pathogenic microorganisms.
PMID- 27185334
TI - Omic Relief for the Biotically Stressed: Metabolomics of Plant Biotic
Interactions.
AB - Many aspects of the way plants protect themselves against pathogen attack, or
react upon such an attack, are realized by metabolites. The ambitious aim of
metabolomics, namely the identification and annotation of the entire cellular
metabolome, still poses a considerable challenge due to the high diversity of the
metabolites in the cell. Recent advances in analytical methods and data analysis
have resulted in improved sensitivity, accuracy, and capacity, allowing the
analysis of several hundreds or even thousands of compounds within one sample.
Investigators have only recently begun to acknowledge and harness the power of
metabolomics to elucidate key questions in the study of plant biotic
interactions; we review trends and developments in the field.
PMID- 27185333
TI - GR gene polymorphism is associated with inter-subject variability in response to
glucocorticoids in patients with myasthenia gravis.
AB - BACKGROUND AND PURPOSE: Glucocorticoids (GCs) are the mainstay treatment of
myasthenia gravis (MG). However, wide inter-individual variability exists in the
response to GCs. METHODS: A Chinese cohort of 257 MG patients treated with GCs
was evaluated for the association between 19 single nucleotide polymorphisms in
the GR gene and clinical response to the initial 3 month GC therapy. A
quantitative MG score decreasing by >=3 units or becoming zero was defined as
sensitivity to GCs. RESULTS: The rs17209237* G allele was less frequent in the GC
insensitive group compared with the GC sensitive group [P = 0.013, odds ratio
(OR) 0.119]. The rs9324921* A allele was more frequent in the GC insensitive
group than in the GC sensitive group (P = 0.046, OR 1.94). Carriers of the
rs17209237 G allele were less frequent in the GC insensitive group than in the GC
sensitive group (dominant model, P = 0.009). Carriers of the rs9324921 A allele
were more frequent in the GC insensitive group than in the GC sensitive group
(dominant model, P = 0.037). Multivariate logistic regression revealed that the
rs17209237 G allele carrier (P = 0.037, OR 0.12) and disease duration before GC
treatment (P = 0.011, OR 3.45) were independent factors that contributed to GC
efficacy. CONCLUSION: rs17209237 in the GR gene was identified as an independent
factor that contributes to GC efficacy in MG patients. The genetic variations of
the GR gene may play a role in predicting response to GC treatment.
PMID- 27185335
TI - Structural characterization and bioactivity of proanthocyanidins from indigenous
cinnamon (Cinnamomum osmophloeum).
AB - BACKGROUND: Barks and twigs of common species of cinnamon with abundant
proanthocyanidins are used as a spice, fold medicine or supplement. Cinnamomum
osmophloeum is an endemic species in Taiwan and coumarin was not detected in the
oil of the C. osmophloeum twig. The present study aimed to evaluate the
relationship between the bioactivities and proanthocyanidins of C. osmophloeum
twig extracts (CoTE). The n-butanol soluble fraction from CoTE was divided into
10 subfractions (F1-F10) by Sephadex LH-20 gel chromatography. The
antihyperglycemic activities were examined by alpha-glucosidase, alpha-amylase
and protein tyrosine phosphatase 1B inhibitory assays. Total antioxidant
activities were examined by 2,2-diphenyl-1-picrylhydrazyl free radical scavenging
and ferrous ion-chelating assays. RESULTS: The results revealed that subfractions
F6-F10, with high proanthocyanidin contents, showed excellent antihyperglycemic
and antioxidant activities. Subfractions F6-F10 were analyzed further by matrix
assisted laser desorption/ionization-time of flight/mass spectrometry and
thiolysis-reversed-phase high-performance liquid chromatography/tandem mass
spectrometry methods. The results showed that the mean degrees of polymerization
of proanthocyanidins in subfractions F6-F10 ranged from 3.5 to 5.1, with the
highest degrees of polymerization of proanthocyanidins reaching 8 in subfractions
F8-F10. Two compounds in F6 were identified as cinnamtannin B1 and parameritannin
A1. These proanthocyanidins contained at least one A-type and major B-type
linkages. CONCLUSION: These results demonstrate that proanthocyanidins are
associated with their antihyperglycemic and antioxidant activities in CoTE. (c)
2016 Society of Chemical Industry.
PMID- 27185336
TI - pH and antioxidant measurements in rats with testicular torsion and their
correlation with viability.
PMID- 27185337
TI - Major penile injuries as a result of cautery during newborn circumcision.
AB - AIM: To report a grading system for complications of male circumcision, and to
represent our experience with salvage surgery for postcircumcision electro
cautery penile ablation injuries. PATIENTS AND METHODS: Circumcision injuries
presented to our department between January 2010 and January 2015 were collected
and graded into five categories according to the degree of penile injury, and the
possibility for surgical reversibility. RESULTS: Salvage surgery was carried out
on 5 cases of post circumcision total phallic loss (grade V injuries). One of the
cases had a postoperative meatal stenosis that responded to dilation under
general anesthesia. No skin graft problems were documented in the other cases.
The cosmetic outlook was greatly appreciated by the family and the operating team
at follow up of all cases. CONCLUSION: Grading the post circumcision penile
injuries is of paramount importance, and reconstructing the phallus using the
hidden corporal bodies is considered worthwhile.
PMID- 27185339
TI - The Spectrum of Mild to Severe Psoriasis Vulgaris Is Defined by a Common
Activation of IL-17 Pathway Genes, but with Key Differences in Immune Regulatory
Genes.
AB - Mild versus severe psoriasis is often distinguished by clinical measures such as
the extent of skin involvement or Psoriasis Area and Severity Index score, both
of which use arbitrary boundaries. It is widely assumed that severe psoriasis
involves higher levels of skin inflammation, but comparative molecular profiles
of mild versus severe disease have not been performed. In this study, we used
immunohistochemistry, reverse transcription PCR, and gene arrays to determine the
phenotype of North American patients with mild psoriasis (n = 34, mean PASI score
= 5.5) versus severe psoriasis (n = 23, mean PASI score = 23.2). Overall, skin
inflammation, defined as the sum of T-cell infiltration/activation and IL-17
mediated epidermal responses, was not higher in severe psoriasis lesions.
Surprisingly, mild psoriasis was characterized by higher numbers of T cells in
skin lesions, higher IL-17A expression, and stronger expression of the core
psoriasis transcriptome. In contrast, severe psoriasis was characterized by
stronger expression of some epidermal response genes (TGFA, CALM1, SMPD3, and
IL1RL2). However, a key molecular distinction was higher expression of negative
immune regulatory genes (CTLA4, CD69 and PD-L1) in mild lesions compared with
severe psoriasis lesions. These data have important implications for treating
psoriasis across the spectrum of disease, as well as for potential mechanisms
that allow psoriasis to progress to more extensive cutaneous disease.
PMID- 27185338
TI - Dibutyltin-induced alterations of interleukin 1beta secretion from human immune
cells.
AB - Dibutyltin (DBT) is used to stabilize polyvinyl chloride plastics (including
pipes that distribute drinking water) and as a de-worming agent in poultry. DBT
is found in human blood, and DBT exposures alter the secretion of tumor necrosis
factor alpha and interferon gamma from lymphocytes. Interleukin (IL)-1beta is a
proinflammatory cytokine that regulates cellular growth, tissue restoration and
immune response regulation. IL-1beta plays a role in increasing invasiveness of
certain tumors. This study reveals that exposures to DBT (24 h, 48 h and 6 days)
modify the secretion of IL-1beta from increasingly reconstituted preparations of
human immune cells (highly enriched human natural killer cells, monocyte-depleted
[MD] peripheral blood mononuclear cells [PBMCs], PBMCs, granulocytes and a
preparation combining both PBMCs and granulocytes). DBT altered IL-1beta
secretion from all cell preparations. Higher concentrations of DBT (5 and 2.5
MUm) decreased the secretion of IL-1beta, while lower concentrations of DBT (0.1
and 0.05 MUm) increased the secretion of IL-1beta. Selected signaling pathways
were examined in MD-PBMCs to determine if they play a role in DBT-induced
elevations of IL-1beta secretion. Pathways examined were IL-1beta converting
enzyme (caspase 1), mitogen-activated protein kinases and nuclear factor kappa B.
Caspase 1 and mitogen-activated protein kinase pathways appear to be utilized by
DBT in increasing IL-1beta secretion. These results indicate that DBT alters IL
1beta secretion from human immune cells in an ex. vivo system utilizing several
IL-1beta regulating signaling pathways. Thus, DBT may have the potential to alter
IL-1beta secretion in an in vivo system. Copyright (c) 2016 John Wiley & Sons,
Ltd.
PMID- 27185340
TI - UVA-Irradiation Induces Melanoma Invasion via the Enhanced Warburg Effect.
AB - Melanoma is a malignant tumor in which UVA (320-400 nm) radiation is considered
to be an important risk factor. But the role of UVA in melanoma progression
toward an invasive phenotype is still not adequately investigated. For most
proliferating tumor cells the preference of aerobic glycolysis has been described
as the Warburg effect. Here we investigate the effect of UVA irradiation on
changes in the Warburg effect and tumor progression toward invasive potential. On
UVA irradiation, melanoma cell lines from initial tumors show an induction of the
Warburg effect with increased glucose consumption and lactate production, which
is at least partially mediated by reactive oxygen species. Associated with UVA
treatment and enhanced lactic acid production, tumor-relevant proteases and in
situ invasion is upregulated. Simultaneously, UVA increases intracellular
concentrations of progression marker transketolase and activated protein kinase
Akt, both involved in metabolic changes that increase with proliferation. Using
invasion assays we show that lactic acid, resulting from the UVA enhanced and
partially reactive oxygen species-mediated Warburg effect, increases the invasive
potential of all melanoma cell lines investigated. Therefore, we demonstrate in
melanoma cells that production of lactic acid, induced by UVA irradiation,
increases invasiveness of melanoma cells via expression of tumor-relevant
proteases.
PMID- 27185341
TI - Analysis of the glutathione S-transferase genes polymorphisms in the risk and
prognosis of renal cell carcinomas. Case-control and meta-analysis.
AB - BACKGROUND: The Glutathione S-transferases (GSTs) genes deletion polymorphisms
have been associated with the progression of several cancers. The association
studies between the 2 GSTs (GSTM1 and GSTT1) null polymorphisms with the
susceptibility to renal cell carcinoma (RCC) have been inconclusive. Therefore,
with the inclusion of our own data, we performed a comprehensive meta-analysis to
assess the association between these 2 polymorphisms and the risk of RCC.
METHODS: A systematic literature search was carried out for studies published in
the PubMed, EMBASE, Cochrane library, and Google Scholar from 1997 to December
2014. Results were stated as pooled odds ratios (ORs) for nonparametric data
after heterogeneity analysis with 95% CI using fixed effect or random effect
model. RESULTS: We systematically selected 13 relevant studies after thorough
searches from the databases. Data showed no association between the GSTM1 and the
GSTT1 null genotypes and the risk of RCC (OR = 1.01; CI: 0.92-1.11; P = 0.89 for
GSTM1 and OR = 1.14; CI: 0.91-1.42; P = 0.25 for GSTT1). No association was found
when the data were stratified according to the geographical/ethnic basis, source
of control, and the risk factor evaluation. Subgroup analysis of occupational
exposure to pesticides showed an inverse association of the active genotypes of
both GSTM1 and GSTT1 polymorphisms with the exposed group of RCC (P<0.00001 and
P<0.00001, respectively). The combined null genotype of the GSTM1/GSTT1
significantly increased the susceptibility to RCC by 1.4-fold (P = 0.001). This
association remained significant for the Asian populations in subgroup analysis
(OR = 1.8; CI: 1.30-2.49; P = 0.0004). CONCLUSION: In conclusion, this meta
analysis suggests that the 2 GSTs deletion polymorphisms independently have no
association with the risk of RCC. However, combination of both deletions
increases the risk of developing the RCC.
PMID- 27185343
TI - Uniaxial ferroelectric quantum criticality in multiferroic hexaferrites BaFe12O19
and SrFe12O19.
AB - BaFe12O19 is a popular M-type hexaferrite with a Neel temperature of 720 K and is
of enormous commercial value ($3 billion/year). It is an incipient ferroelectric
with an expected ferroelectric phase transition extrapolated to lie at 6 K but
suppressed due to quantum fluctuations. The theory of quantum criticality for
such uniaxial ferroelectrics predicts that the temperature dependence of the
electric susceptibility chi diverges as 1/T(3), in contrast to the 1/T(2)
dependence found in pseudo-cubic materials such as SrTiO3 or KTaO3. In this paper
we present evidence of the susceptibility varying as 1/T(3), i.e. with a critical
exponent gamma = 3. In general gamma = (d + z - 2)/z, where the dynamical
exponent for a ferroelectric z = 1 and the dimension is increased by 1 from deff
= 3 + z to deff = 4 + z due to the effect of long-range dipole interactions in
uniaxial as opposed to multiaxial ferroelectrics. The electric susceptibility of
the incipient ferroelectric SrFe12O19, which is slightly further from the quantum
phase transition is also found to vary as 1/T(3).
PMID- 27185342
TI - Development and external multicenter validation of Chinese Prostate Cancer
Consortium prostate cancer risk calculator for initial prostate biopsy.
AB - OBJECTIVE: Substantial differences exist in the relationship of prostate cancer
(PCa) detection rate and prostate-specific antigen (PSA) level between Western
and Asian populations. Classic Western risk calculators, European Randomized
Study for Screening of Prostate Cancer Risk Calculator, and Prostate Cancer
Prevention Trial Risk Calculator, were shown to be not applicable in Asian
populations. We aimed to develop and validate a risk calculator for predicting
the probability of PCa and high-grade PCa (defined as Gleason Score sum 7 or
higher) at initial prostate biopsy in Chinese men. MATERIALS AND METHODS: Urology
outpatients who underwent initial prostate biopsy according to the inclusion
criteria were included. The multivariate logistic regression-based Chinese
Prostate Cancer Consortium Risk Calculator (CPCC-RC) was constructed with cases
from 2 hospitals in Shanghai. Discriminative ability, calibration and decision
curve analysis were externally validated in 3 CPCC member hospitals. RESULTS: Of
the 1,835 patients involved, PCa was identified in 338/924 (36.6%) and 294/911
(32.3%) men in the development and validation cohort, respectively. Multivariate
logistic regression analyses showed that 5 predictors (age, logPSA, logPV, free
PSA ratio, and digital rectal examination) were associated with PCa (Model 1) or
high-grade PCa (Model 2), respectively. The area under the curve of Model 1 and
Model 2 was 0.801 (95% CI: 0.771-0.831) and 0.826 (95% CI: 0.796-0.857),
respectively. Both models illustrated good calibration and substantial
improvement in decision curve analyses than any single predictors at all
threshold probabilities. Higher predicting accuracy, better calibration, and
greater clinical benefit were achieved by CPCC-RC, compared with European
Randomized Study for Screening of Prostate Cancer Risk Calculator and Prostate
Cancer Prevention Trial Risk Calculator in predicting PCa. CONCLUSIONS: CPCC-RC
performed well in discrimination and calibration and decision curve analysis in
external validation compared with Western risk calculators. CPCC-RC may aid in
decision-making of prostate biopsy in Chinese or in other Asian populations with
similar genetic and environmental backgrounds.
PMID- 27185344
TI - High-Resolution Vertical Observation of Intracellular Structure Using
Magnetically Responsive Microplates.
AB - A vertical confocal observation system capable of high-resolution observation of
intracellular structure is demonstrated. The system consists of magnet-active
microplates to rotate, incline, and translate single adherent cells in the
applied magnetic field. Appended to conventional confocal microscopes, this
system enables high-resolution cross-sectional imaging with single-molecule
sensitivity in single scanning.
PMID- 27185345
TI - Nucleation and growth of (10?11) semi-polar AlN on (0001) AlN by Hydride Vapor
Phase Epitaxy.
AB - Wurtzite AlN is widely used for deep ultraviolet optoelectronic devices (DUV),
which are generally grown along the [0001]-direction of the wurtzite structure on
currently available substrates. However, huge internal electrostatic fields are
presented within the material along [0001] axis induced by piezoelectric and
spontaneous polarization, which has limited the internal quantum efficiency of
AlN based DUV LEDs dramatically. The internal fields can be strongly reduced by
changing the epitaxial growth direction from the conventional polar c-direction
into less polar crystal directions. Twinned crystal is a crystal consisting of
two or more domains with the same crystal lattice and composition but different
crystal orientations. In other words, twins can be induced to change crystal
directions. In this work we demonstrated that the epitaxial growth of () semi
polar AlN on (0001) AlN by constructing () and () twin structures. This new
method is relative feasible than conventional methods and it has huge prospect to
develop high-quality semi-polar AlN.
PMID- 27185346
TI - Responses of soil buffering capacity to acid treatment in three typical
subtropical forests.
AB - Elevated anthropogenic acid deposition can significantly affect forest ecosystem
functioning by changing soil pH, nutrient balance, and chemical leaching and so
on. These effects generally differ among different forests, and the dominant
mechanisms for those observed responses often vary, depending on climate, soil
conditions and vegetation types. Using soil monoliths (0-40cm) from pine forest
(pioneer), coniferous and broadleaved mixed forest (transitional) and broadleaved
forest (mature) in southern China, we conducted a leaching experiment with acid
treatments at different pH levels (control: pH~4.5; pH=3.5; pH=2.5). We found
that pH3.5 treatment significantly reduced dissolved organic carbon (DOC)
concentrations in leachate from the pioneer forest soil. pH2.5 treatment
significantly increased concentrations of NO3(-), SO4(2-), Ca(2+), Mg(2+),
Al(3+), Fe(3+) and DOC in leachate from the pioneer forest soil, and also
concentrations of NO3(-), SO4(2-), Mg(2+), Al(3+), Fe(3+) and DOC in leachate
from the transitional forest soil. All acid treatments had no significant effects
on concentrations of these chemicals in leachate from the mature forest soil. The
responses can be explained by the changes in soil pH, acid neutralizing capacity
(ANC) and concentrations of Al and Fe. Our results showed that acid buffering
capacity of the pioneer or transitional forest soil was lower than that of the
mature forest soil. Therefore preserving mature forests in southern China is
important for reducing the adverse impacts of high acid deposition on stream
water quality at present and into the future.
PMID- 27185347
TI - Post fire organic matter biodegradation in permafrost soils: Case study after
experimental heating of mineral horizons.
AB - Periodical ground fires of high frequency in permafrost forest ecosystems of
Siberia (Russian Federation) are essential factors determining quantitative and
qualitative parameters of permafrost soil organic matter. Specific changes in
physical and chemical parameters and microbial activity of permafrost soil
mineral horizons of northern taiga larch stands were revealed after heating at
high temperatures (150-500 degrees C) used for imitation of different burn
intensities. Burning at 150-200 degrees C resulted in decreasing of soil pH,
whilst heating at 300-500 degrees C caused increase of pH compare to unheated
soils. Water-soluble organic carbon concentration in permafrost soils heated at
150-200 degrees C was much higher than that of unheated soils. All these changes
determined soil microbial activity in heated soils. In particular, in soils
heated at 300-500 degrees C there was momentary stimulating effect on substrate
induced respiration registered and on basal respiration values in soils burned at
150 degrees C and 300-400 degrees C. Four-month laboratory incubation of
permafrost soils heated at different temperatures showed stimulation of microbial
activity in first several days after inoculation due to high substrate
availability after heating. Then soon after that soil microbial community started
to be depleted on substrate because of decreasing water-soluble organic carbon, C
and N content and it continued to the end of incubation.
PMID- 27185349
TI - Decadal-scale export of nitrogen, phosphorus, and sediment from the Susquehanna
River basin, USA: Analysis and synthesis of temporal and spatial patterns.
AB - The export of nitrogen (N), phosphorus (P), and suspended sediment (SS) is a long
standing management concern for the Chesapeake Bay watershed, USA. Here we
present a comprehensive evaluation of nutrient and sediment loads over the last
three decades at multiple locations in the Susquehanna River basin (SRB),
Chesapeake's largest tributary watershed. Sediment and nutrient riverine
loadings, including both dissolved and particulate fractions, have generally
declined at all sites upstream of Conowingo Dam (non-tidal SRB outlet). Period-of
record declines in riverine yield are generally smaller than those in source
input, suggesting the possibility of legacy contributions. Consistent with other
watershed studies, these results reinforce the importance of considering lag time
between the implementation of management actions and achievement of river quality
improvement. Whereas flow-normalized loadings for particulate species have
increased recently below Conowingo Reservoir, those for upstream sites have
declined, thus substantiating conclusions from prior studies about decreased
reservoir trapping efficiency. In regard to streamflow effects, statistically
significant log-linear relationships between annual streamflow and annual
constituent load suggest the dominance of hydrological control on the inter
annual variability of constituent export. Concentration-discharge relationships
revealed general chemostasis and mobilization effects for dissolved and
particulate species, respectively, both suggesting transport-limitation
conditions. In addition to affecting annual export rates, streamflow has also
modulated the relative importance of dissolved and particulate fractions, as
reflected by its negative correlations with dissolved P/total P, dissolved
N/total N, particulate P/SS, and total N/total P ratios. For land-use effects,
period-of-record median annual yields of N, P, and SS all correlate positively
with the area fraction of non-forested land but negatively with that of forested
land under all hydrological conditions. Overall, this work has informed
understanding with respect to four major factors affecting constituent export
(i.e., source input, reservoir modulation, streamflow, and land use) and
demonstrated the value of long-term river monitoring.
PMID- 27185348
TI - Estimating the effects of land use at different scales on high ecological status
in Irish rivers.
AB - High ecological status at river sites is an indicator of minimal disturbance from
anthropogenic activities and the presence of ecologically important species and
communities. However, a lack of clarity on what factors cause sites to lose high
ecological status is limiting the ability to maintain the quality of these sites.
Examination of ecological status records at 508 high status river sites
throughout the Republic of Ireland revealed that 337 had fallen below high status
at some point between 2001 and 2012 due to changes in invertebrate communities. A
geographical information system was used to characterise land use and
environmental variables in the catchment, riparian and reach areas upstream of
the sites. The relationships between these variables at the three spatial scales
and whether or not river sites had maintained high ecological status were then
estimated by multiple logistic regression and propensity modelling. The results
indicated that grassland at either catchment or riparian scales had a greater
negative impact on high ecological status than at the reach scale. This effect
appeared to be strongest for upland, steeply sloping rivers that are subject to
high rainfall, possibly due to the presence of sensitive biota and/or a greater
potential for erosion. These results highlighted the need for better management
of grassland upstream of the high status sites, with a focus on river alterations
and critical source areas of nutrients, sediments and pesticides that are
hydrologically connected to the river. Sustainable management practices and land
use planning in those areas will need to be considered carefully if the aim of
maintaining high ecological status at river sites is to be achieved.
PMID- 27185350
TI - The copper spoil heap Knappenberg, Austria, as a model for metal habitats -
Vegetation, substrate and contamination.
AB - Historic mining in the Eastern Alps has left us with a legacy of numerous spoil
heaps hosting specific, metal tolerant vegetation. Such habitats are
characterized by elevated concentrations of toxic elements but also by high
irradiation, a poorly developed substrate or extreme pH of the soil. This study
investigates the distribution of vascular plants, mosses and lichens on a copper
spoil heap on the ore bearing Knappenberg formed by Prebichl Layers and Werfener
Schist in Lower Austria. It serves as a model for discriminating between various
ecological traits and their effects on vegetation. Five distinct clusters were
distinguished: (1) The bare, metal rich Central Spoil Heap was only colonised by
highly resistant specialists. (2) The Northern and (3) Southern Peripheries
contained less copper; the contrasting vegetation was best explained by the
different microclimate. (4) A forest over acidic bedrock hosted a vegetation
overlapping with the periphery of the spoil heap. (5) A forest over calcareous
bedrock was similar to the spoil heap with regard to pH and humus content but
hosted a vegetation differing strongly to all other habitats. Among the multiple
toxic elements at the spoil heap, only Cu seems to exert a crucial influence on
the vegetation pattern. Besides metal concentrations, irradiation, humidity,
humus, pH and grain size distribution are important for the establishment of a
metal tolerant vegetation. The difference between the species poor Northern and
the diverse Southern Periphery can be explained by the microclimate rather than
by the substrate. All plant species penetrating from the forest into the
periphery of the spoil heap originate from the acidic but not from the calcareous
bedrock.
PMID- 27185351
TI - ADAM12-deficient zebrafish exhibit retardation in body growth at the juvenile
stage without developmental defects.
AB - ADAM (a disintegrin and metalloprotease) constitutes a family of multi-domain
proteins that are involved in development, homeostasis, and disease. ADAM12 plays
important roles in myogenesis and adipogenesis in mice; however, the precise
physiological mechanisms are not known, and the function of this gene in other
vertebrates has not been examined. In this study, we used a simple model
vertebrate, the zebrafish, to investigate the functions of ADAM12 during
development. Zebrafish adam12 is conserved with those of mammals in the synteny
and the amino-acid sequence. We examined adam12 expression in zebrafish embryos
by whole mount in situ hybridization and the promoter activity of the adam12
upstream sequence. We found that adam12 is strongly expressed in the
cardiovascular system, erythroid progenitors, brain, and jaw cartilage during
zebrafish development, and adam12-knockout zebrafish exhibited reduced body size
in the juvenile stage without apparent morphological defects. Taken together,
these results suggest that adam12 plays a significant role in the regulation of
body growth during juvenile stage in zebrafish, although the precise molecular
mechanisms await further study.
PMID- 27185352
TI - Detection of one-dimensional migration of single self-interstitial atoms in
tungsten using high-voltage electron microscopy.
AB - The dynamic behaviour of atomic-size disarrangements of atoms-point defects (self
interstitial atoms (SIAs) and vacancies)-often governs the macroscopic properties
of crystalline materials. However, the dynamics of SIAs have not been fully
uncovered because of their rapid migration. Using a combination of high-voltage
transmission electron microscopy and exhaustive kinetic Monte Carlo simulations,
we determine the dynamics of the rapidly migrating SIAs from the formation
process of the nanoscale SIA clusters in tungsten as a typical body-centred cubic
(BCC) structure metal under the constant-rate production of both types of point
defects with high-energy electron irradiation, which must reflect the dynamics of
individual SIAs. We reveal that the migration dimension of SIAs is not three
dimensional (3D) but one-dimensional (1D). This result overturns the long
standing and well-accepted view of SIAs in BCC metals and supports recent results
obtained by ab-initio simulations. The SIA dynamics clarified here will be one of
the key factors to accurately predict the lifetimes of nuclear fission and fusion
materials.
PMID- 27185353
TI - Familial hypercholesterolaemia: beware of lipoprotein(a).
PMID- 27185355
TI - Antibiotic resistance profiles of coagulase-negative staphylococci in livestock
environments.
AB - Livestock-associated methicillin-resistant Staphylococcus aureus (LA-MRSA) have
globally emerged in animal husbandry. In addition to methicillin resistance, LA
MRSA may carry a variety of novel and uncommon antimicrobial resistance genes.
Occurrence of the same resistance genes in coagulase-negative staphylococci
(CoNS) and S. aureus suggests an ongoing genetic exchange between LA-MRSA and
other staphylococci whose driving forces in the ecological niche of the farm
environment are, however, still poorly understood. To assess the potential of
CoNS as putative reservoirs for antibiotic resistance genes, we analysed the
antimicrobial susceptibility of CoNS from dust and manure samples obtained in 41
pig farms in Germany, most of them (36 of 41) with a proven LA-MRSA/MSSA history.
Among the 344 isolates analysed, 18 different CoNS species were identified and S.
sciuri represented the most prevalent species (46%). High resistance rates were
detected for tetracycline (71%), penicillin (65%) and oxacillin (64%) as well as
fusidic acid (50%), which was mainly due to reduced susceptibility among S.
sciuri isolates. S. sciuri exhibited pronounced multiresistance, and many
isolates were characterised by the carriage of a number of uncommon
(multi)resistance genes (e.g. cfr, apmA, fexA) and decreased susceptibility
towards last resort antibiotics such as linezolid and daptomycin. The combined
data suggest that S. sciuri harbours a significant resistance gene pool that
requires further attention. We hypothesise that members of this species, due to
their flexible lifestyle, might contribute to the spread of such genes in
livestock environments.
PMID- 27185354
TI - High lipoprotein(a) as a possible cause of clinical familial
hypercholesterolaemia: a prospective cohort study.
AB - BACKGROUND: The reason why lipoprotein(a) concentrations are raised in
individuals with clinical familial hypercholesterolaemia is unclear. We tested
the hypotheses that high lipoprotein(a) cholesterol and LPA risk genotypes are a
possible cause of clinical familial hypercholesterolaemia, and that individuals
with both high lipoprotein(a) concentrations and clinical familial
hypercholesterolaemia have the highest risk of myocardial infarction. METHODS: We
did a prospective cohort study that included data from 46 200 individuals from
the Copenhagen General Population Study who had lipoprotein(a) measurements and
were genotyped for common familial hypercholesterolaemia mutations. Individuals
receiving cholesterol-lowering drugs had their concentrations of LDL and total
cholesterol multiplied by 1.43, corresponding to an estimated 30% reduction in
LDL cholesterol from the treatment. In lipoprotein(a) cholesterol-adjusted
analyses, total cholesterol and LDL cholesterol were adjusted for the
lipoprotein(a) cholesterol content by subtracting 30% of the individuals'
lipoprotein(a) total mass before total and LDL cholesterol were used for
diagnosis of clinical familial hypercholesterolaemia. We used modified Dutch
Lipid Clinic Network (DLCN), Simon Broome, and Make Early Diagnosis to Prevent
Early Death (MEDPED) criteria to clinically diagnose familial
hypercholesterolaemia. Cox proportional hazard regression calculated hazard
ratios (95% CI) of myocardial infarction. FINDINGS: Using unadjusted LDL
cholesterol, mean lipoprotein(a) concentrations were 23 mg/dL in individuals
unlikely to have familial hypercholesterolaemia, 32 mg/dL in those with possible
familial hypercholesterolaemia, and 35 mg/dL in those with probable or definite
familial hypercholesterolaemia (ptrend<0.0001). However, when adjusting LDL
cholesterol for lipoprotein(a) cholesterol content the corresponding values were
24 mg/dL for individuals unlikely to have familial hypercholesterolaemia, 22
mg/dL for those with possible familial hypercholesterolaemia, and 21 mg/dL for
those with probable or definite familial hypercholesterolaemia (ptrend=0.46).
High lipoprotein(a) cholesterol accounted for a quarter of all individuals
diagnosed with clinical familial hypercholesterolaemia and LPA risk genotypes
were more frequent in clinical familial hypercholesterolaemia, whereas
lipoprotein(a) concentrations were similar in those with and without familial
hypercholesterolaemia mutations. The hazard ratios (HRs) for myocardial
infarction compared with individuals unlikely to have familial
hypercholesterolaemia and lipoprotein(a) concentration of 50 mg/dL or less were
1.4 (95% CI 1.1-1.7) in those unlikely to have familial hypercholesterolaemia and
lipoprotein(a) concentrations of more than 50 mg/dL, 3.2 (2.5-4.1) in those with
possible, probable, or definite familial hypercholesterolaemia and lipoprotein(a)
concentration of 50 mg/dL or less, and 5.3 (3.6-7.6) in those with possible,
probable, or definite familial hypercholesterolaemia and lipoprotein(a)
concentration of more than 50 mg/dL. In analyses using Simon Broome or MEDPED
criteria, results were similar to those using DLCN criteria to diagnose clinical
familial hypercholesterolaemia. INTERPRETATION: High lipoprotein(a)
concentrations and corresponding LPA risk genotypes represent novel risk factors
for clinical familial hypercholesterolaemia. Our findings suggest that all
individuals with familial hypercholesterolaemia should have their lipoprotein(a)
measured in order to identify those with the highest concentrations, and as a
result, the highest risk of myocardial infarction. FUNDING: Danish Heart
Association and IMK General Fund, Denmark.
PMID- 27185356
TI - Luteolin protects the hippocampus against neuron impairments induced by kainic
acid in rats.
AB - Glutamatergic excitotoxicity is crucial in the pathogenesis of numerous brain
disorders. Luteolin, a flavonoid compound, inhibits glutamate release, however,
its ability to affect glutamate-induced brain injury is unknown. Therefore, this
study evaluated the protective effect of luteolin against brain damage induced by
kainic acid (KA), a glutamate analog. Rats were treated with luteolin (10 or
50mg/kg, intraperitoneally) 30min before an intraperitoneal injection of KA
(15mg/kg). Luteolin treatment reduced the KA-induced seizure score and elevations
of glutamate levels in the hippocampus. A histopathological analysis showed that
luteolin attenuated KA-induced neuronal death and microglial activation in the
hippocampus. An immunoblotting analysis showed that luteolin restored the KA
induced reduction in Akt phosphorylation in the hippocampus. Furthermore, a
Morris water maze test revealed that luteolin effectively prevented KA-induced
learning and memory impairments. The results suggest that luteolin protected rat
brains from KA-induced excitotoxic damage by reducing glutamate levels,
mitigating inflammation, and enhancing Akt activation in the hippocampus.
Therefore, luteolin may be beneficial for preventing or treating brain disorders
associated with excitotoxic neuronal damage.
PMID- 27185357
TI - LC3-associated phagocytosis: a crucial mechanism for antifungal host defence
against Aspergillus fumigatus.
AB - LC3-associated phagocytosis (LAP) is a non-canonical autophagy pathway involved
in the maturation of single-membrane phagosomes and subsequent killing of
ingested pathogens by phagocytes. This pathway is initiated following recognition
of pathogens by pattern recognition receptors and leads to the recruitment of LC3
into the phagosomal membrane. This form of phagocytosis is utilized for the
antifungal host defence and is required for an efficient fungal killing. Here, we
provide an overview of the LAP pathway and review the role of LAP in anti
Aspergillus host defence, as well as mechanisms induced by Aspergillus that
modulate LAP to promote its survival in the host.
PMID- 27185358
TI - Measuring spleen stiffness to predict varices in chronic hepatitis B cirrhotic
patients with or without receiving non-selective beta-blockers.
AB - OBJECTIVES: we aimed to investigate the accuracy of liver (LSM) spleen stiffness
measurement (SSM) with transient elastography (TE) to predict varices in the
presence of non-selective beta-blockers (NSBB). METHODS: In this cross-sectional
study of consecutive patients with chronic hepatitis B (CHB) and cirrhosis, all
patients underwent TE and upper endoscopic examinations. LSM and SSM in
predicting varices in patients receiving and not receiving NSBB were evaluated.
RESULTS: Altogether 144 CHB patients (29 receiving NSBB; 35 with any varices, 31
and 11 with esophageal and gastric varices, respectively) were recruited. Their
mean LSM and SSM were 13.3 +/- 9.0 kPa and 32.8 +/- 19.2 kPa, respectively. The
correlation between LSM and SSM was better in the NSBB subgroup (r = 0.525, P =
0.003) than its counterpart (r = 0.329, P < 0.001). The area under receiver
operating characteristic curve (AUROC) of LSM and SSM for any varices was 0.675
and 0.685 (P = 0.002 and 0.001), respectively. SSM of 18.9 kPa had a negative
predictive value of 92.1% and negative likelihood ratio of 0.27 for ruling out
any varices; and SSM of 54.9 kPa had a positive predictive value of 56.5% and a
positive likelihood ratio of 4.05 to rule in varices. The AUROC of LSM for
varices was 0.742 and 0.549 in patients with or without NSBB, respectively; the
corresponding AUROC of SSM was 0.572 and 0.603, respectively. CONCLUSIONS: SSM
only has modest accuracy to predict varices independent of NSBB use. An SSM
cutoff value of 18.9 kPa may be adopted to achieve a high negative predictive
value to rule out varices.
PMID- 27185359
TI - Cryoprecipitate indications and patterns of use in the pediatric intensive care
unit: inappropriate transfusions and lack of standardization.
AB - BACKGROUND: The dosage and indications for cryoprecipitate are not well studied
for any patient population. Prior observational studies have suggested that 24%
to 62% of cryoprecipitate transfusions are inappropriate, and there is limited
information on patterns of cryoprecipitate use in children. The purpose of this
retrospective study was to explore the indications and appropriateness of the use
of cryoprecipitate in critically ill children. STUDY DESIGN AND METHODS: We
retrospectively reviewed the electronic medical records for cryoprecipitate
ordering and utilization in the pediatric intensive care unit at a large tertiary
care center during a 4.5-year period. RESULTS: For the 44 patients receiving
cryoprecipitate, the only indication was for fibrinogen replacement and the most
common clinical scenarios were recent cardiac surgery (39%) and disseminated
intravascular coagulation in the setting of sepsis (32%). Cryoprecipitate was
often transfused empirically at higher-than-recommended doses without a known
pretransfusion fibrinogen level, and the majority (61%) of cryoprecipitate
transfusions were deemed inappropriate according to our institutional guidelines.
The indications selected for cryoprecipitate by providers during physician order
entry matched the clinical scenario, assessed by chart and laboratory data
review, in only 18% of patients. There was no significant difference in red blood
cell usage in the 6-hour windows before and after cryoprecipitate transfusion.
CONCLUSION: Our study demonstrates a lack of standardization for the use of
cryoprecipitate in critically ill children, including many inappropriate
transfusions at higher-than-recommended dosing. Prospective randomized clinical
trials are warranted to help determine appropriate indications and efficacious
cryoprecipitate dosing in the pediatric population.
PMID- 27185360
TI - Professor Lynn Myers 13th June 1954 - 21st August 2015.
PMID- 27185361
TI - Psychological Vulnerability and Subjective Happiness: The Mediating Role of
Hopelessness.
AB - The current study examined the mediating role of hopelessness on the relationship
between psychological vulnerability and subjective happiness. It was anticipated
that hopelessness may act as a mediator in the relationship between psychological
vulnerability and subjective happiness. Two hundred sixty-nine (150 women and 119
men) university students completed the Psychological Vulnerability Scale, the
Subjective Happiness Scale and the Beck Hopelessness Scale. Data have been
collected in the 2013-2014 academic year. The present study was designed as a
cross-sectional study. Correlational results indicated that psychological
vulnerability and hopelessness were significantly negatively associated with
subjective happiness. Results using structural equation modelling showed that
hopelessness fully mediated the relationship between psychological vulnerability
and subjective happiness. Implications for future research and limitations of the
present study are discussed. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27185362
TI - Determination of hemispheric language dominance using functional magnetic
resonance imaging and the Shiritori (Japanese word chain) task in patients with
epilepsy: Comparison with the Wada test.
AB - PURPOSE: The Wada test has been the gold standard for determining hemispheric
language dominance (HLD) in the presurgical evaluation of patients scheduled for
neurosurgical procedures. As it poses inherent risks associated with intra
arterial catheter techniques and as it occasionally fails to indicate language
dominance, an alternative reliable test is needed. We quantitatively assessed the
results of functional magnetic resonance imaging (fMRI) using the Shiritori task,
a Japanese word chain, to identify the threshold for correctly predicting HLD.
METHODS: The subjects were 28 patients with intractable epilepsy scheduled to
undergo the Wada test and focus resection. We set the region of interest (ROI) on
the bilateral Brodmann areas 44 and 45 (BA 44 and 45). To compare the functional
activity at both ROIs we calculated the language laterality index (LI) using the
formula: [VL-VR]/[VL+VR]*100, where VL and VR indicated the number of activated
voxels in the left and right ROIs, respectively. RESULTS: As 2 patients were
excluded due to the lack of activation in either ROI, the final study population
consisted of 26 patients. By the Wada test, HLD was left in 20, right in 3, and
equivocal in 3. At a cut-off of LI+50, the predictive sensitivity and specificity
for left HLD were 85% (17/20) and 100%; right HLD was predicted in a single
patient (sensitivity 33.3%, specificity 100%). CONCLUSION: The fMRI using the
Shiritori task showed good activation in ROI of BA 44 and 45. At a cut-off of
LI+50, LI of BA 44 and 45 predicted HLD identified by the Wada test with high
specificity.
PMID- 27185363
TI - Phosphate starvation induces DNA methylation in the vicinity of cis-acting
elements known to regulate the expression of phosphate-responsive genes.
AB - Phosphate (Pi) limitation is a constraint for plant growth in many natural and
agricultural ecosystems. Plants possess adaptive mechanisms that enable them to
cope with conditions of limited Pi supply, including a highly regulated genetic
program controlling the expression of genes involved in different metabolic,
signaling and development processes of plants. Recently, we showed that in
response to phosphate limitation Arabidopsis thaliana sets specific DNA
methylation patterns of genic features that often correlated with changes in gene
expression. Our findings included, dynamic methylation changes in response to
phosphate starvation and the observation that the expression of genes encoding
DNA methyltransferases appear to be directly controlled by the key regulator
PHOSPHATE RESPONSE 1 (PHR1). These results provide insight into how epigenetic
marks can influence plant genomes and transcriptional programs to respond and
adapt to harsh conditions. Here we present an analysis of DNA methylation in the
upstream regions of low Pi responsive genes in Arabidopsis seedlings exposed to
low Pi conditions. We found that hypo- and hyper-methylation in the vicinity of
cognate binding sites for transcription factors known to regulate the phosphate
starvation response clearly correlates with increased or decreased expression of
low-Pi responsive genes.
PMID- 27185364
TI - Coupled crystal orientation-size effects on the strength of nano crystals.
AB - We study the combined effects of grain size and texture on the strength of
nanocrystalline copper (Cu) and nickel (Ni) using a crystal-plasticity based
mechanics model. Within the model, slip occurs in discrete slip events
exclusively by individual dislocations emitted statistically from the grain
boundaries. We show that a Hall-Petch relationship emerges in both initially
texture and non-textured materials and our values are in agreement with
experimental measurements from numerous studies. We find that the Hall-Petch
slope increases with texture strength, indicating that preferred orientations
intensify the enhancements in strength that accompany grain size reductions.
These findings reveal that texture is too influential to be neglected when
analyzing and engineering grain size effects for increasing nanomaterial
strength.
PMID- 27185366
TI - Validating Machine Learning Algorithms for Twitter Data Against Established
Measures of Suicidality.
AB - BACKGROUND: One of the leading causes of death in the United States (US) is
suicide and new methods of assessment are needed to track its risk in real time.
OBJECTIVE: Our objective is to validate the use of machine learning algorithms
for Twitter data against empirically validated measures of suicidality in the US
population. METHODS: Using a machine learning algorithm, the Twitter feeds of 135
Mechanical Turk (MTurk) participants were compared with validated, self-report
measures of suicide risk. RESULTS: Our findings show that people who are at high
suicidal risk can be easily differentiated from those who are not by machine
learning algorithms, which accurately identify the clinically significant
suicidal rate in 92% of cases (sensitivity: 53%, specificity: 97%, positive
predictive value: 75%, negative predictive value: 93%). CONCLUSIONS: Machine
learning algorithms are efficient in differentiating people who are at a suicidal
risk from those who are not. Evidence for suicidality can be measured in
nonclinical populations using social media data.
PMID- 27185367
TI - Ultrastructural characterization of the pronephric glomerulus development in
zebrafish.
AB - The zebrafish pronephros is a valuable model for studying kidney development and
diseases. Ultrastructural studies have revealed that zebrafish and mammals share
similarities in nephron structures such as podocytes, slit diaphragms, glomerular
basement membrane, and endothelium. However, the basic ultrastructural features
of the pronephric glomerulus during glomerulogenesis have not been characterized.
To understand these features, it is instructive to consider the developmental
process of the pronephros glomerulus. Here, we describe the ultrastructural
features of pronephric glomerulus in detail from 24 h hours post-fertilization
(hpf) to 144 hpf, the period during which the pronephric glomerulus develops from
initiation to its mature morphology. The pronephric glomerulus underwent
progressive morphogenesis from 24 to 72 hpf, and presumptive glomerular cells
were observed ventral to the aorta region at 24 hpf. The nascent glomerular
basement membrane and initial lumen were formed at 36 hpf. A lumen was clearly
visible in the region of the pronephros at 48 hpf. At 60 hpf, the pronephric
glomerulus contained more patches of capillaries. After these transformations,
the complex capillary vessel networks had formed inside the glomerulus, which was
surrounded by podocyte bodies with elaborate foot processes as well as well
formed glomerular basement membrane by 72 hpf. The number of renal glomerular
cells rapidly increased, and the glomerulus presented its delicate structural
features by 96 hpf. Morphogenesis was completed at 120 hpf with the final
formation of the pronephric glomerulus. J. Morphol. 277:1104-1112, 2016. (c) 2016
Wiley Periodicals, Inc.
PMID- 27185368
TI - Rectal Cancer: Assessment of Neoadjuvant Chemoradiation Outcome based on
Radiomics of Multiparametric MRI.
AB - PURPOSE: To evaluate multiparametric MRI features in predicting pathologic
response after preoperative chemoradiation therapy (CRT) for locally advanced
rectal cancer (LARC). EXPERIMENTAL DESIGN: Forty-eight consecutive patients
(January 2012-November 2014) receiving neoadjuvant CRT were enrolled. All
underwent anatomical T1/T2, diffusion-weighted MRI (DWI) and dynamic contrast
enhanced (DCE) MRI before CRT. A total of 103 imaging features, analyzed using
both volume-averaged and voxelized methods, were extracted for each patient.
Univariate analyses were performed to evaluate the capability of each individual
parameter in predicting pathologic complete response (pCR) or good response (GR)
evaluated based on tumor regression grade. Artificial neural network with 4-fold
validation technique was further utilized to select the best predictor sets to
classify different response groups and the predictive performance was calculated
using receiver operating characteristic (ROC) curves. RESULTS: The conventional
volume-averaged analysis could provide an area under ROC curve (AUC) ranging from
0.54 to 0.73 in predicting pCR. While if the models were replaced by voxelized
heterogeneity analysis, the prediction accuracy measured by AUC could be improved
to 0.71-0.79. Similar results were found for GR prediction. In addition, each
subcategory images could generate moderate power in predicting the response,
which if combining all information together, the AUC could be further improved to
0.84 for pCR and 0.89 for GR prediction, respectively. CONCLUSIONS: Through a
systematic analysis of multiparametric MR imaging features, we are able to build
models with improved predictive value over conventional imaging metrics. The
results are encouraging, suggesting the wealth of imaging radiomics should be
further explored to help tailoring the treatment into the era of personalized
medicine. Clin Cancer Res; 22(21); 5256-64. (c)2016 AACR.
PMID- 27185369
TI - Noninvasive Detection of Metastases and Follicle Density in Ovarian Tissue Using
Full-Field Optical Coherence Tomography.
AB - PURPOSE: Autotransplantation of ovarian tissue can be used to restore fertility
in patients with cancer following gonadotoxic treatment. Whether this procedure
is safe remains unclear, as current tumor detection methods render the ovarian
tissue unsuitable for transplantation. Full-field optical coherence tomography
(FF-OCT) is an imaging modality that rapidly produces high-resolution histology
like images without the need to fix, freeze, or stain the tissue. In this proof
of-concept study, we investigated whether FF-OCT can be used to detect metastases
in ovarian tissue, thereby increasing the safety of ovarian tissue
autotransplantation. We also evaluated whether cortical ovarian tissue and
follicles remain viable following FF-OCT imaging. EXPERIMENTAL DESIGN: Formalin
fixed, paraffin-embedded tissue samples were obtained from seven normal ovaries
and fourteen ovaries containing metastases and/or micrometastases. These samples
were deparaffinized and imaged using FF-OCT. The FF-OCT images were then compared
with corresponding hematoxylin and eosin-stained tissue sections. Finally, we
examined the effect of FF-OCT imaging on the viability of ovarian tissues and
follicles in fresh bovine ovarian tissue using a glucose uptake and neutral red
staining, respectively. RESULTS: FF-OCT illustrated both normal structures and
metastases in ovarian tissue within minutes. Primordial follicles were readily
identifiable. Finally, tissues and follicles remained viable following FF-OCT
imaging for up to 180 and 60 minutes, respectively. CONCLUSIONS: FF-OCT imaging
is a promising method for the noninvasive detection of metastases, including
micrometastases, in ovarian tissue. Moreover, this method facilitates the
selection of cortical ovarian tissue with the highest density of primordial
follicles, potentially increasing the likelihood of restoring ovarian function
following ovarian tissue autotransplantation. Clin Cancer Res; 22(22); 5506-13.
(c)2016 AACR.
PMID- 27185365
TI - STAT3 signaling in immunity.
AB - The transcriptional regulator STAT3 has key roles in vertebrate development and
mature tissue function including control of inflammation and immunity. Mutations
in human STAT3 associate with diseases such as immunodeficiency, autoimmunity and
cancer. Strikingly, however, either hyperactivation or inactivation of STAT3
results in human disease, indicating tightly regulated STAT3 function is central
to health. Here, we attempt to summarize information on the numerous and distinct
biological actions of STAT3, and highlight recent discoveries, with a specific
focus on STAT3 function in the immune and hematopoietic systems. Our goal is to
spur investigation on mechanisms by which aberrant STAT3 function drives human
disease and novel approaches that might be used to modulate disease outcome.
PMID- 27185370
TI - Intratumor Heterogeneity Affects Gene Expression Profile Test Prognostic Risk
Stratification in Early Breast Cancer.
AB - PURPOSE: To examine the effect of intratumor heterogeneity (ITH) on detection of
genes within gene expression panels (GEPs) and the subsequent ability to predict
prognostic risk. EXPERIMENTAL DESIGN: Multiplexed barcoded RNA analysis was used
to measure the expression of 141 genes from five GEPs (Oncotype Dx, MammaPrint,
PAM50, EndoPredict, and Breast Cancer Index) in breast cancer tissue sections and
tumor-rich cores from 71 estrogen receptor (ER)-positive node-negative tumors, on
which clinical Oncotype Dx testing was previously performed. If the tumor had
foci of high Ki67 (n = 26), low/negative progesterone receptor (PR; n = 13), or
both (n = 5), additional cores were obtained. In total, 181 samples were
processed. Oncotype Dx recurrence scores were calculated from NanoString nCounter
gene expression data. RESULTS: Hierarchical clustering using all GEP genes showed
that majority (61 of 71) of tumor samples clustered by patient, indicating
greater interpatient heterogeneity (IPH) than ITH. We found a strikingly high
correlation between Oncotype Dx recurrence scores obtained from whole sections
versus tumor-rich cores (r = 0.94). However, high Ki67 and low PR cores had
slightly higher but not statistically significant recurrence scores. For 18 of 71
(25%) patients, scores were divergent between sections and cores and crossed the
boundaries for low, intermediate, and high risk. CONCLUSIONS: Our study indicates
that in patients with highly heterogeneous tumors, GEP recurrence scores from a
single core could under- or overestimate prognostic risk. Hence, it may be a
useful strategy to assess multiple samples (both representative and atypical
cores) to fully account for the ITH-driven variation in risk prediction. Clin
Cancer Res; 22(21); 5362-9. (c)2016 AACR.
PMID- 27185371
TI - Depletion of FOXM1 via MET Targeting Underlies Establishment of a DNA Damage
Induced Senescence Program in Gastric Cancer.
AB - PURPOSE: Deregulated signaling via the MET receptor tyrosine kinase is abundant
in gastric tumors, with up to 80% of cases displaying aberrant MET expression. A
growing body of evidence suggests MET as a potential target for tumor
radiosensitization. EXPERIMENTAL DESIGN: Cellular proliferation and DNA damage
induced senescence were studied in a panel of MET-overexpressing human gastric
cancer cell lines as well as in xenograft models after MET inhibition and/or
ionizing radiation. Pathways activation and protein expression were assessed by
immunoblotting and immunohistochemistry. Tumor tissue microarrays (91 gastric
cancer patients) were generated and copy number alteration (178 patients) and
gene expression (373 patients) data available at The Cancer Genome Atlas were
analyzed to assess the coalterations of MET and FOXM1. RESULTS: MET targeting
administered before ionizing radiation instigates DNA damage-induced senescence
(~80%, P < 0.001) rather than cell death. MET inhibition-associated senescence is
linked to the blockade of MAPK pathway, correlates with downregulation of FOXM1,
and can be abrogated (11.8% vs. 95.3%, P < 0.001) by ectopic expression of FOXM1
in the corresponding gastric tumor cells. Cells with ectopic FOXM1 expression
demonstrate considerable (~20%, P < 0.001) growth advantage despite MET
targeting, suggesting a novel clinically relevant resistance mechanism to MET
inhibition as the copresence of both MET and FOXM1 protein (33%) and mRNA (30%)
overexpression as well as gene amplification (24,7%) are common in patients with
gastric cancer. CONCLUSIONS: FOXM1, a negative regulator of senescence, has been
identified as a key downstream effector and potential clinical biomarker that
mediates MET signaling following infliction of DNA damage in gastric tumors. Clin
Cancer Res; 22(21); 5322-36. (c)2016 AACR.
PMID- 27185373
TI - Use of Liquid Biopsies in Clinical Oncology: Pilot Experience in 168 Patients.
AB - PURPOSE: There is a growing interest in using circulating tumor DNA (ctDNA)
testing in patients with cancer. EXPERIMENTAL DESIGN: A total of 168 patients
with diverse cancers were analyzed. Patients had digital next-generation
sequencing (54 cancer-related gene panel including amplifications in ERBB2, EGFR,
and MET) performed on their plasma. Type of genomic alterations, potential
actionability, concordance with tissue testing, and patient outcome were
examined. RESULTS: Fifty-eight percent of patients (98/168) had >=1 ctDNA
alteration(s). Of the 98 patients with alterations, 71.4% had >= 1 alteration
potentially actionable by an FDA-approved drug. The median time interval between
the tissue biopsy and the blood draw was 2.7 months for patients with >= 1
alteration in common compared with 14.4 months (P = 0.006) for the patients in
whom no common alterations were identified in the tissue and plasma. Overall
concordance rates for tissue and ctDNA were 70.3% for TP53 and EGFR, 88.1% for
PIK3CA, and 93.1% for ERBB2 alterations. There was a significant correlation
between the cases with >= 1 alteration with ctDNA >= 5% and shorter survival
(median = 4.03 months vs. not reached at median follow-up of 6.1 months; P <
0.001). Finally, 5 of the 12 evaluable patients (42%) matched to a treatment
targeting an alteration(s) detected in their ctDNA test achieved stable disease
>= 6 months/partial remission compared with 2 of 28 patients (7.1%) for the
unmatched patients, P = 0.02. CONCLUSIONS: Our initial study demonstrates that
ctDNA tests provide information complementary to that in tissue biopsies and may
be useful in determining prognosis and treatment. Clin Cancer Res; 22(22); 5497
505. (c)2016 AACR.
PMID- 27185372
TI - TransCONFIRM: Identification of a Genetic Signature of Response to Fulvestrant in
Advanced Hormone Receptor-Positive Breast Cancer.
AB - PURPOSE: Fulvestrant is an estrogen receptor (ER) antagonist and an approved
treatment for metastatic estrogen receptor-positive (ER+) breast cancer. With the
exception of ER levels, there are no established predictive biomarkers of
response to single-agent fulvestrant. We attempted to identify a gene signature
of response to fulvestrant in advanced breast cancer. EXPERIMENTAL DESIGN:
Primary tumor samples from 134 patients enrolled in the phase III CONFIRM study
of patients with metastatic ER+ breast cancer comparing treatment with either 250
mg or 500 mg fulvestrant were collected for genome-wide transcriptomic analysis.
Gene expression profiling was performed using Affymetrix microarrays. An
exploratory analysis was performed to identify biologic pathways and new
signatures associated with response to fulvestrant. RESULTS: Pathway analysis
demonstrated that increased EGF pathway and FOXA1 transcriptional signaling is
associated with decreased response to fulvestrant. Using a multivariate Cox
model, we identified a novel set of 37 genes with an expression that is
independently associated with progression-free survival (PFS). TFAP2C, a known
regulator of ER activity, was ranked second in this gene set, and high expression
was associated with a decreased response to fulvestrant. The negative predictive
value of TFAP2C expression at the protein level was confirmed by IHC.
CONCLUSIONS: We identified biologic pathways and a novel gene signature in
primary ER+ breast cancers that predicts for response to treatment in the CONFIRM
study. These results suggest potential new therapeutic targets and warrant
further validation as predictive biomarkers of fulvestrant treatment in
metastatic breast cancer. Clin Cancer Res; 22(23); 5755-64. (c)2016 AACR.
PMID- 27185374
TI - ACRIN 6684: Assessment of Tumor Hypoxia in Newly Diagnosed Glioblastoma Using 18F
FMISO PET and MRI.
AB - PURPOSE: Structural and functional alterations in tumor vasculature are thought
to contribute to tumor hypoxia which is a primary driver of malignancy through
its negative impact on the efficacy of radiation, immune surveillance, apoptosis,
genomic stability, and accelerated angiogenesis. We performed a prospective,
multicenter study to test the hypothesis that abnormal tumor vasculature and
hypoxia, as measured with MRI and PET, will negatively impact survival in
patients with newly diagnosed glioblastoma. EXPERIMENTAL DESIGN: Prior to the
start of chemoradiation, patients with glioblastoma underwent MRI scans that
included dynamic contrast enhanced and dynamic susceptibility contrast perfusion
sequences to quantitate tumor cerebral blood volume/flow (CBV/CBF) and vascular
permeability (ktrans) as well as 18F-Fluoromisonidazole (18F-FMISO) PET to
quantitate tumor hypoxia. ROC analysis and Cox regression models were used to
determine the association of imaging variables with progression-free and overall
survival. RESULTS: Fifty patients were enrolled of which 42 had evaluable imaging
data. Higher pretreatment 18F-FMISO SUVpeak (P = 0.048), mean ktrans (P = 0.024),
and median ktrans (P = 0.045) were significantly associated with shorter overall
survival. Higher pretreatment median ktrans (P = 0.021), normalized RCBV (P =
0.0096), and nCBF (P = 0.038) were significantly associated with shorter
progression-free survival. SUVpeak [AUC = 0.75; 95% confidence interval (CI),
0.59-0.91], nRCBV (AUC = 0.72; 95% CI, 0.56-0.89), and nCBF (AUC = 0.72; 95% CI,
0.56-0.89) were predictive of survival at 1 year. CONCLUSIONS: Increased tumor
perfusion, vascular volume, vascular permeability, and hypoxia are negative
prognostic markers in newly diagnosed patients with gioblastoma, and these
important physiologic markers can be measured safely and reliably using MRI and
18F-FMISO PET. Clin Cancer Res; 22(20); 5079-86. (c)2016 AACR.
PMID- 27185378
TI - Estimation of design space for an extrusion-spheronization process using response
surface methodology and artificial neural network modelling.
AB - The application of the Quality by Design principles is one of the key issues of
the recent pharmaceutical developments. In the past decade a lot of knowledge was
collected about the practical realization of the concept, but there are still a
lot of unanswered questions. The key requirement of the concept is the
mathematical description of the effect of the critical factors and their
interactions on the critical quality attributes (CQAs) of the product. The
process design space (PDS) is usually determined by the use of design of
experiment (DoE) based response surface methodologies (RSM), but inaccuracies in
the applied polynomial models often resulted in the over/underestimation of the
real trends and changes making the calculations uncertain, especially in the edge
regions of the PDS. The completion of RSM with artificial neural network (ANN)
based models is therefore a commonly used method to reduce the uncertainties.
Nevertheless, since the different researches are focusing on the use of a given
DoE, there is lack of comparative studies on different experimental layouts.
Therefore, the aim of present study was to investigate the effect of the
different DoE layouts (2 level full factorial, Central Composite, Box-Behnken, 3
level fractional and 3 level full factorial design) on the model predictability
and to compare model sensitivities according to the organization of the
experimental data set. It was revealed that the size of the design space could
differ more than 40% calculated with different polynomial models, which was
associated with a considerable shift in its position when higher level layouts
were applied. The shift was more considerable when the calculation was based on
RSM. The model predictability was also better with ANN based models.
Nevertheless, both modelling methods exhibit considerable sensitivity to the
organization of the experimental data set, and the use of design layouts is
recommended, where the extreme values factors are more represented.
PMID- 27185377
TI - COBLL1, LPL and ZAP70 expression defines prognostic subgroups of chronic
lymphocytic leukemia patients with high accuracy and correlates with IGHV
mutational status.
AB - The clinical course of chronic lymphocytic leukemia (CLL) is highly variable.
Patients with unmutated IGHV (U-CLL) usually progress rapidly, whereas patients
with mutated IGHV (M-CLL) have a more indolent disease. The expression of several
genes correlates closely with the IGHV mutational status and could be used to
assess prognosis in CLL. We analyzed the prognostic relevance of COBLL1, LPL, and
ZAP70 gene expression, which correlated with IGHV mutational status (p < 0.0001),
in 117 CLL patients and established a prognostic parameter dividing the tested
cohort according to the disease aggressiveness. Our prognostic parameter was
validated on an independent cohort of 161 CLL patients and achieved a high
accuracy (94%). Patients divided according to the prognostic parameter differ in
overall survival and time to first treatment (p < 0.0001, HR = 2.300/5.970, 95%
CI: 1.587-3.450/4.621-15.86). Our approach provides a reliable alternative method
to prognosis assessment via IGHV mutational status analysis.
PMID- 27185376
TI - Effects of human serum and apo-Transferrin on Staphylococcus epidermidis RP62A
biofilm formation.
AB - Biofilm-associated Staphylococcus epidermidis infections present clinically
important features due to their high levels of resistance to traditional
antibiotics. As a part of human innate immune system, serum shows different
degrees of protection against systemic S. epidermidis infection. We investigated
the ability of human serum as well as serum component to inhibit the formation
of, and eradication of mature S. epidermidis biofilms. In addition, the
synergistic effect of vancomycin combined with apo-Transferrin was checked. Human
serum exhibited significant antibiofilm activities against S. epidermidis at the
concentration without affecting planktonic cell growth. However, there was no
effect of human serum on established biofilms. By component separation, we
observed that antibiofilm effect of serum components mainly due to the proteins
could be damaged by heat inactivation (e.g., complement) or heat-stable proteins
>=100 kDa. In addition, serum apo-Transferrin showed modest antibiofilm effect,
but without influence on S. epidermidis initial adhesion. And there was a
synergistic antibiofilm interaction between vancomycin and apo-Transferrin
against S. epidermidis. Our results indicate that serum or its components (heat
inactivated components or heat-stable proteins >=100 kDa) could inhibits S.
epidermidis biofilm formation. Besides, apo-Transferrin could partially reduce
the biofilm formation at the concentration that does not inhibit planktonic cell
growth.
PMID- 27185375
TI - Baseline Biomarkers for Outcome of Melanoma Patients Treated with Pembrolizumab.
AB - PURPOSE: Biomarkers for outcome after immune-checkpoint blockade are strongly
needed as these may influence individual treatment selection or sequence. We
aimed to identify baseline factors associated with overall survival (OS) after
pembrolizumab treatment in melanoma patients. EXPERIMENTAL DESIGN: Serum lactate
dehydrogenase (LDH), routine blood count parameters, and clinical characteristics
were investigated in 616 patients. Endpoints were OS and best overall response
following pembrolizumab treatment. Kaplan-Meier analysis and Cox regression were
applied for survival analysis. RESULTS: Relative eosinophil count (REC) >=1.5%,
relative lymphocyte count (RLC) >=17.5%, <=2.5-fold elevation of LDH, and the
absence of metastasis other than soft-tissue/lung were associated with favorable
OS in the discovery (n = 177) and the confirmation (n = 182) cohort and had
independent positive impact (all P < 0.001). Their independent role was
subsequently confirmed in the validation cohort (n = 257; all P < 0.01). The
number of favorable factors was strongly associated with prognosis. One-year OS
probabilities of 83.9% versus 14.7% and response rates of 58.3% versus 3.3% were
observed in patients with four of four compared to those with none of four
favorable baseline factors present, respectively. CONCLUSIONS: High REC and RLC,
low LDH, and absence of metastasis other than soft-tissue/lung are independent
baseline characteristics associated with favorable OS of patients with melanoma
treated with pembrolizumab. Presence of four favorable factors in combination
identifies a subgroup with excellent prognosis. In contrast, patients with no
favorable factors present have a poor prognosis, despite pembrolizumab, and
additional treatment advances are still needed. A potential predictive impact
needs to be further investigated. Clin Cancer Res; 22(22); 5487-96. (c)2016 AACR.
PMID- 27185379
TI - Thermosensitive hydrogel system assembled by PTX-loaded copolymer nanoparticles
for sustained intraperitoneal chemotherapy of peritoneal carcinomatosis.
AB - Intraperitoneal (IP) chemotherapy is a preferable treatment option for peritoneal
carcinomatosis of malignancies by delivering chemotherapeutic drugs into the
abdominal cavity. A persistent major challenge in IP chemotherapy is the need to
provide effective drug concentration in the peritoneal cavity for an extended
period of time. In the present work, the thermosensitive hydrogel system
(PTX/PECT(gel)) assembled by PTX (paclitaxel)-loaded amphiphilic copolymer (PECT,
poly (epsilon-caprolactone-co-1,4,8-trioxa [4.6]spiro-9-undecanone)-poly(ethylene
glycol)-poly (epsilon-caprolactone-co-1,4,8-trioxa [4.6]spiro-9-undecanone))
nanoparticles was developed for sustained IP chemotherapy of peritoneal
carcinomatosis model. Cytotoxicity assay indicated that PECT hydrogel was
biocompatible with very low cytotoxicity and PTX/PECT(gel) had enhanced
cytotoxicity than free PTX. In vivo toxicity study demonstrated the
biocompatibility and biosafety of PECT hydrogel as an IP chemotherapy carrier.
The fluorescence imaging method was employed to monitor the intraperitoneal
degradation of PECT hydrogel by labeling PECT with rhodamine B. PECT hydrogel
with the dose of 200MUL showed about 8days' retention time and most of the
injected hydrogel was located in the intestine. The anti-tumor efficacy study was
carried out in mice bearing CT26 intraperitoneal ascites fluid as colorectal
peritoneal carcinomatosis model. The result showed that intraperitoneal
administration of PTX/PECT(gel) could effectively suppress growth and metastasis
of CT26 peritoneal carcinomatosis in vivo, compared with Taxol(r) group. The
pharmacokinetic studies demonstrated that PTX/PECT(gel) could improve the
bioavailability of PTX by being formulated in PECT hydrogel. Overall, sustained
drug concentration at peritoneal levels in combination with drug in the form of
nanoparticle contributes to the enhanced anti-tumor efficacy. Thus, our results
suggested that PTX/PECT(gel) may have great potential applications in IP
chemotherapy.
PMID- 27185380
TI - Calreticulin mutation does not contribute to disease progression in essential
thrombocythemia by inhibiting phagocytosis.
AB - Somatic mutations of calreticulin (CALR) have been observed in many cases of
essential thrombocythemia (ET) or primary myelofibrosis that harbor non-mutated
Janus kinase 2 (JAK2). CALR mainly localizes within the endoplasmic reticulum
lumen, but a small fraction of the total CALR pool is distributed over the cell
surface. Cell surface CALR is known to transduce prophagocytic "eat me" signals
to macrophages and acts as one of the important regulators for macrophage
engulfment. In this study, we attempted to clarify whether mutant CALR may affect
the threshold for macrophage engulfment and play an integral role in the
pathogenesis of CALR-mutated ET. First, we compared the surface expression levels
of CALR on hematopoietic stem and progenitor cells (HSPCs) and mature blood cells
in patients with myeloproliferative neoplasms and found that the surface
expression of mutant CALR did not change. Next, we compared the threshold for
macrophage phagocytosis of each HSPC fraction and mature blood cells and found no
significant change in the efficiency of macrophage engulfment. Our data suggest
that CALR mutation does not affect sensitivity to phagocytosis by macrophages.
Finally, we analyzed the phosphorylation statuses of molecules downstream of JAK2
at each HSPC level in patients with ET and found that CALR mutations activated
the JAK-STAT pathway in a manner similar to that associated with JAK2 mutations.
These results indicate that mutant CALR causes myeloproliferation because of the
activation of JAK-STAT pathway and not by the inhibition of phagocytosis, which
is similar to the myeloproliferation caused by JAK2 V617F mutation.
PMID- 27185381
TI - Hematopoietic stem cell-specific GFP-expressing transgenic mice generated by
genetic excision of a pan-hematopoietic reporter gene.
AB - Selective labeling of specific cell types by expression of green fluorescent
protein (GFP) within the hematopoietic system would have great utility in
identifying, localizing, and tracking different cell populations in flow
cytometry, microscopy, lineage tracing, and transplantation assays. In this
report, we describe the generation and characterization of a new transgenic mouse
line with specific GFP labeling of all nucleated hematopoietic cells and
platelets. This new "Vav-GFP" mouse line labels the vast majority of
hematopoietic cells with GFP during both embryonic development and adulthood,
with particularly high expression in hematopoietic stem and progenitor cells
(HSPCs). With the exception of transient labeling of fetal endothelial cells, GFP
expression is highly selective for hematopoietic cells and persists in donor
derived progeny after transplantation of HSPCs. Finally, we also demonstrate that
the loxP-flanked reporter allows for specific GFP labeling of different
hematopoietic cell subsets when crossed to various Cre reporter lines. By
crossing Vav-GFP mice to Flk2-Cre mice, we obtained robust and highly selective
GFP expression in hematopoietic stem cells (HSCs). These data describe a new
mouse model capable of directing GFP labeling exclusively of hematopoietic cells
or exclusively of HSCs.
PMID- 27185382
TI - Ni (II) adsorption onto Chrysanthemum indicum: Influencing factors, isotherms,
kinetics, and thermodynamics.
AB - The study explores the adsorption potential of Chrysanthemum indicum biomass for
nickel ion removal from aqueous solution. C. indicum flowers in raw (CIF-I) and
biochar (CIF-II) forms were used as adsorbents in this study. Batch experiments
were conducted to ascertain the optimum conditions of solution pH, adsorbent
dosage, contact time, and temperature for varying initial Ni(II) ion
concentrations. Surface area, surface morphology, and functionality of the
adsorbents were characterized by Brunauer, Emmett, and Teller (BET) surface
analysis, scanning electron microscopy (SEM), energy-dispersive X-ray
spectroscopy (EDX), and Fourier transform infrared spectroscopy (FTIR).
Adsorption kinetics were modeled using pseudo-first order, pseudo-second order,
Elovich, intraparticle diffusion, Bangham's, and Boyd's plot. The equilibrium
data were modeled using Langmuir, Freundlich, Temkin, and Dubinin-Radushkevich (D
R) isotherm models. Experimental data provided the best fit to pseudo-second
order kinetic model and Langmuir isotherm model for the adsorption of Ni(II) ion
on both CIF-I and CIF-II with maximum adsorption capacities of 23.97 and 44.02 mg
g(-1), respectively. Thermodynamic analysis of the data proved the process to be
spontaneous and endothermic in nature. Desorption studies were conducted to
evaluate the possibility of reusing the adsorbents. Findings of the present study
provide substantial evidence for the use of C. indicum flower as an eco-friendly
and potential adsorbent for the removal of Ni(II) ions from aqueous solution.
PMID- 27185384
TI - A Palladium-Binding Deltarhodopsin for Light-Activated Conversion of Protonic to
Electronic Currents.
AB - Fusion of a palladium-binding peptide to an archaeal rhodopsin promotes intimate
integration of the lipid-embedded membrane protein with a palladium hydride
protonic contact. Devices fabricated with the palladium-binding deltarhodopsin
enable light-activated conversion of protonic currents to electronic currents
with on/off responses complete in seconds and a nearly tenfold increase in
electrical signal relative to those made with the wild-type protein.
PMID- 27185385
TI - Feasibility demonstration of a massively parallelizable optical near-field sensor
for sub-wavelength defect detection and imaging.
AB - To detect and resolve sub-wavelength features at optical frequencies, beyond the
diffraction limit, requires sensors that interact with the electromagnetic near
field of those features. Most instruments operating in this modality scan a
single detector element across the surface under inspection because the scattered
signals from a multiplicity of such elements would end up interfering with each
other. However, an alternative massively parallelized configuration, capable of
interrogating multiple adjacent areas of the surface at the same time, was
proposed in 2002. Full physics simulations of the photonic antenna detector
element that enables this instrument, show that using conventional red laser
light (in the 600 nm range) the detector magnifies the signal from an 8 nm
particle by up to 1.5 orders of magnitude. The antenna is a shaped slot element
in a 60 nm silver film. The ability of this detector element to resolve lambda/78
objects is confirmed experimentally at radio frequencies by fabricating an
artificial material structure that mimics the optical permittivity of silver
scaled to 2 GHz, and "cutting" into it the slot antenna. The experimental set-up
is also used to demonstrate the imaging of a patterned surface in which the
critical dimensions of the pattern are lambda/22 in size.
PMID- 27185383
TI - Bioinformatics for the synthetic biology of natural products: integrating across
the Design-Build-Test cycle.
AB - Covering: 2000 to 2016Progress in synthetic biology is enabled by powerful
bioinformatics tools allowing the integration of the design, build and test
stages of the biological engineering cycle. In this review we illustrate how this
integration can be achieved, with a particular focus on natural products
discovery and production. Bioinformatics tools for the DESIGN and BUILD stages
include tools for the selection, synthesis, assembly and optimization of parts
(enzymes and regulatory elements), devices (pathways) and systems (chassis). TEST
tools include those for screening, identification and quantification of
metabolites for rapid prototyping. The main advantages and limitations of these
tools as well as their interoperability capabilities are highlighted.
PMID- 27185386
TI - Morphological and quantitative evaluation of emphysema in chronic obstructive
pulmonary disease patients: A comparative study of MRI with CT.
AB - PURPOSE: To further validate the ability of ultrashort echo-time (UTE) magnetic
resonance imaging (MRI) in quantifying lung density in patients diagnosed with
chronic obstructive pulmonary disease (COPD) and to develop an MRI-based
emphysema index (EI). MATERIALS AND METHODS: Ten subjects clinically diagnosed
with COPD (5M/5F, age 62.6 +/- 8.5 years) and ten healthy subjects (2M/8F, age
48.9 +/- 19.2 years) were imaged via UTE MRI at 3T (4 mm slices, 1.39 * 1.39 mm2
pixels). Chest computed tomography (CT) images (generally 5 mm slices, ~0.55 *
0.55 mm2 pixels), acquired retrospectively, were compared to UTE MRI. CT lung
densities, MR lung-signal density, and EI were quantified from both CT and UTE MR
images via a quantitative automated analysis and compared to the percent
predicted forced expiratory volume in 1 second (FEV1 % predicted). RESULTS: EI
quantified in controls via CT and UTE MRI was 0.23 +/- 0.78% and 2.40 +/- 1.50%,
respectively; in COPD subjects it was 13.3 +/- 14.9% (P = 0.021) and 12.0 +/-
9.8% (P = 0.013), respectively. Bland-Altman determined the mean differences and
95% limits of agreement for COPD subjects and healthy controls were 0.06 (12.50
to -12.38). Strong correlation (R2 = 0.79, P < 0.0001) existed between EIs
quantified from both CT and UTE MRI. There was a slightly higher correlation
between FEV1 % predicted and the UTE MRI EI (R2 = 0.65, P < 0.0001) compared to
CT EI (R2 = 0.49, P < 0.0001). CONCLUSION: Our results demonstrate a significant
positive correlation between lung density and EI assessed with CT and MRI.
Furthermore, UTE MRI exhibits its potential as a diagnostic alternative to CT for
assessing the extent and the severity of emphysema, particularly for longitudinal
studies. J. Magn. Reson. Imaging 2016;44:1656-1663.
PMID- 27185388
TI - The role of alkali metal cations in the stabilization of guanine quadruplexes:
why K(+) is the best.
AB - The alkali metal ion affinity of guanine quadruplexes has been studied using
dispersion-corrected density functional theory (DFT-D). We have done
computational investigations in aqueous solution that mimics artificial
supramolecular conditions where guanine bases assemble into stacked quartets as
well as biological environments in which telomeric quadruplexes are formed. In
both cases, an alkali metal cation is needed to assist self-assembly. Our quantum
chemical computations on these supramolecular systems are able to reproduce the
experimental order of affinity of the guanine quadruplexes for the cations Li(+),
Na(+), K(+), Rb(+), and Cs(+). The strongest binding is computed between the
potassium cation and the quadruplex as it occurs in nature. The desolvation and
the size of alkali metal cations are thought to be responsible for the order of
affinity. Until now, the relative importance of these two factors has remained
unclear and debated. By assessing the quantum chemical 'size' of the cation,
determining the amount of deformation of the quadruplex needed to accommodate the
cation and through the energy decomposition analysis (EDA) of the interaction
energy between the cation and the guanines, we reveal that the desolvation and
size of the alkali metal cation are both almost equally responsible for the order
of affinity.
PMID- 27185387
TI - Examination of an intervention to enhance relationship satisfaction after brain
injury: A feasibility study.
AB - BACKGROUND: Brain injury (BI) can adversely affect marriage satisfaction, which
can impact rehabilitation outcomes. The objectives of this study were to (1)
examine the feasibility of providing a group intervention to individuals with BI
and (2) examine if improvements occur in relationship satisfaction and
communication following participation. METHODS: Nine individuals with BI and
their partner participated in a 16-week group intervention targeting relationship
skills training. Feedback and satisfaction questionnaires were created to assess
feasibility and a pre- and post-test design was used to measure relationship
satisfaction and communication. RESULTS: Couples reported high satisfaction with
the intervention and workbook and would recommend this intervention to other
couples who experience BI. The majority reported satisfaction with the length of
treatment, although some felt it was too long. Three couples dropped from the
study due to severe cognitive deficits or relationship distress. Couples reported
significantly improved satisfaction and quality of relationship and reduced
negative communication following the intervention. CONCLUSIONS: This intervention
may incorporate an appropriate framework for helping couples enhance relationship
satisfaction after BI. Given the feasibility and potential for benefit, the
hypothesized efficacy of the intervention deserves testing through rigorous
research methods, as focusing on improving relationships after a BI could
potentially optimize rehabilitation outcomes. ABBREVIATIONS: TBI, Traumatic Brain
Injury; BI, Brain Injury; CBT, Cognitive-Behavioural Therapy; DBT, Dialectical
Behavioural Therapy.
PMID- 27185389
TI - Are bicortical screw and plate osteosynthesis techniques equal in providing
skeletal stability with the bilateral sagittal split osteotomy when used for
mandibular advancement surgery? A systematic review and meta-analysis.
AB - The objective of this study was to perform a systematic review and meta-analysis
to test the null hypothesis that there is no difference in postoperative skeletal
stability between bicortical screw and monocortical plate fixation after
mandibular advancement surgery with bilateral sagittal split ramus osteotomy
(BSSO). A comprehensive search of major databases (PubMed, EMBASE, and Cochrane
CENTRAL) was conducted to locate all relevant articles published from inception
to October 2015. Studies were selected based on inclusion criteria; randomized
controlled trials, controlled clinical trials, and retrospective studies
comparing bicortical screw vs. monocortical plate fixation after BSSO, reported
in peer-reviewed publications in the English language, were considered eligible.
Changes in linear measurements (horizontal and vertical) were analyzed. Five
relevant studies were identified, involving 203 patients (bicortical screw n=98,
monocortical plate n=105). No significant difference was found between
monocortical plate and bicortical screw fixation in horizontal (P=0.099) or
vertical measurement (P=0.882). Based on this review, there is overall agreement
in the literature that the amount of advancement has a direct relationship with
postoperative changes. The results of this meta-analysis support the hypothesis
that there is no statistically significant difference in skeletal stability
between bicortical screw and monocortical plate fixation of the BSSO following
mandibular advancement surgery.
PMID- 27185391
TI - Palliating inside the lines: The effects of borders and boundaries on palliative
care in rural Canada.
AB - We draw lines to divide our world into specific places, territories, and
categories. Although borders and boundaries are dynamic and socially constructed,
their existence creates many broad impacts on our lives by geographically
distinguishing between groups (e.g., us/them; here/there; inside/outside) at
various scales from the national down to the personal spaces of the individual.
Particularly, borders and boundaries can be used to define a variety of differing
spaces such as the familial, social, economic, political, as well as issues of
access - including access to health services. Despite the implicit connection
between borders, boundaries, and health, little research has investigated this
connection from a health geography perspective. As such, this secondary thematic
analysis contributes to addressing this notable gap by examining how borders and
boundaries are experienced and perceived to impact access to palliative care in
rural Canada from the perspectives of the formal and informal providers of such
care. Drawing upon data from qualitative interviews (n = 40) with formal and
informal palliative caregivers residing in four different rural Canadian
communities, five forms of borders and boundaries were found to directly impact
care delivery/receipt: political; jurisdictional; geographical; professional; and
cultural. Implicitly and explicitly, participants discussed these borders and
boundaries while sharing their experiences of providing palliative care in rural
Canada. We conclude by discussing the implications of our findings for palliative
care in rural Canada, while also emphasizing the need for more health geography,
and related social science, researchers to recognize the significance of borders
and boundaries in relation to health and healthcare delivery. Lastly, we
emphasize the transferability of these findings to other health sectors,
geographical settings, and disciplines.
PMID- 27185390
TI - Optimize the interactions at S4 with efficient inhibitors targeting 3C proteinase
from enterovirus 71.
AB - Enterovirus 71 (EV71) is the causative agent of hand, foot and mouth disease and
can spread its infections to the central nervous and other systems with severe
consequences. The replication of EV71 depends on its 3C proteinase (3Cpro ), a
significant drug target. By X-ray crystallography and functional assays, the
interactions between inhibitors and EV71 3Cpro were evaluated. It was shown that
improved interactions at S4 for the substrate binding could significantly enhance
the potency. A new series of potent inhibitors with high ligand efficiency was
generated for developing antivirals to treat and control the EV71-associated
diseases. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27185393
TI - Bolder Takes All and the Role of Epigenetics. A Comment on Canestrelli et al.
PMID- 27185394
TI - Humanity's Dual Response to Dogs and Wolves.
AB - Dogs were first domesticated 31 000-41 000 years ago. Humanity has experienced
ecological costs and benefits from interactions with dogs and wolves. We propose
that humans inherited a dual response of attraction or aversion that expresses
itself independently to domestic and wild canids. The dual response has had far
reaching consequences for the ecology and evolution of all three taxa, including
today's global 'ecological paw print' of 1 billion dogs and recent eradications
of wolves.
PMID- 27185395
TI - Historical Biogeography and the (Epi)Genetic Architecture of Animal Personality:
A Comment on Cobben and van Oers.
PMID- 27185392
TI - Bile acids-mediated overexpression of MUC4 via FAK-dependent c-Jun activation in
pancreatic cancer.
AB - The majority of pancreatic cancer (PC) patients are clinically presented with
obstructive jaundice with elevated levels of circulatory bilirubin and alkaline
phosphatases. In the current study, we examined the implications of bile acids
(BA), an important component of bile, on the pathophysiology of PC and
investigated their mechanistic association in tumor-promoting functions.
Integration of results from PC patient samples and autochthonous mouse models
showed an elevated levels of BA (p < 0.05) in serum samples compared to healthy
controls. Similarly, an elevated BA levels was observed in pancreatic juice
derived from PC patients (p < 0.05) than non-pancreatic non-healthy (NPNH)
controls, further establishing the clinical association of BA with the
pathogenesis of PC. The tumor-promoting functions of BA were established by
observed transcriptional upregulation of oncogenic MUC4 expression. Luciferase
reporter assay revealed distal MUC4 promoter as the primary responsive site to
BA. In silico analysis recognized two c-Jun binding sites at MUC4 distal
promoter, which was biochemically established using ChIP assay. Interestingly, BA
treatment led to an increased transcription and activation of c-Jun in a FAK
dependent manner. Additionally, BA receptor, namely FXR, which is also
upregulated at transcriptional level in PC patient samples, was demonstrated as
an upstream molecule in BA-mediated FAK activation, plausibly by regulating Src
activation. Altogether, these results demonstrate that elevated levels of BA
increase the tumorigenic potential of PC cells by inducing FXR/FAK/c-Jun axis to
upregulate MUC4 expression, which is overexpressed in pancreatic tumors and is
known to be associated with progression and metastasis of PC.
PMID- 27185396
TI - Review of Antibiotic and Non-Antibiotic Properties of Beta-lactam Molecules.
AB - BACKGROUND: Beta-lactam molecules are a family of drugs commonly used for their
antibiotic properties; however, recent research has shown that several members of
this group present a large number of other effects such as neuroprotective,
antioxidant, analgesic or immunomodulatory capabilities. These properties have
been used in both preclinical and clinical studies in different diseases such as
hypoxic neuronal damage or acute and chronic pain. The present work briefly
reviews the antibiotic effect of these molecules, and will then focus specially
on the non-antibiotic effects of three beta-lactam subfamilies: penicillins,
cephalosporins and beta lactamase inhibitors, each of which have different
molecular structure and pharmacokinetics and therefore have several potential
clinical applications. METHODS: A thorough search of bibliographic databases for
peer-reviewed research was performed including only classic experiments or high
quality reviews for the antibiotic mechanisms of beta-lactam molecules and only
experimental research papers where included when the non-antibiotic properties of
these molecules were searched. Only published articles from indexed journals were
included. Quality of retrieved papers was assessed using standard tools. The
characteristics of screened papers were described and findings of included
studies were contextualized to either a mechanistic or a clinical framework.
RESULTS: Seventy-eight papers were included in the review; the majority (56) were
relative to the non-antibiotic properties of beta-lactam molecules. The non
antibiotic effects reviewed were divided accordingly to the amount of information
available for each one. Twelve papers outlined the epileptogenic effects induced
by beta-lactam molecules administration; these included both clinical and basic
research as well as probable mechanistic explanations. Eighteen papers described
a potential neuroprotective effect, mostly in basic in vitro and in vivo
experiments. Analgesic properties where identified in twelve papers and basic
research was described alongside with both experimental and serendipic clinical
findings. Seven papers described a down-regulation effect exerted by beta-lactam
molecules administration in different addiction animal models. Finally other
effects such as penile erection, dopamine release facilitation and anti-neoplasic
effects where described from seven papers. CONCLUSION: The findings of this
review show that beta-lactam molecules may induce several effects, which may be
clinically relevant in a lot of different diseases. This paper is, to our
knowledge, the first comprehensive review of the non-antibiotic effects shown by
beta-lactam molecules and may help increase the interest in this field, which may
result in a direct translation of this effects to a clinical context.
PMID- 27185397
TI - Exhaustive Genome-Wide Search for SNP-SNP Interactions Across 10 Human Diseases.
AB - The identification of statistical SNP-SNP interactions may help explain the
genetic etiology of many human diseases, but exhaustive genome-wide searches for
these interactions have been difficult, due to a lack of power in most datasets.
We aimed to use data from the Resource for Genetic Epidemiology Research on Adult
Health and Aging (GERA) study to search for SNP-SNP interactions associated with
10 common diseases. FastEpistasis and BOOST were used to evaluate all pairwise
interactions among approximately N = 300,000 single nucleotide polymorphisms
(SNPs) with minor allele frequency (MAF) >= 0.15, for the dichotomous outcomes of
allergic rhinitis, asthma, cardiac disease, depression, dermatophytosis, type 2
diabetes, dyslipidemia, hemorrhoids, hypertensive disease, and osteoarthritis. A
total of N = 45,171 subjects were included after quality control steps were
applied. These data were divided into discovery and replication subsets; the
discovery subset had > 80% power, under selected models, to detect genome-wide
significant interactions (P < 10(-12)). Interactions were also evaluated for
enrichment in particular SNP features, including functionality, prior disease
relevancy, and marginal effects. No interaction in any disease was significant in
both the discovery and replication subsets. Enrichment analysis suggested that,
for some outcomes, interactions involving SNPs with marginal effects were more
likely to be nominally replicated, compared to interactions without marginal
effects. If SNP-SNP interactions play a role in the etiology of the studied
conditions, they likely have weak effect sizes, involve lower-frequency variants,
and/or involve complex models of interaction that are not captured well by the
methods that were utilized.
PMID- 27185399
TI - mCAL: A New Approach for Versatile Multiplex Action of Cas9 Using One sgRNA and
Loci Flanked by a Programmed Target Sequence.
AB - Genome editing exploiting CRISPR/Cas9 has been adopted widely in academia and in
the biotechnology industry to manipulate DNA sequences in diverse organisms.
Molecular engineering of Cas9 itself and its guide RNA, and the strategies for
using them, have increased efficiency, optimized specificity, reduced
inappropriate off-target effects, and introduced modifications for performing
other functions (transcriptional regulation, high-resolution imaging, protein
recruitment, and high-throughput screening). Moreover, Cas9 has the ability to
multiplex, i.e., to act at different genomic targets within the same nucleus.
Currently, however, introducing concurrent changes at multiple loci involves: (i)
identification of appropriate genomic sites, especially the availability of
suitable PAM sequences; (ii) the design, construction, and expression of multiple
sgRNA directed against those sites; (iii) potential difficulties in altering
essential genes; and (iv) lingering concerns about "off-target" effects. We have
devised a new approach that circumvents these drawbacks, as we demonstrate here
using the yeast Saccharomyces cerevisiae First, any gene(s) of interest are
flanked upstream and downstream with a single unique target sequence that does
not normally exist in the genome. Thereafter, expression of one sgRNA and
cotransformation with appropriate PCR fragments permits concomitant Cas9-mediated
alteration of multiple genes (both essential and nonessential). The system we
developed also allows for maintenance of the integrated, inducible Cas9
expression cassette or its simultaneous scarless excision. Our scheme-dubbed mCAL
for " M: ultiplexing of C: as9 at A: rtificial L: oci"-can be applied to any
organism in which the CRISPR/Cas9 methodology is currently being utilized. In
principle, it can be applied to install synthetic sequences into the genome, to
generate genomic libraries, and to program strains or cell lines so that they can
be conveniently (and repeatedly) manipulated at multiple loci with extremely high
efficiency.
PMID- 27185398
TI - UBR-5, a Conserved HECT-Type E3 Ubiquitin Ligase, Negatively Regulates Notch-Type
Signaling in Caenorhabditis elegans.
AB - Notch-type signaling mediates cell-cell interactions important for animal
development. In humans, reduced or inappropriate Notch signaling activity is
associated with various developmental defects and disease states, including
cancers. Caenorhabditis elegans expresses two Notch-type receptors, GLP-1 and LIN
12. GLP-1 mediates several cell-signaling events in the embryo and promotes
germline proliferation in the developing and adult gonad. LIN-12 acts redundantly
with GLP-1 in certain inductive events in the embryo and mediates several cell
cell interactions during larval development. Recovery of genetic suppressors and
enhancers of glp-1 or lin-12 loss- or gain-of-function mutations has identified
numerous regulators of GLP-1 and LIN-12 signaling activity. Here, we report the
molecular identification of sog-1, a gene identified in screens for recessive
suppressors of conditional glp-1 loss-of-function mutations. The sog-1 gene
encodes UBR-5, the sole C. elegans member of the UBR5/Hyd family of HECT-type E3
ubiquitin ligases. Molecular and genetic analyses indicate that the loss of ubr-5
function suppresses defects caused by reduced signaling via GLP-1 or LIN-12. In
contrast, ubr-5 mutations do not suppress embryonic or larval lethality
associated with mutations in a downstream transcription factor, LAG-1. In the
gonad, ubr-5 acts in the receiving cells (germ cells) to limit GLP-1 signaling
activity. SEL-10 is the F-box component of SCF(SEL-10) E3 ubiquitin-ligase
complex that promotes turnover of Notch intracellular domain. UBR-5 acts
redundantly with SEL-10 to limit Notch signaling in certain tissues. We
hypothesize that UBR-5 activity limits Notch-type signaling by promoting turnover
of receptor or limiting its interaction with pathway components.
PMID- 27185400
TI - Dynamics of a Novel Highly Repetitive CACTA Family in Common Bean (Phaseolus
vulgaris).
AB - Transposons are ubiquitous genomic components that play pivotal roles in plant
gene and genome evolution. We analyzed two genome sequences of common bean
(Phaseolus vulgaris) and identified a new CACTA transposon family named pvCACTA1.
The family is extremely abundant, as more than 12,000 pvCACTA1 elements were
found. To our knowledge, this is the most abundant CACTA family reported thus
far. The computational and fluorescence in situ hybridization (FISH) analyses
indicated that the pvCACTA1 elements were concentrated in terminal regions of
chromosomes and frequently generated AT-rich 3 bp target site duplications (TSD,
WWW, W is A or T). Comparative analysis of the common bean genomes from two
domesticated genetic pools revealed that new insertions or excisions of pvCACTA1
elements occurred after the divergence of the two common beans, and some of the
polymorphic elements likely resulted in variation in gene sequences. pvCACTA1
elements were detected in related species but not outside the Phaseolus genus. We
calculated the molecular evolutionary rate of pvCACTA1 transposons using
orthologous elements that indicated that most transposition events likely
occurred before the divergence of the two gene pools. These results reveal unique
features and evolution of this new transposon family in the common bean genome.
PMID- 27185402
TI - Stroke increases the risk of hip fracture: a systematic review and meta-analysis.
AB - Many studies have investigated the association between stroke and hip fracture
risk, but the precise association was still unclear due to insufficient
statistical power in single studies with relatively small sample size. Thus, we
firstly conducted a meta-analysis of all published studies to precisely estimate
the relationship of stroke with hip fracture risk. The strength for this
relationship was weighed by pooled relative risks (RRs) with 95 % confidence
intervals (95 % CIs) after adjustment for confounding variables. Stratified
analyses by study design and ethnicity and sensitivity analysis were also
performed. Two investigators independently performed a comprehensive literature
search in databases of PubMed, Embase, and Wanfang for eligible articles. A
Bayesian meta-analysis was also performed to get a more precise assessment of the
relationship. Eleven relevant studies from 10 publications were finally included
into our meta-analysis according to the inclusion criteria. Overall, stroke
significantly and independently increased the risk of hip fracture (RR = 2.06, 95
% CI 1.68-2.52, P < 0.001). Bayesian meta-analysis showed that stroke was also
associated with an over two-fold increased risk of hip fracture (RR = 2.11, 95 %
CI 1.62-2.75). In stratified analysis, stroke could increase the risk of hip
fracture in Caucasians (RR = 2.36, 95 % CI 1.83-3.05, P < 0.001). These data
support the notion that stroke is an independent risk factor for hip fracture,
and patients with stroke have a two-fold increased risk of hip fracture than
those without stroke.
PMID- 27185401
TI - Altered Function of the DnaJ Family Cochaperone DNJ-17 Modulates Locomotor
Circuit Activity in a Caenorhabditis elegans Seizure Model.
AB - The highly conserved cochaperone DnaJ/Hsp40 family proteins are known to interact
with molecular chaperone Hsp70, and can regulate many cellular processes
including protein folding, translocation, and degradation. In studies of
Caenorhabditis elegans locomotion mutants, we identified a gain-of-function (gf)
mutation in dnj-17 closely linked to the widely used e156 null allele of C.
elegans GAD (glutamic acid decarboxylase) unc-25 dnj-17 encodes a DnaJ protein
orthologous to human DNAJA5. In C. elegans DNJ-17 is a cytosolic protein and is
broadly expressed in many tissues. dnj-17(gf) causes a single amino acid
substitution in a conserved domain, and behaves as a hypermorphic mutation. The
effect of this dnj-17(gf) is most prominent in mutants lacking GABA synaptic
transmission. In a seizure model caused by a mutation in the ionotropic
acetylcholine receptor acr-2(gf), dnj-17(gf) exacerbates the convulsion phenotype
in conjunction with absence of GABA. Null mutants of dnj-17 show mild resistance
to aldicarb, while dnj-17(gf) is hypersensitive. These results highlight the
importance of DnaJ proteins in regulation of C. elegans locomotor circuit, and
provide insights into the in vivo roles of DnaJ proteins in humans.
PMID- 27185404
TI - Infections in symptomatic travelers returning from the Arabian peninsula to
France: A retrospective cross-sectional study.
PMID- 27185405
TI - Malignant Melanoma of the Nail Apparatus: A Fluorescence In Situ Hybridization
Analysis of 7 Cases.
AB - Background Malignant melanoma of the nail apparatus is exceedingly rare.
Increasingly, genetic studies have been employed to aid in distinguishing between
malignant melanoma and benign melanocytic nevi. Methods Archived nail apparatus
melanomas were analyzed by fluorescence in situ hybridization (FISH) using probes
targeting the genes at 6p25 (RREB1), 11q13 (CCND1), 8q24.1 (MYC), 6q23 (MYB),
9p21 (CDKN2A) and the centromeres of chromosomes 8 (D8Z2) and 6 (D6Z1). The
results were correlated with clinical and demographic information. Results Mean
patient age was 57.8 years (range 23-92 years). In all, 5 of 7 (71%) cases
involved the upper extremity digits. RREB1 gain was seen in all cases. CCND1 gain
was seen in 6 of 7 (86%) cases, 3 of which were amplified. MYB loss and MYC gain
were both seen in 5 of 7 (71%) cases. Homozygous loss of CDKN2A was not observed
in any case. Two of 7 (28.6%) patients had lymph node metastasis and died of
widely metastatic disease. These 2 patients harbored the most genetic
aberrations: gains of RREB1, CCND1, and MYC, and MYB loss. Both benign
melanocytic nevi controls showed normal FISH results. Conclusions RREB1 and CCND1
gains are common in nail apparatus melanoma as in most melanomas, and an
increased number of genetic aberrations may be associated with a poorer
prognosis, though the limited number of cases precludes definitive correlation.
FISH appears to be a useful adjunct in the diagnosis of nail apparatus melanomas
and improves diagnostic confidence even in the setting of unambiguous
histomorphology.
PMID- 27185403
TI - Imported brucellosis: A case series and literature review.
AB - BACKGROUND: Brucellosis is one of the main neglected zoonotic diseases. Several
factors may contribute to the epidemiology of brucellosis. Imported cases, mainly
in travellers but also in recently arrived immigrants, and cases associated with
imported products, appear to be infrequently reported. METHODS: Cases of
brucellosis diagnosed at a referral unit for imported diseases in Europe were
described and a review of the literature on imported cases and cases associated
with contaminated imported products was performed. RESULTS: Most imported cases
were associated with traditional risk factors such as travel/consumption of
unpasteurized dairy products in endemic countries. Cases associated with
importation of food products or infected animals also occurred. Although a lower
disease incidence of brucellosis has been reported in developed countries, a
higher incidence may still occur in specific populations, as illustrated by cases
in Hispanic patients in the USA and in Turkish immigrants in Germany. Imported
brucellosis appears to present with similar protean manifestations and both
classical and infrequent modes of acquisition are described, leading on occasions
to mis-diagnoses and diagnostic delays. CONCLUSIONS: Importation of Brucella spp.
especially into non-endemic areas, or areas which have achieved recent control of
both animal and human brucellosis, may have public health repercussions and
timely recognition is essential.
PMID- 27185406
TI - Physical activity increases after bariatric surgery, review finds.
PMID- 27185407
TI - Validity and reliability of elastic resistance bands for measuring shoulder
muscle strength.
AB - Valid and reliable measurements of muscle strength are important in sport
medicine. This study assesses concurrent validity and intrarater reliability
(test-retest reliability) of elastic resistance bands for measuring shoulder
muscle strength. Altogether, 50 healthy adults [mean age 36.0 (SD: 11.6), 29
women and 21 men] participated in testing and retesting 1-2 weeks later. The
maximal elastic resistance (TheraBand) that each participant could hold for 3 s
during standing bilateral shoulder abduction to 90 degrees was converted into
torque and validated against gold standard maximal voluntary isometric
contraction (MVC) (Vishay force transducer) performed unilaterally while lying
supine. The intrarater reliability of both tests were high; for the MVC and
elastic band test, respectively, ICC(3,1) was 0.98 (95% CI: 0.97-0.99) and 0.99
(95% CI: 0.98-1.00), and measurement error was 4.8% (95% CI: 3.7-5.9) and 4.7%
(95% CI: 3.1-6.2). For concurrent validity, ICC(3,1) was 0.96 (95% CI: 0.95-0.98)
and measurement error was 8.1% (95% CI: 6.6-9.6), and the elastic band test
explained 93% of the variance in the MVC test. However, the elastic band test
produced systematically lower torque values than the MVC [56.5 (SD: 26.8) vs 66.5
(SD: 25.5) Nm, P < 0.01]. In conclusion, the test for shoulder muscle strength
using elastic resistance bands has excellent validity and reliability, but
produces systematically lower torque values than MVC. The reason for the lower
torque values may be that the elastic band test has an initial concentric phase
and is performed bilaterally and standing upright.
PMID- 27185409
TI - Malignant transformation of a tracheal chondroma: The second reported case and
review of the literature.
AB - Tracheal tumors are rare, representing only 0.2% of the respiratory tract
malignancies. Chondrosarcoma arising in the trachea was first described in 1959
by Jackson et al. and since then only 20 cases have been described. We report the
second documented case of malignant transformation from an endotracheal
chondroma, in a 75-year-old woman, and review the literature.
PMID- 27185410
TI - A case of Birt-Hogg-Dube syndrome.
PMID- 27185411
TI - The Emotional Experience of Motherhood in Planned Lesbian Families in the South
African Context: "... Look How Good a Job I'm Doing, Look How Amazing We Are".
AB - This article focuses on lesbian mothers' emotional experience of motherhood. It
forms part of a larger qualitative and exploratory study with 10 lesbian couples
in South Africa on their lived experience of planned motherhood. The study is
located in a feminist phenomenological framework. Semi-structured interviews were
conducted and interview transcripts were analyzed using thematic analysis.
Participants described many different emotions associated with new motherhood:
hope, joy, love, anxiety, helplessness, exhaustion, and feeling companionship and
togetherness as well as feeling compromised and deprived. Mothers described these
emotions but also focused on the development of a new identity, that of being a
mother.
PMID- 27185412
TI - Combination of soya protein and polydextrose reduces energy intake and glycaemic
response via modulation of gastric emptying rate, ghrelin and glucagon-like
peptide-1 in Chinese.
AB - The short-term effect of soya protein, polydextrose and their combination on
energy intake (EI) was investigated in Chinese. In total, twenty-seven healthy,
normotensive and lean Chinese men aged 21-40 years were given four different
soyabean curd preloads with or without polydextrose. The study was a repeated
measure, randomised, cross-over design. The consumption of high-protein soyabean
curd alone or in addition with polydextrose as a preload led to greater reduction
in EI at a subsequent meal. A similar observation was also found after intake of
low-protein soyabean curd with polydextrose. The gut hormone responses mirrored
the reduction in food intake. It appears that incorporation of polydextrose
either with low- or high-protein soyabean curd could be a potential strategy to
reduce EI and assist with weight management. The popular consumption of soyabean
curd in Chinese makes it an ideal vehicle for incorporation of polydextrose. This
evidence-based dietary approach can serve as a guideline for developing
functional foods for weight reduction and weight maintenance.
PMID- 27185408
TI - Mitochondrial genetic diversity, selection and recombination in a canine
transmissible cancer.
AB - Canine transmissible venereal tumour (CTVT) is a clonally transmissible cancer
that originated approximately 11,000 years ago and affects dogs worldwide.
Despite the clonal origin of the CTVT nuclear genome, CTVT mitochondrial genomes
(mtDNAs) have been acquired by periodic capture from transient hosts. We
sequenced 449 complete mtDNAs from a global population of CTVTs, and show that
mtDNA horizontal transfer has occurred at least five times, delineating five
tumour clades whose distributions track two millennia of dog global migration.
Negative selection has operated to prevent accumulation of deleterious mutations
in captured mtDNA, and recombination has caused occasional mtDNA re-assortment.
These findings implicate functional mtDNA as a driver of CTVT global metastatic
spread, further highlighting the important role of mtDNA in cancer evolution.
PMID- 27185413
TI - Breakfast consumption and weight-loss maintenance: results from the MedWeight
study.
AB - Daily breakfast consumption is a common eating behaviour among people who have
maintained their weight loss after weight-loss management. However, there is not
a precise definition for breakfast in the literature. The purpose of this study
was to investigate potential associations between breakfast consumption (based on
several definitions) and weight-loss maintenance, as well as to explore
differences in breakfast quality between individuals who managed to maintain part
of the weight loss and in those who regained weight loss. The study sample
consisted of 354 participants of the MedWeight study (age: 32 (sd 10) years, 61 %
women) who had lost >=10 % of their initial body weight and either maintained the
loss for >=1 year (maintainers, n 257) or regained weight loss (regainers, n 97).
Participants completed online questionnaires and reported their dietary intake
through two telephone 24-h recalls. Breakfast consumption was evaluated using
twelve different definitions. The analysis indicated that breakfast consumption
was associated with weight-loss maintenance only in men, when using self-reported
breakfast consumption or the following breakfast definitions: (1) the first
eating episode consumed at home and (2) the first eating episode consumed at home
excluding caffeinated drinks. This association remained statistically significant
even after adjustment for potential confounding factors. Thus, breakfast, the
first eating episode of the day, when consumed at home, may be protective against
weight regaining.
PMID- 27185414
TI - Nudging consumers towards healthier choices: a systematic review of positional
influences on food choice.
AB - Nudging or 'choice architecture' refers to strategic changes in the environment
that are anticipated to alter people's behaviour in a predictable way, without
forbidding any options or significantly changing their economic incentives.
Nudging strategies may be used to promote healthy eating behaviour. However, to
date, the scientific evidence has not been systematically reviewed to enable
practitioners and policymakers to implement, or argue for the implementation of,
specific measures to support nudging strategies. This systematic review
investigated the effect of positional changes of food placement on food choice.
In total, seven scientific databases were searched using relevant keywords to
identify interventions that manipulated food position (proximity or order) to
generate a change in food selection, sales or consumption, among normal-weight or
overweight individuals across any age group. From 2576 identified articles,
fifteen articles comprising eighteen studies met our inclusion criteria. This
review has identified that manipulation of food product order or proximity can
influence food choice. Such approaches offer promise in terms of impacting on
consumer behaviour. However, there is a need for high-quality studies that
quantify the magnitude of positional effects on food choice in conjunction with
measuring the impact on food intake, particularly in the longer term. Future
studies should use outcome measures such as change in grams of food consumed or
energy intake to quantify the impact on dietary intake and potential impacts on
nutrition-related health. Research is also needed to evaluate potential
compensatory behaviours secondary to such interventions.
PMID- 27185417
TI - Investigation of acetone, butanol and carbon dioxide as new breath biomarkers for
convenient and noninvasive diagnosis of obstructive sleep apnea syndrome.
AB - The objective of the present study was to investigate whether analysis of carbon
dioxide, acetone and/or butanol present in human breath can be used as a simple
and noninvasive diagnosis method for obstructive sleep apnea syndrome (OSAS). For
this purpose, overnight changes in the concentrations of these breath molecules
were measured before and after sleep in 10 patients who underwent polysomnography
and were diagnosed with OSAS, and were compared with the levels of these
biomarkers determined after sleep in 10 healthy subjects. The concentrations of
exhaled carbon dioxide were measured using external cavity laser-based off-axis
cavity enhanced absorption spectroscopy, whereas the levels of exhaled acetone
and butanol were determined using thermal desorption gas chromatography mass
spectrometry. We observed no significant changes in the levels of exhaled acetone
and carbon dioxide in OSAS patients after sleep compared with pre-sleep values
and compared with those in healthy control subjects. However, for the first time,
to our knowledge, analyses of expired air showed an increased concentration of
butanol after sleep compared with that before sleep and compared with that in
healthy subjects. These results suggest that butanol can be established as a
potential biomarker to enable the convenient and noninvasive diagnosis of OSAS in
the future.
PMID- 27185415
TI - A systems biology pipeline identifies new immune and disease related molecular
signatures and networks in human cells during microgravity exposure.
AB - Microgravity is a prominent health hazard for astronauts, yet we understand
little about its effect at the molecular systems level. In this study, we have
integrated a set of systems-biology tools and databases and have analysed more
than 8000 molecular pathways on published global gene expression datasets of
human cells in microgravity. Hundreds of new pathways have been identified with
statistical confidence for each dataset and despite the difference in cell types
and experiments, around 100 of the new pathways are appeared common across the
datasets. They are related to reduced inflammation, autoimmunity, diabetes and
asthma. We have identified downregulation of NfkappaB pathway via Notch1
signalling as new pathway for reduced immunity in microgravity. Induction of few
cancer types including liver cancer and leukaemia and increased drug response to
cancer in microgravity are also found. Increase in olfactory signal transduction
is also identified. Genes, based on their expression pattern, are clustered and
mathematically stable clusters are identified. The network mapping of genes
within a cluster indicates the plausible functional connections in microgravity.
This pipeline gives a new systems level picture of human cells under
microgravity, generates testable hypothesis and may help estimating risk and
developing medicine for space missions.
PMID- 27185416
TI - Reducing emissions from agriculture to meet the 2 degrees C target.
AB - More than 100 countries pledged to reduce agricultural greenhouse gas (GHG)
emissions in the 2015 Paris Agreement of the United Nations Framework Convention
on Climate Change. Yet technical information about how much mitigation is needed
in the sector vs. how much is feasible remains poor. We identify a preliminary
global target for reducing emissions from agriculture of ~1 GtCO2 e yr-1 by 2030
to limit warming in 2100 to 2 degrees C above pre-industrial levels. Yet
plausible agricultural development pathways with mitigation cobenefits deliver
only 21-40% of needed mitigation. The target indicates that more transformative
technical and policy options will be needed, such as methane inhibitors and
finance for new practices. A more comprehensive target for the 2 degrees C limit
should be developed to include soil carbon and agriculture-related mitigation
options. Excluding agricultural emissions from mitigation targets and plans will
increase the cost of mitigation in other sectors or reduce the feasibility of
meeting the 2 degrees C limit.
PMID- 27185419
TI - The Gluten-Free Diet: Recognizing Fact, Fiction, and Fad.
PMID- 27185418
TI - A combination of quantitative marinating and Maillard reaction to enhance
volatile flavor in Chinese marinated chicken.
AB - BACKGROUND: A combination of quantitative marinating and Maillard reaction was
investigated by adding d-xylose, l-cysteine and thiamine to the marinated brine
of quantitative marinating, which was expected to enhance the volatile flavor of
Chinese marinated chicken. Response surface methodology was used to optimize
parameters, in which response was sensory evaluation scores of marinated chicken.
A Box-Behnken center design was applied to the optimized added contents. The
optimized contents were d-xylose (1-50/00), l-cysteine (1-50/00) and thiamine (1
30/00). RESULTS: Analysis of variance indicated that a second-order polynomial
equation could predict the experimental data well (R2 = 0.94), and sensory
evaluation scores were significantly affected by the added amount of d-xylose, l
cysteine and thiamine. The optimal conditions that maximized the sensory
evaluation score of Chinese marinated chicken were found to be 4.960/00 d-xylose,
2.280/00 l-cysteine and 2.660/00 thiamine (w/w). Given these optimal conditions,
a number of meat-like flavor compounds such as 2-pentyl-furan, benzothiazole and
4-methyl-5-thiazoleethanol were identified by gas chromatographic-mass
spectrometric analysis. CONCLUSION: Our results suggested that a combination of
quantitative marinating and Maillard reaction might be a promising method to
enhance the volatile flavor, especially meat-like flavor, of Chinese marinated
chicken. (c) 2016 Society of Chemical Industry.
PMID- 27185420
TI - External dilator-assisted banding for high-flow hemodialysis arteriovenous
fistula.
AB - Creation of arteriovenous fistula (AVF) may lead to left ventricle hypertrophy
and predispose for development or worsening of heart failure. It was postulated
to reduce access blood flow if exceeded 2 L/min or cardiac index was higher than
3.0 L/min/m(2). Numbers of techniques decreasing flow were described. The major
disadvantage was the complexity of procedure and necessity of intraoperative flow
measurement needed to establish desired flow. The technique of dilator-assisted
banding with no endovascular catheterization is presented. After blunt dissection
non absorbable thread was placed around vessel and tied over the dilator. Then
the dilator was gently removed and blood flow was confirmed by palpation. We
performed 12 banding procedures. Mean brachial blood flows were 3733.2 +/- 826.2
mL/min preoperatively and 1461.2 +/- 337.7 mL/min after surgery. Mean flow
reduction was 2272.2 +/- 726.9 mL/min. The external dilator-assisted banding is a
feasible method for vascular access flow reduction without necessity of
endovascular catheterization.
PMID- 27185421
TI - Allergic contact dermatitis: Patient diagnosis and evaluation.
AB - Allergic contact dermatitis resulting from exposure to a chemical or chemicals is
a common diagnosis in the dermatologist's office. We are exposed to hundreds of
potential allergens daily. Patch testing is the criterion standard for diagnosing
the causative allergens responsible for allergic contact dermatitis. Patch
testing beyond standard trays is often needed to fully diagnose patients, but not
all dermatology practices have access to this testing procedure or these
allergens. In order to adequately evaluate patients, physicians must understand
the pathophysiology of the disease process and be well versed in the proper
evaluation of patients, indications for patch testing, proper testing procedure,
and other diagnostic tools available and be aware of new and emerging allergens.
PMID- 27185422
TI - Allergic contact dermatitis: Patient management and education.
AB - Allergic contact dermatitis is a common diagnosis resulting from exposure to a
chemical or chemicals in a patient's personal care products, home, or work
environment. Once patch testing has been performed, the education and management
process begins. After the causative allergens have been identified, patient
education is critical to the proper treatment and management of the patient. This
must occur if the dermatitis is to resolve. Detailed education is imperative, and
several resources are highlighted. Photoallergic contact dermatitis and
occupational contact dermatitis are other considerations a clinician must keep in
mind.
PMID- 27185423
TI - Assessing the clinical effectiveness of an algorithmic approach for mucosal
lichen planus (MLP): A retrospective review.
AB - BACKGROUND: Mucosal lichen planus (MLP) is a therapeutic challenge in need of a
new treatment approach because of its debilitating effect on patient's quality of
life. OBJECTIVE: We sought to evaluate a standardized treatment plan for patients
with MLP. A second objective was to describe the effect of mycophenolate mofetil
in this patient population. METHODS: The study retrospectively analyzed 53
patients with MLP treated using a standardized algorithm. The number of MLP
lesions, disease activity, and pain at the last visit were compared with baseline
scores determined at the initial visit. Results were analyzed using the paired
samples t test and confirmed with the Wilcoxon matched pairs signed rank test.
RESULTS: The average number of lesions was reduced from 3.77 to 1.67 (P < .001).
The average disease activity was reduced from 2.73 to 0.90 (P < .001). Average
pain reported decreased from 2.03 to 1.03 (P < .001). LIMITATIONS: This study was
a retrospective analysis of a small patient population. There was no universal
symptom severity scale used at the time of treatment for some patients.
CONCLUSION: The standardized treatment plan reduced symptoms for patients with
MLP. Mycophenolate mofetil appears to be a reasonable treatment option for these
patients.
PMID- 27185425
TI - Lenalidomide for refractory chronic and subacute cutaneous lupus erythematosus:
16 patients.
PMID- 27185424
TI - Time to local recurrence of lentigo maligna: Implications for future studies.
PMID- 27185426
TI - Topical minocycline foam for moderate to severe acne vulgaris: Phase 2 randomized
double-blind, vehicle-controlled study results.
PMID- 27185427
TI - Use of topical retinoids by dermatologists and non-dermatologists in the
management of acne vulgaris.
PMID- 27185429
TI - Distance of travel to phototherapy is associated with early nonadherence: A
retrospective cohort study.
PMID- 27185428
TI - Thrombophilic status may predict prognosis in patients with metastatic BRAFV600
mutated melanoma who are receiving BRAF inhibitors.
PMID- 27185430
TI - Clinicopathological and dermoscopic features of angio-eccrine hyperplasia in
clear cell acanthoma.
PMID- 27185431
TI - Lack of evidence that bedbugs transmit pathogens to humans.
PMID- 27185432
TI - Enhancing the antiseptic properties of nonsterile disposable gloves: A
prospective randomized pilot study of a novel sterilization technique for
dermatologic surgery.
PMID- 27185433
TI - Four-year experience with vismodegib hedgehog inhibitor therapy.
PMID- 27185434
TI - Patient satisfaction in dermatologic care delivered by a medical-student-run free
clinic.
PMID- 27185435
TI - Clusters of CD123+ plasmacytoid dendritic cells help distinguish lupus alopecia
from lichen planopilaris.
PMID- 27185436
TI - Qualitative study shows disease damage matters to patients with hidradenitis
suppurativa.
PMID- 27185437
TI - Absence of skin cancer in the DNA repair-deficient disease Cockayne Syndrome
(CS): A survey study.
PMID- 27185438
TI - Characterization of patients with clinical overlap of morphea and systemic
sclerosis: A case series.
PMID- 27185439
TI - The effect of omalizumab dosing and frequency in chronic idiopathic urticaria:
Retrospective chart review.
PMID- 27185440
TI - The subunit method: A novel excisional approach for rhinophyma.
PMID- 27185441
TI - Ex vivo high-frequency ultrasound: A novel proposal for management of surgical
margins in patients with non-melanoma skin cancer.
PMID- 27185442
TI - Utilizing the Patient Attitudes to Scarring Scale (PASS) to develop an outcome
measure for postoperative scarring: A study in 430 patients.
PMID- 27185443
TI - The dermatopathologist's role in genetic testing for hereditary cancer syndromes:
Utility versus patient liberty.
PMID- 27185444
TI - Plasmacytoid dendritic cells (pDCs) and regulatory T (Treg) cells may be the key
to viral reactivation in drug-induced hypersensitivity syndrome (DIHS).
PMID- 27185445
TI - Correction.
PMID- 27185448
TI - The challenging diagnosis of eccrine poromas.
PMID- 27185449
TI - Videodermoscopy enhances the ability to diagnose Kaposi's sarcoma by revealing
its vascular structures.
PMID- 27185450
TI - Dermoscopy of dermatofibrosarcoma protuberans on black skin.
PMID- 27185452
TI - The selfie skin examination.
PMID- 27185451
TI - Tinea nigra dermoscopy: A useful assessment.
PMID- 27185454
TI - Assembling disposable punches using a shaving blade and hypodermic needle.
PMID- 27185453
TI - Undermining the opposition: Ballistic tips for improving the island pedicle flap.
PMID- 27185455
TI - Sterile adhesive tape: A useful adjunct when applying full-thickness skin grafts.
PMID- 27185456
TI - Tips and Tricks: Single-Site Robotic-Assisted Myomectomy.
AB - STUDY OBJECTIVE: To describe some tips and tricks that facilitate a single-site
robotic- assisted (RA) myomectomy. DESIGN: Stepwise surgical tutorial using a
narrated video (Canadian Task Force classification III). SETTING: Academic
tertiary care hospital. INTERVENTIONS: Single-incision RA myomectomy is a novel
technique that presents unique surgical challenges. Although similar in outcomes
to multiport myomectomy, single-site myomectomy is more cosmetically appealing.
Traditional laparoscopic single- site myomectomy has been associated with a steep
learning curve. The robotic single-site platform mitigates some of these
difficulties, which allows for the reproducibility and safety of this technique
in appropriately selected patients. In this surgical tutorial, we present a case
of a 7-cm type 2-5 fibroid removed with this approach. CONCLUSION: Single-site RA
myomectomy is a safe, minimally invasive option for appropriately selected
patients. Although it is a challenging procedure, it provides excellent cosmesis
and is reproducible with adequate training and expertise.
PMID- 27185457
TI - Exercise and sports science Australia (ESSA) position statement on exercise and
spinal cord injury.
AB - Traumatic spinal cord injury (SCI) may result in tetraplegia (motor and/or
sensory nervous system impairment of the arms, trunk and legs) or paraplegia
(motor and/or sensory impairment of the trunk and/or legs only). The adverse
effects of SCI on health, fitness and functioning are frequently compounded by
profoundly sedentary behaviour. People with paraplegia (PP) and tetraplegia (TP)
have reduced exercise capacity due to paralysis/paresis and reduced exercising
stroke volume. TP often further reduces exercise capacity due to lower maximum
heart-rate and respiratory function. There is strong, consistent evidence that
exercise can improve cardiorespiratory fitness and muscular strength in people
with SCI. There is emerging evidence for a range of other exercise benefits,
including reduced risk of cardio-metabolic disease, depression and shoulder pain,
as well as improved respiratory function, quality-of-life and functional
independence. Exercise recommendations for people with SCI are: >=30min of
moderate aerobic exercise on >=5d/week or >=20min of vigorous aerobic >=3d/week;
strength training on >=2d/week, including scapula stabilisers and posterior
shoulder girdle; and >=2d/week flexibility training, including shoulder internal
and external rotators. These recommendations may be aspirational for profoundly
inactive clients and stratification into "beginning", "intermediate" and
"advanced" will assist application of the recommendations in clinical practice.
Flexibility exercise is recommended to preserve upper limb function but may not
prevent contracture. For people with TP, Rating of Perceived Exertion may provide
a more valid indication of exercise intensity than heart rate. The safety and
effectiveness of exercise interventions can be enhanced by initial screening for
autonomic dysreflexia, orthostatic hypotension, exercise-induced hypotension,
thermoregulatory dysfunction, pressure sores, spasticity and pain.
PMID- 27185458
TI - Clinical practice considerations in facioscapulohumeral muscular dystrophy
Sydney, Australia, 21 September 2015.
PMID- 27185459
TI - A cross sectional study of two independent cohorts identifies serum biomarkers
for facioscapulohumeral muscular dystrophy (FSHD).
AB - Measuring the severity and progression of facioscapulohumeral muscular dystrophy
(FSHD) is particularly challenging because muscle weakness progresses over long
periods of time and can be sporadic. Biomarkers are essential for measuring
disease burden and testing treatment strategies. We utilized the sensitive,
specific, high-throughput SomaLogic proteomics platform of 1129 proteins to
identify proteins with levels that correlate with FSHD severity in a cross
sectional study of two independent cohorts. We discovered biomarkers that
correlate with clinical severity and disease burden measured by magnetic
resonance imaging. Sixty-eight proteins in the Rochester cohort (n = 48) and 51
proteins in the Seattle cohort (n = 30) had significantly different levels in
FSHD-affected individuals when compared with controls (p-value <= .005). A subset
of these varied by at least 1.5 fold and four biomarkers were significantly
elevated in both cohorts. Levels of creatine kinase MM and MB isoforms, carbonic
anhydrase III, and troponin I type 2 reliably predicted the disease state and
correlated with disease severity. Other novel biomarkers were also discovered
that may reveal mechanisms of disease pathology. Assessing the levels of these
biomarkers during clinical trials may add significance to other measures of
quantifying disease progression or regression.
PMID- 27185461
TI - The Nutrient-Dependent O-GlcNAc Modification Controls the Expression of Liver
Fatty Acid Synthase.
AB - Liver Fatty Acid Synthase (FAS) is pivotal for de novo lipogenesis. Loss of
control of this metabolic pathway contributes to the development of liver
pathologies ranging from steatosis to nonalcoholic steatohepatitis (NASH) which
can lead to cirrhosis and, less frequently, to hepatocellular carcinoma.
Therefore, deciphering the molecular mechanisms governing the expression and
function of key enzymes such as FAS is crucial. Herein, we link the availability
of this lipogenic enzyme to the nutrient-dependent post-translational
modification O-GlcNAc that is thought to be deregulated in metabolic diseases
(diabetes, obesity, and metabolic syndrome). We demonstrate that expression and
activity of liver FAS correlate with O-GlcNAcylation contents in ob/ob mice and
in mice fed with a high-carbohydrate diet both in a transcription-dependent and
independent manner. More importantly, inhibiting the removal of O-GlcNAc residues
in mice intraperitoneally injected with the selective and potent O-GlcNAcase
(OGA) inhibitor Thiamet-G increases FAS expression. FAS and O-GlcNAc transferase
(OGT) physically interact, and FAS is O-GlcNAc modified. Treatment of a liver
cell line with drugs or nutrients that elevate the O-GlcNAcylation interferes
with FAS expression. Inhibition of OGA increases the interaction between FAS and
the deubiquitinase Ubiquitin-specific protease-2a (USP2A) in vivo and ex vivo,
providing mechanistic insights into the control of FAS expression through O
GlcNAcylation. Together, these results reveal a new type of regulation of FAS,
linked to O-GlcNAcylation status, and advance our knowledge on deregulation of
lipogenesis in diverse forms of liver diseases.
PMID- 27185462
TI - A Robust Cu(OH)2 Nanoneedles Mesh with Tunable Wettability for Nonaqueous
Multiphase Liquid Separation.
AB - The separation of organic liquid mixtures is achieved by Cu(OH)2 nanoneedle
covered copper mesh based on the difference of the liquid surface tension. The as
prepared membrane allows the penetration of organic liquid with smaller surface
tension and blocks the higher. Thus, the effective separation of these two
organic liquids can be achieved.
PMID- 27185463
TI - Surgical treatments for osteoarthritis.
AB - There are two main surgical treatments for osteoarthritis: conservative
treatments, where the damaged cartilage is left in place, and radical treatments,
where the cartilage is replaced by an artificial endoprosthesis; this latter
procedure is termed joint arthroplasty. These treatments are only offered to
symptomatic patients. Arthrodesis is yet another surgical intervention in cases
of osteoarthritis. It will sacrifice the joint's articular function and is
performed on small osteoarthritic joints, such as wrists and ankles, for
instance. Osteoarthritis symptoms are usually the consequence of an imbalance
between the load applied to a joint and the surface available to support that
load. Therefore, conservative treatments will either tend to decrease the load
exerted on the joint, such as in a tibial valgus osteotomy for instance, or to
improve the articular surface supporting that load. Sometimes, both can be
provided at the same time; the peri-acetabular osteotomy for hip dysplasia is an
example of such a procedure. Conservative treatments are usually offered to young
patients in order to delay, if not avoid, the need for a joint prosthesis. They
are usually performed before osteoarthritis appears or at an early stage. Joint
arthroplasties have overwhelmingly excellent functional results and today's
research is directed towards providing rapid recovery, very long-term stability,
and the assurance of a good functionality in extreme conditions. However,
complications with joint arthroplasties can be serious with little, if any,
reasonable salvage solution. Therefore, these procedures are offered to patients
who have failed adequate medical treatment measures.
PMID- 27185465
TI - Automated microseismic event location using Master-Event Waveform Stacking.
AB - Accurate and automated locations of microseismic events are desirable for many
seismological and industrial applications. The analysis of microseismicity is
particularly challenging because of weak seismic signals with low signal-to-noise
ratio. Traditional location approaches rely on automated picking, based on
individual seismograms, and make no use of the coherency information between
signals at different stations. This strong limitation has been overcome by full
waveform location methods, which exploit the coherency of waveforms at different
stations and improve the location robustness even in presence of noise. However,
the performance of these methods strongly depend on the accuracy of the adopted
velocity model, which is often quite rough; inaccurate models result in large
location errors. We present an improved waveform stacking location method based
on source-specific station corrections. Our method inherits the advantages of
full-waveform location methods while strongly mitigating the dependency on the
accuracy of the velocity model. With this approach the influence of an inaccurate
velocity model on the results is restricted to the estimation of travel times
solely within the seismogenic volume, but not for the entire source-receiver
path. We finally successfully applied our new method to a realistic synthetic
dataset as well as real data.
PMID- 27185460
TI - The Spliceosomal Protein SF3B5 is a Novel Component of Drosophila SAGA that
Functions in Gene Expression Independent of Splicing.
AB - The interaction between splicing factors and the transcriptional machinery
provides an intriguing link between the coupled processes of transcription and
splicing. Here, we show that the two components of the SF3B complex, SF3B3 and
SF3B5, that form part of the U2 small nuclear ribonucleoprotein particle (snRNP)
are also subunits of the Spt-Ada-Gcn5 acetyltransferase (SAGA) transcriptional
coactivator complex in Drosophila melanogaster. Whereas SF3B3 had previously been
identified as a human SAGA subunit, SF3B5 had not been identified as a component
of SAGA in any species. We show that SF3B3 and SF3B5 bind to SAGA independent of
RNA and interact with multiple SAGA subunits including Sgf29 and Spt7 in a yeast
two-hybrid assay. Through analysis of sf3b5 mutant flies, we show that SF3B5 is
necessary for proper development and cell viability but not for histone
acetylation. Although SF3B5 does not appear to function in SAGA's histone
modifying activities, SF3B5 is still required for expression of a subset of SAGA
regulated genes independent of splicing. Thus, our data support an independent
function of SF3B5 in SAGA's transcription coactivator activity that is separate
from its role in splicing.
PMID- 27185464
TI - Differential investment in visual and olfactory brain areas reflects behavioural
choices in hawk moths.
AB - Nervous tissue is one of the most metabolically expensive animal tissues, thus
evolutionary investments that result in enlarged brain regions should also result
in improved behavioural performance. Indeed, large-scale comparative studies in
vertebrates and invertebrates have successfully linked differences in brain
anatomy to differences in ecology and behaviour, but their precision can be
limited by the detail of the anatomical measurements, or by only measuring
behaviour indirectly. Therefore, detailed case studies are valuable complements
to these investigations, and have provided important evidence linking brain
structure to function in a range of higher-order behavioural traits, such as
foraging experience or aggressive behaviour. Here, we show that differences in
the size of both lower and higher-order sensory brain areas reflect differences
in the relative importance of these senses in the foraging choices of hawk moths,
as suggested by previous anatomical work in Lepidopterans. To this end we
combined anatomical and behavioural quantifications of the relative importance of
vision and olfaction in two closely related hawk moth species. We conclude that
differences in sensory brain volume in these hawk moths can indeed be interpreted
as differences in the importance of these senses for the animal's behaviour.
PMID- 27185467
TI - Postnatal care: increasing coverage, equity, and quality.
PMID- 27185466
TI - Molecular determinants of plaque size as an indicator of dengue virus
attenuation.
AB - The development of live viral vaccines relies on empirically derived phenotypic
criteria, especially small plaque sizes, to indicate attenuation. However, while
some candidate vaccines successfully translated into licensed applications,
others have failed safety trials, placing vaccine development on a hit-or-miss
trajectory. We examined the determinants of small plaque phenotype in two dengue
virus (DENV) vaccine candidates, DENV-3 PGMK30FRhL3, which produced acute febrile
illness in vaccine recipients, and DENV-2 PDK53, which has a good clinical safety
profile. The reasons behind the failure of PGMK30FRhL3 during phase 1 clinical
trial, despite meeting the empirically derived criteria of attenuation, have
never been systematically investigated. Using in vitro, in vivo and functional
genomics approaches, we examined infections by the vaccine and wild-type DENVs,
in order to ascertain the different determinants of plaque size. We show that
PGMK30FRhL3 produces small plaques on BHK-21 cells due to its slow in vitro
growth rate. In contrast, PDK53 replicates rapidly, but is unable to evade
antiviral responses that constrain its spread hence also giving rise to small
plaques. Therefore, at least two different molecular mechanisms govern the plaque
phenotype; determining which mechanism operates to constrain plaque size may be
more informative on the safety of live-attenuated vaccines.
PMID- 27185468
TI - Tracking perioperative mortality and maternal mortality: challenges and
opportunities.
PMID- 27185469
TI - Health of female sex workers and their children: a call for action.
PMID- 27185470
TI - Pregnancy-associated plasma protein A (PAPP-A) to predict adverse fetal outcomes
in Chinese: What is the optimal cutoff value?
AB - A low level of PAPP-A predicts adverse fetal outcomes. As Chinese pregnant women
have a higher level of PAPP-A, the predictive performance of PAPP-A and its
optimal cutoff value might be different. This study aims to establish a PAPP-A
cutoff value in the Chinese population that identifies adverse fetal outcomes. We
retrospectively analysed 4936 spontaneous singleton pregnancies of Chinese women
who underwent first-trimester combined Down's screening in our unit from March
2010 to January 2014 and had delivery information available. A composite adverse
fetal outcome encompassed intrauterine fetal loss (including miscarriages and
stillbirths), and live births either before 32 weeks or weighing less than -2
standard deviation (SD) for gestation. The area under the curve of the receiver
operator characteristic curve for prediction of the composite adverse outcome
using PAPP-A was 0.626 (95% CI =0.612-0.640, p < 0.0001). PAPP-A <= 0.23
multiples of median (MoM) identified 0.6% of Chinese pregnant women to be at
significant risk of adverse fetal outcome (positive likelihood ratio 11.2,
positive predictive value 21.4%) despite a low sensitivity (5.1%, 95% CI =1.9
10.8). The negative predictive value was high (97.7%). The commonly used cutoff
of 0.4 MoM was associated with a positive likelihood ratio of 3.7 only. A
prospective study is warranted.
PMID- 27185472
TI - A science for all reasons: A comment on Ogden (2016).
PMID- 27185471
TI - Human NK cells: From surface receptors to clinical applications.
AB - Natural killer (NK) cells play a major role in innate defenses against pathogens,
primarily viruses, and are also thought to be part of the immunosurveillance
against tumors. They express an array of surface receptors that mediate NK cell
function. The human leukocytes antigen (HLA) class I-specific inhibitory
receptors allow NK cells to detect and kill cells that have lost or under-express
HLA class I antigens, a typical feature of tumor or virally infected cells.
However, NK cell activation and induction of cytolytic activity and cytokine
production depends on another important checkpoint, namely the expression on
target cells of ligands recognized by activating NK receptors. Despite their
potent cytolytic activity, NK cells frequently fail to eliminate tumors. This is
due to mechanisms of tumor escape, determined by the tumor cells themselves or by
tumor-associated cells (i.e. the tumor microenvironment) via the release of
soluble suppressive factors or the induction of inhibitory loops involving
induction of regulatory T cells, M2-polarized macrophages and myeloid-derived
suppressor cells. The most important clinical application involving NK cells is
the cure of high-risk leukemias in the haplo-identical hematopoietic stem cell
transplant (HSCT) setting. NK cells originated from hematopoietic stem cells
(HSC) of HLA-haploidentical donors may express Killer Immunoglobulin-like
receptors (KIRs) that are mismatched with the HLA class I alleles of the
recipient. This allows NK cells to kill leukemia blasts residual after the
conditioning regimen, while sparing normal cells (that do not express ligands for
activating NK receptors). More recent approaches based on the specific removal of
TCR alpha/beta(+) T cells and of CD19(+) B cells, allow the infusion, together
with CD34(+) HSC, of mature KIR(+) NK cells and of TCR gamma/delta(+) T cells,
both characterized by a potent anti-leukemia activity. This greatly reduces the
time interval necessary to obtain alloreactive, KIR(+) NK cells derived from
donor HSC. Another promising approach is based on the use of anti-KIR blocking
monoclonal antibodies (mAbs), rendering alloreactive any KIR(+) NK cells.
PMID- 27185476
TI - TDE Adopts the Standards for Quality Improvement Reporting Excellence (SQUIRE)
Guidelines.
PMID- 27185473
TI - Altered metabolite accumulation in tomato fruits by coexpressing a feedback
insensitive AroG and the PhODO1 MYB-type transcription factor.
AB - Targeted manipulation of phenylalanine (Phe) synthesis is a potentially powerful
strategy to boost biologically and economically important metabolites, including
phenylpropanoids, aromatic volatiles and other specialized plant metabolites.
Here, we use two transgenes to significantly increase the levels of aromatic
amino acids, tomato flavour-associated volatiles and antioxidant
phenylpropanoids. Overexpression of the petunia MYB transcript factor, ODORANT1
(ODO1), combined with expression of a feedback-insensitive E. coli 3-deoxy-D
arabino-heptulosonate 7-phosphate synthase (AroG), altered the levels of multiple
primary and secondary metabolites in tomato fruit, boosting levels of multiple
secondary metabolites. Our results indicate that coexpression of AroG and ODO1
has a dual effect on Phe and related biosynthetic pathways: (i) positively
impacting tyrosine (Tyr) and antioxidant related metabolites, including ones
derived from coumaric acid and ferulic acid; (ii) negatively impacting other
downstream secondary metabolites of the Phe pathway, including kaempferol-,
naringenin- and quercetin-derived metabolites, as well as aromatic volatiles. The
metabolite profiles were distinct from those obtained with either single
transgene. In addition to providing fruits that are increased in flavour and
nutritional chemicals, coexpression of the two genes provides insights into
regulation of branches of phenylpropanoid metabolic pathways.
PMID- 27185474
TI - A novel gene mutation in PANK2 in a patient with severe jaw-opening dystonia.
AB - Pantothenate kinase-associated neurodegeneration (PKAN) is a rare
neurodegenerative condition. Major clinical features include progressive
dystonia, pigmentary retinopathy, spasticity, and cognitive decline. The typical
MRI sign of the disease, known as "eye-of-the-tiger", is what makes differential
diagnosis possible. We here describe a 16-year-old male patient with PKAN
presenting with severe and sustained jaw-opening dystonia which may be due to
heterogeneous etiologies showing poor response to treatment. Herein, long-term
follow-up and genetic results of a PKAN case who experienced severe jaw-opening
dystonia are presented and discussed.
PMID- 27185477
TI - In vitro sensitivity of different activated partial thromboplastin time reagents
to mild clotting factor deficiencies.
AB - INTRODUCTION: Activated partial thromboplastin time (aPTT) is a routine clotting
assay that is widely used to globally screen for coagulation abnormalities. It is
commonly admitted that a prolonged test result, may trigger the need for specific
assays to be performed, particularly factor measurement. However, the sensitivity
of aPTT reagents to deficiencies of clotting factors varies. METHODS: We
evaluated, according to the recommendation of the CLSI H47-A2 guideline, the
responsiveness to single factor levels of five aPTT reagents by using factor
deficient plasmas spiked with a calibration plasma to produce individual factor
activities ranging from <1 to ~100 Unit (U)/dL. Test results were expressed as
the sample-to-control ratio, the latter was defined as the clotting time obtained
in the calibration plasma containing ~100 U/dL factor activity. The factor
activity producing a prolongation of aPTT above the upper limit of its specific
normal range (in ratio) was assigned as the factor responsiveness in U/dL to that
reagent. RESULTS: Responsiveness ranged from 34 to 47 U/dL to FVIII: C, from 18
to 57 U/dL to FIX, from 38 to 52 U/dL to FXI, from 29 to 50 U/dL to FXII, from 40
and 59 U/dL to FV, from 7.5 to 49 U/dL to FX, and from 9.1 to 10.5 U/dL to FII.
CONCLUSIONS: These results suggest that the sensitivity of the tested aPTT
reagents to single factor deficiency is highly variable. Moreover, for one given
aPTT reagent, its sensitivity was very different depending on the deficient
factor. This must be considered when analyzing clinical materials.
PMID- 27185479
TI - Three-component oxysulfenylation reaction: two simple and convenient approaches
to beta-alkoxy sulfides.
AB - An unprecedented method for the synthesis of beta-alkoxy sulfides via a NaI/HBr
mediated three-component oxysulfenylation reaction of alkenes with arylsulfinic
acids and alcohols is reported. Furthermore, I2-promoted oxysulfenylation of
alkenes using sodium arylsulfinates instead of arylsulfinic acids to synthesise
various beta-alkoxy sulfides is also described.
PMID- 27185478
TI - Investigation of bacterial inactivation in apheresis platelets with 24 or 30
hours between inoculation and inactivation.
AB - BACKGROUND AND OBJECTIVES: Blood Centre logistics, staffing and donor scheduling
may be optimized if pathogen inactivation (PI) of platelets can be delayed until
Day 1, but bacteria may rapidly grow during this time. This study evaluates
bacterial PI performed 24 and 30 h after collection. MATERIALS AND METHODS: PAS-3
platelet units were collected on the Amicus and subsequently inoculated (3-53
CFU/unit) with 1of 5 transfusion relevant bacterial species (n = 3/organism).
Units were then stored for either 24 +/- 0.3 or 30 +/- 0.3 h at 20-24 degrees C
with agitation, subsequently treated with amotosalen and UVA, and stored for 7
days. Samples were taken before and after inactivation, on Days 2, 5 and 7 for
BacT/ALERT testing, and on Days 5 and 7 for plate counts. RESULTS: All samples
from units taken prior to inactivation either demonstrated positive plate culture
counts, or, in untreated positive controls, were culture-positive during storage.
All contaminated units treated with amotosalen and UVA 24 after inoculation were
culture-negative on all days tested. With inactivation performed 30 h following
inoculation, one of 15 units (1-of-3 replicates) was culture-positive with
Klebsiella pneumonia (1 * 109 CFU/ml) by Day 5. CONCLUSION: Photochemical
treatment did not inactivate 1 of 15 units to sterility in apheresis platelets
stored in PAS with a 30-h delay between contamination and treatment, but did
inactivate 15 of 15 units with a 24-h delay.
PMID- 27185481
TI - Pregnant and peripartum women are not at increased risk for psychotic experiences
at the population level: Evidence from 46 countries.
PMID- 27185482
TI - Dissecting negative symptoms of schizophrenia: History, assessment,
pathophysiological mechanisms and treatment.
PMID- 27185483
TI - Clinical and biological correlates of resilience in patients with schizophrenia
and bipolar disorder: A cross-sectional study.
AB - OBJECTIVE: The concept of resilience is relevant in understanding the
heterogeneous outcomes noted in schizophrenia and bipolar disorder. However,
clinical and biological correlates of resilience in these populations have rarely
been investigated. We aimed to identify key correlates of subjective resilience
in such patients using comprehensive assessments and to explore associations
between resilience levels and peripheral biomarkers. METHOD: 180 subjects with
DSM-IV schizophrenia, bipolar disorder, and healthy controls (60 per group) were
included. Demographic and clinical variables were assessed by means of interview
and various psychometric scales. Furthermore, blood and saliva samples were
obtained for the assessment of brain-derived neurotrophic factor,
adrenocorticotropic hormone, cortisol, high sensitivity C-reactive protein, and
alpha-amylase levels. Cross-sectional associations with resilience, as assessed
by the 25-item Resilience Scale were sought. RESULTS: Resilience Scale total
scores were significantly higher in healthy individuals (130.1, 95% confidence
intervals (CI): 124.8-135.4) compared to subjects with schizophrenia (109.9, 95%
CI: 104.6-115.2, p<0.001) and bipolar disorder (119.0, 95% CI: 113.8-124.3,
p=0.012), while the difference between patient groups was non-significant
(p=0.055). Self-esteem, spirituality, quality of life, and hopelessness were
correlated with resilience in all three groups. In addition, internalized stigma
and depression were relevant factors in the schizophrenia and bipolar disorder
group, respectively. Correlations between resilience levels and peripheral
biomarkers did not reach significance. CONCLUSION: Although causal relationships
must be confirmed in prospective studies, our results have implications in
developing psychological interventions to enhance resilience in patients with
schizophrenia and bipolar disorder. The biological correlates of resilience in
these populations warrant further investigations.
PMID- 27185484
TI - Developmental exposure to 2,3,7,8-tetrachlorodibenzo-p-dioxin may alter LH
release patterns by abolishing sex differences in GABA/glutamate cell number and
modifying the transcriptome of the male anteroventral periventricular nucleus.
AB - Developmental exposure to arylhydrocarbon receptor (AhR) ligands abolishes sex
differences in a wide range of neural structures and functions. A well-studied
example is the anteroventral periventricular nucleus (AVPV), a structure that
controls sex-specific luteinizing hormone (LH) release. In the male, testosterone
(T) secreted by the developing testes defeminizes LH release mechanisms;
conversely, perinatal AhR activation by 2,3,7,8,-tetrachlorodibenzo-p-dioxin
(TCDD) blocks defeminization. To better understand developmental mechanisms
altered by TCDD exposure, we first verified that neonatal TCDD exposure in male
rats prevented the loss of AVPV GABA/glutamate neurons that are critical for
female-typical LH surge release. We then used whole genome arrays and
quantitative real-time polymerase chain reaction (QPCR) to compare AVPV
transcriptomes of males treated neonatally with TCDD or vehicle. Our
bioinformatics analyses showed that TCDD enriched gene sets important for neuron
development, synaptic transmission, ion homeostasis, and cholesterol
biosynthesis. In addition, upstream regulatory analysis suggests that both
estrogen receptors (ER) and androgen receptors (AR) regulate genes targeted by
TCDD. Of the 23 mRNAs found to be changed by TCDD at least 2-fold (p<0.05), most
participate in the functions identified in our bioinformatics analyses. Several,
including matrix metallopeptidase 9 and SRY-box 11 (Sox11), are known targets of
E2. CUG triplet repeat, RNA binding protein 2 (cugbp2) is particularly
interesting because it is sex-specific, oppositely regulated by estradiol (E2)
and TCDD. Moreover, it regulates the post-transcriptional processing of molecules
previously linked to sexual differentiation of the brain. These findings provide
new insights into how TCDD may interfere with defeminization of LH release
patterns.
PMID- 27185485
TI - Lithium accelerates functional motor recovery by improving remyelination of
regenerating axons following ventral root avulsion and reimplantation.
AB - Brachial plexus injury (BPI) often involves the complete or partial avulsion of
one or more of the cervical nerve roots, which leads to permanent paralysis of
the innervated muscles. Reimplantation surgery has been attempted as a clinical
treatment for brachial plexus root avulsion but has failed to achieve complete
functional recovery. Lithium is a mood stabilizer drug that is used to treat
bipolar disorder; however, its effects on spinal cord or peripheral nerve
injuries have also been reported. The purpose of this study was to investigate
whether lithium can improve functional motor recovery after ventral root avulsion
and reimplantation in a rat model of BPI. The results showed that systemic
treatment with a clinical dose of lithium promoted motor neuron outgrowth and
increased the efficiency of motor unit regeneration through enhanced
remyelination. An analysis of myelin-associated genes showed that the effects of
lithium started during the early phase of remyelination and persisted through the
late stage of the process. Efficient remyelination of the regenerated axons in
the lithium-treated rats led to an earlier functional recovery. Therefore, we
demonstrated that lithium might be a potential clinical treatment for BPI in
combination with reimplantation surgery.
PMID- 27185487
TI - Regionally distinct phasic dopamine release patterns in the striatum during
reversal learning.
AB - Striatal dopamine (DA) plays a central role in reward-related learning and
behavioral adaptation to changing environments. Recent studies suggest that
rather than being broadcast as a uniform signal throughout the entire region, DA
release dynamics diverge between different striatal regions. In a previous study,
we showed that phasic DA release patterns in the ventromedial striatum (VMS)
rapidly adapt during reversal learning. However, it is unknown how DA dynamics in
the dorsolateral striatum (DLS) are modulated during such adaptive behavior.
Here, we used fast-scan cyclic voltammetry to measure phasic DA release in the
DLS during spatial reversal learning. In the DLS, we observed minor DA release
after the onset of a visual cue signaling reward availability, followed by more
pronounced DA release during more proximal reward cues (e.g., lever extension)
and execution of the operant response (i.e., lever press), both in rewarded and
non-rewarded trials. These release dynamics (minor DA after onset of the
predictive visual cue, prominent DA during the operant response) did not change
significantly during or following a reversal of response-reward contingencies.
Notably, the DA increase to the lever press did not reflect a general signal
related to the initiation of any motivated motor response, as we did not observe
DA release when rats initiated nose pokes into the food receptacle during inter
trial intervals. This suggests that DA release in the DLS occurs selectively
during the initiation and execution of a learned operant response. Together with
our previous results obtained in the VMS, these findings reveal distinct phasic
DA release patterns during adaptation of established behavior in DLS and VMS. The
VMS DA signal, which is highly sensitive to reversal of response-reward
contingences, may provide a teaching signal to guide reward-related learning and
facilitate behavioral adaptation, whereas DLS DA may reflect a 'response
execution signal' largely independent of outcome, that may be involved in
initiation and energizing of operant behavior.
PMID- 27185488
TI - Effects of acetylated wood powder on growth performance, hepatic and muscular
free amino acid profiles, and inosine 5'-monophosphate concentration of breast
meat in broiler chickens.
AB - The present study was conducted to determine the effects of acetylated wood
powder (AW) as a new feed additive on performance, liver and muscle metabolism of
amino acids and fatty acids and nucleotide-related substances of meat in broiler
chickens. It was hypothesised that acetic acid desorbed from AW during intestinal
digestion affects tissue metabolism. Two-week-old broiler chicks were divided
into four groups and fed on diets supplemented with wood powder (30 g/kg) less
than 106 um in diameter, except for controls. The AW was added to diets at 0, 10
and 30 g/kg to replace the non-acetylated wood powder (NAW) for 26 d. Plasma,
liver tissue and breast muscle were taken from half of birds at 40 d of age under
the fed condition. After the remaining chickens were fasted for 14 h, breast
muscle was taken and refrigerated for 24 h. Consumption of wood powder with or
without acetyl groups had no effect on growth performance including tissue
weights of abdominal fat and breast muscle and plasma metabolites. Feeding AW
decreased total free amino acid concentrations in the liver compared to the group
only fed on the NAW. This response was dependent mainly on reduced non-essential
and glucogenic amino acid concentrations. However, in breast muscle, alterations
of free amino acid concentrations were observed only for histidine and
tryptophan. In addition, the fatty acid composition of liver and breast muscle
was not affected by feeding AW. In breast meat obtained from fasted chickens, the
higher level of AW increased the concentration of inosine 5'-monophosphate, a
taste-active compound, and in contrast, decreased the subsequent catabolites
(inosine and hypoxanthine). However, the concentration of glutamic acid, a taste
active compound, was lowered at this level of AW ingestion. Therefore, this study
suggested that feeding AW as a new feed additive regulates ante-mortem amino acid
utilisation in the liver and contributes to retard post-mortem degradation of
inosine 5'-monophosphate as a taste-active compound in chicken meat.
PMID- 27185486
TI - Immunostaining for Homer reveals the majority of excitatory synapses in laminae I
III of the mouse spinal dorsal horn.
AB - The spinal dorsal horn processes somatosensory information before conveying it to
the brain. The neuronal organization of the dorsal horn is still poorly
understood, although recent studies have defined several distinct populations
among the interneurons, which account for most of its constituent neurons. All
primary afferents, and the great majority of neurons in laminae I-III are
glutamatergic, and a major factor limiting our understanding of the synaptic
circuitry has been the difficulty in identifying glutamatergic synapses with
light microscopy. Although there are numerous potential targets for antibodies,
these are difficult to visualize with immunocytochemistry, because of protein
cross-linking following tissue fixation. Although this can be overcome by antigen
retrieval methods, these lead to difficulty in detecting other antigens. The aim
of this study was to test whether the postsynaptic protein Homer can be used to
reveal glutamatergic synapses in the dorsal horn. Immunostaining for Homer gave
punctate labeling when viewed by confocal microscopy, and this was restricted to
synapses at the ultrastructural level. We found that Homer puncta were
colocalized with the AMPA receptor GluR2 subunit, but not with the inhibitory
synapse-associated protein gephyrin. We also examined several populations of
glutamatergic axons and found that most boutons were in contact with at least one
Homer punctum. These results suggest that Homer antibodies can be used to reveal
the great majority of glutamatergic synapses without antigen retrieval. This will
be of considerable value in tracing synaptic circuits, and also in investigating
plasticity of glutamatergic synapses in pain states.
PMID- 27185489
TI - Long-term leucine supplementation aggravates prolonged strenuous exercise-induced
cardiovascular changes in trained rats.
AB - NEW FINDINGS: What is the central question of this study? Can long-term leucine
supplementation prevent prolonged strenuous endurance exercise induced cardiac
injury? What is the main finding and its importance? Prolonged endurance exercise
does not seem to exceed cardiac energetic capacity, hence it does not represent
an energy threat to this organ, at least in trained subjects. However, it may
induce, in susceptible individuals, a state of cardiac electrical instability,
which has been associated with ventricular arrhythmias and sudden cardiac death.
This situation might be worsened when combined with leucine supplementation,
which leads to increased blood pressure and cardiac injury. Leucine
supplementation failed to prevent cardiac fatigue symptoms and may aggravate
prolonged strenuous exercise-induced cardiovascular disturbances in trained rats.
Observational studies have raised concerns that prolonged strenuous exercise
training may be associated with increased risk of cardiac arrhythmia and even
primary cardiac arrest or sudden death. It has been demonstrated that leucine can
reduce prolonged exercise-induced muscle damage and accelerate the recovery
process. The aim of this study was to investigate the effects of prolonged
strenuous endurance exercise on cardiovascular parameters and biomarkers of
cardiac injury in trained adult male rats and assess the use of leucine as an
auxiliary substance to prevent the likely cardiac adverse effects caused by
strenuous exercise. Twenty-four male Wistar rats were randomly allocated to
receive a balanced control diet (18% protein) or a leucine-rich diet (15% protein
plus 3% leucine) for 6 weeks. The rats were submitted to 1 h of exercise, 5 days
per week for 6 weeks. Three days after the training period, the rats were
submitted to swimming exercise until exhaustion, and cardiac parameters were
assessed. Exercising until exhaustion significantly increased cardiac biomarker
levels, cytokines and glycogen content inhibited protein synthesis signalling and
led to cardiac electrical disturbances. When combined with exercise, leucine
supplementation led to greater increases in the aforementioned parameters and
also a significant increase in blood pressure and protein degradation signalling.
We report, for the first time, that leucine supplementation not only fails to
prevent cardiac fatigue symptoms, but may also aggravate prolonged strenuous
exercise-induced cardiovascular disturbances in trained rats. Furthermore, we
find that exercising until exhaustion can cause cardiac electrical disturbances
and damage cardiac myocytes.
PMID- 27185490
TI - Synthetic p55 tandem DNA vaccine against Pneumocystis carinii in rats.
AB - Pneumocystis spp. are opportunistic fungal pathogens that are closely associated
with severe pneumonia and pulmonary complications in patients with impaired
immunity. In this study, the antigenic epitopes of the gene encoding the 55 kDa
antigen fragment of Pneumocystis (p55), which may play an important role in
Pneumocystis pneumonia, were analyzed. A gene containing tandem variants of the
p55 antigen was synthesized and named the tandem antigen gene (TAG). TAG's
potential as a DNA vaccine was assessed in immunosuppressed rats. Immunization
with p55-TAG DNA vaccine significantly reduced both the pathogen burden and lung
weight to body-weight ratios. Additionally, p55-TAG vaccination in
immunosuppressed rats elicited both cell-mediated and humoral immunity.
PMID- 27185491
TI - Advancing Migrant Access to Health Services in Europe (AMASE): Protocol for a
Cross-sectional Study.
AB - BACKGROUND: Migrants form a substantial proportion of the population affected by
the human immunodeficiency virus (HIV) epidemic in Europe, yet HIV prevention for
this population is hindered by poor understanding of access to care and of
postmigration transmission dynamics. OBJECTIVE: We present the design and methods
of the advancing Migrant Access to health Services in Europe (aMASE) study, the
first European cross-cultural study focused on multiple migrant populations. It
aims to identify the structural, cultural, and financial barriers to HIV
prevention, diagnosis, and treatment and to determine the likely country of HIV
acquisition in HIV-positive migrant populations. METHODS: We delivered 2 cross
sectional electronic surveys across 10 countries (Belgium, France, Germany,
Greece, Italy, the Netherlands, Portugal, Spain, Switzerland, and United
Kingdom). A clinic survey aimed to recruit up to 2000 HIV-positive patients from
57 HIV clinics in 9 countries. A unique study number linked anonymized
questionnaire data to clinical records data (viral loads, CD4 cell counts, viral
clades, etc). This questionnaire was developed by expert panel consensus and
cognitively tested, and a pilot study was carried out in 2 countries. A Web-based
community survey (n=1000) reached those living with HIV but not currently
accessing HIV clinics, as well as HIV-negative migrants. It was developed in
close collaboration with a community advisory group (CAG) made up of
representatives from community organizations in 9 of the participating countries.
The CAG played a key role in data collection by promoting the survey to higher
risk migrant groups (sub-Saharan Africans, Latin Americans, men who have sex with
men, and people who inject drugs). The questionnaires have considerable content
overlap, allowing for comparison. Questions cover ethnicity, migration,
immigration status, HIV testing and treatment, health-seeking behavior, sexual
risk, and drug use. The electronic questionnaires, which were available in 15
languages, allowed for complex routing, preventing respondents from answering
irrelevant questions. RESULTS: In total, we recruited 2249 participants from 57
HIV clinics as part of the clinic survey and retrieved 1637 complete responses as
part of the community survey. CONCLUSIONS: The findings will provide much-needed
information for improving HIV prevention interventions and access to services for
migrant communities.
PMID- 27185493
TI - Nanometals for Solar-to-Chemical Energy Conversion: From Semiconductor-Based
Photocatalysis to Plasmon-Mediated Photocatalysis and Photo-Thermocatalysis.
AB - Nanometal materials play very important roles in solar-to-chemical energy
conversion due to their unique catalytic and optical characteristics. They have
found wide applications from semiconductor photocatalysis to rapidly growing
surface plasmon-mediated heterogeneous catalysis. The recent research
achievements of nanometals are reviewed here, with regard to applications in
semiconductor photocatalysis, plasmonic photocatalysis, and plasmonic photo
thermocatalysis. As the first important topic discussed here, the latest progress
in the design of nanometal cocatalysts and their applications in semiconductor
photocatalysis are introduced. Then, plasmonic photocatalysis and plasmonic photo
thermocatalysis are discussed. A better understanding of electron-driven and
temperature-driven catalytic behaviors over plasmonic nanometals is helpful to
bridge the present gap between the communities of photocatalysis and conventional
catalysis controlled by temperature. The objective here is to provide instructive
information on how to take the advantages of the unique functions of nanometals
in different types of catalytic processes to improve the efficiency of solar
energy utilization for more practical artificial photosynthesis.
PMID- 27185492
TI - Improved sensitivity of computed tomography towards iodine and gold nanoparticle
contrast agents via iterative reconstruction methods.
AB - Computed tomography is a widely used medical imaging technique that has high
spatial and temporal resolution. Its weakness is its low sensitivity towards
contrast media. Iterative reconstruction techniques (ITER) have recently become
available, which provide reduced image noise compared with traditional filtered
back-projection methods (FBP), which may allow the sensitivity of CT to be
improved, however this effect has not been studied in detail. We scanned phantoms
containing either an iodine contrast agent or gold nanoparticles. We used a range
of tube voltages and currents. We performed reconstruction with FBP, ITER and a
novel, iterative, modal-based reconstruction (IMR) algorithm. We found that noise
decreased in an algorithm dependent manner (FBP > ITER > IMR) for every scan and
that no differences were observed in attenuation rates of the agents. The
contrast to noise ratio (CNR) of iodine was highest at 80 kV, whilst the CNR for
gold was highest at 140 kV. The CNR of IMR images was almost tenfold higher than
that of FBP images. Similar trends were found in dual energy images formed using
these algorithms. In conclusion, IMR-based reconstruction techniques will allow
contrast agents to be detected with greater sensitivity, and may allow lower
contrast agent doses to be used.
PMID- 27185494
TI - Investigation of Migration and Differentiation of Human Mesenchymal Stem Cells on
Five-Layered Collagenous Electrospun Scaffold Mimicking Native Cartilage
Structure.
AB - Cartilage degeneration is the major cause of chronic pain, lost mobility, and
reduced quality of life for over estimated 150 million osteoarthritis sufferers
worldwide. Despite intensive research, none of the available therapies can
restore the hyaline cartilage surface beyond just fibrous repair. To overcome
these limitations, numerous cell-based approaches for cartilage repair are being
explored that aim to provide an appropriate microenvironment for chondrocyte
maintenance and differentiation of multipotent mesenchymal stem cells (MSCs)
toward the chondrogenic lineage. Articular cartilage is composed of highly
organized collagen network that entails the tissue into four distinct zones and
each zone into three different regions based on differences in matrix morphology
and biochemistry. Current cartilage implants cannot establish the hierarchical
tissue organization that seems critical for normal cartilage function. Therefore,
in this study, a structured, multilayered collagen scaffold designed for the
replacement of damaged cartilage is presented that allows repopulation by host
cells and synthesis of a new natural matrix. By using the electrospinning method,
the potential to engineer a scaffold consisting of two different collagen types
is obtained. With the developed collagen scaffold, a five-layered biomaterial is
created that has the potency to induce the differentiation of human bone marrow
derived MSCs toward the chondrogenic lineage.
PMID- 27185495
TI - 15-deoxy prostaglandin J2, the nonenzymatic metabolite of prostaglandin D2,
induces apoptosis in keratinocytes of human hair follicles: a possible
explanation for prostaglandin D2-mediated inhibition of hair growth.
AB - Recent studies have shown that prostaglandin D2 (PGD2) and its nonenzymatic
metabolite, 15-deoxy-Delta(12,14)-prostaglandin J2 (15-dPGJ2), inhibit in vitro
growth of explanted human hair follicles and inhibit hair growth in mice through
the GPR44 (DP2). However, the underlying mechanism is still unclear. In this
study, we first investigated the expression of DP2 in human hair follicles and in
cultured follicular cells. We found that DP2 is strongly expressed in the outer
root sheath (ORS) cells and weakly expressed in the dermal papilla (DP) cells. We
observed slight growth stimulation when ORS and DP cells were treated with PGD2.
We also observed slight growth stimulation when DP and ORS cells were treated
with low concentrations (0.5 and 1 MUM) of 15-dPGJ2. However, 5 MUM 15-dPGJ2
inhibited the viability and caused apoptosis of both cell types. Exposure of
cultured human hair follicles to 15-dPGJ2 resulted in significant apoptosis in
follicular keratinocytes. Altogether, our data provide an evidence that 15-dPGJ2
promotes apoptosis in follicular keratinocytes and provide rationale for
developing remedies for the prevention and treatment of hair loss based on DP2
antagonism.
PMID- 27185497
TI - Seeing the invisible in differential interference contrast microscopy images.
AB - Automated microscopy image restoration, especially in Differential Interference
Contrast (DIC) imaging modality, has attracted increasing attentions since it
greatly facilitates long-term living cell analysis without staining. Although the
previous work on DIC image restoration is able to restore the nuclei regions of
living cells, it is still challenging to reconstruct the unnoticeable cytoplasm
details in DIC images. In this paper, we propose to extract the tiny movement
information of living cells in DIC images and reveal the hidden details in DIC
images by magnifying the cells' motion as well as attenuating the intensity
variation from the background. From our restored images, we can clearly observe
the previously-invisible details in DIC images. Experiments on two DIC image
datasets show that the motion-based restoration method can reveal the hidden
details of living cells. In addition, we demonstrate our restoration method can
also be applied to other imaging modalities such as the phase contrast microscopy
to enhance cells' details. Furthermore, based on the pixel-level restoration
results, we can obtain the object-level segmentation by leveraging a label
propagation approach, providing promising results on facilitating the cell shape
and behavior analysis. The proposed algorithm can be a software module to enhance
the visualization capability of microscopes.
PMID- 27185496
TI - Contractile effects and receptor analysis of adenosine-receptors in human
detrusor muscle from stable and neuropathic bladders.
AB - To measure the relative transcription of adenosine receptor subtypes and the
contractile effects of adenosine and selective receptor-subtype ligands on
detrusor smooth muscle from patients with neuropathic overactive (NDO) and stable
bladders and also from guinea-pigs. Contractile function was measured at 37
degrees C in vitro from detrusor smooth muscle strips. Contractions were elicited
by superfusate agonists or by electrical field stimulation. Adenosine-receptor
(A1, A2A, A2B, A3) transcription was measured by RT-PCR. Adenosine attenuated
nerve-mediated responses with equivalent efficacy in human and guinea-pig tissue
(pIC50 3.65-3.86); the action was more effective at low (1-8 Hz) compared to high
(20-40 Hz) stimulation frequencies in human NDO and guinea-pig tissue. With
guinea-pig detrusor the action of adenosine was mirrored by the A1/A2-agonist N
ethylcarboxamidoadenosine (NECA), partly abolished in turn by the A2B-selectve
antagonist alloxazine, as well as the A1-selective agonist N6-
cyclopentyladenosine (CPA). With detrusor from stable human bladders the effects
of NECA and CPA were much smaller than that of adenosine. Adenosine also
attenuated carbachol contractures, but mirrored by NECA (in turn blocked by
alloxazine) only in guinea-pig tissue. Adenosine receptor subtype transcription
was measured in human detrusor and was similar in both groups, except reduced A2A
levels in overactive bladder. Suppression of the carbachol contracture in human
detrusor is independent of A-receptor activation, in contrast to an A2B-dependent
action with guinea-pig tissue. Adenosine also reduced nerve-mediated
contractions, by an A1- dependent action suppressing ATP neurotransmitter action.
PMID- 27185498
TI - Steroid LC-MS has come of age.
PMID- 27185499
TI - Stem cells and the role of ETS transcription factors in the differentiation
hierarchy of normal and malignant prostate epithelium.
AB - Prostate cancer is the most common cancer of men in the UK and accounts for a
quarter of all new cases. Although treatment of localised cancer can be
successful, there is no cure for patients presenting with invasive prostate
cancer and there are less treatment options. They are generally treated with
androgen-ablation therapies but eventually the tumours become hormone resistant
and patients develop castration-resistant prostate cancer (CRPC) for which there
are no further successful or curative treatments. This highlights the need for
new treatment strategies. In order to prevent prostate cancer recurrence and
treatment resistance, all the cell populations in a heterogeneous prostate tumour
must be targeted, including the rare cancer stem cell (CSC) population. The ETS
transcription factor family members are now recognised as a common feature in
multiple cancers including prostate cancer; with aberrant expression, loss of
tumour suppressor function, inactivating mutations and the formation of fusion
genes observed. Most notably, the TMPRSS2-ERG gene fusion is present in
approximately 50% of prostate cancers and in prostate CSCs. However, the role of
other ETS transcription factors in prostate cancer is less well understood. This
review will describe the prostate epithelial cell hierarchy and discuss the
evidence behind prostate CSCs and their inherent resistance to conventional
cancer therapies. The known and proposed roles of the ETS family of transcription
factors in prostate epithelial cell differentiation and regulation of the CSC
phenotype will be discussed, as well as how they might be targeted for therapy.
PMID- 27185501
TI - Products with Natural Components to Heal Dermal Burns: A Patent Review.
AB - BACKGROUND: Burns are a global public health problem, and non-fatal burn injuries
are a leading cause of morbidity. The scale of the problem has led researchers to
seek to develop new prod- ucts (both synthetic and natural) for use in the
treatment of burn lesions. OBJECTIVE: The aim of this study was to examine all
patents in databases between 2010 and 2015 related to natural prod- ucts for the
treatment of burn-related wounds that targeted tissue repair and healing. METHOD:
The search term "burn" and the code A61K36/00 (plant and other natural
derivatives used in medicinal prepara- tions) from the international
classification of patents were used to identify treatments. RESULTS: The search
was performed in the WIPO, ESPACENET and USPTO databases. The highest number of
patent ap- plications was found in the WIPO data base (617), followed by
ESPACENET(23) and USPTO(6). The USA and China were the countries with the most
patent applications, and 2008 was the year that had the highest number of
applications. Patent applications written in Spanish, English and Portuguese and
that were published between 2010 and 2015 were se- lected. 559 patent
applications in other languages, and 63 that did not result in the creation of
new products between 2010 and 2015 were excluded and the remaining 13 patents
application were selected for full reading of the text. CONCLUSION: Through this
study we were able to identify and summarize the new active natural compounds
that can be used in the treatment of burns, both in terms of tissue recovery and
analgesia.
PMID- 27185502
TI - A Review of Gene Knockout Strategies for Microbial Cells.
AB - BACKGROUND: Predicting the effects of genetic modification is difficult due to
the complexity of metabolic net- works. Various gene knockout strategies have
been utilised to deactivate specific genes in order to determine the effects of
these genes on the function of microbes. Deactivation of genes can lead to
deletion of certain proteins and functions. Through these strategies, the
associated function of a deleted gene can be identified from the metabolic
networks. METHODS: The main aim of this paper is to review the available
techniques in gene knockout strategies for microbial cells. The review is done in
terms of their methodology, recent applications in microbial cells. In addition,
the advantages and disadvantages of the techniques are compared and discuss and
the related patents are also listed as well. RESULTS: Traditionally, gene
knockout is done through wet lab (in vivo) techniques, which were conducted
through laboratory experiments. However, these techniques are costly and time
consuming. Hence, various dry lab (in silico) techniques, where are conducted
using computational approaches, have been developed to surmount these problem.
CONCLUSION: The development of numerous techniques for gene knockout in microbial
cells has brought many advancements in the study of gene functions. Based on the
literatures, we found that the gene knockout strategies currently used are
sensibly implemented with regard to their benefits.
PMID- 27185503
TI - Synergistic strengthening effect of nanocrystalline copper reinforced with carbon
nanotubes.
AB - In this study, a novel multi-walled carbon nanotubes reinforced nanocrystalline
copper matrix composite with super high strength and moderate plasticity was
synthesized. We successfully overcome the agglomeration problem of the carbon
nanotubes and the grain growth problem of the nanocrystalline copper matrix by
combined use of the electroless deposition and spark plasma sintering methods.
The yield strength of the composite reach up to 692 MPa, which is increased by 2
and 5 times comparing with those of the nanocrystalline and coarse copper,
respectively. Simultaneously, the plasticity of the composite was also
significantly increased in contrast with that of the nanocrystalline copper. The
increase of the density of the carbon nanotubes after coating, the isolation
effect caused by the copper coating, and the improvement of the compatibility
between the reinforcements and matrix as well as the effective control of the
grain growth of the copper matrix all contribute to improving the mechanical
properties of the composite. In addition, a new strengthening mechanism, i.e.,
the series-connection effect of the nanocrystalline copper grains introduced by
carbon nanotubes, is proposed to further explain the mechanical behavior of the
nanocomposite.
PMID- 27185500
TI - Dynamic structure of plasma fibronectin.
AB - Fibronectin is a large vertebrate glycoprotein that is found in soluble and
insoluble forms and involved in diverse processes. Protomeric fibronectin is a
dimer of subunits, each of which comprises 29-31 modules - 12 type I, two type II
and 15-17 type III. Plasma fibronectin is secreted by hepatocytes and circulates
in a compact conformation before it binds to cell surfaces, converts to an
extended conformation and is assembled into fibronectin fibrils. Here we review
biophysical and structural studies that have shed light on how plasma fibronectin
transitions from the compact to the extended conformation. The three types of
modules each have a well-organized secondary and tertiary structure as defined by
NMR and crystallography and have been likened to "beads on a string". There are
flexible sequences in the N-terminal tail, between the fifth and sixth type I
modules, between the first two and last two of the type III modules, and at the C
terminus. Several specific module-module interactions have been identified that
likely maintain the compact quaternary structure of circulating fibronectin. The
quaternary structure is perturbed in response to binding events, including
binding of fibronectin to the surface of vertebrate cells for fibril assembly and
to bacterial adhesins.
PMID- 27185504
TI - A data-driven concept schema for defining clinical research data needs.
AB - OBJECTIVES: The Patient, Intervention, Control/Comparison, and Outcome (PICO)
framework is an effective technique for framing a clinical question. We aim to
develop the counterpart of PICO to structure clinical research data needs.
METHODS: We use a data-driven approach to abstracting key concepts representing
clinical research data needs by adapting and extending an expert-derived
framework originally developed for defining cancer research data needs. We
annotated clinical trial eligibility criteria, EHR data request logs, and data
queries to electronic health records (EHR), to extract and harmonize concept
classes representing clinical research data needs. We evaluated the class
coverage, class preservation from the original framework, schema
generalizability, schema understandability, and schema structural correctness
through a semi-structured interview with eight multidisciplinary domain experts.
We iteratively refined the schema based on the evaluations. RESULTS: Our data
driven schema preserved 68% of the 63 classes from the original framework and
covered 88% (73/82) of the classes proposed by evaluators. Class coverage for
participants of different backgrounds ranged from 60% to 100% with a median value
of 95% agreement among the individual evaluators. The schema was found
understandable and structurally sound. CONCLUSIONS: Our proposed schema may serve
as the counterpart to PICO for improving the research data needs communication
between researchers and informaticians.
PMID- 27185505
TI - Evaluation of a computer-based bilingual breastfeeding educational program on
breastfeeding knowledge, self-efficacy and intent to breastfeed among rural
Hispanic women.
AB - OBJECTIVE: To evaluate the impact of an interactive, computer based, bi-lingual
breastfeeding educational program on breastfeeding knowledge, self-efficacy and
intent to breastfeed among rural Hispanic women living in Scottsbluff, Nebraska.
METHODS: A two-group, repeated measures quasi-experimental study was conducted to
evaluate the impact of a breastfeeding intervention. Forty six rural Hispanic
women between ages 18 and 38 years were enrolled at the Regional West Medical
Center in Scottsbluff, Nebraska. Study participants were randomized into
intervention and control groups, with the intervention group (n=23) receiving bi
lingual (English and Spanish) breastfeeding education on a touch screen computer
program, while the control group received printed educational material. Study
participants were enrolled during their last six weeks of pregnancy, with follow
up assessments conducted post-partum at days 3 and 7, weeks 2 and 6, and months 3
and 6. The study protocol was approved by the University of Nebraska Medical
Center Institutional Review Board (IRB protocol #430-12-EP) and City University
of New York Institutional Review Board (IRB protocol # 642980-1). RESULTS: A
significant improvement in the breastfeeding knowledge and intent to breastfeed
scores was seen over a 6 month period among all the study participants (p<0.05).
There was a gradual increase in the breastfeeding self-efficacy scores till week
6 followed by a decrease in self-efficacy scores at month 3 (p=0.46), and month 6
(P=0.54). Breastfeeding knowledge scores differed significantly between the study
participants in the control and intervention groups at week 6 (p=0.03). There
were no significant differences in the breastfeeding knowledge between the
control and intervention groups at other time points. The control group showed
gradual decline in their self-efficacy scores at month 3 and month 6 compared to
the intervention group that showed a gradual increase in their self-efficacy
scores at different time points during their follow up period. However, there
were no significant differences in the self-efficacy scores between the
intervention and control groups at different points. The control group showed
significantly higher negative breastfeeding sentiment scores compared to the
intervention group at days 3 (p=0.02) and 7 (p=0.03) indicating a lower intent to
breastfeed. CONCLUSION: Hispanic women living in rural settings showed
improvement in breastfeeding knowledge, self-efficacy and intent to breastfeed
using the computer based bi-lingual educational program. Results show week 6 and
month 3 to be the critical time points of intervention so that women continue to
breastfeed.
PMID- 27185506
TI - A personalized mobile patient guide system for a patient-centered smart hospital:
Lessons learned from a usability test and satisfaction survey in a tertiary
university hospital.
AB - OBJECTIVE: The present study focused on the design, implementation, and
evaluation of a personalized mobile patient guide system that utilizes smart
phones, indoor navigation technology and a hospital information system (HIS) to
address the difficulties that outpatients face in finding hospital facilities,
recognizing their daily treatment schedule, and accessing personalized medical
and administrative information. MATERIALS AND METHODS: The present study was
conducted in a fully digitized tertiary university hospital in South Korea. We
developed a real-time location-based outpatient guide system that consists of
Bluetooth access points (APs) for indoor navigation, an Android-based guide
application, a guide server, and interfaces with the HIS. A total of 33 subjects
and 43 outpatients participated in the usability test (UT) and the satisfaction
survey, respectively. RESULTS: We confirmed that the indoor navigation feature
can be applied to outpatient departments with precision using a position error
test. The participants in the UT completed each scenario with an average success
rate of 67.4%. According to the results, we addressed the problems and made
improvements to the user interface by providing users with context-based guidance
information. The satisfaction rating of the system was high, with an average
score of 4.0 out of 5.0, showing its utility as a patient-centered hospital
service. CONCLUSION: The innovative mobile patient guide system for outpatients
is feasible and can be successfully implemented to provide personalized
information with high satisfaction. Additionally, the issues identified and
lessons learned from our experiences regarding task scheduling, indoor
navigation, and usability should be considered when developing the system.
PMID- 27185507
TI - Modular ICT-based patient empowerment framework for self-management of diabetes:
Design perspectives and validation results.
AB - INTRODUCTION: It is estimated that more than 382 million people suffer from
diabetes across the globe, most of which are between the age of 40 and 59 years.
ICT can play a key role in better management of diabetes and in patient
empowerment. Patient empowerment involves patients to a greater extent in their
own healthcare process and disease management becomes an integrated part of their
daily life. Self-management opens the possibility for patients to contribute to
their own healthcare as well as to be more in control of their disease.
OBJECTIVES: The objective of our study was to explore the impact of an ICT-based
patient empowerment framework in diabetes self-management. METHODS: A modular
patient empowerment framework that fosters diabetes self-management was designed
and implemented. The framework incorporates expert knowledge in the form of
clinical guidelines, and it supports patients in the specification of
personalized activities that are based on medical recommendations and personal
goals, and in the collection of observations of daily living. The usability and
usefulness of the proposed framework were assessed in a pilot study with the
participation of 60 patients and 12 health professionals. RESULTS: The study
revealed that a patient empowerment approach based on self-management ICT tools
is useful and accepted by both the patients and the physicians. For those
patients who were already disciplined in their disease management the piloted
solution served as a facilitator for data logging. For the rest, it served as an
incentive for better adherence to disease management principles. The ICT tools
prompted many patients into becoming more physically active and into making
dietary habits' adjustments. However, this impact proved to be tightly correlated
with the sociocultural background of the subjects. The study also demonstrated
that even in patient-centric self-management interventions the physicians still
have a key role to play. However, the acceptance of such interventions by the
healthcare professionals depends not only on the level of impact in their
patients' disease management but also on the level of impact in their workflow.
CONCLUSIONS: It is evident that a patient empowerment approach based on self
management ICT tools is useful and accepted by patients and physicians. Further,
there are clear indications that ICT frameworks such as the one presented in this
paper support patients in behavioral changes and in better disease management.
Finally, it was realized that self-management solutions should be built around
the objective not only to educate and guide patients in disease self-management,
but also to assist them in exploring the decision space and to provide insight
and explanations about the impact of their own values on the decision.
PMID- 27185508
TI - Smart homes and home health monitoring technologies for older adults: A
systematic review.
AB - BACKGROUND: Around the world, populations are aging and there is a growing
concern about ways that older adults can maintain their health and well-being
while living in their homes. OBJECTIVES: The aim of this paper was to conduct a
systematic literature review to determine: (1) the levels of technology readiness
among older adults and, (2) evidence for smart homes and home-based health
monitoring technologies that support aging in place for older adults who have
complex needs. RESULTS: We identified and analyzed 48 of 1863 relevant papers.
Our analyses found that: (1) technology-readiness level for smart homes and home
health monitoring technologies is low; (2) the highest level of evidence is 1b
(i.e., one randomized controlled trial with a PEDro score >=6); smart homes and
home health monitoring technologies are used to monitor activities of daily
living, cognitive decline and mental health, and heart conditions in older adults
with complex needs; (3) there is no evidence that smart homes and home health
monitoring technologies help address disability prediction and health-related
quality of life, or fall prevention; and (4) there is conflicting evidence that
smart homes and home health monitoring technologies help address chronic
obstructive pulmonary disease. CONCLUSIONS: The level of technology readiness for
smart homes and home health monitoring technologies is still low. The highest
level of evidence found was in a study that supported home health technologies
for use in monitoring activities of daily living, cognitive decline, mental
health, and heart conditions in older adults with complex needs.
PMID- 27185509
TI - Specific technological communication skills and functional health literacy have
no influence on self-reported benefits from enrollment in the TeleCare North
trial.
AB - PURPOSE: The Danish TeleCare North trial has developed a telehealth system,
Telekit, which is used for self-management by patients diagnosed with chronic
obstructive pulmonary disease (COPD). Self-management is the engagement in one's
own illness and health by monitoring and managing one's symptoms and signs of
illness. The study examines the association between COPD patients' use of Telekit
and their functional health literacy and the association between their use of
Telekit and their specific technological communication skills. METHODS: A
consecutive sample of participants (n=60) from the TeleCare North trial were
recruited. Face-to-face interviews were conducted with each participant to
collect demographic data. Functional health literacy was measured with the Danish
TOFHLA test. Participants completed a non-standardised questionnaire about their
health status, their use of the Telekit system, and their specific technological
communication skills. Binary logistic regressions were performed to examine how
functional health literacy and specific technological communication skills
influenced the use of Telekit by giving users an enhanced sense of freedom,
security, control, and a greater awareness of COPD symptoms. RESULTS:
Participants (27 women, 33 men) had a mean age of 70 (SD: 8.37) years. Functional
health literacy levels were classified as inadequate in 14 (23%) participants, as
marginal in 12 (20%), and as adequate in 34 (57%). Participants self-reported a
feeling of increased security (72%), greater freedom (27%), more control (62%),
and greater awareness of symptoms (50%) when using Telekit. The use of Telekit
was not significantly associated with levels of functional health literacy or
with the number of specific technological communication skills (p>0.05) based on
the binary logistic regressions. CONCLUSION: The enhanced sense of security,
freedom, control, and the greater awareness of COPD symptoms achieved by using
Telekit were unassociated both with the patients' score of functional health
literacy and with their specific technological communication skills. On the basis
of our results it seems that the specific technological communication skills and
functional health literacy are not a prerequisite for the use of the Telekit
system.
PMID- 27185510
TI - Social media and flu: Media Twitter accounts as agenda setters.
AB - OBJECTIVES: This paper has two objectives. First, it categorizes the Twitter
handles tweeted flu related information based on the amount of replies and
mentions within the Twitter network. The collected Twitter accounts are
categorized as media, health related individuals, organizations, government,
individuals with no background with media or medical field, in order to test the
relationship between centrality measures of the accounts and their categories.
The second objective is to examine the relationship between the importance of the
Twitter accounts in the network, centrality measures, and specific
characteristics of each account, including the number of tweets and followers as
well as the number of accounts followed and liked. METHODS: Using Twitter search
network API, tweets with "flu" keyword were collected and tabulated. Network
centralities were calculated with network analysis tool, NodeXL. The collected
Twitters accounts were content analyzed and categorized by multiple coders.
RESULTS: When the media or organizational Twitter accounts were present in the
list of important Twitter accounts, they were highly effective disseminating flu
related information. Also, they were more likely to stay active one year after
the data collection period compared to other influential individual accounts.
CONCLUSIONS: Health campaigns are recommended to focus on recruiting influential
Twitter accounts and encouraging them to retweet or mention in order to produce
better results in disseminating information. Although some individual social
media users were valuable assets in terms of spreading information about flu,
media and organization handles were more reliable information distributors. Thus,
health information practitioners are advised to design health campaigns better
utilizing media and organizations rather than individuals to achieve consistent
and efficient campaign outcomes.
PMID- 27185511
TI - Eosinophilic pleural effusion as a manifestation of idiopathic hypereosinophilic
syndrome.
PMID- 27185512
TI - Incidental pulmonary lymphangioleiomyomatosis in a patient with breast cancer.
PMID- 27185513
TI - Landing strategies focusing on the control of tibial rotation in the initial
contact period of one-leg forward hops.
AB - Anterior cruciate ligament (ACL) reconstruction (ACLR) surgeries successfully
restore anterior tibial translation but not tibial rotation. This study aimed to
explore landing strategies focusing on the control of tibial rotation at landing
when the ACL is most vulnerable. Three groups of male subjects (50 ACLRs, 26
basketball players, and 31 controls) participated in one-leg forward hop tests
for determining the tibial rotatory landing strategies adopted during the initial
landing phase. The differences in knee kinematics and muscle activities between
internal and external tibial rotatory (ITR, ETR) landing strategies were
examined. A higher proportion of basketball players (34.6%) were found to adopt
ITR strategies (controls: 6.5%), exhibiting significantly greater hopping
distance and knee strength. After adjusting for hopping distance, subjects
adopting ITR strategies were found to hop faster with straighter knees at foot
contact and with greater ITR and less knee adduction angular displacement during
the initial landing phase. However, significantly greater angular displacement in
knee flexion, greater medial hamstring activities, and greater co-contraction
index of hamstrings and medial knee muscles were also found during initial
landing. Our results support the importance of the recruitments of medial
hamstrings or the local co-contraction in assisting the rotatory control of the
knee during initial landing for avoiding ACL injuries.
PMID- 27185514
TI - Transperineal ultrasonography: First level exam in IBD patients with perianal
disease.
AB - BACKGROUND: A pelvic magnetic resonance imaging (MRI) represents the front-line
method for evaluating perianal disease in patients with inflammatory bowel
disease (IBD). Recently, transperineal ultrasonography (TPUS) has been proposed
as a simple, safe, time-sparing and useful diagnostic technique to assess
different pathological conditions of the pelvic floor. AIM: The aim of this
prospective single centre study was to evaluate the accuracy of TPUS versus MRI
for the detection and classification of perineal disease in IBD patients.
METHODS: From November 2013 to November 2014, 28 IBD patients underwent either
TPUS or MRI. Fistulae and abscesses were classified according to Parks' and AGA's
classification methods. A concordance was assessed by k statistics. RESULTS:
Overall, 33 fistulae and 8 abscesses were recognized by TPUS (30 and 7 by MRI,
respectively). The agreement between TPUS and MRI was 75% according to Parks'
classification (k=0.67) and 86% according to AGA classification (k=0.83), while
it was 36% (k=0.34) for classifying abscesses. CONCLUSIONS: TPUS proved to be as
accurate as MRI for detecting superficial and small abscesses and for classifying
perianal disease. Both examinations may be performed at the initial presentation
of the patient, but TPUS is a cheaper, time-sparing procedure. The optimal use of
TPUS might be in follow-up patients.
PMID- 27185515
TI - High-Throughput Quantitative Lipidomics Analysis of Nonesterified Fatty Acids in
Human Plasma.
AB - We present a high-throughput, nontargeted lipidomics approach using liquid
chromatography coupled to high-resolution mass spectrometry for quantitative
analysis of nonesterified fatty acids. We applied this method to screen a wide
range of fatty acids from medium-chain to very long-chain (8 to 24 carbon atoms)
in human plasma samples. The method enables us to chromatographically separate
branched-chain species from their straight-chain isomers as well as separate
biologically important omega-3 and omega-6 polyunsaturated fatty acids. We used
51 fatty acid species to demonstrate the quantitative capability of this method
with quantification limits in the nanomolar range; however, this method is not
limited only to these fatty acid species. High-throughput sample preparation was
developed and carried out on a robotic platform that allows extraction of 96
samples simultaneously within 3 h. This high-throughput platform was used to
assess the influence of different types of human plasma collection and
preparation on the nonesterified fatty acid profile of healthy donors. Use of the
anticoagulants EDTA and heparin has been compared with simple clotting, and only
limited changes have been detected in most nonesterified fatty acid
concentrations.
PMID- 27185518
TI - MeiLan Han: putting COPD care on the map.
PMID- 27185516
TI - Intra-left ventricular flow dynamics in patients with preserved and impaired left
ventricular function: Analysis with 3D cine phase contrast MRI (4D-Flow).
AB - PURPOSE: To examine how left ventricular (LV) volume and function affect flow
dynamics by analyzing 3D intra-LV vortex features using 4D-Flow. MATERIALS AND
METHODS: Twenty-one patients with preserved (LVEF > 60%) and 14 with impaired LV
function (LVEF < 40%) underwent 4D-Flow (at 3T). RESULTS: In patients with
preserved LV function, the intra-LV vortices developed in both the early and late
diastolic phases. The shift of inflow vectors at the basal LV toward the
posterior-lateral side of the LV and the mid-ventricular turn of inflow vectors
toward the LV outflow could explain clearer vortex formation in the late
diastolic phase. In patients with impaired LV function, the intra-LV vortices
during the diastolic phase located at the more apical LV were larger and more
spherically shaped. Both the distance to the vortex core and the vortex area
correlated significantly with LV end-diastolic volume (r = 0.66 and 0.73), LVEF
(r = -0.74 and -0.68), LV sphericity index (r = -0.60 and -0.65), and peak
filling rate (r = -0.61 and -0.64), respectively (P < 0.01). The intra-LV
vortices developed during the systolic phase in 10 cases. In those, some of the
particles at the apical LV rotated within the LV, whereas in patients with
preserved LV function, all of the particles were directed straight to the
ascending aorta with accelerated flow velocity (256.8 +/- 120.2 cm/s vs. 414.3 +/
88.2 cm/s, P < 0.01). CONCLUSION: Vortex formation during the diastolic phase
may be critical for both LV filling and ejection. 4D-Flow showed the 3D
alterations of intra-LV flow dynamics by LV dilatation and dysfunction in a
noninvasive and comprehensive manner. J. Magn. Reson. Imaging 2016;44:1493-1503.
PMID- 27185517
TI - Generation of a MLL-AF9-specific stem cell model of acute monocytic leukemia.
AB - Acute monocytic leukemia (AML-M5), a subtype of acute myeloid leukemia (AML),
affects mostly young children and has poor prognosis. The mechanisms of treatment
failure of AML-M5 are still unclear. In this study, we generated iPSC from THP-1
cells from a patient with AML-M5, using retroviruses encoding the pluripotency
associated genes (OCT3/4, SOX2, KLF4 and c-MYC). These AML-M5-derived iPSC showed
features similar with those of human embryonic stem cells in terms of the
morphology, gene expression, protein/antigen expression and differentiation
capability. Parental-specific markers were down-regulated in these AML-M5-derived
iPSCs. Expression of MLL-AF9 fusion gene (previously identified to be associated
with pathogenesis of AML-M5) was observed in all iPSC clones as well as parental
cells. We conclude that AML-M5-specific iPSC clones have been successfully
developed. This disease model may provide a novel approach for future study of
pathogenesis and therapeutic intervention of AML-M5.
PMID- 27185519
TI - Successes and challenges of COPD management in Australia: reflections on the past
and future.
PMID- 27185521
TI - COPD service delivery in the UK.
PMID- 27185520
TI - Meeting the challenge of COPD care delivery in the USA: a multiprovider
perspective.
AB - The burden of chronic obstructive pulmonary disease (COPD) in the USA continues
to grow. Although progress has been made in the the development of diagnostics,
therapeutics, and care guidelines, whether patients' quality of life is improved
will ultimately depend on the actual implementation of care and an individual
patient's access to that care. In this Commission, we summarise expert opinion
from key stakeholders-patients, caregivers, and medical professionals, as well as
representatives from health systems, insurance companies, and industry-to
understand barriers to care delivery and propose potential solutions. Health care
in the USA is delivered through a patchwork of provider networks, with a wide
variation in access to care depending on a patient's insurance, geographical
location, and socioeconomic status. Furthermore, Medicare's complicated coverage
and reimbursement structure pose unique challenges for patients with chronic
respiratory disease who might need access to several types of services.
Throughout this Commission, recurring themes include poor guideline
implementation among health-care providers and poor patient access to key
treatments such as affordable maintenance drugs and pulmonary rehabilitation.
Although much attention has recently been focused on the reduction of hospital
readmissions for COPD exacerbations, health systems in the USA struggle to meet
these goals, and methods to reduce readmissions have not been proven. There are
no easy solutions, but engaging patients and innovative thinkers in the
development of solutions is crucial. Financial incentives might be important in
raising engagement of providers and health systems. Lowering co-pays for
maintenance drugs could result in improved adherence and, ultimately, decreased
overall health-care spending. Given the substantial geographical diversity,
health systems will need to find their own solutions to improve care coordination
and integration, until better data for interventions that are universally
effective become available.
PMID- 27185522
TI - Prevention and management of COPD in China: successes and major challenges.
PMID- 27185523
TI - Chemistry Testing on Plasma Versus Serum Samples in Dialysis Patients: Clinical
and Quality Improvement Implications.
AB - Plasma samples collected in tubes containing separator gels have replaced serum
samples for most chemistry tests in many hospital and commercial laboratories.
Use of plasma samples for blood tests in the dialysis population eliminates
delays in sample processing while waiting for clotting to complete, laboratory
technical issues associated with fibrin formation, repeat sample collection, and
patient care issues caused by delay of results because of incompletely clotted
specimens. Additionally, a larger volume of plasma is produced than serum for the
same amount of blood collected. Plasma samples are also acceptable for most
chemical tests involved in the care of patients with ESRD. This information
becomes very important when United States regulatory requirements for ESRD
inadvertently limit the type of sample that can be used for government reporting,
quality assessment, and value-based payment initiatives. In this narrative, we
summarize the renal community experience and how the subsequent resolution of the
acceptability of phosphorus levels measured from serum and plasma samples may
have significant implications in the country's continued development of a value
based Medicare ESRD Quality Incentive Program.
PMID- 27185525
TI - Hepatocytes as in vitro test system to investigate metabolite patterns of
pesticides in farmed rainbow trout and common carp: Comparison between in vivo
and in vitro and across species.
AB - In vitro tools using isolated primary fish hepatocytes have been proposed as a
useful model to study the hepatic metabolism of xenobiotics in fish. In order to
evaluate the potential of in vitro fish hepatocyte assays to provide information
on in vivo metabolite patterns of pesticides in farmed fish, the present study
addressed the following questions: Are in vitro and in vivo metabolite patterns
comparable? Are species specific differences of metabolite patterns in vivo
reflected in vitro? Are metabolite patterns obtained from cryopreserved
hepatocytes comparable to those from freshly isolated cells? Rainbow trout and
common carp were dosed orally with feed containing the pesticide methoxychlor
(MXC) for 14days. In parallel, in vitro incubations using suspensions of freshly
isolated or cryopreserved primary hepatocytes obtained from both species were
performed. In vivo and in vitro samples were analyzed by thin-layer
chromatography with authentic standards supported by HPLC-MS. Comparable
metabolite patterns from a qualitative perspective were observed in liver in vivo
and in hepatocyte suspensions in vitro. Species specific differences of MXC
metabolite patterns observed between rainbow trout and common carp in vivo were
well reflected by experiments with hepatocytes in vitro. Finally, cryopreserved
hepatocytes produced comparable metabolite patterns to freshly isolated cells.
The results of this study indicate that the in vitro hepatocyte assay could be
used to identify metabolite patterns of pesticides in farmed fish and could thus
serve as a valuable tool to support in vivo studies as required for pesticides
approval according to the EU regulation 1107.
PMID- 27185524
TI - Iron Therapy Challenges for the Treatment of Nondialysis CKD Patients.
AB - The clinical consequences of untreated, severe anemia in patients with
nondialysis CKD can be significant, but disparities exist in the anemia treatment
guidelines and position papers issued from working groups and associations across
the world. These differ in hemoglobin target and iron levels and their emphasis
on various iron markers and other clinical outcomes. Not surprisingly,
disparities are observed in anemia treatment strategies among patients with
nondialysis CKD across different areas of the world. Over the past decade, the
prescription and dosage of both iron therapies and erythropoiesis-stimulating
agents have shifted, with notable regional differences observed. Moreover, there
is ongoing debate regarding oral versus intravenous administration of iron.
Compared with oral iron therapy, which often leads to gastrointestinal adverse
events, low patient adherence, and low efficacy, intravenous iron administration
has been associated with potential serious adverse events, such as anaphylaxis.
New iron-based compounds and drugs currently under development are reviewed to
describe their potential benefits in the treatment of anemia in patients with
CKD. New oral compounds, including iron-based phosphate binders, heme iron
polypeptide, and liposomal iron, show different rates of absorption with possibly
different efficacy and improved tolerability. These new potential therapies offer
health care providers additional anemia treatment options for their patients with
CKD; however, the management of anemia in the CKD population continues to present
challenges that require prospective studies to identify the optimal iron therapy
for patients.
PMID- 27185528
TI - Barriers and facilitators to health information exchange in low- and middle
income country settings: a systematic review.
AB - The exchange and use of health information can help healthcare professionals and
policymakers make informed decisions on ways of improving patient and population
health. Many low- and middle-income countries (LMICs) have however failed to
embrace the approaches and technologies to facilitate health information exchange
(HIE). We sought to understand the barriers and facilitators to the
implementation and adoption of HIE in LMICs. Two reviewers independently searched
11 academic databases for published and on-going qualitative, quantitative and
mixed-method studies and searched for unpublished work through the Google search
engine. The searches covered the period from January 1990 to July 2014 and were
not restricted by language. Eligible studies were independently, critically
appraised and then thematically analysed. The searches yielded 5461 citations
after de-duplication of results. Of these, 56 articles, three conference
abstracts and four technical reports met the inclusion criteria. The lack of
importance given to data in decision making, corruption and insecurity, lack of
training and poor infrastructure were considered to be major challenges to
implementing HIE, but strong leadership and clear policy direction coupled with
the financial support to acquire essential technology, improve the communication
network, and provide training for staff all helped to promote implementation. The
body of work also highlighted how implementers of HIE needed to take into account
local needs to ensure that stakeholders saw HIE as relevant and advantageous. HIE
interventions implemented through leapfrog technologies such as
telehealth/telemedicine and mHealth in Brazil, Kenya, and South Africa, provided
successful examples of exchanging health information in LMICs despite limited
resources and capability. It is important that implementation of HIE is aligned
with national priorities and local needs.
PMID- 27185529
TI - Presence of Distractor Improves Time Estimation Performance in an Adult ADHD
Sample.
AB - OBJECTIVE: It is widely accepted that patients with ADHD exhibit greater
susceptibility to distractors, especially during tasks with higher working memory
load demands. However, no study to date has specifically measured the impact of
distractors on timing functions, although these have consistently shown
alterations in ADHD. In this investigation, we aimed to elucidate the neural
mechanisms mediating distractor effects on timing functions. METHOD: We employed
a time estimation functional magnetic resonance imaging (fMRI) paradigm I:
ncluding a distracting element in half of the trials in a sample of 21 patients
with ADHD and 24 healthy controls. RESULTS: As expected, the effect of the
distractor was greater in ADHD patients, where it was associated with increased
orbitofrontal activity compared with controls. Behaviorally, time estimation
performance benefited from the presence of distractors in both groups. In turn,
such improvement correlated with medial frontal and insular activity in the
brain. CONCLUSION: These results suggest that distractors could be stimulating
recruitment of frontal resources in ADHD, thus contributing to increase focus on
the task.
PMID- 27185530
TI - Harvard relaxes COI rules for clinical researchers.
PMID- 27185527
TI - Dynamic NF-kappaB and E2F interactions control the priority and timing of
inflammatory signalling and cell proliferation.
AB - Dynamic cellular systems reprogram gene expression to ensure appropriate cellular
fate responses to specific extracellular cues. Here we demonstrate that the
dynamics of Nuclear Factor kappa B (NF-kappaB) signalling and the cell cycle are
prioritised differently depending on the timing of an inflammatory signal. Using
iterative experimental and computational analyses, we show physical and
functional interactions between NF-kappaB and the E2 Factor 1 (E2F-1) and E2
Factor 4 (E2F-4) cell cycle regulators. These interactions modulate the NF-kappaB
response. In S-phase, the NF-kappaB response was delayed or repressed, while cell
cycle progression was unimpeded. By contrast, activation of NF-kappaB at the G1/S
boundary resulted in a longer cell cycle and more synchronous initial NF-kappaB
responses between cells. These data identify new mechanisms by which the cellular
response to stress is differentially controlled at different stages of the cell
cycle.
PMID- 27185526
TI - Hedgehog signaling is a potent regulator of liver lipid metabolism and reveals a
GLI-code associated with steatosis.
AB - Non-alcoholic fatty liver disease (NAFLD) is the most common liver disease in
industrialized countries and is increasing in prevalence. The pathomechanisms,
however, are poorly understood. This study assessed the unexpected role of the
Hedgehog pathway in adult liver lipid metabolism. Using transgenic mice with
conditional hepatocyte-specific deletion of Smoothened in adult mice, we showed
that hepatocellular inhibition of Hedgehog signaling leads to steatosis by
altering the abundance of the transcription factors GLI1 and GLI3. This steatotic
'Gli-code' caused the modulation of a complex network of lipogenic transcription
factors and enzymes, including SREBP1 and PNPLA3, as demonstrated by microarray
analysis and siRNA experiments and could be confirmed in other steatotic mouse
models as well as in steatotic human livers. Conversely, activation of the
Hedgehog pathway reversed the "Gli-code" and mitigated hepatic steatosis.
Collectively, our results reveal that dysfunctions in the Hedgehog pathway play
an important role in hepatic steatosis and beyond.
PMID- 27185531
TI - Effects of cue focality on the neural mechanisms of prospective memory: A meta
analysis of neuroimaging studies.
AB - Remembering to execute pre-defined intentions at the appropriate time in the
future is typically referred to as Prospective Memory (PM). Studies of PM showed
that distinct cognitive processes underlie the execution of delayed intentions
depending on whether the cue associated with such intentions is focal to ongoing
activity processing or not (i.e., cue focality). The present activation
likelihood estimation (ALE) meta-analysis revealed several differences in brain
activity as a function of focality of the PM cue. The retrieval of intention is
supported mainly by left anterior prefrontal cortex (Brodmann Area, BA 10) in
nonfocal tasks, and by cerebellum and ventral parietal regions in focal tasks.
Furthermore, the precuneus showed increased activation during the maintenance
phase of intentions compared to the retrieval phase in nonfocal tasks, whereas
the inferior parietal lobule showed increased activation during the retrieval of
intention compared to maintenance phase in the focal tasks. Finally, the
retrieval of intention relies more on the activity in anterior cingulate cortex
for nonfocal tasks, and on posterior cingulate cortex for focal tasks. Such
focality-related pattern of activations suggests that prospective remembering is
mediated mainly by top-down and stimulus-independent processes in nonfocal tasks,
whereas by more automatic, bottom-up, processes in focal tasks.
PMID- 27185532
TI - Spatially explicit estimates of N2 O emissions from croplands suggest climate
mitigation opportunities from improved fertilizer management.
AB - With increasing nitrogen (N) application to croplands required to support growing
food demand, mitigating N2 O emissions from agricultural soils is a global
challenge. National greenhouse gas emissions accounting typically estimates N2 O
emissions at the country scale by aggregating all crops, under the assumption
that N2 O emissions are linearly related to N application. However, field studies
and meta-analyses indicate a nonlinear relationship, in which N2 O emissions are
relatively greater at higher N application rates. Here, we apply a super-linear
emissions response model to crop-specific, spatially explicit synthetic N
fertilizer and manure N inputs to provide subnational accounting of global N2 O
emissions from croplands. We estimate 0.66 Tg of N2 O-N direct global emissions
circa 2000, with 50% of emissions concentrated in 13% of harvested area. Compared
to estimates from the IPCC Tier 1 linear model, our updated N2 O emissions range
from 20% to 40% lower throughout sub-Saharan Africa and Eastern Europe, to >120%
greater in some Western European countries. At low N application rates, the weak
nonlinear response of N2 O emissions suggests that relatively large increases in
N fertilizer application would generate relatively small increases in N2 O
emissions. As aggregated fertilizer data generate underestimation bias in
nonlinear models, high-resolution N application data are critical to support
accurate N2 O emissions estimates.
PMID- 27185533
TI - Structure of the Membrane-intrinsic Nitric Oxide Reductase from Roseobacter
denitrificans.
AB - Membrane-intrinsic nitric oxide reductases (NORs) are key components of bacterial
denitrification pathways with a close evolutionary relationship to the cytochrome
oxidase (COX) complex found in aerobic respiratory chains. A key distinction
between COX and NOR is the identity of the metal directly opposite heme b3 within
the active site. In NOR, this metal is iron (FeB), whereas in COX, it is copper
(CuB). The purified NOR of Roseobacter denitrificans contains copper and has
modest oxidase activity, raising the possibility that a COX-like active site
might have independently arisen within the context of a NOR-like protein
scaffold. Here we present the crystal structure of the Roseobacter denitrificans
NorBC complex and anomalous scattering experiments probing the identity of each
metal center. Our results refute the hypothesis that copper occupies the active
site and instead reveal a new metal center in the small subunit not seen in any
other NOR or COX.
PMID- 27185534
TI - Demystifying the Courtroom: Everything the Veterinary Pathologist Needs to Know
About Testifying in an Animal Cruelty Case.
AB - When veterinary pathologists testify as expert witnesses in animal cruelty
trials, they may find themselves in an intimidating and unfamiliar environment.
The legal rules are clouded in mystery, the lawyers dwell on mundane details, and
the witness's words are extracted with precision by a verbal scalpel. An
unprepared expert witness can feel ungrounded and stripped of confidence. The
goal of this article is to lift the veil of mystery and give the veterinary
pathologist the tools to be a knowledgeable and confident expert witness before
and during testimony. This article discusses the types of expert witnesses,
disclosure requirements and the importance of a good report, the legal basics of
expert testimony, and how to be an effective expert witness. The article
references Minnesota law; however, the laws are similar in most jurisdictions and
based on the same constitutional requirements, and the concepts presented are
applicable in nearly every courtroom.(1).
PMID- 27185535
TI - Modelling bidirectional modulations in synaptic plasticity: A biochemical pathway
model to understand the emergence of long term potentiation (LTP) and long term
depression (LTD).
AB - Synaptic plasticity induces bidirectional modulations of the postsynaptic
response following a synaptic transmission. The long term forms of synaptic
plasticity, named long term potentiation (LTP) and long term depression (LTD),
are critical for the antithetic functions of the memory system, memory formation
and removal, respectively. A common Ca(2+) signalling upstream triggers both LTP
and LTD, and the critical proteins and factors coordinating the LTP/LTD
inductions are not well understood. We develop an integrated model based on the
sub-models of the indispensable synaptic proteins in the emergence of synaptic
plasticity to validate and understand their potential roles in the expression of
synaptic plasticity. The model explains Ca(2+)/calmodulin (CaM) complex dependent
coordination of LTP/LTD expressions by the interactions among the indispensable
proteins using the experimentally estimated kinetic parameters. Analysis of the
integrated model provides us with insights into the effective timescales of the
key proteins and we conclude that the CaM pool size is critical for the
coordination between LTP/LTD expressions.
PMID- 27185536
TI - Comparative molecular field analysis and molecular docking studies on novel aryl
chalcone derivatives against an important drug target cysteine protease in
Plasmodium falciparum.
AB - The computational studies namely molecular docking simulations and Comparative
Molecular Field Analysis (CoMFA) are executed on series of 52 novel aryl
chalcones derivatives using Plasmodium falciparum cysteine proteases (falcipain -
2) as vital target. In the present study, the correlation between different
molecular field effects namely steric and electrostatic interactions and chemical
structures to the inhibitory activities of novel aryl chalcone derivatives is
inferred to perceive the major structural prerequisites for the rational design
and development of potent and novel lead anti-malarial compound. The apparent
binding conformations of all the compounds at the active site of falcipain - 2
and the hydrogen-bond interactions which could be used to modify the inhibitory
activities are identified by using Surflex-dock study. Statistically significant
CoMFA model has been developed with the cross-validated correlation coefficient
(q(2)) of 0.912 and the non-cross-validated correlation coefficient (r(2)) of
0.901. Standard error of estimation (SEE) of 0.210, with the optimum number of
components is ten. The predictability of the derived model is examined with a
test set consists of sixteen compounds and the predicted r(2) value is found to
be 0.924. The docking and QSAR study results confer crucial suggestions for the
optimization of novel 1,3-diphenyl-2-propen-1-one derivatives and synthesis of
effective anti- malarial compounds.
PMID- 27185537
TI - Endovascular Treatment of 147 Cases of Cavernous Carotid Aneurysms: A Single
Center Experience.
AB - BACKGROUND: Cavernous carotid aneurysms (CCAs) are characterized by pain and
neuro-ophthalmologic deficits. The optimal treatment remains unclear, especially
for asymptomatic CCAs. This study investigated the efficacy of endovascular
treatment for CCAs in our center. METHODS: Data obtained from patients who
underwent endovascular treatment for CCAs from July 2011 to July 2014 were
reviewed. A retrospective analysis was conducted regarding the general condition,
clinical presentation, aneurysm characteristics, therapeutic strategy, and
prognosis of CCA patients. RESULTS: One hundred forty-seven patients who
exhibited 155 CCAs were included, which comprised 46 asymptomatic and 101
symptomatic CCA cases. Forty-eight cases presented with headache, 5 cases
presented with subarachnoid hemorrhage, 20 cases presented with diplopia, 38
cases presented with cranial nerve palsy, and 27 cases presented with ischemic
stroke. The mean aneurysm sizes were 15.3 +/- 12.2 and 8.1 +/- 7.1 mm in the
symptomatic and asymptomatic groups, respectively. Different treatments were
administered: coil occlusion (n = 15), stent/balloon-assisted coil occlusion (n =
123), and parent artery occlusion (PAO) (n = 17). The PAO-treated group exhibited
the highest aneurysm occlusion rate. Follow-up data were available for 131 cases,
which included 86 symptomatic and 45 asymptomatic cases. There were no deaths.
Among the symptomatic patients, 40.7% improved, 58.1% remained stable, and 1.2%
worsened; 12 patients exhibited regrowth and 6 patients had repeated endovascular
treatment. The asymptomatic patients remained stable, including 5 patients who
exhibited regrowth and 2 patients who had repeated endovascular treatment.
CONCLUSION: Endovascular treatment is safe and effective for CCAs and should be
considered in patients with minimal complications, as well as in asymptomatic
patients with stable symptoms.
PMID- 27185538
TI - Food safety in Thailand. 3: Pesticide residues detected in mangosteen (Garcinia
mangostana L.), queen of fruits.
AB - BACKGROUND: For developing countries like Thailand, regulation of pesticide usage
exists, but it is not fully enforced. Therefore, pesticide residues in vegetables
and fruits have not been well monitored. This study aimed to determine the
pesticide residues in mangosteen fruits sold in Thailand. The mangosteen samples
(n = 111) were purchased and the contents of 28 pesticides were analysed by GC
MS/MS method. RESULTS: Of the pesticides tested, eight were found in 100% of the
mangosteen samples. However, in 97% of these samples, either chlorothalonil,
chlorpyrifos, diazinon, dimethoate, metalaxyl or profenofos was detected
exceeding their maximum residue limits (MRLs), representing a 97% rate of
pesticide detection above the MRL. This rate is much higher than those found in
other fruits sold in developed countries. However, this conclusion excludes the
fresh Thai mangosteens grown for export, as these are generally cultivated and
harvested to GAP standards. Since the edible part of the mangosteen is the pulp,
washing the fruits with running water can reduce the risk of pesticide residues
contaminating the pulp which would be eaten by the consumer. CONCLUSION: The
findings strongly suggest that routine monitoring of pesticide residues in fruits
and vegetables is required to reduce the health risks associated with consuming
contaminated food. (c) 2016 Society of Chemical Industry.
PMID- 27185540
TI - First metabolic profile of PV8, a novel synthetic cathinone, in human hepatocytes
and urine by high-resolution mass spectrometry.
AB - Novel psychoactive substances (NPS) are ever changing on the drug market, making
it difficult for toxicology laboratory methods to stay current with so many new
drugs. Recently, PV8, a synthetic pyrrolidinophenone, was detected in seized
products in Japan (2013), The Netherlands (2014), and Germany (2014). There are
no controlled PV8 administration studies, and no pharmacodynamic and
pharmacokinetic data. The objective was to determine PV8's metabolic stability in
human liver microsome (HLM) incubation and its metabolism following human
hepatocyte incubation and high-resolution mass spectrometry (HRMS) with a Thermo
Scientific Q-Exactive. Data were acquired with a full-scan data-dependent mass
spectrometry method. Scans were thoroughly data mined with different data
processing algorithms and analyzed in WebMetaBase. PV8 exhibited a relatively
short 28.8 min half-life, with an intrinsic 24.2 MUL/min/mg microsomal clearance.
This compound is predicted to be an intermediate clearance drug with an estimated
human 22.7 mL/min/kg hepatic clearance. Metabolic pathways identified in vitro
included: hydroxylation, ketone reduction, carboxylation, N-dealkylation, iminium
formation, dehydrogenation, N-oxidation, and carbonylation. The top three in
vitro metabolic pathways were di-hydroxylation > ketone reduction > gamma-lactam
formation. Authentic urine specimen analyses revealed the top three metabolic
pathways were aliphatic hydroxylation > ketone reduction + aliphatic
hydroxylation > aliphatic carboxylation, although the most prominent peak was
parent PV8. These data provide useful urinary metabolite targets (aliphatic
hydroxylation, aliphatic hydroxylation + ketone reduction, aliphatic
carboxylation, and di-hydroxylation) for forensic and clinical testing, and focus
reference standard companies' synthetic efforts to provide commercially available
standards needed for PV8 biological specimen testing. Graphical Abstract Top four
PV8 metabolites identified in vitro. Biotransformations highlighted in blue.
Markush structures presented when exact location of biotransformation is unknown.
PMID- 27185539
TI - Modeling Physical Stability of Amorphous Solids Based on Temperature and Moisture
Stresses.
AB - Isothermal microcalorimetry was utilized to monitor the crystallization process
of amorphous ritonavir (RTV) and its hydroxypropylmethylcellulose acetate
succinate-based amorphous solid dispersion under various stressed conditions. An
empirical model was developed: ln(tau)=ln(A)+EaRT-b?wc, where tau is the
crystallization induction period, A is a pre-exponential factor, Ea is the
apparent activation energy, b is the moisture sensitivity parameter, and wc is
water content. To minimize the propagation of errors associated with the
estimates, a nonlinear approach was used to calculate mean estimates and
confidence intervals. The physical stability of neat amorphous RTV and RTV in
hydroxypropylmethylcellulose acetate succinate solid dispersions was found to be
mainly governed by the nucleation kinetic process. The impact of polymers and
moisture on the crystallization process can be quantitatively described by Ea and
b in this Arrhenius-type model. The good agreement between the measured values
under some less stressful test conditions and those predicted, reflected by the
slope and R(2) of the correlation plot of these 2 sets of data on a natural
logarithm scale, indicates its predictability of long-term physical stability of
amorphous RTV in solid dispersions. To further improve the model, more
understanding of the impact of temperature and moisture on the amorphous physical
stability and fundamentals regarding nucleation and crystallization is needed.
PMID- 27185541
TI - Identification of in vitro and in vivo human metabolites of the new psychoactive
substance nitracaine by liquid chromatography coupled to quadrupole time-of
flight mass spectrometry.
AB - The purpose of this work was to investigate the in vitro metabolism of
nitracaine, a new psychoactive substance, using human liver microsome
incubations, to evaluate the cytochrome P450 (CYP) enzyme isoforms responsible
for the phase-I metabolism and to compare the information from the in vitro
experiments with data resulting from an authentic user's urine sample. Accurate
mass spectra of metabolites were obtained using liquid chromatography-quadrupole
time-of-flight mass spectrometry (LC-QTOF-MS) and were used in the structural
identification of metabolites. Two major and three minor phase-I metabolites were
identified from the in vitro experiments. The observed phase-I metabolites were
formed through N-deethylation, N,N-deethylation, N-hydroxylation, and de
esterification, with CYP2B6 and CYP2C19 being the main enzymes catalyzing their
formation. One glucuronidated product was identified in the phase-II metabolism
experiments. All of these metabolites are reported for the first time in this
study except the N-deethylation product. All the in vitro metabolites except the
minor N,N-deethylation product were also present in the human urine sample, thus
demonstrating the reliability of the in vitro experiments in the prediction of
the in vivo metabolism of nitracaine. In addition to the metabolites, three
transformation products (p-nitrobenzoic acid, p-aminobenzoic acid, and 3
(diethylamino)-2,2-dimethylpropan-1-ol) were identified, as well as several
glucuronides and glutamine derived of them.
PMID- 27185543
TI - Paper-based assay for red blood cell antigen typing by the indirect antiglobulin
test.
AB - A rapid and simple paper-based elution assay for red blood cell antigen typing by
the indirect antiglobulin test (IAT) was established. This allows to type blood
using IgG antibodies for the important blood groups in which IgM antibodies do
not exist. Red blood cells incubated with IgG anti-D were washed with saline and
spotted onto the paper assay pre-treated with anti-IgG. The blood spot was eluted
with an elution buffer solution in a chromatography tank. Positive samples were
identified by the agglutinated and fixed red blood cells on the original spotting
area, while red blood cells from negative samples completely eluted away from the
spot of origin. Optimum concentrations for both anti-IgG and anti-D were
identified to eliminate the washing step after the incubation phase. Based on the
no-washing procedure, the critical variables were investigated to establish the
optimal conditions for the paper-based assay. Two hundred ten donor blood samples
were tested in optimal conditions for the paper test with anti-D and anti-Kell.
Positive and negative samples were clearly distinguished. This assay opens up new
applications of the IAT on paper including antibody detection and blood donor
recipient crossmatching and extends its uses into non-blood typing applications
with IgG antibody-based diagnostics. Graphical abstract A rapid and simple paper
based assay for red blood cell antigen typing by the indirect antiglobulin test.
PMID- 27185544
TI - Gene therapies: the challenge of super-high-cost treatments and how to pay for
them.
AB - Gene therapies have the potential to cure rare conditions that often have no
current efficacious treatments with a one-time treatment episode, relieving
substantial unmet need and having profound positive impact on patients' lives.
However, with the first gene therapy now licensed and priced at around US$1
million per patient, cost and uncertain funding mechanisms present a potential
barrier to patient access. In this article, we discuss the unique challenges
presented by gene therapies, particularly concerning the uncertainty inherent in
their clinical evidence package at launch and their affordability within strained
healthcare budgets. We present several payment models that would allow for
sustainable reimbursement of these innovative technologies and make
recommendations pertinent both to those developing gene therapies and to those
paying for them.
PMID- 27185542
TI - Generation of electrochemiluminescence at bipolar electrodes: concepts and
applications.
AB - Bipolar electrochemistry (BPE) is an unconventional technique where a conducting
object is addressed electrochemically in an electrolyte without any wire
connection with an external power supply. BPE has been known for decades but
remained limited to only a couple of niche applications. However, it is now
undergoing a true renewal of interest especially in the context of analytical
chemistry. The bipolar electrode exhibits two distinct poles of opposite
polarization with respect to the solution. This allows one to separate the
localization of sensing elements versus reporting ones. Also, arrays of bipolar
microelectrodes can be addressed simultaneously to perform parallel analyses.
Among several reporting strategies, the combination of BPE with electro
chemiluminescence (ECL) is the most frequent choice owing to the very simple
visual readout provided by ECL. This article reviews the field from the initial
reports to the most recent ones, revealing numerous opportunities including novel
analytical strategies for the detection of small molecular analytes and
biorelevant molecules such as DNA, RNA, peptides, or other biomarkers. Graphical
Abstract Principle of electrochemiluminescence generation at one extremity of a
bipolar electrode.
PMID- 27185547
TI - The Genomic Landscape of Position Effects on Protein Expression Level and Noise
in Yeast.
AB - Position effect, the influence of the chromosomal location of a gene on its
activity, is a fundamental property of the genome. By placing a GFP gene cassette
at 482 different locations across all chromosomes in budding yeast, we quantified
the position effects on protein expression level and noise at the genomic scale.
The position effects are significant, altering the mean protein expression level
by up to 15 times and expression noise by up to 20 times. DNA replication timing,
3D chromosomal conformation, and several histone modifications are major
covariates of position effects. Essential genes are enriched in genomic regions
with inherently low expression noise, supporting the hypothesis that chromosomal
clustering of essential genes results from selection against their expressional
stochasticity. Position effects exhibit significant interactions with promoters.
Together, our results suggest that position effects have shaped the evolution of
chromosome organization and should inform future genome engineering efforts.
PMID- 27185546
TI - Clinical Characteristics and Outcomes of Patients with Amphetamine-Associated
Cardiomyopathy in South Auckland, New Zealand.
AB - BACKGROUND: Amphetamine-associated cardiomyopathy (AAC) is becoming an
increasingly recognised entity. The characteristics and outcomes of these
patients are poorly understood. METHODS: Thirty patients admitted with heart
failure and echocardiographic evidence of cardiomyopathy between 2005 and 2014
and who had a documented history of amphetamine abuse that was considered an
important factor in the causation of their cardiomyopathy were retrospectively
identified. RESULTS: Mean age at presentation was 40+/-10 years with a male
predominance (n=25, 83%). The majority were of indigenous Maori ethnicity. At
presentation, four patients were in cardiogenic shock. Five patients required
intensive care unit (ICU) admission for inotropic support and mechanical
ventilation. Fifteen had severe left ventricular (LV) dilation (mean LV end
diastolic dimension 6.8+/-1.0cm) and all patients had severe LV dysfunction (mean
LV ejection fraction 22+/-8%). Despite optimal heart failure therapy, LV size
remained significantly dilated with minimal improvement in LV function. During
median follow-up of 18 months, five patients died from end-stage heart failure
and 17 had at least one readmission with decompensated heart failure. CONCLUSION:
Amphetamine-associated cardiomyopathy was seen predominantly in young indigenous
Maori men. They presented with severe cardiomyopathy, often requiring ICU
admission. Severe LV dilation and significant LV dysfunction persisted despite
treatment and mortality was high.
PMID- 27185545
TI - The rice thylakoid membrane-bound ascorbate peroxidase OsAPX8 functions in
tolerance to bacterial blight.
AB - Thylakoid membrane-bound ascorbate peroxidase (tAPX) is a major H2O2-scavenging
enzyme. To clarify its functions in tolerance to rice bacterial blight, we
produced rice lines overexpressing and suppressing tAPX (OsAPX8). The
overexpressing lines exhibited increased tolerance to bacterial pathogen. The RNA
interference (RNAi) lines were considerably more sensitive than the control
plant. Further analysis of the H2O2 content in these transgenic plants indicated
that the H2O2 accumulation of OsAPX8-overexpressing plants was considerably less
than that of wild-type and RNAi plants upon challenge with bacterial pathogen.
Interestingly, H2O2 was the most important factor for the serious leaf
dehydration and withering of rice without major resistance genes and was not the
cause of hypersensitivity. It addition, wall tightening or loosening can occur
according to the level of H2O2. In addition, OsAPX8 interacted with the
susceptibility protein Os8N3/Xa13, and their binding repressed the reaction of
OsAPX8 in tolerance to bacterial blight.
PMID- 27185548
TI - Temporal interactions of plant - insect - predator after infection of bacterial
pathogen on rice plants.
AB - Pathogenic infection on plants may affect interactions of host-plants with their
herbivores, as well as the herbivores with their predators. In this study, the
effects of infection by pathogenic bacterium Xanthomonas oryzae pv. oryzae (Xoo),
which causes a vascular disease in rice, on rice plants and consequent
interactions with a rice herbivore, brown rice planthopper (BPH) Nilaparvata
lugens, and its major predator, Cyrtorhinus lividipennis, were investigated. The
results showed that the rice plants exhibited increased resistance to BPH only at
3 d post-inoculation of Xoo, while the Xoo infection did not affect the
development and fecundity of BPH. BPH exhibited a higher preference to Xoo
infected rice plants, whereas C. lividipennis preferred the Xoo infected rice
plants after BPH fed, but preferred healthy rice plants without BPH fed. Volatile
organic compounds emitted from Xoo rice were significantly higher than those from
healthy rice plants, Xoo infection on BPH fed plants caused rice plants to emit
more the herbivore-induced plant volatiles, while all of these changes correlated
to the temporal dimension. These results demonstrated that Xoo infection
significantly influenced the interactions of rice plants with two non-vectors,
BPH and its predator, although these effects exhibited in a temporal pattern
after infection.
PMID- 27185549
TI - Proteomic profiling reveals dopaminergic regulation of progenitor cell functions
of goldfish radial glial cells in vitro.
AB - Radial glial cells (RGCs) are stem-like cells found in the developing and adult
central nervous system. They function as both a scaffold to guide neuron
migration and as progenitor cells that support neurogenesis. Our previous study
revealed a close anatomical relationship between dopamine neurons and RGCs in the
telencephalon of female goldfish. In this study, label-free proteomics was used
to identify the proteins in a primary RGC culture and to determine the proteome
response to the selective dopamine D1 receptor agonist SKF 38393 (10MUM), in
order to better understand dopaminergic regulation of RGCs. A total of 689 unique
proteins were identified in the RGCs and these were classified into biological
and pathological pathways. Proteins such as nucleolin (6.9-fold) and ependymin
related protein 1 (4.9-fold) were increased in abundance while proteins
triosephosphate isomerase (10-fold) and phosphoglycerate dehydrogenase (5-fold)
were decreased in abundance. Pathway analysis revealed that proteins that
consistently changed in abundance across biological replicates were related to
small molecules such as ATP, lipids and steroids, hormones, glucose, cyclic AMP
and Ca(2+). Sub-network enrichment analysis suggested that estrogen receptor
signaling, among other transcription factors, is regulated by D1 receptor
activation. This suggests that these signaling pathways are correlated to
dopaminergic regulation of radial glial cell functions. Most proteins down
regulated by SKF 38393 were involved in cell cycle/proliferation, growth, death,
and survival, which suggests that dopamine inhibits the progenitor-related
processes of radial glial cells. Examples of differently expressed proteins
including triosephosphate isomerase, nucleolin, phosphoglycerate dehydrogenase
and capping protein (actin filament) muscle Z-line beta were validated by qPCR
and western blot, which were consistent with MS/MS data in the direction of
change. This is the first study to characterize the RGC proteome on a large scale
in a vertebrate species. These data provide novel insight into glial protein
networks that are associated with neuroendocrine function and neurogenesis in the
teleost brain. BIOLOGICAL SIGNIFICANCE: While the role of radial glial cells in
organizing brain structure and neurogenesis has been well studied, protein
profiling experiments in this unique cell type has not been conducted. This study
is the first to profile the proteome of goldfish radial glial cells in culture
and to study the regulation of progenitor functions of radial glial cells by the
neurotransmitter dopamine. This study provides the foundation for molecular
network analysis in fish radial glial cells, and identifies cellular processes
and signaling pathways in these cells with roles in neurogenesis and
neuroendocrine function. Lastly, this study begins to characterize signatures and
biomarkers for specific neuroendocrine and neurogenesis disruptors.
PMID- 27185550
TI - Mature forms of the major seed storage albumins in sunflower: A mass
spectrometric approach.
AB - Seed storage albumins are abundant, water-soluble proteins that are degraded to
provide critical nutrients for the germinating seedling. It has been established
that the sunflower albumins encoded by SEED STORAGE ALBUMIN 2 (SESA2), SESA20 and
SESA3 are the major components of the albumin-rich fraction of the common
sunflower Helianthus annuus. To determine the structure of sunflowers most
important albumins we performed a detailed chromatographic and mass spectrometric
characterization to assess what post-translational processing they receive prior
to deposition in the protein storage vacuole. We found that SESA2 and SESA20 each
encode two albumins. The first of the two SESA2 albumins (SESA2-1) exists as a
monomer of 116 or 117 residues, differing by a threonine at the C-terminus. The
second of the two SESA2 albumins (SESA2-2) is a monomer of 128 residues. SESA20
encodes the albumin SESA20-2, which is a 127-residue monomer, whereas SESA20-1
was not abundant enough to be structurally described. SESA3, which has been
partly characterized previously, was found in several forms with methylation of
its asparagine residues. In contrast to other dicot albumins, which are generally
matured into a heterodimer, all the dominant mature sunflower albumins SESA2,
SESA20-2, SESA3 and its post-translationally modified analogue SESA3-a are
monomeric. BIOLOGICAL SIGNIFICANCE: Sunflower plants have been bred to thrive in
various climate zones making them favored crops to meet the growing worldwide
demand by humans for protein. The abundance of seed storage proteins makes them
an important source of protein for animal and human nutrition. This study
explores the structures of the dominant sunflower napin-type seed storage
albumins to understand what structures evolution has favored in the most abundant
proteins in sunflower seed.
PMID- 27185551
TI - Proteomic analysis of outer membrane proteins and vesicles of a clinical isolate
and a collection strain of Stenotrophomonas maltophilia.
AB - Stenotrophomonas maltophilia is a Gram-negative pathogen with emerging nosocomial
incidence that displays a high genomic diversity, complicating the study of its
pathogenicity, virulence and resistance factors. The interaction of bacterial
pathogens with host cells is largely mediated by outer membrane proteins (OMPs).
Indeed, several OMPs of Gram-negative bacteria have been recognized as important
virulence factors and targets for host immune recognition or to be involved in
mechanisms of resistance to antimicrobials. OMPs are also present in outer
membrane vesicles (OMVs), which bacteria constitutively secrete to the
extracellular milieu and are essential for bacterial survival and pathogenesis.
Here, we report the characterization of the OMP and native OMV subproteomes of a
clinical isolate (M30) and a collection strain (ATCC13637) of S. maltophilia. We
had previously shown that the ATCC13637 strain has an attenuated phenotype in a
zebrafish model of infection, as well as a distinct susceptibility profile
against a panel of antimicrobials. The protein profiles of the OMP and OMV
subproteomes of these two strains and their differences consequently point at
pathogenesis, virulence or resistance proteins, such as two variants of the
quorum-sensing factor Ax21 that are found to be highly abundant in the OMP
fraction and exported to OMVs. BIOLOGICAL SIGNIFICANCE: Stenotrophomonas
maltophilia is rapidly climbing positions in the ranking of multidrug-resistant
pathogens that are frequently isolated in hospital environments. Being an
emerging human pathogen, the knowledge on the factors determining the
pathogenicity, virulence and resistance traits of this microorganism is still
scarce. Outer membrane proteins (OMPs) and vesicles (OMVs) are key elements for
the interaction of Gram-negative bacteria with their environment -including the
host-and have fundamental roles in both infection and resistance processes. The
present study sets a first basis for a phenotype-dependent characterisation of
the OMP subproteome of S. maltophilia and complements very recent work on the OMV
subproteome of this species. The variability found among even two strains
demonstrates once more that the analysis of genotypically and phenotypically
distinct isolates under various conditions will be required before we can draw a
significant picture of the OMP and OMV subproteomes of S. maltophilia.
PMID- 27185552
TI - Mortality predictors in recipients developing acute respiratory distress syndrome
due to pneumonia after kidney transplantation.
AB - BACKGROUND: The aim of the present study was to investigate the risk factors
related to hospital mortality due to infection in kidney recipients with ARDS
meeting the Berlin definition. METHODS: Univariate and multivariate logistic
regression analysis were used to confirm the independent risk factors related to
infection-associated mortality. RESULTS: From January 2001 to August 2014, a
total of 94 recipients with acute respiratory dress syndrome (ARDS) caused by
pneumonia following kidney transplantation were enrolled in the present study.
The most common type of infection was bacterial (52/94; 55.3%), viral (25/94;
26.6%), and polymicrobial (14/94; 14.9%). The most common ARDS was diagnosed
within 6 months after transplantation (76/94; 80.9%). There were 39 deaths in
these recipients (39/94; 41.5%). Eleven (11.7%) patients had mild, 47 (50.0%)
moderate, and 36 (38.3%) severe ARDS; mortality was 27.3, 27.7, and 63.9%,
respectively. The independent predictors of infection-related mortality were
serum creatinine level >1.5 mg/dL at ARDS onset (OR 3.5 (95%CI 1.2-10.1), p =
0.018) and severe ARDS (OR 3.6 (95%CI 1.4-9.7), p = 0.009) in the multivariate
analysis. CONCLUSION: Infection-related mortality in kidney transplant patients
with ARDS was associated with high serum creatinine level and severe ARDS.
PMID- 27185553
TI - Synergistic Effects of Marine Reserves and Harvest Controls on the Abundance and
Catch Dynamics of a Coral Reef Fishery.
AB - Marine no-take reserves, where fishing and other extractive activities are
prohibited, have well-established conservation benefits [1], yet their impacts on
fisheries remains contentious [2-4]. For fishery species, reserves are often
implemented alongside more conventional harvest strategies, including catch and
size limits [2, 5]. However, catch and fish abundances observed post-intervention
are often attributed to reserves, without explicitly estimating the potential
contribution of concurrent management interventions [2, 3, 6-9]. Here we test a
metapopulation model against observed fishery [10] and population [11] data for
an important coral reef fishery (coral trout; Plectropomus spp.) in Australia's
Great Barrier Reef Marine Park (GBRMP) to evaluate how the combined increase in
reserve area [12] and reduction in fishing effort [13, 14] in 2004 influenced
changes in fish stocks and the commercial fishery. We found that declines in
catch, increases in catch rates, and increases in biomass since 2004 were
substantially attributable to the integration of direct effort controls with the
rezoning, rather than the rezoning alone. The combined management approach was
estimated to have been more productive for fish and fisheries than if the
rezoning had occurred alone and comparable to what would have been obtained with
effort controls alone. Sensitivity analyses indicate that the direct effort
controls prevented initial decreases in catch per unit effort that would have
otherwise occurred with the rezoning. Our findings demonstrate that by
concurrently restructuring the fishery, the conservation benefits of reserves
were enhanced and the fishery cost of rezoning the reserve network was
socialized, mitigating negative impacts on individual fishers.
PMID- 27185554
TI - The Neural Circuitry that Functions as a Switch for Courtship versus Aggression
in Drosophila Males.
AB - Courtship and aggression are induced in a mutually exclusive manner in male
Drosophila melanogaster, which quickly chooses one of these behavioral
repertoires to run depending on whether the encountered conspecific is a female
or male, yet the neural mechanism underlying this decision making remains
obscure. By targeted excitation and synaptic blockage in a subset of brain
neurons, we demonstrate here that the fruitless (fru)-negative subfraction (~20
cells) of a doublesex-positive neural cluster, pC1, acts as the aggression
triggering center whereas the fru-positive subfraction (~20 cells) of pC1 acts as
the courtship-triggering center, and that the mutually exclusive activation of
these two centers is attained by a double-layered inhibitory switch composed of
two fru single-positive clusters, LC1 and mAL. To our knowledge, this is the
first report to unravel the cellular identity of the neural switch that governs
the alternative activation of aggression and courtship in the animal kingdom.
PMID- 27185556
TI - Maladaptive Sex Ratio Adjustment in the Invasive Brine Shrimp Artemia
franciscana.
AB - Sex allocation theory is often hailed as the most successful area of evolutionary
theory due to its striking success as a predictor of empirical observations [1].
Most naturally occurring sex ratios can be explained by the principle of equal
investment in the sexes [2-4] or by cases of "extraordinary" sex allocation [5].
Deviations from the expected sex ratio are often correlated with weak selection
or low environmental predictability (e.g., [6, 7]); true cases of aberrant sex
allocation are surprisingly rare [8]. Here, we present a case of long-lasting
maladaptive sex allocation, which we discovered in invasive populations of the
exclusively sexual brine shrimp Artemia franciscana. A. franciscana was
introduced to Southern France roughly 500 generations ago [9]; since then, it has
coexisted with the native asexual species Artemia parthenogenetica [10]. Although
we expect A. franciscana to produce balanced offspring sex ratios, we regularly
observed extremely male-biased sex ratios in invasive A. franciscana, which were
significantly correlated to the proportion of asexuals in the overall population.
We experimentally proved that both invasive- and native-range A. franciscana
overproduced sons when exposed to excess females, without distinguishing between
conspecific and asexual females. We conclude that A. franciscana adjust their
offspring sex ratio in function of the adult sex ratio but are information
limited in the presence of asexual females. Their facultative adjustment trait,
which is presumably adaptive in their native range, has thus become maladaptive
in the invasive range where asexuals occur. Despite this, it has persisted
unchanged for hundreds of generations.
PMID- 27185557
TI - A Snapshot-Based Mechanism for Celestial Orientation.
AB - In order to protect their food from competitors, ball-rolling dung beetles detach
a piece of dung from a pile, shape it into a ball, and roll it away along a
straight path [1]. They appear to rely exclusively on celestial compass cues to
maintain their bearing [2-8], but the mechanism that enables them to use these
cues for orientation remains unknown. Here, we describe the orientation strategy
that allows dung beetles to use celestial cues in a dynamic fashion. We tested
the underlying orientation mechanism by presenting beetles with a combination of
simulated celestial cues (sun, polarized light, and spectral cues). We show that
these animals do not rely on an innate prediction of the natural geographical
relationship between celestial cues, as other navigating insects seem to [9, 10].
Instead, they appear to form an internal representation of the prevailing
celestial scene, a "celestial snapshot," even if that scene represents a physical
impossibility for the real sky. We also find that the beetles are able to
maintain their bearing with respect to the presented cues only if the cues are
visible when the snapshot is taken. This happens during the "dance," a behavior
in which the beetle climbs on top of its ball and rotates about its vertical axis
[11]. This strategy for reading celestial signals is a simple but efficient
mechanism for straight-line orientation.
PMID- 27185555
TI - Mechanoaccumulative Elements of the Mammalian Actin Cytoskeleton.
AB - To change shape, divide, form junctions, and migrate, cells reorganize their
cytoskeletons in response to changing mechanical environments [1-4]. Actin
cytoskeletal elements, including myosin II motors and actin crosslinkers,
structurally remodel and activate signaling pathways in response to imposed
stresses [5-9]. Recent studies demonstrate the importance of force-dependent
structural rearrangement of alpha-catenin in adherens junctions [10] and
vinculin's molecular clutch mechanism in focal adhesions [11]. However, the
complete landscape of cytoskeletal mechanoresponsive proteins and the mechanisms
by which these elements sense and respond to force remain to be elucidated. To
find mechanosensitive elements in mammalian cells, we examined protein
relocalization in response to controlled external stresses applied to individual
cells. Here, we show that non-muscle myosin II, alpha-actinin, and filamin
accumulate to mechanically stressed regions in cells from diverse lineages. Using
reaction-diffusion models for force-sensitive binding, we successfully predicted
which mammalian alpha-actinin and filamin paralogs would be mechanoaccumulative.
Furthermore, a "Goldilocks zone" must exist for each protein where the actin
binding affinity must be optimal for accumulation. In addition, we leveraged
genetic mutants to gain a molecular understanding of the mechanisms of alpha
actinin and filamin catch-bonding behavior. Two distinct modes of
mechanoaccumulation can be observed: a fast, diffusion-based accumulation and a
slower, myosin II-dependent cortical flow phase that acts on proteins with
specific binding lifetimes. Finally, we uncovered cell-type- and cell-cycle-stage
specific control of the mechanosensation of myosin IIB, but not myosin IIA or
IIC. Overall, these mechanoaccumulative mechanisms drive the cell's response to
physical perturbation during proper tissue development and disease.
PMID- 27185558
TI - A Eukaryote without a Mitochondrial Organelle.
AB - The presence of mitochondria and related organelles in every studied eukaryote
supports the view that mitochondria are essential cellular components. Here, we
report the genome sequence of a microbial eukaryote, the oxymonad
Monocercomonoides sp., which revealed that this organism lacks all hallmark
mitochondrial proteins. Crucially, the mitochondrial iron-sulfur cluster assembly
pathway, thought to be conserved in virtually all eukaryotic cells, has been
replaced by a cytosolic sulfur mobilization system (SUF) acquired by lateral gene
transfer from bacteria. In the context of eukaryotic phylogeny, our data suggest
that Monocercomonoides is not primitively amitochondrial but has lost the
mitochondrion secondarily. This is the first example of a eukaryote lacking any
form of a mitochondrion, demonstrating that this organelle is not absolutely
essential for the viability of a eukaryotic cell.
PMID- 27185560
TI - Gut Microbiota and Extreme Longevity.
AB - The study of the extreme limits of human lifespan may allow a better
understanding of how human beings can escape, delay, or survive the most frequent
age-related causes of morbidity, a peculiarity shown by long-living individuals.
Longevity is a complex trait in which genetics, environment, and stochasticity
concur to determine the chance to reach 100 or more years of age [1]. Because of
its impact on human metabolism and immunology, the gut microbiome has been
proposed as a possible determinant of healthy aging [2, 3]. Indeed, the
preservation of host-microbes homeostasis can counteract inflammaging [4],
intestinal permeability [5], and decline in bone and cognitive health [6, 7].
Aiming at deepening our knowledge on the relationship between the gut microbiota
and a long-living host, we provide for the first time the phylogenetic microbiota
analysis of semi-supercentenarians, i.e., 105-109 years old, in comparison to
adults, elderly, and centenarians, thus reconstructing the longest available
human microbiota trajectory along aging. We highlighted the presence of a core
microbiota of highly occurring, symbiotic bacterial taxa (mostly belonging to the
dominant Ruminococcaceae, Lachnospiraceae, and Bacteroidaceae families), with a
cumulative abundance decreasing along with age. Aging is characterized by an
increasing abundance of subdominant species, as well as a rearrangement in their
co-occurrence network. These features are maintained in longevity and extreme
longevity, but peculiarities emerged, especially in semi-supercentenarians,
describing changes that, even accommodating opportunistic and allochthonous
bacteria, might possibly support health maintenance during aging, such as an
enrichment and/or higher prevalence of health-associated groups (e.g.,
Akkermansia, Bifidobacterium, and Christensenellaceae).
PMID- 27185559
TI - Multiple Time Courses of Vestibular Set-Point Adaptation Revealed by Sustained
Magnetic Field Stimulation of the Labyrinth.
AB - A major focus in neurobiology is how the brain adapts its motor behavior to
changes in its internal and external environments [1, 2]. Much is known about
adaptively optimizing the amplitude and direction of eye and limb movements, for
example, but little is known about another essential form of learning, "set
point" adaptation. Set-point adaptation balances tonic activity so that
reciprocally acting, agonist and antagonist muscles have a stable platform from
which to launch accurate movements. Here, we use the vestibulo-ocular reflex-a
simple behavior that stabilizes the position of the eye while the head is moving
to investigate how tonic activity is adapted toward a new set point to prevent
eye drift when the head is still [3, 4]. Set-point adaptation was elicited with
magneto-hydrodynamic vestibular stimulation (MVS) by placing normal humans in a
7T MRI for 90 min. MVS is ideal for prolonged labyrinthine activation because it
mimics constant head acceleration and induces a sustained nystagmus similar to
natural vestibular lesions [5, 6]. The MVS-induced nystagmus diminished slowly
but incompletely over multiple timescales. We propose a new adaptation
hypothesis, using a cascade of imperfect mathematical integrators, that
reproduces the response to MVS (and more natural chair rotations), including the
gradual decrease in nystagmus as the set point changes over progressively longer
time courses. MVS set-point adaptation is a biological model with applications to
basic neurophysiological research into all types of movements [7], functional
brain imaging [8], and treatment of vestibular and higher-level attentional
disorders by introducing new biases to counteract pathological ones [9].
PMID- 27185562
TI - Mitral Stenosis with Extensive Left Atrial Thrombus.
PMID- 27185561
TI - Clinical and laboratory correlates of platelet alloimmunization and
refractoriness in the PLADO trial.
AB - BACKGROUND AND OBJECTIVES: Platelet alloimmunization and refractoriness to
platelet transfusion are complications of platelet transfusion therapy. The
platelet dose (PLADO) trial, as the largest prospective randomized trial of
prophylactic platelet therapy to date, afforded an opportunity to analyse these
two issues. MATERIALS AND METHODS: PLADO patient records were examined for
evidence of platelet alloimmunization, defined as an increase in HLA Class I
panel-reactive antibodies (PRA) to >=20%, and clinical refractoriness, defined as
two consecutive <=4 h posttransfusion corrected platelet count increments (CCI)
of <5000. Multivariate logistic regression, restricted to platelet-transfused
subjects who received exclusively either in-process leucoreduction apheresis or
whole blood-derived (WBD) leucocyte-reduced platelets, compared the frequency of
these outcomes by platelet unit and patient characteristics. RESULTS: Forty of
816 evaluable platelet-transfused patients (5%) became alloimmunized during the
trial. Prior pregnancy, chemotherapy only compared to progenitor cell transplant,
and low platelet dose - all were associated with significantly higher rates of
alloimmunization. Among 35 alloimmunized patients evaluated for refractoriness, 8
(23%) had two consecutive CCI < 5000/MUl. Regardless of alloimmunization status,
CCIs < 5000/MUl were observed following 17% of platelet transfusions. Among 734
patients receiving at least two platelet transfusions, two consecutive CCIs of
<=5000 occurred in 102 (14%). CONCLUSIONS: The incidence of new platelet
alloimmunization was low in the PLADO study, but follow-up was at most 30 days.
Alloimmunization was present in only 8 of 102 (8%) of observed cases of
refractoriness, suggesting that other causes of poor posttransfusion increments
are frequent.
PMID- 27185563
TI - Metastatic low-grade fibromyxoid sarcoma of the broad ligament: A case report and
literature review.
PMID- 27185565
TI - Scientific rebuttal to a published article "Multiplex Real-Time PCR for
identification of Canine Parvovirus antigenic types".
PMID- 27185564
TI - Impact of aphid alarm pheromone release on virus transmission efficiency: When
pest control strategy could induce higher virus dispersion.
AB - Aphids cause serious damages to crops not only by tacking sap but also by
transmitting numerous viruses. To develop biological control, the aphid alarm
pheromone, namely E-beta-farnesene (EbetaF), has been demonstrated to be
efficient to repel aphids and as attract beneficials, making it a potential tool
to control aphid pests. Considering aphids also as virus vectors, changes of
their behavior could also interfere with the virus acquisition and transmission
process. Here, a combination of two aphid species and two potato virus models
were selected to test the influence of EbetaF release on aphid and virus
dispersion under laboratory conditions. EbetaF release was found to significantly
decrease the population of Myzus persicae and Macrosiphum euphorbiae around the
infochemical releaser but simultaneously also increasing the dispersal of Potato
Virus Y (PVY). At the opposite, no significant difference for Potato Leaf Roll
Virus (PLRV) transmission efficiency was observed with similar aphid alarm
pheromone releases for none of the aphid species. These results provide some
support to carefully consider infochemical releasers not only for push-pull
strategy and pest control but also to include viral disease in a the plant
protection to aphids as they are also efficient virus vectors. Impact of aphid
kinds and transmission mechanisms will be discussed according to the large
variation found between persistent and non persistent potato viruses and
interactions with aphids and related infochemicals.
PMID- 27185566
TI - Robotic pancreaticoduodenectomy and distal pancreatectomy: State of the art.
AB - Over recent years, minimally invasive pancreatic resections have increasingly
been reported in the literature. Even though pancreatic surgery is still
considered a challenge for surgeons due to its technical difficulties and high
morbidity, the development and spread of robotic surgery has highlighted a new
interest, which has induced a rapid spread of robotic approaches for pancreatic
resections. This study presents a systematic review of the literature regarding
robotic pancreaticoduodenectomy and distal pancreatectomy in order to assess the
safety and feasibility of robotic pancreatic resection.
PMID- 27185568
TI - Development of 'Twazon': An Arabic App for Weight Loss.
AB - BACKGROUND: Weight gain and its related illnesses have become a major public
health issue across the world, with Saudi Arabia and other Gulf countries seeing
dramatic increases in obesity and overweight, and yet there is very little
information on how to intervene with this demographic due to cultural and
linguistic barriers. As the use of smartphones and apps has also increased in the
region, information communication technologies could be a cost-effective means of
facilitating the delivery of behavior-modification interventions directly to the
target population. Although there are existing apps that offer lifestyle
modification tools, they do not give consideration to the evidence-based
practices for weight management. This offers an opportunity to create an Arabic
language weight loss app that offers localized content and adheres to evidence
informed practices that are needed for effective weight loss. OBJECTIVE: This
paper describes the process of developing an Arabic weight loss app designed to
facilitate the modification of key nutritional and physical activity behaviors
among Saudi adults, while taking into consideration cultural norms. METHODS: The
development of the Twazon app involved: (1) reviewing all available Arabic weight
loss apps and compared with evidence-based practices for weight loss, (2)
conducting a qualitative study with overweight and obese Saudi women to ascertain
their preferences, (3) selecting which behavioral change strategies and
guidelines to be used in the app, (4) creating the Saudi Food Database, (5)
deciding on graphic design for both iPhone operating system and Android
platforms, including user interface, relational database, and programming code,
and (6) testing the beta version of the app with health professionals and
potential users. RESULTS: The Twazon app took 23 months to develop and included
the compilation of an original Saudi Food database. Eight subjects gave feedback
regarding the content validity and usability of the app and its features during a
pilot study. The predominant issue among the group was the lack of information
explaining how to use the app. This has since been resolved through the
implementation of a tutorial. No other changes were required to be made.
CONCLUSIONS: Information communication technologies, such as smartphone apps, may
be an effective tool for facilitating the modification of unhealthy lifestyle
habits in Saudi; however, consideration must be given to the target population,
cultural norms, and changing trends in the global market. The effectiveness of
the app will be better determined during a 6-month intervention with 200
overweight and obese Saudi women.
PMID- 27185567
TI - Exposure to organochlorine pesticides and non-Hodgkin lymphoma: a meta-analysis
of observational studies.
AB - Growing evidence indicates that exposure to organochlorine pesticides (OCPs)
could increase non-Hodgkin lymphoma (NHL) risk. However, results from
epidemiological studies investigating this association remain controversial. We
thus conducted a meta-analysis to quantitatively evaluate the association between
OCP exposure and NHL risk. Relevant publications were searched in PubMed, Web of
Science, and Embase and identified according to the inclusion criteria. Thirteen
studies (6 nested case-control, 1 case-cohort, and 6 case-control) were selected
for this meta-analysis. We used odds ratios (ORs) with 95% confidence intervals
(CIs) to estimate the relationship between OCPs exposure and NHL risk. The
summary OR for included studies was 1.40 (95% CI 1.27 to 1.56). No overall
significant heterogeneity in the OR was observed (Ph = 0.253, I(2) = 12.6%).
Furthermore, OR estimates in subgroup analyses were discussed, and strong
associations were observed for dichlorodiphenyldichloroethylene (DDE, OR = 1.38,
95% CI 1.14 to 1.66), hexachlorocyclohexane (HCH, OR = 1.42, 95% CI 1.08 to
1.87), chlordane (OR = 1.93, 95% CI 1.51 to 2.48), and hexachlorobenzene (HCB, OR
= 1.54, 95% CI 1.20 to 1.99). This meta-analysis had suggested that total OCPs of
interest was significantly positively associated with NHL risk.
PMID- 27185569
TI - Synthesis and Analgesic Properties of Lidocaine Derivatives with Substituted
Aminobenzothiazoles.
AB - Local anesthetics are the most widely consumed drugs in the practice of medicine
which provide a loss of sensation in a certain body part without loss of
consciousness or impairment of central control of essential functions. Lidocaine
(I) is the most commonly local anaesthetic drug which is widely used in all
species due to its fabulous diffusing and penetrating properties as well as
prompt onset of surgical analgesia. In this study, new aminobenzothiazole (with
many useful biological and pharmacological properties) analogues were synthesized
by changing of amine moiety of I. Both acute and chronic pain properties of new
compounds (II-VI) were studied by using the tail immersion and formalin tests on
mice and the outcomes were compared with control and lidocaine groups. According
to the results, aminobenzothiazole derivatives are better candidates than
diethylamine group for replacement on amine moiety of I. Also, derivatives with
electron-withdrawing groups on this amine (V and VI) could decrease pain better
than electron-donating ones (II and III) (specially on position 6 of this amine,
II and V) which may be of concern for blockade of specific sodium channels by
these new compounds.
PMID- 27185570
TI - Inhibition of P-Glycoprotein Mediated Efflux of Paclitaxel by Coumarin
Derivatives in Cancer Stem Cells: An In Silico Approach.
AB - P-glycoprotein (P-gp) is well known to cause multidrug resistance (MDR) in cancer
cells. This MDR leads to cancer recurrence which is a major obstacle in cancer
treatment. High P-gp expression has been observed in the population of cancer
stem cells (CSCs) having self-renewal potential. Early detection and inhibition
of these CSCs is directly beneficial to cancer treatment. In this study coumarin
derivatives are used to inhibit efflux process and thereby enhance
bioavailability of various drugs like paclitaxel (PTX). This drug is most
commonly used for the treatment of cancers of breast, ovary, head and neck.
Coumarin derivatives can be used to reduce the growth of breast cancer stem cells
through P-gp mediated efflux inhibition and paclitaxel bioavailability
enhancement. With the use of computational approaches including molecular docking
simulation and pharmacophore study, few coumarin derivatives have been found to
be more potential inhibitors of P-gp mediated efflux. Based on high affinity
inhibitors, new coumarin derivatives have been designed and docked at active site
cavity of P-gps. Some newly designed coumarin derivatives were found to be more
potent due to their higher binding affinity towards target protein. The finding
that newly designed coumarins can be exploited for inhibition of P-gp mediated
efflux in order to enhance paclitaxel bioavailability and can inhibit breast
cancer stem cell growth is significant for designing potent anticancer drugs.
PMID- 27185571
TI - Efficacy and safety of multiple doses of QGE031 (ligelizumab) versus omalizumab
and placebo in inhibiting allergen-induced early asthmatic responses.
AB - BACKGROUND: Omalizumab is an established anti-IgE therapy for the treatment of
allergic diseases that prevents IgE from binding to its receptor. QGE031 is an
investigational anti-IgE antibody that binds IgE with higher affinity than
omalizumab. OBJECTIVE: This study compared the effects of QGE031 with those of
omalizumab on clinical efficacy, IgE levels, and FcepsilonRI expression in a
clinical model of allergic asthma. METHODS: Thirty-seven patients with mild
allergic asthma were randomized to subcutaneous omalizumab, placebo, or QGE031 at
24, 72, or 240 mg every 2 weeks for 10 weeks in a double-blind, parallel-group
multicenter study. Inhaled allergen challenges and skin tests were conducted
before dosing and at weeks 6, 12, and 18, and blood was collected until 24 weeks
after the first dose. RESULTS: QGE031 elicited a concentration- and time
dependent change in the provocative concentration of allergen causing a 15%
decrease in FEV1 (allergen PC15) that was maximal and approximately 3-fold
greater than that of omalizumab (P = .10) and 16-fold greater than that of
placebo (P = .0001) at week 12 in the 240-mg cohort. Skin responses reached 85%
suppression at week 12 in the 240-mg cohort and were maximal at week 18. The top
doses of QGE031 consistently suppressed skin test responses among subjects but
had a variable effect on allergen PC15 (2-fold to 500-fold change). QGE031 was
well tolerated. CONCLUSION: QGE031 has greater efficacy than omalizumab on
inhaled and skin allergen responses in patients with mild allergic asthma. These
data support the clinical development of QGE031 as a treatment of asthma.
PMID- 27185574
TI - Individual patient information to select patients for different radiation
techniques.
AB - BACKGROUND AND PURPOSE: Proton therapy is an emerging technique in radiotherapy
which results in less dose to the normal tissues with similar target dose than
photon therapy, the current standard. Patient-level simulation models support
better decision making on which patients would benefit most. MATERIALS AND
METHODS: A simulation model was developed tracking individual patients' status
regarding the primary tumour and multiple complications. As a proof of principle,
the model was populated based on information from a cohort of 1013 head and neck
cancer patients. Dose-volume parameters for photon and proton radiation treatment
plans were then fed into the model to compare outcomes in terms of length and
quality of life and select patients that would benefit most. RESULTS: The
illustrative model could adequately replicate the outcomes of photon therapy in
the cohort. Improvements from proton therapy varied considerably between
patients. The model projects medium-term outcomes for specific individuals and
determines the benefits of applying proton rather than photon therapy.
CONCLUSIONS: While the model needs to be fed with more and especially recent data
before being fully ready for use in clinical practice, it could already
distinguish between patients with high and low potential benefits from proton
therapy. Benefits are highest for patients with both good prognosis and high
expected damage to adjacent organs. The model allows for selecting such patients
a priori based on patient relevant outcomes.
PMID- 27185572
TI - Survival of elderly patients with multiple myeloma-Effect of upfront autologous
stem cell transplantation.
AB - BACKGROUND: The aim of this study was to determine the value of upfront
autologous transplantation (ASCT) in elderly patients (60-79 years) with myeloma.
METHODS: We analysed relative survival (RS) of patients diagnosed in 1998-2011
and treated with ASCT within 12 months after diagnosis in Germany (n = 3591;
German Registry of Stem Cell Transplantation) and compare RS with survival of
myeloma patients diagnosed in the same years in Germany (n = 13,903; population
based German Cancer Registries). RESULTS: Utilisation of ASCT has increased
rapidly between 2000-2002 and 2009-2011 (60-64years: 7.0-43.0%; 65-69 years: 6.6
23.7%; 70-79 years: 0.4-4.0%). Comparison of 5-year RS of patients from the
general German myeloma population who have survived the first year after
diagnosis with 5-year RS of patients treated with ASCT revealed higher survival
for transplanted patients among all age groups (60-64: 59.2% versus 66.1%; 65-69:
57.4% versus 61.7%; 70-79: 51.0% versus 56.6%). RS increased strongly between
2003-2005 and 2009-2011 for the general German myeloma population (+8.5%) and for
patients treated with ASCT (+11.8%). Differences in RS between these groups
increased over time from +1.9% higher age-standardised survival in transplanted
patients in 2003-2005 to 5.2% higher survival in 2009-2011. CONCLUSION: We
conclude that upfront ASCT might be a major contributor to improved survival for
elderly myeloma patients in Germany.
PMID- 27185573
TI - Phase 1 study of dalotuzumab monotherapy and ridaforolimus-dalotuzumab
combination therapy in paediatric patients with advanced solid tumours.
AB - AIM: Dalotuzumab is a highly specific, humanised immunoglobulin G1 monoclonal
antibody against insulin-like growth factor receptor 1. This multicenter phase 1
study (NCT01431547) explored the safety and pharmacokinetics of dalotuzumab
monotherapy (part 1) and the combination of dalotuzumab with the mammalian target
of rapamycin inhibitor ridaforolimus (part 2) in paediatric patients with
advanced solid tumours. METHODS: Dalotuzumab was administered intravenously every
3 weeks starting at 900 mg/m(2) and escalating to 1200 and 1500 mg/m(2).
Combination therapy included intravenous dalotuzumab at the defined single-agent
recommended phase 2 dose (RP2D) and oral ridaforolimus 28 mg/m(2) daily (days 1
5), repeated weekly. Pharmacokinetic studies were performed to evaluate the mean
serum trough dalotuzumab concentration, which guided the RP2D. RESULTS: Twenty
four patients were enrolled (part 1, n = 20; part 2, n = 4). No dose-limiting
toxicities were observed in patients receiving dalotuzumab alone. One patient
experienced dose-limiting stomatitis in the combination arm. Pharmacokinetic data
showed dose-dependent increases in exposure (area under the curve from zero to
infinity [AUC0-infinity]) (87,900, 164,000, and 186,000 h*mg/ml for the 900,
1200, and 1500 mg/m(2) dose levels, respectively), maximum serum concentration
(Cmax) (392, 643, and 870 mg/ml), and serum trough concentration (Ctrough) (67.1,
71.6, and 101 mg/ml). The mean half-life was 265, 394, and 310 h, respectively.
Dalotuzumab pharmacokinetics were not affected by coadministration with
ridaforolimus. One of six patients with Ewing sarcoma had confirmed partial
response to dalotuzumab monotherapy at 900 mg/m(2). Time to response was 41 d,
and progression occurred at 126 d. CONCLUSION: Dalotuzumab was well tolerated in
paediatric patients with advanced solid malignancies. The RP2D of dalotuzumab is
900 mg/m(2) (ClinicalTrials.gov identifier: NCT01431547, Protocol PN062).
PMID- 27185575
TI - Jurassic zircons from the Southwest Indian Ridge.
AB - The existence of ancient rocks in present mid-ocean ridges have long been
observed but received less attention. Here we report the discovery of zircons
with both reasonably young ages of about 5 Ma and abnormally old ages of
approximate 180 Ma from two evolved gabbroic rocks that were dredged from the
Southwest Indian Ridge (SWIR) in the Gallieni fracture zone. U-Pb and Lu-Hf
isotope analyses of zircons were made using ion probe and conventional laser
abrasion directly in petrographic thin sections. Young zircons and their host
oxide gabbro have positive Hf isotope compositions (epsilonHf = +15.7-+12.4),
suggesting a highly depleted mantle beneath the SWIR. The spread epsilonHf values
(from-2.3 to-4.5) of abnormally old zircons, together with the unradiogenic Nd-Hf
isotope of the host quartz diorite, appears to suggest an ancient juvenile
magmatism along the rifting margin of the southern Gondwana prior to the opening
of the Indian Ocean. A convincing explanation for the origin of the unusually old
zircons is yet to surface, however, an update of the theory of plate tectonics
would be expected with continuing discovery of ancient rocks in the mid-oceanic
ridges and abyssal ocean basins.
PMID- 27185576
TI - Lateral habenula as a link between thyroid and serotoninergic system modiates
depressive symptoms in hypothyroidism rats.
AB - Depression-like behavior is observed in both rats and people with hypothyroidism,
which suggests that altered thyroid hormone levels are closely associated with
mental illness. Furthermore, decreased serotonin (5-hydroxytryptamine, 5-HT)
levels are found in some brain regions of hypothyroid rats with depression-like
behavior. However, the mechanism underlying the effects of hypothyroidism on the
central serotonin system is unclear. The lateral habenula (LHb) is related to
both the serotonin and thyroid systems and also plays an important role in the
pathogenesis of depression. Our study aimed to disclose the role of the LHb in
the onset of depression-like behavior in thyroidectomy (TD) rats. Forced swimming
(FST) and open-field tests (OFT) were performed to measure behavioral changes in
TD rats. The expression of beta calmodulin-dependent protein kinase type II (beta
CaMKII) in the LHb, cytochrome C oxidase (COX) activity in the LHb and dorsal
raphe nucleus (DRN), and 5-HT levels in the DRN were assayed. We found that TD
rats exhibited depression-like behavior in the FST and OFT. Compared with the
sham group, neural activity and the expression of beta CaMKII in TD rats were
higher in the LHb, and neural activity and 5-HT levels were lower in the DRN.
Depressive behavior and decreased 5-HT levels in the DRN in TD rats were reversed
by LHb lesioning. Our study indicates that depression-like behavior in TD rats
can be attributed to decreased 5-HT levels in the DRN resulting from inhibition
by an overactive LHb. The LHb mediates the effect of the thyroid system on 5-HT
function in the DRN.
PMID- 27185577
TI - Loss of ubiquitin E2 Ube2w rescues hypersensitivity of Rnf4 mutant cells to DNA
damage.
AB - SUMO and ubiquitin play important roles in the response of cells to DNA damage.
These pathways are linked by the SUMO Targeted ubiquitin Ligase Rnf4 that
catalyses transfer of ubiquitin from a ubiquitin loaded E2 conjugating enzyme to
a polySUMO modified substrate. Rnf4 can functionally interact with multiple E2s,
including Ube2w, in vitro. Chicken cells lacking Rnf4 are hypersensitive to
hyroxyurea, DNA alkylating drugs and DNA crosslinking agents, but this
sensitivity is suppressed by simultaneous depletion of Ube2w. Cells depleted of
Ube2w alone are not hypersensitive to the same DNA damaging agents. Similar
results were also obtained in human cells. These data indicate that Ube2w does
not have an essential role in the DNA damage response, but is deleterious in the
absence of Rnf4. Thus, although Rnf4 and Ube2w functionally interact in vitro,
our genetic experiments indicate that in response to DNA damage Ube2w and Rnf4
function in distinct pathways.
PMID- 27185578
TI - Head impact in a snowboarding accident.
AB - To effectively prevent sport traumatic brain injury (TBI), means of protection
need to be designed and tested in relation to the reality of head impact. This
study quantifies head impacts during a typical snowboarding accident to evaluate
helmet standards. A snowboarder numerical model was proposed, validated against
experimental data, and used to quantify the influence of accident conditions
(speed, snow stiffness, morphology, and position) on head impacts (locations,
velocities, and accelerations) and injury risk during snowboarding backward
falls. Three hundred twenty-four scenarios were simulated: 70% presented a high
risk of mild TBI (head peak acceleration >80 g) and 15% presented a high risk of
severe TBI (head injury criterion >1000). Snow stiffness, speed, and snowboarder
morphology were the main factors influencing head impact metrics. Mean normal
head impact speed (28 +/- 6 km/h) was higher than equivalent impact speed used in
American standard helmet test (ASTM F2040), and mean tangential impact speed, not
included in standard tests, was 13.8 (+/-7 km/h). In 97% of simulated impacts,
the peak head acceleration was below 300 g, which is the pass/fail criteria used
in standard tests. Results suggest that initial speed, impacted surface, and
pass/fail criteria used in helmet standard performance tests do not fully reflect
magnitude and variability of snowboarding backward-fall impacts.
PMID- 27185579
TI - Using avatars to win the fight over BRAF inhibitor resistance.
PMID- 27185580
TI - A change in temporal organization of fidgety movements during the fidgety
movement period is common among high risk infants.
AB - AIM: General movement assessment (GMA) at 9-20 weeks post-term, can effectively
predict cerebral palsy. Our aim was to evaluate intra-individual variability of
the temporal organization of fidgety movements (FMs) in high risk infants.
MATERIAL AND METHODS: 104 High risk infants (66 males) with at least two video
recordings from the FMs period participated. 45 of the infants had GA <28 weeks
and/or BW <=800 g. Mean post-term age at first and second assessments was 11.0 (8
16) and 14.0 (11-17) weeks, respectively, and median time-difference between the
assessments was 2.0 (range: three days to six weeks) weeks. Video recordings were
analyzed according to Prechtl's GMA. RESULTS: 33 (32%) Infants were classified
differently at first and second assessments. Six infants (6%) changed from normal
to abnormal, and 10 (10%) changed from abnormal to normal FMs. Seven of the ten
who changed classification from abnormal to normal were born before GA 26 weeks.
A change between intermittent and continual, which are both considered normal,
was observed in 17 (16%) infants. CONCLUSION: A change in temporal organization
of FMs is common in high risk infants. Especially in extremely preterm infants
with abnormal FMs, more than one assessment should be performed before long-term
prognosis is considered.
PMID- 27185581
TI - Acute renal failure unmasking Lesch-Nyhan disease in a patient with tuberous
sclerosis complex.
AB - CASE REPORT: We report on a male patient with Tuberous Sclerosis Complex (TSC),
which was prenatally diagnosed. At the age of 3 months the patient developed
acute renal failure with excessive hyperuricemia. Kidney function improved after
rehydration and application of rasburicase, however without full recovery. Due to
the inappropriate high levels of uric acid compared to kidney function, screening
of hypoxanthine-guanine phosphoribosyltransferase (HPRT) related diseases was
initiated. Mutation analysis revealed a deletion of exon 2 and 3 of the HPRT gene
confirming the diagnosis of Lesch-Nyhan Disease (LND). After initiation of
allopurinol therapy renal function further improved. In the following months the
patient developed clinically a typical neurological phenotype of LND and TSC with
seizures, severe dystonia and developmental delay. CONCLUSION: Acute renal
failure is a rare complication of HPRT related diseases. Combination of two
inherited diseases may lead to a delayed diagnosis due to a mixed and maybe
misleading phenotype.
PMID- 27185582
TI - Clinical development of TargomiRs, a miRNA mimic-based treatment for patients
with recurrent thoracic cancer.
AB - miRNAs are responsible for post-transcriptional control of gene expression, and
are frequently downregulated in cancer. It has become well established that
restoring miRNA levels can inhibit tumor growth, and many studies have
demonstrated this in preclinical models. This in turn has led to the first
clinical trials of miRNA replacement therapy. This special report focuses on the
development of TargomiRs - miRNA mimics delivered by targeted bacterial minicells
- and the very first clinical experience of a miRNA replacement therapy in
thoracic cancer patients in the Phase I MesomiR-1 trial.
PMID- 27185585
TI - Nitrogen-Doped Ordered Mesoporous Anatase TiO2 Nanofibers as Anode Materials for
High Performance Sodium-Ion Batteries.
AB - Nitrogen-doped ordered mesoporous TiO2 nanofibers (N-MTO) have been fabricated by
electrospinning and subsequent nitridation treatment. The N-doping in TiO2 leads
to the formation of Ti(3+) , resulting in the improved electron conductivity of
TiO2 . In addition, one-dimensional (1D) N-MTO nanostructure possesses very short
diffusion length of Na(+) /e(-) in N-MTO, easy access of electrolyte, and high
conductivity transport of electrons along the percolating fibers. The N-MTO shows
excellent sodium storage performance.
PMID- 27185583
TI - Stimuli-Regulated Enzymatically Degradable Smart Graphene-Oxide-Polymer
Nanocarrier Facilitating Photothermal Gene Delivery.
AB - Graphene oxide (GO) has attracted huge interest in the area of biomedical
application due to its unique physicochemical properties, but the issue of long
term toxicity in the body remains unclear. Here, the rationally designed GO
nanocarriers (ssPEG-PEI-GO) modified with polyethylene glycol (PEG) and branched
polyethylenimine (BPEI) via disulfide linkage are described to control the
biological activity of GO as a delivery carrier and its degradation in biological
systems. The ssPEG-PEI-GO efficiently interacts with plasmid DNA (pDNA) to form a
stable nanocomplex by electrostatic interaction. After cellular uptake, ssPEG-PEI
GO/pDNA complex can easily escape from endosomes by photothermal conversion of GO
upon near-infrared irradiation and subsequent photothermally induced endosome
disruption. After endosomal escape, reducing intracellular environment enables
polymer dissociation and rapid gene release and therefore shows enhanced gene
transfection efficiency with low toxicity in comparison with non-reducible amide
functionalized GO nanocarriers (amPEG-PEI-GO) and control BPEIs. Besides,
dePEGylated GO nanocarrier, owing to its disulfide bond, exhibits higher
entrapment by macrophages compared with amide-functionalized one and subsequently
degrades in macrophage. The degradation process can be monitored by
photoluminescence emitted from degraded GO. These results suggest new directions
in the design of biodegradable and multifunctional GO-based nanocarrier for
biomedical application.
PMID- 27185584
TI - From glycals to aminosugars: a challenging test for new stereoselective
aminohydroxylation and related methodologies.
AB - The introduction of amino functionalities in a regio- and stereoselective manner
onto sugar scaffolds represents a great challenge in carbohydrate synthesis. The
most relevant methods to access 1-, 2-, 3-amino or 1,2-diaminosugars starting
from glycals and 2,3-hexenopyranosides derived from them are concisely reviewed.
The main synthetic strategies for accessing this class of compounds are
classified in intermolecular and intramolecular approaches and the key features
of each class are discussed. This review highlights how carbohydrate derivatives
always pose great challenges representing a benchmark for assessing the
efficiency of stereoselective strategies, and aims to give the readers
inspiration for the development of new procedures.
PMID- 27185586
TI - A new occurrence of ambient inclusion trails from the ~1900-million-year-old
Gunflint Formation, Ontario: nanocharacterization and testing of potential
formation mechanisms.
AB - Ambient inclusion trails (AITs) are tubular microstructures thought to form when
a microscopic mineral crystal is propelled through a fine-grained rock matrix.
Here, we report a new occurrence of AITs from a fossilized microbial mat within
the 1878-Ma Gunflint Formation, at Current River, Ontario. The AITs are 1-15 MUm
in diameter, have pyrite as the propelled crystal, are infilled with chlorite and
have been propelled through a microquartz (chert) or chlorite matrix. AITs most
commonly originate at the boundary between pyrite- and chlorite-rich laminae and
chert-filled fenestrae, with pyrite crystals propelled into the fenestrae. A
subset of AITs originate within the fenestrae, rooted either within the chert or
within patches of chlorite. Sulphur isotope data ((34) S/(32) S) obtained in situ
from AIT pyrite have a delta(34) S of -8.5 to +8.0 0/00, indicating a maximum of
~30 0/00 fractionation from Palaeoproterozoic seawater sulphate (delta(34) S ~
+20 0/00). Organic carbon is common both at the outer margins of the fenestrae
and in patches of chlorite where most AITs originate, and can be found in smaller
quantities further along some AITs towards the terminal pyrite grain. We infer
that pyrite crystals now found within the AITs formed via the action of
heterotrophic sulphate-reducing bacteria during early diagenesis within the
microbial mat, as pore waters were becoming depleted in seawater sulphate. Gases
derived from this process such as CO2 and H2 S were partially trapped within the
microbial mat, helping produce birds-eye fenestrae, while rapid microquartz
precipitation closed porosity. We propose that propulsion of the pyrite crystals
to form AITs was driven by two complementary mechanisms during burial and low
grade metamorphism: firstly, thermal decomposition of residual organic material
providing CO2 , and potentially CH4 , as propulsive gases, plus organic acids to
locally dissolve the microquartz matrix; and secondly, reactions involving clay
minerals that potentially led to enhanced quartz solubility, plus increases in
fluid and/or gas pressure during chlorite formation, with chlorite then infilling
the AITs. This latter mechanism is novel and represents a possible way to
generate AITs in environments lacking organic material.
PMID- 27185587
TI - Safe MRI-Compatible electrical muscle stimulation (EMS) system.
AB - PURPOSE: To develop an inexpensive magnetic resonance imaging (MRI)-compatible
electrical muscle stimulation (EMS) unit and test it for safety and efficacy.
MATERIALS AND METHODS: A simple MRI-compatible EMS device was developed using
radiofrequency (RF) translucent electrodes at 3T. RF heating concerns were
assessed using optical temperature measurements at electrode sites, during
scanning of a phantom. EMS efficacy and consistency was investigated through in
vivo (n = 5) measures of 31 P-MRS phosphocreatine (PCr) reduction, and altered
blood oxygen level-dependent (BOLD) signal and the results were compared to
effects from equivalent voluntary effort on the same subjects. RESULTS: The
presence of an EMS pulse did not interfere with the T2 * signal in a phantom.
However, signal-to-noise ratio (SNR) was reduced by 70% at electrode sites, but
only by 10% 4 cm distally. Under RF intense conditions, the temperature at the
electrode site increased by only 4.7 degrees C over a 16-minute time span. In
vivo muscle stimulation resulted in 13.5 +/- 1.8% reduction in PCr, which was not
significantly (P < 0.195) different from voluntary contraction. Reproducible
muscle BOLD signal changes following EMS were noted, with a maximal increase of
10.0 +/- 2.6% seen in the central soleus. For soleus and gastrocnemius
compartments, EMS produced significantly higher BOLD signal change compared to
voluntary contraction (P < 0.05). CONCLUSION: A safe and inexpensive MRI
compatible EMS unit can be easily built for evaluating muscle function and
metabolism within a 3T MRI scanner. Clinical applications might include
evaluating skeletal muscle function in patients with limited or absent voluntary
skeletal motor function or inadequate exercise capacity. J. Magn. Reson. Imaging
2016;44:1530-1538.
PMID- 27185588
TI - Synthesis and structure-property relationships of phthalimide and naphthalimide
based organic pi-conjugated small molecules.
AB - Five organic pi-conjugated small molecules with bithiophene-phthalimide backbones
bearing alkyl chains of different symmetry, length and branching character were
synthesized using optimized microwave and direct heteroarylation protocols. The
chosen alkyl chains were 1-ethylpropyl, 1-methylbutyl, pentyl, hexyl and octyl. A
sixth compound was also synthesized replacing the phthalimide terminal units with
octylnaphthalimide for additional scope. Through the thorough analysis of both
thermal and optical properties and the investigation of self-assembly tendencies
by single crystal X-ray diffraction and variable angle spectroscopic ellipsometry
it is evident that alkyl side chains and building block size influence many
facets of material properties. Within this class of materials the 1-ethylpropyl
derivative exhibited the most unique behaviour.
PMID- 27185591
TI - Genomic selection in domestic animals: Principles, applications and perspectives.
AB - The principles of genomic selection are described, with the main factors
affecting its efficiency and the assumptions underlying the different models
proposed. The reasons of its fast adoption in dairy cattle are explained and the
conditions of its application to other species are discussed. Perspectives of
development include: selection for new traits and new breeding objectives;
adoption of more robust approaches based on information on causal variants;
predictions of genotype*environment interactions.
PMID- 27185590
TI - Genetic and epigenetic variation of human populations: An adaptive tale.
AB - The evolutionary history of modern humans means much more than their demographic
past. It includes the way in which humans have had to genetically adapt to the
different environments they have encountered-nutritional, climatic or pathogenic
as well as the different epigenetic responses elicited by such environmental
cues. Detecting how natural selection has affected human genome variability has
proven to be a powerful tool to delineate genes and biological functions having
played a key role in human adaptation, a variation which can also be involved in
phenotypes of medical relevance. This article reviews several examples that
illustrate well how different environmental pressures, particularly those imposed
by pathogens and infectious diseases, have shaped the patterns of genetic and
epigenetic variability currently observed in human populations.
PMID- 27185592
TI - Calpain-1 and calpain-2 play opposite roles in retinal ganglion cell degeneration
induced by retinal ischemia/reperfusion injury.
AB - Calpain has been shown to be involved in neurodegeneration, and in particular in
retinal ganglion cell (RGC) death resulting from increased intraocular pressure
(IOP) and ischemia. However, the specific roles of the two major calpain
isoforms, calpain-1 and calpain-2, in RGC death have not been investigated. Here,
we show that calpain-1 and calpain-2 were sequentially activated in RGC dendrites
after acute IOP elevation. By combining the use of a selective calpain-2
inhibitor (C2I) and calpain-1 KO mice, we demonstrated that calpain-1 activity
supported survival, while calpain-2 activity promoted cell death of RGCs after
IOP elevation. Calpain-1 activation cleaved PH domain and leucine-rich repeat
protein phosphatase 1 (PHLPP1) and activated the Akt pro-survival pathway, while
calpain-2 activation cleaved striatal-enriched protein tyrosine phosphatase
(STEP) and activated STEP-mediated pro-death pathway in RGCs after IOP elevation.
Systemic or intravitreal C2I injection to wild-type mice 2h after IOP elevation
promoted RGC survival and improved visual function. Our data indicate that
calpain-1 and calpain-2 play opposite roles in high IOP-induced ischemic injury
and that a selective calpain-2 inhibitor could prevent acute glaucoma-induced RGC
death and blindness.
PMID- 27185593
TI - Environmental enrichment imparts disease-modifying and transgenerational effects
on genetically-determined epilepsy and anxiety.
AB - INTRODUCTION: The absence epilepsies are presumed to be caused by genetic
factors, but the influence of environmental exposures on epilepsy development and
severity, and whether this influence is transmitted to subsequent generations, is
not well known. We assessed the effects of environmental enrichment on epilepsy
and anxiety outcomes in multiple generations of GAERS - a genetic rat model of
absence epilepsy that manifests comorbid elevated anxiety-like behaviour.
METHODS: GAERS were exposed to environmental enrichment or standard housing
beginning either prior to, or after epilepsy onset, and underwent EEG recordings
and anxiety testing. Then, we exposed male GAERS to early enrichment or standard
housing and generated F1 progeny, which also underwent EEG recordings.
Hippocampal CRH mRNA expression and DNA methylation were assessed using RT-PCR
and pyrosequencing, respectively. RESULTS: Early environmental enrichment delayed
the onset of epilepsy in GAERS, and resulted in fewer seizures in adulthood,
compared with standard housed GAERS. Enrichment also reduced the frequency of
seizures when initiated in adulthood. Anxiety levels were reduced by enrichment,
and these anti-epileptogenic and anxiolytic effects were heritable into the next
generation. We also found reduced expression of CRH mRNA in GAERS exposed to
enrichment, but this was not due to changes in DNA methylation. CONCLUSIONS:
Environmental enrichment produces disease-modifying effects on genetically
determined absence epilepsy and anxiety, and these beneficial effects are
transferable to the subsequent generation. Reduced CRH expression was associated
with these phenotypic improvements. Environmental stimulation holds promise as a
naturalistic therapy for genetically determined epilepsy which may benefit
subsequent generations.
PMID- 27185594
TI - The role of BDNF in Alzheimer's disease.
PMID- 27185596
TI - Predicting cardiovascular disease.
PMID- 27185595
TI - Detrimental effects of oxidative losses in parkin activity in a model of sporadic
Parkinson's disease are attenuated by restoration of PGC1alpha.
AB - Loss of parkin E3 ligase activity as a result of parkin gene mutation in rare
familial forms of Parkinson's disease (PD) has been shown to be detrimental to
mitochondrial function and to contribute to ensuing neurodegeneration. This has
been shown by ourselves and others to be in part due to reductions in parkin
mediated ubiquitination of the transcriptional repressor PARIS, limiting the
protein's subsequent degradation by the proteasome. Subsequent elevations in
PARIS protein levels result in reduced expression of the master mitochondrial
regulator PGC-1alpha, impacting in turn on mitochondrial function. Here, we
report that oxidatively-mediated reductions in parkin solubility and function in
a mouse model of age-related sporadic PD coincides with increased PARIS levels
and reduced PGC-1alpha signaling. Furthermore, restoration of PGC-1alpha
expression was found to abrogate losses in mitochondrial function and
degeneration of dopaminergic (DAergic) neurons within the substantia nigra pars
compacta (SNpc) associated with this particular model. These findings suggest
that the PGC-1alpha signaling pathway constitutes a viable therapeutic target for
the treatment of not only familial PD, but also more common sporadic forms of the
disorder.
PMID- 27185597
TI - Photosynthetic acclimation, vernalization, crop productivity and 'the grand
design of photosynthesis'.
AB - Daniel Arnon first proposed the notion of a 'grand design of photosynthesis' in
1982 to illustrate the central role of photosynthesis as the primary energy
transformer for all life on Earth. However, we suggest that this concept can be
extended to the broad impact of photosynthesis not only in global energy
transformation but also in the regulation of plant growth, development, survival
and crop productivity through chloroplast redox signalling. We compare and
contrast the role of chloroplast redox imbalance, measured as excitation
pressure, in governing acclimation to abiotic stress and phenotypic plasticity.
Although all photoautrophs sense excessive excitation energy through changes in
excitation pressure, the response to this chloroplast redox signal is species
dependent. Due to a limited capacity to adjust metabolic sinks, cyanobacteria and
green algae induce photoprotective mechanisms which dissipate excess excitation
energy at a cost of decreased photosynthetic performance. In contrast,
terrestrial, cold tolerant plants such as wheat enhance metabolic sink capacity
which leads to enhanced photosynthetic performance and biomass accumulation with
minimal dependence on photoprotection. We suggest that the family of nuclear C
repeat binding transcription factors (CBFs) associated with the frost resistance
locus, FR2, contiguous with the vernalization locus,VRN1, and mapped to
chromosome 5A of wheat, may be critical components that link leaf chloroplast
redox regulation to enhanced photosynthetic performance, the accumulation of
growth-active gibberellins and the dwarf phenotype during cold acclimation prior
to the vegetative to reproductive transition controlled by vernalization in
winter cereals. Further genetic, molecular and biochemical research to confirm
these links and to elucidate the molecular mechanism by which chloroplast redox
modulation of CBF expression leads to enhanced photosynthetic performance is
required. Because of the superior abiotic stress tolerance of cold tolerant
winter wheat and seed yields that historically exceed those of spring wheat by 30
40%, we discuss the potential to exploit winter cereals for the maintenance or
perhaps even the enhancement of cereal productivity under future climate change
scenarios that will be required to feed a growing human population.
PMID- 27185598
TI - Bioinformatics microarray analysis and identification of gene expression profiles
associated with cirrhotic liver.
AB - Cirrhosis is the endpoint of liver fibrosis that is accompanied by limited
regeneration capacity and complications and is the ultimate cause of death in
many patients. Despite this, few studies have thoroughly looked at the gene
expression profiles in the cirrhotic liver. Hence, this study aims to identify
the genes that were differentially expressed in the cirrhotic liver and to
explore the putative related signaling pathway and interaction networks. The gene
expression profiles of cirrhotic livers and noncirrhotic livers were examined and
compared using microarray gene analysis. Proteins encoded by the differentially
expressed genes were analyzed for functional clustering and signaling pathway
involvement using MetaCore bioinformatics analyses. The Gene Ontology analysis as
well as the Kyoto encyclopedia of Genes and Genomes pathway analysis were also
performed. A total of 213 significant genes were differentially expressed at more
than a two-fold change in cirrhotic livers as compared to noncirrhotic livers. Of
these, 105 upregulated genes and 63 downregulated genes were validated through
MetaCore bioinformatics analyses. The signaling pathways and major functions of
proteins encoded by these differentially expressed genes were further analyzed;
results showed that the cirrhotic liver has a unique gene expression pattern
related to inflammatory reaction, immune response, and cell growth, and is
potentially cancer related. Our findings suggest that the microarray analysis may
provide clues to the molecular mechanisms of liver cirrhosis for future
experimental studies. However, further exploration of areas regarding therapeutic
strategy might be possible to support metabolic activity, decrease inflammation,
or enhance regeneration for liver cirrhosis.
PMID- 27185599
TI - Pharmacokinetic study of eplerenone in rats after long-term coadministration with
buckwheat tea.
AB - The aim of this study was to investigate the effect of long-term intake of
Tartary buckwheat tea on the pharmacokinetics (PK) of eplerenone in rats. A
validated high-performance liquid chromatography-mass spectrometry (HPLC-MS)
method was established to determine the eplerenone in plasma, and the portal vein
absorption model was applied to conduct the pharmacokinetic study. Two groups of
animals-buckwheat tea group and control group-were involved in this study. Plasma
samples were obtained at different time points after administration, and were
separated on Shimadzu HPLC-MS 2020 instruments. The method showed good linearity
(r=0.9988) over a wide dynamic range (0.20-50 MUg/mL). Within- and between-batch
precisions ranged from 2.13% to 7.90%. The extraction recovery rates ranged from
91.96% to 94.96%. The data showed that in the Tartarian buckwheat group the area
under the curve and maximum concentration of eplerenone were reduced compared
with those of the blank group (p<0.01), but the time to reach peak concentrations
of eplerenone (p<0.01) was prolonged. The results suggested that long-term
consumption of Tartary buckwheat tea might induce the activities of the hepatic
drug metabolizing enzyme, which can accelerate the metabolism of eplerenone.
According to the results, the dosage of eplerenone should be adjusted in
hypertension treatment trials when administered with Tartary buckwheat or Tartary
buckwheat-containing dietary supplements to avoid potential drug interactions.
PMID- 27185600
TI - Impact of remaining kidney volume to body weight ratio on renal function in
living kidney donors.
AB - To investigate whether the ratio of remnant kidney volume to body weight (V/W
ratio) can impact renal function in donors, 45 living kidney donors were
enrolled. Kidney volume was analyzed by magnetic resonance imaging. Renal
function was compared between donors with a V/W ratio of < 2.0 mL/kg (n = 23) or
>= 2.0 mL/kg (n = 22). Donors in both V/W groups showed similar serum creatinine
levels and estimated glomerular filtration rates (eGFRs) at 7 days and 1 year,
whereas donors with a V/W ratio of < 2.0 mL/kg had significantly higher 24-hour
urine protein levels at 1 year (0.54 +/- 0.23 g/d vs. 0.33 +/- 0.19 g/d, p =
0.028). Multivariate analysis revealed no correlation between the V/W ratio and
eGFR at 7 days or 1 year, and a V/W ratio of < 2 mL/kg was not associated with an
increased incidence of eGFR < 60 mL/min/1.73 m(2) at 1 year (risk ratio 1.73, 95%
confidence interval 0.10-29.47). The V/W ratio correlated inversely with 24-hour
urine protein (r = -0.377, p = 0.021) at 1 year, and donors with a V/W ratio of <
2.0 mL/kg were more likely to show 24-hour urine protein >300 mg (risk ratio
1.70, 95% confidence interval 1.08-2.67) at 1 year. Donors with lower V/W ratios
have higher 24-hour urinary protein levels at 1 year after transplantation. These
findings suggest that the V/W ratio may be useful for kidney selection.
PMID- 27185601
TI - Outcomes and prognostic factors of simple partial cystectomy for localized
bladder urothelial cell carcinoma.
AB - Radical cystectomy has remained the gold standard for recurrent superficial or
muscle invasive bladder tumor. However, partial cystectomy still has a role in
those who reject or have contraindications for radical cystectomy. In this study,
we sought to identify predictors of bladder recurrence and overall survival after
simple partial cystectomy. We included 27 patients with bladder tumor who
received simple partial cystectomy without pelvic lymph node dissection between
March 2000 and September 2013. Adjuvant chemotherapy or radiation therapy was
prescribed according to the pathological results. Parameters were compared on the
basis of bladder recurrence and overall survival. During a mean follow-up time of
39 months, five patients (18.5%) experienced bladder recurrence. An older age, a
higher pathological stage, positive surgical margins, and distant metastases were
significant predictors of overall survival (p = 0.031, p = 0.001, p = 0.001, and
p = 0.011, respectively). Meanwhile, previous bladder instillation and positive
surgical margins were significant predictors of bladder recurrence (p = 0.026 and
p = 0.027, respectively). The rate of consecutive distant metastases (33.3%) was
almost twice the rate of bladder recurrence (18.5%), and six patients developed
consecutive distant metastases without first experiencing bladder recurrence. In
patients who received a simple partial cystectomy as an alternative treatment,
previous bladder instillation and positive surgical margins were significant
predictors of bladder recurrence. Patients with an older age, positive surgical
margins, and consecutive distant metastases had worse overall survival. Partial
cystectomy with routine lymph node dissection may be a better option for
achieving favorable long-term outcomes.
PMID- 27185602
TI - Associated factors in Streptococcus bovis bacteremia and colorectal cancer.
AB - Reports suggest that between 25% and 80% of patients with Streptococcus
bovis/gallolyticus bacteremia have concomitant colorectal tumors. This
retrospective study was aimed to identify associations between clinical
characteristics and a finding of colorectal neoplasm in patients with S. bovis
bacteremia who had colonoscopy examination. We retrospectively reviewed the
records of patients with S. bovis bacteremia from Kaohsiung Chang Gung Memorial
Hospital, Kaohsiung, Taiwan, between January 2004 and January 2014. Clinical data
including age, sex, comorbidities, blood culture, and colonoscopy findings were
collected and their relationship to a finding of colorectal cancer was examined.
A total of 107 patients with S. bovis bacteremia were identified, of whom 49 (72%
male; age 65 +/- 12 years) were investigated with colonoscopy; 15 of these
patients (30.6%) had colorectal adenocarcinoma. Female sex (p = 0.014) and a
history of noncolorectal malignancy (p = 0.004) were associated with a finding of
colorectal adenocarcinoma. There were no associations with age, percentage of
blood cultures, or the presence of diabetes mellitus, chronic liver disease,
heart disease, or end-stage renal disease. Our results show that S. bovis
bacteremia is associated with the presence of colorectal adenocarcinoma,
especially in female patients, and concomitant existence of other malignancies.
PMID- 27185604
TI - Clinical experiences of dynamic stabilizers: Dynesys and Dynesys top loading
system for lumbar spine degenerative disease.
AB - Dynesys (Dynamic Neutralization System) was designed to overcome the shortcomings
of fusion. The Dynesys top loading (DTL) system is a new alternative Dynesys
system that can be applied via a minimally invasive procedure. This study aimed
to ascertain whether DTL is a suitable device for motion preservation and
prevention of instability, and to compare the clinical and radiological outcomes
between DTL and Dynesys. In this study, 12 patients were treated with Dynesys and
21 patients were treated with DTL. Back and leg pain were evaluated using the
visual analog scale. The Oswestry Disability Index was used to evaluate the
patients' function. Range of motion (ROM) at the operative level and for the
whole lumbar spine was measured pre- and postoperatively. The length of wound,
blood loss, length of hospital stay, and operation duration were also compared.
All patients were followed up for 12-76 months. Scores on the visual analog scale
and Oswestry Disability Index were significantly improved postoperatively. The
median ROM of the whole spine and index level ROM in all patients showed 12.5%
and 79.6% loss, respectively. The DTL group exhibited significantly better
results in terms of blood loss, wound length, and operation duration, in addition
to early ambulation. In conclusion, Dynesys and DTL are semirigid fixation
systems that can significantly improve clinical symptoms and signs. Our results
suggested that DTL was better than Dynesys as a result of it being a minimally
invasive procedure. However, further study with large sample sizes and longer
follow-up durations is required to validate the effects of these dynamic
stabilizers.
PMID- 27185603
TI - Ischemia-modified albumin levels in the prediction of acute critical neurological
findings in carbon monoxide poisoning.
AB - The aim of the study was to determine whether serum ischemia-modified albumin
(IMA) levels in patients with carbon monoxide (CO) poisoning were higher compared
with a control group of healthy volunteers. In addition, the study sought to
determine if there was a correlation between serum IMA levels and
carboxyhemoglobin (COHB) levels and other critical neurological findings (CNFs).
In this prospective study, the IMA levels of 100 patients with CO poisoning and
50 control individuals were compared. In addition, the IMA and COHB levels were
analyzed according to absence or presence CNFs in patients with CO poisoning. The
levels of IMA (mg/dL) on admittance, and during the 1(st) hour and 3(rd) hour, in
patients with CO poisoning (49.90 +/- 35.43, 30.21 +/- 14.81, and 21.87 +/- 6.03)
were significantly higher, compared with the control individuals (17.30 +/-
2.88). The levels of IMA in the 6(th) hour were not higher compared with control
individuals. The levels of IMA on admittance, and during the 1(st) hour, 3(rd)
hour, and 6(th) hour, and COHB (%) levels in patients who had CNFs were higher
compared with IMA levels and COHB levels in patients who had no CNFs (p < 0.001).
However, when the multivariate model was created, it was observed that IMA level
on admittance was a poor indicator for prediction of CNFs (odds ratio = 1.05; 95%
confidence interval, 1.01-1.08). We therefore concluded that serum IMA levels
could be helpful in the diagnosis of CO poisoning. However, we believe that IMA
levels cannot be used to predict which patients will develop CNFs due to CO
poisoning.
PMID- 27185605
TI - Red cell distribution width is associated with albuminuria in adults with
familial Mediterranean fever.
AB - Systematic inflammation, enhanced oxidative stress, and endothelial dysfunction
are important for evolution and progression of renal damage, and they cause an
increase in red cell distribution width (RDW). Familial Mediterranean fever (FMF)
patients who are in the attack-free period and its relation with albuminuria and
performance on assessment of microalbuminuria. One hundred and seventy-seven
patients who had been diagnosed in accordance with Tel-hoshmer criteria and were
in the attack-free period, and 143 age- and sex-matched healthy individuals were
enrolled in our study. RDW values of FMF patients were higher compared with those
of the controls (13.85 +/- 1.07 and 13.15 +/- 0.91, respectively; p < 0.0001).
RDW values of FMF patients with microalbuminuria were higher compared with those
of FMF patients with normoalbuminuria and the control group (p = 0.002 and p <
0.0001, respectively). RDW values of FMF patients with normoalbuminuria were
higher compared with those of the control group (p < 0.0001). We have showed RDW
levels are positively correlated with albuminuria (r = 0.185, p = 0.014). When
assessing microalbuminuria with RDW in the patients, a cutoff value of 13.85 with
sensitivity of 60%, specificity of 62%, and p = 0.002 (area under curve: 0.651,
95% confidence interval 0.563-0.738), was observed according to receiver
operating characteristic curve analysis. Among the various variables associated
with albuminuria in multivariate logistic regression analyses, RDW remained an
independent predictor of albuminuria (95% confidence interval 0.479-0.942, p =
0.021). RDW may be associated with albuminuria in FMF patients and it can be a
predictor of microalbuminuria.
PMID- 27185606
TI - Eosinophilic meningitis caused by Angiostrongylus cantonensis mimicking embolic
stroke.
PMID- 27185607
TI - Successful diagnosis of intrahepatic splenosis mimicking hepatic tumor.
PMID- 27185608
TI - A study of the effectiveness of machine learning methods for classification of
clinical interview fragments into a large number of categories.
AB - This study examines the effectiveness of state-of-the-art supervised machine
learning methods in conjunction with different feature types for the task of
automatic annotation of fragments of clinical text based on codebooks with a
large number of categories. We used a collection of motivational interview
transcripts consisting of 11,353 utterances, which were manually annotated by two
human coders as the gold standard, and experimented with state-of-art
classifiers, including Naive Bayes, J48 Decision Tree, Support Vector Machine
(SVM), Random Forest (RF), AdaBoost, DiscLDA, Conditional Random Fields (CRF) and
Convolutional Neural Network (CNN) in conjunction with lexical, contextual (label
of the previous utterance) and semantic (distribution of words in the utterance
across the Linguistic Inquiry and Word Count dictionaries) features. We found out
that, when the number of classes is large, the performance of CNN and CRF is
inferior to SVM. When only lexical features were used, interview transcripts were
automatically annotated by SVM with the highest classification accuracy among all
classifiers of 70.8%, 61% and 53.7% based on the codebooks consisting of 17, 20
and 41 codes, respectively. Using contextual and semantic features, as well as
their combination, in addition to lexical ones, improved the accuracy of SVM for
annotation of utterances in motivational interview transcripts with a codebook
consisting of 17 classes to 71.5%, 74.2%, and 75.1%, respectively. Our results
demonstrate the potential of using machine learning methods in conjunction with
lexical, semantic and contextual features for automatic annotation of clinical
interview transcripts with near-human accuracy.
PMID- 27185609
TI - Phenotypes of prediabetes and stratification of cardiometabolic risk.
AB - Prediabetes is associated with increased risks of type 2 diabetes, cardiovascular
disease, dementia, and cancer, and its prevalence is increasing worldwide.
Lifestyle and pharmacological interventions in people with prediabetes can
prevent the development of diabetes and possibly cardiovascular disease. However,
prediabetes is a highly heterogeneous metabolic state, both with respect to its
pathogenesis and prediction of disease. Improved understanding of these features
and precise phenotyping of prediabetes could help to improve stratification of
disease risk. In this Personal View, we focus on the extreme metabolic phenotypes
of metabolically healthy obesity and metabolically unhealthy normal weight,
insulin secretion failure, insulin resistance, visceral obesity, and non
alcoholic fatty liver disease. We present new analyses aimed at improving
characterisation of phenotypes in lean, overweight, and obese people with
prediabetes. We discuss evidence from lifestyle intervention studies to explore
whether these phenotypes can also be used for individualised prediction and
prevention of cardiometabolic diseases.
PMID- 27185611
TI - [The French speaking teams must be provided with instruments to help in the
diagnosis of autism spectrum disorders in adults with no intellectual
disability].
PMID- 27185610
TI - Diagnostic performance of CT and MRI on the detection of symptomatic intracranial
dural arteriovenous fistula: a meta-analysis with indirect comparison.
AB - INTRODUCTION: This study aims to review the diagnostic performance of computed
tomography (CT) and magnetic resonance imaging (MRI) in symptomatic dural
arteriovenous fistula (DAVF). METHODS: EMBASE, PubMed, and Cochrane Library were
searched until April 2015 for studies which compared CT, MRI, or both with
angiography for the detection of DAVF. The diagnostic performances of MRI and CT
were indirectly compared using modality as a covariate in the analysis. RESULTS:
Thirteen studies met our inclusion criteria. MRI had a sensitivity of 0.90 (95 %
confidence interval (CI) = 0.83-0.94) and specificity of 0.94 (95 % CI = 0.90
0.96). CT had a sensitivity of 0.80 (95 % CI = 0.62-0.90) and specificity of 0.87
(95 % CI = 0.74-0.94). MRI showed better diagnostic performance than CT (p =
0.02). Contrast medium use and time-resolved MR angiography did not improve MRI
diagnostic performance (p = 0.31 and 0.44, respectively). CONCLUSION: Both CT and
MRI had good diagnostic performance. MRI was better than CT on the detection of
symptomatic intracranial dural arteriovenous fistula in the indirect comparison.
PMID- 27185612
TI - Shape selection in Landsat time series: a tool for monitoring forest dynamics.
AB - We present a new methodology for fitting nonparametric shape-restricted
regression splines to time series of Landsat imagery for the purpose of modeling,
mapping, and monitoring annual forest disturbance dynamics over nearly three
decades. For each pixel and spectral band or index of choice in temporal Landsat
data, our method delivers a smoothed rendition of the trajectory constrained to
behave in an ecologically sensible manner, reflecting one of seven possible
'shapes'. It also provides parameters summarizing the patterns of each change
including year of onset, duration, magnitude, and pre- and postchange rates of
growth or recovery. Through a case study featuring fire, harvest, and bark beetle
outbreak, we illustrate how resultant fitted values and parameters can be fed
into empirical models to map disturbance causal agent and tree canopy cover
changes coincident with disturbance events through time. We provide our code in
the r package ShapeSelectForest on the Comprehensive R Archival Network and
describe our computational approaches for running the method over large
geographic areas. We also discuss how this methodology is currently being used
for forest disturbance and attribute mapping across the conterminous United
States.
PMID- 27185613
TI - Tubular Constructs as Artificial Urinary Conduits.
AB - PURPOSE: A readily available artificial urinary conduit might be substituted for
autologous bowel in standard urinary diversions and minimize bowel associated
complications. However, the use of large constructs remains challenging as host
cellular ingrowth and/or vascularization is limited. We investigated large,
reinforced, collagen based tubular constructs in a urinary diversion porcine
model and compared subcutaneously pre-implanted constructs to cell seeded and
basic constructs. MATERIALS AND METHODS: Reinforced tubular constructs were
prepared from type I collagen and biodegradable Vicryl(r) meshes through standard
freezing, lyophilization and cross-linking techniques. Artificial urinary
conduits were created in 17 female Landrace pigs, including 7 with a basic
untreated construct, 5 with a construct seeded with autologous urothelial and
smooth muscle cells, and 5 with a free graft formed by subcutaneous pre
implantation of a basic construct. All pigs were evaluated after 1 month.
RESULTS: The survival rate was 94%. At evaluation 1 basic and 1 cell seeded
conduit were occluded. Urinary flow was maintained in all conduits created with
pre-implanted constructs. Pre-implantation of the basic construct resulted in a
vascularized tissue tube, which could be used as a free graft to create an
artificial conduit. The outcome was favorable compared to that of the other
conduits. Urinary drainage was better, hydroureteronephrosis was limited and
tissue regeneration was improved. CONCLUSIONS: Subcutaneous pre-implantation of a
basic reinforced tubular construct resulted in a vascularized autologous tube,
which may potentially replace bowel in standard urinary diversions. To our
knowledge we introduce a straightforward 2-step procedure to create artificial
urinary conduits in a large animal model.
PMID- 27185614
TI - Referral Criteria for Outpatient Palliative Cancer Care: A Systematic Review.
AB - BACKGROUND: Outpatient palliative care clinics facilitate early referral and are
associated with improved outcomes in cancer patients. However, appropriate
candidates for outpatient palliative care referral and optimal timing remain
unclear. We conducted a systematic review of the literature to identify criteria
that are considered when an outpatient palliative cancer care referral is
initiated. METHODS: We searched Ovid MEDLINE (1948-2013 citations) and Ovid
Embase (1947-2015 citations) for articles related to outpatient palliative cancer
care. Two researchers independently reviewed each citation for inclusion and
extracted the referral criteria. The interrater agreement was high (kappa =
0.96). RESULTS: Of the 186 publications in our initial search, 21 were included
in the final sample. We identified 20 unique referral criteria. Among these, 6
were recurrent themes, which included physical symptoms (n = 13 [62%]), cancer
trajectory (n = 13 [62%]), prognosis (n = 7 [33%]), performance status (n = 7
[33%]), psychosocial distress (n = 6 [29%]), and end-of-life care planning (n = 5
[24%]). We found significant variations among the articles regarding the
definition of advanced cancer and the assessment tools for symptom/distress
screening. The Edmonton Symptom Assessment Scale (n = 7 [33%]) and the distress
thermometer (n = 2 [10%]) were used most often. Furthermore, there was a lack of
consensus in the cutoffs in symptom assessment tools and timing for outpatient
palliative care referral. CONCLUSION: This systematic review identified 20
criteria including 6 recurrent themes for outpatient cancer palliative care
referral. It highlights the significant heterogeneity regarding the timing and
process for referral and the need for further research to develop standardized
referral criteria. IMPLICATIONS FOR PRACTICE: Outpatient palliative care clinics
improve patient outcomes; however, it remains unclear who is appropriate for
referral and what is the optimal timing. A better understanding of the referral
criteria would help (a) referring clinicians to identify appropriate patients for
palliative care interventions, (b) administrators to assess their programs with
set benchmarks for quality improvement, (c) researchers to standardize inclusion
criteria, and (d) policymakers to develop clinical care pathways and allocate
appropriate resources. This systematic review identified 20 criteria including 6
recurrent themes for outpatient palliative cancer care referral. It represents
the first step toward developing standardized referral criteria.
PMID- 27185617
TI - Corrigendum to "Missed or Delayed Cervical Spine or Spinal Cord Injuries Treated
at a Tertiary Referral Hospital in Rwanda" [World Neurosurg. 87 (2016) 269-276].
PMID- 27185615
TI - Sorafenib Effectiveness in Advanced Hepatocellular Carcinoma.
AB - BACKGROUND: Phase III trials show sorafenib improves survival in advanced
hepatocellular carcinoma (HCC). Because of narrow trial eligibility, results may
not be generalizable to a broader HCC population. We sought to evaluate the
effectiveness of initial sorafenib versus no treatment among Medicare
beneficiaries with advanced HCC. MATERIALS AND METHODS: Patients with advanced
HCC diagnosed from 2008 to 2011 were identified from the Surveillance,
Epidemiology, and End Results-Medicare database. Eligible patients received
initial sorafenib or no therapy and were covered by Medicare parts A, B, and D.
Sorafenib use and outcomes were described in this population. Using a propensity
score (PS)-matched sample, we compared the effectiveness of sorafenib versus no
treatment by Cox proportional hazards and binomial regression, using a landmark
requiring all patients to survive >=60 days after diagnosis. RESULTS: Of 1,532
patients, 27% received initial sorafenib. Median duration of sorafenib use was 60
days (interquartile range [IQR], 30-107 days), and median survival from first
prescription was 3 months (IQR, 1-8 months). In the PS-matched cohort, median
survival was 3 months from the 60-day landmark in sorafenib-treated (n = 223) and
2 months in untreated (n = 223) patients (adjusted hazard ratio, 0.95 [95%
confidence interval (CI), 0.78-1.16]). Sorafenib was associated with a
nonsignificant reduction in mortality at 3 months (44% versus 51%; adjusted risk
ratio, 0.88 [95% CI, 0.72-1.07]), but no reduction thereafter. CONCLUSION:
Survival after sorafenib initiation in newly diagnosed Medicare beneficiaries
with HCC is exceptionally short, suggesting trial results are not generalizable
to all HCC patients. The downsides of sorafenib use-high drug-related symptom
burden and high drug cost-must be considered in light of this minimal benefit.
IMPLICATIONS FOR PRACTICE: The findings of a median survival of only 3 months in
Medicare beneficiaries with HCC prescribed sorafenib as first-line therapy
highlight the questionable value of sorafenib in this population. Patients should
be cautioned that outside of the narrow confines of randomized trials, their life
expectancy may be very short, and any benefit of sorafenib is likely to be quite
small. Given that sorafenib causes considerable adverse effects and offers no
symptom palliation, supportive care should be discussed as a reasonable
alternative to sorafenib, particularly for patients who have a poor performance
status or advanced cirrhosis.
PMID- 27185616
TI - Gender differences in CNV burden do not confound schizophrenia CNV associations.
AB - Compared with the general population, an excess of rare copy number variants
(CNVs) has been identified in people with schizophrenia. Females with
neurodevelopmental disorders and in the general population have been reported to
carry more large, rare CNVs than males. Given that many schizophrenia datasets do
not have equal gender ratios in cases and controls, sex differences in CNV burden
might have impacted on estimates of case-related CNV burden and also on
associations to individual loci. In a sample of 13,276 cases and 17,863 controls,
we observed a small but significant excess of large (>=500 Kb), rare (<1%) CNVs
in females compared with males in both cases and controls (OR = 1.17, P = 0.0012
for controls; OR = 1.11, P = 0.045 for cases). The burden of 11 schizophrenia
associated CNVs was significantly higher in female cases compared with male cases
(OR = 1.38, P = 0.0055), but after accounting for the rates of CNVs in controls,
we found no significant gender difference in the risk conferred by these loci.
Controlling for gender had a negligible effect on the significance of association
between specific CNVs and schizophrenia. The female excess of large CNVs in both
cases and controls suggests a female protective mechanism exists for deleterious
CNVs that may extend beyond neurodevelopmental phenotypes.
PMID- 27185618
TI - Nobuo Murakami, executive chef of the Imperial Hotel and Ambroise Pare, surgeon
in ordinary to the kings of France.
PMID- 27185619
TI - Congenital cardiac rhabdomyoma.
PMID- 27185620
TI - Developmental Hazards Among Young Alcohol Intoxicated Patients.
AB - PURPOSE: The rising numbers of alcohol intoxicated adolescents (AIA) treated in
emergency care units in several European countries have drawn attention to this
target group for prevention. To our knowledge, this is the first study to assess
a broad array of developmental hazards and their stability in AIA and to compare
their distribution with representative samples (RS). METHODS: A multisite cohort
study of AIA aged 13-17 years assessed, in the hospital (t0) and 6 months later
(t1), (family) violence, cannabis and alcohol use, school problems, delinquency,
homelessness, depression, and suicidality, using items from representative German
surveys: Children and Adolescent Health Survey (KiGGS), Childhood Trauma
Questionnaire and Communities That Care Youth Survey. We calculated the
differences between AIA and RS and corresponding 95% confidence intervals. For
AIA respondents who completed t0 and t1 information, we calculated
prevalence/persistence/incidence of developmental hazards and corresponding 95%
confidence interval. RESULTS: A total of 342 AIA participated at t0, 228 at t1
(67%). AIA had a significantly higher burden of concomitant risks regarding
physical and emotional family abuse, (sexual) victimization, cannabis use, binge
drinking, school expulsion, police arrest, gang membership, and being violent.
Six months after hospitalization, emotional family abuse (34.1%), cannabis use
(23.5%), depression (14.8%), and being violent (13.2%) were especially prevalent.
CONCLUSIONS: Developmental hazards are up to six times more prevalent in AIA than
in RS. Therefore, when assessing the risk profile of AIA, it is important to
consider developmental hazards as well as detrimental alcohol use.
PMID- 27185621
TI - Ethical Considerations in Recruiting Online and Implementing a Text Messaging
Based HIV Prevention Program With Gay, Bisexual, and Queer Adolescent Males.
AB - PURPOSE: There is a dearth of HIV prevention/healthy sexuality programs developed
for adolescent gay and bisexual males (AGBM) as young as 14 years old, in part
because of the myriad ethical concerns. To address this gap, we present our
ethics-related experiences implementing Guy2Guy, a text messaging-based HIV
prevention/healthy sexuality program, in a randomized controlled trial of 302 14-
to 18-year-old sexual minority males. METHODS: Potential risks and efforts to
reduce these risks are discussed within the framework of the Belmont Report:
Respect for persons, beneficence (e.g., risks and benefits), and justice (e.g.,
fair distribution of benefits and burdens). RESULTS: To ensure "respect for
persons," online enrollment was coupled with telephone assent, which included
assessing decisional capacity to assent. Beneficence was promoted by obtaining a
waiver of parental permission and using a self-safety assessment to help youth
evaluate their risk in taking part. Justice was supported through efforts to
develop and test the program among those who would be most likely to use it if it
were publicly available (e.g., youth who own a cell phone and are enrolled in an
unlimited text messaging plan), along with the use of recruitment targets to
ensure a racially, ethnically, and regionally diverse sample. CONCLUSIONS: It is
possible to safely implement a sensitive and HIV prevention/healthy sexuality
program with sexual minority youth as young as 14 years old when a rigorous
ethical protocol is in place.
PMID- 27185622
TI - Harsh Parenting and Food Insecurity in Adolescence: The Association With Emerging
Adult Obesity.
AB - PURPOSE: As the first study of its kind, the overall purpose of this article was
to examine the relationships and interactions between harsh parenting (HP) and
food insecurity (FI) in adolescence on the development of overweight/obesity
(OW/OB) in emerging adulthood. METHODS: Data came from the Iowa Youth and
Families Project, a longitudinal study of 451 adolescent youth and their families
that began in 1989 in the rural Midwest. Adolescents were aged 13 years at the
initial assessment, and weight status in emerging adulthood was measured 10 years
later at age 23. RESULTS: Experiencing HP in adolescence predicted greater odds
of OW/OB at 23 years old. Higher body mass indexes of the adolescent and his or
her father in adolescence also increased the odds of being OW/OB at 23 years.
Having parents with higher levels of education lowered the odds of being OW/OB in
emerging adulthood. Finally, females who experienced high levels of FI and HP in
adolescence had higher odds of OW/OB at 23 years in comparison to males.
CONCLUSIONS: HP, in combination with FI in adolescence, predicted OW/OB for
females in emerging adulthood but not for males. This study contributes to an
understanding of the interplay between multiple influences in adolescence:
namely, parenting and economic influences. Assessing antecedents to OW/OB in
emerging adulthood via multiple pathways provides a more complex understanding of
how and why adolescents turn into OW/OB adults.
PMID- 27185624
TI - The E119D neuraminidase mutation identified in a multidrug-resistant influenza
A(H1N1)pdm09 isolate severely alters viral fitness in vitro and in animal models.
AB - We recently isolated an influenza A(H1N1)pdm09 E119D/H275Y neuraminidase (NA)
variant from an immunocompromised patient who received oseltamivir and zanamivir
therapies. This variant demonstrated cross resistance to zanamivir, oseltamivir,
peramivir and laninamivir. In this study, the viral fitness of the recombinant
wild-type (WT), E119D and E119D/H275Y A(H1N1)pdm09 viruses was evaluated in vitro
and in experimentally-infected C57BL/6 mice and guinea pigs. In replication
kinetics experiments, viral titers obtained with the E119D and E119D/H275Y
recombinants were up to 2- and 4-log lower compared to the WT virus in MDCK and
ST6GalI-MDCK cells, respectively. Enzymatic studies revealed that the E119D
mutation significantly decreased the surface NA activity. In experimentally
infected mice, a 50% mortality rate was recorded in the group infected with the
WT recombinant virus whereas no mortality was observed in the E119D and
E119D/H275Y groups. Mean lung viral titers on day 5 post-inoculation for the WT
(1.2 +/- 0.57 * 10(8) PFU/ml) were significantly higher than those of the E119D
(9.75 +/- 0.41 * 10(5) PFU/ml, P < 0.01) and the E119D/H275Y (1.47 +/- 0.61 *
10(6) PFU/ml, P < 0.01) groups. In guinea pigs, comparable seroconversion rates
and viral titers in nasal washes (NW) were obtained for the WT and mutant index
and contact groups. However, the D119E reversion was observed in most NW samples
of the E119D and E119D/H275Y animals. In conclusion, the E119D NA mutation that
could emerge in A(H1N1)pdm09 viruses during zanamivir therapy has a significant
impact on viral fitness and such mutant is unlikely to be highly transmissible.
PMID- 27185625
TI - RSV neutralization by palivizumab, but not by monoclonal antibodies targeting
other epitopes, is augmented by Fc gamma receptors.
AB - Palivizumab efficiently blocks respiratory syncytial virus (RSV) infection in
vitro. However, virus neutralization assays generally omit Fc region-mediated
effects. We investigated the neutralization activity of RSV-specific monoclonal
antibodies on cells with Fc receptors. Subneutralizing concentrations of
antibodies resulted in antibody-dependent enhancement of RSV infection in
monocytic cells. Contrary to antibodies targeting other epitopes, the
neutralization by palivizumab was augmented in cells with Fc receptors. This
unrecognized characteristic of palivizumab may be relevant for its performance in
vivo.
PMID- 27185623
TI - Establishment of an inducible HBV stable cell line that expresses cccDNA
dependent epitope-tagged HBeAg for screening of cccDNA modulators.
AB - Hepatitis B virus (HBV) covalently closed circular (ccc) DNA is essential to the
virus life cycle, its elimination during chronic infection is considered critical
to a durable therapy but has not been achieved by current antivirals. Despite
being essential, cccDNA has not been the major target of high throughput
screening (HTS), largely because of the limitations of current HBV tissue culture
systems, including the impracticality of detecting cccDNA itself. In response to
this need, we have previously developed a proof-of-concept HepDE19 cell line in
which the production of wildtype e antigen (HBeAg) is dependent upon cccDNA.
However, the existing assay system is not ideal for HTS because the HBeAg ELISA
cross reacts with a viral HBeAg homologue, which is the core antigen (HBcAg)
expressed largely in a cccDNA-independent fashion in HepDE19 cells. To further
improve the assay specificity, we report herein a "second-generation" cccDNA
reporter cell line, termed HepBHAe82. In the similar principle of HepDE19 line,
an in-frame HA epitope tag was introduced into the precore domain of HBeAg open
reading frame in the transgene of HepBHAe82 cells without disrupting any cis
element critical for HBV replication and HBeAg secretion. A chemiluminescence
ELISA assay (CLIA) for the detection of HA-tagged HBeAg with HA antibody serving
as capture antibody and HBeAb serving as detection antibody has been developed to
eliminate the confounding signal from HBcAg. The miniaturized HepBHAe82 cell
based assay system exhibits high level of cccDNA-dependent HA-HBeAg production
and high specific readout signals with low background. We have also established a
HepHA-HBe4 cell line expressing transgene-dependent HA-HBeAg as a counter screen
to identify HBeAg inhibitors. The HepBHAe82 system is amenable to antiviral HTS
development, and can be used to identify host factors that regulate cccDNA
metabolism and transcription.
PMID- 27185626
TI - Luteolin inhibits Epstein-Barr virus lytic reactivation by repressing the
promoter activities of immediate-early genes.
AB - The lytic reactivation of Epstein-Barr virus (EBV) has been reported to be
strongly associated with several human diseases, including nasopharyngeal
carcinoma (NPC). Inhibition of the EBV lytic cycle has been shown to be of great
benefit in the treatment of EBV-associated diseases. The administration of
dietary compounds is safer and more convenient than other approaches to
preventing EBV reactivation. We screened several dietary compounds for their
ability to inhibit EBV reactivation in NPC cells. Among them, the flavonoid
luteolin showed significant inhibition of EBV reactivation. Luteolin inhibited
protein expression from EBV lytic genes in EBV-positive epithelial and B cell
lines. It also reduced the numbers of EBV-reactivating cells detected by
immunofluorescence analysis and reduced the production of virion. Furthermore,
luteolin reduced the activities of the promoters of the immediate-early genes Zta
(Zp) and Rta (Rp) and also inhibited Sp1-luc activity, suggesting that disruption
of Sp1 binding is involved in the inhibitory mechanism. CHIP analysis revealed
that luteolin suppressed the activities of Zp and Rp by deregulating Sp1 binding.
Taken together, luteolin inhibits EBV reactivation by repressing the promoter
activities of Zp and Rp, suggesting luteolin is a potential dietary compound for
prevention of virus infection.
PMID- 27185627
TI - Comparative Evaluation of the Artefacts Index of Dental Materials on Two
Dimensional Cone-beam Computed Tomography.
AB - The aim of the study was to propose the artefact index on cone-beam computed
tomography (CBCT) images of clinical prosthodontics materials, and to compare the
effect of the artefacts on CBCT image clarity of normal oral tissues. Seven
spheres of different materials were secured on the centre of a resin baseboard,
respectively, and four human molars in vitro were placed at 10 mm front, back,
left and right of the sphere. The board was scanned using CBCT with the same
setting. 10 tomographic images from each of the seven data sets with clear
artefacts was selected. The grayscale measuring tool of Photoshop software was
used to measure the grayscale (G0) within the boundary of tomographic image and
the grayscales of the streaky artefacts that were 1 mm and 20 mm outside the
circular boundary (G1 and G2). The arc length, L1, of the circular boundary with
artefacts was measured; the circumference, L2, was calculated. The artefact
index, A, was determined as (G1/G0) * 0.5 + (G2/G1) * 0.4 + (L2/L1) * 0.1. The
artefact index A can comprehensively represent the effect of artefacts on CBCT
image clarity for oral tissue.
PMID- 27185628
TI - Toxic Megacolon: A Review for Emergency Department Clinicians.
PMID- 27185629
TI - Synergetic enhancement of gold nanoparticles and 2-mercaptobenzothiazole as
highly-sensitive sensing strategy for tetrabromobisphenol A.
AB - Various gold nanoparticles (AuNPs) were in-situ prepared on the electrode surface
through electrochemical reduction under different potentials such as -0.60,
0.50, -0.40, -0.30 and -0.20 V. The reduction potentials heavily affect the
surface morphology and electrochemical activity of AuNPs such as effective area
and catalytic ability, as confirmed using atomic force microscopy and
electrochemical impedance spectroscopy. The electrochemical behaviors of
tetrabromobisphenol A (TBBPA), a widely-existed pollutant with severe adverse
health effects, were studied. The oxidation activity of TBBPA enhances obviously
on the surface of AuNPs, and the signal improvements of TBBPA show difference on
the prepared AuNPs. Interestingly, the existence of 2-mercaptobenzothiazole (MBT)
further improves the oxidation signals of TBBPA on AuNPs. The synergetic
enhancement effects of AuNPs and MBT were studied using cyclic voltammetry and
chronocoulometry. The numerous nano-scaled gold particles together with the
strong hydrophobic interaction between TBBPA and the assembled MBT on AuNPs
jointly provide highly-effective accumulation for TBBPA. As a result, a sensitive
and simple electrochemical method was developed for the direct determination of
TBBPA, with detection limit of 0.12 MUg L(-1) (0.22 nM). The practical
applications in water samples manifest that this new sensing system is accurate
and feasible.
PMID- 27185630
TI - Distribution analysis of profilin isoforms at transcript resolution with mRNA-seq
and secondary structure in various organs of Rattus norvegicus.
AB - Profilin (Pfn) is an actin binding protein, ubiquitously found in mammals and is
essential for the actin polymerization in cells. In brain, it plays a pivotal
role in neurogenesis and synapse formation by interacting with various proteins.
Four Pfn isoforms have been identified in mammals. This study presents the
identification and transcriptional expression of various Pfn isoforms (Pfn1,
Pfn2, Pfn3 and Pfn4) in brain, heart, kidney, liver, and muscle and testis of
Rattus norvegicus. Organs have been classified into groups based on some
similarities. Group I includes brain and testis, Group II includes skeletal
muscle and heart, while Group III includes kidney and liver. Pfn1 has been
identified in all groups, Pfn2 and Pfn3 have been identified in group I, group
III and in one organ (skeletal muscle) of group II. To the best of the authors
knowledge, no report of Pfn1 and Pfn2 presence in testis, Pfn3 in brain, liver
and skeletal muscle, Pfn4 in kidney and skeletal muscle exists to date.
Transcriptional expression showed variations among expression level of different
Pfn isoforms in various organs with respect to the control gene GADPH. We
hypothesize that this could be attributed to profilin isoform specific mRNA
structure and corresponding motifs, which generally contribute to similar or
varied decay rates, cellular localization, post transcriptional regulation
pattern and ligand binding.
PMID- 27185631
TI - Endoplasmic reticulum stress mediates JNK-dependent IRS-1 serine phosphorylation
and results in Tau hyperphosphorylation in amyloid beta oligomer-treated PC12
cells and primary neurons.
AB - AIMS: Endoplasmic reticulum stress (ERS) and insulin signaling impairment are
commonly observed in Alzheimer's disease (AD), but the association between these
two factors in AD has not been carefully studied. In peripheral insulin signaling
impairment, ERS interferes with insulin signaling through c-Jun. N-terminal
kinase (JNK)-dependent insulin receptor substance-1 (IRS-1) serine
phosphorylation. We conducted this study to determine whether a similar mechanism
contributes to insulin signaling impairment in AD pathogenesis. METHODS: Changes
in the levels of ERS markers, JNK activation, the insulin signaling status and
Tau hyperphosphorylation were examined in amyloid beta1-42 (Abeta1-42) oligomer
treated PC12 cells and primary neurons by western blotting and real-time
fluorescence quantitative PCR. Inhibitors of ERS and JNK were utilized to confirm
their association. RESULTS: Our results demonstrated that Abeta1-42 oligomers
significantly induced ERS and JNK activation. In addition, in response to Abeta1
42 oligomers, IRS-1 phosphorylation at serines 307, 318 and 612 was increased.
Further, an increase in Tau hyperphosphorylation at threonine 181 was observed
following Abeta1-42 oligomer treatment. Moreover, inhibition of ERS or JNK could
partially reverse the changes induced by the Abeta1-42 oligomers. CONCLUSIONS:
These findings suggest that ERS may contribute to insulin signaling impairment in
AD through JNK-dependent IRS-1 serine phosphorylation. The ERS/JNK/IRS-1 pathway
may be involved in Abeta1-42 oligomer-induced Tau hyperphosphorylation in AD.
PMID- 27185632
TI - Functional redundancy in phenol and toluene degradation in Pseudomonas stutzeri
strains isolated from the Baltic Sea.
AB - In the present study we describe functional redundancy of bacterial
multicomponent monooxygenases (toluene monooxygenase (TMO) and toluene/xylene
monooxygenase (XylAM) of TOL pathway) and cooperative genetic regulation at the
expression of the respective catabolic operons by touR and xylR encoded
regulatory circuits in five phenol- and toluene-degrading Pseudomonas stutzeri
strains. In these strains both toluene degradation pathways (TMO and Xyl) are
active and induced by toluene and phenol. The whole genome sequence of the
representative strain 2A20 revealed the presence of complete TMO- and Xyl-upper
pathway operons together with two sets of lower catechol meta pathway operons, as
well as phenol-degrading operon in a single 292,430bp contig. The much lower GC
content and analysis of the predicted ORFs refer to the plasmid origin of the
approximately 130kb region of this contig, containing the xyl, phe and tou genes.
The deduced amino acid sequences of the TMO, XylA and the large subunit of phenol
monooxygenase (LmPH) show 98-100% identity with the respective gene products of
the strain Pseudomonas sp. OX1. In both strains 2A20 and OX1 the meta-cleavage
pathways for catechol degradation are coded by two redundant operons (phe and
xyl). We show that in the strain 2A20 TouR and XylR are activated by different
effector molecules, phenol and toluene, respectively, and they both control
transcription of the xyl upper, tou (TMO) and phe catabolic operons. Although the
growth parameters of redundant strains did not show advantage at toluene
biodegradation, the functional redundancy could provide better flexibility to the
bacteria in environmental conditions.
PMID- 27185633
TI - A three-step programmed method for the identification of causative gene mutations
of maturity onset diabetes of the young (MODY).
AB - To establish a three-step programmed method to find gene mutations related to
maturity onset diabetes of the young (MODY). Target region capture and next
generation sequencing (NGS) were performed using customized oligonucleotide
probes designed to capture suspected genes for MODY in 11 probands with
clinically diagnosed MODY. The suspected associations of certain genes with MODY
were then confirmed by Sanger sequencing in the probands and their family
members. Finally, to validate variants of one of the genes of interest
(glucokinase, GCK) as pathogenic mutations, protein function editing by the
variant genes was assessed. In the target region capture and NGS phase, a total
of nine variants of seven genes (GCK, WFS1, SLC19A2, SH2B1, SERPINB4, RFX6, and
GATA6) were identified in eight probands. Two heterozygous GCK mutations located
on the same allele (p.Leu77Arg and p.Val101Met) were identified in a MODY family.
Sanger sequencing was used to confirm the variants identified by NGS to be
present in probands and their diabetic family members, but not in non-diabetic
family members. Finally, enzyme kinetic and thermal stability analyses revealed
that the p.Leu77Arg mutation or the p.Leu77Arg mutation in combination with the
p.Val101Met mutation inactivates GCK function and stability, while mutation of
p.Val101Met alone does not. The p.Leu77Arg but not p.Val101Met GCK mutation is
therefore considered a pathogenic mutation associated with MODY. Genetic
screening coupled with gene-editing protein function testing is an effective and
reliable method by which causative gene mutations of MODY can be identified.
PMID- 27185634
TI - De novo assembly and transcriptome analysis of sclerotial development in
Wolfiporia cocos.
AB - Wolfiporia cocos Ryvarden et Gilbertson, a well-known medicinal fungus in the
Basidiomycetes, is widely distributed in East Asia. Its dried sclerotium, which
is known as Fuling in China, has been used as a traditional crude drug in Chinese
traditional medicine for thousand years. However, little is known about how the
sclerotium is developed at the genetic level. In this study, the de novo
sequencing of sclerotia of W. cocos (S1_initial stage; S2_developmental stage and
S3_mature stage) was carried out by illumina HiSeq 2000 technology. 27,438
unigenes were assembled from ~30Gbp raw data, and 12,093 unigenes were
significantly annotated. The analysis of expression profiles during development
returned 304 differentially expressed genes (DEGs), which were clustered into
four different groups according to their expression trends. Especially for the
maturation stage (S3), the sclerotium exhibited a markedly different expression
profile from other stages. We further showed that peroxisome, unsaturation of
fatty acids and degradation pathway were respectively prevalent in S1, S2 and S3
stages as evidenced by enrichment analysis. To our knowledge, this study
represents the first report of sclerotial development transcriptomics in W.
cocos. The obtained results provide novel insights into the developmental biology
of the sclerotia, which is helpful for future studies about cultivation and
breeding of W. cocos.
PMID- 27185636
TI - Scalable anti-Markovnikov hydrobromination of aliphatic and aromatic olefins.
AB - To improve access to a key synthetic intermediate we targeted a direct
hydrobromination-Negishi route. Unsurprisingly, the anti-Markovnikov addition of
HBr to estragole in the presence of AIBN proved successful. However, even in the
absence of an added initiator, anti-Markovnikov addition was observed. Re
examination of early reports revealed that selective Markovnikov addition, often
simply termed "normal" addition, is not always observed with HBr unless air is
excluded, leading to the rediscovery of a reproducible and scalable initiator
free protocol.
PMID- 27185635
TI - Interface Engineering of Organic Schottky Barrier Solar Cells and Its Application
in Enhancing Performances of Planar Heterojunction Solar Cells.
AB - In this work, we describe the performance of organic Schottky barrier solar cells
with the structure of ITO/molybdenum oxide (MoOx)/boron subphthalocyanine
chloride (SubPc)/bathophenanthroline (BPhen)/Al. The SubPc-based Schottky barrier
solar cells exhibited a short-circuit current density (Jsc) of 2.59 mA/cm(2), an
open-circuit voltage (Voc) of 1.06 V, and a power conversion efficiency (PCE) of
0.82% under simulated AM1.5 G solar illumination at 100 mW/cm(2). Device
performance was substantially enhanced by simply inserting thin organic hole
transport material into the interface of MoOx and SubPc. The optimized devices
realized a 180% increase in PCE of 2.30% and a peak Voc as high as 1.45 V was
observed. We found that the improvement is due to the exciton and electron
blocking effect of the interlayer and its thickness plays a vital role in
balancing charge separation and suppressing quenching effect. Moreover, applying
such interface engineering into MoOx/SubPc/C60 based planar heterojunction cells
substantially enhanced the PCE of the device by 44%, from 3.48% to 5.03%.
Finally, we also investigated the requirements of the interface material for
Schottky barrier modification.
PMID- 27185637
TI - Component of Caramel Food Coloring, THI, Causes Lymphopenia Indirectly via a Key
Metabolic Intermediate.
AB - Caramel color is widely used in the food industry, and its many variations are
generally considered to be safe. It has been known for a long time that THI (2
acetyl-4-(tetrahydroxybutyl)imidazole), a component of caramel color III, causes
lymphopenia in animals through sphingosine 1-phosphate (S1P) lyase (S1PL)
inhibition. However, this mechanism of action has not been fully validated
because THI does not inhibit S1PL in vitro. To reconcile this situation, we
examined molecular details of THI mechanism of action using "smaller" THI
derivatives. We identified a bioactive derivative, A6770, which has the same
lymphopenic effect as THI via S1PL inhibition. In the case of A6770 we observe
this effect both in vitro and in vivo, and demonstrate that A6770 is
phosphorylated and inhibits S1PL in the same way as 4-deoxypyridoxine. In
addition, A6770 was detected in rat plasma following oral administration of THI,
suggesting that A6770 is a key metabolic intermediate of THI.
PMID- 27185638
TI - GSTP1 Is a Driver of Triple-Negative Breast Cancer Cell Metabolism and
Pathogenicity.
AB - Breast cancers possess fundamentally altered metabolism that fuels their
pathogenicity. While many metabolic drivers of breast cancers have been
identified, the metabolic pathways that mediate breast cancer malignancy and poor
prognosis are less well understood. Here, we used a reactivity-based
chemoproteomic platform to profile metabolic enzymes that are enriched in breast
cancer cell types linked to poor prognosis, including triple-negative breast
cancer (TNBC) cells and breast cancer cells that have undergone an epithelial
mesenchymal transition-like state of heightened malignancy. We identified
glutathione S-transferase Pi 1 (GSTP1) as a novel TNBC target that controls
cancer pathogenicity by regulating glycolytic and lipid metabolism, energetics,
and oncogenic signaling pathways through a protein interaction that activates
glyceraldehyde-3-phosphate dehydrogenase activity. We show that genetic or
pharmacological inactivation of GSTP1 impairs cell survival and tumorigenesis in
TNBC cells. We put forth GSTP1 inhibitors as a novel therapeutic strategy for
combatting TNBCs through impairing key cancer metabolism and signaling pathways.
PMID- 27185639
TI - Discovery of Phosphorylated Peripherin as a Major Humoral Autoantigen in Type 1
Diabetes Mellitus.
AB - A major goal in understanding autoimmune diseases is to define the antigens that
elicit a self-destructive immune response, but this is a difficult endeavor. In
an effort to discover autoantigens associated with type 1 diabetes (T1D), we used
epitope surrogate technology that screens combinatorial libraries of synthetic
molecules for compounds that could recognize disease-linked autoantibodies and
enrich them from serum. Autoantibodies from one patient revealed a highly
phosphorylated form of peripherin, a neuroendocrine filament protein, as a
candidate T1D antigen. Peripherin antibodies were detected in 72% of donor
patient sera. Further analysis revealed that the T1D-associated antibodies only
recognized a dimeric conformation of peripherin. These data explain why
peripherin was dismissed as an important T1D antigen previously. The discovery of
this novel autoantigen would not have been possible using standard methods, such
as hybridizing serum antibodies to recombinant protein arrays, highlighting the
power of epitope surrogate technology for probing the mechanism of autoimmune
diseases.
PMID- 27185640
TI - Intraductal tubular neoplasms of the pancreas: an overview.
AB - Intraductal lesions of the pancreas are an uncommon but increasingly recognized
group of entities mainly because of advances in imaging technology. In the past,
precise categorization and understanding of true pancreatic intraduct neoplasms
were hampered not only by their relative rarity but also because of the plethora
of terminology and criteria used in nomenclature and diagnosis. Although
significant progress has been made in the characterization of some of these
lesions, as exemplified by intraductal papillary mucinous neoplasms,
understanding of the rare intraductal tubular adenoma (ITA) and intraduct tubular
carcinoma (ITC) continues to evolve. By definition, these are a group of
intraductal, radiologically detectable neoplasms that can progress to or be
associated with invasive adenocarcinoma and, as such, are precursor lesions to
pancreatic ductal adenocarcinoma. Their often shared clinical and radiological
features make precise histological diagnosis essential for appropriate management
and optimal outcome. We provide an overview of these neoplasms and highlight
recent developments in the understanding of ITA and ITC which have led to ITA
being considered a variant of gastric-type intraductal papillary mucinous
neoplasms and ITC being encompassed within the intraductal tubulopapillary
neoplasm category. We also emphasize the distinguishing histological features to
aid diagnosis of these rare lesions.
PMID- 27185641
TI - Analysis of prognosis in CLL: collaboration makes the difference.
PMID- 27185642
TI - An international prognostic index for patients with chronic lymphocytic leukaemia
(CLL-IPI): a meta-analysis of individual patient data.
AB - BACKGROUND: The management of patients with chronic lymphocytic leukaemia is
currently undergoing improvements due to novel therapies and a plethora of
biological and genetic variables that add prognostic information to the classic
clinical staging systems. We established an international consortium with the aim
to create an international prognostic index for chronic lymphocytic leukaemia
(CLL-IPI) that integrates the major prognostic parameters. METHODS: We used
results from a systematic search of the Cochrane Haematological Malignancies
Group of MEDLINE, Embase, and Central databases for prospective, clinical phase 2
and 3 trials of chronic lymphocytic leukaemia, published between Jan 1, 1950, and
Dec 31, 2010, which identified 13 trials. We contacted the principal
investigators of these 13 trials, of which eight agreed to include individual
patient data. We used the individual patient data from these phase 3 trials from
France, Germany, Poland, the UK, and the USA to create the full analysis dataset.
The full analysis dataset was randomly divided, using a random sample procedure,
into training and internal-validation datasets. We did a univariate analysis and
multivariate analyses using 27 baseline factors and overall survival as an
endpoint. We assigned weighted risk scores to each factor included in the final
multivariable model. We assessed the discriminatory value using C-statistics and
also the validity and reproducibility of the CLL-IPI by subgroup analysis. We
used two additional datasets from the Mayo Clinic (Rochester, MN, USA; MAYO
cohort) and the SCALE Scandinavian population-based case-control study (SCAN
cohort) as the external-validation datasets. FINDINGS: 3472 treatment-naive
patients were included in the full analysis dataset; 2308 were randomly
segregated into the training dataset and 1164 into the internal-validation
dataset. 838 patients were included in the MAYO cohort and 416 in the SCAN
cohort. Median age of patients in the full analysis dataset was 61 years (range
27-86). Five independent prognostic factors were identified in the training
dataset: TP53 status (no abnormalities vs del[17p] or TP53 mutation or both),
IGHV mutational status (mutated vs unmutated), serum beta2-microglobulin
concentration (<=3.5 mg/L vs >3.5 mg/L), clinical stage (Binet A or Rai 0 vs
Binet B-C or Rai I-IV), and age (<=65 years vs >65 years). Using a weighted
grading of the independent factors, a prognostic index was derived that
identified four risk groups within the training dataset with significantly
different overall survival at 5 years: low (93.2% [95% CI 90.5-96.0]),
intermediate (79.3% [75.5-83.2]), high (63.3% [57.9-68.8]), and very high risk
(23.3% [12.5-34.1]; log-rank test comparing survival across the four risk groups
p<0.0001; C-statistic, c=0.723 [95% CI 0.684-0.752]). These risk groups were
confirmed in the internal-validation and external-validation datasets.
INTERPRETATION: The CLL-IPI combines genetic, biochemical, and clinical
parameters into a prognostic model, discriminating four prognostic subgroups. The
CLL-IPI will allow a more targeted management of patients with chronic
lymphocytic leukaemia in clinical practice and in trials testing novel drugs.
FUNDING: Jose Carreras Leukaemia Foundation.
PMID- 27185643
TI - Potential Pitfalls in Estimating Viral Load Heritability.
AB - In HIV patients, the set-point viral load (SPVL) is the most widely used
predictor of disease severity. Yet SPVL varies over several orders of magnitude
between patients. The heritability of SPVL quantifies how much of the variation
in SPVL is due to transmissible viral genetics. There is currently no clear
consensus on the value of SPVL heritability, as multiple studies have reported
apparently discrepant estimates. Here we illustrate that the discrepancies in
estimates are most likely due to differences in the estimation methods, rather
than the study populations. Importantly, phylogenetic estimates run the risk of
being strongly confounded by unrealistic model assumptions. Care must be taken
when interpreting and comparing the different estimates to each other.
PMID- 27185644
TI - Performance of the HSV OligoGen kit for the diagnosis of herpes simplex virus
type 1 and 2.
AB - PCR methods are nowadays between the most rapid and sensitive methods for
screening and diagnosing herpes simplex virus (HSV) type 1 and 2. The aim of this
study was to analyze the reliability, accuracy, and usefulness of the new assay
HSV OligoGen kit in comparison with the Roche LightCycler HSV 1/2 Qual Kit assay
for the detection of HSV in clinical samples. For this analysis, a prospective
study was designed for detection of HSV-1 and HSV-2 including 110 ulcer
specimens, 48 urine, 48 endocervical, 43 cerebral spinal fluids, 4 urethral and 3
pharyngeal swabs that were sent from a regional STI clinic or an Intensive
Clinical Unit, both in Seville, Spain. In comparison to the Roche LightCycler HSV
1/2 Qual Kit assay, sensitivity, specificity, positive and negative predicative
values, and kappa value for HSV detection using the HSV OligoGen kit were 96.2%,
100%, 100%, 98.3%, and 0.97 for HSV-1, respectively. For HSV-2, the corresponding
values were 98.3%, 100%, 100%, 99.5%, and 0.98, respectively. Statistical data
obtained in this study confirms the usefulness and reliable results of this new
assay.
PMID- 27185645
TI - Real time application of whole genome sequencing for outbreak investigation -
What is an achievable turnaround time?
AB - Whole genome sequencing (WGS) is increasingly employed in clinical settings,
though few assessments of turnaround times (TAT) have been performed in real
time. In this study, WGS was used to investigate an unfolding outbreak of
vancomycin resistant Enterococcus faecium (VRE) among 3 patients in the ICU of a
tertiary care hospital. Including overnight culturing, a TAT of just 48.5 h for a
comprehensive report was achievable using an Illumina Miseq benchtop sequencer.
WGS revealed that isolates from patient 2 and 3 differed from that of patient 1
by a single nucleotide polymorphism (SNP), indicating nosocomial transmission.
However, the unparalleled resolution provided by WGS suggested that nosocomial
transmission involved two separate events from patient 1 to patient 2 and 3, and
not a linear transmission suspected by the time line. Rapid TAT's are achievable
using WGS in the clinical setting and can provide an unprecedented level of
resolution for outbreak investigations.
PMID- 27185647
TI - Tuning the Receding Contact Angle on Hydrogels by Addition of Particles.
AB - Control of the swelling, chemical functionalization, and adhesivity of hydrogels
are finding new applications in a wide range of material systems. We investigate
experimentally the effect of adsorbed particles on hydrogels on the depinning of
contact lines. In our experiments, a water drop containing polystyrene
microspheres is deposited on a swelling hydrogel, which leads to the drop
absorption and particle deposition. Two regimes are observed: a decreasing drop
height with a pinned contact line followed by a receding contact line. We show
that increasing the particles concentration increases the duration of the first
regime and significantly decreases the total absorption time. The adsorbed
particles increase the pinning force at the contact line. Finally, we develop a
method to measure the receding contact angle with the consideration of the
hydrogel swelling.
PMID- 27185646
TI - Hierarchical Porous LiNi1/3Co1/3Mn1/3O2 Nano-/Micro Spherical Cathode Material:
Minimized Cation Mixing and Improved Li(+) Mobility for Enhanced Electrochemical
Performance.
AB - Although being considered as one of the most promising cathode materials for
Lithium-ion batteries (LIBs), LiNi1/3Co1/3Mn1/3O2 (NCM) is currently limited by
its poor rate performance and cycle stability resulting from the
thermodynamically favorable Li(+)/Ni(2+) cation mixing which depresses the Li(+)
mobility. In this study, we developed a two-step method using fluffy MnO2 as
template to prepare hierarchical porous nano-/microsphere NCM (PNM-NCM).
Specifically, PNM-NCM microspheres achieves a high reversible specific capacity
of 207.7 mAh g(-1) at 0.1 C with excellent rate capability (163.6 and 148.9 mAh
g(-1) at 1 C and 2 C), and the reversible capacity retention can be well
maintained as high as 90.3% after 50 cycles. This excellent electrochemical
performance is attributed to unique hierarchical porous nano-/microsphere
structure which can increase the contact area with electrolyte, shorten Li(+)
diffusion path and thus improve the Li(+) mobility. Moreover, as revealed by XRD
Rietveld refinement analysis, a negligible cation mixing (1.9%) and high
crystallinity with a well-formed layered structure also contribute to the
enhanced C-rates performance and cycle stability. On the basis of our study, an
effective strategy can be established to reveal the fundamental relationship
between the structure/chemistry of these materials and their properties.
PMID- 27185648
TI - Biomechanical Evaluation of a Novel Integrated C1 Laminar Hook Combined with C1
C2 Transarticular Screws for Atlantoaxial Fusion: An In Vitro Human Cadaveric
Study.
AB - OBJECTIVE: To evaluate the acute stability of a novel integrated C1 laminar hook
(H) combined with a C1-C2 transarticular screw (TAS) with established techniques.
METHODS: A novel integrated C1 laminar hook was tested. Seven human cadaveric
cervical spines (C0-C3) were used. The range of motion (ROM) of C1-C2 during
flexion-extension, lateral bending, and axial rotation were recorded. The
specimens were tested under the following conditions: intact, destabilized (using
a type II odontoid fracture model), and destabilized but with internal fixation.
The following screw systems were used: bilateral C1-C2 TAS combined with the
Gallie (G) technique (TAS+G), C1-C2 TAS combined with a novel integrated C1
laminar hook (TAS+H), C1 lateral mass screw and C2 pedicle screws (C2PS+C1LMS),
and novel integrated C1 laminar hook combined with C2 pedicle screws (C2PS+H).
The TASs were always inserted after the C2PSs. The C2PS+C1LMS and C2PS+H tests
were performed alternatively, as were the TAS+G and TAS+H tests. RESULTS: All
fixation constructs greatly improved acute atlantoaxial stability, with no
significant difference among TAS+H, TAS+G, and C2PS+C1LMS (all P > 0.05). C2PS+H
showed the greatest C1-C2 ROM in axial rotation, significantly different from
TAS+G, C2PS+C1LMS, and TAS+H fixation models (all P < 0.05). However, there were
no significant differences between C2PS+H and the other 3 models in flexion
extension and lateral bending (all P > 0.05). CONCLUSIONS: The TAS+H technique
can achieve acute stability comparable to that with the TAS+G technique for
treating C1-C2 instability. The C2PS+H is a promising alternative, although it
provides less stability in axial rotation than TAS+G, TAS+H, or C2PS+C1LMS.
PMID- 27185649
TI - Intradural Extramedullary Capillary Hemangioma in the Upper Cervical Spine: First
Report.
AB - BACKGROUND: The occurrence of intradural extramedullary capillary hemangiomas is
exceedingly rare. To date, only 39 cases of intradural extramedullary capillary
hemangiomas have been reported in the English literature, and all of these cases
have been described at the lumbar and thoracic spinal levels. To our knowledge,
this report is the first case of capillary hemangiomas of the cervical spine in
the literature. In general, this entity is misdiagnosed preoperatively as a
neoplasm. CASE DESCRIPTION: A 29-year-old man presented with neck pain and
progressive gait disturbance, and was diagnosed with an intradural extramedullary
capillary hemangioma in the cervical region. CONCLUSIONS: Although rare, our case
demonstrates that capillary hemangioma should be considered in the differential
diagnosis of intradural extramedullary tumor of the cervical spine.
PMID- 27185650
TI - Posttreatment Apparent Diffusion Coefficient Changes in the Periresectional Area
in Patients with Glioblastoma.
AB - BACKGROUND: Although targeted by radiotherapy, recurrence in glioblastoma occurs
mainly periresectionally owing to tumor infiltration. An increase in the apparent
diffusion coefficient (ADC) has been shown in the large high-T2 area on magnetic
resonance imaging posttreatment; however, until now ADC has not been investigated
directly in the more relevant periresectional area. METHODS: Histogram analysis
was used to assess periresectional ADC values in patients with glioblastoma
postradiotherapy versus preradiotherapy. Periresectional ADC values starting at 0
5 mm in 5-mm increments up to 20-25 mm were extracted and compared using 2-way
repeated-measurements analysis of variance. RESULTS: Mean ADC values directly
adjacent to the resection area (0-5 mm) were significantly higher
postradiotherapy compared with preradiotherapy (P = .017). ADC values in the 0-
to 5-mm region were also higher than those in 5- to 10-, 10- to 15-, and 15- to
20-mm regions (P < .05). Regional standard deviations in ADC values were higher
postradiotherapy compared with preradiotherapy for the 0- to 5-mm region up to
the 15- to 20-mm region, inclusive (P < .05); however, Cox regression analysis
showed no survival benefits from the increased ADC in the 0- to 5-mm region
postradiotherapy. CONCLUSIONS: Increased ADC values, representing a decrease in
infiltrative tumor load, were demonstrated in a limited direct periresectional
area. This finding adds to previous studies evaluating ADC response in the larger
high-T2 area in relation to survival.
PMID- 27185651
TI - Mirror Aneurysms Among Multiple Aneurysms: Lesser of the Two Evils.
AB - BACKGROUND: To highlight the management nuances in addressing mirror aneurysms
(MirAns) in a subset of multiple aneurysms. METHODS: A retrospective study was
carried out and all multiple intracranial aneurysms (n = 70) over 9 years were
identified. Exclusion criteria were associated arteriovenous malformations,
moyamoya disease, and either traumatic or mycotic aneurysms or those managed by
endovascular approach alone. Patients were grouped as MirAn and nonmirror
aneurysms (nMirAns). Outcome was assessed by Glasgow Outcome Score at 1 month.
The Pearson chi(2) test or Fisher exact test were used to establish association.
RESULTS: MirAns (n = 17) accounted for 2.1% of all intracranial aneurysms and
24.3% of multiple aneurysms. Female predilection was eminent in the MirAn group
(3.25:1) compared with the nMirAn group (2.5:1). The middle cerebral artery (n =
6) followed by the internal carotid artery-posterior communicating artery (n = 5)
were the most common sites of the MirAns. There was no significant difference
between the 2 groups for hypertension or age (MirAn, 52 years [+/- 11.231];
hypertension, 52.9%; nMirAn, 48.58 years [+/- 12.97]; hypertension, 54.7%). There
was a definite trend towards less intraoperative rupture (MirAn, 4/17; nMirAn,
27/53; P = 0.048), decreased intraoperative vasospasm (MirAn, 1; nMirAn, 11) in
the MirAn group. Development of infarct was significantly less in the MirAn group
(P = 0.027) with a better outcome (13 in MirAn and 26 in nMirAn had good outcome;
P = 0.048). CONCLUSIONS: MirAns are not significantly associated with risk
factors such as age, hypertension, and diabetes. Intraoperative rupture,
vasospasm, and infarct are less common, with a tendency towards better neurologic
outcome compared with nMirAns.
PMID- 27185652
TI - Implementing Routine Head Circumference Measurements in Addis Ababa, Ethiopia:
Means and Challenges.
AB - OBJECTIVE: A substantial number of infants in Ethiopia suffer from hydrocephalus.
Neurosurgical expertise has until recently been virtually nonexistent in the
country; however, since 2006, a neurosurgery training program has been
established in Addis Ababa, where hydrocephalus surgery now is performed in 3
hospitals. Our experience is that hydrocephalus is diagnosed and treatment is too
late to avoid severe brain damage. Introducing routine head circumference (HC)
measurements can ease the detection of hydrocephalus and thus lead to earlier
diagnosis, thereby reducing the brain damage caused by the condition. The present
pilot project had two major aims: to get the process of implementation started
and to gain experience with how this implementation best can be achieved.
METHODS: We educated and encouraged the nurse staff members of 12 randomly
selected health (mother and child) clinics in Addis Ababa in performing HC
measurements, using a recently developed Ethiopian HC chart. Much of the work was
related to follow-up. A local Ethiopian healthcare worker was employed to lead
the follow-up and to continue the implementation in the remaining 14 clinics.
RESULTS: During our attempted implementation of routine HC measuring, we
encountered a line of administrational, cultural, infrastructural, and didactic
problems and challenges, which are discussed. CONCLUSIONS: The experience we have
gained during this pilot project will be used in further development and
implementation of routine HC measuring throughout Ethiopia. These experiences may
be of interest and use to others wanting to introduce similar routines in low
income countries.
PMID- 27185654
TI - A Challenge for Leadership: Elevating Awareness Versus Tolerating Ignorance.
PMID- 27185653
TI - Presigmoid Approach to Vertebrobasilar Artery Aneurysms: A Series of 31 Patients
and Review of the Literature.
AB - OBJECTIVE: The presigmoid approach can be used to treat vertebrobasilar artery
aneurysms when circumstances require more operative exposure. High morbidity and
mortality in these cases have been reported. In this study, we describe our
modified presigmoid approach for vertebrobasilar artery aneurysms and our
clinical results. METHODS: This series includes patients with vertebrobasilar
aneurysms clipped via the modified presigmoid approach at the Department of
Neurosurgery at Helsinki University Hospital from 1998 to 2014. Data were
collected from the operating record books, patients' medical records, and a
radiologic database server. RESULTS: Thirty-three presigmoid procedures of 31
patients were performed to treat 34 aneurysms (14 ruptured, 20 unruptured). The
aneurysms had a mean distance from the posterior clinoid process to the aneurysm
neck of 12.2 mm (range, 0-26.6 mm). A favorable outcome was achieved in 21
patients (64%). A favorable outcome was achieved in 74% of unruptured and good
grade patients, whereas favorable outcome was achieved in only 36% of poor-grade
patients. Complete or near-complete occlusion was achieved in 79%. Larger
aneurysms, fusiform morphology, and anterior dome projection had lower occlusion
rates. CONCLUSIONS: We have described our experiences of using the presigmoid
approach to treat vertebrobasilar aneurysms. The clinical and radiographic
results are acceptable given the complex location and configuration of the
treated aneurysms. Unfavorable outcomes are related to the poor admission Hunt
and Hess grade, aneurysm morphology, and aneurysm size.
PMID- 27185655
TI - Stokes and anti-Stokes luminescence in Tm(3+)/Yb(3+)-doped Lu3Ga5O12 nano
garnets: a study of multipolar interactions and energy transfer dynamics.
AB - Nanocrystalline Lu3Ga5O12 garnets doped with Tm(3+)/Yb(3+) ions have been
synthesized by a low cost and environmentally benign sol-gel technique and
characterized for their structural, Stokes and anti-Stokes luminescence
properties. The diffuse reflectance spectra of doped Lu3Ga5O12 nano-garnets have
been measured to derive the partial energy level structure of Tm(3+) and Yb(3+)
ions and possible energy transfer channels between them. Upon laser excitation at
473 nm, weak red and intense near-infrared Stokes emissions have been observed in
the nano-garnets. The decay curves of (3)H4 and (1)G4 levels of Tm(3+) ions and
the (2)F5/2 level of Yb(3+) ions have been measured upon resonant laser
excitation and are found to be non-exponential in nature due to multipolar
interactions. In order to know the kind of multipolar interaction among optically
active ions, the decay curves are analyzed through the generalized Yokota
Tanimoto model. Moreover, under 970 nm laser excitation, intense blue anti-Stokes
emission is observed by the naked eye in Tm(3+)-Yb(3+) co-doped Lu3Ga5O12 nano
garnets. The results show that as-synthesized nano-garnets may be useful in the
field of phosphors and photonics.
PMID- 27185656
TI - Self-current induced spin-orbit torque in FeMn/Pt multilayers.
AB - Extensive efforts have been devoted to the study of spin-orbit torque in
ferromagnetic metal/heavy metal bilayers and exploitation of it for magnetization
switching using an in-plane current. As the spin-orbit torque is inversely
proportional to the thickness of the ferromagnetic layer, sizable effect has only
been realized in bilayers with an ultrathin ferromagnetic layer. Here we
demonstrate that, by stacking ultrathin Pt and FeMn alternately, both
ferromagnetic properties and current induced spin-orbit torque can be achieved in
FeMn/Pt multilayers without any constraint on its total thickness. The critical
behavior of these multilayers follows closely three-dimensional Heisenberg model
with a finite Curie temperature distribution. The spin torque effective field is
about 4 times larger than that of NiFe/Pt bilayer with a same equivalent NiFe
thickness. The self-current generated spin torque is able to switch the
magnetization reversibly without the need for an external field or a thick heavy
metal layer. The removal of both thickness constraint and necessity of using an
adjacent heavy metal layer opens new possibilities for exploiting spin-orbit
torque for practical applications.
PMID- 27185657
TI - Role of the mTOR Signalling Pathway in Experimental Rabbit Vein Grafts.
AB - BACKGROUND: Coronary artery bypass grafting is employed for the treatment of
multiple-vessel lesions. Studies have shown that continued maladaptive
remodelling expedites atherosclerosis and that excessive remodelling leads to
graft restenosis. However, few studies have focussed on mechanistic target of
rapamycin (mTOR) signalling involved during remodelling of transplanted veins.
METHODS: Rabbits were randomly allocated to groups undergoing vein-graft
restenosis or sham surgery. At 1, 3, 7, 14, 28 and 90 days after surgery, we
removed bypassed grafts and placed them in groups named T1, T2, T3, T4, T5 and
T6, respectively. Group T0 denoted the control group. Analysis included vessel
thickness, electron microscope data, TUNEL staining, and expression of the
proliferation-associated gene proliferating cell nuclear antigen (PCNA). We chose
specific time-points of gene expression, and then observed changes in the mTOR
signalling. RESULTS: The early stage of vein grafting (one to three days after
surgery) led to apoptosis and degradation of the extracellular matrix. Seven days
after surgery, cells began to proliferate. RICTOR expression in mTOR complex 2
(mTORC2) and that of its downstream substrate protein kinase C was enhanced in
the early stage (T1 and T3), and was higher in T1. mTORC1's upstream gene
regulation decreased in T1 and increased in T3. Its downstream genes eIF4b and 4E
BP showed similar changes. Expression of eIF4e and eIF4b increased, and led to an
increase in protein composition. CONCLUSIONS: After transplantation, mTORC1
function and its upstream and downstream genes were inhibited on the first day
after grafting, but mTORC2 function was enhanced. One week after surgery, mTORC2
was still overexpressed when mTORC1 function had recovered and became enhanced.
Hence, mTORC2 plays a major role in arterialisation of veins.
PMID- 27185658
TI - Roles of T-cell Immunoglobulin and Mucin Domain Genes and Toll-like Receptors in
Wheezy Children with Mycoplasma pneumoniae Pneumonia.
AB - BACKGROUND: The study aimed to explore possible factors influencing wheezing in
children with Mycoplasma pneumoniae pneumonia (MPP). METHODS: The study included
84 children with MPP, who were divided into two groups: wheezy group (n=40) and
non-wheezy group (n=44), along with 30 age-matched healthy controls. T-cell
immunoglobulin and mucin domain gene (Tim) 1, 3 and Toll-like receptor (TLR) 2, 4
were evaluated using RT-PCR. Serum IL-10, TNF-alpha, IFN-gamma and IgE were
assessed by enzyme-linked immunosorbent assay. Peripheral blood eosinophil (EOS)
was measured by an automated haematology. RESULTS: Children with MPP had markedly
increased TLR2, TLR4, Tim1, IL-10, TNF-alpha, IgE and EOS, and decreased IFN
gamma than the healthy controls. In the presence of MPP, wheezy children had
significantly elevated TLR2, Tim1, Tim3, TNF-alpha, IgE and EOS than non-wheezy
children. In wheezy children with MPP, MP-specific antibody titre was positively
correlated with TLR2 and TIM1, and negatively correlated with IFN-gamma. IgE was
positively correlated with TLR2, TLR4 and Tim1, while EOS was positively
correlated with Tim1 and Tim3. CONCLUSION: TLR2, Tim1, Tim3, TNF-alpha, IgE and
EOS play a role in MPP-related wheezing in children. The role of IgE might be
associated with TLR2 and Tim1, and the role of EOS might be associated with Tim1
and Tim3.
PMID- 27185659
TI - Imperatorin exerts antiallergic effects in Th2-mediated allergic asthma via
induction of IL-10-producing regulatory T cells by modulating the function of
dendritic cells.
AB - Imperatorin is a furanocoumarin compound which exists in many medicinal herbs and
possesses various biological activities. Herein, we investigated the antiallergic
effects of imperatorin in asthmatic mice and explored the immunomodulatory
actions of imperatorin on immune cells. We used a murine model of ovalbumin (OVA)
induced asthma to evaluate the therapeutic potential of imperatorin.
Additionally, bone marrow-derived dendritic cells (DCs; BMDCs) were used to
clarify whether imperatorin exerts an antiallergic effect through altering the
ability of DCs to regulate T cells. Oral administration of imperatorin to OVA
sensitized and -challenged mice decreased serum OVA-specific immunoglobulin E
(IgE) production, attenuated the airway hyperresponsiveness (AHR), and alleviated
airway inflammation in a dose-dependent manner. Notably, secretions of Th2
cytokines and chemokines were reduced, and numbers of interleukin (IL)-10
producing regulatory T cells (Tregs) increased in imperatorin-treated mice.
Imperatorin inhibited proinflammatory cytokines and IL-12 production but enhanced
IL-10 secretion by lipopolysaccharide (LPS)-stimulated BMDCs. Compared to fully
mature DCs, imperatorin-treated DCs expressed high levels of the inducible
costimulatory ligand (ICOSL) and Jagged1 molecules, and had the regulatory
capacity to promote the generation of IL-10-producing CD4(+) T cells in vitro.
Additionally, imperatorin directly suppressed activated CD4(+) T-cell
proliferation and cytokine production. Imperatorin may possess therapeutic
potential against Th2-mediated allergic asthma not only via stimulating DC
induction of Tregs but also via direct inhibition of Th2 cell activation. These
findings provide new insights into how imperatorin affects the Th2 immune
response and the development of imperatorin as a Treg-type immunomodulatory agent
to treat allergic asthma.
PMID- 27185660
TI - [Assessment of a 3D digital orthoptic test platform].
AB - PURPOSE: To compare standard orthoptic tests with a novel digital 3D orthoptic
platform, 3DeltaEasy((c)) from Orthoptica((r)). MATERIALS AND METHODS: This study
tests the 3D digital orthoptics platform, 3DeltaEasy((c)) from Orthoptica((r))
and compares it to the corresponding standard orthoptic tests. This platform
consists of a computer equipped with dedicated software, a video projector and 3D
liquid crystal glasses. Three tests were compared: Wirt test, measurement of
horizontal and vertical phorias, and the horizontal fusional amplitude in
convergence and divergence. A total of 102 subjects, 53 males (52 %) and 49
females (48 %), aged between 9 years and 72 years (mean age 33+/-16.4 years) were
examined at the ophthalmologic department of the Brest Hospital (France) and
included in this observational cross-sectional study. Subjects recruited in this
study were patients requiring orthoptic screening or therapy. Patients without
their optimal visual corrections were excluded. All patients underwent both
ophthalmological and orthoptic examination including Wirt fly stereotest with
polarizing spectacles, cover tests to evaluate and measure the horizontal and
vertical deviation of the lines of sight, horizontal vergence ranges using prism
bar and their equivalent tests implemented in the digital 3D orthoptic tests
3DeltaEasy((c)) from Orthoptica((r)). RESULTS: All data were processed using
MedCalc Statistical Software version 14.12.0 (MedCalc Software bvba, Ostend,
Belgium). The main result of this study is that 3DeltaEasy((c)) and the classical
Wirt test are correlated (Spearman's coefficient of rank correlation: rho=0.74;
P<0.0001), cover tests are equivalent for intermediate and far vision (paired t
test; P=0.46 and P=0.51), and horizontal and vertical vergence range are
comparable for distance vision (paired t-test; P=0.34 and P=0.94). CONCLUSION:
New digital 3D tools could easily substitute for some orthoptic tests with better
ergonomics. Eventually, by increasing the number of tests performed, it could
substitute for nearly all tests.
PMID- 27185661
TI - [Eales' disease].
AB - The syndrome of recurrent vitreous hemorrhages in young men was described for the
first time by Henry Eales in 1880. The association with a clinical manifestation
of ocular inflammation was reported 5years later. Eales disease affects young
adults who present with ischemic retinal vasculitis, with the peripheral retina
most commonly affected. Most cases have been reported in South Asia. Although the
etiology of this abnormality is unknown, it may be related to an immune
sensitivity to Mycobacterium tuberculosis antigens. Its pathogenesis is related
to extensive ischemia that affects the retina, secondary to an obliterative
retinal vasculopathy with release of angiogenic factors of the VEGF type.
Involvement of the retina is the hallmark of the disease, which manifests as
follows: periphlebitis, retinal capillary ischemia most often affecting the
periphery with secondary proliferative retinopathy and retinal and/or papillary
neovascularization, recurrent vitreous hemorrhages and tractional retinal
detachment. These complications are potentially blinding. The natural history of
Eales disease varies, with temporary or permanent remission in some cases and
continuous progression in others. Progression is often bilateral, which
necessitates regular follow-up. The treatment of Eales disease depends on the
stage of the disease and is not well defined. Observation only, pars plana
vitrectomy surgery and/or intravitreal injections of anti-VEGF are recommended in
cases of vitreous hemorrhage, associated with corticosteroids when retinal
vasculitis is present. Laser pan-retinal photocoagulation is necessary when
neovascularization is present.
PMID- 27185662
TI - [Electronic eikonometer: Measurement tests displayed on stereoscopic screen].
AB - We propose the presentation on a stereoscopic screen of the electronic
eikonometer tests intended for analysis and measurement of perceptual effects of
binocular disparity. These tests, so-called "built-in magnification tests" are
constructed according to the same principle as those of preceding eikonometers
(disparity variation parameters being included in each test presentation, which
allows, for test observation and measurements during the examination, the
removing of any intermediate optical system). The images of these tests are
presented separately to each eye, according to active or passive stereoscopic
screen technology: (1) Ogle Spatial Test to measure aniseikonia; (2) Fixation
Disparity test: binocular nonius; (3) retinal correspondence test evaluated by
nonius horopter; (4) stereoscopic test using Julesz' random-dot stereograms
(RDS). All of these tests, with their variable parameters included, are
preprogrammed by means of an associated mini-computer. This new system (a single
screen for the presentation of tests for the right eye and left eye) will be much
simpler to reproduce and install for all practitioners interested in the
functional exploration of binocular vision. We develop the suitable methodology
adapted to each type of examination, as well as manipulations to be performed by
the operator. We then recall the possibilities for reducing aniseikonia thanks to
some theoretical studies previously performed by matrix calculation of the size
of the retinal images for different types of eye (emmetropia, axial or
conformation anisometropia, aphakia) and for different means of correction
(glasses, contact lenses, implants). Software for achieving these different tests
is available, on request, at this address: eiconometre.electronique@gmail.com.
PMID- 27185664
TI - Australian GPs warn patients of funding cuts through prescription campaign.
PMID- 27185663
TI - Increased aerobic glycolysis is important for the motility of activated VSMC and
inhibited by indirubin-3'-monoxime.
AB - Increased aerobic glycolysis is a recognized feature of multiple cellular
phenotypes and offers a potential point for drug interference, as pursued by anti
tumor agents targeting the Warburg effect. This study aimed at examining the role
of aerobic glycolysis for migration of vascular smooth muscle cells (VSMC) and
its susceptibility to the small molecule indirubin-3'-monoxime (I3MO). Activation
of VSMC with platelet-derived growth factor (PDGF) resulted in migration and
increased glycolytic activity which was accompanied by an increased glucose
uptake and hexokinase (HK) 2 expression. Inhibition of glycolysis or hexokinase
by pharmacological agents or siRNA-mediated knockdown significantly reduced the
migratory behavior in VSMC without affecting cell viability or early actin
cytoskeleton rearrangement. I3MO, previously recognized as inhibitor of VSMC
migration, was able to counteract the PDGF-activated increase in glycolysis and
HK2 abundance. Activation of signal transducer and activator of transcription
(STAT) 3 could be identified as crucial event in upregulation of HK2 and
glycolytic activity in PDGF-stimulated VSMC and as point of interference for
I3MO. I3MO did not inhibit hypoxia-inducible factor (HIF)1alpha-dependent
transcription nor influence miRNA 143 levels, other potential regulators of HK2
levels. Overall, we demonstrate that increased aerobic glycolysis is an important
factor for the motility of activated VSMC and that the anti-migratory property of
I3MO may partly depend on impairment of glycolysis via a compromised STAT3/HK2
signaling axis.
PMID- 27185665
TI - Prospect of quantum anomalous Hall and quantum spin Hall effect in doped kagome
lattice Mott insulators.
AB - Electronic states with non-trivial topology host a number of novel phenomena with
potential for revolutionizing information technology. The quantum anomalous Hall
effect provides spin-polarized dissipation-free transport of electrons, while the
quantum spin Hall effect in combination with superconductivity has been proposed
as the basis for realizing decoherence-free quantum computing. We introduce a new
strategy for realizing these effects, namely by hole and electron doping kagome
lattice Mott insulators through, for instance, chemical substitution. As an
example, we apply this new approach to the natural mineral herbertsmithite. We
prove the feasibility of the proposed modifications by performing ab-initio
density functional theory calculations and demonstrate the occurrence of the
predicted effects using realistic models. Our results herald a new family of
quantum anomalous Hall and quantum spin Hall insulators at affordable
energy/temperature scales based on kagome lattices of transition metal ions.
PMID- 27185666
TI - Compartment syndrome in a patient treated with perineural liposomal bupivacaine
(Exparel).
AB - Acute compartment syndrome is a condition that may result in sensorimotor
deficits and loss of function of the affected limb as a result of ischemic
injury. It is considered a surgical emergency and prompt diagnosis and treatment
results in more favorable outcomes. The use of regional anesthesia is
controversial in patients at risk for compartment syndrome due to concern of its
potential to mask symptoms of the condition. A 44-year-old African American male
presented to surgery for open reduction and internal fixation of a comminuted
distal radius fracture. As part of an off-label, investigator-initiated, and
institutional review board-approved study, he received a perineural injection of
liposomal bupivacaine (Exparel) around the median, ulnar, and radial nerves at
the level of the proximal forearm. The following morning, his initial complaints
of numbness and incisional pain progressively evolved into worsening numbness,
diffuse discomfort, and pain with passive movement. A diagnosis of compartment
syndrome was made and he underwent an emergency fasciotomy. The diagnosis of
compartment syndrome requires a high index of suspicion and prompt treatment.
This patient's changing pattern of symptoms-rather than his pain complaints alone
resulted in the diagnosis of compartment syndrome treated with emergent
fasciotomy in spite of finger numbness that was initially attributed to the
liposomal bupivacaine. While the use of liposomal bupivacaine did not preclude
the diagnosis of compartment syndrome in our patient, it should be used with
caution in patients at risk for compartment syndrome until additional data,
particularly regarding block characteristics, are available.
PMID- 27185667
TI - Improving the quality of the operating room to intensive care unit handover at an
urban teaching hospital through a bundled intervention.
AB - STUDY OBJECTIVE: To evaluate the efficacy of a bundled intervention to improve
the quality of the operating room to intensive care unit (ICU) clinical handover.
DESIGN: Prospective, interventional study. SETTING: An urban, public teaching
hospital with more than 1500 direct postoperative ICU admissions each year.
INTERVENTIONS: A bundled intervention to include the addition of a direct
anesthesia provider to ICU nurse telephone report, a mnemonic to standardize the
handover process, and improved template for postoperative documentation by the
anesthesia team. MEASUREMENTS: Preintervention (baseline) and postintervention
survey data were solicited from key stakeholders, which included anesthesia
providers and ICU nursing staff. MAIN RESULTS: Anesthesia provider and ICU
nursing staff satisfaction levels rose significantly following implementation of
the bundled intervention. In addition, perceived effectiveness of the handover
process and note increased significantly. The satisfaction level of the ICU
nurses with respect to the phone report received before patient arrival in the
ICU nearly doubled. CONCLUSIONS: The implementation of a bundled handover
intervention was associated with increased stakeholder satisfaction as well as a
perception of increased efficacy and quality of the overall handover process and
postoperative anesthesia documentation.
PMID- 27185668
TI - Median effective concentration of remifentanil in target controlled infusion for
smooth tracheal extubation during emergence from general anesthesia in elderly
patients.
AB - STUDY OBJECTIVE: To determine the median effective concentration (EC50) of
remifentanil during targeted-controlled infusion for smooth tracheal extubation
during emergence from total intravenous anesthesia in elderly patients. DESIGN:
Prospective, Dixon up-and-down method. SETTING: Postoperative emergence.
PATIENTS: Twenty-four American Society of Anesthesiologists grade I-II female
elderly patients undergoing elective jaw cyst surgery. INTERVENTIONS: The EC50 of
remifentanil for smooth emergence was calculated by the Dixon up-and-down method.
MEASUREMENTS: The EC50 and 95% confidence intervals were analyzed by probit
analysis using logistic regression. Vital signs (mean arterial pressure, heart
rate, oxygen saturation, and end-tidal carbon dioxide partial pressure),
postanesthesia recovery score, visual analogue pain scale, and adverse effects
were monitored. Mean arterial pressure and heart rate were compared between
patients with smooth extubation vs those with failed smooth extubation. MAIN
RESULTS: The Dixon up-and-down method showed that the EC50 of remifentanil for
smooth tracheal extubation during emergency from anesthesia was 0.94 ng/mL in
female elderly patients. The probit analysis showed that the EC50 of remifentanil
was 0.99 ng/mL (95% confidence interval, 0.52-1.51 ng/mL). Heart rate and mean
arterial pressure were significantly lower in patients with smooth extubation as
compared with those with failed smooth extubation at 0 minute (at extubation) as
well as 1 and 5 minutes after extubation (P< .05). CONCLUSIONS: Target infusion
of remifentanil at 0.94 ng/mL could effectively inhibit tracheal extubation
related cough response and cardiovascular responses in 50% of the female elderly
patients without delaying recovery from anesthesia, which could ensure smooth
tracheal extubation during emergence from anesthesia.
PMID- 27185669
TI - Opioid consumption in total knee arthroplasty patients: a retrospective
comparison of adductor canal and femoral nerve continuous infusions in the
presence of a sciatic nerve catheter.
AB - OBJECTIVE: To compare opioid consumption among patients who receive a continuous
adductor canal block (ACB) versus continuous femoral nerve block (FB) for total
knee arthroplasty analgesia in the presence of an intermittent sciatic nerve
catheter (iSB). DESIGN: Matched cohort retrospective study. SETTING: Mayo Clinic,
Jacksonville, FL. PATIENTS: Ninety patient charts were included in this study: 45
patients with continuous ACB/iSB and 45 with continuous FB/iSB. Patients were
matched according to mean preoperative opioid consumption and pain scores, BMI,
age, and gender. MEASUREMENTS: The primary outcome of the study was postoperative
on-demand opioid consumption on postoperative days 0 (POD 0), 1 (POD 1), and 2
(POD 2). Secondary outcomes included postoperative Visual Analog Scale (VAS)
scores for anterior and posterior knee pain, incidence of nausea and pruritus,
need for intravenous rescue opioid, and need for catheter bolus by a physician.
MAIN RESULTS: On POD 0, mean opioid consumption in milligrams of oral morphine
equivalent [mean+/-SD (95% CI)] was 43.98mg+/-33.36 (33.96, 54) in the ACB/iSB
group vs 38.45mg+/-30.99 (29.14, 47.76) in the FB/iSB group, respectively
(P=.42); on POD 1, 74.96mg+/-37.23 (63.78, 86.14) vs 72.40mg+/-62.34 (53.67,
91.13) (P=.81); on POD 2, 28.19mg+/-17.69 (22.87, 33.51) vs 31.84mg+/-23.09
(24.90, 38.78) (P=.40). On POD 1, median anterior knee VAS scores at rest were
equivalent in both the ACB/iSB and FB/iSB groups (1 vs 1, respectively, P=.46);
however, patients in the ACB/iSB group were more likely to have higher anterior
knee pain scores with movement (4 vs 1, P=.002). CONCLUSION: In the first 2 days
after a total knee arthroplasty, opioid consumption in patients with continuous
ACB/iSB was not significantly different from patients receiving continuous
FB/iSB. Continuous adductor canal block appears to provide adequate analgesia
when compared to continuous femoral blockade.
PMID- 27185671
TI - Endotracheal intubation training for clinical trainees in Japan-is the
anesthesiology training not necessary?
PMID- 27185670
TI - Remifentanil and worse patient-reported outcomes regarding postoperative pain
management after thyroidectomy.
AB - BACKGROUND: Intraoperative remifentanil has been associated with postoperative
hyperalgesia, higher visual analogic pain scores, and increased postoperative
morphine consumption. However, this has not been investigated from patient's
perspective by using a patient-reported outcomes (PROs) approach with a validated
questionnaire. METHODS: We joined the largest prospective observational study on
postoperative pain, PAIN OUT Project (NCT02083835), and collected data for 2
years. We studied the effects of remifentanil (R+) vs nonremifentanil (R-)
anesthesia on PROs regarding their pain management after elective thyroidectomy.
We selected 5 primary PROs (worst pain experienced, time spent in severe pain,
relief received by treatment, satisfaction about pain management, wish for more
pain treatment) and five secondary PROs (drowsiness, itching, nausea, dizziness,
waking up due to pain) from the validated International Pain Outcomes
questionnaire. RESULTS: The analysis included 317 patients, 208 in the R+ group
(65.6%) and 109 in the R- group (34.4%), the latter receiving fentanyl as
intraoperative opioid. Although the R+ group received more frequently
intraoperative nonopioids (202/208, 97.1% vs 86/109, 78.9%; P < .0001) and
opioids (184/208, 88.5% vs 38/109, 34.9%; P < .001), it reported higher worst
pain (5.1+/-2.1 vs 4.3+/-2.1, P < .005), lower satisfaction (7.4+/-2.0 vs 8.1+/
2.1, P < .001), and worse results in 4 secondary PROs. A sensitivity analysis
performed matching 67 couples of patients yielded similar results in primary
PROs. CONCLUSIONS: Our study suggests that remifentanil-based anesthesia is
associated with worse pain-related PROs in patients undergoing thyroidectomy
despite more frequent intraoperative analgesic administration. This study adds
further evidence to the growing literature about opioid- and remifentanil-induced
hyperalgesia.
PMID- 27185672
TI - The ultrasound-guided continuous transmuscular quadratus lumborum block is an
effective analgesia for total hip arthroplasty.
PMID- 27185673
TI - Anesthesia management and sugammadex experience in a neonate for Galen vein
aneurysm.
PMID- 27185675
TI - Opioids and postoperative shivering.
PMID- 27185674
TI - Near-infrared spectroscopy provides continuous monitoring of compromised lower
extremity perfusion during cardiac surgery.
AB - Near-infrared spectroscopy (NIRS) is more frequently used to monitor regional
oxygenation/perfusion of the cerebral and somatorenal vascular bed during
congenital heart surgery. However, NIRS probes can be placed elsewhere to assess
regional perfusion. We report the intraoperative use of NIRS probes on both
calves of an infant to continuously monitor changes in the regional
oxygenation/perfusion of a lower extremity whose perfusion was compromised after
femoral arterial line placement. The NIRS trend of the compromised limb was
compared with the contralateral limb throughout congenital heart surgery
including the period on cardiopulmonary bypass (CPB). Our case report illustrates
that NIRS technology can be used to monitor ongoing lower extremity vascular
compromise during congenital heart surgery when it is not practical to directly
access and continuously assess the limb. Transient vascular compromise after
invasive femoral arterial line or sheath placement for cardiac catheterization in
small infants is not infrequent. NIRS technology in such circumstances may help
to decide whether watchful waiting is acceptable or immediate interventions are
indicated. Continuous NIRS monitoring showed that limb regional oxygenation
remained depressed during CPB but dramatically increased in the post-CPB period.
PMID- 27185676
TI - Shaving and clopidogrel in elderly: shouldwe be worried?
PMID- 27185677
TI - Comparison of the C-MAC video laryngoscope to a flexible fiberoptic scope for
intubation with cervical spine immobilization.
AB - STUDY OBJECTIVE: To compare the C-MAC video laryngoscope to the standard flexible
fiberoptic scope (FFS) with an eye piece (but without a camera or a video screen)
for intubation of patients undergoing cervical spine surgery with manual inline
stabilization. The primary end point was the time to achieve successful tracheal
intubation. Secondary end points included glottic view at intubation and number
of intubation attempts. DESIGN: Prospective, randomized, single-blinded study.
SETTING: Cedars Sinai Medical Center in Los Angeles, CA. PATIENTS: One hundred
forty patients (American Society of Anaesthesiologists physical status I-III),
aged 18 to 80years undergoing elective cervical spine surgery. INTERVENTION:
Patients were prospectively randomized to undergo tracheal intubation using
either an FFS (n=70) or the C-MAC video laryngoscope (n=70). MEASUREMENTS: After
performing a preoperative airway evaluation, patients underwent a standardized
induction sequence. The glottic view was assessed at the time of tracheal tube
placement using the Cormack-Lehane and percentage of glottic opening scoring
systems. In addition, the time required for successful insertion of the tracheal
tube, number of intubation attempts to secure the airway, the need for adjuvant
airway devices, hemodynamic changes, adverse events, and any airway-related
trauma were recorded. MAIN RESULTS: The glottic view at the time of intubation
did not differ significantly with the 2 devices; however, the C-MAC facilitated
more rapid tracheal intubation compared with the FFS (P=.001). The peak heart
rate response following insertion of the tracheal tube was also reduced (P=.004)
in the C-MAC (vs FFS) group. CONCLUSION: The C-MAC may offer an advantage over
the FFS with respect to the time required to obtain glottic view and successful
placement of the tracheal tube in patients requiring cervical spine
immobilization.
PMID- 27185678
TI - Anesthesia care for subcutaneous implantable cardioverter/defibrillator
placement: a single-center experience.
AB - BACKGROUND: The recently approved subcutaneous implantable
cardioverter/defibrillator (S-ICD) uses a single extrathoracic subcutaneous lead
to treat life-threatening ventricular arrhythmias, such as ventricular
tachycardia and ventricular fibrillation. This is different from conventional
transvenous ICDs, which are typically implanted under sedation. Currently, there
are no reports regarding the anesthetic management of patients undergoing S-ICD
implantation. STUDY OBJECTIVES: This study describes the anesthetic management
and outcomes in patients undergoing S-ICD implantation and defibrillation
threshold (DFT) testing. METHODS: The study population consists of 73 patients
who underwent S-ICD implantation. General anesthesia (n = 69, 95%) or
conscious/deep sedation (n = 4, 5%) was used for device implantation.
MEASUREMENTS: Systolic blood pressure (SBP) and heart rate were recorded
periprocedurally for S-ICD implantation and DFTs. Major adverse events were SBP
<90 mm Hg refractory to vasopressor agents, significant bradycardia (heart rate
<45 beats per minute) requiring pharmacologic intervention and, "severe" pain at
the lead tunneling site and the S-ICD generator insertion site based on patient
perception. INTERVENTIONS: Of the 73 patients, 39 had SBP <90 mm Hg (53%), and
intermittent boluses of vasopressors and inotropes were administered with
recovery of SBP. In 2 patients, SBP did not respond, and the patients required
vasopressor infusion in the intensive care unit. MAIN RESULTS: Although the S-ICD
procedure involved extensive tunneling and a mean of 2.5 +/- 1.7 DFTs per
patient, refractory hypotension was a major adverse event in only 2 patients. The
mean baseline SBP was 132.5 +/- 22.0 mm Hg, and the mean minimum SBP during the
procedure was 97.3 +/- 9.2 mm Hg (P < .01). There was also a mean 13-beats per
minute decrease in heart rate (P < .01), but no pharmacologic intervention was
required. Eight patients developed "severe" pain at the lead tunneling and
generator insertion sites and were adequately managed with intravenous morphine.
CONCLUSIONS: Among a heterogeneous population, anesthesiologists can safely
manage patients undergoing S-ICD implantation and repeated DFTs without wide
swings in SBP and with minimal intermittent pharmacologic support.
PMID- 27185679
TI - Anesthetic management of an ex utero intrapartum treatment procedure: a novel
balanced approach.
AB - Ex utero intrapartum treatment (EXIT) procedures are therapeutic interventions
for fetuses with life-threatening airway abnormalities and/or other prenatally
diagnosed congenital malformations requiring immediate neonatal extracorporeal
membrane oxygenation support. Although certain anesthetic goals are common among
EXIT procedures, many different approaches to their management have been
described in the literature. Herein, we present a novel anesthetic approach to an
EXIT procedure for fetal micrognathia and retrognathia. We also review the
indications and anesthetic considerations for these procedures and highlight the
need for multidisciplinary collaboration to optimize clinical outcomes.
PMID- 27185680
TI - Oral mask ventilation is more effective than face mask ventilation after nasal
surgery.
AB - OBJECTIVE: To evaluate and compare the face mask (FM) and oral mask (OM)
ventilation techniques during anesthesia emergence regarding tidal volume, leak
volume, and difficult mask ventilation (DMV) incidence. DESIGN: Prospective,
randomized, crossover study. SETTING: Operating room, training and research
hospital. SUBJECTS: American Society of Anesthesiologists physical status I and
II adult patients scheduled for nasal surgery. INTERVENTIONS: Patients in group
FM-OM received FM ventilation first, followed by OM ventilation, and patients in
group OM-FM received OM ventilation first, followed by FM ventilation, with
spontaneous ventilation after deep extubation. The FM ventilation was applied
with the 1-handed EC-clamp technique. The OM was placed only over the mouth, and
the 1-handed EC-clamp technique was used again. A child's size FM was used for
the OM ventilation technique, the mask was rotated, and the inferior part of the
mask was placed toward the nose. MEASUREMENTS: The leak volume (MVleak), mean
airway pressure (Pmean), and expired tidal volume (TVe) were assessed with each
mask technique for 3 consecutive breaths. A mask ventilation grade >=3 was
considered DMV. MAIN RESULTS: DMV occurred more frequently during FM ventilation
(75% with FM vs 8% with OM). In the FM-first sequence, the mean TVe was 249+/
61mL with the FM and 455+/-35mL with the OM (P=.0001), whereas in the OM-first
sequence, it was 276+/-81mL with the FM and 409+/-37mL with the OM (P=.0001).
Regardless of the order used, the OM technique significantly decreased the MVleak
and increased the TVe when compared to the FM technique. CONCLUSION: During
anesthesia emergence after nasal surgery the OM may offer an effective
ventilation method as it decreases the incidence of DMV and the gas leak around
the mask and provides higher tidal volume delivery compared with FM ventilation.
PMID- 27185681
TI - Comparison of three video laryngoscopy devices to direct laryngoscopy for
intubating obese patients: a randomized controlled trial.
AB - STUDY OBJECTIVE: To compare three different video laryngoscope devices (VL) to
standard direct laryngoscopy (DL) for tracheal intubation of obese patients
undergoing bariatric surgery. HYPOTHESIS: VL (vs DL) would reduce the time
required to achieve successful tracheal intubation and improve the glottic view.
DESIGN: Prospective, randomized and controlled. SETTING: Preoperative/operating
rooms and postanesthesia care unit. PATIENTS: One hundred twenty-one obese
patients (ASA physical status I-III), aged 18 to 80 years, body mass index (BMI)
>30 kg/m(2) undergoing elective bariatric surgery. INTERVENTION: Patients were
prospectively randomized assigned to one of 4 different airway devices for
tracheal intubation: standard Macintosh (Mac) blade (DL); Video-Mac VL; Glide
Scope VL; or McGrath VL. MEASUREMENTS: After performing a preoperative airway
evaluation, patients underwent a standardized induction sequence. The glottic
view was graded using the Cormack Lehane and percentage of glottic opening (POGO)
scoring systems at the time of tracheal intubation. Times from the blade entering
the patient's mouth to obtaining a glottic view, placement of the tracheal tube,
and confirmation of an end-tidal CO2 waveform were recorded. In addition,
intubation attempts, adjuvant airway devices, hemodynamic changes, adverse
events, and any airway-related trauma were recorded. MAIN RESULTS: All three VL
devices provided improved glottic views compared to standard DL (p < 0.05). Video
Mac VL and McGrath also significantly reduced the time required to obtain the
glottic view. Video-Mac VL significantly reduced the time required for successful
placement of the tracheal tube (vs DL and the others VL device groups). The Video
Mac and GlideScope required fewer intubation attempts (P< .05) and less frequent
use of ancillary intubating devices compared to DL and the McGrath VL.
CONCLUSION: Video-Mac and GlideScope required fewer intubation attempts than
standard DL and the McGrath device. The Video-Mac also significantly reduced the
time needed to secure the airway and improved the glottic view compared to
standard DL.
PMID- 27185683
TI - Incidence and risk factors for early postoperative reintubations.
AB - STUDY OBJECTIVE: Reintubations are associated with significantly increased
morbidity and mortality, increased hospital length of stay, and increased cost.
Our aim was to assess the national incidence and associated risk factors for
unanticipated early postoperative reintubations. DESIGN: Using the National
Anesthesia Clinical Outcomes Registry (NACOR) from 2010 to 2014, multivariate
logistic regression was fitted to determine if various patient, surgical,
intraoperative, or provider data were associated with unanticipated early
postoperative reintubations. SETTING: NACOR from 2010 to 2014. PATIENTS: A total
of 2,970,904 cases were included. Multivariate analysis controlled for patient
age, sex, and American Society of Anesthesiologists (ASA) Physical Status.
INTERVENTIONS: None. MEASUREMENTS: We report odds ratios (ORs) and 95% confidence
intervals (CIs) for the relative odds of an association of predictor variable
with reintubations. MAIN RESULTS: The incidence of unanticipated early
postoperative reintubations from the NACOR database was 0.061%. Overall,
reintubations were more likely in the extremes of age (age under 1 year had OR =
3.46, 95% confidence interval [CI] = 2.64-4.52 and age 80+ has OR = 1.80, 95% CI
= 1.50-2.15 when compared with age 19-49 years), patients with ASA Physical
Status 3 and 4 (OR = 4.06, 95% CI = 3.38-4.86 and OR = 8.65, 95% CI = 7.11-10.52,
respectively, when compared with ASA 1), longer case duration (180-360 minutes OR
= 13.87, 95% CI = 10.7-17.98 when compared with cases less than 60 minute
duration), and cases that had a resident trainee present (OR = 1.22, 95% CI =
1.03-1.44 when compared with no resident present). Thoracic and vascular surgical
procedures had the highest rates of reintubation when compared with general
surgery (OR = 3.47, 95% CI = 2.81-4.28 and OR = 1.51, 95% CI = 1.24-1.82,
respectively). CONCLUSIONS: A number of risk factors correlate with an increased
risk of unanticipated early postoperative reintubations, including extremes of
age, patients with greater medical comorbidities, longer operations, and patients
undergoing thoracic procedures.
PMID- 27185682
TI - Benefit of sugammadex on lung ventilation evaluated with electrical impedance
tomography in a morbidly obese patient undergoing bariatric surgery.
PMID- 27185684
TI - The comparison of insertion depth for orotracheal intubation between standard
polyvinyl chloride tracheal tubes and straight reinforced tracheal tubes.
AB - STUDY OBJECTIVE: To investigate whether these properties of reinforced tubes
cause difference in insertion depth compared to standard polyvinyl chloride
tracheal tubes. DESIGN: A randomized controlled trial. SETTING: Operation room.
MATERIALS: Standard polyvinyl chloride tracheal tubes, reinforced tubes.
INTERVENTIONS: Seventy-six adult patients undergoing surgery under general
anesthesia were randomly allocated with standard tube (n=38) or reinforced tube
(n=38) intubation. The endotracheal tube was fixed at the right canine with a
predetermined insertion depth using the formula: endotracheal tube insertion
length (cm)=0.1977 * [body height (cm)] - 12.7423. MEASUREMENTS: The distances
between the tracheal tube tip and the carina using fiberoptic bronchoscope. MAIN
RESULTS: The mean tip-to-carina distance of reinforced tube was about 1.2cm
longer than that of standard tube (P<.001). CONCLUSIONS: The insertion depth of
straight reinforced tracheal tubes can be shorter than that of standard polyvinyl
chloride tracheal tubes due to different tube pathways in the upper airway.
PMID- 27185685
TI - Prone position results in enhanced pressor response to ephedrine compared with
supine position during general anesthesia.
AB - STUDY OBJECTIVE: To elucidate and compare the pressor response to ephedrine in
the prone or supine position during general anesthesia (GA). DESIGN: Prospective
cohort study. SETTING: Department of General Surgery or Spine Surgery, Zhongda
Hospital, Southeast University, Nanjing, China. PATIENTS: Fifty-six patients who
were scheduled to undergo elective surgery in the supine or prone position (n =
28 each) and using a generic GA protocol. INTERVENTIONS: During surgery, the
patients received intravenous (IV) ephedrine when their systolic blood pressure
(SBP) decreased to 90 to 110 mm Hg. MEASUREMENTS: Hemodynamic changes were
measured at 1-minute intervals for 10 minutes and were compared with baseline.
MAIN RESULTS: Forty-nine patients (23 in the prone position and 26 in the supine
position) completed the study. There were no significant differences between the
groups with regard to demographic characteristics, hemodynamic parameters, end
tidal concentration of sevoflurane, and dose of propofol and remifentanil (all P>
.05). After the bolus injection of ephedrine, a significant increase in SBP was
observed in both groups compared to baseline, but the duration and magnitude of
the increase in SBP were longer and greater in the prone position than in the
supine position. The magnitude of increase of the mean blood pressure was
significantly greater in the prone position compared to the supine position at 2
to 7 minutes after ephedrine injection. Ephedrine could cause significant
increase in diastolic blood pressure 2 minutes after IV injection, which could
last until at least 9 minutes in the prone position group compared to only for 5
minutes in the supine position group (all P< .05). CONCLUSION: Compared to the
supine position, the prone position could augment the pressor response to IV
ephedrine during GA. Further studies are recommended to identify its association
with other confounding factors such as surgery type or duration, patient history
of cardiovascular disease, or patient hydration status.
PMID- 27185686
TI - Flow rates through intravenous access devices: an in vitro study.
AB - STUDY OBJECTIVE: Fluid administration using intravenous (IV) access devices is
required in many settings. There are a lack of quantitative data comparing
traditional cannulas and modern access devices. We aimed to investigate flow
rates through modern intravenous access devices using an in vitro system. DESIGN:
This is an experimental study. SETTING AND MEASUREMENTS: Rates of flow of
intravenous fluids (crystalloid and colloid) were measured through various access
devices using a uroflowmeter. Standardized conditions and repeat measurements
ensured validity. Fluid was administered with or without the addition of a
pressure bag and needle-free valve. MAIN RESULTS: Increasing the size of cannulas
improved flow. Fourteen-gauge cannulas had significantly higher mean flow rates
compared to 14G central venous lines in all conditions (136% higher with no
pressure bag/valve; 95% CI, +130% to +152%; P < .001). Both the emergency
infusion device and rapid infusion catheter produced significantly increased mean
flows compared to a 14G cannula (12% higher for emergency infusion catheter; 95%
CI, +7% to +15%; P = .008, and 15% higher for rapid infusion catheter; 95% CI,
+12% to +21%; P = .004). The needle-free valve significantly impaired flow on 16G
and wider IV access devices (36% lower with no pressure bag using 14G cannula;
95% CI, -29% to -46%; P = .003), but flow reductions in narrower IV access were
insignificant. Pressure bags significantly improved flow in all devices, in all
combinations. CONCLUSIONS: Flow rates in IV devices can be maximized by pressure
bag use and removal of needle-free valves. The rapid infusion catheter and
emergency infusion catheter allow some increase in flow over a 14G cannula.
Familiarity with varying flow rates across IV access devices could better inform
clinical decisions.
PMID- 27185687
TI - Estimating the number of difficult airway carts needed in an operating suite:
Resource planning without compromising patient safety.
AB - STUDY OBJECTIVE: To determine the number of difficult airway (DA) carts required
based on the number of anesthetising locations and patients risk of DA. DESIGN:
Binomial distributions. SETTING AND PATIENTS: Various hypothetical settings and
patient cohorts. INTERVENTIONS AND MEASUREMENTS: Binomial distributions were used
to calculate the number of distinct combinations of DAs by number of
anesthetising locations assuming an average risk of 10%. The 'at least' number of
DAs was calculated using cumulative probabilities of having exactly two plus more
than 2 DAs up to the total number of simultaneously started anesthetising
locations or until the cumulative probability exceeds the 50% threshold,
therefore being more likely than not. MAIN RESULTS: The probability of
encountering concurrent DAs increases as the number of simultaneously started
anesthetising locations increases. For at least 2 concurrent DAs, the probability
first exceeds 50% at 17 locations. The corresponding thresholds for at least 3
and 4 concurrent DAs, are 27 and 37 locations respectively. The probability of at
least 2 concurrent DAs will exceed 50% when approximately 17 anesthetising sites
are started simultaneously and a 10% worst case risk is assumed. CONCLUSIONS:
With continuing resource constraints, proper planning of human and capital
resources for DAs needs to be addressed without compromising patient safety. It
is recommended that every block of 15-20 sites be equipped with a DA cart, that
anaesthesia groups develop and rehearse DA algorithms with available equipment,
and that preoperative anaesthesia clinics be used to identify DA therefore
providing logistical leverage.
PMID- 27185688
TI - Another cause of damage to tracheal tube cuff during nasotracheal intubation.
PMID- 27185689
TI - Ventilation failure due to recanalization of a tracheostomy orifice during the
induction of anesthesia.
PMID- 27185690
TI - Pneumothorax after tracheostomy closure with successful nonsurgical management.
AB - A 3-year-old girl presented for routine closure of her tracheostomy site. She was
intubated easily for the procedure, and the wound was closed with a drain in
place. In recovery, the mother noticed fullness in the patient's submandibular
region, and on examination, the girl had subcutaneous emphysema in the neck
bilaterally. She returned to the operating room for exploration, and air was
released from the surgical site. The wound was again closed with a drain in
place, and the patient was extubated uneventfully. After arriving to the
pediatric intensive care unit for monitoring, the patient acutely developed
respiratory distress and was found to have pneumomediastinum and pneumothorax and
was emergently intubated. She was observed closely, and the following day, the
pneumothorax improved, and she successfully extubated without further
complication.
PMID- 27185691
TI - Transversus abdominal plane and rectus sheath block combination for intractable
anterior cutaneous nerve entrapment syndrome after severe cholecystitis.
PMID- 27185692
TI - Postoperative extradural hematoma of the cervical spine: a rare but avoidable
complication.
PMID- 27185693
TI - A liquid crystal display monitor failure of a McGRATH video laryngoscope.
PMID- 27185694
TI - Intrinsic positive end-expiratory pressure during ventilation through small
endotracheal tubes during general anesthesia: incidence, mechanism, and
predictive factors.
AB - STUDY OBJECTIVE: To assess the safety of mechanical ventilation and effectiveness
of extrinsic positive end-expiratory pressure (PEEP) (PEEPe) in improving
peripheral oxygen saturation (SpO2) during direct microlaryngeal laser surgery;
to assess the incidence, amount, and nature (dynamic hyperinflation or airflow
obstruction) of ensuing intrinsic PEEP (PEEPi); and to find a surrogate PEEPi
indicator. DESIGN: Quasiexperimental. SETTING: S. Raffaele Hospital (Milano),
November 2009 to December 2010. PATIENTS: Fifty-two adults scheduled for direct
microlaryngeal laser surgery. Exclusion criterion is pregnancy. INTERVENTIONS:
Twenty-one percent O2 mechanical ventilation through 4.5- to 5.5-mm internal
diameter endotracheal tubes; in 29 patients, after measurement of PEEPi, an
identical amount of PEEPe was added; and PEEPi. MEASUREMENTS: SpO2, peak
(Pawpeak) and plateau (Pawplateau) airway pressure, and end-expiratory carbon
dioxide were measured every 5 minutes. Respiratory compliance (Crs) was computed.
PEEPi was measured (end-expiratory occlusion method). MAIN RESULTS: PEEPi >=5 cm
H2O occurred in 14 patients (27%) after intubation, in 16 (30%) at the beginning,
and in 14 (27.3%) at the end of surgery. Thirty-one patients (59.4%) exhibited
PEEPi >=5 cm H2O on at least 1 time point. PEEPi at the beginning of surgery was
positively correlated with Pawplateau, Crs, tidal volume, and body mass index.
Body mass index was the only predictor for the occurrence of PEEPi >=5 cm H2O. At
the beginning of surgery, the Pawplateau receiver operating characteristic curve
predicting PEEPi >=5 cm H2O had area under the receiver operating characteristic
curve of 0.85; best cutoff value of 15.5 cm H2O (sensitivity, 88.9%; specificity,
75%; correctly classified cases, 86.1%). When PEEPe was applied, in 23 cases
(82.1%), total PEEP equaled PEEPe+ PEEPi; in 3 (10.7%), it was lower; and in 2
(7.1%), it was higher. Application of PEEPe increased SpO2 (P< .05) and Crs (P<
.05). CONCLUSIONS: During ventilation through small endotracheal tubes, PEEPi
(mostly due to dynamic hyperinflation) is common. Hemodynamic complications,
barotrauma, and O2 desaturation (reversible with PEEPe) are rare. Pawplateau
provided by ventilators is useful in suspecting and monitoring the occurrence of
PEEPi and allows detection of lung overdistension as PEEPe is applied.
PMID- 27185695
TI - Reliability of a faculty evaluated scoring system for anesthesiology resident
applicants (Original Investigation).
AB - STUDY OBJECTIVE: To assess reliability and reproducibility of a recently
instituted anesthesiology resident applicant interview scoring system at our own
institution. DESIGN: Retrospective evaluation of 2 years of interview data with a
newly implemented scoring system using randomly assigned interviewing faculty.
SETTING: Interview scoring evaluations were completed as standard practice in a
large academic anesthesiology department. SUBJECTS: All anesthesiology resident
applicants interviewed over the 2013/14 and 2014/15 seasons by a stable cohort of
faculty interviewers. Data collection blinded for both interviewers and
interviewees. INTERVENTIONS: None for purposes of study - collation of blinded
data already used as standard practice during interview process and analysis.
MEASUREMENTS: None specific to study. MAIN RESULTS: Good inter-rater faculty
reliability of interview scoring (day-of) and excellent inter-faculty reliability
of application review (pre-interview). CONCLUSIONS: Development of a department
specific interview scoring system including many elements beyond traditional
standardized tests shows good-excellent reliability of faculty scoring of both
the interview itself (including non-technical skills) and the application resume.
PMID- 27185696
TI - A comparison of the I-Gel supraglottic device with endotracheal intubation for
bronchoscopic lung volume reduction coil treatment.
AB - STUDY OBJECTIVE: To compare the use of the I-gel airway with orotracheal
intubation (OTI) for bronchoscopic lung volume reduction (BLVR) coil treatment in
patients with severe chronic obstructive pulmonary disease (COPD) with
heterogeneous emphysema, since it has been proved that supraglottic airways have
lower incidence of postoperative respiratory complaints compared to OTI. DESIGN:
A comparative, prospective observational study was designed to assess the use of
the I-gel airway compared with the OTI. SETTING: Bronchoscopy room at the
University Hospital Fundacion Jimenez Diaz, Madrid. Tertiary care center.
PATIENTS: Thirty-three procedures were carried out in 22 patients diagnosed with
COPD with severe heterogeneous emphysema undergoing BLVR coil treatment under
general anaesthesia. INTERVENTION: Seventeen procedures were carried out with I
gel and 16 with endotracheal tube. Airway device choice was left to the attendant
anesthesiologist. MEASUREMENTS: Tidal volume, peak pressure, and the presence of
gas leaks were compared. Adverse events during the procedure and within the first
3 hours later were also recorded. MAIN RESULTS: Ventilation parameters obtained
showed no significant differences. Mean tidal volume was 466.6 +/- 28.2 mL and
478.7 +/- 34.0 mL (P > .05), mean peak pressure was 17.9 +/- 2.5 cmH2O and 19.4
+/- 2.4 cmH2O (P > .05) and mean gas leaks was 71 +/- 17.6 mL and 79 +/- 15.5 mL
(P > .05) for I-gel group (IgelG) and endotracheal tube group respectively. No
serious complications were reported. One case of pneumothorax was reported in the
endotracheal tube group, which was resolved with a chest drainage tube without
further complications. CONCLUSION: The I-gel airway ensures appropriate
ventilation and makes the use of the flexible fiberoptic bronchoscope quite easy.
Therefore, we consider that the I-gel device is an effective and safe alternative
to classical OTI for airway management in COPD patients with severe heterogeneous
emphysema undergoing BLVR coil treatment.
PMID- 27185697
TI - Hemiparesis after general anesthesia in a patient with migraine with unilateral
motor symptoms.
AB - DESIGN: This is a case report. SETTING: The setting is at a postoperative
recovery area. PATIENTS: A 52-year-old woman with a history of migraine with
unilateral motor symptoms developed hemiparesis after undergoing general
anesthesia for total thyroidectomy. INTERVENTIONS: No interventions were
performed. MEASUREMENTS/MAIN RESULTS: Head computed tomography and magnetic
resonance imaging were normal. Laboratory studies including basic metabolic panel
and complete blood count were also within normal limits. CONCLUSIONS: General
anesthesia may be a trigger for hemiplegic migraine syndromes; however, it
behooves the practitioner to rule out acute neurologic and metabolic events
before making this diagnosis.
PMID- 27185698
TI - Patient preference for the pre-anesthesia evaluation: Telephone versus in-office
assessment.
AB - STUDY OBJECTIVE: Pre-anesthesia evaluation (PAE) is designed to reduce patient
and family anxiety, identify pre-existing health issues, avoid surgical delays,
minimize costs, and tailor an anesthetic plan. If PAE requires a clinic visit,
patients must take time off work and may incur travel and childcare costs. A
telephone-based Preoperative Assessment Clinic can minimize patient
inconvenience, while maintaining high-quality patient care and improving
efficiency. We assessed patient satisfaction with a telephone PAE and determined
whether patients preferred a telephone PAE or a conventional clinic visit.
DESIGN: Prospective, institutional review board-approved study. SETTING:
University hospital. PATIENTS: We conducted an IRB-approved telephone survey of
75 adult, post-operative patients. INTERVENTIONS: Telephone survey. MEASUREMENTS:
Patients were asked about their preference for a telephone PAE over an in-person
evaluation. Survey questions included assessment of patient satisfaction with
their anesthesia evaluation, operation, and anesthetic delivered. Delays and day
of surgery cancellations were reviewed. MAIN RESULTS: The majority (97%) of
patients stated they preferred a telephone PAE. Patient satisfaction was
unaffected by driving distance (30+/-54 mi), ASA physical status or duration of
surgery (169+/-159 min). Even patients who were not satisfied with their
anesthetic (N=5) still preferred the telephone-based PAE. No increase in surgical
delays or cancellation was noted. CONCLUSION: The majority of patients in this
survey preferred a telephone PAE. Given the large catchment area of our hospital
of nine counties, telephone-based interviews add to patient convenience and
likely increase compliance with the PAE. Even patients who live in close
proximity to our hospital (<5 mi) preferred a telephone assessment. A telephone
based PAE provides high patient satisfaction over a traditional office visit
while increasing patient convenience. Larger studies are necessary to ensure that
telephone PAEs compare well with in-person examinations.
PMID- 27185699
TI - A randomized placebo-controlled study of preoperative pregabalin for
postoperative analgesia in patients with spinal surgery.
AB - STUDY OBJECTIVE: To determine whether single preoperative administration of 2
different doses of pregabalin (75 and 150 mg) could decrease postoperative pain
intensity and opioid consumption following posterior lumbar interbody fusion
surgery. DESIGN: Prospective, randomized, active placebo-controlled, double
blinded study. SETTING: Postoperative recovery area and patients' room. PATIENTS:
Ninety-seven adult, American Society of Anesthesiologists physical status 1 and 2
patients. INTERVENTIONS: Patients were randomly assigned to receive diazepam 5 mg
as an active placebo (D5), pregabalin 75 mg (P75), or pregabalin 150 mg (P150).
The study drug was orally administered 2 hours prior to surgery and a standard
anesthetic technique was used. Postoperative pain was managed using intravenous
patient-controlled analgesia with morphine. MEASUREMENT: The visual analog scale
at rest was used to measure pain intensity immediately after extubation at the
postanesthesia care unit, and then 2, 4, 6, 12, 18, 24, 36, and 48 hours after
surgery. Morphine consumption and adverse effects were assessed until 48 hours
after surgery. MAIN RESULTS: The visual analog scale score at rest was lower in
the P150 group than in the D5 group until 2 hours after surgery. Morphine
consumption was lower in the P150 group than in the D5 from 0 to 12 hours after
surgery. CONCLUSIONS: Single preoperative administration of 150 mg of pregabalin
2 hours prior to surgery reduced postoperative pain intensity and morphine
consumption compared with 5 mg diazepam in patients who underwent posterior
lumbar interbody fusion.
PMID- 27185700
TI - Major complications of regional anesthesia in 11 teaching hospitals of China: a
prospective survey of 106,569 cases.
AB - STUDY OBJECTIVE: To determine the incidence of major complications in patients
undergoing regional anesthesia (RA) in China. DESIGN: Multicenter prospective
survey. SETTING: Eleven teaching hospitals in China. PATIENTS AND INTERVENTIONS:
A total of 106,569 patients undergoing RA from April 1, 2009, to April 30, 2011,
were involved. MEASUREMENT: Information on patients, types of surgery, and RA
techniques was collected with a standardized chart. After RA, the patients were
followed up by an investigator in each center for 1 to 3 weeks according to the
patient's condition. Data were integrated and analyzed with a structured query
language server system. MAIN RESULTS: Among the patients undergoing RA, 37
developed major complications, including Horner syndrome (n = 9; 0.84/10,000),
recurrent laryngeal nerve blockade (n = 6; 0.56/10,000), cardiac arrest (n = 1;
0.09/10,000), hematoma (n = 2; 0.19/10,000), seizures (n = 5; 0.46/10,000),
catheter break (n = 1; 0.09/10,000), paraplegia (n = 1; 0.09/10,000), cauda
equina syndrome (n = 2; 0.19/10,000), and extensive neuraxial block (n = 10;
0.94/10,000). The incidence of major RA complications varied from 0.8/10,000 to
18.8/10,000 among centers and was highest in cervical plexum block. Plastic
surgery had the highest incidence of complications (19.0/10,000), most of which
were recurrent extensive neuraxial block. The total incidence of major RA
complications was 3.47/10,000. CONCLUSION: This large, multicenter, prospective
survey revealed the incidence of major complications after RA in China's
hospitals. Although severe complication like cardiac arrest is rare, it is
distressing and challenging. Hence, there is still a room to improve on daily
basis to further reduce complications related to RA.
PMID- 27185701
TI - Comparative efficacy of stellate ganglion block with bupivacaine vs pulsed
radiofrequency in a patient with refractory ventricular arrhythmias.
AB - There is increasing interest in interventional therapies targeting the cardiac
sympathetic nervous system to suppress ventricular arrhythmias. In this case
report, we describe an 80-year-old patient with ischemic cardiomyopathy and
multiple implantable cardioverter-defibrillator shocks due to refractory
ventricular tachycardia and ventricular fibrillation who was unable to continue
biweekly stellate ganglion block procedures using bupivacaine 0.25% for
suppression of his arrhythmias. He had previously failed antiarrhythmic drug
therapy with amiodarone, catheter ablation, and attempted surgical autonomic
denervation. He underwent pulsed radiofrequency treatment (3 lesions, 2 minutes
each, temperature 42 degrees C, 2-Hz frequency, 20-millisecond pulse width) of
the left stellate ganglion resulting in persistent arrhythmia suppression for
more than 12 months duration. This represents the first report of a pulsed
radiofrequency stellate ganglion lesion providing long-term suppression of
ventricular arrhythmias. Further study of this technique in patients with
refractory ventricular tachycardia or ventricular fibrillation is warranted.
PMID- 27185702
TI - Two methods for teaching basic upper airway sonography.
AB - STUDY OBJECTIVE: The purpose of this study was to evaluate and compare hands-on
gel phantom versus instructional video teaching methods to improve anesthesia
residents and staff members' ability to correctly identify airway structures
using ultrasound on a human volunteer. DESIGN: Randomized, controlled trial.
SETTING: Simulation laboratory. STUDY SUBJECTS: Fifty-four anesthesiology
resident and staff members (27 anesthesiologists and 27 anesthesiology residents)
at the University of Wisconsin-Madison. INTERVENTIONS: Study subjects were
randomized into one of three groups: control (standard medical knowledge), video
training, or gel phantom training. After providing study instructions and
training (if relevant), study subjects were asked to perform sonoanatomy
identification of the thyroid cartilage, cricoid cartilage, cricothyroid
membrane, and the tracheal rings in both the transverse and longitudinal views.
Study subjects then returned 14 to 24 days following initial assessment for
evaluation of skills retention. They were again instructed to identify the same
airway structures as during the initial assessment with scoring performed by the
same assessor. MAIN RESULTS: All group characteristics were similar at baseline
and follow-up. Both training tools produced a learning effect at baseline and
follow-up compared to standard anesthesia training. No differences in overall
airway structure identification success between groups receiving video versus gel
airway phantom training were observed. CONCLUSIONS: Use of either a low cost,
airway gel phantom training model for hands-on training or a simple instructional
teaching video can be used in a single training session to improve staff
anesthesiologist and anesthesia resident knowledge and skills for ultrasound
identification of upper airway anatomy.
PMID- 27185703
TI - Potential mechanism of arytenoid dislocation following insertion of a calibrating
orogastric tube.
PMID- 27185704
TI - A peer-designed selective in anesthesiology, critical care, and perioperative
medicine for first- and second-year medical students.
AB - STUDY OBJECTIVE: The objective of this study was to design and implement a
preclinical elective (termed selective) in anesthesiology, critical care, and
perioperative medicine and to report survey results assessing the impact of the
selective on first- and second-year medical students' understanding of basic
concepts, comfort with procedural skills, and interest in the specialty. DESIGN:
Preinvention and postintervention survey evaluation was used as the design of
this study. SETTING: The study was conducted at Mayo Medical School and Mayo
Clinic. PARTICIPANTS: The participants in this study are first- and second-year
medical students. INTERVENTIONS: A 1-week introductory anesthesiology curriculum
was developed to include didactic sessions, shadowing experiences, lunch and
dinner panels, mentorship and networking opportunities, and procedural workshops
in airway management, ultrasound, and vascular access techniques. MEASUREMENTS:
Preselective and postselective surveys using a 10-point scale (1, strongly
disagree; 10, strongly agree) were administered 1 week before and after the
selective. MAIN RESULTS: A total of 8 students participated in the selective,
with a 100% survey response rate. Students reported significant increases for all
survey questions regarding basic concepts and skills. The largest increases were
reported in comfort with airway management skills, understanding of the
perioperative surgical home model, and vascular access skills. All participants
indicated a higher likelihood of pursuing anesthesiology as a career and
attributed their increased interest in anesthesiology to the selective.
CONCLUSIONS: This new selective was successful in giving first- and second-year
medical students a comprehensive overview of anesthesiology and increasing
medical student interest in the specialty. The success of this selective leads to
promising belief that similar peer-designed educational experiences can be
developed at other medical schools to improve education and interest in this area
of medicine.
PMID- 27185705
TI - Transversus abdominis plane block as the primary anesthetic for peritoneal
dialysis catheter surgery.
AB - STUDY OBJECTIVE: The primary goal of this study was to determine whether
transversus abdominis plane (TAP) blocks were effective as the primary anesthetic
technique for insertion and/or removal of peritoneal dialysis catheters. DESIGN:
This study is a descriptive case series investigation. SETTING: Operating rooms
at a tertiary care academic medical center. PATIENTS: Twenty-four patients,
American Society of Anesthesiologists (ASA) physical status 3 and 4, were
included in this study. INTERVENTIONS: Patients who had received a TAP block
preoperatively for open surgical insertion or removal of a peritoneal dialysis
catheter over a 26-month period with the intent of the block to serve as the
primary anesthetic were included in this study. MEASUREMENTS: Preoperative and
intraoperative sedative medications and local anesthetic medications were
analyzed. The primary outcome of the study was the ability of the TAP block to
provide surgical anesthesia as determined by a lack of need to convert to general
anesthesia (defined by placement of an airway device, use of volatile
anesthetics, intraoperative propofol infusion dose equal to or greater than 100
MUg kg(-1) min(-1)). Secondary outcomes included analysis of any complications
from the higher concentrations of local anesthetics required for surgical block.
MANI RESULTS: Of 24 patients, 21 underwent the procedure without conversion to
general anesthesia as defined above. No complications related to local
anesthetics were found. CONCLUSION: Transversus abdominis plane blockade can be
successful at serving as the primary anesthetic modality for the insertion and/or
removal of a peritoneal dialysis catheter by open-surgical approach. There were
no systemic toxic effects or other complications recorded.
PMID- 27185706
TI - Airway protection using a modified nasopharyngeal tube after orthognathic
surgery.
PMID- 27185707
TI - A universal Echosupport can fix a technique of ultrasound-guided continuous
femoral nerve block.
PMID- 27185708
TI - Perforation of the superior vena cava 5 days after insertion of a central venous
catheter through the left internal jugular vein.
AB - We describe a very rare case of an indwelling central venous catheter (CVC)
through the left internal jugular vein that perforated the superior vena cava
(SVC) wall postoperatively, although the CVC was placed in the appropriate
position preoperatively. Three days after CVC insertion, a chest radiograph
showed that the CVC tip had moved from the lower SVC to the upper SVC. Five days
after the insertion, computed tomography showed SVC perforation and the resulting
hydrothorax. In cases of CVC insertion through the left side, the CVC tip should
not be placed in the upper SVC (zone B). Considering individual clinical factors
and the indwelling period for the CVC, the left innominate vein (zone C) may be a
suitable site for the left-sided CVC tip to reduce the risk of SVC perforation.
PMID- 27185710
TI - Pectoral nerves block for a contraction of the latissimus dorsi muscle.
PMID- 27185709
TI - Multiple anesthetics for a patient with stiff-person syndrome.
AB - Stiff-person syndrome is a progressive disease of muscle rigidity and spasticity
due to a deficiency in the production of gamma-aminobutyric acid. Because of the
rarity of the condition, little is known about effects of anesthesia on patients
with stiff-person syndrome. This report describes the clinical course for a
single patient with stiff-person syndrome who received general anesthesia on 3
separate occasions. Her anesthetics included use of both neuromuscular blockade
and volatile agents. Unlike several previous reports regarding anesthesia and
stiff-person syndrome, the postoperative period for this patient did not require
prolonged intubation or result in any residual weakness.
PMID- 27185711
TI - Need for a new evaluation scale for the efficacy of ventilation with supraglottic
devices.
PMID- 27185712
TI - The use of inhaled sevoflurane during operative hysteroscopy is associated with
increased glycine absorption compared to intravenous propofol for maintenance of
anesthesia.
AB - STUDY OBJECTIVE: To compare the effects of anesthesia maintenance drugs (inhaled
sevoflurane versus intravenous propofol) used in general anesthesia on the
absorption of glycine 1.5% solution during hysteroscopy. DESIGN: Prospective
comparative study. SETTING: Tertiary care university hospital. PATIENTS: One
hundred fifteen women undergoing hysteroscopy. INTERVENTIONS: Women were assigned
to receive general anesthesia with inhaled sevoflurane (n = 77) or intravenous
propofol (n = 38) to maintain anesthesia. MEASUREMENTS: The primary endpoint was
clinically relevant glycine 1.5% absorption (>1000 mL), while secondary endpoints
were the median of glycine absorption, operative time, complications and the
incidence of discontinuation of the hysteroscopic procedure due to excessive
glycine 1.5% absorption. MAIN RESULTS: Maintenance with sevoflurane produced
significantly increased absorption of glycine 1.5% solution compared to
intravenous anesthesia (264 vs 202 mL, P = .007). Clinically relevant absorption
rates (>1000 mL) were observed in the sevoflurane group (P = .04) while none of
the women receiving intravenous anesthesia reached this absorption level. No
cases of severe post-operative hyponatremia (Na(1+)<125 mmol/L) or adverse events
derived from glycine 1.5% absorption were reported. No major complications (such
as perforations, severe hemorrhage or infection) were presented during the
interventions. CONCLUSIONS: The results of the present study show that the use of
inhaled sevoflurane is associated with significantly increased glycine 1.5%
absorption compared to intravenous propofol for the maintenance of anesthesia.
However, further randomized controlled trials are needed to assess the possible
mechanisms and risk factors involved in the higher absorption induced by
sevoflurane.
PMID- 27185713
TI - Dexmedetomidine suppresses intractable hiccup during anesthesia for cochlear
implantation.
AB - We report on the successful use of dexmedetomidine to treat persistent
intractable hiccup in a child who underwent cochlear implantation under
sevoflurane-fentanyl anesthesia.
PMID- 27185714
TI - A rare combination of undiagnosed hypertrophic cardiomyopathy revealed by
intraoperative anaphylaxis resulting in acute left ventricular outflow
obstruction and cardiac arrest.
AB - A 75-year-old female presented for left total hip reimplantation and suffered
pulseless electrical activity arrest upon lateral positioning and administering
vancomycin. Resuscitation was achieved according to Advanced Cardiac Life Support
protocol. Post-event echocardiography showed hypertrophic cardiomyopathy with
asymmetrical septal thickening, an under-filled left ventricle, dynamic left
ventricular outflow obstruction, and severe mitral regurgitation related to
systolic anterior motion of the mitral valve. Laboratory analysis showed a
tryptase level of 209 ng/mL. After multispecialty evaluation, it was concluded
that the patient's arrest was due to vancomycin anaphylaxis in the setting of
previously undiagnosed hypertrophic cardiomyopathy leading to acute left
ventricular outflow tract obstruction. After medical optimization of the
patient's cardiomyopathy and an evaluation of potential intraoperative allergic
triggers, the patient underwent a successful hip reimplantation without incident.
This case presents a novel combination of events leading to intraoperative
cardiac arrest. Rapid identification and an understanding of the cause(s) of
cardiac arrest in this setting are critical for effective perioperative care.
PMID- 27185716
TI - Electroconvulsive therapy for depression following acute coronary syndromes: a
concern for the anesthesiologist.
AB - The prevalence of depression in patients with cardiovascular disease is higher
than general population and especially following an acute coronary syndrome
(ACS), a significant number of patients report a wide spectrum of behavioral and
mood changes attributable to clinical depression. Treatment of depression
following ACS event is particularly challenging since most of the therapeutic
modalities are associated with increasing the systemic sympathetic tone from
neurogenic or pharmacologic sources. Increased activity of the adrenergic and
catecholamine activity may further deter the myocardial oxygen supply and demand
therefore treating depression should be carefully evaluated for its risk benefit
ratio. Electroconvulsive therapy (ECT) is recommended for patients with severe
depression, in whom behavioral and pharmacologic treatments have failed. Patients
who refuse to take medications or present with any psychological emergency such
as harming self or others, are also candidates for ECT. ECT is also associated
with sudden surges of catecholamines and may cause recurrent myocardial ischemia
and fatal dysrhythmias in patients convalescing from an ACS event. Herein, we
provide an overview and practical guidelines for management of patients presented
for ECT following ACS.
PMID- 27185715
TI - The Easytube for airway management: a systematic review of clinical and
simulation studies.
AB - STUDY OBJECTIVE: Endotracheal intubation is considered the criterion-standard
technique for securing the airway. Supraglottic airway devices (SADs) represent a
major advance in airway management and are recommended by the guidelines in
difficult situations such as Advanced Life Support and "cannot ventilate-cannot
intubate" scenarios. The Easytube (EzT) is an SAD introduced a decade ago but not
included yet in the above guidelines. DESIGN: Systematic review of MEDLINE and
EMBASE according to PRISMA guidelines available up to January 12, 2016. SETTING:
We collected experimental and clinical evidence regarding EzT positioning
performed by medial students, anesthesiologists, paramedics, or nurses. PATIENTS:
Manikins, cadavers, or patients. INTERVENTIONS: EzT positioning in both clinical
and simulation studies, both under standard and under difficult scenarios.
MEASUREMENTS: Time to insertion and time to ventilation, success rate and
operator's assessment of the device, change in ventilatory parameters, and major
complications. MAIN RESULTS: Fifteen manuscripts were found: 6 prospective
clinical studies and 9 conducted under experimental conditions (7 with a
simulator and 2 on cadavers). The EzT inserted by both inexperienced and
experienced personnel in most studies had high success rate, and it showed
excellent results also during simulated cardiopulmonary resuscitation and in
difficult airway scenarios. The EzT had better ventilatory parameters as compared
with the Combitube and showed great airway sealing capacity, comparable to the
Combitube and to the laryngeal mask airway and superior to other SADs. EzT
allowed the insertion of large nasogastric tubes and has only mild adverse
effects like other SADs. No major complications were described. CONCLUSION: The
EzT appears to be a safe and a good alternative to established SADs. It may be
considered among SADs by future guidelines on Advanced Life Support and "cannot
ventilate-cannot intubate" scenarios.
PMID- 27185717
TI - Use of a videolaryngoscope with a tube guide for metal stent placement to
subglottic tracheal tumor.
PMID- 27185718
TI - Do mask aperture bars of extraglottic airway devices prevent prolapse of
epiglottis causing airway obstruction? A randomized crossover trial in
anesthetized adult patients.
AB - STUDY OBJECTIVE: The study objective is to determine whether extraglottic airway
devices (EADs) with or without mask aperture bars (MABs) result in similar
anatomical positions in patients undergoing surgery. DESIGN: Prospective,
randomized, crossover comparison of four extraglottic airway devices. SETTING:
Operating theatre at a large teaching hospital. PATIENTS: Eighty consenting
patients scheduled to undergo surgery with general anesthesia. INTERVENTIONS:
Patients were randomly allocated to receive anesthesia with one of four tested
EADs. Two versions of each EAD were inserted in random order; one with and one
without MABs. MEASUREMENTS AND MAIN RESULTS: Endoscopic evaluation did not
demonstrate any difference between the EADs with or without MABs. Contact between
MABs and arytenoids (n=15) and herniation of arytenoids (n=7) was restricted to
the Cobra-group patients. In nine patients the epiglottis made contact with a
MAB, although this contact was very limited and often unilateral. CONCLUSION:
This study demonstrated that the anatomical position of the four tested single
use EADs is similar with or without mask aperture bars. We therefore question
whether MABs have a protective role in prevention of airway occlusion and whether
MABs are essential components. In the overall majority of EADs with MABs, the
latter did not prevent contact with the epiglottis. Contact and herniation of the
laryngeal structures are seen more frequently when more than two MABs are
present.
PMID- 27185719
TI - National incidences and predictors of inefficiencies in perioperative care.
AB - STUDY OBJECTIVE: The operating room suite can be one of the most costly units
within the hospital. Some of these costs stem from postoperative unplanned
admissions, case cancellations, case delays, and extended recovery room times.
The objective is to determine the clinical predictors of these operating room
inefficiencies. DESIGN: Retrospective data analysis. SETTING: Operating room,
postoperative recovery area. PATIENTS: Surgical patients whose perioperative data
were reported to the Anesthesia Quality Institute's National Anesthesia Clinical
Outcomes Registry from 2010 to 2015. INTERVENTIONS: We identified all cases that
reported unplanned admissions, case cancellations, case delays, and extended
recovery room times. MEASUREMENTS: Patient demographics, intraoperative
characteristics, and provider information were collected for each case.
Univariate and multivariate logistic regressions were fitted to determine if
these various characteristics were associated with the outcomes of interest. MAIN
RESULTS: The incidence of unplanned admissions (0.18%), case cancellations
(0.05%), extended recovery room stays (1.12%), and case delays (14.43%) were
reported. A positive predictor for unplanned admissions included elderly patients
(odds ratio [OR], 1.34; 95% confidence interval [CI], 1.16-1.48), whereas cases
not performed under general anesthesia had lower rates (P<.001). For case
cancellations, higher American Society of Anesthesiologists classes had the
highest risk (OR, 2.17; 95% CI, 1.81-2.60). Longer cases and elderly patients are
the main predictors for extended postanesthetic care unit stays among all
surgeries (OR, 1.54; 95% CI, 1.47-1.62; OR, 1.42; 95% CI, 1.34-1.50,
respectively). Pediatric patients and monitored anesthetic care cases had highest
odds for case delays (OR, 3.02; 95% CI, 2.93-3.11; OR, 4.98; 95% CI, 4.88-5.07,
respectively). CONCLUSIONS: This study reports the national incidence and various
clinical predictors for these 4 operating room metrics. This can serve as both a
resource for operating room managers to compare their practice to national trends
and a tool for strategically identifying at-risk surgical cases.
PMID- 27185720
TI - Rett syndrome: a concern for the anesthesiologists.
PMID- 27185721
TI - Cardiovascular safety of hydroxypropyl-beta-cyclodextrin-diclofenac in the
management of acute postsurgical pain: a pooled analysis of 2 randomized, double
blind, placebo- and active comparator-controlled phase III clinical trials.
AB - STUDY OBJECTIVE: Long-term use of nonsteroidal anti-inflammatory drugs, including
selective and nonselective cyclooxygenase inhibitors, has been suggested to be
associated with cardiovascular (CV) safety risks. Data are limited regarding CV
risks associated with short-term nonsteroidal anti-inflammatory drug use,
including injectable formulations, although it has been suggested that even a
single dose may increase CV adverse event (AE) risk. The objective of this study
was to examine the CV safety of an injectable diclofenac formulation solubilized
with hydroxypropyl-beta-cyclodextrin (HPbetaCD) when given for <=5days
postoperatively. DESIGN: A pooled analysis of CV AEs from 2 pivotal phase III
clinical trials examining the efficacy and safety of intravenous (IV) HPbetaCD
diclofenac vs placebo and the active comparator ketorolac was conducted. SETTING:
Postoperative, with treatment initiated in the postanesthesia care unit <=6hours
postsurgery. PATIENTS: Overall, 608 abdominal/pelvic and orthopedic surgery
patients met inclusion criteria and received >=1 study medication dose.
INTERVENTIONS: Patients received either HPbetaCD-diclofenac, ketorolac, or
placebo via IV bolus injection every 6hours, for <=5days postsurgery.
MEASUREMENTS: CV AEs, reported by study investigators, were evaluated through the
treatment period and follow-up (<=37days after last study medication dose), and
relative CV AE risks were estimated. MAIN RESULTS: IV HPbetaCD-diclofenac was not
associated with increased treatment-emergent CV AE incidence vs placebo (11.6% vs
12.2%; relative risk, 0.96 [95% confidence interval, 0.56-1.62]). Serious CV AEs
as well as treatment-related AEs were uncommon, and there were no reports of
myocardial infarction or cerebrovascular accident. CV AEs were uncommon during
the follow-up period, occurring in 1.3%, 0%, and 1.4% of patients in the HPbetaCD
diclofenac, ketorolac, and placebo groups, respectively. CONCLUSIONS: Although a
longer duration follow-up study in a larger patient population would expand our
understanding of potential CV risks, the present analysis suggests that
postoperative use of HPbetaCD-diclofenac does not present an added CV safety risk
over placebo.
PMID- 27185722
TI - Anesthetic management of donor nephrectomy for a recipient with history of
malignant hyperthermia: avoiding a transferred trigger.
AB - We describe a case of living unrelated renal transplantation from a non-malignant
hyperthermia (MH) susceptible donor to an MH-susceptible recipient, along with
its intraoperative and perioperative considerations. The renal transplant
recipient reported a personal history of MH requiring intensive care unit
admission. A nontriggering anesthetic was therefore chosen for the unrelated
donor to avoid possible triggering via the transplanted kidney to the MH
susceptible recipient. This case provides a unique opportunity to review the
concepts of antigen transfer related to transplant anesthesia, as well as the
importance of communication among anesthesia and surgical teams to promote
patient safety.
PMID- 27185723
TI - Use of the new supraglottic device "Ambu AuraGain" in clinical settings.
PMID- 27185724
TI - Asystole during lumbar discectomy: a case report.
AB - Hemodynamic derangements have been reported after surgery involving upper
cervical spine. Similar observations, however, are rare during a lumbar spine
surgery. We share our experience in a patient who had 2 episodes of bradycardia
leading to transient asystole while undergoing lumbar discectomy for prolapsed
intervertebral disc. The risk of life-threatening hemodynamic disturbances during
seemingly uncomplicated surgery in prone position has been emphasized.
PMID- 27185725
TI - The efficacy of labetalol vs dexmedetomidine for attenuation of hemodynamic
stress response to laryngoscopy and endotracheal intubation.
AB - OBJECTIVE: To assess the effectiveness of labetalol vs dexmedetomidine for
attenuation of hemodynamic stress response to laryngoscopy and endotracheal
intubation. DESIGN: Prospective, randomized, controlled, observer-blinded study.
SETTING: This study was carried out in Tanta University Hospital. PATIENTS:
Ninety patients of both sexes; American Society of Anesthesiologists physical
status I and II; age range from 20 to 60 years; scheduled for elective surgery
under general anesthesia. INTERVENTIONS: Patients were divided into 3 groups (30
each). Group A received 1 MUg/kg of dexmedetomidine as intravenous (IV) infusion,
group B received labetalol 0.25mg/kg IV, and group C received 10mL saline IV.
MEASUREMENTS: The groups were compared for heart rate (HR), mean arterial
pressure (MAP), and rate pressure product (RPP). Hemodynamic parameters were
recorded during the preinduction; after induction; at intubation; and at 1, 3, 5,
10, and 15minutes. The primary outcomes were hemodynamic changes (HR, MBP, and
RPP), and the secondary outcome was propofol dose requirement for induction of
general anaesthesia. RESULTS: Significant decrease (P < .05) in HR, MBP, and RPP
in groups A and B in comparison with group C and in group A in comparison with
group B. Just before intubation, there was a significant decrease (P < .05) in
HR, MBP, and RPP in groups A and B in comparison with group C. In group C, there
was a significant increase in HR, MBP, and RPP at all points when compared with
the baseline. In group A, the mean propofol induction dose (mg) was statistically
significantly low as compared with that in groups B and C. CONCLUSION:
Dexmedetomidine attenuates the hemodynamic stress response to laryngoscopy and
intubation more effectively compared with labetalol without any deleterious
effects. Furthermore, dexmedetomidine decreases dose of propofol for induction of
anesthesia as guided by bispectral index.
PMID- 27185726
TI - Bone cement implantation syndrome: a delayed postoperative presentation.
AB - Bone cement implantation syndrome (BCIS) is a well-known entity but is poorly
understood and rarely reported. It is an important cause of perioperative
morbidity and mortality in the patient undergoing cemented hip arthroplasty. BCIS
is characterized by hypotension, hypoxia, cardiac arrhythmias, and increased
pulmonary vascular resistance and can lead to eventual cardiac arrest if not
managed properly. We hereby report a case of delayed presentation of BCIS
following cemented right hip arthroplasty.
PMID- 27185727
TI - Preanesthetic evaluation of a patient with a deep brain stimulator: a practical
guide and checklist for patient safety.
AB - As the patient population with deep brain stimulators grows, medical personnel
need to be comfortable managing these patients because they will likely encounter
them in practice. Caring for a patient with a deep brain stimulator during
surgery or a procedure requires technical knowledge of the device and its
possible interactions in order to take the correct precautionary measures. Here
we discuss the key issues and questions that should be covered in every
preanesthetic evaluation visit of a patient with a deep brain stimulator along
with an evaluation checklist.
PMID- 27185728
TI - Inclusion body myositis and anesthesia: a case series.
AB - STUDY OBJECTIVE: Inclusion body myositis is a painless inflammatory myopathy
affecting older adults. It manifests as progressive muscle atrophy and weakness,
typically affecting proximal lower extremity muscles initially but insidiously
progressing to affect other muscles, including bulbar (oropharyngeal) muscles and
the diaphragm, and leading to dysphagia and respiratory insufficiency. This study
reviews the perioperative outcomes of patients with inclusion body myositis who
received general anesthesia. DESIGN: Observational retrospective study. SETTING:
Academic tertiary referral center. PATIENTS: Patients with inclusion body
myositis from October 1, 2009, to September 30, 2015, undergoing procedures
requiring general anesthesia. INTERVENTIONS: Perioperative health records were
reviewed. MEASUREMENTS: Perioperative outcomes and complications were assessed,
with emphasis on respiratory complications and unexpected reactions to
succinylcholine and nondepolarizing neuromuscular blocking drugs. MAIN RESULTS:
Sixteen patients with inclusion body myositis underwent 18 procedures requiring
general anesthesia. Succinylcholine was used during induction in 6 cases (33.3%)
and nondepolarizing neuromuscular blocking drugs in 11 cases (61.1%). For 13
patients (72.2%), the trachea was extubated at the end of surgery, and none had
postoperative respiratory complications. The 5 patients who continued to have
tracheal intubation were expected to require continuous mechanical ventilation
postoperatively. Three patients died within 30 days of surgery. One patient
underwent a tracheostomy for planned long-term mechanical ventilation but life
support was withdrawn after 22 days, and 2 severely deconditioned patients died
at 11 and 15 days following general anesthesia for endoscopic procedures.
CONCLUSIONS: Our patients with inclusion body myositis had uneventful
perioperative outcomes following general anesthesia with depolarizing and
nondepolarizing muscle relaxants. The small patient cohort in our series
precludes a definitive conclusion regarding the safety of anesthetic agents in
this patient population.
PMID- 27185729
TI - One-lung ventilation in tracheostomized patients: our experience with EZ-Blocker.
PMID- 27185730
TI - Gastric tube-guided insertion of laryngeal tube suction.
PMID- 27185731
TI - Gestational hypertension and chronic hypertension on the risk of diabetes among
gestational diabetes women.
AB - AIMS: We aimed to examine the association of gestational hypertension and chronic
hypertension at the inter-conception examination with type 2 diabetes risk among
women with a history of gestational diabetes. METHODS: We conducted a population
based study among 1261 women who had a history of gestational diabetes at 1
5years after delivery in Tianjin, China. Logistic regression or Cox regression
was used to assess the associations of gestational hypertension and chronic
hypertension at the inter-conception examination with pre-diabetes and type 2
diabetes risks. RESULTS: Gestational diabetic women who had a history of
gestational hypertension but did not use antihypertensive drugs during pregnancy
had a 3.94-fold higher risk (95% CI: 1.94-8.02) of developing type 2 diabetes
compared with those who were normotensive in index pregnancy. Compared with
gestational diabetic women who had normal blood pressure at the inter-conception
examination, hypertensive women at the inter-conception examination were 3.38
times (95% CI: 1.66-6.87) and 2.97 times (95% CI: 1.75-5.05) more likely to
develop diabetes and prediabetes, respectively. The odds ratios of type 2
diabetes and prediabetes associated with each 5mmHg increase in systolic blood
pressure were 1.25 (95% CI: 1.03-1.51) and 1.20 (95% CI: 1.06-1.35). Each 5mmHg
increase in diastolic blood pressure contributed to a 1.49-fold higher risk (95%
CI: 1.18-1.88) for type 2 diabetes and a 1.42-fold higher risk (95% CI: 1.22
1.65) for prediabetes. CONCLUSIONS: For women with prior gestational diabetes,
gestational hypertension and chronic hypertension at the inter-conception
examination were risk factors for type 2 diabetes.
PMID- 27185733
TI - Fatal Neonatal Peritoneal Candidiasis Mimicking Mucormycosis-A Case Report and
Review of Literature.
AB - Candida species have been implicated as significant contributors to morbidity in
the neonatal period and are associated with 25-50% of mortality in invasive
neonatal candidiasis. Peritoneal candidiasis, being paucisymptomatic, cannot
often be correctly identified in a preterm neonate. The correct approach to
diagnosis of neonatal peritoneal candidiasis is taking into account the
epidemiology along with a strong clinical suspicion and appropriate timely
diagnostic interventions. We report a case of fatal neonatal peritoneal
candidiasis which was misdiagnosed as mucormycosis.
PMID- 27185732
TI - The Drosophila HNF4 nuclear receptor promotes glucose-stimulated insulin
secretion and mitochondrial function in adults.
AB - Although mutations in HNF4A were identified as the cause of Maturity Onset
Diabetes of the Young 1 (MODY1) two decades ago, the mechanisms by which this
nuclear receptor regulates glucose homeostasis remain unclear. Here we report
that loss of Drosophila HNF4 recapitulates hallmark symptoms of MODY1, including
adult-onset hyperglycemia, glucose intolerance and impaired glucose-stimulated
insulin secretion (GSIS). These defects are linked to a role for dHNF4 in
promoting mitochondrial function as well as the expression of Hex-C, a homolog of
the MODY2 gene Glucokinase. dHNF4 is required in the fat body and insulin
producing cells to maintain glucose homeostasis by supporting a developmental
switch toward oxidative phosphorylation and GSIS at the transition to adulthood.
These findings establish an animal model for MODY1 and define a developmental
reprogramming of metabolism to support the energetic needs of the mature animal.
PMID- 27185736
TI - Memory-enhancing effects of Cuscuta japonica Choisy via enhancement of adult
hippocampal neurogenesis in mice.
AB - It is generally accepted that functional and structural changes within the
hippocampus are involved in learning and memory and that adult neurogenesis in
this region may modulate cognition. The extract of Cuscuta japonica Choisy (CJ)
is a well-known traditional Chinese herbal medicine that has been used since
ancient times as a rejuvenation remedy. The systemic effects of this herb are
widely known and can be applied for the treatment of a number of physiological
diseases, but there is a lack of evidence describing its effects on brain
function. Thus, the present study investigated whether CJ would enhance memory
function and/or increase hippocampal neurogenesis using mice orally administered
with CJ water extract or vehicle for 21days. Performance on the novel object
recognition and passive avoidance tests revealed that treatment with CJ dose
dependently improved the cognitive function of mice. Additionally, CJ increased
the Ki-67-positive proliferating cells and the number of doublecortin-stained
neuroblasts in the dentate gyrus (DG) of the hippocampus, and double labeling
with 5-bromo-2-deoxyuridine and neuronal specific nuclear protein showed that CJ
increased the number of mature neurons in the DG. Finally, CJ resulted in the
upregulated expression of neurogenic differentiation factor, which is essential
for the maturation and differentiation of granule cells in the hippocampus. Taken
together, the present findings indicate that CJ stimulated neuronal cell
proliferation, differentiation, and maturation, which are all processes
associated with neurogenesis. Additionally, these findings suggest that CJ may
improve learning and memory via the enhancement of adult hippocampal
neurogenesis.
PMID- 27185735
TI - Is there an association between hypercholesterolemia and depression? Behavioral
evidence from the LDLr(-/-) mouse experimental model.
AB - Although epidemiological studies have reported an association between
hypercholesterolemia and mood disorders, there is a lack of data regarding
depressive-like behavior in animal models of hypercholesterolemia. To address
these questions, we assessed depressive-like behavior and hippocampal and
cortical monoaminergic metabolism in three-month-old, low-density lipoprotein
receptor knockout (LDLr(-/-)) and C57BL/6 wild-type mice. The LDLr(-/-) mice
exhibited depressive-like behavior in the sucrose preference test, splash test,
and tail suspension test. Increased monoamine oxidase (MAO) A and B activity was
evidenced in the hippocampus of LDLr(-/-) mice. Furthermore, to address whether
or not cholesterol modulates MAO activity, we exposed SH-SY5Y human neuroblastoma
cells to human isolated low-density lipoprotein (LDL). Notably, LDL increased the
activity of MAO-A and stimulated the reactive species generation in vitro. These
findings indicate that depressive-like behavior in hypercholesterolemic mice is
accompanied by alterations in the monoaminergic metabolism, providing new
evidence about the association between hypercholesterolemia and depression.
PMID- 27185737
TI - The optimal timing of stimulation to induce long-lasting positive effects on
episodic memory in physiological aging.
AB - Episodic memory displays the largest degree of age-related decline. A noninvasive
brain stimulation technique that can be used to modulate memory in physiological
aging is transcranial Direct Current Stimulation (tDCS). However, an aspect that
has not been adequately investigated in previous studies is the optimal timing of
stimulation to induce long-lasting positive effects on episodic memory function.
Our previous studies showed episodic memory enhancement in older adults when
anodal tDCS was applied over the left lateral prefrontal cortex during encoding
or after memory consolidation with or without a contextual reminder. Here we
directly compared the two studies to explore which of the tDCS protocols would
induce longer-lasting positive effects on episodic memory function in older
adults. In addition, we aimed to determine whether subjective memory complaints
would be related to the changes in memory performance (forgetting) induced by
tDCS, a relevant issue in aging research since individuals with subjective memory
complaints seem to be at higher risk of later memory decline. The results showed
that anodal tDCS applied after consolidation with a contextual reminder induced
longer-lasting positive effects on episodic memory, conceivably through
reconsolidation, than anodal tDCS during encoding. Furthermore, we reported,
providing new data, a moderate negative correlation between subjective memory
complaints and forgetting when anodal tDCS was applied after consolidation with a
contextual reminder. This study sheds light on the best-suited timing of
stimulation to induce long-lasting positive effects on memory function and might
help the clinicians to select the most effective tDCS protocol to prevent memory
decline.
PMID- 27185738
TI - Differential response to anodal tDCS and PAS is indicative of impaired focal LTP
like plasticity in schizophrenia.
AB - Increasing evidence suggests that neural plasticity impairments, observed in
schizophrenia patients, are driven by dysfunctional integration of neural
signaling. However, what is less clear is whether this impairment is resultant
from a general deficit in plastic induction or whether a specific plastic
mechanism is affected. In the current study we aimed to assess whether
schizophrenia has a selective impact on focal or non-focal plasticity induction.
To pursue this goal we utilized two non-invasive stimulation techniques that
differ in the mechanism of long-term potentiation (LTP)-like plasticity
induction: focal paired associative stimulation (PAS) and non-focal anodal
transcranial direct current stimulation (a-tDCS). 20 schizophrenia patients and
20 matched healthy controls received PAS and a-tDCS in two separate sessions.
Cortical excitability and cortical plasticity were assessed by transcranial
magnetic stimulation (TMS)-elicited motor evoked potentials (MEP). In both study
groups, non-focal a-tDCS resulted in a significant increase of mean MEP magnitude
indicating the successful induction of non-focal LTP-like plasticity. In
contrast, an increase in mean MEP magnitude following PAS was only observed in
the control group, suggesting impaired focal LTP-like plasticity in
schizophrenia. Additionally, we observed significantly impaired short-latency
intracortical inhibition (SICI) in schizophrenia. This is the first study to
comparatively evaluate non-focal and focal plasticity mechanisms in schizophrenia
patients. The differential patterns of LTP-like plasticity responses indicate
that reduced plasticity in schizophrenia could be ascribed to impairments in
spatially and temporally restricted signal integration. This impairment, coupled
with an observed reduction of inhibitory circuit efficacy, might further
contribute to impairments in coordinating focal signals.
PMID- 27185734
TI - Molecular and cellular pathophysiology of preclinical Alzheimer's disease.
AB - Although the two pathological hallmarks of Alzheimer's disease (AD), senile
plaques composed of amyloid-beta (Abeta) peptides and neurofibrillary tangles
(NFTs) consisting of hyperphosphorylated tau, have been studied extensively in
postmortem AD and relevant animal and cellular models, the pathogenesis of AD
remains unknown, particularly in the early stages of the disease where therapies
presumably would be most effective. We and others have demonstrated that Abeta
plaques and NFTs are present in varying degrees before the onset and throughout
the progression of dementia. In this regard, aged people with no cognitive
impairment (NCI), mild cognitive impairment (MCI, a presumed prodromal AD
transitional state, and AD all present at autopsy with varying levels of
pathological hallmarks. Cognitive decline, a requisite for the clinical diagnosis
of dementia associated with AD, generally correlates better with NFTs than Abeta
plaques. However, correlations are even higher between cognitive decline and
synaptic loss. In this review, we illustrate relevant clinical pathological
research in preclinical AD and throughout the progression of dementia in several
areas including Abeta and tau pathobiology, single population expression
profiling of vulnerable hippocampal and basal forebrain neurons, neuroplasticity,
neuroimaging, cerebrospinal fluid (CSF) biomarker studies and their correlation
with antemortem cognitive endpoints. In each of these areas, we provide evidence
for the importance of studying the pathological hallmarks of AD not in isolation,
but rather in conjunction with other molecular, cellular, and imaging markers to
provide a more systematic and comprehensive assessment of the multiple changes
that occur during the transition from NCI to MCI to frank AD.
PMID- 27185740
TI - Kinematic Effects of Nickel-Titanium Instruments with Reciprocating or Continuous
Rotation Motion: A Systematic Review of In Vitro Studies.
AB - INTRODUCTION: This review aimed to compare the kinematic effect of nickel
titanium instruments with reciprocating and continuous rotation motion for cyclic
fatigue resistance, shaping ability, apical debris extrusion, and dentinal
defects or cracks. METHOD: Articles were selected for inclusion in this review if
they fulfilled all of the following criteria: described in vitro studies
performed on either extracted human teeth or an artificial canal model, assessed
both reciprocating and rotary instruments, compared reciprocating files and
rotary files for the kinematics of files, and evaluated reciprocating and rotary
files regarding the aim of this study. The electronic search was undertaken in
MEDLINE, Cochrane database, and manual searches, including journals, reference
lists, and other reviews. RESULTS: Twelve studies were chosen for cyclic fatigue,
19 studies for shaping ability, 14 studies for apical debris extrusion, and 13
studies for dentinal defects or cracks. Most of the studies showed that
reciprocating motion had a higher resistance to cyclic fatigue. Nine studies from
the shaping studies reported less canal transportation by using the reciprocating
motion than the continuous rotation. The reciprocating instruments tended to
extrude more dentin debris than the continuous rotating instruments, but many of
the studies showed conflicting results. In addition, 2 studies from the defects
or cracks studies claimed the reciprocating motion produced more dentinal defects
than the continuous rotating motion. CONCLUSIONS: Instruments with reciprocating
motion seemed to have better resistance to cyclic fatigue with less canal
transportation tendency than the instruments with continuous rotating motion.
PMID- 27185739
TI - Acute alcohol exposure during neurulation: Behavioral and brain structural
consequences in adolescent C57BL/6J mice.
AB - Prenatal alcohol exposure (PAE) can induce physical malformations and behavioral
abnormalities that depend in part on thedevelopmental timing of alcohol exposure.
The current studies employed a mouse FASD model to characterize the long-term
behavioral and brain structural consequences of a binge-like alcohol exposure
during neurulation; a first-trimester stage when women are typically unaware that
they are pregnant. Time-mated C57BL/6J female mice were administered two alcohol
doses (2.8g/kg, four hours apart) or vehicle starting at gestational day 8.0.
Male and female adolescent offspring (postnatal day 28-45) were then examined for
motor activity (open field and elevated plus maze), coordination (rotarod),
spatial learning and memory (Morris water maze), sensory motor gating (acoustic
startle and prepulse inhibition), sociability (three-chambered social test), and
nociceptive responses (hot plate). Regional brain volumes and shapes were
determined using magnetic resonance imaging. In males, PAE increased activity on
the elevated plus maze and reduced social novelty preference, while in females
PAE increased exploratory behavior in the open field and transiently impaired
rotarod performance. In both males and females, PAE modestly impaired Morris
water maze performance and decreased the latency to respond on the hot plate.
There were no brain volume differences; however, significant shape differences
were found in the cerebellum, hypothalamus, striatum, and corpus callosum. These
results demonstrate that alcohol exposure during neurulation can have functional
consequences into adolescence, even in the absence of significant brain regional
volumetric changes. However, PAE-induced regional shape changes provide evidence
for persistent brain alterations and suggest alternative clinical diagnostic
markers.
PMID- 27185742
TI - Effects of applied potential and the initial gap between electrodes on localized
electrochemical deposition of micrometer copper columns.
AB - Micrometer copper columns were fabricated via a technology named localized
electrochemical deposition (LECD). This paper studies the effects of applied
potential and the initial gap between electrodes on the LECD process. The surface
and cross sectional morphologies, as well as the average deposition rate were
investigated to evaluate the quality of the deposited copper columns. Results
demonstrated that the copper columns tended to be cylinder-shape with few voids
inside at lower potential (<2.4 V). Whereas,the copper columns tended to be
dendriform-shape with lots of voids inside at larger potential (>2.8 V). The
average deposition rate increased with the raise of potential. In addition, the
copper columns tended to be cylinder-shape with the initial gap between
electrodes to be 10 MUm or below. However, the copper columns tended to be cone
shape when the initial gap between electrodes became larger (35 MUm or above).
The number of voids inside the copper column and the average deposition rate both
decreased with the increase of the initial gap. Moreover, the process of LECD
under varied electric field has also been simulated using COMSOL software, and
the formation of cylindrical and conical copper columns was further explained
based on the electric field distribution at the cathode.
PMID- 27185743
TI - Reply.
PMID- 27185741
TI - Elizabethkingia anophelis bacteremia is associated with clinically significant
infections and high mortality.
AB - Unlike Elizabethkingia meningoseptica, the clinical importance of E. anophelis is
poorly understood. We determined the clinical and molecular epidemiology of
bacteremia caused by Elizabethkingia-like species from five regional hospitals in
Hong Kong. Among 45 episodes of Elizabethkingia-like bacteremia, 21 were caused
by Elizabethkingia, including 17 E. anophelis, three E. meningoseptica and one E.
miricola; while 24 were caused by other diverse genera/species, as determined by
16S rRNA gene sequencing. Of the 17 cases of E. anophelis bacteremia, 15 (88%)
were clinically significant. The most common diagnosis was pneumonia (n = 5),
followed by catheter-related bacteremia (n = 4), neonatal meningitis (n = 3),
nosocomial bacteremia (n = 2) and neutropenic fever (n = 1). E. anophelis
bacteremia was commonly associated with complications and carried 23.5%
mortality. In contrast, of the 24 episodes of bacteremia due to non
Elizabethkingia species, 16 (67%) were clinically insignificant. Compared to non
Elizabethkingia bacteremia, Elizabethkingia bacteremia was associated with more
clinically significant infections (P < 0.01) and positive cultures from other
sites (P < 0.01), less polymicrobial bacteremia (P < 0.01), and higher
complication (P < 0.05) and mortality (P < 0.05) rates. Elizabethkingia
bacteremia is predominantly caused by E. anophelis instead of E. meningoseptica.
Elizabethkingia bacteremia, especially due to E. anophelis, carries significant
morbidity and mortality, and should be considered clinically significant unless
proven otherwise.
PMID- 27185744
TI - FRET-Protease-Coupled Peptidyl-Prolyl cis-trans Isomerase Assay: New Internally
Quenched Fluorogenic Substrates for High-Throughput Screening.
AB - In this work, a sensitive and convenient protease-based fluorimetric high
throughput screening (HTS) assay for determining peptidyl-prolyl cis-trans
isomerase activity was developed. The assay was based on a new intramolecularly
quenched substrate, whose fluorescence and structural properties were examined
together with kinetic constants and the effects of solvents on its isomerization
process. Pilot screens performed using the Library of Pharmacologically Active
Compounds (LOPAC) and cyclophilin A (CypA), as isomerase model enzyme, indicated
that the assay was robust for HTS, and that comparable results were obtained with
a CypA inhibitor tested both manually and automatically. Moreover, a new compound
that inhibits CypA activity with an IC50 in the low micromolar range was
identified. Molecular docking studies revealed that the molecule shows a notable
shape complementarity with the catalytic pocket confirming the experimental
observations. Due to its simplicity and precision in the determination of extent
of inhibition and reaction rates required for kinetic analysis, this assay offers
many advantages over other commonly used assays.
PMID- 27185745
TI - Tackling causes and costs of ED presentation for American football injuries: a
population-level study.
AB - BACKGROUND: American tackle football is the most popular high-energy impact sport
in the United States, with approximately 9 million participants competing
annually. Previous epidemiologic studies of football-related injuries have
generally focused on specific geographic areas or pediatric age groups. Our study
sought to examine patient characteristics and outcomes, including hospital
charges, among athletes presenting for emergency department (ED) treatment of
football-related injury across all age groups in a large nationally
representative data set. METHODS: Patients presenting for ED treatment of
injuries sustained playing American tackle football (identified using
International Classification of Diseases, Ninth Revision, Clinical Modification
code E007.0) from 2010 to 2011 were studied in the Nationwide Emergency
Department Sample. Patient-specific injuries were identified using the primary
International Classification of Diseases, Ninth Revision, Clinical Modification
diagnosis code and categorized by type and anatomical region. Standard
descriptive methods examined patient demographics, diagnosis categories, and ED
and inpatient outcomes and charges. RESULTS: During the study period 397363
football players presented for ED treatment, 95.8% of whom were male.
Sprains/strains (25.6%), limb fractures (20.7%), and head injuries (including
traumatic brain injury; 17.5%) represented the most presenting injuries. Overall,
97.9% of patients underwent routine ED discharge with 1.1% admitted directly and
fewer than 11 patients in the 2-year study period dying prior to discharge. The
proportion of admitted patients who required surgical interventions was 15.7%, of
which 89.9% were orthopedic, 4.7% neurologic, and 2.6% abdominal. Among
individuals admitted to inpatient care, mean hospital length of stay was 2.4days
(95% confidence interval, 2.2-2.6) and 95.6% underwent routine discharge home.
The mean total charge for all patients was $1941 (95% confidence interval, $1890
$1992) with substantial injury type-specific variability. Overall, at the US
population, estimated total charges of $771299862 were incurred over the 2-year
period. CONCLUSION: In this nationally representative sample, most ED-treated
injuries associated with football were not acutely life threatening and very few
required major therapeutic intervention. This study provides a cross-sectional
overview of ED presentation for acute football-related injury across age groups
at the population level in recent years. Longitudinal studies may be warranted to
examine associations between the patterns of injury observed in this study and
long-term outcomes among American tackle football players.
PMID- 27185747
TI - Blood Pressure Measurement in the Post-SPRINT Era: A Canadian Perspective.
PMID- 27185746
TI - Masked Hypertension and Incident Clinic Hypertension Among Blacks in the Jackson
Heart Study.
AB - Masked hypertension, defined as nonelevated clinic blood pressure (BP) and
elevated out-of-clinic BP may be an intermediary stage in the progression from
normotension to hypertension. We examined the associations of out-of-clinic BP
and masked hypertension using ambulatory BP monitoring with incident clinic
hypertension in the Jackson Heart Study, a prospective cohort of blacks. Analyses
included 317 participants with clinic BP <140/90 mm Hg, complete ambulatory BP
monitoring, who were not taking antihypertensive medication at baseline in 2000
to 2004. Masked daytime hypertension was defined as mean daytime blood pressure
>=135/85 mm Hg, masked night-time hypertension as mean night-time BP >=120/70 mm
Hg, and masked 24-hour hypertension as mean 24-hour BP >=130/80 mm Hg. Incident
clinic hypertension, assessed at study visits in 2005 to 2008 and 2009 to 2012,
was defined as the first visit with clinic systolic/diastolic BP >=140/90 mm Hg
or antihypertensive medication use. During a median follow-up of 8.1 years, there
were 187 (59.0%) incident cases of clinic hypertension. Clinic hypertension
developed in 79.2% and 42.2% of participants with and without any masked
hypertension, 85.7% and 50.4% with and without masked daytime hypertension, 79.9%
and 43.7% with and without masked night-time hypertension, and 85.7% and 48.2%
with and without masked 24-hour hypertension, respectively. Multivariable
adjusted hazard ratios (95% confidence interval) of incident clinic hypertension
for any masked hypertension and masked daytime, night-time, and 24-hour
hypertension were 2.13 (1.51-3.02), 1.79 (1.24-2.60), 2.22 (1.58-3.12), and 1.91
(1.32-2.75), respectively. These findings suggest that ambulatory BP monitoring
can identify blacks at increased risk for developing clinic hypertension.
PMID- 27185749
TI - What Is the Significance of Masked Hypertension Versus Incident Hypertension in
Blacks?
PMID- 27185750
TI - Mechanisms of Hypertension and Renal Injury During Vascular Endothelial Growth
Factor Signaling Inhibition.
PMID- 27185748
TI - Renal Denervation Improves Exaggerated Sympathoexcitation in Rats With Heart
Failure: A Role for Neuronal Nitric Oxide Synthase in the Paraventricular
Nucleus.
AB - Renal denervation (RDN) has been postulated to reduce sympathetic drive during
heart failure (HF), but the central mechanisms are not completely understood. The
purpose of the present study was to assess the contribution of neuronal nitric
oxide synthase (nNOS) within the paraventricular nucleus (PVN) in modulating
sympathetic outflow in rats with HF that underwent RDN. HF was induced in rats by
ligation of the left coronary artery. Four weeks after surgery, bilateral RDN was
performed. Rats with HF had an increase in FosB-positive cells in the PVN with a
concomitant increase in urinary excretion of norepinephrine, and both of these
parameters were ameliorated after RDN. nNOS-positive cells immunostaining,
diaphorase staining, and nNOS protein expression were significantly decreased in
the PVN of HF rats, findings that were ameliorated by RDN. Microinjection of nNOS
inhibitor N(G)-monomethyl l-arginine into the PVN resulted in a blunted increase
in lumbar sympathetic nerve activity (11+/-2% versus 24+/-2%) in HF than in sham
group. This response was normalized after RDN. Stimulation of afferent renal
nerves produced a greater activation of PVN neurons in rats with HF. Afferent
renal nerve stimulation elicited a greater increase in lumbar sympathetic nerve
activity in rats with HF than in sham rats (45+/-5% versus 22+/-2%). These
results suggest that intact renal nerves contribute to the reduction of nNOS in
the PVN, resulting in the activation of the neurons in the PVN of rats with HF.
RDN restores nNOS and thus attenuates the sympathoexcitation commonly observed in
HF.
PMID- 27185752
TI - Post-Control of Hypertension in Pregnancy Study (CHIPS): What Is the Optimal
Strategy to Manage Hypertension During Pregnancy?
PMID- 27185751
TI - Adipocyte (Pro)Renin-Receptor Deficiency Induces Lipodystrophy, Liver Steatosis
and Increases Blood Pressure in Male Mice.
AB - Adipose tissue dysfunction related to obesity is overwhelmingly associated with
increased risk of developing cardiovascular diseases. In the setting of obesity,
(pro)renin receptor (PRR) is increased in adipose tissue of mice. We sought to
determine the physiological consequences of adipocyte-PRR deficiency using
adiponectin-Cre mice. We report a unique model of adipocyte-PRR-deficient mice
(PRR(Adi/Y)) with almost no detectable white adipose tissues. As a consequence,
the livers of PRR(Adi/Y) mice were enlarged and demonstrated a marked
accumulation of lipids. Adipocyte-specific deficiency of PRR increased systolic
blood pressure and the concentration of soluble PRR in plasma. To determine
whether adipocyte-PRR was involved in the development of obesity-induced
hypertension, mice were fed a low-fat or a high-fat diet for 16 weeks. Adipocyte
PRR-deficient mice were resistant to diet-induced obesity. Both high-fat- and low
fat-fed PRR(Adi/Y) mice had elevated insulin levels. Interestingly, adipocyte-PRR
deficiency improved glucose tolerance in high-fat-fed PRR(Adi/Y) mice. In
response to feeding either low-fat or high-fat diets, systolic blood pressure was
greater in PRR(Adi/Y) mice than in control mice. High-fat feeding elevated
soluble PRR concentration in control and PRR(Adi/Y) mice. In vitro knockdown of
PRR by siRNA significantly decreased mRNA abundance of PPARgamma (peroxisome
proliferator-activated receptor gamma), suggesting an important role for PRR in
adipogenesis. Our data indicate that adipocyte-PRR is involved in lipid
homeostasis and glucose and insulin homeostasis, and that soluble PRR may be a
predictor of metabolic disturbances and play a role in systolic blood pressure
regulation.
PMID- 27185753
TI - WITHDRAWN: Impact of Initial Antibiotic Selection on Adverse Outcomes Among
Patients With Severe Sepsis and Septic Shock.
AB - The Publisher regrets that this article is an accidental duplication of an
article that has already been published in Crit Care Med, 43 (2015) 263,
http://dx.doi.org/10.1097/01.ccm.0000474875.35054.b3. The duplicate article has
therefore been withdrawn. The full Elsevier Policy on Article Withdrawal can be
found at http://www.elsevier.com/locate/withdrawalpolicy.
PMID- 27185755
TI - End coming for misunderstood CMA House.
PMID- 27185754
TI - Biases in detection of apparent "weekend effect" on outcome with administrative
coding data: population based study of stroke.
AB - OBJECTIVES: To determine the accuracy of coding of admissions for stroke on
weekdays versus weekends and any impact on apparent outcome. DESIGN: Prospective
population based stroke incidence study and a scoping review of previous studies
of weekend effects in stroke. SETTING: Primary and secondary care of all
individuals registered with nine general practices in Oxfordshire, United Kingdom
(OXVASC, the Oxford Vascular Study). PARTICIPANTS: All patients with clinically
confirmed acute stroke in OXVASC identified with multiple overlapping methods of
ascertainment in 2002-14 versus all acute stroke admissions identified by
hospital diagnostic and mortality coding alone during the same period. MAIN
OUTCOMES MEASURES: Accuracy of administrative coding data for all patients with
confirmed stroke admitted to hospital in OXVASC. Difference between rates of
"false positive" or "false negative" coding for weekday and weekend admissions.
Impact of inaccurate coding on apparent case fatality at 30 days in weekday
versus weekend admissions. Weekend effects on outcomes in patients with confirmed
stroke admitted to hospital in OXVASC and impacts of other potential biases
compared with those in the scoping review. RESULTS: Among 92 728 study
population, 2373 episodes of acute stroke were ascertained in OXVASC, of which
826 (34.8%) mainly minor events were managed without hospital admission, 60
(2.5%) occurred out of the area or abroad, and 195 (8.2%) occurred in hospital
during an admission for a different reason. Of 1292 local hospital admissions for
acute stroke, 973 (75.3%) were correctly identified by administrative coding.
There was no bias in distribution of weekend versus weekday admission of the 319
strokes missed by coding. Of 1693 admissions for stroke identified by coding,
1055 (62.3%) were confirmed to be acute strokes after case adjudication. Among
the 638 false positive coded cases, patients were more likely to be admitted on
weekdays than at weekends (536 (41.0%) v 102 (26.5%); P<0.001), partly because of
weekday elective admissions after previous stroke being miscoded as new stroke
episodes (267 (49.8%) v 26 (25.5%); P<0.001). The 30 day case fatality after
these elective admissions was lower than after confirmed acute stroke admissions
(11 (3.8%) v 233 (22.1%); P<0.001). Consequently, relative 30 day case fatality
for weekend versus weekday admissions differed (P<0.001) between correctly coded
acute stroke admissions and false positive coding cases. Results were consistent
when only the 1327 emergency cases identified by "admission method" from coding
were included, with more false positive cases with low case fatality (35 (14.7%))
being included for weekday versus weekend admissions (190 (19.5%) v 48 (13.7%),
P<0.02). Among all acute stroke admissions in OXVASC, there was no imbalance in
baseline stroke severity for weekends versus weekdays and no difference in case
fatality at 30 days (adjusted odds ratio 0.85, 95% confidence interval 0.63 to
1.15; P=0.30) or any adverse "weekend effect" on modified Rankin score at 30 days
(0.78, 0.61 to 0.99; P=0.04) or one year (0.76, 0.59 to 0.98; P=0.03) among
incident strokes. CONCLUSION: Retrospective studies of UK administrative
hospital coding data to determine "weekend effects" on outcome in acute medical
conditions, such as stroke, can be undermined by inaccurate coding, which can
introduce biases that cannot be reliably dealt with by adjustment for case mix.
PMID- 27185757
TI - CIHR hikes grants to young researchers.
PMID- 27185756
TI - Multilesional cutaneous leishmaniasis.
PMID- 27185759
TI - Patents, profit and the public good: the caseof a 19th-century artificial limb
manufacturer.
PMID- 27185758
TI - A four-month-old boy with bilateral undescended testes.
PMID- 27185760
TI - Consensus mounts for national drug formulary.
PMID- 27185761
TI - Fort McMurray evacuates patients in "surreal" flight.
PMID- 27185762
TI - International legal barriers to Canada's marijuana plans.
PMID- 27185763
TI - The weekend effect: now you see it, now you don't.
PMID- 27185764
TI - Making evidence based medicine work for individual patients.
PMID- 27185766
TI - Historical Review: Problematic Malaria Prophylaxis with Quinine.
AB - Quinine, a bitter-tasting, short-acting alkaloid drug extracted from cinchona
bark, was the first drug used widely for malaria chemoprophylaxis from the 19th
century. Compliance was difficult to enforce even in organized groups such as the
military, and its prophylaxis potential was often questioned. Severe adverse
events such as blackwater fever occurred rarely, but its relationship to quinine
remains uncertain. Quinine prophylaxis was often counterproductive from a public
health viewpoint as it left large numbers of persons with suppressed infections
producing gametocytes infective for mosquitoes. Quinine was supplied by the first
global pharmaceutical cartel which discouraged competition resulting in a near
monopoly of cinchona plantations on the island of Java which were closed to
Allied use when the Japanese Imperial Army captured Indonesia in 1942. The
problems with quinine as a chemoprophylactic drug illustrate the difficulties
with medications used for prevention and the acute need for improved compounds.
PMID- 27185765
TI - Lethality of First Contact Dysentery Epidemics on Pacific Islands.
AB - Infectious diseases depopulated many isolated Pacific islands when they were
first exposed to global pathogen circulation from the 18th century. Although the
mortality was great, the lack of medical observers makes determination of what
happened during these historical epidemics largely speculative. Bacillary
dysentery caused by Shigella is the most likely infection causing some of the
most lethal island epidemics. The fragmentary historical record is reviewed to
gain insight into the possible causes of the extreme lethality that was observed
during first-contact epidemics in the Pacific. Immune aspects of the early
dysentery epidemics and postmeasles infection resulting in subacute inflammatory
enteric disease suggest that epidemiologic isolation was the major lethality risk
factor on Pacific islands in the 19th century. Other possible risk factors
include human leukocyte antigen homogeneity from a founder effect and pathogen
induced derangement of immune tolerance to gut flora. If this analysis is
correct, then Pacific islands are currently at no greater risk of emerging
disease epidemics than other developing countries despite their dark history.
PMID- 27185767
TI - Landscape Fragmentation as a Risk Factor for Buruli Ulcer Disease in Ghana.
AB - Land cover and its change have been linked to Buruli ulcer (BU), a rapidly
emerging tropical disease. However, it is unknown whether landscape structure
affects the disease prevalence. To examine the association between landscape
pattern and BU presence, we obtained land cover information for 20 villages in
southwestern Ghana from high resolution satellite images, and analyzed the
landscape pattern surrounding each village. Eight landscape metrics indicated
that landscape patterns between BU case and reference villages were different (P
< 0.05) at the broad spatial extent examined (4 km). The logistic regression
models showed that landscape fragmentation and diversity indices were positively
associated with BU presence in a village. Specifically, for each increase in
patch density and edge density by 100 units, the likelihood of BU presence in a
village increased 2.51 (95% confidence interval [CI] = 1.36-4.61) and 4.18 (95%
CI = 1.63-10.76) times, respectively. The results suggest that increased
landscape fragmentation may pose a risk to the emergence of BU.
PMID- 27185770
TI - US launches $121m microbiome initiative.
PMID- 27185769
TI - Nanoparticle-Based Histidine-Rich Protein-2 Assay for the Detection of the
Malaria Parasite Plasmodium falciparum.
AB - A nanoparticle-based assay for detection and quantification of Plasmodium
falciparum histidine-rich protein 2 (HRP2) in urine and serum is reported. The
assay uses magnetic beads conjugated with anti-HRP2 antibody for protein capture
and concentration, and antibody-conjugated quantum dots for optical detection.
Western blot analysis demonstrated that magnetic beads allow the concentration of
HRP2 protein in urine by 20-fold. The concentration effect was achieved because
large volume of urine can be incubated with beads, and magnetic separation can be
easily performed in minutes to isolate beads containing HRP2 protein. Magnetic
beads and quantum dots conjugated to anti-HRP2 antibodies allows the detection of
low concentrations of HRP2 protein (0.5 ng/mL), and quantification in the range
of 33-2,000 ng/mL corresponding to the range associated with non-severe to severe
malaria. This assay can be easily adapted to a noninvasive point-of-care test for
classification of severe malaria.
PMID- 27185768
TI - Evaluation of Commercially Available Assays for Diagnosis of Acute Dengue in
Schoolchildren During an Epidemic Period in Medellin, Colombia.
AB - During an active surveillance study in school children in Medellin, we assessed
the performance of two diagnostic strategies for dengue virus. A total of 41
patients with suspected dengue acute infection were evaluated. Diagnostic
strategies consisted of one combining Panbio((r)) Dengue virus IgM and IgG
Capture ELISAs (enzyme-linked immunosorbent assays) with reverse transcriptase
polymerase chain reaction (RT-PCR) and another using a commercial rapid SD
Bioline Dengue Duo (IgG/IgM + NS1 Ag) test. These two strategies were compared
with the enzyme-linked immunospot microneutralization test (ELISPOT-MNT). The
sensitivity and specificity were 53.9% and 80.0% for the combination of
Panbio((r)) ELISAs and RT-PCR tests, and 30.8% and 73.3% for the SD Bioline Duo
test, respectively. ELISPOT-MNT detected 16.4% additional cases and revealed the
presence of neutralizing antibodies in all the acute samples, evidencing that
they were all secondary infections. In contrast, Panbio((r)) and SD Dengue Duo
rapid tests only classified 23.0% and 26.9% of the cases as secondary dengue
infections, respectively. Cohen's kappa coefficient and McNemar's association
tests demonstrated a significant disagreement between the two diagnostic
strategies and ELISPOT-MNT. Overall, these results evidence the relatively poor
performances of commercial assays for the diagnosis of acute and secondary dengue
infections, compared with ELISPOT-MNT, and raise concern about the accuracy of
these assays for the diagnostic of dengue in endemic areas.
PMID- 27185771
TI - Total or free, that is the question.
PMID- 27185772
TI - T-cells fighting B-cell lymphoproliferative malignancies: the emerging field of
CD19 CAR T-cell therapy.
AB - CAR T-cells are autologous T-cells transduced with a chimeric antigen receptor
(CAR). The CAR contains an antigen recognition part (originating from an
antibody), a T-cell receptor transmembrane and cytoplasmic signalling part, and
one or more co-stimulatory domains. While CAR T-cells can be directed against any
tumour target, most experience thus far has been obtained with targeting of the B
cell antigen CD19 that is expressed by B-cell acute lymphocytic leukaemia,
chronic lymphocytic leukaemia and other B-cell lymphomas. The first clinical
results are promising, although there are profound differences in response
between patients with different haematological malignancies. Treatment-related
side effects have been observed that require specific management. This review
will explain the mechanism of action, summarise the experience to date and point
out future directions for this hopeful new addition to the therapeutic
armamentarium in the treatment of lymphoproliferative B-cell malignancies.
PMID- 27185773
TI - Success rate of thyroid remnant ablation for differentiated thyroid cancer based
on 5550 MBq post-therapy scan.
AB - BACKGROUND: Success rate of thyroid remnant ablation in patients with low-risk
differentiated thyroid cancer (DTC) is commonly based on measurement of serum
thyroglobulin levels and 185 MBq (5 mCi) diagnostic 131I scanning or neck
ultrasound, performed 6-9 months after ablation. In the present study, we report
the rates of successful 131I ablation based on a 5550 MBq (150 mCi) post-therapy
scan performed 6-9 months after ablation. METHODS: Retrospective cohort study of
77 adult patients with DTC, stage T1-T3, N0 or N1, M0, demonstrating thyroid
remnant uptake one week after a 2775 MBq (75 mCi) ablation dose. Six to nine
months later, all patients received a 5550 MBq dose of 131I, followed by a post-
therapy scan after one week. Complete thyroid ablation was defined as no thyroid
remnant uptake and a thyroglobulin level < 0.2 MUg/l after thyroid hormone
withdrawal. RESULTS: Thyroid ablation was complete in 20 patients (26%). Forty
eight patients (62%) demonstrated persistent remnant uptake. This was associated
with thyroglobulin levels > 0.2 MUg/l in 24/48, and positive thyroglobulin
antibodies in 4/48 patients. CONCLUSION: Thyroid remnant ablation success
assessed by 5550 MBq post-therapy scanning was much lower than reported in
studies evaluating ablation success based on 185 MBq diagnostic 131I scanning or
neck ultrasound. The latter techniques may be too inaccurate to detect thyroid
remnants and thus may not be sufficiently reliable to predict long-term disease
outcome.
PMID- 27185774
TI - The influence of oral contraceptives on overnight 1 mg dexamethasone suppression
test.
AB - BACKGROUND: In suspected hypercortisolism, the 1 mg dexamethasone suppression
test is the usual initial test. In fertile women, false-positive test results are
often due to the use of oral contraceptives. By elevating cortisol-binding
globulin these contraceptives increase the total serum cortisol concentration.
The aim of this study was to assess the duration and degree of influence of oral
contraceptives on the low-dose dexamethasone suppression test. METHODS: Thirteen
healthy female volunteers without symptoms or signs of overt hypercortisolism,
aged 18-55 years, who were using oral contraceptives, underwent a 1 mg
dexamethasone suppression test. Tests were repeated one and six weeks after
withdrawal of the contraceptive. In addition, 24-hour urinary cortisol excretion
and late-night salivary cortisol were measured. RESULTS: Of the 13 volunteers
(62%) eight had inadequate suppression of cortisol by 1 mg dexamethasone while
using oral contraceptives. One week after the contraceptive was withdrawn, the
number of false-positive results significantly decreased to 1 (8%, p < 0.02). Six
weeks after discontinuation, all tests were normal. None of the 24-hour urinary
cortisol samples and just one late-night salivary cortisol level was elevated.
CONCLUSION: The results of the 1 mg dexamethasone suppression test performed one
week after cessation of oral contraceptives are accurate in almost all subjects.
In case of inadequate suppression, a second test may be performed after six
weeks. In this manner the 1 mg dexamethasone suppression test can reliably be
done at the end of a seven-day break from contraceptive use in nearly all cases.
PMID- 27185775
TI - Guideline-related barriers to optimal prescription of oral anticoagulants in
primary care.
AB - Guidelines provide recommendations for antithrombotic treatment to prevent stroke
in people with atrial fibrillation, but oral anticoagulant prescriptions in Dutch
primary care are often discordant with these recommendations. Suboptimal
guideline features (i.e. format and content) have been suggested as a potential
explanatory factor for this type of discordance. Therefore, we systematically
appraised features of the Dutch general practitioners' (NHG) atrial fibrillation
guideline to identify guidelinerelated barriers that may hamper its use in
practice. We appraised the guideline's methodological rigour and transparency
using the Appraisal of Guidelines, Research and Evaluation (AGREE) II tool.
Additionally, we used the Guideline Implementability Appraisal (GLIA) tool to
assess the key recommendations on oral anticoagulant prescription. The editorial
independence of the guideline group scored highly (88%); scores for other aspects
of the guideline's methodological quality were acceptable, ranging from 53% for
stakeholder involvement to 67% for clarity of presentation. At the recommendation
level, the main implementation obstacles were lack of explicit statements on the
quality of underlying evidence, lack of clarity around the strength of
recommendations, and the use of ambiguous terms which may hamper
operationalisation in electronic systems. Based on our findings we suggest
extending stakeholder involvement in the guideline development process,
standardising the layout and language of key recommendations, providing
monitoring criteria, and preparing electronic implementation parallel with
guideline development. We expect this to contribute to optimising the NHG atrial
fibrillation guideline, facilitating its implementation in practice, and
ultimately to improving antithrombotic treatment and stroke prevention in people
with atrial fibrillation.
PMID- 27185777
TI - Answer to Photo Quiz: Acute-onset breathlessness after a radiological procedure.
PMID- 27185776
TI - Acute-onset breathlessness after a radiological procedure.
PMID- 27185778
TI - A haemodialysis patient with progressive leg pain.
PMID- 27185779
TI - Answer to Photo Quiz: A haemodialysis patient with progressive leg pain.
PMID- 27185780
TI - Things are not always what they seem (other causes of hepato-splenic nodules).
PMID- 27185781
TI - Answer to Photo Quiz: Things are not always what they seem (other causes of
hepato-splenic nodules).
PMID- 27185783
TI - Behcet's disease: ethnicity and associated conditions.
PMID- 27185782
TI - Leptospiral meningitis in adults.
PMID- 27185784
TI - How Brexit might affect public health.
PMID- 27185785
TI - Merozoite Antigens of Plasmodium falciparum Elicit Strain-Transcending Opsonizing
Immunity.
AB - It is unclear whether naturally acquired immunity to Plasmodium falciparum
results from the acquisition of antibodies to multiple, diverse antigens or to
fewer, highly conserved antigens. Moreover, the specific antibody functions
required for malaria immunity are unknown, and hence informative immunological
assays are urgently needed to address these knowledge gaps and guide vaccine
development. In this study, we investigated whether merozoite-opsonizing
antibodies are associated with protection from malaria in a strain-specific or
strain-transcending manner by using a novel field isolate and an immune plasma
matched cohort from Papua New Guinea with our validated assay of merozoite
phagocytosis. Highly correlated opsonization responses were observed across the
15 parasite strains tested, as were strong associations with protection
(composite phagocytosis score across all strains in children uninfected at
baseline: hazard ratio of 0.15, 95% confidence interval of 0.04 to 0.63).
Opsonizing antibodies had a strong strain-transcending component, and the
opsonization of transgenic parasites deficient for MSP3, MSP6, MSPDBL1, or P.
falciparum MSP1-19 (PfMSP1-19) was similar to that of wild-type parasites. We
have provided the first evidence that merozoite opsonization is predominantly
strain transcending, and the highly consistent associations with protection
against diverse parasite strains strongly supports the use of merozoite
opsonization as a correlate of immunity for field studies and vaccine trials.
These results demonstrate that conserved domains within merozoite antigens
targeted by opsonization generate strain-transcending immune responses and
represent promising vaccine candidates.
PMID- 27185786
TI - Crude Preparations of Helicobacter pylori Outer Membrane Vesicles Induce
Upregulation of Heme Oxygenase-1 via Activating Akt-Nrf2 and mTOR-IkappaB Kinase
NF-kappaB Pathways in Dendritic Cells.
AB - Helicobacter pylori sheds outer membrane vesicles (OMVs) that contain many
surface elements of bacteria. Dendritic cells (DCs) play a major role in
directing the nature of adaptive immune responses against H. pylori, and heme
oxygenase-1 (HO-1) has been implicated in regulating function of DCs. In
addition, HO-1 is important for adaptive immunity and the stress response.
Although H. pylori-derived OMVs may contribute to the pathogenesis of H. pylori
infection, responses of DCs to OMVs have not been elucidated. In the present
study, we investigated the role of H. pylori-derived crude OMVs in modulating the
expression of HO-1 in DCs. Exposure of DCs to crude H. pylori OMVs upregulated HO
1 expression. Crude OMVs obtained from a cagA-negative isogenic mutant strain
induced less HO-1 expression than OMVs obtained from a wild-type strain. Crude H.
pylori OMVs activated signals of transcription factors such as NF-kappaB, AP-1,
and Nrf2. Suppression of NF-kappaB or Nrf2 resulted in significant attenuation of
crude OMV-induced HO-1 expression. Crude OMVs increased the phosphorylation of
Akt and downstream target molecules of mammalian target of rapamycin (mTOR), such
as S6 kinase 1 (S6K1). Suppression of Akt resulted in inhibition of crude OMV
induced Nrf2-dependent HO-1 expression. Furthermore, suppression of mTOR was
associated with inhibition of IkappaB kinase (IKK), NF-kappaB, and HO-1
expression in crude OMV-exposed DCs. These results suggest that H. pylori-derived
OMVs regulate HO-1 expression through two different pathways in DCs, Akt-Nrf2 and
mTOR-IKK-NF-kappaB signaling. Following this induction, increased HO-1 expression
in DCs may modulate inflammatory responses in H. pylori infection.
PMID- 27185787
TI - Iron Limitation Triggers Early Egress by the Intracellular Bacterial Pathogen
Legionella pneumophila.
AB - Legionella pneumophila is an intracellular bacterial pathogen that replicates in
alveolar macrophages, causing a severe form of pneumonia. Intracellular growth of
the bacterium depends on its ability to sequester iron from the host cell. In the
L. pneumophila strain 130b, one mechanism used to acquire this essential nutrient
is the siderophore legiobactin. Iron-bound legiobactin is imported by the
transport protein LbtU. Here, we describe the role of LbtP, a paralog of LbtU, in
iron acquisition in the L. pneumophila strain Philadelphia-1. Similar to LbtU,
LbtP is a siderophore transport protein and is required for robust growth under
iron-limiting conditions. Despite their similar functions, however, LbtU and LbtP
do not contribute equally to iron acquisition. The Philadelphia-1 strain lacking
LbtP is more sensitive to iron deprivation in vitro Moreover, LbtP is important
for L. pneumophila growth within macrophages while LbtU is dispensable. These
results demonstrate that LbtP plays a dominant role over LbtU in iron
acquisition. In contrast, loss of both LbtP and LbtU does not impair L.
pneumophila growth in the amoebal host Acanthamoeba castellanii, demonstrating a
host-specific requirement for the activities of these two transporters in iron
acquisition. The growth defect of the DeltalbtP mutant in macrophages is not due
to alterations in growth kinetics. Instead, the absence of LbtP limits L.
pneumophila replication and causes bacteria to prematurely exit the host cell.
These results demonstrate the existence of a preprogrammed exit strategy in
response to iron limitation that allows L. pneumophila to abandon the host cell
when nutrients are exhausted.
PMID- 27185788
TI - Bile Acids Function Synergistically To Repress Invasion Gene Expression in
Salmonella by Destabilizing the Invasion Regulator HilD.
AB - Salmonella spp. are carried by and can acutely infect agricultural animals and
humans. After ingestion, salmonellae traverse the upper digestive tract and
initiate tissue invasion of the distal ileum, a virulence process carried out by
the type III secretion system encoded within Salmonella pathogenicity island 1
(SPI-1). Salmonellae coordinate SPI-1 expression with anatomical location via
environmental cues, one of which is bile, a complex digestive fluid that causes
potent repression of SPI-1 genes. The individual components of bile responsible
for SPI-1 repression have not been previously characterized, nor have the
bacterial signaling processes that modulate their effects been determined. Here,
we characterize the mechanism by which bile represses SPI-1 expression.
Individual bile acids exhibit repressive activity on SPI-1-regulated genes that
requires neither passive diffusion nor OmpF-mediated entry. By using genetic
methods, the effects of bile and bile acids were shown to require the invasion
gene transcriptional activator hilD and to function independently of known
upstream signaling pathways. Protein analysis techniques showed that SPI-1
repression by bile acids is mediated by posttranslational destabilization of
HilD. Finally, we found that bile acids function synergistically to achieve the
overall repressive activity of bile. These studies demonstrate a common mechanism
by which diverse environmental cues (e.g., certain short-chain fatty acids and
bile acids) inhibit SPI-1 expression. These data provide information relevant to
Salmonella pathogenesis during acute infection in the intestine and during
chronic infection of the gallbladder and inform the basis for development of
therapeutics to inhibit invasion as a means of repressing Salmonella
pathogenicity.
PMID- 27185789
TI - Use of Attenuated but Metabolically Competent Salmonella as a Probiotic To
Prevent or Treat Salmonella Infection.
AB - Salmonella enterica is among the most burdensome of foodborne disease agents.
There are over 2,600 serovars that cause a range of disease manifestations
ranging from enterocolitis to typhoid fever. While there are two vaccines in use
in humans to protect against typhoid fever, there are none that prevent
enterocolitis. If vaccines preventing enterocolitis were to be developed, they
would likely protect against only one or a few serovars. In this report, we
tested the hypothesis that probiotic organisms could compete for the preferred
nutrient sources of Salmonella and thus prevent or treat infection. To this end,
we added the fra locus, which encodes a utilization pathway for the Salmonella
specific nutrient source fructose-asparagine (F-Asn), to the probiotic bacterium
Escherichia coli Nissle 1917 (Nissle) to increase its ability to compete with
Salmonella in mouse models. We also tested a metabolically competent, but
avirulent, Salmonella enterica serovar Typhimurium mutant for its ability to
compete with wild-type Salmonella The modified Nissle strain became more virulent
and less able to protect against Salmonella in some instances. On the other hand,
the modified Salmonella strain was safe and effective in preventing infection
with wild-type Salmonella While we tested for efficacy only against Salmonella
Typhimurium, the modified Salmonella strain may be able to compete metabolically
with most, if not all, Salmonella serovars, representing a novel approach to
control of this pathogen.
PMID- 27185790
TI - Endothelial Cell Response to Fusobacterium nucleatum.
AB - Vascular response is an essential aspect of an effective immune response to
periodontal disease pathogens, as new blood vessel formation contributes to wound
healing and inflammation. Gaining a greater understanding of the factors that
affect vascular response may then contribute to future breakthroughs in dental
medicine. In this study, we have characterized the endothelial cell response to
the common bacterium Fusobacterium nucleatum, an important bridging species that
facilitates the activity of late colonizers of the dental biofilm. Endothelial
cells were infected with Fusobacterium nucleatum (strain 25586) for periods of 4,
12, 24, or 48 h. Cell proliferation and tube formation were analyzed, and
expression of adhesion molecules (CD31 and CD34) and vascular endothelial growth
factor (VEGF) receptors 1 and 2 was measured by fluorescence-activated cell
sorter (FACS) analysis. Data indicate that F. nucleatum impaired endothelial cell
proliferation and tube formation. The findings suggest that the modified
endothelial cell response acts as a mechanism promoting the pathogenic
progression of periodontal diseases and may potentially suggest the involvement
of periodontopathogens in systemic diseases associated with periodontal
inflammation.
PMID- 27185792
TI - Visitors are using US website to search for high prescribing doctors.
PMID- 27185793
TI - Changes in poisonings among adolescents in the UK between 1992 and 2012: a
population based cohort study.
AB - BACKGROUND: Poisonings are a common cause of morbidity and mortality among
adolescents. Yet surveillance data indicating current incidence rates (IRs) and
time trends are lacking, making policy development and service planning
difficult. We utilised population based primary care data to estimate adolescent
poisoning rates according to intent across the UK. METHODS: A cohort study of 1
311 021 adolescents aged 10-17 years, between 1992 and 2012, was conducted using
routine primary care data from The Health Improvement Network. IRs and adjusted
IRRs with 95% CIs were calculated for all poisonings, intentional, unintentional,
unknown intent and alcohol related poisonings, by age, sex, calendar time and
socioeconomic deprivation. RESULTS: Overall poisoning incidence increased by 27%
from the period 1992-1996 to 2007-2012, with the largest increases in intentional
poisonings among females aged 16-17 years (IR 391.4/100 000 person years (PY), CI
328.9 to 465.7 for age 17 years in 1992-1996; 767.0/100 000 PY, CI 719.5 to 817.7
in 2007-2012) and alcohol related poisonings in females aged 15-16 years (IR
65.7/100 000 PY, CI 43.3 to 99.8 rising to 130.0/100 000 PY, CI 110.0 to 150.0
for age 15 years). A strong socioeconomic gradient for all poisonings persisted
over time, with higher rates among the more deprived (IRR 2.63, CI 2.41 to 2.88
for the most vs least deprived quintile in 2007-2012). CONCLUSIONS: Adolescent
poisonings, especially intentional poisonings, have increased substantially over
time and remain associated with health inequalities. Social and psychological
support for adolescents should be targeted at more deprived communities, and
child and adolescent mental health and alcohol support service provision should
be commissioned to reflect the changing need.
PMID- 27185791
TI - Clustered Intracellular Salmonella enterica Serovar Typhimurium Blocks Host Cell
Cytokinesis.
AB - Several bacterial pathogens and viruses interfere with the cell cycle of their
host cells to enhance virulence. This is especially apparent in bacteria that
colonize the gut epithelium, where inhibition of the cell cycle of infected cells
enhances the intestinal colonization. We found that intracellular Salmonella
enterica serovar Typhimurium induced the binucleation of a large proportion of
epithelial cells by 14 h postinvasion and that the effect was dependent on an
intact Salmonella pathogenicity island 2 (SPI-2) type 3 secretion system. The SPI
2 effectors SseF and SseG were required to induce binucleation. SseF and SseG are
known to maintain microcolonies of Salmonella-containing vacuoles close to the
microtubule organizing center of infected epithelial cells. During host cell
division, these clustered microcolonies prevented the correct localization of
members of the chromosomal passenger complex and mitotic kinesin-like protein 1
and consequently prevented cytokinesis. Tetraploidy, arising from a cytokinesis
defect, is known to have a deleterious effect on subsequent cell divisions,
resulting in either chromosomal instabilities or cell cycle arrest. In infected
mice, proliferation of small intestinal epithelial cells was compromised in an
SseF/SseG-dependent manner, suggesting that cytokinesis failure caused by S
Typhimurium delays epithelial cell turnover in the intestine.
PMID- 27185795
TI - Absence of Association between Polymorphisms in the RING E3 Ubiquitin Protein
Ligase Gene and Ex Vivo Susceptibility to Conventional Antimalarial Drugs in
Plasmodium falciparum Isolates from Dakar, Senegal.
AB - The RING E3 ubiquitin protein ligase is crucial for facilitating the transfer of
ubiquitin. The only polymorphism identified in the E3 ubiquitin protein ligase
gene was the D113N mutation (62.5%) but was not significantly associated with the
50% inhibitory concentration (IC50) of conventional antimalarial drugs. However,
some mutated isolates (D113N) present a trend of reduced susceptibility to
piperaquine (P = 0.0938). To evaluate the association of D113N polymorphism with
susceptibility to antimalarials, more isolates are necessary.
PMID- 27185794
TI - Prospective Study of Plasmodium vivax Malaria Recurrence after Radical Treatment
with a Chloroquine-Primaquine Standard Regimen in Turbo, Colombia.
AB - Plasmodium vivax recurrences help maintain malaria transmission. They are caused
by recrudescence, reinfection, or relapse, which are not easily differentiated. A
longitudinal observational study took place in Turbo municipality, Colombia.
Participants with uncomplicated P. vivax infection received supervised treatment
concomitantly with 25 mg/kg chloroquine and 0.25 mg/kg/day primaquine for 14
days. Incidence of recurrence was assessed over 180 days. Samples were genotyped,
and origins of recurrences were established. A total of 134 participants were
enrolled between February 2012 and July 2013, and 87 were followed for 180 days,
during which 29 recurrences were detected. The cumulative incidence of first
recurrence was 24.1% (21/87) (95% confidence interval [CI], 14.6 to 33.7%), and
86% (18/21) of these events occurred between days 51 and 110. High genetic
diversity of P. vivax strains was found, and 12.5% (16/128) of the infections
were polyclonal. Among detected recurrences, 93.1% (27/29) of strains were
genotyped as genetically identical to the strain from the previous infection
episode, and 65.5% (19/29) of infections were classified as relapses. Our results
indicate that there is a high incidence of P. vivax malaria recurrence after
treatment in Turbo municipality, Colombia, and that a large majority of these
episodes are likely relapses from the previous infection. We attribute this to
the primaquine regimen currently used in Colombia, which may be insufficient to
eliminate hypnozoites.
PMID- 27185796
TI - Pharmacokinetics of Vancomycin in Elderly Patients Aged over 80 Years.
AB - Since the 1950s, vancomycin has remained a reference treatment for severe
infections caused by Gram-positive bacteria, including methicillin-resistant
Staphylococcus aureus Vancomycin is a nephrotoxic and ototoxic drug mainly
eliminated through the kidneys. It has a large interindividual pharmacokinetic
variability, which justifies monitoring its plasma concentrations in patients.
This is especially important in patients aged over 80 years, who frequently have
renal impairment. However, the pharmacokinetics of vancomycin in this population
is very poorly described in the literature. The objective of this work was to
propose a model able to predict the pharmacokinetics of vancomycin in very
elderly people. First, a population pharmacokinetic model was carried out using
the algorithm NPAG (nonparametric adaptive grid) on a database of 70 hospitalized
patients aged over 80 years and treated with vancomycin. An external validation
then was performed on 41 patients, and the predictive capabilities of the model
were assessed. The model had two compartments and six parameters. Body weight and
creatinine clearance significantly influenced vancomycin volume of distribution
and body clearance, respectively. The means (+/- standard deviations) of
vancomycin volume of distribution and clearance were 36.3 +/- 15.2 liter and 2.0
+/- 0.9 liter/h, respectively. In the validation group, the bias and precision
were -0.75 mg/liter and 8.76 mg/liter for population predictions and -0.39
mg/liter and 2.68 mg/liter for individual predictions. In conclusion, a
pharmacokinetic model of vancomycin in a very elderly population has been created
and validated for predicting plasma concentrations of vancomycin.
PMID- 27185797
TI - NDM-4- and NDM-5-Producing Klebsiella pneumoniae Coinfection in a 6-Month-Old
Infant.
PMID- 27185798
TI - Effect of Obesity on the Population Pharmacokinetics of Meropenem in Critically
Ill Patients.
AB - Severe pathophysiological changes in critical illness can lead to dramatically
altered antimicrobial pharmacokinetics (PK). The additional effect of obesity on
PK potentially increases the challenge for effective dosing. The aim of this
prospective study was to describe the population PK of meropenem for a cohort of
critically ill patients, including obese and morbidly obese patients. Critically
ill patients prescribed meropenem were recruited into the following three body
mass index (BMI) groups: nonobese (18.5 to 29.9 kg/m(2)), obese (30.0 to 39.9
kg/m(2)), and morbidly obese (>=40 kg/m(2)). Serial plasma samples were taken,
and meropenem concentrations were determined using a validated chromatographic
method. Population PK analysis and Monte Carlo dosing simulations were undertaken
with Pmetrics. Nineteen critically ill patients with different BMI categories
were enrolled. The patients' mean +/- standard deviation (SD) age, weight, and
BMI were 49 +/- 15.9 years, 95 +/- 22.0 kg, and 33 +/- 7.0 kg/m(2), respectively.
A two-compartment model described the data adequately. The mean +/- SD parameter
estimates for the final covariate model were as follows: clearance (CL), 15.5 +/-
6.0 liters/h; volume of distribution in the central compartment (V1), 11.7 +/-
5.8 liters; intercompartmental clearance from the central compartment to the
peripheral compartment, 25.6 +/- 35.1 liters h(-1); and intercompartmental
clearance from the peripheral compartment to the central compartment, 8.32 +/-
12.24 liters h(-1) Higher creatinine clearance (CLCR) was associated with a lower
probability of target attainment, with BMI having little effect. Although obesity
was found to be associated with an increased V1, dose adjustment based on CLCR
appears to be more important than patient BMI.
PMID- 27185799
TI - Isavuconazole Population Pharmacokinetic Analysis Using Nonparametric Estimation
in Patients with Invasive Fungal Disease (Results from the VITAL Study).
AB - Isavuconazonium sulfate (Cresemba; Astellas Pharma Inc.), a water-soluble prodrug
of the triazole antifungal agent isavuconazole, is available for the treatment of
invasive aspergillosis (IA) and invasive mucormycosis. A population
pharmacokinetic (PPK) model was constructed using nonparametric estimation to
compare the pharmacokinetic (PK) behaviors of isavuconazole in patients treated
in the phase 3 VITAL open-label clinical trial, which evaluated the efficacy and
safety of the drug for treatment of renally impaired IA patients and patients
with invasive fungal disease (IFD) caused by emerging molds, yeasts, and
dimorphic fungi. Covariates examined were body mass index (BMI), weight, race,
impact of estimated glomerular filtration rate (eGFR) on clearance (CL), and
impact of weight on volume. PK parameters were compared based on IFD type and
other patient characteristics. Simulations were performed to describe the MICs
covered by the clinical dosing regimen. Concentrations (n = 458) from 136
patients were used to construct a 2-compartment model (first-order absorption
compartment and central compartment). Weight-related covariates affected
clearance, but eGFR did not. PK parameters and intersubject variability of CL
were similar across different IFD groups and populations. Target attainment
analyses demonstrated that the clinical dosing regimen would be sufficient for
total drug area under the concentration-time curve (AUC)/MIC targets ranging from
50.5 for Aspergillus spp. (up to the CLSI MIC of 0.5 mg/liter) to 270 and 5,053
for Candida albicans (up to MICs of 0.125 and 0.004 mg/liter, respectively) and
312 for non-albicans Candida spp. (up to a MIC of 0.125 mg/liter). The
estimations for Candida spp. were exploratory considering that no patients with
Candida infections were included in the current analyses. (The VITAL trial is
registered at ClinicalTrials.gov under number NCT00634049.).
PMID- 27185800
TI - Mutations in pepQ Confer Low-Level Resistance to Bedaquiline and Clofazimine in
Mycobacterium tuberculosis.
AB - The novel ATP synthase inhibitor bedaquiline recently received accelerated
approval for treatment of multidrug-resistant tuberculosis and is currently being
studied as a component of novel treatment-shortening regimens for drug
susceptible and multidrug-resistant tuberculosis. In a limited number of
bedaquiline-treated patients reported to date, >=4-fold upward shifts in
bedaquiline MIC during treatment have been attributed to non-target-based
mutations in Rv0678 that putatively increase bedaquiline efflux through the MmpS5
MmpL5 pump. These mutations also confer low-level clofazimine resistance,
presumably by a similar mechanism. Here, we describe a new non-target-based
determinant of low-level bedaquiline and clofazimine cross-resistance in
Mycobacterium tuberculosis: loss-of-function mutations in pepQ (Rv2535c), which
corresponds to a putative Xaa-Pro aminopeptidase. pepQ mutants were selected in
mice by treatment with clinically relevant doses of bedaquiline, with or without
clofazimine, and were shown to have bedaquiline and clofazimine MICs 4 times
higher than those for the parental H37Rv strain. Coincubation with efflux
inhibitors verapamil and reserpine lowered bedaquiline MICs against both mutant
and parent strains to a level below the MIC against H37Rv in the absence of
efflux pump inhibitors. However, quantitative PCR (qPCR) revealed no significant
differences in expression of Rv0678, mmpS5, or mmpL5 between mutant and parent
strains. Complementation of a pepQ mutant with the wild-type gene restored
susceptibility, indicating that loss of PepQ function is sufficient for reduced
susceptibility both in vitro and in mice. Although the mechanism by which
mutations in pepQ confer bedaquiline and clofazimine cross-resistance remains
unclear, these results may have clinical implications and warrant further
evaluation of clinical isolates with reduced susceptibility to either drug for
mutations in this gene.
PMID- 27185801
TI - Discovery of a Broad-Spectrum Antiviral Compound That Inhibits Pyrimidine
Biosynthesis and Establishes a Type 1 Interferon-Independent Antiviral State.
AB - Viral emergence and reemergence underscore the importance of developing
efficacious, broad-spectrum antivirals. Here, we report the discovery of
tetrahydrobenzothiazole-based compound 1, a novel, broad-spectrum antiviral lead
that was optimized from a hit compound derived from a cytopathic effect (CPE)
based antiviral screen using Venezuelan equine encephalitis virus. Compound 1
showed antiviral activity against a broad range of RNA viruses, including
alphaviruses, flaviviruses, influenza virus, and ebolavirus. Mechanism-of-action
studies with metabolomics and molecular approaches revealed that the compound
inhibits host pyrimidine synthesis and establishes an antiviral state by inducing
a variety of interferon-stimulated genes (ISGs). Notably, the induction of the
ISGs by compound 1 was independent of the production of type 1 interferons. The
antiviral activity of compound 1 was cell type dependent with a robust effect
observed in human cell lines and no observed antiviral effect in mouse cell
lines. Herein, we disclose tetrahydrobenzothiazole compound 1 as a novel lead for
the development of a broad-spectrum, antiviral therapeutic and as a molecular
probe to study the mechanism of the induction of ISGs that are independent of
type 1 interferons.
PMID- 27185804
TI - Antimicrobial Susceptibility of Neisseria gonorrhoeae in Bangladesh (2014
Update).
PMID- 27185802
TI - In Vitro Emergence of High Persistence upon Periodic Aminoglycoside Challenge in
the ESKAPE Pathogens.
AB - Health care-associated infections present a major threat to modern medical care.
Six worrisome nosocomial pathogens-Enterococcus faecium, Staphylococcus aureus,
Klebsiella pneumoniae, Acinetobacter baumannii, Pseudomonas aeruginosa, and
Enterobacter spp.-are collectively referred to as the "ESKAPE bugs." They are
notorious for extensive multidrug resistance, yet persistence, or the phenotypic
tolerance displayed by a variant subpopulation, remains underappreciated in these
pathogens. Importantly, persistence can prevent eradication of antibiotic
sensitive bacterial populations and is thought to act as a catalyst for the
development of genetic resistance. Concentration- and time-dependent
aminoglycoside killing experiments were used to investigate persistence in the
ESKAPE pathogens. Additionally, a recently developed method for the experimental
evolution of persistence was employed to investigate adaptation to high-dose,
extended-interval aminoglycoside therapy in vitro We show that ESKAPE pathogens
exhibit biphasic killing kinetics, indicative of persister formation. In vitro
cycling between aminoglycoside killing and persister cell regrowth, evocative of
clinical high-dose extended-interval therapy, caused a 37- to 213-fold increase
in persistence without the emergence of resistance. Increased persistence also
manifested in biofilms and provided cross-tolerance to different clinically
important antibiotics. Together, our results highlight a possible drawback of
intermittent, high-dose antibiotic therapy and suggest that clinical diagnostics
might benefit from taking into account persistence.
PMID- 27185803
TI - Antiviral Activity of Favipiravir (T-705) against a Broad Range of
Paramyxoviruses In Vitro and against Human Metapneumovirus in Hamsters.
AB - The clinical impact of infections with respiratory viruses belonging to the
family Paramyxoviridae argues for the development of antiviral therapies with
broad-spectrum activity. Favipiravir (T-705) has demonstrated potent antiviral
activity against multiple RNA virus families and is presently in clinical
evaluation for the treatment of influenza. Here we demonstrate in vitro activity
of T-705 against the paramyxoviruses human metapneumovirus (HMPV), respiratory
syncytial virus, human parainfluenza virus, measles virus, Newcastle disease
virus, and avian metapneumovirus. In addition, we demonstrate activity against
HMPV in hamsters. T-705 treatment inhibited replication of all paramyxoviruses
tested in vitro, with 90% effective concentration (EC90) values of 8 to 40 MUM.
Treatment of HMPV-challenged hamsters with T-705 at 200 mg/kg of body weight/day
resulted in 100% protection from infection of the lungs. In all treated and
challenged animals, viral RNA remained detectable in the respiratory tract. The
observation that T-705 treatment had a significant effect on infectious viral
titers, with a limited effect on viral genome titers, is in agreement with its
proposed mode of action of viral mutagenesis. However, next-generation sequencing
of viral genomes isolated from treated and challenged hamsters did not reveal
(hyper)mutation. Polymerase activity assays revealed a specific effect of T-705
on the activity of the HMPV polymerase. With the reported antiviral activity of T
705 against a broad range of RNA virus families, this small molecule is a
promising broad-range antiviral drug candidate for limiting the viral burden of
paramyxoviruses and for evaluation for treatment of infections with (re)emerging
viruses, such as the henipaviruses.
PMID- 27185805
TI - Isolation and Characterization of Escherichia coli Sequence Type 131 and Other
Antimicrobial-Resistant Gram-Negative Bacilli from Clinical Stool Samples from
Veterans.
AB - Emerging multidrug-resistant (MDR) Gram-negative bacilli (GNB), including
Escherichia coli sequence type 131 (ST131) and its resistance-associated H30
subclone, constitute an ever-growing public health threat. Their reservoirs and
transmission pathways are incompletely defined. To assess diarrheal stools as a
potential reservoir for ST131-H30 and other MDR GNB, we cultured 100 clinical
stool samples from a Veterans Affairs Medical Center clinical laboratory (October
to December 2011) for fluoroquinolone- and extended-spectrum cephalosporin (ESC)
resistant E. coli and other GNB, plus total E. coli We then characterized
selected resistant and susceptible E. coli isolates by clonal group, phylogenetic
group, virulence genotype, and pulsotype and screened all isolates for
antimicrobial resistance. Overall, 79 of 100 stool samples yielded GNB (52 E.
coli; 48 other GNB). Fifteen samples yielded fluoroquinolone-resistant E. coli
(10 were ST131, of which 9 were H30), 6 yielded ESC-resistant E. coli (2 were
ST131, both non-H30), and 31 yielded susceptible E. coli (1 was ST131, non-H30),
for 13 total ST131-positive samples. Fourteen non-E. coli GNB were ESC resistant,
and three were fluoroquinolone resistant. Regardless of species, almost half
(46%) of the fluoroquinolone-resistant and/or ESC-resistant non-E. coli GNB were
resistant to at least three drug classes. Fecal ST131 isolates closely resembled
reference clinical ST131 isolates according to virulence genotypes and pulsed
field gel electrophoresis (PFGE) profiles. Thus, a substantial minority (30%) of
veterans with diarrhea who undergo stool testing excrete antibiotic-resistant
GNB, including E. coli ST131. Consequently, diarrhea may pose transmission risks
for more than just diarrheal pathogens and may help disseminate clinically
relevant ST131 strains and other MDR GNB within hospitals and the community.
PMID- 27185806
TI - Immunoassay Analysis of Kanamycin in Serum Using the Tobramycin Kit.
AB - Kanamycin is one of the aminoglycosides used in the treatment of multidrug
resistant tuberculosis. Blood concentrations of kanamycin are predictive for the
treatment efficacy and the occurrence of side effects, and dose adjustments can
be needed to optimize therapy. However, an immunoassay method for the
quantification of kanamycin is not commercially available. We modified the
existing tobramycin immunoassay to analyze kanamycin. This modified method was
tested in a concentration range of 0.3 to 80.0 mg/liter for inaccuracy and
imprecision. In addition, the analytical results of the immunoassay method were
compared to those obtained by a liquid chromatography-tandem mass spectrometry
(LC-MS/MS) analytical method using Passing and Bablok regression. Within-day
imprecision varied from 2.3 to 13.3%, and between-day imprecision ranged from 0.0
to 11.3%. The inaccuracy ranged from -5.2 to 7.6%. No significant cross
reactivity with other antimicrobials and antiviral agents was observed. The
results of the modified immunoassay method were comparable with the LC-MS/MS
analytical outcome. This new immunoassay method enables laboratories to perform
therapeutic drug monitoring of kanamycin without the need for complex and
expensive LC-MS/MS equipment.
PMID- 27185808
TI - Pharmacokinetics of Tedizolid in Morbidly Obese and Covariate-Matched Nonobese
Adults.
AB - Tedizolid is a novel oxazolidinone antimicrobial administered in its prodrug
form, tedizolid phosphate, as a fixed once-daily dose. The pharmacokinetics of
tedizolid has been studied in a relatively small proportion of morbidly obese
(body mass index [BMI] of >=40 kg/m(2)) adults through population analyses with
sparse sampling. The current study compared the intensively sampled plasma
pharmacokinetics of tedizolid phosphate and tedizolid in 9 morbidly obese and 9
age-, sex-, and ideal body weight-matched nonobese (BMI, 18.5 to 29.9 kg/m(2))
healthy adult (18 to 50 years of age) volunteers after administration of a single
intravenous dose of tedizolid phosphate. The median (range) weights were 72.6 kg
(58.9 to 89.5 kg) and 117 kg (102 to 176 kg) for the mostly female (77.8%)
nonobese and morbidly obese adults, respectively. Tedizolid phosphate
concentrations were below the limit of quantitation in a majority of subjects
after the 2-h time point. The tedizolid median (range) maximum concentration of
drug in plasma (Cmax) and area under the concentration-time curve from 0 h to
infinity (AUC0-infinity) were 2.38 (1.28 to 3.99) mg/liter and 26.3 (18.4 to
43.2) h . mg/liter, respectively, for morbidly obese subjects, and these were
nonsignificantly different (P >= 0.214) from the values for nonobese subjects.
Similarly, the volumes of distribution (Vz) (P = 0.110) and clearance (CL) values
(P = 0.214) were comparable between groups. Nearly identical (P = 0.953) median
tedizolid half-lives of approximately 12 h were observed for both groups.
Tedizolid Vz and CL scaled with body weight, but not proportionately. The small
and nonsignificant differences in tedizolid AUC0-infinity values between morbidly
obese and nonobese subjects suggest that dose modification is not necessary for
morbidly obese adults. (This study has been registered at ClinicalTrials.gov
under number NCT02342418.).
PMID- 27185807
TI - In Vivo Rectal Mucosal Barrier Function Imaging in a Large-Animal Model by Using
Confocal Endomicroscopy: Implications for Injury Assessment and Use in HIV
Prevention Studies.
AB - Injury occurring on the surface of the rectal mucosal lining that causes defects
in barrier function may result in increased risk for transmission of infection by
HIV and other pathogens. Such injury could occur from microbicidal or other
topical agents, mechanical trauma during consensual or nonconsensual intercourse,
or inflammatory conditions. Tools for evaluation of rectal mucosal barrier
function for assessing the mucosa under these conditions are lacking,
particularly those that can provide in vivo structural and functional barrier
integrity assessment and are adaptable to longitudinal imaging. We investigated
confocal endomicroscopy (CE) as a means for in vivo imaging of the rectal
epithelial barrier in the ovine model following spatially confined injury to the
surface at a controlled site using a topical application of the microbicide test
agent benzalkonium chloride. Topical and intravenous (i.v.) fluorescent probes
were used with CE to provide subcellular resolution imaging of the mucosal
surface and assessment of barrier function loss. A 3-point CE grading system
based on cellular structure integrity and leakage of dye through the mucosa
showed significant differences in score between untreated (1.19 +/- 0.53) and
treated (2.55 +/- 0.75) tissue (P < 0.0001). Histological grading confirmed
findings of barrier compromise. The results indicate that CE is an effective
means for detecting epithelial injury and barrier loss following localized trauma
in a large-animal model. CE is promising for real-time rectal mucosal evaluation
after injury or trauma or topical application of emerging biomedical prevention
strategies designed to combat HIV.
PMID- 27185809
TI - Facility distance and child mortality: a multi-country study of health facility
access, service utilization, and child health outcomes.
AB - Background: Access to health facilities remains limited in many resource-poor
settings, and women and their children often have to travel far to seek care.
However, data on distance are scarce, and it is unclear whether distance is
associated with worse child health outcomes. We estimate the relationships
between distance to facility, service utilization and child mortality in low- and
middle-income countries. Methods: Population-representative data are pooled from
29 demographic and health surveys across 21 low- and middle-income countries.
Multivariable logistic models and meta-analysis regressions are used to estimate
associations between facility distance, child mortality, and health care
utilization in the pooled sample as well as for each survey. Results: Compared
with children who live within 1 km of a facility, children living within 2 km, 3
km, and 5 km of a facility have a 7.7% [95% confidence interval (CI): 0.927 -
1.251], 16.3% (95% CI: 1.020 - 1.327) and 25% (95% CI: 1.087 - 1.439) higher odds
of neonatal mortality, respectively; children living farther than 10 km have a
26.6% (95% CI: 1.108 - 1.445) higher odds of neonatal mortality. Women living
farther than 10 km from a facility have a 55.3% lower odds of in-facility
delivery compared with women who live within 1 km [odds ratio (OR): 0.447; 95%
CI: 0.394 - 0.508]. Conclusions: Even relatively small distances from health
facilities are associated with substantial mortality penalties for children.
Policies that reduce travel distances and travel times are likely to increase
utilization of health services and reduce neonatal mortality.
PMID- 27185811
TI - Profile: The Rusinga Health and Demographic Surveillance System, Western Kenya.
AB - The health and demographic surveillance system on Rusinga Island, Western Kenya,
was initiated in 2012 to facilitate a malaria intervention trial: the SolarMal
project. The project aims to eliminate malaria from Rusinga Island using the
nationwide adopted strategy for malaria control (insecticide-treated bed nets and
case management) augmented with mass trapping of anopheline mosquitoes. The main
purpose of the health and demographic surveillance is to measure the
effectiveness of the trial on clinical malaria incidence, and to monitor
demographic, environmental and malaria-related data variables. At the end of
2014, the 44 km(2) island had a population of approximately 25 000 individuals
living in 8746 residential structures. Three times per year, all individuals are
followed up and surveyed for clinical malaria. Following each round of
surveillance, a randomly selected cross-section of the population is subject to a
rapid diagnostic test to measure malaria. Additionally, extensive monitoring of
malaria vectors is performed. Data collection and management are conducted using
the OpenHDS platform, with tablet computers and applications with advanced
software connected to a centralized database. Besides the general demographic
information, other health-related data are collected which can be used to
facilitate a range of other studies within and outside the current project.
Access to the core dataset can be obtained on request from the authors.
PMID- 27185812
TI - National findings regarding health IT use and participation in health care
delivery reform programs among office-based physicians.
AB - OBJECTIVE: Our objective was to characterize physicians' participation in
delivery and payment reform programs over time and describe how participants in
these programs were using health information technology (IT) to coordinate care,
engage patients, manage patient populations, and improve quality. MATERIALS AND
METHODS: A nationally representative cohort of physicians was surveyed in 2012
(unweighted N = 2567) and 2013 (unweighted N = 2399). Regression analyses used
those survey responses to identify associations between health IT use and
participation in and attrition from patient-centered medical homes (PCMHs),
accountable care organizations (ACOs), and pay-for-performance programs (P4Ps).
RESULTS: In 2013, 45% of physicians participated in PCMHs, ACOs, or P4Ps. While
participation in each program increased (P < .05) between 2012 and 2013, program
attrition ranged from 31-40%. Health IT use was associated with greater program
participation (RR = 1.07-1.16). PCMH, ACO, and P4P participants were more likely
than nonparticipants to perform quality improvement and patient engagement
activities electronically (RR = 1.09-1.14); only ACO participants were more
likely to share information electronically (RR = 1.07-1.09). DISCUSSION:
Participation in delivery and payment reform programs increased between 2012 and
2013. Participating physicians were more likely to use health IT. There was
significant attrition from and switching between PCMHs, ACOs, and P4Ps.
CONCLUSION: This work provides the basis for understanding physician
participation in and attrition from delivery and payment reform programs, as well
as how health IT was used to support those programs. Understanding health IT use
by program participants may help to identify factors enabling a smooth transition
to alternative payment models.
PMID- 27185814
TI - Concussions and suicide.
PMID- 27185815
TI - Concussions and suicide.
PMID- 27185810
TI - Variation in worldwide incidence of amyotrophic lateral sclerosis: a meta
analysis.
AB - Background: To assess the worldwide variation of amyotrophic lateral sclerosis
(ALS) incidence, we performed a systematic review and meta-analysis of population
based data published to date. Methods: We reviewed Medline and Embase up to June
2015 and included all population-based studies of newly diagnosed ALS cases,
using multiple sources for case ascertainment. ALS crude and standardized
incidence (on age and sex using the US 2010 population) were calculated. Random
effect meta-analysis and meta-regression were performed using the subcontinent as
the main study level covariate. Sources of heterogeneity related to the
characteristics of the study population and the study methodology were
investigated. Results: Among 3216 records, 44 studies were selected, covering 45
geographical areas in 11 sub-continents. A total of 13 146 ALS cases and 825
million person-years of follow-up (PYFU) were co-nsidered. The overall pooled
worldwide crude ALS incidence was at 1.75 (1.55-1.96)/100 000 PYFU; 1.68 (1.50
1.85)/100 000 PYFU after standardization. Heterogeneity was identified in ALS
standardized incidence between North Europe [1.89 (1.46-2.32)/100 000 PYFU] and
East Asia [0.83 (0.42-1.24)/100 000 PYFU, China and Japan P = 0.001] or South
Asia [0.73 (0.58-0.89)/100 000/PYFU Iran, P = 0.02]. Conversely, homogeneous
rates have been reported in populations from Europe, North America and New
Zealand [pooled ALS standardized incidence of 1.81 (1.66-1.97)/100 000 PYFU for
those areas]. Conclusion: This review confirms a heterogeneous distribution
worldwide of ALS, and sets the scene to sustain a collaborative study involving a
wide international consortium to investigate the link between ancestry,
environment and ALS incidence.
PMID- 27185816
TI - Carboxy-THC in Washed Hair: Still the Reliable Indicator of Marijuana Ingestion.
AB - The presence of the metabolite 11-nor-9-carboxy-delta-9-tetrahydrocannabinol (C
THC) in hair is generally accepted as the definitive proof of delta-9
tetrahydrocannabinol (THC) ingestion. During hair analysis, the removal of any
potential C-THC external contamination that could result from marijuana smoke or
close personal contact via a wash procedure is critical. Here, we performed a
series of experiments to demonstrate that C-THC is the reliable indicator of
marijuana ingestion when paired with the correct washing procedure to remove
potential external contamination.
PMID- 27185818
TI - Determination of Dextromethorphan in Oral Fluid by LC-MS-MS.
AB - Dextromethorphan (DXM) is an antitussive drug found in commonly used
nonprescription cold and cough medications. At low doses, DXM is a safe drug that
does not produce adverse reactions. However, abuse of DXM has been reported among
adolescents and young adults using the drug at higher doses. DXM is not a
scheduled drug in the USA, and the primary reason for its abuse is the ease of
availability. DXM is available to purchase in the form of over-the-counter cough
medications, such as Robitussin((r)) and Coricidin((r)), or it can be purchased
over the Internet in the form of a powder. In this research work, we developed an
LC-MS-MS method that can quantify DXM and dextrorphan (DXO) in oral fluid in a
high-throughput toxicology laboratory setting. The developed method was validated
according to the Scientific Working Group for Forensic Toxicology guidelines. The
linear dynamic range was 5-100 ng/mL with a lowest limit of quantitation (LLOQ)
of 5.0 ng/mL for DXM and DXO. Overall, the results of the accuracy and the
precision values were within the acceptance criteria for both drugs. In addition,
selectivity, matrix effect and recovery were calculated for the LC-MS-MS method.
Authentic samples (n = 59) were tested to evaluate the applicability of the
method. Thirty samples were found to be positive for DXM and DXO and two samples
were found to be positive for DXM only.
PMID- 27185817
TI - Simultaneous Analysis of Cannabinoid and Synthetic Cannabinoids in Dietary
Supplements Using UPLC with UV and UPLC-MS-MS.
AB - The primary purpose of this study was to develop and validate a method based on
UPLC with UV and UPLC-MS-MS for the simultaneous analysis of different
cannabinoids and synthetic cannabinoids in food as well as in herbal and dietary
supplements. The limits of detection and quantitation of the method ranged from
0.1 to 0.3 and 0.3 to 0.9 MUg/mL by UPLC with UV, respectively. The coefficient
of determination was >0.999; the intra- and interday precision of the method were
0.1-3.7 and 0.9-4.1%, respectively. The intra- and interday accuracy were 94.8
103.1 and 98.3-100.9%, respectively. The mean recoveries of nine cannabinoids
obtained from tablet samples ranged from 81.1 to 105.4%. The mean extraction
recoveries of nine target cannabinoids obtained from various types of samples
(tablets, capsules, powders, liquids, cookies and candies) ranged from 82.26 to
112.40%. The relative standard deviation (RSD) of the stability of the prepared
sample solutions was <1.80%. Identification and quantification of the nine
cannabinoids were accomplished by ion spray UPLC-MS-MS using multiple reaction
monitoring. The UPLC-MS-MS method was validated for linearity (R(2) > 0.99); the
precision was 0.1-4.0% (intraday) and 0.1-2.8% (interday), and the accuracy was
98.0-103.5% (intraday) and 97.1-103.2% (interday). The mean extraction recoveries
of six types of samples were 82.2-114.5% and the RSD of stability was <6.54%,
complying with the established international guidelines. The results indicated
that the method can be used for rapid and accurate screening of cannabinoids
present in food.
PMID- 27185819
TI - Evaluation of Postmortem Drug Concentrations in Bile Compared with Blood and
Urine in Forensic Autopsy Cases.
AB - For drug screening and pharmaco-/toxicokinetic analysis, bile as a major drug
excretion route in addition to urine may be used in forensic autopsy cases;
however, there are limited published data on correlations between bile and blood
or urine drug concentrations. The present study retrospectively investigated drug
concentrations in bile, compared with blood and urine concentrations, reviewing
forensic autopsy cases during 6 years (January 2009-December 2014). Drugs were
analyzed using automated gas chromatography-mass spectrometry following solid
liquid phase extraction. Compared with peripheral blood concentrations, bile
concentrations were higher for most drugs; however, caffeine concentrations were
similar. Bile concentrations were mostly lower than urine concentrations for
amphetamines, caffeine and methylephedrine, but were usually similar to or higher
for other drugs. Significant correlations were detected between bile and
peripheral blood concentrations for amphetamines, several cold remedies,
phenobarbital, phenothiazine derivatives and diazepam, as well as between bile
and urine concentrations for amphetamines, caffeine, diphenhydramine,
phenobarbital and promethazine derivatives. These findings suggest that bile can
provide supplemental data useful in routine forensic toxicology, for the spectrum
of drugs mentioned above, as well as for investigating pharmaco-/toxicokinetics
and postmortem redistribution when analyzed in combination with drug
concentrations at other sites.
PMID- 27185820
TI - Ultrafast Screening of Synthetic Cannabinoids and Synthetic Cathinones in Urine
by RapidFire-Tandem Mass Spectrometry.
AB - Screening for emerging drugs of abuse, specifically synthetic cathinones and
synthetic cannabinoids, is difficult for high-throughput laboratories as
immunoassay kits are often unavailable. Consequently, most laboratories employ
liquid chromatography-tandem mass spectrometry (LC-MS-MS) screening, which can be
complex and time consuming as these techniques may require involved sample
preparation and lengthy analysis times. The increasing demand for novel
psychoactive substance testing necessitates alternative screening methods that
are sensitive, fast and versatile. The RapidFire tandem mass spectrometry system
(RF-MS-MS) provides a rapid and highly specific screen for these emerging drugs
of abuse with minimal sample preparation and an instrumental analysis time of <14
s per sample. Presented here are two RF-MS-MS screening methods used to analyze
28 emerging drugs of abuse, 14 synthetic cannabinoids and 14 synthetic
cathinones, in urine with run times of 9 and 12.6 s, respectively. Sample
preparation and hydrolysis were performed in a 96-well plate with one multiple
reaction monitoring transition used for the identification of each compound.
Eighteen thousand urine specimens were screened by liquid-liquid extraction
followed by LC-MS-MS analysis, and the results were compared with those obtained
using the RF-MS-MS screening method. The analytical data illustrate the
advantages of the RF-MS-MS methods.
PMID- 27185821
TI - Twenty-One Cases Involving Alpha-Pyrrolidinovalerophenone (alpha-PVP).
AB - Twenty-one cases involving alpha-pyrrolidinovalerophenone (alpha-PVP) were
submitted between 2012 and 2015 to the Western Department of Forensic Science
Laboratory. Eighteen suspected impaired driving cases were determined to have
alpha-PVP concentrations <0.005-0.09 mg/L. Three fatalities during this period
were determined to have alpha-PVP concentrations ranging from 0.03 to >20 mg/L.
Human use of synthetic cathinones like alpha-PVP has been reported to induce
psychological effects such as delusions, paranoia, hallucinations and deleterious
cardiovascular effects. Quantitation was performed using a liquid-liquid
extraction with detection by liquid chromatography triple quadrupole mass
spectrometry using electrospray ionization in a multiple reaction monitoring
mode. The reported behaviors in the 18 suspected impaired driving cases ranged
from central nervous system depression to eluding officers in a high speed chase.
The mean and median DUID alpha-PVP concentrations were both 0.030 mg/L. The alpha
PVP concentrations in the three fatalities were determined to be 0.033, 0.054 and
present >20 mg/L. In 18 DUID cases, only 4 cases reported side effects consistent
with synthetic cathinones. Two of the three fatalities indicated histories of
bath salt and/or recreational drug use. At this time, no correlation can be
determined between side effects and alpha-PVP concentrations.
PMID- 27185826
TI - Pseudomonas aeruginosa AmrZ Binds to Four Sites in the algD Promoter, Inducing
DNA-AmrZ Complex Formation and Transcriptional Activation.
AB - During late stages of cystic fibrosis pulmonary infections, Pseudomonas
aeruginosa often overproduces the exopolysaccharide alginate, protecting the
bacterial community from host immunity and antimicrobials. The transcription of
the alginate biosynthesis operon is under tight control by a number of factors,
including AmrZ, the focus of this study. Interestingly, multiple transcription
factors interact with the far-upstream region of this promoter (PalgD), in which
one AmrZ binding site has been identified previously. The mechanisms of AmrZ
binding and subsequent activation remain unclear and require more-detailed
investigation. In this study, in-depth examinations elucidated four AmrZ binding
sites, and their disruption eliminated AmrZ binding and promoter activation.
Furthermore, our in vitro fluorescence resonance energy transfer experiments
suggest that AmrZ holds together multiple binding sites in PalgD and thereafter
induces the formation of higher-order DNA-AmrZ complexes. To determine the
importance of interactions between those AmrZ oligomers in the cell, a DNA
phasing experiment was performed. PalgD transcription was significantly impaired
when the relative phase between AmrZ binding sites was reversed (5 bp), while a
full-DNA-turn insertion (10 bp) restored promoter activity. Taken together, the
investigations presented here provide a deeper mechanistic understanding of AmrZ
mediated binding to PalgD IMPORTANCE: Overproduction of the exopolysaccharide
alginate provides protection to Pseudomonas aeruginosa against antimicrobial
treatments and is associated with chronic P. aeruginosa infections in the lungs
of cystic fibrosis patients. In this study, we combined a variety of
microbiological, genetic, biochemical, and biophysical approaches to investigate
the activation of the alginate biosynthesis operon promoter by a key
transcription factor named AmrZ. This study has provided important new
information on the mechanism of activation of this extremely complex promoter.
PMID- 27185825
TI - F420H2 Is Required for Phthiocerol Dimycocerosate Synthesis in Mycobacteria.
AB - Phthiocerol dimycocerosates (PDIM) are a group of cell surface-associated apolar
lipids of Mycobacterium tuberculosis and closely related mycobacteria, such as
Mycobacterium bovis and Mycobacterium leprae A characteristic methoxy group of
these lipids is generated from the methylation of a hydroxyl group of the direct
precursors, the phthiotriols. The precursors arise from the reduction of
phthiodiolones, the keto intermediates, by a ketoreductase. The putative
phthiodiolone ketoreductase (PKR) is encoded by Rv2951c in M. tuberculosis and
BCG_2972c in M. bovis BCG, and these open reading frames (ORFs) encode identical
amino acid sequences. We investigated the cofactor requirement of the BCG_2972c
protein. A comparative analysis based on the crystallographic structures of
similar enzymes identified structural elements for binding of coenzyme F420 and
hydrophobic phthiodiolones in PKR. Coenzyme F420 is a deazaflavin coenzyme that
serves several key functions in pathogenic and nonpathogenic mycobacteria. We
found that an M. bovis BCG mutant lacking F420-dependent glucose-6-phosphate
dehydrogenase (Fgd), which generates F420H2 (glucose-6-phosphate + F420 -> 6
phosphogluconate + F420H2), was devoid of phthiocerols and accumulated
phthiodiolones. When the mutant was provided with F420H2, a broken-cell slurry of
the mutant converted accumulated phthiodiolones to phthiocerols; F420H2 was
generated in situ from F420 and glucose-6-phosphate by the action of Fgd. Thus,
the reaction mixture was competent in reducing phthiodiolones to phthiotriols
(phthiodiolones + F420H2 -> phthiotriols + F420), which were then methylated to
phthiocerols. These results established the mycobacterial phthiodiolone
ketoreductase as an F420H2-dependent enzyme (fPKR). A phylogenetic analysis of
close homologs of fPKR revealed potential F420-dependent lipid-modifying enzymes
in a broad range of mycobacteria. IMPORTANCE: Mycobacterium tuberculosis is the
causative agent of tuberculosis, and phthiocerol dimycocerosates (PDIM) protect
this pathogen from the early innate immune response of an infected host. Thus,
the PDIM synthesis system is a potential target for the development of effective
treatments for tuberculosis. The current study shows that a PDIM synthesis enzyme
is dependent on the coenzyme F420 F420 is universally present in mycobacteria and
absent in humans. This finding expands the number of experimentally validated
F420-dependent enzymes in M. tuberculosis to six, each of which helps the
pathogen to evade killing by the host immune system, and one of which activates
an antituberculosis drug, PA-824. This work also has relevance to leprosy, since
similar waxy lipids are found in Mycobacterium leprae.
PMID- 27185827
TI - Amyloid Structures as Biofilm Matrix Scaffolds.
AB - Recent insights into bacterial biofilm matrix structures have induced a paradigm
shift toward the recognition of amyloid fibers as common building block
structures that confer stability to the exopolysaccharide matrix. Here we
describe the functional amyloid systems related to biofilm matrix formation in
both Gram-negative and Gram-positive bacteria and recent knowledge regarding the
interaction of amyloids with other biofilm matrix components such as
extracellular DNA (eDNA) and the host immune system. In addition, we summarize
the efforts to identify compounds that target amyloid fibers for therapeutic
purposes and recent developments that take advantage of the amyloid structure to
engineer amyloid fibers of bacterial biofilm matrices for biotechnological
applications.
PMID- 27185828
TI - Regulatory Requirements for Staphylococcus aureus Nitric Oxide Resistance.
AB - The ability of Staphylococcus aureus to resist host innate immunity augments the
severity and pervasiveness of its pathogenesis. Nitric oxide (NO) is an innate
immune radical that is critical for the efficient clearance of a wide range of
microbial pathogens. Exposure of microbes to NO typically results in growth
inhibition and induction of stress regulons. S. aureus, however, induces a
metabolic state in response to NO that allows for continued replication and
precludes stress regulon induction. The regulatory factors mediating this
distinctive response remain largely undefined. Here, we employ a targeted
transposon screen and transcriptomics to identify and characterize five regulons
essential for NO resistance in S. aureus: three virulence regulons not formerly
associated with NO resistance, SarA, CodY, and Rot, as well as two regulons with
established roles, Fur and SrrAB. We provide new insights into the contributions
of Fur and SrrAB during NO stress and show that the S. aureus DeltasarA mutant,
the most sensitive of the newly identified mutants, exhibits metabolic
dysfunction and widespread transcriptional dysregulation following NO exposure.
Altogether, our results broadly characterize the regulatory requirements for NO
resistance in S. aureus and suggest an intriguing overlap between the regulation
of NO resistance and virulence in this well-adapted human pathogen. IMPORTANCE:
The prolific human pathogen Staphylococcus aureus is uniquely capable of
resisting the antimicrobial radical nitric oxide (NO), a crucial component of the
innate immune response. However, a complete understanding of how S. aureus
regulates an effective response to NO is lacking. Here, we implicate three
central virulence regulators, SarA, CodY, and Rot, as major players in the S.
aureus NO response. Additionally, we elaborate on the contribution of two
regulators, SrrAB and Fur, already known to play a crucial role in S. aureus NO
resistance. Our study sheds light on a unique facet of S. aureus pathogenicity
and demonstrates that the transcriptional response of S. aureus to NO is highly
pleiotropic and intrinsically tied to metabolism and virulence regulation.
PMID- 27185830
TI - Implementing Intellectual Property of Pharmaceuticals in Middle-Income Countries:
A Case Study of Patent Regulation in Brazil.
AB - The protection of pharmaceutical intellectual property (IP) rights is one of the
most controversial debates in contemporary public health as countries have to
balance incentives for drug development with the necessity of providing life
saving drugs. Compliance with IP protections is mandatory for members of the
World Trade Organization (WTO). However, because of the costs associated with IP
implementation we should expect late and/or poor implementation in middle-income
countries. Surprisingly, this was not the case in Brazil. The country not only
just fully implemented the WTO's requirement but declined the grace period
granted for countries to adapt and included extra IP protections, going against a
coalition of local industrialists and activists. Notwithstanding, as the
consequences of IP regulations unfolds, Brazil also promoted new alliances that
tailored and adjusted the regulations toward public health. We demonstrate that
arguments of foreign pressure and lobbying are exaggerated and call attention to
domestic shifts, long-term processes of regulatory decision, and political
dynamics happening at the local level. By analyzing the case of Brazil, we
provide a nuanced contribution to the discussion of IP implementation in middle
income countries and call attention to new models of government-society
interactions in regulatory policy.
PMID- 27185829
TI - Identification of a Lipoteichoic Acid Glycosyltransferase Enzyme Reveals that GW
Domain-Containing Proteins Can Be Retained in the Cell Wall of Listeria
monocytogenes in the Absence of Lipoteichoic Acid or Its Modifications.
AB - Listeria monocytogenes is a foodborne Gram-positive bacterial pathogen, and many
of its virulence factors are either secreted proteins or proteins covalently or
noncovalently attached to the cell wall. Previous work has indicated that
noncovalently attached proteins with GW (glycine-tryptophan) domains are retained
in the cell wall by binding to the cell wall polymer lipoteichoic acid (LTA). LTA
is a glycerol phosphate polymer, which is modified in L. monocytogenes with
galactose and d-alanine residues. We identified Lmo0933 as the cytoplasmic
glycosyltransferase required for the LTA glycosylation process and renamed the
protein GtlA, for glycosyltransferase LTA A Using L. monocytogenes mutants
lacking galactose or d-alanine modifications or the complete LTA polymer, we show
that GW domain proteins are retained within the cell wall, indicating that other
cell wall polymers are involved in the retention of GW domain proteins. Further
experiments revealed peptidoglycan as the binding receptor as a purified GW
domain fusion protein can bind to L. monocytogenes cells lacking wall teichoic
acid (WTA) as well as purified peptidoglycan derived from a wild-type or WTA
negative strain. With this, we not only identify the first enzyme involved in the
LTA glycosylation process, but we also provide new insight into the binding
mechanism of noncovalently attached cell wall proteins. IMPORTANCE: Over the past
20 years, a large number of bacterial genome sequences have become available.
Computational approaches are used for the genome annotation and identification of
genes and encoded proteins. However, the function of many proteins is still
unknown and often cannot be predicted bioinformatically. Here, we show that the
previously uncharacterized Listeria monocytogenes gene lmo0933 likely codes for a
glycosyltransferase required for the decoration of the cell wall polymer
lipoteichoic acid (LTA) with galactose residues. Using L. monocytogenes mutants
lacking LTA modifications or the complete polymer, we show that specific cell
wall proteins, often associated with virulence, are retained within the cell
wall, indicating that additional cell wall polymers are involved in their
retention.
PMID- 27185832
TI - Correction: TspanC8 tetraspanins regulate ADAM10/Kuzbanian trafficking and
promote Notch activation in flies and mammals.
PMID- 27185831
TI - How Mesp1 makes a move.
AB - The transcription factors Mesp1 and Mesp2 have essential roles in the migration
and specification of multipotent progenitor cells at the onset of cardiogenesis.
Chiapparo et al. (2016. J. Cell Biol http://dx.doi.org/10.1083/jcb.201505082)
identify common Mesp functions in fate specification and Mesp1-specific targets
controlling the speed and direction of progenitor cell migration.
PMID- 27185835
TI - The structured core of human beta tubulin confers isotype-specific polymerization
properties.
AB - Diversity in cytoskeleton organization and function may be achieved through
variations in primary sequence of tubulin isotypes. Recently, isotype functional
diversity has been linked to a "tubulin code" in which the C-terminal tail, a
region of substantial sequence divergence between isotypes, specifies
interactions with microtubule-associated proteins. However, it is not known
whether residue changes in this region alter microtubule dynamic instability.
Here, we examine recombinant tubulin with human beta isotype IIB and characterize
polymerization dynamics. Microtubules with betaIIB have catastrophe frequencies
approximately threefold lower than those with isotype betaIII, a suppression
similar to that achieved by regulatory proteins. Further, we generate chimeric
beta tubulins with native tail sequences swapped between isotypes. These chimeras
have catastrophe frequencies similar to that of the corresponding full-length
construct with the same core sequence. Together, our data indicate that residue
changes within the conserved beta tubulin core are largely responsible for the
observed isotype-specific changes in dynamic instability parameters and tune
tubulin's polymerization properties across a wide range.
PMID- 27185833
TI - Mesp1 controls the speed, polarity, and directionality of cardiovascular
progenitor migration.
AB - During embryonic development, Mesp1 marks the earliest cardiovascular progenitors
(CPs) and promotes their specification, epithelial-mesenchymal transition (EMT),
and cardiovascular differentiation. However, Mesp1 deletion in mice does not
impair initial CP specification and early cardiac differentiation but induces
cardiac malformations thought to arise from a defect of CP migration. Using
inducible gain-of-function experiments during embryonic stem cell
differentiation, we found that Mesp2, its closest homolog, was as efficient as
Mesp1 at promoting CP specification, EMT, and cardiovascular differentiation.
However, only Mesp1 stimulated polarity and directional cell migration through a
cell-autonomous mechanism. Transcriptional analysis and chromatin
immunoprecipitation experiments revealed that Mesp1 and Mesp2 activate common
target genes that promote CP specification and differentiation. We identified two
direct Mesp1 target genes, Prickle1 and RasGRP3, that are strongly induced by
Mesp1 and not by Mesp2 and that control the polarity and the speed of cell
migration. Altogether, our results identify the molecular interface controlled by
Mesp1 that links CP specification and cell migration.
PMID- 27185834
TI - Diaphanous formin mDia2 regulates CENP-A levels at centromeres.
AB - Centromeres of higher eukaryotes are epigenetically defined by centromere protein
A (CENP-A), a centromere-specific histone H3 variant. The incorporation of new
CENP-A into centromeres to maintain the epigenetic marker after genome
replication in S phase occurs in G1 phase; however, how new CENP-A is loaded and
stabilized remains poorly understood. Here, we identify the formin mDia2 as
essential for stable replenishment of new CENP-A at centromeres. Quantitative
imaging, pulse-chase analysis, and high-resolution ratiometric live-cell studies
demonstrate that mDia2 and its nuclear localization are required to maintain CENP
A levels at centromeres. Depletion of mDia2 results in a prolonged centromere
association of holiday junction recognition protein (HJURP), the chaperone
required for CENP-A loading. A constitutively active form of mDia2 rescues the
defect in new CENP-A loading caused by depletion of male germ cell Rac GTPase
activating protein (MgcRacGAP), a component of the small GTPase pathway essential
for CENP-A maintenance. Thus, the formin mDia2 functions downstream of the
MgcRacGAP-dependent pathway in regulating assembly of new CENP-A containing
nucleosomes at centromeres.
PMID- 27185836
TI - Asterless is required for centriole length control and sperm development.
AB - Centrioles are the foundation of two organelles, centrosomes and cilia. Centriole
numbers and functions are tightly controlled, and mutations in centriole proteins
are linked to a variety of diseases, including microcephaly. Loss of the
centriole protein Asterless (Asl), the Drosophila melanogaster orthologue of
Cep152, prevents centriole duplication, which has limited the study of its
nonduplication functions. Here, we identify populations of cells with Asl-free
centrioles in developing Drosophila tissues, allowing us to assess its
duplication-independent function. We show a role for Asl in controlling centriole
length in germline and somatic tissue, functioning via the centriole protein
Cep97. We also find that Asl is not essential for pericentriolar material
recruitment or centrosome function in organizing mitotic spindles. Lastly, we
show that Asl is required for proper basal body function and spermatid axoneme
formation. Insights into the role of Asl/Cep152 beyond centriole duplication
could help shed light on how Cep152 mutations lead to the development of
microcephaly.
PMID- 27185837
TI - DSCR1 is required for both axonal growth cone extension and steering.
AB - Local information processing in the growth cone is essential for correct wiring
of the nervous system. As an axon navigates through the developing nervous
system, the growth cone responds to extrinsic guidance cues by coordinating axon
outgrowth with growth cone steering. It has become increasingly clear that axon
extension requires proper actin polymerization dynamics, whereas growth cone
steering involves local protein synthesis. However, molecular components
integrating these two processes have not been identified. Here, we show that Down
syndrome critical region 1 protein (DSCR1) controls axon outgrowth by modulating
growth cone actin dynamics through regulation of cofilin activity
(phospho/dephospho-cofilin). Additionally, DSCR1 mediates brain-derived
neurotrophic factor-induced local protein synthesis and growth cone turning. Our
study identifies DSCR1 as a key protein that couples axon growth and pathfinding
by dually regulating actin dynamics and local protein synthesis.
PMID- 27185839
TI - When the Minimal Becomes Measurable.
PMID- 27185840
TI - Reply to C.S. Hourigan et al.
PMID- 27185838
TI - Validation of a Prediction Tool for Chemotherapy Toxicity in Older Adults With
Cancer.
AB - PURPOSE: Older adults are at increased risk for chemotherapy toxicity, and
standard oncology assessment measures cannot identify those at risk. A predictive
model for chemotherapy toxicity was developed (N = 500) that consisted of
geriatric assessment questions and other clinical variables. This study aims to
externally validate this model in an independent cohort (N = 250). PATIENTS AND
METHODS: Patients age >= 65 years with a solid tumor, fluent in English, and who
were scheduled to receive a new chemotherapy regimen were recruited from eight
institutions. Risk of chemotherapy toxicity was calculated (low, medium, or high
risk) on the basis of the prediction model before the start of chemotherapy.
Chemotherapy-related toxicity was captured (grade 3 [hospitalization indicated],
grade 4 [life threatening], and grade 5 [treatment-related death]). Validation of
the prediction model was performed by calculating the area under the receiver
operating characteristic curve. RESULTS: The study sample (N = 250) had a mean
age of 73 years (range, 65 to 94 [standard deviation, 5.8]). More than one half
of patients (58%) experienced grade >= 3 toxicity. Risk of toxicity increased
with increasing risk score (36.7% low, 62.4% medium, 70.2% high risk; P < .001).
The area under the curve of the receiver-operating characteristic curve was 0.65
(95% CI, 0.58 to 0.71), which was not statistically different from the
development cohort (0.72; 95% CI, 0.68 to 0.77; P = .09). There was no
association between Karnofsky Performance Status and chemotherapy toxicity (P =
.25). CONCLUSION: This study externally validated a chemotherapy toxicity
predictive model for older adults with cancer. This predictive model should be
considered when discussing the risks and benefits of chemotherapy with older
adults.
PMID- 27185841
TI - Obvious Pitfall in the Estimation of Renal Dysfunction.
PMID- 27185842
TI - Paclitaxel, Ifosfamide, and Cisplatin Efficacy for First-Line Treatment of
Patients With Intermediate- or Poor-Risk Germ Cell Tumors.
AB - PURPOSE: Paclitaxel, ifosfamide, and cisplatin (TIP) achieved complete responses
(CRs) in two thirds of patients with advanced germ cell tumors (GCTs) who
relapsed after first-line chemotherapy with cisplatin and etoposide with or
without bleomycin. We tested the efficacy of first-line TIP in patients with
intermediate- or poor-risk disease. PATIENTS AND METHODS: In this prospective,
multicenter, single-arm phase II trial, previously untreated patients with
International Germ Cell Cancer Collaborative Group poor-risk or modified
intermediate-risk GCTs received four cycles of TIP (paclitaxel 240 mg/m(2) over 2
days, ifosfamide 6 g/m(2) over 5 days with mesna support, and cisplatin 100
mg/m(2) over 5 days) once every 3 weeks with granulocyte colony-stimulating
factor support. The primary end point was the CR rate. RESULTS: Of the first 41
evaluable patients, 28 (68%) achieved a CR, meeting the primary efficacy end
point. After additional accrual on an extension phase, total enrollment was 60
patients, including 40 (67%) with poor risk and 20 (33%) with intermediate risk.
Thirty-eight (68%) of 56 evaluable patients achieved a CR and seven (13%)
achieved partial responses with negative markers (PR-negative) for a favorable
response rate of 80%. Five of seven achieving PR-negative status had seminoma and
therefore did not undergo postchemotherapy resection of residual masses.
Estimated 3-year progression-free survival and overall survival rates were 72%
(poor risk, 63%; intermediate risk, 90%) and 91% (poor risk, 87%; intermediate
risk, 100%), respectively. Grade 3 to 4 toxicities consisted primarily of
reversible hematologic or electrolyte abnormalities, including neutropenic fever
in 18%. CONCLUSION: TIP demonstrated efficacy as first-line therapy for
intermediate- and poor-risk GCTs with an acceptable safety profile. Given higher
rates of favorable response, progression-free survival, and overall survival
compared with prior first-line studies, TIP warrants further study in this
population.
PMID- 27185844
TI - Reply to C.S. Hourigan et al.
PMID- 27185846
TI - Top 50 Most-Cited Journal of Clinical Oncology Articles From 2013.
PMID- 27185843
TI - Randomized Phase II Study of Trabectedin and Doxorubicin Compared With
Doxorubicin Alone as First-Line Treatment in Patients With Advanced Soft Tissue
Sarcomas: A Spanish Group for Research on Sarcoma Study.
AB - PURPOSE: Doxorubicin and trabectedin are considered active drugs in soft tissue
sarcoma (STS). The combination of both drugs was hypothesized to be advantageous
and safe on the basis of preclinical evidence and a previous phase I trial,
respectively. The aim of this study was to compare the clinical outcome of
trabectedin plus doxorubicin with doxorubicin as first-line treatment of advanced
STS patients. PATIENTS AND METHODS: In this open-label randomized phase II trial,
the main end point was progression-free survival (PFS). Trabectedin 1.1 mg/m(2)
in a 3-hour infusion plus doxorubicin 60 mg/m(2) as the experimental arm and
doxorubicin 75 mg/m(2) as the control arm were administered for up to six cycles.
Translational research was planned to correlate the expression of apoptotic and
DNA repair genes with clinical outcome. RESULTS: In 115 randomly assigned
patients, the median PFS was 5.5 months in the control arm and 5.7 months in the
experimental arm (hazard ratio, 1.16; 95% CI, 0.79 to 1.71; P = .45) in the
intent-to-treat analysis. The trial was stopped for futility after the interim
analysis, because the results in the experimental arm showed the risk reduction
for the main end point to be < 9.64%. The proportion of patients with grade 3 or
4 thrombocytopenia, asthenia, and liver toxicity was significantly higher in the
experimental arm. FAS and p53 were shown to be prognostic factors for PFS (7.0
months if FAS+ and p53-; 3.4 months if FAS+/p53+ or FAS-/p53-; and 0.7 months if
FAS- and p53+; P < .001) and for overall survival. CONCLUSION: Trabectedin plus
doxorubicin did not show superiority over doxorubicin alone as first-line
treatment of advanced STS. The prognostic role of apoptotic key genes, FAS and
p53, was shown to be robust enough to continue this research line.
PMID- 27185847
TI - Renal Dysfunction Has Statistically and Clinically Significant Deleterious
Effects on Anticancer Drug Safety.
PMID- 27185845
TI - Reply to V. Launay-Vacher, T. Shimokata et al, and C. Porta et al.
PMID- 27185848
TI - Reply to H. Laubli et al.
PMID- 27185850
TI - Still Refining Adjuvant Endocrine Therapy in Premenopausal Women: Not Too Much,
Not Too Little.
PMID- 27185849
TI - Minimal Treatment of Low-Risk, Pediatric Lymphocyte-Predominant Hodgkin Lymphoma:
A Report From the Children's Oncology Group.
AB - PURPOSE: Children's Oncology Group study AHOD03P1 was designed to determine
whether excellent outcomes can be maintained for patients with low-risk,
pediatric lymphocyte-predominant Hodgkin lymphoma (LPHL) with a strategy of
resection alone or minimal chemotherapy. PATIENTS AND METHODS: Patients with
stage IA LPHL in a single node that was completely resected were observed without
further therapy; recurrences were treated with three cycles of
doxorubicin/vincristine/prednisone/cyclophosphamide (AV-PC). Patients with
unresected stage IA or stage IIA LPHL were treated with three cycles of AV-PC.
Patients with less than a complete response (CR) to AV-PC received 21-Gy involved
field radiation therapy (IFRT). RESULTS: A total of 183 eligible patients were
enrolled; 178 were evaluable. Of these, 52 patients underwent complete resection
of a single node. There were 13 relapses at a median of 11.5 months; 5-year event
free survival (EFS) was 77% (range, 62% to 87%). A total of 135 patients received
AV-PC; 126 were treated at diagnosis and nine at relapse after surgery alone.
Eleven patients receiving AV-PC had less than CR and received IFRT. Fourteen
first events occurred among 135 patients (12 relapses and two second
malignancies). Two relapses occurred in patients who had received IFRT. Five-year
EFS was 88.8% (95% CI, 81.8% to 93.2%). Five-year EFS for the entire cohort was
85.5% (95% CI, 79.2% to 90.1%); overall survival was 100%. CONCLUSION: Some 75%
of highly selected pediatric patients with LPHL may be spared chemotherapy after
surgical resection alone. Pediatric LPHL has excellent EFS with chemotherapy that
is less intensive than standard regimens; > 90% of patients can avoid radiation
therapy. The salvage rate for the few relapses is high, with 100% survival
overall.
PMID- 27185851
TI - Antimetastatic Properties of Low Molecular Weight Heparin.
PMID- 27185852
TI - Harmonization of Renal Function Assessment Is Needed Throughout the Whole Process
of Anticancer Drug Development.
PMID- 27185853
TI - A neuroprotective role for microglia in prion diseases.
AB - Microglial activation is a hallmark of most neurodegenerative disorders, and is
particularly conspicuous in prion diseases. However, the role of microglia, which
function as both primary immune effector cells and professional phagocytes in the
central nervous system, remains contentious in the context of neurodegeneration.
Here, we evaluated the effect of microglial depletion/deficiency on prion
pathogenesis. We found that ganciclovir-mediated microglial ablation on
tga20/CD11b-thymidine kinase of Herpes simplex virus (HSVTK) cerebellar
organotypic cultured slices markedly aggravated prion-induced neurotoxicity. A
similar deterioration of disease was recapitulated in in vivo microglial
depletion in prion-infected tga20/CD11b-HSVTK mice. Additionally, deficiency of
microglia in interleukin 34 knockout (IL34(-/-)) mice again resulted in
significantly augmented proteinase K-resistant prion protein deposition and
accelerated prion disease progression. These results provide unambiguous evidence
for a general protective role of microglia in prion pathogenesis.
PMID- 27185854
TI - The transcription factor Zeb2 regulates development of conventional and
plasmacytoid DCs by repressing Id2.
AB - Plasmacytoid dendritic cells (DCs [pDCs]) develop from pre-pDCs, whereas two
lineages of conventional DCs (cDCs; cDC1s and cDC2s) develop from lineage
committed pre-cDCs. Several transcription factors (TFs) have been implicated in
regulating the development of pDCs (E2-2 and Id2) and cDC1s (Irf8, Id2, and
Batf3); however, those required for the early commitment of pre-cDCs toward the
cDC2 lineage are unknown. Here, we identify the TF zinc finger E box-binding
homeobox 2 (Zeb2) to play a crucial role in regulating DC development. Zeb2 was
expressed from the pre-pDC and pre-cDC stage onward and highly expressed in
mature pDCs and cDC2s. Mice conditionally lacking Zeb2 in CD11c(+) cells had a
cell-intrinsic reduction in pDCs and cDC2s, coupled with an increase in cDC1s.
Conversely, mice in which CD11c(+) cells overexpressed Zeb2 displayed a reduction
in cDC1s. This was accompanied by altered expression of Id2, which was up
regulated in cDC2s and pDCs from conditional knockout mice. Zeb2 chromatin
immunoprecipitation analysis revealed Id2 to be a direct target of Zeb2. Thus, we
conclude that Zeb2 regulates commitment to both the cDC2 and pDC lineages through
repression of Id2.
PMID- 27185855
TI - A nonsense mutation in the DNA repair factor Hebo causes mild bone marrow failure
and microcephaly.
AB - Inherited bone marrow failure syndromes are human conditions in which one or
several cell lineages of the hemopoietic system are affected. They are present at
birth or may develop progressively. They are sometimes accompanied by other
developmental anomalies. Three main molecular causes have been recognized to
result in bone marrow failure syndromes: (1) defects in the Fanconi anemia
(FA)/BRCA DNA repair pathway, (2) defects in telomere maintenance, and (3)
abnormal ribosome biogenesis. We analyzed a patient with mild bone marrow failure
and microcephaly who did not present with the typical FA phenotype. Cells from
this patient showed increased sensitivity to ionizing radiations and phleomycin,
attesting to a probable DNA double strand break (dsb) repair defect. Linkage
analysis and whole exome sequencing revealed a homozygous nonsense mutation in
the ERCC6L2 gene. We identified a new ERCC6L2 alternative transcript encoding the
DNA repair factor Hebo, which is critical for complementation of the patient's
DNAdsb repair defect. Sequence analysis revealed three structured regions within
Hebo: a TUDOR domain, an adenosine triphosphatase domain, and a new domain, HEBO,
specifically present in Hebo direct orthologues. Hebo is ubiquitously expressed,
localized in the nucleus, and rapidly recruited to DNAdsb's in an NBS1-dependent
manner.
PMID- 27185856
TI - Bridging channel dendritic cells induce immunity to transfused red blood cells.
AB - Red blood cell (RBC) transfusion is a life-saving therapeutic tool. However, a
major complication in transfusion recipients is the generation of antibodies
against non-ABO alloantigens on donor RBCs, potentially resulting in hemolysis
and renal failure. Long-lived antibody responses typically require CD4(+) T cell
help and, in murine transfusion models, alloimmunization requires a spleen. Yet,
it is not known how RBC-derived antigens are presented to naive T cells in the
spleen. We sought to answer whether splenic dendritic cells (DCs) were essential
for T cell priming to RBC alloantigens. Transient deletion of conventional DCs at
the time of transfusion or splenic DC preactivation before RBC transfusion
abrogated T and B cell responses to allogeneic RBCs, even though transfused RBCs
persisted in the circulation for weeks. Although all splenic DCs phagocytosed
RBCs and activated RBC-specific CD4(+) T cells in vitro, only bridging channel
33D1(+) DCs were required for alloimmunization in vivo. In contrast, deletion of
XCR1(+)CD8(+) DCs did not alter the immune response to RBCs. Our work suggests
that blocking the function of one DC subset during a narrow window of time during
RBC transfusion could potentially prevent the detrimental immune response that
occurs in patients who require lifelong RBC transfusion support.
PMID- 27185857
TI - Two distinct voltage-sensing domains control voltage sensitivity and kinetics of
current activation in CaV1.1 calcium channels.
AB - Alternative splicing of the skeletal muscle CaV1.1 voltage-gated calcium channel
gives rise to two channel variants with very different gating properties. The
currents of both channels activate slowly; however, insertion of exon 29 in the
adult splice variant CaV1.1a causes an ~30-mV right shift in the voltage
dependence of activation. Existing evidence suggests that the S3-S4 linker in
repeat IV (containing exon 29) regulates voltage sensitivity in this voltage
sensing domain (VSD) by modulating interactions between the adjacent
transmembrane segments IVS3 and IVS4. However, activation kinetics are thought to
be determined by corresponding structures in repeat I. Here, we use patch-clamp
analysis of dysgenic (CaV1.1 null) myotubes reconstituted with CaV1.1 mutants and
chimeras to identify the specific roles of these regions in regulating channel
gating properties. Using site-directed mutagenesis, we demonstrate that the
structure and/or hydrophobicity of the IVS3-S4 linker is critical for regulating
voltage sensitivity in the IV VSD, but by itself cannot modulate voltage
sensitivity in the I VSD. Swapping sequence domains between the I and the IV VSDs
reveals that IVS4 plus the IVS3-S4 linker is sufficient to confer CaV1.1a-like
voltage dependence to the I VSD and that the IS3-S4 linker plus IS4 is sufficient
to transfer CaV1.1e-like voltage dependence to the IV VSD. Any mismatch of
transmembrane helices S3 and S4 from the I and IV VSDs causes a right shift of
voltage sensitivity, indicating that regulation of voltage sensitivity by the
IVS3-S4 linker requires specific interaction of IVS4 with its corresponding IVS3
segment. In contrast, slow current kinetics are perturbed by any heterologous
sequences inserted into the I VSD and cannot be transferred by moving VSD I
sequences to VSD IV. Thus, CaV1.1 calcium channels are organized in a modular
manner, and control of voltage sensitivity and activation kinetics is
accomplished by specific molecular mechanisms within the IV and I VSDs,
respectively.
PMID- 27185858
TI - TRPM7 is a molecular substrate of ATP-evoked P2X7-like currents in tumor cells.
AB - Within the ion channel-coupled purine receptor (P2X) family, P2X7 has gained
particular interest because of its role in immune responses and in the growth
control of several malignancies. Typical hallmarks of P2X7 are nonselective and
noninactivating cation currents that are elicited by high concentrations (0.1-10
mM) of extracellular ATP. Here, we observe spurious ATP-induced currents in
HEK293 cells that neither express P2X7 nor display ATP-induced Ca(2+) influx or
Yo-Pro-1 uptake. Although the biophysical properties of these ionic currents
resemble those of P2X7 in terms of their reversal potential close to 0 mV,
nonrectifying current-voltage relationship, current run-up during repeated ATP
application, and augmentation in bath solutions containing low divalent cation
(DIC) concentrations, they are poorly inhibited by established P2X7 antagonists.
Because high ATP concentrations reduce the availability of DICs, these findings
prompted us to ask whether other channel entities may become activated by our
experimental regimen. Indeed, a bath solution with no added DICs yields similar
currents and also a rapidly inactivating Na(+)-selective conductance. We provide
evidence that TRPM7 and ASIC1a (acid-sensing ion channel type Ia)-like channels
account for these noninactivating and phasic current components, respectively.
Furthermore, we find ATP-induced currents in rat C6 glioma cells, which lack
functional P2X receptors but express TRPM7. Thus, the observation of an atypical
P2X7-like conductance may be caused by the activation of TRPM7 by ATP, which
scavenges free DICs and thereby releases TRPM7 from permeation block. Because
TRPM7 has a critical role in controlling the intracellular Mg(2+) homeostasis and
regulating tumor growth, these data imply that the proposed role of P2X7 in C6
glioma cell proliferation deserves reevaluation.
PMID- 27185861
TI - 'Two Minds with but a Single Thought ...'.
PMID- 27185859
TI - Endothelial HO-1 induction by model TG-rich lipoproteins is regulated through a
NOX4-Nrf2 pathway.
AB - Circulating levels of chylomicron remnants (CMRs) increase postprandially and
their composition directly reflects dietary lipid intake. These TG-rich
lipoproteins likely contribute to the development of endothelial dysfunction,
albeit via unknown mechanisms. Here, we investigated how the FA composition of
CMRs influences their actions on human aortic endothelial cells (HAECs) by
comparing the effects of model CMRs-artificial TG-rich CMR-like particles (A
CRLPs)-containing TGs extracted from fish, DHA-rich algal, corn, or palm oils.
HAECs responded with distinct transcriptional programs according to A-CRLP TG
content and oxidation status, with genes involved in antioxidant defense and
cytoprotection most prominently affected by n-3 PUFA-containing A-CRLPs. These
particles were significantly more efficacious inducers of heme oxygenase-1 (HO-1)
than n-6 PUFA corn or saturated FA-rich palm CRLPs. Mechanistically, HO-1
induction by all CRLPs requires NADPH oxidase 4, with PUFA-containing particles
additionally dependent upon mitochondrial reactive oxygen species. Activation of
both p38 MAPK and PPARbeta/delta culminates in increased nuclear factor erythroid
2-related factor 2 (Nrf2) expression/nuclear translocation and HO-1 induction.
These studies define new molecular pathways coupling endothelial cell activation
by model CMRs with adaptive regulation of Nrf2-dependent HO-1 expression and may
represent key mechanisms through which dietary FAs differentially impact
progression of endothelial dysfunction.
PMID- 27185862
TI - T cell adhesion triggers an early signaling pole distal to the immune synapse.
AB - The immunological synapse forms at the interface between a T cell and an antigen
presenting cell after foreign antigen recognition. The immunological synapse is
considered to be the site where the signaling cascade leading to T lymphocyte
activation is triggered. Here, we show that another signaling region can be
detected before formation of the synapse at the opposite pole of the T cell. This
structure appears during the first minute after the contact forms, is transient
and contains all the classic components that have been previously described at
the immunological synapse. Its formation is independent of antigen recognition
but is driven by adhesion itself. It constitutes a reservoir of signaling
molecules that are potentially ready to be sent to the immunological synapse
through a microtubule-dependent pathway. The antisynapse can thus be considered
as a pre-synapse that is triggered independently of antigen recognition.
PMID- 27185860
TI - Partitioning-Defective 1a/b Depletion Impairs Glomerular and Proximal Tubule
Development.
AB - The kidney is a highly polarized epithelial organ that develops from
undifferentiated mesenchyme, although the mechanisms that regulate the
development of renal epithelial polarity are incompletely understood.
Partitioning-defective 1 (Par1) proteins have been implicated in cell polarity
and epithelial morphogenesis; however, the role of these proteins in the
developing kidney has not been established. Therefore, we studied the
contribution of Par1a/b to renal epithelial development. We examined the renal
phenotype of newborn compound mutant mice carrying only one allele of Par1a or
Par1b. Loss of three out of four Par1a/b alleles resulted in severe renal
hypoplasia, associated with impaired ureteric bud branching. Compared with
kidneys of newborn control littermates, kidneys of newborn mutant mice exhibited
dilated proximal tubules and immature glomeruli, and the renal proximal tubular
epithelia lacked proper localization of adhesion complexes. Furthermore, Par1a/b
mutants expressed low levels of renal Notch ligand Jag1, activated Notch2, and
Notch effecter Hes1. Together, these data demonstrate that Par1a/b has a key role
in glomerular and proximal tubule development, likely via modulation of Notch
signaling.
PMID- 27185863
TI - Retinoic-acid-mediated HRas stabilization induces neuronal differentiation of
neural stem cells during brain development.
AB - Ras signaling is tightly regulated during neural stem cell (NSC) differentiation,
and defects in this pathway result in aberrant brain development. However, the
mechanism regulating Ras signaling during NSC differentiation was unknown. Here,
we show that stabilized HRas specifically induces neuronal differentiation of
NSCs. Lentivirus-mediated HRas overexpression and knockdown resulted in
stimulation and inhibition, respectively, of NSC differentiation into neuron in
the ex vivo embryo. Retinoic acid, an active metabolite of vitamin A, promoted
neuronal differentiation of NSCs by stabilizing HRas, and HRas knockdown blocked
the retinoic acid effect. Vitamin-A-deficient mice displayed abnormal brain
development with reduced HRas levels and a reduced thickness of the postmitotic
region containing differentiated neurons. All of these abnormal phenotypes were
rescued with the restoration of HRas protein levels achieved upon feeding with a
retinoic-acid-supplemented diet. In summary, this study shows that retinoic acid
stabilizes HRas protein during neurogenesis, and that this is required for NSC
differentiation into neurons and murine brain development.
PMID- 27185864
TI - Cdk-dependent phosphorylation regulates TRF1 recruitment to PML bodies and
promotes C-circle production in ALT cells.
AB - TRF1, a duplex telomeric DNA binding protein, is implicated in homologous
recombination-based alternative lengthening of telomeres, known as ALT. However,
how TRF1 promotes ALT activity has yet to be fully characterized. Here we report
that Cdk-dependent TRF1 phosphorylation on T371 acts as a switch to create a pool
of TRF1, referred to as (pT371)TRF1, which is recruited to ALT-associated PML
bodies (APBs) in S and G2 phases independently of its binding to telomeric DNA.
We find that phosphorylation of T371 is essential for APB formation and C-circle
production, both of which are hallmarks of ALT. We show that the interaction of
(pT371)TRF1 with APBs is dependent upon ATM and homologous-recombination
promoting factors Mre11 and BRCA1. In addition, (pT371)TRF1 interaction with APBs
is sensitive to transcription inhibition, which also reduces DNA damage at
telomeres. Furthermore, overexpression of RNaseH1 impairs (pT371)TRF1 recruitment
to APBs in the presence of campothecin, an inhibitor that prevents topoisomerase
I from resolving RNA-DNA hybrids. These results suggest that transcription
associated DNA damage, perhaps arising from processing RNA-DNA hybrids at
telomeres, triggers (pT371)TRF1 recruitment to APBs to facilitate ALT activity.
PMID- 27185865
TI - CEP295 interacts with microtubules and is required for centriole elongation.
AB - Centriole duplication is a tightly ordered process during which procentrioles are
assembled in G1-S and elongate during S and G2. Here, we show that human CEP295
(Drosophila Ana1) is not essential for initial cartwheel assembly, but is
required to build distal half centrioles during S and G2. Using super-resolution
and immunogold electron microscopy, we demonstrate that CEP295 is recruited to
the proximal end of procentrioles in early S phase, when it is also localized at
the centriolar microtubule wall that surrounds the human SAS6 cartwheel hub.
Interestingly, depletion of CEP295 not only inhibits the recruitments of POC5 and
POC1B to the distal half centrioles in G2, resulting in shorter centrioles, it
also blocks the post-translational modification of centriolar microtubules (e.g.
acetylation and glutamylation). Importantly, our results indicate that CEP295
directly interacts with microtubules, and that excess CEP295 could induce the
assembly of overly long centrioles. Furthermore, exogenous expression of the N
terminal domain of CEP295 exerts a dominant-negative effect on centriole
elongation. Collectively, these findings suggest that CEP295 is essential for
building the distal half centrioles and for post-translational modification of
centriolar microtubules.
PMID- 27185866
TI - Long-term glucocorticoid effect on bone mineral density in patients with
congenital adrenal hyperplasia due to 21-hydroxylase deficiency.
AB - INTRODUCTION: Patients with 21-hydroxylase deficiency (21OHD) assume a lifelong
glucocorticoid (GC) therapy. Excessive GC treatment increases the risk of
osteoporosis and bone fractures, even though the role of substitutive therapy is
not fully established: we analyzed the effect of GC dose on bone metabolism and
bone mineral density (BMD) over time in patients with 21OHD. METHODS: We studied
bone metabolism markers and BMD in 38 adult patients with 21OHD (19-47 years, 24
females and 14 males) and 38 matched healthy control. In 15 patients, BMD data
were available at both baseline and after a long-term follow-up. RESULTS: BMD was
lower in patients than in controls at lumbar spine (0.961+/-0.1g/cm(2) vs 1.02+/
0.113g/cm(2), P=0.014) and femur neck (0.736+/-0.128g/cm(2) vs 0.828+/
0.103g/cm(2), P=0.02); otherwise, after height correction, only femoral neck BMD
was lower in patients (0.458+/-0.081g/cm(2) vs 0.498+/-0.063g/cm(2), P=0.028). In
those 21OHD subjects with at least 10 years follow-up, we observed an increase in
lumbar BMD (P=0.0429) and a decrease in femur neck BMD values (P=0.004).
Cumulative GC dose was not related to bone metabolism or BMD. No patient
experienced clinical fragility fractures. CONCLUSIONS: BMD values are decreased
in patients with 21OHD, which are in part explained by decreased height, but not
by the dose of glucocorticoids. Nevertheless, bone status should be carefully
monitored in patients with 21OHD.
PMID- 27185868
TI - Polymorphisms in MEN1 and DRD2 genes are associated with the occurrence and
characteristics of pituitary adenomas.
AB - OBJECTIVE: Although pituitary adenomas (PAs) affect a significant proportion of
the population, only a fraction have the potential to become clinically relevant
during an individual's lifetime, causing hormonal imbalance or complications due
to mass effect. The overwhelming majority of cases are sporadic and without a
clear familial history, and the genotype-phenotype correlation in PA patients is
poorly understood. Our aim was to investigate the involvement of genes known for
their role in familial cases on drug response and tumor suppression in the
development and pathology of PAs in a patient group from Latvia. DESIGN: The
study included 143 cases and 354 controls, we investigated the role of single
nucleotide polymorphisms (SNPs) in seven genes (SSTR2, SSTR5, DRD2, MEN1, AIP,
GNAS, and PRKAR1A) associated with pituitary tumor occurrence, phenotype, and
clinical symptoms. METHODS: Genotyping of 96 tag and nonsynonymous SNPs was
performed in the genomic regions of interest. RESULTS: We discovered a
significant association (OR=17.8, CI 0.95=2.18-145.5, P=0.0002) between a rare
MEN1 mutation (rs2959656) and clinically active adenoma in our patients.
Additionally, rs7131056 at DRD2 was associated with a higher occurrence of
extrasellar growth in patients with prolactinoma and somatotropinoma (OR=2.79, CI
0.95=1.58-4.95, P=0.0004). CONCLUSIONS: rs2959656, a nonsynonymous variant in
MEN1, is associated with the development of clinically active PA. Furthermore,
rs7131056 in DRD2 contributes to either faster growth of the adenoma or reduced
symptomatic presentation, allowing PAs to become larger before detection.
PMID- 27185867
TI - Molecular CYP21A2 diagnosis in 480 Brazilian patients with congenital adrenal
hyperplasia before newborn screening introduction.
AB - BACKGROUND: Most congenital adrenal hyperplasia (CAH) patients carry CYP21A2
mutations derived from conversion events involving the pseudogene, and the
remaining carry new mutations. OBJECTIVE: To review causal mutations and genotype
phenotype correlation in 480 Brazilian patients. METHODS: DNA was extracted from
158 salt-wasters (SWs), 116 simple virilizing (SV), and 206 nonclassical (NC)
patients. Fourteen point mutations were screened by allele-specific PCR, large
rearrangements by Southern blotting/MLPA, and sequencing was performed in those
with incomplete genotype. The gene founder effect was analyzed by microsatellite
studies. Patients were divided into six genotypes (Null; A: <2%; B: 3-7%; C: >20%
of residual enzymatic activity (EA); D: unknown EA; E: incomplete genotype).
RESULTS: Targeted methodologies defined genotype in 87.6% of classical and in 80%
of NC patients and the addition of sequencing in 100 and 83.5%, respectively. The
most frequent mutations were p.V281L (26.6% of alleles), IVS2-13A/C>G (21.1%),
and p.I172N (7.5%); seven rare mutations and one novel mutation (p.E351V) were
identified. Gene founder effect was observed in all but one (p.W19X) mutation.
Null, A, B, and C genotypes correlated with SW (88%), SW (70%), SV (98%), and NC
forms (100%), respectively. In group D, the p.E351V mutation correlated with
classical form and group E comprised exclusively NC-patients. ACTH-stimulated
17OHP level of 44.3ng/mL was the best cutoff to identify NC-patients carrying
severe mutations. CONCLUSIONS: We identified a good genotype-phenotype
correlation in CAH, providing useful data regarding prediction of disease's
severity; moreover, we suggest that ACTH-stimulated 17OHP levels could predict
carrier status for severe mutations. Sequencing is essential to optimize
molecular diagnosis in Brazilian CAH patients.
PMID- 27185869
TI - Insulin resistance as a predictor of venous thromboembolism in breast cancer.
PMID- 27185870
TI - New drugs for medullary thyroid cancer: new promises?
AB - Medullary thyroid cancer (MTC) is a rare tumor arising from the calcitonin
producing parafollicular C cells of the thyroid gland, occurring either
sporadically or alternatively in a hereditary form based on germline RET
mutations in approximately one-third of cases. Historically, patients with
advanced, metastasized MTC have had a poor prognosis, partly due to limited
response to conventional chemotherapy and radiation therapy. In the past decade,
however, considerable progress has been made in identifying key genetic
alterations and dysregulated signaling pathways paving the way for the evaluation
of a series of multitargeted kinase inhibitors that have started to meaningfully
impact clinical practice. Two drugs, vandetanib and cabozantinib, are now
approved in the US and EU for use in advanced, progressive MTC, with additional
targeted agents also showing promise or awaiting results from clinical trials.
However, the potential for toxicities with significant reduction in quality of
life and lack of curative outcomes has to be carefully weighed against potential
for benefit. Despite significant PFS prolongation observed in randomized clinical
trials, most patients even with metastatic disease enjoy indolent courses with
slow progression observed over years, wherein watchful waiting is still the
preferred strategy. As advanced, progressive MTC is a rare and complex disease, a
multidisciplinary approach centered in specialized centers providing
interdisciplinary expertise in the individualization of available therapeutic
options is preferred. In this review, we summarize current concepts of the
molecular pathogenesis of advanced MTC and discuss results from clinical trials
of targeted agents and also cytotoxic chemotherapy in the context of clinical
implications and future perspectives.
PMID- 27185871
TI - Mortality in acromegaly: a 20-year follow-up study.
AB - OBJECTIVE: It is unclear whether mortality still is increased in acromegaly and
whether there are gender-related differences. We dynamically assessed outcome
during long-term follow-up in our nationwide cohort. PATIENTS AND METHODS: We
studied standardized mortality ratios (SMRs) relative to the general population
and causes of death in acromegaly (n=333) compared with age- and gender-matched
controls (n=4995). RESULTS: During 20 (0-33) years follow-up, 113 (34%) patients
(n=333, 52% women) and 1334 (27%) controls (n=4995) died (P=0.004). SMR (1.9, 95%
CI: 1.53-2.34, P<0.001) and all-cause mortality (OR 1.6, 95% CI: 1.2-2.2,
P<0.001) were increased in acromegaly. Overall distribution of causes of death
(P<0.001) differed between patients and controls but not cardiovascular (34% vs
33%) or cancer deaths (27% vs 27%). In acromegaly, but not in controls, causes of
deaths shifted from 44% cardiovascular and 28% cancer deaths during the first
decade, to 23% cardiovascular and 35% cancer deaths during the next two decades.
In acromegaly, cancer deaths were mostly attributed to pancreatic adenocarcinoma
(n=5), breast (n=4), lung (n=3) and colon (n=3) carcinoma. In acromegaly, men
were younger than women at diagnosis (median 44.5 vs 50 years, P<0.001) and death
(67 vs 76 years, P=0.0015). Compared with controls, women (36% vs 25%, P<0.01),
but not men (31% vs 28%, P=0.44), had increased mortality. CONCLUSIONS: In
acromegaly, men are younger at diagnosis and death than women. Compared with
controls, mortality is increased during 20 years of follow-up, especially in
women. Causes of deaths shift from predominantly cardiovascular to cancer deaths.
PMID- 27185872
TI - IGF2 and IGF1R in pediatric adrenocortical tumors: roles in metastasis and
steroidogenesis.
AB - Deregulation of the IGF system observed in human tumors indicates a role in
malignant cell transformation and in tumor cell proliferation. Although
overexpression of the IGF2 and IGF1R genes was described in adrenocortical tumors
(ACTs), few studies reported their profiles in pediatric ACTs. In this study, the
IGF2 and IGF1R expression was evaluated by RT-qPCR according to the patient's
clinical/pathological features in 60 pediatric ACT samples, and IGF1R protein was
investigated in 45 samples by immunohistochemistry (IHC). Whole transcriptome and
functional assays were conducted after IGF1R inhibition with OSI-906 in NCI-H295A
cell line. Significant IGF2 overexpression was found in tumor samples when
compared with non-neoplastic samples (P<0.001), significantly higher levels of
IGF1R in patients with relapse/metastasis (P=0.031) and moderate/strong IGF1R
immunostaining in 62.2% of ACTs, but no other relationship with patient survival
and clinical/pathological features was observed. OSI-906 treatment downregulated
genes associated with MAPK activity, induced limited reduction of cell viability
and increased the apoptosis rate. After 24h, the treatment also decreased the
expression of genes related to the steroid biosynthetic process, the protein
levels of the steroidogenic acute regulatory protein (STAR), and androgen
secretion in cell medium, supporting the role of IGF1R in steroidogenesis of
adrenocortical carcinoma cells. Our data showed that the IGF1R overexpression
could be indicative of aggressive ACTs in children. However, in vitro treatments
with high concentrations of OSI-906 (>1MUM) showed limited reduction of cell
viability, suggesting that OSI-906 alone could not be a suitable therapy to
abolish carcinoma cell growth.
PMID- 27185873
TI - AMPK Phosphorylates Desnutrin/ATGL and Hormone-Sensitive Lipase To Regulate
Lipolysis and Fatty Acid Oxidation within Adipose Tissue.
AB - The role of AMP-activated protein kinase (AMPK) in promoting fatty acid (FA)
oxidation in various tissues, such as liver and muscle, has been well understood.
However, the role of AMPK in lipolysis and FA metabolism in adipose tissue has
been controversial. To investigate the role of AMPK in the regulation of adipose
lipolysis in vivo, we generated mice with adipose-tissue-specific knockout of
both the alpha1 and alpha2 catalytic subunits of AMPK (AMPK-ASKO mice) by using
aP2-Cre and adiponectin-Cre. Both models of AMPK-ASKO ablation show no changes in
desnutrin/ATGL levels but have defective phosphorylation of desnutrin/ATGL at
S406 to decrease its triacylglycerol (TAG) hydrolase activity, lowering basal
lipolysis in adipose tissue. These mice also show defective phosphorylation of
hormone-sensitive lipase (HSL) at S565, with higher phosphorylation at protein
kinase A sites S563 and S660, increasing its hydrolase activity and isoproterenol
stimulated lipolysis. With higher overall adipose lipolysis, both models of AMPK
ASKO mice are lean, having smaller adipocytes with lower TAG and higher
intracellular free-FA levels. Moreover, FAs from higher lipolysis activate
peroxisome proliferator-activated receptor delta to induce FA oxidative genes and
increase FA oxidation and energy expenditure. Overall, for the first time, we
provide in vivo evidence of the role of AMPK in the phosphorylation and
regulation of desnutrin/ATGL and HSL and thus adipose lipolysis.
PMID- 27185874
TI - Evidence for Multiple Mediator Complexes in Yeast Independently Recruited by
Activated Heat Shock Factor.
AB - Mediator is an evolutionarily conserved coactivator complex essential for RNA
polymerase II transcription. Although it has been generally assumed that in
Saccharomyces cerevisiae, Mediator is a stable trimodular complex, its structural
state in vivo remains unclear. Using the "anchor away" (AA) technique to
conditionally deplete select subunits within Mediator and its reversibly
associated Cdk8 kinase module (CKM), we provide evidence that Mediator's tail
module is highly dynamic and that a subcomplex consisting of Med2, Med3, and
Med15 can be independently recruited to the regulatory regions of heat shock
factor 1 (Hsf1)-activated genes. Fluorescence microscopy of a scaffold subunit
(Med14)-anchored strain confirmed parallel cytoplasmic sequestration of core
subunits located outside the tail triad. In addition, and contrary to current
models, we provide evidence that Hsf1 can recruit the CKM independently of core
Mediator and that core Mediator has a role in regulating postinitiation events.
Collectively, our results suggest that yeast Mediator is not monolithic but
potentially has a dynamic complexity heretofore unappreciated. Multiple species,
including CKM-Mediator, the 21-subunit core complex, the Med2-Med3-Med15 tail
triad, and the four-subunit CKM, can be independently recruited by activated Hsf1
to its target genes in AA strains.
PMID- 27185875
TI - Brg1 Enables Rapid Growth of the Early Embryo by Suppressing Genes That Regulate
Apoptosis and Cell Growth Arrest.
AB - SWI/SNF (switching/sucrose nonfermenting)-dependent chromatin remodeling
establishes coordinated gene expression programs during development, yet
important functional details remain to be elucidated. We show that the Brg1
(Brahma-related gene 1; Smarca4) ATPase is globally expressed at high levels
during postimplantation development and its conditional ablation, beginning at
gastrulation, results in increased apoptosis, growth retardation, and,
ultimately, embryonic death. Global gene expression analysis revealed that genes
upregulated in Rosa26CreERT2; Brg1(flox/flox) embryos (here referred to as
Brg1(d/d) embryos to describe embryos with deletion of the Brg1(flox/flox)
alleles) negatively regulate cell cycle progression and cell growth. In addition,
the p53 (Trp53) protein, which is virtually undetectable in early wild-type
embryos, accumulated in the Brg1(d/d) embryos and activated the p53-dependent
pathways. Using P19 cells, we show that Brg1 and CHD4 (chromodomain helicase DNA
binding protein 4) coordinate to control target gene expression. Both proteins
physically interact and show a substantial overlap of binding sites at chromatin
accessible regions adjacent to genes differentially expressed in the Brg1(d/d)
embryos. Specifically, Brg1 deficiency results in reduced levels of the
repressive histone H3 lysine K27 trimethylation (H3K27me3) histone mark and an
increase in the amount of open chromatin at the regulatory region of the p53 and
p21 (Cdkn1a) genes. These results provide insights into the mechanisms by which
Brg1 functions, which is in part via the p53 program, to constrain gene
expression and facilitate rapid embryonic growth.
PMID- 27185877
TI - Heregulin/ErbB3 Signaling Enhances CXCR4-Driven Rac1 Activation and Breast Cancer
Cell Motility via Hypoxia-Inducible Factor 1alpha.
AB - The growth factor heregulin (HRG), a ligand of ErbB3 and ErbB4 receptors,
contributes to breast cancer development and the promotion of metastatic disease,
and its expression in breast tumors has been associated with poor clinical
outcome and resistance to therapy. In this study, we found that breast cancer
cells exposed to sustained HRG treatment show markedly enhanced Rac1 activation
and migratory activity in response to the CXCR4 ligand SDF-1/CXCL12, effects
mediated by P-Rex1, a Rac-guanine nucleotide exchange factor (GEF) aberrantly
expressed in breast cancer. Notably, HRG treatment upregulates surface expression
levels of CXCR4, a G protein-coupled receptor (GPCR) implicated in breast cancer
metastasis and an indicator of poor prognosis in breast cancer patients. A
detailed mechanistic analysis revealed that CXCR4 upregulation and sensitization
of the Rac response/motility by HRG are mediated by the transcription factor
hypoxia-inducible factor 1alpha (HIF-1alpha) via ErbB3 and independently of
ErbB4. HRG caused prominent induction in the nuclear expression of HIF-1alpha,
which transcriptionally activates the CXCR4 gene via binding to a responsive
element located in positions -1376 to -1372 in the CXCR4 promoter, as revealed by
mutagenesis analysis and chromatin immunoprecipitation (ChIP). Our results
uncovered a novel function for ErbB3 in enhancing breast cancer cell motility and
sensitization of the P-Rex1/Rac1 pathway through HIF-1alpha-mediated
transcriptional induction of CXCR4.
PMID- 27185876
TI - Berardinelli-Seip Congenital Lipodystrophy 2/Seipin Is Not Required for Brown
Adipogenesis but Regulates Brown Adipose Tissue Development and Function.
AB - Brown adipose tissue (BAT) plays a unique role in regulating whole-body energy
homeostasis by dissipating energy through thermogenic uncoupling. Berardinelli
Seip congenital lipodystrophy (BSCL) type 2 (BSCL2; also known as seipin) is a
lipodystrophy-associated endoplasmic reticulum membrane protein essential for
white adipocyte differentiation. Whether BSCL2 directly participates in brown
adipocyte differentiation, development, and function, however, is unknown. We
show that BSCL2 expression is increased during brown adipocyte differentiation.
Its deletion does not impair the classic brown adipogenic program but rather
induces premature activation of differentiating brown adipocytes through cyclic
AMP (cAMP)/protein kinase A (PKA)-mediated lipolysis and fatty acid and glucose
oxidation, as well as uncoupling. cAMP/PKA signaling is physiologically activated
during neonatal BAT development in wild-type mice and greatly potentiated in mice
with genetic deletion of Bscl2 in brown progenitor cells, leading to reduced BAT
mass and lipid content during neonatal brown fat formation. However, prolonged
overactivation of cAMP/PKA signaling during BAT development ultimately causes
apoptosis of brown adipocytes through inflammation, resulting in BAT atrophy and
increased overall adiposity in adult mice. These findings reveal a key cell
autonomous role for BSCL2 in controlling BAT mass/activity and provide novel
insights into therapeutic strategies targeting cAMP/PKA signaling to regulate
brown adipocyte function, viability, and metabolic homeostasis.
PMID- 27185878
TI - Novel MicroRNA Regulators of Atrial Natriuretic Peptide Production.
AB - Atrial natriuretic peptide (ANP) has a central role in regulating blood pressure
in humans. Recently, microRNA 425 (miR-425) was found to regulate ANP production
by binding to the mRNA of NPPA, the gene encoding ANP. mRNAs typically contain
multiple predicted microRNA (miRNA)-binding sites, and binding of different
miRNAs may independently or coordinately regulate the expression of any given
mRNA. We used a multifaceted screening strategy that integrates bioinformatics,
next-generation sequencing data, human genetic association data, and cellular
models to identify additional functional NPPA-targeting miRNAs. Two novel miRNAs,
miR-155 and miR-105, were found to modulate ANP production in human
cardiomyocytes and target genetic variants whose minor alleles are associated
with higher human plasma ANP levels. Both miR-155 and miR-105 repressed NPPA mRNA
in an allele-specific manner, with the minor allele of each respective variant
conferring resistance to the miRNA either by disruption of miRNA base pairing or
by creation of wobble base pairing. Moreover, miR-155 enhanced the repressive
effects of miR-425 on ANP production in human cardiomyocytes. Our study combines
computational, genomic, and cellular tools to identify novel miRNA regulators of
ANP production that could be targeted to raise ANP levels, which may have
applications for the treatment of hypertension or heart failure.
PMID- 27185879
TI - Regulation of KAT6 Acetyltransferases and Their Roles in Cell Cycle Progression,
Stem Cell Maintenance, and Human Disease.
AB - The lysine acetyltransferase 6 (KAT6) histone acetyltransferase (HAT) complexes
are highly conserved from yeast to higher organisms. They acetylate histone H3
and other nonhistone substrates and are involved in cell cycle regulation and
stem cell maintenance. In addition, the human KAT6 HATs are recurrently mutated
in leukemia and solid tumors. Therefore, it is important to understand the
mechanisms underlying the regulation of KAT6 HATs and their roles in cell cycle
progression. In this minireview, we summarize the identification and analysis of
the KAT6 complexes and discuss the regulatory mechanisms governing their
enzymatic activities and substrate specificities. We further focus on the roles
of KAT6 HATs in regulating cell proliferation and stem cell maintenance and
review recent insights that aid in understanding their involvement in human
diseases.
PMID- 27185880
TI - Occludin S471 Phosphorylation Contributes to Epithelial Monolayer Maturation.
AB - Multiple organ systems require epithelial barriers for normal function, and
barrier loss is a hallmark of diseases ranging from inflammation to epithelial
cancers. However, the molecular processes regulating epithelial barrier
maturation are not fully elucidated. After contact, epithelial cells undergo size
reductive proliferation and differentiate, creating a dense, highly ordered
monolayer with high resistance barriers. We provide evidence that the tight
junction protein occludin contributes to the regulation of epithelial cell
maturation upon phosphorylation of S471 in its coiled-coil domain. Overexpression
of a phosphoinhibitory occludin S471A mutant prevents size-reductive
proliferation and subsequent tight junction maturation in a dominant manner.
Inhibition of cell proliferation in cell-contacted but immature monolayers
recapitulated this phenotype. A kinase screen identified G-protein-coupled
receptor kinases (GRKs) targeting S471, and GRK inhibitors delayed epithelial
packing and junction maturation. We conclude that occludin contributes to the
regulation of size-reductive proliferation and epithelial cell maturation in a
phosphorylation-dependent manner.
PMID- 27185881
TI - Determinants of Sir2-Mediated, Silent Chromatin Cohesion.
AB - Cohesin associates with distinct sites on chromosomes to mediate sister chromatid
cohesion. Single cohesin complexes are thought to bind by encircling both sister
chromatids in a topological embrace. Transcriptionally repressed chromosomal
domains in the yeast Saccharomyces cerevisiae represent specialized sites of
cohesion where cohesin binds silent chromatin in a Sir2-dependent fashion. In
this study, we investigated the molecular basis for Sir2-mediated cohesion. We
identified a cluster of charged surface residues of Sir2, collectively termed the
EKDK motif, that are required for cohesin function. In addition, we demonstrated
that Esc8, a Sir2-interacting factor, is also required for silent chromatin
cohesion. Esc8 was previously shown to associate with Isw1, the enzymatic core of
ISW1 chromatin remodelers, to form a variant of the ISW1a chromatin remodeling
complex. When ESC8 was deleted or the EKDK motif was mutated, cohesin binding at
silenced chromatin domains persisted but cohesion of the domains was abolished.
The data are not consistent with cohesin embracing both sister chromatids within
silent chromatin domains. Transcriptional silencing remains largely intact in
strains lacking ESC8 or bearing EKDK mutations, indicating that silencing and
cohesion are separable functions of Sir2 and silent chromatin.
PMID- 27185882
TI - Nitric Oxide Suppresses beta-Cell Apoptosis by Inhibiting the DNA Damage
Response.
AB - Nitric oxide, produced in pancreatic beta cells in response to proinflammatory
cytokines, plays a dual role in the regulation of beta-cell fate. While nitric
oxide induces cellular damage and impairs beta-cell function, it also promotes
beta-cell survival through activation of protective pathways that promote beta
cell recovery. In this study, we identify a novel mechanism in which nitric oxide
prevents beta-cell apoptosis by attenuating the DNA damage response (DDR). Nitric
oxide suppresses activation of the DDR (as measured by gammaH2AX formation and
the phosphorylation of KAP1 and p53) in response to multiple genotoxic agents,
including camptothecin, H2O2, and nitric oxide itself, despite the presence of
DNA damage. While camptothecin and H2O2 both induce DDR activation, nitric oxide
suppresses only camptothecin-induced apoptosis and not H2O2-induced necrosis. The
ability of nitric oxide to suppress the DDR appears to be selective for
pancreatic beta cells, as nitric oxide fails to inhibit DDR signaling in
macrophages, hepatocytes, and fibroblasts, three additional cell types examined.
While originally described as the damaging agent responsible for cytokine-induced
beta-cell death, these studies identify a novel role for nitric oxide as a
protective molecule that promotes beta-cell survival by suppressing DDR signaling
and attenuating DNA damage-induced apoptosis.
PMID- 27185884
TI - Using the Ubiquitin-modified Proteome to Monitor Distinct and Spatially
Restricted Protein Homeostasis Dysfunction.
AB - Protein homeostasis dysfunction has been implicated in the development and
progression of aging related human pathologies. There is a need for the
establishment of quantitative methods to evaluate global protein homoeostasis
function. As the ubiquitin (ub) proteasome system plays a key role in regulating
protein homeostasis, we applied quantitative proteomic methods to evaluate the
sensitivity of site-specific ubiquitylation events as markers for protein
homeostasis dysfunction. Here, we demonstrate that the ub-modified proteome can
exceed the sensitivity of engineered fluorescent reporters as a marker for
proteasome dysfunction and can provide unique signatures for distinct proteome
challenges which is not possible with engineered reporters. We demonstrate that
combining ub-proteomics with subcellular fractionation can effectively separate
degradative and regulatory ubiquitylation events on distinct protein populations.
Using a recently developed potent inhibitor of the critical protein homeostasis
factor p97/VCP, we demonstrate that distinct insults to protein homeostasis
function can elicit robust and largely unique alterations to the ub-modified
proteome. Taken together, we demonstrate that proteomic approaches to monitor the
ub-modified proteome can be used to evaluate global protein homeostasis and can
be used to monitor distinct functional outcomes for spatially separated protein
populations.
PMID- 27185886
TI - Bromodeoxyuridine does not contribute to sister chromatid exchange events in
normal or Bloom syndrome cells.
AB - Sister chromatid exchanges (SCEs) are considered sensitive indicators of genome
instability. Detection of SCEs typically requires cells to incorporate
bromodeoxyuridine (BrdU) during two rounds of DNA synthesis. Previous studies
have suggested that SCEs are induced by DNA replication over BrdU-substituted DNA
and that BrdU incorporation alone could be responsible for the high number of SCE
events observed in cells from patients with Bloom syndrome (BS), a rare genetic
disorder characterized by marked genome instability and high SCE frequency. Here
we show using Strand-seq, a single cell DNA template strand sequencing technique,
that the presence of variable BrdU concentrations in the cell culture medium and
in DNA template strands has no effect on SCE frequency in either normal or BS
cells. We conclude that BrdU does not induce SCEs and that SCEs detected in
either normal or BS cells reflect DNA repair events that occur spontaneously.
PMID- 27185885
TI - G-rich telomeric and ribosomal DNA sequences from the fission yeast genome form
stable G-quadruplex DNA structures in vitro and are unwound by the Pfh1 DNA
helicase.
AB - Certain guanine-rich sequences have an inherent propensity to form G-quadruplex
(G4) structures. G4 structures are e.g. involved in telomere protection and gene
regulation. However, they also constitute obstacles during replication if they
remain unresolved. To overcome these threats to genome integrity, organisms
harbor specialized G4 unwinding helicases. In Schizosaccharomyces pombe, one such
candidate helicase is Pfh1, an evolutionarily conserved Pif1 homolog. Here, we
addressed whether putative G4 sequences in S. pombe can adopt G4 structures and,
if so, whether Pfh1 can resolve them. We tested two G4 sequences, derived from S.
pombe ribosomal and telomeric DNA regions, and demonstrated that they form inter-
and intramolecular G4 structures, respectively. Also, Pfh1 was enriched in vivo
at the ribosomal G4 DNA and telomeric sites. The nuclear isoform of Pfh1 (nPfh1)
unwound both types of structure, and although the G4-stabilizing compound Phen
DC3 significantly enhanced their stability, nPfh1 still resolved them
efficiently. However, stable G4 structures significantly inhibited adenosine
triphosphate hydrolysis by nPfh1. Because ribosomal and telomeric DNA contain
putative G4 regions conserved from yeasts to humans, our studies support the
important role of G4 structure formation in these regions and provide further
evidence for a conserved role for Pif1 helicases in resolving G4 structures.
PMID- 27185887
TI - Mutational analysis of the Potyviridae transcriptional slippage site utilized for
expression of the P3N-PIPO and P1N-PISPO proteins.
AB - The Potyviridae comprise the largest and most important family of RNA plant
viruses. An essential overlapping ORF, termed pipo, resides in an internal region
of the main polyprotein ORF. Recently, expression of pipo was shown to depend on
programmed transcriptional slippage at a conserved GAAAAAA sequence, resulting in
the insertion of an extra A into a proportion of viral transcripts, fusing the
pipo ORF in frame with the 5' third of the polyprotein ORF. However, the sequence
features that mediate slippage have not been characterized. Using a duplicate
copy of the pipo slip site region fused into a different genomic location where
it can be freely mutated, we investigated the sequence requirements for
transcriptional slippage. We find that the leading G is not strictly required,
but increased flanking sequence GC content correlates with higher insertion
rates. A homopolymeric hexamer is optimal for producing mainly single-nucleotide
insertions. We also identify an overabundance of G to A substitutions immediately
3'-adjacent to GAAAAAA in insertion-free transcripts, which we infer to result
from a 'to-fro' form of slippage during positive-strand synthesis. Analysis of
wild-type and reverse complement sequences suggests that slippage occurs
preferentially during synthesis of poly(A) and therefore occurs mainly during
positive-strand synthesis.
PMID- 27185888
TI - In vivo evidence for translesion synthesis by the replicative DNA polymerase
delta.
AB - The intolerance of DNA polymerase delta (Poldelta) to incorrect base pairing
contributes to its extremely high accuracy during replication, but is believed to
inhibit translesion synthesis (TLS). However, chicken DT40 cells lacking the
POLD3 subunit of Poldelta are deficient in TLS. Previous genetic and biochemical
analysis showed that POLD3 may promote lesion bypass by Poldelta itself
independently of the translesion polymerase Polzeta of which POLD3 is also a
subunit. To test this hypothesis, we have inactivated Poldelta proofreading in
pold3 cells. This significantly restored TLS in pold3 mutants, enhancing dA
incorporation opposite abasic sites. Purified proofreading-deficient human
Poldelta holoenzyme performs TLS of abasic sites in vitro much more efficiently
than the wild type enzyme, with over 90% of TLS events resulting in dA
incorporation. Furthermore, proofreading deficiency enhances the capability of
Poldelta to continue DNA synthesis over UV lesions both in vivo and in vitro
These data support Poldelta contributing to TLS in vivo and suggest that the
mutagenesis resulting from loss of Poldelta proofreading activity may in part be
explained by enhanced lesion bypass.
PMID- 27185889
TI - CTLPScanner: a web server for chromothripsis-like pattern detection.
AB - Chromothripsis is a recently observed phenomenon in cancer cells in which one or
several chromosomes shatter into pieces with subsequent inaccurate reassembly and
clonal propagation. This type of event generates a potentially vast number of
mutations within a relatively short-time period, and has been considered as a new
paradigm in cancer development. Despite recent advances, much work is still
required to better understand the molecular mechanisms of this phenomenon, and
thus an easy-to-use tool is in urgent need for automatically detecting and
annotating chromothripsis. Here we present CTLPScanner, a web server for
detection of chromothripsis-like pattern (CTLP) in genomic array data. The output
interface presents intuitive graphical representations of detected chromosome
pulverization region, as well as detailed results in table format. CTLPScanner
also provides additional information for associated genes in chromothripsis
region to help identify the potential candidates involved in tumorigenesis. To
assist in performing meta-data analysis, we integrated over 50 000 pre-processed
genomic arrays from The Cancer Genome Atlas and Gene Expression Omnibus into
CTLPScanner. The server allows users to explore the presence of chromothripsis
signatures from public data resources, without carrying out any local data
processing. CTLPScanner is freely available at
http://cgma.scu.edu.cn/CTLPScanner/.
PMID- 27185892
TI - 3D-GNOME: an integrated web service for structural modeling of the 3D genome.
AB - Recent advances in high-throughput chromosome conformation capture (3C)
technology, such as Hi-C and ChIA-PET, have demonstrated the importance of 3D
genome organization in development, cell differentiation and transcriptional
regulation. There is now a widespread need for computational tools to generate
and analyze 3D structural models from 3C data. Here we introduce our 3D GeNOme
Modeling Engine (3D-GNOME), a web service which generates 3D structures from 3C
data and provides tools to visually inspect and annotate the resulting
structures, in addition to a variety of statistical plots and heatmaps which
characterize the selected genomic region. Users submit a bedpe (paired-end BED
format) file containing the locations and strengths of long range contact points,
and 3D-GNOME simulates the structure and provides a convenient user interface for
further analysis. Alternatively, a user may generate structures using published
ChIA-PET data for the GM12878 cell line by simply specifying a genomic region of
interest. 3D-GNOME is freely available at http://3dgnome.cent.uw.edu.pl/.
PMID- 27185891
TI - Mapping DNA polymerase errors by single-molecule sequencing.
AB - Genomic integrity is compromised by DNA polymerase replication errors, which
occur in a sequence-dependent manner across the genome. Accurate and complete
quantification of a DNA polymerase's error spectrum is challenging because errors
are rare and difficult to detect. We report a high-throughput sequencing assay to
map in vitro DNA replication errors at the single-molecule level. Unlike previous
methods, our assay is able to rapidly detect a large number of polymerase errors
at base resolution over any template substrate without quantification bias. To
overcome the high error rate of high-throughput sequencing, our assay uses a
barcoding strategy in which each replication product is tagged with a unique
nucleotide sequence before amplification. This allows multiple sequencing reads
of the same product to be compared so that sequencing errors can be found and
removed. We demonstrate the ability of our assay to characterize the average
error rate, error hotspots and lesion bypass fidelity of several DNA polymerases.
PMID- 27185890
TI - QuadBase2: web server for multiplexed guanine quadruplex mining and
visualization.
AB - DNA guanine quadruplexes or G4s are non-canonical DNA secondary structures which
affect genomic processes like replication, transcription and recombination. G4s
are computationally identified by specific nucleotide motifs which are also
called putative G4 (PG4) motifs. Despite the general relevance of these
structures, there is currently no tool available that can allow batch queries and
genome-wide analysis of these motifs in a user-friendly interface. QuadBase2
(quadbase.igib.res.in) presents a completely reinvented web server version of
previously published QuadBase database. QuadBase2 enables users to mine PG4
motifs in up to 178 eukaryotes through the EuQuad module. This module interfaces
with Ensembl Compara database, to allow users mine PG4 motifs in the orthologues
of genes of interest across eukaryotes. PG4 motifs can be mined across genes and
their promoter sequences in 1719 prokaryotes through ProQuad module. This module
includes a feature that allows genome-wide mining of PG4 motifs and their
visualization as circular histograms. TetraplexFinder, the module for mining PG4
motifs in user-provided sequences is now capable of handling up to 20 MB of data.
QuadBase2 is a comprehensive PG4 motif mining tool that further expands the
configurations and algorithms for mining PG4 motifs in a user-friendly way.
PMID- 27185893
TI - incaRNAfbinv: a web server for the fragment-based design of RNA sequences.
AB - In recent years, new methods for computational RNA design have been developed and
applied to various problems in synthetic biology and nanotechnology. Lately,
there is considerable interest in incorporating essential biological information
when solving the inverse RNA folding problem. Correspondingly, RNAfbinv aims at
including biologically meaningful constraints and is the only program to-date
that performs a fragment-based design of RNA sequences. In doing so it allows the
design of sequences that do not necessarily exactly fold into the target, as long
as the overall coarse-grained tree graph shape is preserved. Augmented by the
weighted sampling algorithm of incaRNAtion, our web server called incaRNAfbinv
implements the method devised in RNAfbinv and offers an interactive environment
for the inverse folding of RNA using a fragment-based design approach. It takes
as input: a target RNA secondary structure; optional sequence and motif
constraints; optional target minimum free energy, neutrality and GC content. In
addition to the design of synthetic regulatory sequences, it can be used as a pre
processing step for the detection of novel natural occurring RNAs. The two
complementary methodologies RNAfbinv and incaRNAtion are merged together and
fully implemented in our web server incaRNAfbinv, available at
http://www.cs.bgu.ac.il/incaRNAfbinv.
PMID- 27185894
TI - CHOPCHOP v2: a web tool for the next generation of CRISPR genome engineering.
AB - In just 3 years CRISPR genome editing has transformed biology, and its popularity
and potency continue to grow. New CRISPR effectors and rules for locating optimum
targets continue to be reported, highlighting the need for computational CRISPR
targeting tools to compile these rules and facilitate target selection and
design. CHOPCHOP is one of the most widely used web tools for CRISPR- and TALEN
based genome editing. Its overarching principle is to provide an intuitive and
powerful tool that can serve both novice and experienced users. In this major
update we introduce tools for the next generation of CRISPR advances, including
Cpf1 and Cas9 nickases. We support a number of new features that improve the
targeting power, usability and efficiency of CHOPCHOP. To increase targeting
range and specificity we provide support for custom length sgRNAs, and we
evaluate the sequence composition of the whole sgRNA and its surrounding region
using models compiled from multiple large-scale studies. These and other new
features, coupled with an updated interface for increased usability and support
for a continually growing list of organisms, maintain CHOPCHOP as one of the
leading tools for CRISPR genome editing. CHOPCHOP v2 can be found at
http://chopchop.cbu.uib.no.
PMID- 27185896
TI - iPARTS2: an improved tool for pairwise alignment of RNA tertiary structures,
version 2.
AB - Since its first release in 2010, iPARTS has become a valuable tool for globally
or locally aligning two RNA 3D structures. It was implemented by a structural
alphabet (SA)-based approach, which uses an SA of 23 letters to reduce RNA 3D
structures into 1D sequences of SA letters and applies traditional sequence
alignment to these SA-encoded sequences for determining their global or local
similarity. In this version, we have re-implemented iPARTS into a new web server
iPARTS2 by constructing a totally new SA, which consists of 92 elements with each
carrying both information of base and backbone geometry for a representative
nucleotide. This SA is significantly different from the one used in iPARTS,
because the latter consists of only 23 elements with each carrying only the
backbone geometry information of a representative nucleotide. Our experimental
results have shown that iPARTS2 outperforms its previous version iPARTS and also
achieves better accuracy than other popular tools, such as SARA, SETTER and RASS,
in RNA alignment quality and function prediction. iPARTS2 takes as input two RNA
3D structures in the PDB format and outputs their global or local alignments with
graphical display. iPARTS2 is now available online at
http://genome.cs.nthu.edu.tw/iPARTS2/.
PMID- 27185895
TI - Alternative splicing affects the subcellular localization of Drosha.
AB - The RNase III enzyme Drosha is a key factor in microRNA (miRNA) biogenesis and as
such indispensable for cellular homeostasis and developmental processes. Together
with its co-factor DGCR8, it converts the primary transcript (pri-miRNA) into the
precursor hairpin (pre-miRNA) in the nucleus. While the middle and the C-terminal
domain are crucial for pri-miRNA processing and DGCR8 binding, the function of
the N-terminus remains cryptic. Different studies have linked this region to the
subcellular localization of Drosha, stabilization and response to stress. In this
study, we identify alternatively spliced Drosha transcripts that are devoid of a
part of the arginine/serine-rich (RS-rich) domain and expressed in a large set of
human cells. In contrast to their expected habitation, we find two isoforms also
present in the cytoplasm, while the other two isoforms reside exclusively in the
nucleus. Their processing activity for pri-miRNAs and the binding to co-factors
remains unaltered. In multiple cell lines, the endogenous mRNA expression of the
Drosha isoforms correlates with the localization of endogenous Drosha proteins.
The pri-miRNA processing efficiency is not significantly different between groups
of cells with or without cytoplasmic Drosha expression. In summary, we discovered
novel isoforms of Drosha with differential subcellular localization pointing
toward additional layers of complexity in the regulation of its activity.
PMID- 27185897
TI - Combined PET/MRI: Multimodality insights into acute stroke hemodynamics.
PMID- 27185898
TI - Thalamic amnesia after infarct: The role of the mammillothalamic tract and
mediodorsal nucleus.
PMID- 27185899
TI - Blowing the whistle on sports concussions: Will the risk of dementia change the
game?
PMID- 27185900
TI - Journal Club: Time trends in incidence, case fatality, and mortality of
intracerebral hemorrhage.
PMID- 27185901
TI - Clinical Reasoning: Heart to swallow.
PMID- 27185902
TI - Teaching NeuroImages: Limbic encephalitis associated with relapsing
polychondritis.
PMID- 27185903
TI - Combination Nicotine Metered Dose Inhaler and Nicotine Patch for Smoking
Cessation: A Randomized Controlled Trial.
AB - INTRODUCTION: In order to replicate the rewarding effects of smoking, nicotine
replacement therapies must deliver nicotine via the pulmonary route. We aimed to
measure the efficacy of a simple pressurized metered dose inhaler containing
nicotine combined with a nicotine patch for smoking cessation. METHODS: Double
blind randomized placebo-controlled, parallel group trial conducted at the
University of Otago, Wellington, New Zealand. Five-hundred two adults (>=18
years) who smoked at least nine cigarettes per day, with a Fagerstrom Test for
Nicotine Dependence >=3 who wanted to quit, were randomized (1:1). INTERVENTIONS:
active nicotine pressurized metered dose inhaler (pMDI) plus active nicotine
patch, versus placebo pMDI plus active nicotine patch. Subjects were instructed
to use the aerosols for 6 months when they felt an urge to smoke and the patches
daily for 5 months, reduce their smoking and quit by the end of the fourth week.
Subjects were followed for 7 months. The primary outcome was prolonged 6 month
not smoked on 7 consecutive days, analyzed by intention-to-treat. RESULTS: For
the primary outcome, 78/246 (31.71%) in the active group versus 46/256 (17.97%)
in the control group were abstinent (odds ratio 2.12, 95% confidence interval
1.40 to 3.23). Adverse events were reported by 245/246 (99.6%) and 247/256
(96.5%) subjects in the active and control groups, respectively. Mild coughing
which decreased with regular use was common with the nicotine aerosols.
CONCLUSION: Inhaled nicotine from a metered dose inhaler combined with a nicotine
patch substantially improves abstinence for 6 months amongst adult nicotine
dependant smokers wanting to quit. IMPLICATIONS: In 2012, we published a
systematic review of the use nicotine by inhalation in this journal. At that time
we were unable to find any studies that had measured the effects of nicotine
delivery by pMDI on smoking cessation, and we are not aware of any since 2012.
Our study is the first to look at nicotine by pMDI in smoking cessation. The
present trial demonstrates that a simple nonproprietary nicotine inhaler, using
relatively inexpensive standard technology, increases smoking cessation rates
over and above nicotine patch therapy, and could usefully enhance nicotine
replacement in smoking cessation treatment.
PMID- 27185904
TI - Does high muscle temperature accentuate skeletal muscle injury from eccentric
exercise?
AB - Hyperthermia is suspected of accentuating skeletal muscle injury from novel
exercise, but this has not been well studied. This study examined if high muscle
temperatures alters skeletal muscle injury induced by eccentric exercise (ECC).
Eight volunteers (age, 22.5 +/- 4.1 year; height, 169.5 +/- 10.8 cm; body mass,
76.2 +/- 12.6 kg), serving as their own control, and who were not heat
acclimatized, completed two elbow flexor ECC trials; in one trial the biceps were
heated >40 degrees C (HEAT) and in the other trial there was no heating (NON).
HEAT was applied with shortwave diathermy (100 W) for 15 min immediately before
the first ECC bout and for 2 min in between each bout. Individuals were followed
for 10 days after each ECC session, with a 6-week washout period between arms.
The maximal voluntary isometric contraction decreased by 41 +/- 17% and 46 +/-
20% in the NON and HEAT trials, respectively. Bicep circumference increased by
0.07 +/- 0.08 mm (4%, P = 0.04) and relaxed range of motion decreased by 11.5 +/-
8.2 degrees (30%, P < 0.001) in both trials. Serum creatine kinase peaked 72-h
following ECC (NON: 6289 +/- 10407; HEAT: 5486 +/- 6229 IU L(-1), 38-fold
increase, P < 0.01) as did serum myoglobin (NON: 362 +/- 483; HEAT: 355 +/- 373
MUg L(-1), 13-fold increase, P < 0.03). Plasma HSP 70 was higher (P < 0.02) in
HEAT after 120-h of recovery. There were no differences between treatments for
plasma HSP27 and interleukins 1beta, 6, and 10. The results indicate that >40
degrees C muscle temperature does not alter skeletal muscle injury or functional
impairments induced by novel ECC.
PMID- 27185905
TI - Dietary salt regulates uroguanylin expression and signaling activity in the
kidney, but not in the intestine.
AB - The peptide uroguanylin (Ugn) is expressed at significant levels only in
intestine and kidney, and is stored in both tissues primarily (perhaps
exclusively) as intact prouroguanylin (proUgn). Intravascular infusion of either
Ugn or proUgn evokes well-characterized natriuretic responses in rodents.
Furthermore, Ugn knockout mice display hypertension and salt handling deficits,
indicating that the Na(+) excretory mechanisms triggered when the peptides are
infused into anesthetized animals are likely to operate under normal
physiological conditions, and contribute to electrolyte homeostasis in conscious
animals. Here, we provide strong corroborative evidence for this hypothesis, by
demonstrating that UU gnV (the rate of urinary Ugn excretion) approximately
doubled in conscious, unrestrained rats consuming a high-salt diet, and decreased
by ~15% after salt restriction. These changes in UU gnV were not associated with
altered plasma proUgn levels (shown here to be an accurate index of intestinal
proUgn secretion). Furthermore, enteric Ugn mRNA levels were unaffected by salt
intake, whereas renal Ugn mRNA levels increased sharply during periods of
increased dietary salt consumption. Together, these data suggest that diet-evoked
Ugn signals originate within the kidney, rather than the intestine, thus
strengthening a growing body of evidence against a widely cited hypothesis that
Ugn serves as the mediator of an entero-renal natriuretic signaling axis, while
underscoring a likely intrarenal natriuretic role for the peptide. The data
further suggest that intrarenal Ugn signaling is preferentially engaged when salt
intake is elevated, and plays only a minor role when salt intake is restricted.
PMID- 27185906
TI - Skeletal muscle IL-6 and regulation of liver metabolism during high-fat diet and
exercise training.
AB - Interleukin (IL)-6 is released from skeletal muscle (SkM) during exercise and has
been shown to affect hepatic metabolism. It is, however, unknown whether SkM IL-6
is involved in the regulation of exercise training-induced counteraction of
changes in carbohydrate and lipid metabolism in the liver in response to high-fat
diet (HFD) feeding. Male SkM-specific IL-6 KO (MKO) and Floxed mice were
subjected to Chow diet, HFD or HFD combined with exercise training (HFD ExTr) for
16 weeks. Hepatic phosphoenolpyruvate carboxykinase (PEPCK) protein content
decreased with both HFD and HFD ExTr in Floxed mice, but increased in IL-6 MKO
mice on HFD In addition, the intrahepatic glucose concentration was in IL-6 MKO
mice higher in HFD than chow. Within HFD ExTr mice, hepatic glucose-6-phosphatase
(G6Pase) 36 kDa protein content was higher in IL-6 MKO than Floxed mice. Hepatic
pyruvate dehydrogenase kinase (PDK) 4 and PDK2 protein content was in Floxed mice
lower in HFD ExTr than Chow. In addition, hepatic ACC1-phosphorylation was higher
and ACC1 protein lower in HFD Together this suggests that SkM IL-6 regulates
hepatic glucose metabolism, but does not seem to be of major importance for the
regulation of oxidative capacity or lipogenesis in liver during HFD or HFD
combined with exercise training.
PMID- 27185907
TI - Soft, thin skin-mounted power management systems and their use in wireless
thermography.
AB - Power supply represents a critical challenge in the development of body
integrated electronic technologies. Although recent research establishes an
impressive variety of options in energy storage (batteries and supercapacitors)
and generation (triboelectric, piezoelectric, thermoelectric, and photovoltaic
devices), the modest electrical performance and/or the absence of soft,
biocompatible mechanical properties limit their practical use. The results
presented here form the basis of soft, skin-compatible means for efficient
photovoltaic generation and high-capacity storage of electrical power using dual
junction, compound semiconductor solar cells and chip-scale, rechargeable lithium
ion batteries, respectively. Miniaturized components, deformable interconnects,
optimized array layouts, and dual-composition elastomer substrates, superstrates,
and encapsulation layers represent key features. Systematic studies of the
materials and mechanics identify optimized designs, including unusual
configurations that exploit a folded, multilayer construct to improve the
functional density without adversely affecting the soft, stretchable
characteristics. System-level examples exploit such technologies in fully
wireless sensors for precision skin thermography, with capabilities in continuous
data logging and local processing, validated through demonstrations on volunteer
subjects in various realistic scenarios.
PMID- 27185908
TI - Mammalian African trypanosome VSG coat enhances tsetse's vector competence.
AB - Tsetse flies are biological vectors of African trypanosomes, the protozoan
parasites responsible for causing human and animal trypanosomiases across sub
Saharan Africa. Currently, no vaccines are available for disease prevention due
to antigenic variation of the Variant Surface Glycoproteins (VSG) that coat
parasites while they reside within mammalian hosts. As a result, interference
with parasite development in the tsetse vector is being explored to reduce
disease transmission. A major bottleneck to infection occurs as parasites attempt
to colonize tsetse's midgut. One critical factor influencing this bottleneck is
the fly's peritrophic matrix (PM), a semipermeable, chitinous barrier that lines
the midgut. The mechanisms that enable trypanosomes to cross this barrier are
currently unknown. Here, we determined that as parasites enter the tsetse's gut,
VSG molecules released from trypanosomes are internalized by cells of the cardia
the tissue responsible for producing the PM. VSG internalization results in
decreased expression of a tsetse microRNA (mir-275) and interferes with the Wnt
signaling pathway and the Iroquois/IRX transcription factor family. This
interference reduces the function of the PM barrier and promotes parasite
colonization of the gut early in the infection process. Manipulation of the
insect midgut homeostasis by the mammalian parasite coat proteins is a novel
function and indicates that VSG serves a dual role in trypanosome biology-that of
facilitating transmission through its mammalian host and insect vector. We detail
critical steps in the course of trypanosome infection establishment that can
serve as novel targets to reduce the tsetse's vector competence and disease
transmission.
PMID- 27185909
TI - LAP-like process as an immune mechanism downstream of IFN-gamma in control of the
human malaria Plasmodium vivax liver stage.
AB - IFN-gamma is a major regulator of immune functions and has been shown to induce
liver-stage Plasmodium elimination both in vitro and in vivo. The molecular
mechanism responsible for the restriction of liver-stage Plasmodium downstream of
IFN-gamma remains uncertain, however. Autophagy, a newly described immune defense
mechanism, was recently identified as a downstream pathway activated in response
to IFN-gamma in the control of intracellular infections. We thus hypothesized
that the killing of liver-stage malarial parasites by IFN-gamma involves
autophagy induction. Our results show that whereas IFN-gamma treatment of human
hepatocytes activates autophagy, the IFN-gamma-mediated restriction of liver
stage Plasmodium vivax depends only on the downstream autophagy-related proteins
Beclin 1, PI3K, and ATG5, but not on the upstream autophagy-initiating protein
ULK1. In addition, IFN-gamma enhanced the recruitment of LC3 onto the
parasitophorous vacuole membrane (PVM) and increased the colocalization of
lysosomal vesicles with P. vivax compartments. Taken together, these data
indicate that IFN-gamma mediates the control of liver-stage P. vivax by inducing
a noncanonical autophagy pathway resembling that of LC3-associated phagocytosis,
in which direct decoration of the PVM with LC3 promotes the fusion of P. vivax
compartments with lysosomes and subsequent killing of the pathogen. Understanding
the hepatocyte response to IFN-gamma during Plasmodium infection and the roles of
autophagy-related proteins may provide an urgently needed alternative strategy
for the elimination of this human malaria.
PMID- 27185910
TI - Resistance to docetaxel in prostate cancer is associated with androgen receptor
activation and loss of KDM5D expression.
AB - The androgen receptor (AR) plays an essential role in prostate cancer, and
suppression of its signaling with androgen deprivation therapy (ADT) has been the
mainstay of treatment for metastatic hormone-sensitive prostate cancer for more
than 70 y. Chemotherapy has been reserved for metastatic castration-resistant
prostate cancer (mCRPC). The Eastern Cooperative Oncology Group-led trial E3805:
ChemoHormonal Therapy Versus Androgen Ablation Randomized Trial for Extensive
Disease in Prostate Cancer (CHAARTED) showed that the addition of docetaxel to
ADT prolonged overall survival compared with ADT alone in patients with
metastatic hormone-sensitive prostate cancer. This finding suggests that there is
an interaction between AR signaling activity and docetaxel sensitivity. Here we
demonstrate that the prostate cancer cell lines LNCaP and LAPC4 display markedly
different sensitivity to docetaxel with AR activation, and RNA-seq analysis of
these cell lines identified KDM5D (lysine-specific demethylase 5D) encoded on the
Y chromosome as a potential mediator of this sensitivity. Knocking down KDM5D
expression in LNCaP leads to docetaxel resistance in the presence of
dihydrotestosterone. KDM5D physically interacts with AR in the nucleus, and
regulates its transcriptional activity by demethylating H3K4me3 active
transcriptional marks. Attenuating KDM5D expression dysregulates AR signaling,
resulting in docetaxel insensitivity. KDM5D deletion was also observed in the
LNCaP-derived CRPC cell line 104R2, which displayed docetaxel insensitivity with
AR activation, unlike parental LNCaP. Dataset analysis from the Oncomine database
revealed significantly decreased KDM5D expression in CRPC and poorer prognosis
with low KDM5D expression. Taking these data together, this work indicates that
KDM5D modulates the AR axis and that this is associated with altered docetaxel
sensitivity.
PMID- 27185911
TI - Empirical redefinition of comprehensive health and well-being in the older adults
of the United States.
AB - The World Health Organization (WHO) defines health as a "state of complete
physical, mental and social well-being and not merely the absence of disease or
infirmity." Despite general acceptance of this comprehensive definition, there
has been little rigorous scientific attempt to use it to measure and assess
population health. Instead, the dominant model of health is a disease-centered
Medical Model (MM), which actively ignores many relevant domains. In contrast to
the MM, we approach this issue through a Comprehensive Model (CM) of health
consistent with the WHO definition, giving statistically equal consideration to
multiple health domains, including medical, physical, psychological, functional,
and sensory measures. We apply a data-driven latent class analysis (LCA) to model
54 specific health variables from the National Social Life, Health, and Aging
Project (NSHAP), a nationally representative sample of US community-dwelling
older adults. We first apply the LCA to the MM, identifying five health classes
differentiated primarily by having diabetes and hypertension. The CM identifies a
broader range of six health classes, including two "emergent" classes completely
obscured by the MM. We find that specific medical diagnoses (cancer and
hypertension) and health behaviors (smoking) are far less important than mental
health (loneliness), sensory function (hearing), mobility, and bone fractures in
defining vulnerable health classes. Although the MM places two-thirds of the US
population into "robust health" classes, the CM reveals that one-half belong to
less healthy classes, independently associated with higher mortality. This
reconceptualization has important implications for medical care delivery,
preventive health practices, and resource allocation.
PMID- 27185912
TI - Coronavirus receptor switch explained from the stereochemistry of protein
carbohydrate interactions and a single mutation.
AB - Hemagglutinin-esterases (HEs) are bimodular envelope proteins of
orthomyxoviruses, toroviruses, and coronaviruses with a carbohydrate-binding
"lectin" domain appended to a receptor-destroying sialate-O-acetylesterase
("esterase"). In concert, these domains facilitate dynamic virion attachment to
cell-surface sialoglycans. Most HEs (type I) target 9-O-acetylated sialic acids
(9-O-Ac-Sias), but one group of coronaviruses switched to using 4-O-Ac-Sias
instead (type II). This specificity shift required quasisynchronous adaptations
in the Sia-binding sites of both lectin and esterase domains. Previously, a
partially disordered crystal structure of a type II HE revealed how the shift in
lectin ligand specificity was achieved. How the switch in esterase substrate
specificity was realized remained unresolved, however. Here, we present a
complete structure of a type II HE with a receptor analog in the catalytic site
and identify the mutations underlying the 9-O- to 4-O-Ac-Sia substrate switch. We
show that (i) common principles pertaining to the stereochemistry of protein
carbohydrate interactions were at the core of the transition in lectin ligand and
esterase substrate specificity; (ii) in consequence, the switch in O-Ac-Sia
specificity could be readily accomplished via convergent intramolecular
coevolution with only modest architectural changes in lectin and esterase
domains; and (iii) a single, inconspicuous Ala-to-Ser substitution in the
catalytic site was key to the emergence of the type II HEs. Our findings provide
fundamental insights into how proteins "see" sugars and how this affects protein
and virus evolution.
PMID- 27185914
TI - Genetic analysis of Vibrio parahaemolyticus intestinal colonization.
AB - Vibrio parahaemolyticus is the most common cause of seafood-borne gastroenteritis
worldwide and a blight on global aquaculture. This organism requires a
horizontally acquired type III secretion system (T3SS2) to infect the small
intestine, but knowledge of additional factors that underlie V. parahaemolyticus
pathogenicity is limited. We used transposon-insertion sequencing to screen for
genes that contribute to viability of V. parahaemolyticus in vitro and in the
mammalian intestine. Our analysis enumerated and controlled for the host
infection bottleneck, enabling robust assessment of genetic contributions to in
vivo fitness. We identified genes that contribute to V. parahaemolyticus
colonization of the intestine independent of known virulence mechanisms in
addition to uncharacterized components of T3SS2. Our study revealed that toxR, an
ancestral locus in Vibrio species, is required for V. parahaemolyticus fitness in
vivo and for induction of T3SS2 gene expression. The regulatory mechanism by
which V. parahaemolyticus ToxR activates expression of T3SS2 resembles Vibrio
cholerae ToxR regulation of distinct virulence elements acquired via lateral gene
transfer. Thus, disparate horizontally acquired virulence systems have been
placed under the control of this ancestral transcription factor across
independently evolved human pathogens.
PMID- 27185913
TI - Immunization with a heat-killed preparation of the environmental bacterium
Mycobacterium vaccae promotes stress resilience in mice.
AB - The prevalence of inflammatory diseases is increasing in modern urban societies.
Inflammation increases risk of stress-related pathology; consequently,
immunoregulatory or antiinflammatory approaches may protect against negative
stress-related outcomes. We show that stress disrupts the homeostatic
relationship between the microbiota and the host, resulting in exaggerated
inflammation. Repeated immunization with a heat-killed preparation of
Mycobacterium vaccae, an immunoregulatory environmental microorganism, reduced
subordinate, flight, and avoiding behavioral responses to a dominant aggressor in
a murine model of chronic psychosocial stress when tested 1-2 wk following the
final immunization. Furthermore, immunization with M. vaccae prevented stress
induced spontaneous colitis and, in stressed mice, induced anxiolytic or fear
reducing effects as measured on the elevated plus-maze, despite stress-induced
gut microbiota changes characteristic of gut infection and colitis. Immunization
with M. vaccae also prevented stress-induced aggravation of colitis in a model of
inflammatory bowel disease. Depletion of regulatory T cells negated protective
effects of immunization with M. vaccae on stress-induced colitis and anxiety-like
or fear behaviors. These data provide a framework for developing microbiome- and
immunoregulation-based strategies for prevention of stress-related pathologies.
PMID- 27185915
TI - Neural circuits underlying mother's voice perception predict social communication
abilities in children.
AB - The human voice is a critical social cue, and listeners are extremely sensitive
to the voices in their environment. One of the most salient voices in a child's
life is mother's voice: Infants discriminate their mother's voice from the first
days of life, and this stimulus is associated with guiding emotional and social
function during development. Little is known regarding the functional circuits
that are selectively engaged in children by biologically salient voices such as
mother's voice or whether this brain activity is related to children's social
communication abilities. We used functional MRI to measure brain activity in 24
healthy children (mean age, 10.2 y) while they attended to brief (<1 s) nonsense
words produced by their biological mother and two female control voices and
explored relationships between speech-evoked neural activity and social function.
Compared to female control voices, mother's voice elicited greater activity in
primary auditory regions in the midbrain and cortex; voice-selective superior
temporal sulcus (STS); the amygdala, which is crucial for processing of affect;
nucleus accumbens and orbitofrontal cortex of the reward circuit; anterior insula
and cingulate of the salience network; and a subregion of fusiform gyrus
associated with face perception. The strength of brain connectivity between voice
selective STS and reward, affective, salience, memory, and face-processing
regions during mother's voice perception predicted social communication skills.
Our findings provide a novel neurobiological template for investigation of
typical social development as well as clinical disorders, such as autism, in
which perception of biologically and socially salient voices may be impaired.
PMID- 27185917
TI - Instability of Helios-deficient Tregs is associated with conversion to a T
effector phenotype and enhanced antitumor immunity.
AB - Expression of the transcription factor Helios by Tregs ensures stable expression
of a suppressive and anergic phenotype in the face of intense inflammatory
responses, whereas Helios-deficient Tregs display diminished lineage stability,
reduced FoxP3 expression, and production of proinflammatory cytokines. Here we
report that selective Helios deficiency within CD4 Tregs leads to enhanced
antitumor immunity through induction of an unstable phenotype and conversion of
intratumoral Tregs into T effector cells within the tumor microenvironment.
Induction of an unstable Treg phenotype is associated with enhanced production of
proinflammatory cytokines by tumor-infiltrating but not systemic Tregs and
significantly delayed tumor growth. Ab-dependent engagement of Treg surface
receptors that result in Helios down-regulation also promotes conversion of
intratumoral but not systemic Tregs into T effector cells and leads to enhanced
antitumor immunity. These findings suggest that selective instability and
conversion of intratumoral CD4 Tregs through genetic or Ab-based targeting of
Helios may represent an effective approach to immunotherapy.
PMID- 27185916
TI - Phosphorylation of spore coat proteins by a family of atypical protein kinases.
AB - The modification of proteins by phosphorylation occurs in all life forms and is
catalyzed by a large superfamily of enzymes known as protein kinases. We recently
discovered a family of secretory pathway kinases that phosphorylate extracellular
proteins. One member, family with sequence similarity 20C (Fam20C), is the
physiological Golgi casein kinase. While examining distantly related protein
sequences, we observed low levels of identity between the spore coat protein H
(CotH), and the Fam20C-related secretory pathway kinases. CotH is a component of
the spore in many bacterial and eukaryotic species, and is required for efficient
germination of spores in Bacillus subtilis; however, the mechanism by which CotH
affects germination is unclear. Here, we show that CotH is a protein kinase. The
crystal structure of CotH reveals an atypical protein kinase-like fold with a
unique mode of ATP binding. Examination of the genes neighboring cotH in B.
subtilis led us to identify two spore coat proteins, CotB and CotG, as CotH
substrates. Furthermore, we show that CotH-dependent phosphorylation of CotB and
CotG is required for the efficient germination of B. subtilis spores.
Collectively, our results define a family of atypical protein kinases and reveal
an unexpected role for protein phosphorylation in spore biology.
PMID- 27185918
TI - Range expansions transition from pulled to pushed waves as growth becomes more
cooperative in an experimental microbial population.
AB - Range expansions are becoming more frequent due to environmental changes and rare
long-distance dispersal, often facilitated by anthropogenic activities. Simple
models in theoretical ecology explain many emergent properties of range
expansions, such as a constant expansion velocity, in terms of organism-level
properties such as growth and dispersal rates. Testing these quantitative
predictions in natural populations is difficult because of large environmental
variability. Here, we used a controlled microbial model system to study range
expansions of populations with and without intraspecific cooperativity. For
noncooperative growth, the expansion dynamics were dominated by population growth
at the low-density front, which pulled the expansion forward. We found these
expansions to be in close quantitative agreement with the classical theory of
pulled waves by Fisher [Fisher RA (1937) Ann Eugen 7(4):355-369] and Skellam
[Skellam JG (1951) Biometrika 38(1-2):196-218], suitably adapted to our
experimental system. However, as cooperativity increased, the expansions
transitioned to being pushed, that is, controlled by growth and dispersal in the
bulk as well as in the front. Given the prevalence of cooperative growth in
nature, understanding the effects of cooperativity is essential to managing
invading species and understanding their evolution.
PMID- 27185919
TI - On the unsupervised analysis of domain-specific Chinese texts.
AB - With the growing availability of digitized text data both publicly and privately,
there is a great need for effective computational tools to automatically extract
information from texts. Because the Chinese language differs most significantly
from alphabet-based languages in not specifying word boundaries, most existing
Chinese text-mining methods require a prespecified vocabulary and/or a large
relevant training corpus, which may not be available in some applications. We
introduce an unsupervised method, top-down word discovery and segmentation
(TopWORDS), for simultaneously discovering and segmenting words and phrases from
large volumes of unstructured Chinese texts, and propose ways to order discovered
words and conduct higher-level context analyses. TopWORDS is particularly useful
for mining online and domain-specific texts where the underlying vocabulary is
unknown or the texts of interest differ significantly from available training
corpora. When outputs from TopWORDS are fed into context analysis tools such as
topic modeling, word embedding, and association pattern finding, the results are
as good as or better than that from using outputs of a supervised segmentation
method.
PMID- 27185920
TI - AtVPS41-mediated endocytic pathway is essential for pollen tube-stigma
interaction in Arabidopsis.
AB - In flowering plants, extensive male-female interactions are required for
successful fertilization in which various signaling cascades are involved.
Prevacuolar compartments (PVC) and vacuoles are two types of subcellular
compartments that terminate signal transduction by sequestrating signaling
molecules in yeast and mammalian cells; however, the manner in which they might
be involved in male-female interactions in plants is unknown. In this study, we
identified Arabidopsis thaliana vacuolar protein sorting 41 (AtVPS41), encoded by
a single-copy gene with sequence similarity to yeast Vps41p, as a new factor
controlling pollen tube-stigma interaction. Loss of AtVPS41 function disrupted
penetration of pollen tubes into the transmitting tissue and thus led to failed
male transmission. In the pollen tubes, AtVPS41 protein is associated with PVCs
and the tonoplast. We demonstrate that AtVPS41 is required for the late stage of
the endocytic pathway (i.e., endomembrane trafficking from PVCs to vacuoles)
because internalization of cell-surface molecules was normal in the vps41
deficient pollen tubes, whereas PVC-to-vacuole trafficking was impaired. We
further show that the CHCR domain is required for subcellular localization and
biological functioning of AtVPS41. These results indicate that the AtVPS41
mediated late stage of the endocytic pathway is essential for pollen tube-stigma
interaction in Arabidopsis.
PMID- 27185922
TI - Evaluating the privacy properties of telephone metadata.
AB - Since 2013, a stream of disclosures has prompted reconsideration of surveillance
law and policy. One of the most controversial principles, both in the United
States and abroad, is that communications metadata receives substantially less
protection than communications content. Several nations currently collect
telephone metadata in bulk, including on their own citizens. In this paper, we
attempt to shed light on the privacy properties of telephone metadata. Using a
crowdsourcing methodology, we demonstrate that telephone metadata is densely
interconnected, can trivially be reidentified, and can be used to draw sensitive
inferences.
PMID- 27185921
TI - Biodiversity enhances reef fish biomass and resistance to climate change.
AB - Fishes are the most diverse group of vertebrates, play key functional roles in
aquatic ecosystems, and provide protein for a billion people, especially in the
developing world. Those functions are compromised by mounting pressures on marine
biodiversity and ecosystems. Because of its economic and food value, fish biomass
production provides an unusually direct link from biodiversity to critical
ecosystem services. We used the Reef Life Survey's global database of 4,556
standardized fish surveys to test the importance of biodiversity to fish
production relative to 25 environmental drivers. Temperature, biodiversity, and
human influence together explained 47% of the global variation in reef fish
biomass among sites. Fish species richness and functional diversity were among
the strongest predictors of fish biomass, particularly for the large-bodied
species and carnivores preferred by fishers, and these biodiversity effects were
robust to potentially confounding influences of sample abundance, scale, and
environmental correlations. Warmer temperatures increased biomass directly,
presumably by raising metabolism, and indirectly by increasing diversity, whereas
temperature variability reduced biomass. Importantly, diversity and climate
interact, with biomass of diverse communities less affected by rising and
variable temperatures than species-poor communities. Biodiversity thus buffers
global fish biomass from climate change, and conservation of marine biodiversity
can stabilize fish production in a changing ocean.
PMID- 27185923
TI - A lead isotope perspective on urban development in ancient Naples.
AB - The influence of a sophisticated water distribution system on urban development
in Roman times is tested against the impact of Vesuvius volcanic activity, in
particular the great eruption of AD 79, on all of the ancient cities of the Bay
of Naples (Neapolis). Written accounts on urbanization outside of Rome are scarce
and the archaeological record sketchy, especially during the tumultuous fifth and
sixth centuries AD when Neapolis became the dominant city in the region. Here we
show that isotopic ratios of lead measured on a well-dated sedimentary sequence
from Neapolis' harbor covering the first six centuries CE have recorded how the
AD 79 eruption was followed by a complete overhaul of Neapolis' water supply
network. The Pb isotopic signatures of the sediments further reveal that the
previously steady growth of Neapolis' water distribution system ceased during the
collapse of the fifth century AD, although vital repairs to this critical
infrastructure were still carried out in the aftermath of invasions and volcanic
eruptions.
PMID- 27185925
TI - Clouds at Barbados are representative of clouds across the trade wind regions in
observations and climate models.
AB - Trade wind regions cover most of the tropical oceans, and the prevailing cloud
type is shallow cumulus. These small clouds are parameterized by climate models,
and changes in their radiative effects strongly and directly contribute to the
spread in estimates of climate sensitivity. This study investigates the structure
and variability of these clouds in observations and climate models. The study
builds upon recent detailed model evaluations using observations from the island
of Barbados. Using a dynamical regimes framework, satellite and reanalysis
products are used to compare the Barbados region and the broader tropics. It is
shown that clouds in the Barbados region are similar to those across the trade
wind regions, implying that observational findings from the Barbados Cloud
Observatory are relevant to clouds across the tropics. The same methods are
applied to climate models to evaluate the simulated clouds. The models generally
capture the cloud radiative effect, but underestimate cloud cover and show an
array of cloud vertical structures. Some models show strong biases in the
environment of the Barbados region in summer, weakening the connection between
the regional biases and those across the tropics. Even bearing that limitation in
mind, it is shown that covariations of cloud and environmental properties in the
models are inconsistent with observations. The models tend to misrepresent
sensitivity to moisture variations and inversion characteristics. These model
errors are likely connected to cloud feedback in climate projections, and
highlight the importance of the representation of shallow cumulus convection.
PMID- 27185927
TI - Correction for Buhrman et al., Quantum communication complexity advantage implies
violation of a Bell inequality.
PMID- 27185924
TI - Striatal cholinergic interneurons generate beta and gamma oscillations in the
corticostriatal circuit and produce motor deficits.
AB - Cortico-basal ganglia-thalamic (CBT) neural circuits are critical modulators of
cognitive and motor function. When compromised, these circuits contribute to
neurological and psychiatric disorders, such as Parkinson's disease (PD). In PD,
motor deficits correlate with the emergence of exaggerated beta frequency (15-30
Hz) oscillations throughout the CBT network. However, little is known about how
specific cell types within individual CBT brain regions support the generation,
propagation, and interaction of oscillatory dynamics throughout the CBT circuit
or how specific oscillatory dynamics are related to motor function. Here, we
investigated the role of striatal cholinergic interneurons (SChIs) in generating
beta and gamma oscillations in cortical-striatal circuits and in influencing
movement behavior. We found that selective stimulation of SChIs via optogenetics
in normal mice robustly and reversibly amplified beta and gamma oscillations that
are supported by distinct mechanisms within striatal-cortical circuits. Whereas
beta oscillations are supported robustly in the striatum and all layers of
primary motor cortex (M1) through a muscarinic-receptor mediated mechanism, gamma
oscillations are largely restricted to the striatum and the deeper layers of M1.
Finally, SChI activation led to parkinsonian-like motor deficits in otherwise
normal mice. These results highlight the important role of striatal cholinergic
interneurons in supporting oscillations in the CBT network that are closely
related to movement and parkinsonian motor symptoms.
PMID- 27185926
TI - BPTF transduces MITF-driven prosurvival signals in melanoma cells.
AB - Microphthalmia-associated transcription factor (MITF) plays a critical and
complex role in melanocyte transformation. Although several downstream targets of
MITF action have been identified, the precise mechanisms by which MITF promotes
melanocytic tumor progression are incompletely understood. Recent studies
identified an oncogenic role for the bromodomain plant homeodomain finger
transcription factor (BPTF) gene in melanoma progression, in part through
activation of BCL2, a canonical target of MITF signaling. Analysis of the BPTF
promoter identified a putative MITF-binding site, suggesting that MITF may
regulate BPTF expression. Overexpression of MITF resulted in up-regulation of
BPTF in a panel of melanoma and melanocyte cell lines. shRNA-mediated down
regulation of MITF in melanoma cells was accompanied by down-regulation of BPTF
and BPTF-regulated genes (including BCL2) and resulted in reduced proliferative
capacity of melanoma cells. The suppression of cell growth mediated by MITF
silencing was rescued by overexpression of BPTF cDNA. Binding of MITF to the BPTF
promoter was demonstrated using ChIP analysis. MITF overexpression resulted in
direct transcriptional activation of BPTF, as evidenced by increased luciferase
activity driven by the BPTF promoter. These results indicate that BPTF transduces
key prosurvival signals driven by MITF, further supporting its important role in
promoting melanoma cell survival and progression.
PMID- 27185928
TI - Isoprene photochemistry over the Amazon rainforest.
AB - Isoprene photooxidation is a major driver of atmospheric chemistry over forested
regions. Isoprene reacts with hydroxyl radicals (OH) and molecular oxygen to
produce isoprene peroxy radicals (ISOPOO). These radicals can react with
hydroperoxyl radicals (HO2) to dominantly produce hydroxyhydroperoxides
(ISOPOOH). They can also react with nitric oxide (NO) to largely produce methyl
vinyl ketone (MVK) and methacrolein (MACR). Unimolecular isomerization and
bimolecular reactions with organic peroxy radicals are also possible. There is
uncertainty about the relative importance of each of these pathways in the
atmosphere and possible changes because of anthropogenic pollution. Herein,
measurements of ISOPOOH and MVK + MACR concentrations are reported over the
central region of the Amazon basin during the wet season. The research site,
downwind of an urban region, intercepted both background and polluted air masses
during the GoAmazon2014/5 Experiment. Under background conditions, the confidence
interval for the ratio of the ISOPOOH concentration to that of MVK + MACR spanned
0.4-0.6. This result implies a ratio of the reaction rate of ISOPOO with HO2 to
that with NO of approximately unity. A value of unity is significantly smaller
than simulated at present by global chemical transport models for this important,
nominally low-NO, forested region of Earth. Under polluted conditions, when the
concentrations of reactive nitrogen compounds were high (>1 ppb), ISOPOOH
concentrations dropped below the instrumental detection limit (<60 ppt). This
abrupt shift in isoprene photooxidation, sparked by human activities, speaks to
ongoing and possible future changes in the photochemistry active over the Amazon
rainforest.
PMID- 27185929
TI - Structure of faustovirus, a large dsDNA virus.
AB - Many viruses protect their genome with a combination of a protein shell with or
without a membrane layer. Here we describe the structure of faustovirus, the
first DNA virus (to our knowledge) that has been found to use two protein shells
to encapsidate and protect its genome. The crystal structure of the major capsid
protein, in combination with cryo-electron microscopy structures of two different
maturation stages of the virus, shows that the outer virus shell is composed of a
double jelly-roll protein that can be found in many double-stranded DNA viruses.
The structure of the repeating hexameric unit of the inner shell is different
from all other known capsid proteins. In addition to the unique architecture, the
region of the genome that encodes the major capsid protein stretches over 17,000
bp and contains a large number of introns and exons. This complexity might help
the virus to rapidly adapt to new environments or hosts.
PMID- 27185930
TI - In-drop capillary spooling of spider capture thread inspires hybrid fibers with
mixed solid-liquid mechanical properties.
AB - An essential element in the web-trap architecture, the capture silk spun by
ecribellate orb spiders consists of glue droplets sitting astride a silk
filament. Mechanically this thread presents a mixed solid-liquid behavior unknown
to date. Under extension, capture silk behaves as a particularly stretchy solid,
owing to its molecular nanosprings, but it totally switches behavior in
compression to now become liquid-like: It shrinks with no apparent limit while
exerting a constant tension. Here, we unravel the physics underpinning the unique
behavior of this "liquid wire" and demonstrate that its mechanical response
originates in the shape-switching of the silk filament induced by buckling within
the droplets. Learning from this natural example of geometry and mechanics, we
manufactured programmable liquid wires that present previously unidentified
pathways for the design of new hybrid solid-liquid materials.
PMID- 27185932
TI - Laser-assisted direct ink writing of planar and 3D metal architectures.
AB - The ability to pattern planar and freestanding 3D metallic architectures at the
microscale would enable myriad applications, including flexible electronics,
displays, sensors, and electrically small antennas. A 3D printing method is
introduced that combines direct ink writing with a focused laser that locally
anneals printed metallic features "on-the-fly." To optimize the nozzle-to-laser
separation distance, the heat transfer along the printed silver wire is modeled
as a function of printing speed, laser intensity, and pulse duration. Laser
assisted direct ink writing is used to pattern highly conductive, ductile
metallic interconnects, springs, and freestanding spiral architectures on
flexible and rigid substrates.
PMID- 27185933
TI - Ocean dynamics, not dust, have controlled equatorial Pacific productivity over
the past 500,000 years.
AB - Biological productivity in the equatorial Pacific is relatively high compared
with other low-latitude regimes, especially east of the dateline, where
divergence driven by the trade winds brings nutrient-rich waters of the
Equatorial Undercurrent to the surface. The equatorial Pacific is one of the
three principal high-nutrient low-chlorophyll ocean regimes where biological
utilization of nitrate and phosphate is limited, in part, by the availability of
iron. Throughout most of the equatorial Pacific, upwelling of water from the
Equatorial Undercurrent supplies far more dissolved iron than is delivered by
dust, by as much as two orders of magnitude. Nevertheless, recent studies have
inferred that the greater supply of dust during ice ages stimulated greater
utilization of nutrients within the region of upwelling on the equator, thereby
contributing to the sequestration of carbon in the ocean interior. Here we
present proxy records for dust and for biological productivity over the past 500
ky at three sites spanning the breadth of the equatorial Pacific Ocean to test
the dust fertilization hypothesis. Dust supply peaked under glacial conditions,
consistent with previous studies, whereas proxies of export production exhibit
maxima during ice age terminations. Temporal decoupling between dust supply and
biological productivity indicates that other factors, likely involving ocean
dynamics, played a greater role than dust in regulating equatorial Pacific
productivity.
PMID- 27185931
TI - Severe adult malaria is associated with specific PfEMP1 adhesion types and high
parasite biomass.
AB - The interplay between cellular and molecular determinants that lead to severe
malaria in adults is unexplored. Here, we analyzed parasite virulence factors in
an infected adult population in India and investigated whether severe malaria
isolates impair endothelial protein C receptor (EPCR), a protein involved in
coagulation and endothelial barrier permeability. Severe malaria isolates
overexpressed specific members of the Plasmodium falciparum var gene/PfEMP1 (P.
falciparum erythrocyte membrane protein 1) family that bind EPCR, including DC8
var genes that have previously been linked to severe pediatric malaria. Machine
learning analysis revealed that DC6- and DC8-encoding var transcripts in
combination with high parasite biomass were the strongest indicators of patient
hospitalization and disease severity. We found that DC8 CIDRalpha1 domains from
severe malaria isolates had substantial differences in EPCR binding affinity and
blockade activity for its ligand activated protein C. Additionally, even a low
level of inhibition exhibited by domains from two cerebral malaria isolates was
sufficient to interfere with activated protein C-barrier protective activities in
human brain endothelial cells. Our findings demonstrate an interplay between
parasite biomass and specific PfEMP1 adhesion types in the development of adult
severe malaria, and indicate that low impairment of EPCR function may contribute
to parasite virulence.
PMID- 27185934
TI - Elevated CO2 maintains grassland net carbon uptake under a future heat and
drought extreme.
AB - Extreme climatic events (ECEs) such as droughts and heat waves are predicted to
increase in intensity and frequency and impact the terrestrial carbon balance.
However, we lack direct experimental evidence of how the net carbon uptake of
ecosystems is affected by ECEs under future elevated atmospheric CO2
concentrations (eCO2). Taking advantage of an advanced controlled environment
facility for ecosystem research (Ecotron), we simulated eCO2 and extreme
cooccurring heat and drought events as projected for the 2050s and analyzed their
effects on the ecosystem-level carbon and water fluxes in a C3 grassland. Our
results indicate that eCO2 not only slows down the decline of ecosystem carbon
uptake during the ECE but also enhances its recovery after the ECE, as mediated
by increases of root growth and plant nitrogen uptake induced by the ECE. These
findings indicate that, in the predicted near future climate, eCO2 could mitigate
the effects of extreme droughts and heat waves on ecosystem net carbon uptake.
PMID- 27185935
TI - A binding site outside the canonical PDZ domain determines the specific
interaction between Shank and SAPAP and their function.
AB - Shank and SAPAP (synapse-associated protein 90/postsynaptic density-95-associated
protein) are two highly abundant scaffold proteins that directly interact with
each other to regulate excitatory synapse development and plasticity. Mutations
of SAPAP, but not other reported Shank PDZ domain binders, share a significant
overlap on behavioral abnormalities with the mutations of Shank both in patients
and in animal models. The molecular mechanism governing the exquisite specificity
of the Shank/SAPAP interaction is not clear, however. Here we report that a
sequence preceding the canonical PDZ domain of Shank, together with the elongated
PDZ BC loop, form another binding site for a sequence upstream of the SAPAP PDZ
binding motif, leading to a several hundred-fold increase in the affinity of the
Shank/SAPAP interaction. We provide evidence that the specific interaction
afforded by this newly identified site is required for Shank synaptic targeting
and the Shank-induced synaptic activity increase. Our study provides a molecular
explanation of how Shank and SAPAP dosage changes due to their gene copy number
variations can contribute to different psychiatric disorders.
PMID- 27185936
TI - Cryo-EM reveals the steric zipper structure of a light chain-derived amyloid
fibril.
AB - Amyloid fibrils are proteinaceous aggregates associated with diseases in humans
and animals. The fibrils are defined by intermolecular interactions between the
fibril-forming polypeptide chains, but it has so far remained difficult to reveal
the assembly of the peptide subunits in a full-scale fibril. Using electron
cryomicroscopy (cryo-EM), we present a reconstruction of a fibril formed from the
pathogenic core of an amyloidogenic immunoglobulin (Ig) light chain. The fibril
density shows a lattice-like assembly of face-to-face packed peptide dimers that
corresponds to the structure of steric zippers in peptide crystals.
Interpretation of the density map with a molecular model enabled us to identify
the intermolecular interactions between the peptides and rationalize the
hierarchical structure of the fibril based on simple chemical principles.
PMID- 27185937
TI - Pravastatin ameliorates placental vascular defects, fetal growth, and cardiac
function in a model of glucocorticoid excess.
AB - Fetoplacental glucocorticoid overexposure is a significant mechanism underlying
fetal growth restriction and the programming of adverse health outcomes in the
adult. Placental glucocorticoid inactivation by 11beta-hydroxysteroid
dehydrogenase type 2 (11beta-HSD2) plays a key role. We previously discovered
that Hsd11b2(-/-) mice, lacking 11beta-HSD2, show marked underdevelopment of the
placental vasculature. We now explore the consequences for fetal cardiovascular
development and whether this is reversible. We studied Hsd11b2(+/+), Hsd11b2(+/
), and Hsd11b2(-/-) littermates from heterozygous (Hsd11b(+/-)) matings at
embryonic day (E)14.5 and E17.5, where all three genotypes were present to
control for maternal effects. Using high-resolution ultrasound, we found that
umbilical vein blood velocity in Hsd11b2(-/-) fetuses did not undergo the normal
gestational increase seen in Hsd11b2(+/+) littermates. Similarly, the resistance
index in the umbilical artery did not show the normal gestational decline.
Surprisingly, given that 11beta-HSD2 absence is predicted to initiate early
maturation, the E/A wave ratio was reduced at E17.5 in Hsd11b2(-/-) fetuses,
suggesting impaired cardiac function. Pravastatin administration from E6.5, which
increases placental vascular endothelial growth factor A and, thus,
vascularization, increased placental fetal capillary volume, ameliorated the
aberrant umbilical cord velocity, normalized fetal weight, and improved the
cardiac function of Hsd11b2(-/-) fetuses. This improved cardiac function occurred
despite persisting indications of increased glucocorticoid exposure in the
Hsd11b2(-/-) fetal heart. Thus, the pravastatin-induced enhancement of fetal
capillaries within the placenta and the resultant hemodynamic changes correspond
with restored fetal cardiac function. Statins may represent a useful therapeutic
approach to intrauterine growth retardation due to placental vascular
hypofunction.
PMID- 27185938
TI - Leukotriene E4 elicits respiratory epithelial cell mucin release through the G
protein-coupled receptor, GPR99.
AB - Cysteinyl leukotrienes (cysLTs), leukotriene C4 (LTC4), LTD4, and LTE4 are
proinflammatory lipid mediators with pathobiologic function in asthma. LTE4, the
stable cysLT, is a weak agonist for the type 1 and type 2 cysLT receptors
(CysLTRs), which constrict airway smooth muscle, but elicits airflow obstruction
and pulmonary inflammation in patients with asthma. We recently identified GPR99
as a high-affinity receptor for LTE4 that mediates cutaneous vascular
permeability. Here we demonstrate that a single intranasal exposure to extract
from the respiratory pathogen Alternaria alternata elicits profound epithelial
cell (EpC) mucin release and submucosal swelling in the nasal mucosa of mice that
depends on cysLTs, as it is absent in mice deficient in the terminal enzyme for
cysLT biosynthesis, LTC4 synthase (LTC4S). These mucosal changes are associated
with mast cell (MC) activation and absent in MC-deficient mice, suggesting a role
for MCs in control of EpC function. Of the three CysLTRs, only GPR99-deficient
mice are fully protected from EpC mucin release and swelling elicited by
Alternaria or by intranasal LTE4 GPR99 expression is detected on lung and nasal
EpCs, which release mucin to doses of LTE4 one log lower than that required to
elicit submucosal swelling. Finally, mice deficient in MCs, LTC4S, or GPR99 have
reduced baseline numbers of goblet cells, indicating an additional function in
regulating EpC homeostasis. These results demonstrate a novel role for GPR99
among CysLTRs in control of respiratory EpC function and suggest that inhibition
of LTE4 and of GPR99 may have therapeutic benefits in asthma.
PMID- 27185939
TI - Target shape dependence in a simple model of receptor-mediated endocytosis and
phagocytosis.
AB - Phagocytosis and receptor-mediated endocytosis are vitally important particle
uptake mechanisms in many cell types, ranging from single-cell organisms to
immune cells. In both processes, engulfment by the cell depends critically on
both particle shape and orientation. However, most previous theoretical work has
focused only on spherical particles and hence disregards the wide-ranging
particle shapes occurring in nature, such as those of bacteria. Here, by
implementing a simple model in one and two dimensions, we compare and contrast
receptor-mediated endocytosis and phagocytosis for a range of biologically
relevant shapes, including spheres, ellipsoids, capped cylinders, and
hourglasses. We find a whole range of different engulfment behaviors with some
ellipsoids engulfing faster than spheres, and that phagocytosis is able to engulf
a greater range of target shapes than other types of endocytosis. Further, the 2D
model can explain why some nonspherical particles engulf fastest (not at all)
when presented to the membrane tip-first (lying flat). Our work reveals how some
bacteria may avoid being internalized simply because of their shape, and suggests
shapes for optimal drug delivery.
PMID- 27185942
TI - Correction for Bryant et al., Detecting affiliation in colaughter across 24
societies.
PMID- 27185940
TI - S-adenosyl methionine is necessary for inhibition of the methyltransferase G9a by
the lysine 9 to methionine mutation on histone H3.
AB - Lysine to methionine (K-to-M) mutations in genes encoding histone H3 are thought
to drive a subset of pediatric brain and bone cancers. These high-frequency K-to
M mutations occur at sites of methylation on histone H3, and tumors containing
the mutant histones exhibit a global loss of specific histone methylation marks.
Previous studies showed that K-to-M mutant histones, also known as oncohistones,
are potent orthosteric inhibitors of specific Su(var)3-9, Enhancer-of-zeste,
Trithorax (SET) domain methyltransferases. However, the biochemical and
biophysical details of the interaction between K-to-M mutant histones and the
respective SET domain methyltransferases are currently unknown. Here, we use the
histone H3K9-directed methyltransferase G9a as a model to explore the mechanism
of inhibition by K-to-M oncohistones. X-ray cocrystal structures revealed that
the K9M residue of histone H3 occupies the active site cavity of G9a, and kinetic
analysis indicates competitive inhibition of G9a by histone H3K9M. Additionally,
we find that the cofactor S-adenosyl methionine (SAM) is necessary for stable
interaction between G9a and H3K9M histone. Consistent with the formation of a
ternary complex, we find that the inhibitory peptide is uncompetitive with regard
to SAM. These data and others indicate that K-to-M oncohistones promote global
loss of specific lysine methylation through sequestration and inhibition of SAM
bound SET domain methyltransferases.
PMID- 27185941
TI - Memories of unethical actions become obfuscated over time.
AB - Despite our optimistic belief that we would behave honestly when facing the
temptation to act unethically, we often cross ethical boundaries. This paper
explores one possibility of why people engage in unethical behavior over time by
suggesting that their memory for their past unethical actions is impaired. We
propose that, after engaging in unethical behavior, individuals' memories of
their actions become more obfuscated over time because of the psychological
distress and discomfort such misdeeds cause. In nine studies (n = 2,109), we show
that engaging in unethical behavior produces changes in memory so that memories
of unethical actions gradually become less clear and vivid than memories of
ethical actions or other types of actions that are either positive or negative in
valence. We term this memory obfuscation of one's unethical acts over time
"unethical amnesia." Because of unethical amnesia, people are more likely to act
dishonestly repeatedly over time.
PMID- 27185943
TI - The need to respect nature and its limits challenges society and conservation
science.
AB - Increasing human population interacts with local and global environments to
deplete biodiversity and resources humans depend on, thus challenging societal
values centered on growth and relying on technology to mitigate environmental
stress. Although the need to address the environmental crisis, central to
conservation science, generated greener versions of the growth paradigm, we need
fundamental shifts in values that ensure transition from a growth-centered
society to one acknowledging biophysical limits and centered on human well-being
and biodiversity conservation. We discuss the role conservation science can play
in this transformation, which poses ethical challenges and obstacles. We analyze
how conservation and economics can achieve better consonance, the extent to which
technology should be part of the solution, and difficulties the "new conservation
science" has generated. An expanded ambition for conservation science should
reconcile day-to-day action within the current context with uncompromising,
explicit advocacy for radical transitions in core attitudes and processes that
govern our interactions with the biosphere. A widening of its focus to understand
better the interconnectedness between human well-being and acknowledgment of the
limits of an ecologically functional and diverse planet will need to integrate
ecological and social sciences better. Although ecology can highlight limits to
growth and consequences of ignoring them, social sciences are necessary to
diagnose societal mechanisms at work, how to correct them, and potential drivers
of social change.
PMID- 27185945
TI - Open chromatin reveals the functional maize genome.
AB - Cellular processes mediated through nuclear DNA must contend with chromatin.
Chromatin structural assays can efficiently integrate information across diverse
regulatory elements, revealing the functional noncoding genome. In this study, we
use a differential nuclease sensitivity assay based on micrococcal nuclease
(MNase) digestion to discover open chromatin regions in the maize genome. We find
that maize MNase-hypersensitive (MNase HS) regions localize around active genes
and within recombination hotspots, focusing biased gene conversion at their
flanks. Although MNase HS regions map to less than 1% of the genome, they
consistently explain a remarkably large amount (~40%) of heritable phenotypic
variance in diverse complex traits. MNase HS regions are therefore on par with
coding sequences as annotations that demarcate the functional parts of the maize
genome. These results imply that less than 3% of the maize genome (coding and
MNase HS regions) may give rise to the overwhelming majority of phenotypic
variation, greatly narrowing the scope of the functional genome.
PMID- 27185944
TI - Transient neuronal coactivations embedded in globally propagating waves underlie
resting-state functional connectivity.
AB - Resting-state functional connectivity (FC), which measures the correlation of
spontaneous hemodynamic signals (HemoS) between brain areas, is widely used to
study brain networks noninvasively. It is commonly assumed that spatial patterns
of HemoS-based FC (Hemo-FC) reflect large-scale dynamics of underlying neuronal
activity. To date, studies of spontaneous neuronal activity cataloged
heterogeneous types of events ranging from waves of activity spanning the entire
neocortex to flash-like activations of a set of anatomically connected cortical
areas. However, it remains unclear how these various types of large-scale
dynamics are interrelated. More importantly, whether each type of large-scale
dynamics contributes to Hemo-FC has not been explored. Here, we addressed these
questions by simultaneously monitoring neuronal calcium signals (CaS) and HemoS
in the entire neocortex of mice at high spatiotemporal resolution. We found a
significant relationship between two seemingly different types of large-scale
spontaneous neuronal activity-namely, global waves propagating across the
neocortex and transient coactivations among cortical areas sharing high FC.
Different sets of cortical areas, sharing high FC within each set, were
coactivated at different timings of the propagating global waves, suggesting that
spatial information of cortical network characterized by FC was embedded in the
phase of the global waves. Furthermore, we confirmed that such transient
coactivations in CaS were indeed converted into spatially similar coactivations
in HemoS and were necessary to sustain the spatial structure of Hemo-FC. These
results explain how global waves of spontaneous neuronal activity propagating
across large-scale cortical network contribute to Hemo-FC in the resting state.
PMID- 27185947
TI - Transactional information is remarkably revelatory.
PMID- 27185946
TI - Phasic dopamine release in the medial prefrontal cortex enhances stimulus
discrimination.
AB - Phasic dopamine (DA) release is believed to guide associative learning. Most
studies have focused on projections from the ventral tegmental area (VTA) to the
striatum, and the action of DA in other VTA target regions remains unclear. Using
optogenetic activation of VTA projections, we examined DA function in the medial
prefrontal cortex (mPFC). We found that mice perceived optogenetically induced DA
release in mPFC as neither rewarding nor aversive, and did not change their
previously learned behavior in response to DA transients. However, repetitive
temporal pairing of an auditory conditioned stimulus (CS) with mPFC DA release
resulted in faster learning of a subsequent task involving discrimination of the
same CS against unpaired stimuli. Similar results were obtained using both
appetitive and aversive unconditioned stimuli, supporting the notion that DA
transients in mPFC do not represent valence. Using extracellular recordings, we
found that CS-DA pairings increased firing of mPFC neurons in response to CSs,
and administration of D1 or D2 DA-receptor antagonists in mPFC during learning
impaired stimulus discrimination. We conclude that DA transients tune mPFC
neurons for the recognition of behaviorally relevant events during learning.
PMID- 27185948
TI - Tissue-specific dynamin-1 deletion at the calyx of Held decreases short-term
depression through a mechanism distinct from vesicle resupply.
AB - Dynamin is a large GTPase with a crucial role in synaptic vesicle regeneration.
Acute dynamin inhibition impairs neurotransmitter release, in agreement with the
protein's established role in vesicle resupply. Here, using tissue-specific
dynamin-1 knockout [conditional knockout (cKO)] mice at a fast central synapse
that releases neurotransmitter at high rates, we report that dynamin-1 deletion
unexpectedly leads to enhanced steady-state neurotransmission and consequently
less synaptic depression during brief periods of high-frequency stimulation.
These changes are also accompanied by increased transmission failures.
Interestingly, synaptic vesicle resupply and several other synaptic properties
remain intact, including basal neurotransmission, presynaptic Ca(2+) influx,
initial release probability, and postsynaptic receptor saturation and
desensitization. However, acute application of Latrunculin B, a reagent known to
induce actin depolymerization and impair bulk and ultrafast endocytosis, has a
stronger effect on steady-state depression in cKO than in control and brings the
depression down to a control level. The slow phase of presynaptic capacitance
decay following strong stimulation is impaired in cKO; the rapid capacitance
changes immediately after strong depolarization are also different between
control and cKO and sensitive to Latrunculin B. These data raise the possibility
that, in addition to its established function in regenerating synaptic vesicles,
the endocytosis protein dynamin-1 may have an impact on short-term synaptic
depression. This role comes into play primarily during brief high-frequency
stimulation.
PMID- 27185950
TI - Time discounting and criminal behavior.
AB - One of the most basic predictions of almost any model of crime is that individual
time preferences matter. However, empirical evidence on this fundamental property
is essentially nonexistent. To our knowledge, this paper provides the first
pieces of evidence on the link between time discounting and crime. We use a
unique dataset that combines a survey-based measure of time discount rates (at
age 13) with detailed longitudinal register data on criminal behavior spanning
over 18 y. Our results show that individuals with short time horizons have a
significantly higher risk of criminal involvement later in life. The magnitude of
the relationship is substantial and corresponds to roughly one-third of the
association between intelligence and crime.
PMID- 27185952
TI - Role of updraft velocity in temporal variability of global cloud hydrometeor
number.
AB - Understanding how dynamical and aerosol inputs affect the temporal variability of
hydrometeor formation in climate models will help to explain sources of model
diversity in cloud forcing, to provide robust comparisons with data, and,
ultimately, to reduce the uncertainty in estimates of the aerosol indirect
effect. This variability attribution can be done at various spatial and temporal
resolutions with metrics derived from online adjoint sensitivities of droplet and
crystal number to relevant inputs. Such metrics are defined and calculated from
simulations using the NASA Goddard Earth Observing System Model, Version 5 (GEOS
5) and the National Center for Atmospheric Research Community Atmosphere Model
Version 5.1 (CAM5.1). Input updraft velocity fluctuations can explain as much as
48% of temporal variability in output ice crystal number and 61% in droplet
number in GEOS-5 and up to 89% of temporal variability in output ice crystal
number in CAM5.1. In both models, this vertical velocity attribution depends
strongly on altitude. Despite its importance for hydrometeor formation, simulated
vertical velocity distributions are rarely evaluated against observations due to
the sparsity of relevant data. Coordinated effort by the atmospheric community to
develop more consistent, observationally based updraft treatments will help to
close this knowledge gap.
PMID- 27185949
TI - Natural mutations in a Staphylococcus aureus virulence regulator attenuate
cytotoxicity but permit bacteremia and abscess formation.
AB - Staphylococcus aureus is a major bacterial pathogen, which causes severe blood
and tissue infections that frequently emerge by autoinfection with
asymptomatically carried nose and skin populations. However, recent studies
report that bloodstream isolates differ systematically from those found in the
nose and skin, exhibiting reduced toxicity toward leukocytes. In two patients, an
attenuated toxicity bloodstream infection evolved from an asymptomatically
carried high-toxicity nasal strain by loss-of-function mutations in the gene
encoding the transcription factor repressor of surface proteins (rsp). Here, we
report that rsp knockout mutants lead to global transcriptional and proteomic
reprofiling, and they exhibit the greatest signal in a genome-wide screen for
genes influencing S. aureus survival in human cells. This effect is likely to be
mediated in part via SSR42, a long-noncoding RNA. We show that rsp controls SSR42
expression, is induced by hydrogen peroxide, and is required for normal
cytotoxicity and hemolytic activity. Rsp inactivation in laboratory- and
bacteremia-derived mutants attenuates toxin production, but up-regulates other
immune subversion proteins and reduces lethality during experimental infection.
Crucially, inactivation of rsp preserves bacterial dissemination, because it
affects neither formation of deep abscesses in mice nor survival in human blood.
Thus, we have identified a spontaneously evolving, attenuated-cytotoxicity,
nonhemolytic S. aureus phenotype, controlled by a pleiotropic transcriptional
regulator/noncoding RNA virulence regulatory system, capable of causing S. aureus
bloodstream infections. Such a phenotype could promote deep infection with
limited early clinical manifestations, raising concerns that bacterial evolution
within the human body may contribute to severe infection.
PMID- 27185953
TI - Structural characterization of the ternary complex that mediates termination of
NF-kappaB signaling by IkappaBalpha.
AB - The transcription factor NF-kappaB is used in many systems for the transduction
of extracellular signals into the expression of signal-responsive genes.
Published structural data explain the activation of NF-kappaB through degradation
of its dedicated inhibitor IkappaBalpha, but the mechanism by which NF-kappaB
mediated signaling is turned off by its removal from the DNA in the presence of
newly synthesized IkappaBalpha (termed stripping) is unknown. Previous kinetic
studies showed that IkappaBalpha accelerates NF-kappaB dissociation from DNA, and
a transient ternary complex between NF-kappaB, its cognate DNA sequence, and
IkappaBalpha was observed. Here we structurally characterize the >100-kDa ternary
complex by NMR and negative stain EM and show a modeled structure that is
consistent with the measurements. These data provide a structural basis for
previously unidentified insights into the molecular mechanism of stripping.
PMID- 27185951
TI - Chemo-mechanical pushing of proteins along single-stranded DNA.
AB - Single-stranded (ss)DNA binding (SSB) proteins bind with high affinity to ssDNA
generated during DNA replication, recombination, and repair; however, these SSBs
must eventually be displaced from or reorganized along the ssDNA. One potential
mechanism for reorganization is for an ssDNA translocase (ATP-dependent motor) to
push the SSB along ssDNA. Here we use single molecule total internal reflection
fluorescence microscopy to detect such pushing events. When Cy5-labeled
Escherichia coli (Ec) SSB is bound to surface-immobilized 3'-Cy3-labeled ssDNA, a
fluctuating FRET signal is observed, consistent with random diffusion of SSB
along the ssDNA. Addition of Saccharomyces cerevisiae Pif1, a 5' to 3' ssDNA
translocase, results in the appearance of isolated, irregularly spaced saw-tooth
FRET spikes only in the presence of ATP. These FRET spikes result from
translocase-induced directional (5' to 3') pushing of the SSB toward the 3' ssDNA
end, followed by displacement of the SSB from the DNA end. Similar ATP-dependent
pushing events, but in the opposite (3' to 5') direction, are observed with EcRep
and EcUvrD (both 3' to 5' ssDNA translocases). Simulations indicate that these
events reflect active pushing by the translocase. The ability of translocases to
chemo-mechanically push heterologous SSB proteins along ssDNA provides a
potential mechanism for reorganization and clearance of tightly bound SSBs from
ssDNA.
PMID- 27185955
TI - Prevalent vegetation growth enhancement in urban environment.
AB - Urbanization, a dominant global demographic trend, leads to various changes in
environments (e.g., atmospheric CO2 increase, urban heat island). Cities
experience global change decades ahead of other systems so that they are natural
laboratories for studying responses of other nonurban biological ecosystems to
future global change. However, the impacts of urbanization on vegetation growth
are not well understood. Here, we developed a general conceptual framework for
quantifying the impacts of urbanization on vegetation growth and applied it in 32
Chinese cities. Results indicated that vegetation growth, as surrogated by
satellite-observed vegetation index, decreased along urban intensity across all
cities. At the same time, vegetation growth was enhanced at 85% of the places
along the intensity gradient, and the relative enhancement increased with urban
intensity. This growth enhancement offset about 40% of direct loss of vegetation
productivity caused by replacing productive vegetated surfaces with nonproductive
impervious surfaces. In light of current and previous field studies, we conclude
that vegetation growth enhancement is prevalent in urban settings. Urban
environments do provide ideal natural laboratories to observe biological
responses to environmental changes that are difficult to mimic in manipulative
experiments. However, one should be careful in extrapolating the finding to
nonurban environments because urban vegetation is usually intensively managed,
and attribution of the responses to diverse driving forces will be challenging
but must be pursued.
PMID- 27185956
TI - KdgF, the missing link in the microbial metabolism of uronate sugars from pectin
and alginate.
AB - Uronates are charged sugars that form the basis of two abundant sources of
biomass-pectin and alginate-found in the cell walls of terrestrial plants and
marine algae, respectively. These polysaccharides represent an important source
of carbon to those organisms with the machinery to degrade them. The microbial
pathways of pectin and alginate metabolism are well studied and essentially
parallel; in both cases, unsaturated monouronates are produced and processed into
the key metabolite 2-keto-3-deoxygluconate (KDG). The enzymes required to
catalyze each step have been identified within pectinolytic and alginolytic
microbes; yet the function of a small ORF, kdgF, which cooccurs with the genes
for these enzymes, is unknown. Here we show that KdgF catalyzes the conversion of
pectin- and alginate-derived 4,5-unsaturated monouronates to linear ketonized
forms, a step in uronate metabolism that was previously thought to occur
spontaneously. Using enzyme assays, NMR, mutagenesis, and deletion of kdgF, we
show that KdgF proteins from both pectinolytic and alginolytic bacteria catalyze
the ketonization of unsaturated monouronates and contribute to efficient
production of KDG. We also report the X-ray crystal structures of two KdgF
proteins and propose a mechanism for catalysis. The discovery of the function of
KdgF fills a 50-y-old gap in the knowledge of uronate metabolism. Our findings
have implications not only for the understanding of an important metabolic
pathway, but also the role of pectinolysis in plant-pathogen virulence and the
growing interest in the use of pectin and alginate as feedstocks for biofuel
production.
PMID- 27185954
TI - Variants within the SP110 nuclear body protein modify risk of canine degenerative
myelopathy.
AB - Canine degenerative myelopathy (DM) is a naturally occurring neurodegenerative
disease with similarities to some forms of amyotrophic lateral sclerosis (ALS).
Most dogs that develop DM are homozygous for a common superoxide dismutase 1 gene
(SOD1) mutation. However, not all dogs homozygous for this mutation develop
disease. We performed a genome-wide association analysis in the Pembroke Welsh
Corgi (PWC) breed comparing DM-affected and -unaffected dogs homozygous for the
SOD1 mutation. The analysis revealed a modifier locus on canine chromosome 25. A
haplotype within the SP110 nuclear body protein (SP110) was present in 40% of
affected compared with 4% of unaffected dogs (P = 1.5 * 10(-5)), and was
associated with increased probability of developing DM (P = 4.8 * 10(-6)) and
earlier onset of disease (P = 1.7 * 10(-5)). SP110 is a nuclear body protein
involved in the regulation of gene transcription. Our findings suggest that
variations in SP110-mediated gene transcription may underlie, at least in part,
the variability in risk for developing DM among PWCs that are homozygous for the
disease-related SOD1 mutation. Further studies are warranted to clarify the
effect of this modifier across dog breeds.
PMID- 27185957
TI - Disease-modifying anti-rheumatic drugs and non-melanoma skin cancer in
inflammatory arthritis patients: a retrospective cohort study.
AB - OBJECTIVES: The aim was to determine the non-melanoma skin cancer (NMSC) risk in
patients with RA or PsA exposed to MTX and other DMARDs. METHODS: Information on
medication was collected on 405 patients with RA or PsA in two private
rheumatology practices and was matched to comprehensive histologically confirmed
cancer registry data for the years 1978-2005. Relative risks (RRs) were estimated
by logarithmic binomial modelling, and standardized incidence ratios (SIRs) were
calculated from year-, sex- and age-specific rates of NMSC for the local
population. RESULTS: Compared with no MTX usage, any MTX usage was associated
with a higher rate of at least one histopathologically confirmed NMSC (SIR 4.64,
95% CI: 0.67, 33.2). The SIR was 4.81 (95% CI: 3.60, 6.29) for those receiving a
cumulative dose >8000 mg compared with SIR 2.31 (95% CI: 1.58, 2.36) for <5000
mg. An increased risk was shown for both basal cell carcinomas and squamous cell
carcinomas, with an apparent dose-response relationship for basal cell carcinomas
but not for squamous cell carcinomas. There was an increased risk of NMSC in
patients taking CSA (RR = 2.51, 95% CI: 1.23, 5.13) and D-Pen (RR 3.49, 95% CI:
1.34, 4.63) in addition to MTX, but not for patients taking AZA or LEF.
CONCLUSION: MTX, and concurrent MTX and CSA or D-Pen use, is associated with an
increased risk of NMSC. These results should encourage greater clinical vigilance
for NMSC in treated patients with RA and PsA.
PMID- 27185959
TI - Characterizing the correlations between local phase fractions of gas-liquid two
phase flow with wire-mesh sensor.
AB - Understanding of flow patterns and their transitions is significant to uncover
the flow mechanics of two-phase flow. The local phase distribution and its
fluctuations contain rich information regarding the flow structures. A wire-mesh
sensor (WMS) was used to study the local phase fluctuations of horizontal gas
liquid two-phase flow, which was verified through comparing the reconstructed
three-dimensional flow structure with photographs taken during the experiments.
Each crossing point of the WMS is treated as a node, so the measurement on each
node is the phase fraction in this local area. An undirected and unweighted flow
pattern network was established based on connections that are formed by cross
correlating the time series of each node under different flow patterns. The
structure of the flow pattern network reveals the relationship of the phase
fluctuations at each node during flow pattern transition, which is then
quantified by introducing the topological index of the complex network. The
proposed analysis method using the WMS not only provides three-dimensional
visualizations of the gas-liquid two-phase flow, but is also a thorough analysis
for the structure of flow patterns and the characteristics of flow pattern
transition. This article is part of the themed issue 'Supersensing through
industrial process tomography'.
PMID- 27185958
TI - The relationship between three-dimensional knee MRI bone shape and total knee
replacement-a case control study: data from the Osteoarthritis Initiative.
AB - OBJECTIVE: There is growing understanding of the importance of bone in OA. Our
aim was to determine the relationship between 3D MRI bone shape and total knee
replacement (TKR). METHODS: A nested case-control study within the Osteoarthritis
Initiative cohort identified case knees with confirmed TKR for OA and controls
that were matched using propensity scores. Active appearance modelling
quantification of the bone shape of all knee bones identified vectors between
knees having or not having OA. Vectors were scaled such that -1 and +1
represented the mean non-OA and mean OA shapes. RESULTS: Compared to controls (n
= 310), TKR cases (n = 310) had a more positive mean baseline 3D bone shape
vector, indicating more advanced structural OA, for the femur [mean 0.98 vs
0.11; difference (95% CI) 1.10 (0.88, 1.31)], tibia [mean 0.86 vs -0.07;
difference (95% CI) 0.94 (0.72, 1.16)] and patella [mean 0.95 vs 0.03; difference
(95% CI) 0.92 (0.65, 1.20)]. Odds ratios (95% CI) for TKR per normalized unit of
3D bone shape vector for the femur, tibia and patella were: 1.85 (1.59, 2.16),
1.64 (1.42, 1.89) and 1.36 (1.22, 1.50), respectively, all P < 0.001. After
including Kellgren-Lawrence grade in a multivariable analysis, only the femur 3D
shape vector remained significantly associated with TKR [odds ratio 1.24 (1.02,
1.51)]. CONCLUSION: 3D bone shape was associated with the endpoint of this study,
TKR, with femoral shape being most associated. This study contributes to the
validation of quantitative MRI bone biomarkers for OA structure-modification
trials.
PMID- 27185960
TI - Interface and permittivity simultaneous reconstruction in electrical capacitance
tomography based on boundary and finite-elements coupling method.
AB - Electrical capacitance tomography (ECT) is a non-destructive detection technique
for imaging the permittivity distributions inside an observed domain from the
capacitances measurements on its boundary. Owing to its advantages of non
contact, non-radiation, high speed and low cost, ECT is promising in the
measurements of many industrial or biological processes. However, in the
practical industrial or biological systems, a deposit is normally seen in the
inner wall of its pipe or vessel. As the actual region of interest (ROI) of ECT
is surrounded by the deposit layer, the capacitance measurements become weakly
sensitive to the permittivity perturbation occurring at the ROI. When there is a
major permittivity difference between the deposit and the ROI, this kind of
shielding effect is significant, and the permittivity reconstruction becomes
challenging. To deal with the issue, an interface and permittivity simultaneous
reconstruction approach is proposed. Both the permittivity at the ROI and the
geometry of the deposit layer are recovered using the block coordinate descent
method. The boundary and finite-elements coupling method is employed to improve
the computational efficiency. The performance of the proposed method is evaluated
with the simulation tests. This article is part of the themed issue 'Supersensing
through industrial process tomography'.
PMID- 27185962
TI - Sensing flame structure by process tomography.
AB - Non-intrusive visualization of the structure of flames can offer us many
advantages in studying the reaction mechanisms of combustion and observing
special distributions of the parameters required for the development of equipment
such as jet engines and gas turbines. Process tomography is a relatively new
technique for such a task, but is useful owing to its fast speed and capability
of detecting signals related to ionizations caused by chemical reactions and
thermal effects. Electric capacitance tomography (ECT) is one of the process
tomographic techniques. ECT usually comprises a sensor array of electrodes that
detect permittivity variations in the measuring zone, a data-logging device and a
computer that controls data acquisition and carries out image reconstruction.
There have been studies on ECT imaging of flames; however, ECT has not been
exploited sufficiently to reveal the inner structure of the flames. In this
study, a sensor with planar electrodes is created, and the associated three
dimensional sensitivity map is generated by the finite-element method to detect
flame structure. A series of experiments are carried out covering a range of feed
rates of fuel and air. Data are collected by the ECT sensor and hardware. The
results of the ECT reconstruction show good agreement with actual features, and
the structure of the flame is found. This opens up a new route for the study of
flames. This article is part of the themed issue 'Supersensing through industrial
process tomography'.
PMID- 27185961
TI - Reconstruction of velocity fields in electromagnetic flow tomography.
AB - Electromagnetic flow meters (EMFMs) are the gold standard in measuring flow
velocity in process industry. The flow meters can measure the mean flow velocity
of conductive liquids and slurries. A drawback of this approach is that the
velocity field cannot be determined. Asymmetric axial flows, often encountered in
multiphase flows, pipe elbows and T-junctions, are problematic and can lead to
serious systematic errors. Recently, electromagnetic flow tomography (EMFT) has
been proposed for measuring velocity fields using several coils and a set of
electrodes attached to the surface of the pipe. In this work, a velocity field
reconstruction method for EMFT is proposed. The method uses a previously
developed finite-element-based computational forward model for computing boundary
voltages and a Bayesian framework for inverse problems. In the approach, the vz
component of the velocity field along the longitudinal axis of the pipe is
estimated on the pipe cross section. Different asymmetric velocity fields
encountered near pipe elbows, solids-in-water flows in inclined pipes and in
stratified or multiphase flows are tested. The results suggest that the proposed
reconstruction method could be used to estimate velocity fields in complicated
pipe flows in which the conventional EMFMs have limited accuracy. This article is
part of the themed issue 'Supersensing through industrial process tomography'.
PMID- 27185963
TI - A gradiometric version of contactless inductive flow tomography: theory and first
applications.
AB - The contactless inductive flow tomography (CIFT) is a measurement technique that
allows reconstructing the flow of electrically conducting fluids by measuring the
flow-induced perturbations of one or various applied magnetic fields and solving
the underlying inverse problem. One of the most promising application fields of
CIFT is the continuous casting of steel, for which the online monitoring of the
flow in the mould would be highly desirable. In previous experiments at a small
scale model of continuous casting, CIFT has been applied to various industrially
relevant problems, including the sudden changes of flow structures in case of
argon injection and the influence of a magnetic stirrer at the submerged entry
nozzle. The application of CIFT in the presence of electromagnetic brakes, which
are widely used to stabilize the flow in the mould, has turned out to be more
challenging due to the extreme dynamic range between the strong applied brake
field and the weak flow-induced perturbations of the measuring field. In this
paper, we present a gradiometric version of CIFT, relying on gradiometric field
measurements, that is capable to overcome those problems and which seems,
therefore, a promising candidate for applying CIFT in the steel casting industry.
This article is part of the themed issue 'Supersensing through industrial process
tomography'.
PMID- 27185964
TI - Electronic hardware design of electrical capacitance tomography systems.
AB - Electrical tomography techniques for process imaging are very prominent for
industrial applications, such as the oil and gas industry and chemical
refineries, owing to their ability to provide the flow regime of a flowing fluid
within a relatively high throughput. Among the various techniques, electrical
capacitance tomography (ECT) is gaining popularity due to its non-invasive nature
and its capability to differentiate between different phases based on their
permittivity distribution. In recent years, several hardware designs have been
provided for ECT systems that have improved its resolution of measurements to be
around attofarads (aF, 10(-18) F), or the number of channels, that is required to
be large for some applications that require a significant amount of data. In
terms of image acquisition time, some recent systems could achieve a throughput
of a few hundred frames per second, while data processing time could be achieved
in only a few milliseconds per frame. This paper outlines the concept and main
features of the most recent front-end and back-end electronic circuits dedicated
for ECT systems. In this paper, multiple-excitation capacitance polling, a front
end electronic technique, shows promising results for ECT systems to acquire fast
data acquisition speeds. A highly parallel field-programmable gate array (FPGA)
based architecture for a fast reconstruction algorithm is also described. This
article is part of the themed issue 'Supersensing through industrial process
tomography'.
PMID- 27185966
TI - Multiphase permittivity imaging using absolute value electrical capacitance
tomography data and a level set algorithm.
AB - Multiphase flow imaging is a very challenging and critical topic in industrial
process tomography. In this article, simulation and experimental results of
reconstructing the permittivity profile of multiphase material from data
collected in electrical capacitance tomography (ECT) are presented. A multiphase
narrowband level set algorithm is developed to reconstruct the interfaces between
three- or four-phase permittivity values. The level set algorithm is capable of
imaging multiphase permittivity by using one set of ECT measurement data, so
called absolute value ECT reconstruction, and this is tested with high-contrast
and low-contrast multiphase data. Simulation and experimental results showed the
superiority of this algorithm over classical pixel-based image reconstruction
methods. The multiphase level set algorithm and absolute ECT reconstruction are
presented for the first time, to the best of our knowledge, in this paper and
critically evaluated. This article is part of the themed issue 'Supersensing
through industrial process tomography'.
PMID- 27185965
TI - Super-sensing through industrial process tomography.
AB - In this introduction article, we present a brief overview of industrial process
tomography. This will start by linking between the concept of industrial process
tomography and super-sensing. This will follow with a brief introduction to
various process tomography systems and in particular electrical tomography
methods. This article is part of the themed issue 'Supersensing through
industrial process tomography'.
PMID- 27185967
TI - Super-sensing technology: industrial applications and future challenges of
electrical tomography.
AB - Electrical tomography is a relatively new imaging technique that can image the
distribution of the passive electrical properties of an object. Since electrical
tomography technology was proposed in the 1980s, the technique has evolved
rapidly because of its low cost, easy scale-up and non-invasive features. The
technique itself can be sensitive to all passive electrical properties, such as
conductivity, permittivity and permeability. Hence, it has a huge potential to be
applied in many applications. Owing to its ill-posed nature and low image
resolution, electrical tomography attracts more attention in industrial fields
than biomedical fields. In the past decades, there have been many research
developments and industrial implementations of electrical tomography;
nevertheless, the awareness of this technology in industrial sectors is still one
of the biggest limitations for technology implementation. In this paper, the
authors have summarized several representative applications that use electrical
tomography. Some of the current tomography research activities will also be
discussed. This article is part of the themed issue 'Supersensing through
industrial process tomography'.
PMID- 27185968
TI - Arts of electrical impedance tomographic sensing.
AB - This paper reviews governing theorems in electrical impedance sensing for
analysing the relationships of boundary voltages obtained from different sensing
strategies. It reports that both the boundary voltage values and the associated
sensitivity matrix of an alternative sensing strategy can be derived from a set
of full independent measurements and sensitivity matrix obtained from other
sensing strategy. A new sensing method for regional imaging with limited
measurements is reported. It also proves that the sensitivity coefficient back
projection algorithm does not always work for all sensing strategies, unless the
diagonal elements of the transformed matrix, A(T)A, have significant values and
can be approximate to a diagonal matrix. Imaging capabilities of few sensing
strategies were verified with static set-ups, which suggest the adjacent
electrode pair sensing strategy displays better performance compared with the
diametrically opposite protocol, with both the back-projection and multi-step
image reconstruction methods. An application of electrical impedance tomography
for sensing gas in water two-phase flows is demonstrated. This article is part of
the themed issue 'Supersensing through industrial process tomography'.
PMID- 27185969
TI - The Main and Interactive Effects of Maternal Interpersonal Emotion Regulation and
Negative Affect on Adolescent Girls' Borderline Personality Disorder Symptoms.
AB - The transaction of adolescent's expressed negative affect and parental
interpersonal emotion regulation are theoretically implicated in the development
of borderline personality disorder (BPD). Although problem solving and
support/validation are interpersonal strategies that foster emotion regulation,
little is known about whether these strategies are associated with less BPD
severity among adolescents. Adolescent girls (age 16; N = 74) and their mothers
completed a conflict discussion task, and maternal problem solving,
support/validation, and girls' negative affect were coded. Girls' BPD symptoms
were assessed at four time points. A 3-way interaction of girls' negative affect,
problem solving, and support/validation indicated that girls' negative affect was
only associated with BPD severity in the context of low maternal
support/validation and high maternal problem solving. These variables did not
predict changes in BPD symptoms over time. Although high negative affect is a
risk for BPD severity in adolescent girls, maternal interpersonal emotion
regulation strategies moderate this link. Whereas maternal problem solving
coupled with low support/validation is associated with a stronger negative affect
BPD relation, maternal problem solving paired with high support/validation is
associated with an attenuated relationship.
PMID- 27185970
TI - Feature Augmentation via Nonparametrics and Selection (FANS) in High-Dimensional
Classification.
AB - We propose a high dimensional classification method that involves nonparametric
feature augmentation. Knowing that marginal density ratios are the most powerful
univariate classifiers, we use the ratio estimates to transform the original
feature measurements. Subsequently, penalized logistic regression is invoked,
taking as input the newly transformed or augmented features. This procedure
trains models equipped with local complexity and global simplicity, thereby
avoiding the curse of dimensionality while creating a flexible nonlinear decision
boundary. The resulting method is called Feature Augmentation via Nonparametrics
and Selection (FANS). We motivate FANS by generalizing the Naive Bayes model,
writing the log ratio of joint densities as a linear combination of those of
marginal densities. It is related to generalized additive models, but has better
interpretability and computability. Risk bounds are developed for FANS. In
numerical analysis, FANS is compared with competing methods, so as to provide a
guideline on its best application domain. Real data analysis demonstrates that
FANS performs very competitively on benchmark email spam and gene expression data
sets. Moreover, FANS is implemented by an extremely fast algorithm through
parallel computing.
PMID- 27185971
TI - Comparison of the depth of tissue necrosis between double-freeze and single
freeze nitrous oxide-based cryotherapy.
AB - BACKGROUND: Cryotherapy is one the methods of treating cervical premalignant
lesions. It is particularly suitable for low-resource countries because of it is
relative cheaper, has low cost of maintenance, ease of use and that does not
require electricity which is in short supply in many rural areas of developing
countries where the incidence and mortality from cervical cancer is very high. In
this study we compared single and double freezing on the cervices of women
admitted for hysterectomy for benign conditions using Nitrous-based cryotherapy.
MATERIALS AND METHODS: Patients admitted for elective hysterectomy for benign
gynaecological conditions were randomized into two arms. The first group had
single freeze cryotherapy while the second arm received double freeze
cryotherapy. The cervices were examined 24 hours later to determine the depth of
tissue necrosis. RESULTS: In this comparative study, the depth of tissue necrosis
was deeper with double freeze compared with single freeze. Also in both arms, the
depth of necrosis was deeper on anterior lips than on posterior lips of the
cervix. CONCLUSION: Double freeze technique achieve more depth of tissue necrosis
than single-freeze on both anterior and posterior lips of the cervix.
PMID- 27185972
TI - Effect of current glycemic control on qualitative body composition in sedentary
ambulatory Type 2 diabetics.
AB - BACKGROUND: Obesity and Type 2 diabetes mellitus are on rise with cause-effect
relationship. Diabetics monitor blood sugar, neglecting qualitative body
composition, leaving residual threat of ectopic fat unattended. We tried to
correlate glycemic triad with parameters of body composition derived objectively
by bioelectrical impedance analysis (BIA). MATERIALS AND METHODS: A sample of 78
under treatment sedentary Type 2 diabetics of either sex with known glycemic and
lipidemic control from our city. Following baseline assessment measurement was
done by instrument Omron Karada Scan (Model HBF-510, China) using the principle
of tetra poplar BIA to derive parameters of body composition. We tried to
correlate glycemic triad with these parameters, both directly as well as after
defining them as per established cutoff norms. RESULTS: We found poor glycemic
control in the study group (20% for Hb1AC), high body mass index, subcutaneous
fat, visceral fat (VF), total body fat (TBF), and lesser mass of skeletal muscle
in Type 2 diabetics. However, there were small, insignificant, and inconsistent
difference of these parameters while directly correlating with the fasting blood
sugar, postprandial blood sugar, and glycosylated hemoglobin. On qualitative
assessment, the impact of glycemic control as per standard norms, the risk of
high VF, high TBF, low skeletal muscle mass was though high (between 1 and 2) in
Type 2 diabetics with poor glycemic control as compared to good glycemics, but
each strength lacks statistical significance. CONCLUSION: BIA reveals that Type 2
diabetics have more ectopic fat on expense of skeletal muscle that do not
correlate with current glycemic status, both quantitatively and qualitatively.
Measurement of body composition can be included and subjects can be motivated for
lifestyle modification strategies while managing metabolic derangements of Type 2
diabetes.
PMID- 27185973
TI - Psychosocial needs of families of intensive care patients: Perceptions of nurses
and families.
AB - BACKGROUND: Admission to an intensive care unit (ICU) is not only stressful to
the patients but the patients' family members. Families are believed not to
receive their required attention because their needs are incorrectly and
inaccurately evaluated by the health care team. Therefore, the present study
aimed to examine the perceptions of ICU nurses and families regarding the
psychosocial needs of families of intensive care patients. MATERIALS AND METHODS:
This descriptive-analytical study was conducted on a randomly selected population
of 80 nurses and 80 family members of ICU patients. Data were collected using a
two-part questionnaire containing sociodemographic characteristics and the
Critical Care Family Need Inventory (CCFNI). RESULTS: The rank order of the five
most important CCFNI item needs identified by families were as follows: "To feel
that the hospital personnel care about the patient", "to be assured that the best
care possible is being given to the patient", "to have questions answered
honestly", "to know specific facts concerning patient's progress", and "to be
called at home about changes in the patient's condition." The top five CCFNI item
needs identified by nurses were in the following order: "To be assured that the
best care possible is being given to the patient", "to be told about transfer
plans while they are being made", "to feel that the hospital personnel care about
the patient", "to have questions answered honestly", and "to know specific facts
concerning patient's progress." CONCLUSION: The present study showed there are
similarities and dissimilarities between nurses and family members in their
perceived importance of some family needs in the ICU. It can thus be inferred
from our results that the participating nurses misestimated the needs of family
members, attested by their wrong estimation of the most need statements.
PMID- 27185974
TI - Management of ovarian cysts with percutaneous aspiration and methotrexate
injection.
AB - BACKGROUND: To evaluate ultrasonographic-guided cyst aspiration and methotrexate
injection in the management of simple and endometriotic ovarian cysts in selected
patients. SUBJECTS AND METHODS: This prospective study was conducted in the
Department of Obstetrics and Gynaecology in Government Medical College and
Hospital, Chandigarh, from November 2007 to October 2009. It included 132 female
patients (age range, 15-72 years; mean, 38.7 years) with simple or endometriotic
ovarian cysts (3.0-10.6 cm) at ultrasonic examinations. We performed puncture and
aspiration followed by methotrexate injection into the cyst. All patients were
followed for 12 months. None was lost to follow-up. RESULTS: At follow-up
ultrasonography, cysts had disappeared in 120 patients (90.90%) and persisted in
12 patients (9%). No major complications were observed in our study population
during or after the procedure. Only 10 patients reported mild pelvic pain, and
four others reported dizziness or nausea during or after the procedure. Malignant
cells were not found in any of the cases at cytologic examination. We did not
observe any cases of infection after the procedure. CONCLUSION: Ultrasonography
guided transabdominal aspiration of cyst fluid and subsequent methotrexate
injection appears to be an alternative treatment for both simple and
endometriotic ovarian cysts in selected cases.
PMID- 27185975
TI - The predictors of psychiatric disorders among people living with epilepsy as seen
in a Nigerian Tertiary Health Institution.
AB - BACKGROUND: Mental disorders may complicate epilepsy which can further impair the
quality of life of people living with this chronic neurological condition. The
aim of this study was to determine the types of psychiatric disorders in patients
with epilepsy and to determine the sociodemographic and clinical factors that may
predict these psychiatric illnesses. MATERIALS AND METHODS: This is a descriptive
cross-sectional study carried out over a period of 6 months at Abubakar Tafawa
Balewa University Teaching Hospital, Bauchi, Nigeria. The Mini International
Neuropsychiatric Interview was used to determine the psychological health of 74
consecutively recruited adult patients with epilepsy attending the psychiatric
outpatients' clinic of the hospital. Psychiatric diagnoses were based on
Diagnostic and Statistical Manual for Mental Disorders, 4(th) Edition criteria,
and logistic regression analysis was done to determine variables that predict
psychiatric disorder. RESULTS: Majority of the participants were male (67.6%)
with their age ranging from 18 to 68 years and the mean age of 30.55 +/- 10.91
years. Thirty-three (44.6%) of our study respondents had psychiatric diagnoses
that included major depressive disorder (21.6%), schizophrenia (17.6%),
generalized anxiety disorder (4.1%), and hypomania (1.4%). Being unemployed (odds
ratio [OR] = 3.24. 95% confidence interval [CI] = 1.15-9.10, P = 0.026) and short
term seizure free period (OR = 0.19, 95% CI = 0.04-0.78, P = 0.022) were the
variables found to be predictive of psychiatric diagnoses. CONCLUSIONS: The study
revealed that a large percentage of people living with epilepsy develop mental
disorders which can further increase the burden and worsen the quality of life of
patients with this chronic debilitating condition.
PMID- 27185976
TI - Ascorbic acid treatment elevates follicle stimulating hormone and testosterone
plasma levels and enhances sperm quality in albino Wistar rats.
AB - BACKGROUND: Infertility issues have been linked to the effect of oxidative
reaction in the reproductive system. This study evaluated the effect of ascorbic
acid, on fertility parameters of male albino Wistar rats was studied. MATERIALS
AND METHODS: Eighteen albino Wistar rats weighed between 178 g and 241 g were
used, randomly assigned into three groups. Group 1 was the control group; oral
gavaged 5 ml of distilled water; Groups 2 and 3 were administered medium dose
(250 mg/kg) and high dose of ascorbic acid (400 mg/kg), respectively; twice daily
for 21 days. Blood samples were obtained by cardiac puncture, and blood serum was
obtained for hormonal assay, and the testes were harvested for sperm analysis.
RESULTS: Follicle stimulating hormone levels significantly increased in the high
dose group as compared to both the control and medium dose groups. Luteinizing
hormone levels in the medium dose group decreased significantly as compared to
the control group. Testosterone significantly increased in both the medium- and
high-dose groups as compared to the control group. Sperm motility increased
significantly in the high-dose group as compared to both control and medium-dose
groups. Percentage sperm concentration decreased significantly in the medium-dose
group when compared to the control and increased significantly in the high-dose
group as compared to the medium-dose group. For percentage normal morphology,
there was a dose-dependent increase in the test groups when compared to control
group. CONCLUSION: These results are indicative of a positive influence of
ascorbic acid on male fertility modulators and may therefore, serve as a
potential adjuvant treatment for male infertility cases.
PMID- 27185977
TI - Assessment of maternal risk factors associated with low birth weight neonates at
a tertiary hospital, Nanded, Maharashtra.
AB - BACKGROUND: To assess the maternal risk factors associated with low birth weight
(LBW) neonates at a tertiary hospital, Nanded, Maharashtra. MATERIALS AND
METHODS: This study was carried out in a tertiary care hospital in Nanded city of
Maharashtra between January 2014 and July 2014 among 160 cases (LBW-birth weight
<=2499 g) and 160 controls (normal birth weight-birth weight >2499. Data
collection was done by using predesigned questionnaire and also related health
documents were checked and collected the expected information during the
interview after obtaining informed consent from mothers. The data were analyzed
by Epi Info 7 Version. RESULTS: The present study found the significant
association among gestational age, sex of baby, type of delivery, maternal age,
religion, education of mother and husband, occupation of mother and husband, type
of family, maternal height, weight gain, hemoglobin level, planned/unplanned
delivery, bad obstetric history, interval between pregnancies, previous history
of LBW, underlying disease, tobacco chewing, timing of first antenatal care (ANC)
visit, total number of ANC visit, and iron and folic acid (IFA) tablets
consumption with LBW. No significant association was found among maternal age,
residence, caste, consanguinity of marriage, socioeconomic status, gravida, birth
order, multiple pregnancy, and smoking with LBW in our study. CONCLUSION: It was
concluded that hemoglobin level, weight gain during pregnancy, gestational age,
planned/unplanned delivery, bad obstetric history, and IFA tablets consumption
during pregnancy were independent risk factors for LBW.
PMID- 27185978
TI - Cost-effectiveness of extracorporeal shock wave lithotripsy in a poor resource
setting: The Okada, Nigeria experience.
AB - BACKGROUND: The first extracorporeal shock wave lithotripsy (ESWL) used in
Nigeria was at Igbinedion Hospital and Medical Research Centre (IHMRC), Okada in
1992 and it functioned for 6 consecutive years. The objectives of this study were
to analyze the cost-effectiveness of the procedure and highlight the associated
factors that led to its failure. METHODS: A retrospective study of medical
records and publications associated with the use of ESWL at IHMRC, Okada, for the
period of 1992 to 1998. The study was conducted between January 2003 and November
2008. Unclassified authentic information relating to the use of ESWL and
treatment of upper urinary tract stones was obtained from the IHMRC Okada and
some government hospitals on hospital bills. Relevant documents in public domains
related to the national and international wages and emoluments of medical workers
and socioeconomic development of Nigeria within the time the ESWL functioned were
studied. RESULT: A total of 32 patients were treated with 51 treatment sessions
which is an average of nine patients per year and an average of two treatment
sessions per patient were involved. The reasons for the low patronage were the
extremely low stone formation rate of Nigerians, poverty, and out-of-pocket
payment system. In addition, each treatment session of ESWL at Okada cost an
average of $681.8 compared to $227.3 for open nephrolithotomy in a nearby high
profile teaching hospital. The IHMRC, Okada, paid an average annual salary of
?180,000 ($8,181.8) for each medical consultant compared to ?120,000 ($5,454.5)
paid by federal teaching hospitals in Nigeria within the period. Expatriate
consultant doctors from Europe and USA who initially manned the lithotriptor at
IHMRC, Okada, were paid much higher salaries. Average annual income of $5,909 for
each of the 6 years amounting to a total of $34,771.7 for the six years was
realized which could not maintain staff salaries in the hospital leading to staff
emigration, decline of the hospital services, and eventual closure of the ESWL
procedure center in the hospital in 1998. CONCLUSION: ESWL at Okada was not cost
effective both to patients and the hospital management. Despite these, ESWL is
desirable in poor-resource countries because of its noninvasiveness, low
morbidity, and usability in patients who are unfit for open surgery. Purchasing
high technological medical equipment as commodities by sub-Saharan Africans
without considering the prevalence of diseases they are meant to cater for, their
maintenance for steady function during useful lifespan, their cost-effectiveness
and how to recoup the money spent on investments depletes the scarce foreign
exchange reserve of the home countries and is eventually counterproductive as
exemplified by this case.
PMID- 27185979
TI - Quality of life among human immunodeficiency virus-1 infected and human
immunodeficiency virus-1/hepatitis C virus co-infected individuals in Iranian
patients.
AB - BACKGROUND: The aim of this study was to compare the quality of life (QOL) of
people infected with both hepatitis C virus (HCV) and human immunodeficiency
virus (HIV). The study design was a cross sectional descriptive survey, using
self administered questionnaires. MATERIALS AND METHODS: A convenience sample of
242 patients (131 of them HIV/HCV), Iranian adults (aged 18-57) living with
HIV/AIDS, was recruited from outpatient referring to Imam Khomeini Hospital
behavioral counseling center in Tehran city, Iran. The instruments included the
Multidimensional QOL HIV (MQoL HIV) and a demographic section. RESULTS: The
majority of the samples were male and single. The mean age was 36.52 years
(standard deviation = 8.5). HIV mono infected patients reported higher scores in
social support and physical functioning, but lower scores in physical health
compared with HIV/HCV co infected individuals. There was no significant
difference in overall MQOL HIV score between HIV and HIV/HCV patients.
CONCLUSION: Future studies will need to explore the impact of HCV on HIV infected
individuals' QOL.
PMID- 27185980
TI - Immunohistochemical profile of uterine leiomyomas; a comparison between different
subtypes.
AB - BACKGROUND: Of all Smooth muscle tumours originating from uterus are leiomyomas
are the most common ones. Benign nature and smooth muscle origination of
leiomyomas can be easily documented via histological examination. In present
study it was tried to examine immunohistochemical profile of leiomyomas with
different subtypes. MATERIAL AND METHODS: In this cross-sectional study 64 cases
of smooth muscle tumors originating from uterus were included in study. As a
control group 12 cases of conventional leiomyomas were selected. Then estrogen
receptor, progesterone receptor, p53 and ki-67 were assessed. Statistical
analysis was conducted using SPSS 16.0. RESULTS: P 53 and ki-67 antibody status
was diffusely positive in 12 out of 24 cases (50%) of leiomyosarcomas. Leiomyomas
with bizzare nuclei were stained with Ki67 proliferative marker less than those
in obviously malignant cases (P < 0.001). Estrogen and progesterone receptors had
a reverse correlation with tumours malignancy potential. CONCLUSION: Since p53 is
known as an important inhibitory trigger for proliferative cycle of cells, in
current study it was concluded that p53 inhibitory role decreases as malignancy
potential increases, also tumors dependence on steroids via steroid receptors
decreases as malignancy potential increases.
PMID- 27185981
TI - Intraventricular cerebrospinal fluid pulsation artifacts on low-field magnetic
resonance imaging: Potential pitfall in diagnosis?
AB - BACKGROUND: Intraventricular cerebrospinal fluid (CSF) pulsation artifact can
pose a diagnostic problem in fluid-attenuated inversion recovery (FLAIR) brain
magnetic resonance images (MRI) appearing as intraventricular hyperintensity. The
extent of this challenge among radiologists in Africa using low-field MRI systems
is relatively sparsely documented in the literature. The purpose of this study
was to identify the presence and frequency of ventricular CSF pulsation artifact
(VCSFA) on FLAIR axial brain images with a low-field MR system. MATERIALS AND
METHODS: FLAIR axial images were obtained on a low-field 0.3T unit (6000 ms/108
ms/2 [repetition time/echo time/excitations], inversion time = 1700 ms, field of
view = 28 cm, matrix = 195 * 256, and 6 mm contiguous sections). Two experienced
radiologists independently rated VCSFA in the lateral, third, and fourth
ventricles in 202 consecutive patients (age range 1-100 years) referred for brain
MR for various indications. We reviewed the pattern of artifacts, to determine
its relationship to age, gender, and third ventricular size. RESULTS: The low
field FLAIR MR brain images of 33 patients (16.3%) showed VCSFA in at least one
ventricular cavity. The fourth ventricle was the most common site of VCSFA (n =
10), followed by the third ventricle (n = 8) and the lateral ventricles (n = 7).
Eight patients had VCSFA in multiple locations, one of them in all ventricles. A
smaller third ventricular size and, to a lesser extent, younger age was
significantly associated with VCSFA. CSF Pulsation of VCSFA did not occur across
the brain parenchyma in the phase encoding direction. CONCLUSION: VCSFA may mimic
pathology on low-field axial FLAIR brain images and are more common in young
patients with smaller ventricular size. Although these artifacts are less
frequently observed at lower magnetic field strengths, their recognition on low
field MRI systems is important in avoiding a misdiagnosis.
PMID- 27185982
TI - Plasma levels of inflammatory cytokines in adult Nigerians with the metabolic
syndrome.
AB - BACKGROUND: The aim of this study is to determine the plasma levels of
interleukin 6 (IL-6), tumor necrotic factor alpha (TNF-alpha, and C-reactive
protein (CRP) in adult Nigerians with the metabolic syndrome and to determine the
relationship between components of the metabolic syndrome and CRP in adult
Nigerians. SUBJECTS AND METHODS: This was a case-control study of fifty adult men
and women with the metabolic syndrome, and fifty age- and sex-matched males and
females without the metabolic syndrome. Metabolic syndrome was defined based on
the National Cholesterol Education Programme-Adult Treatment Panel III criteria.
Written informed consent was obtained from the participants. Blood pressure and
anthropometry measurements were taken and venous blood was collected after an
overnight fast. The Ethics Committee of the Lagos University Teaching Hospital,
Lagos, Nigeria, approved the study protocol. Comparisons of continuous variables
and categorical variables were done using the Student's t-test and Chi-square
test, respectively. Regression analysis was used to determine the associations
between variables. Statistical significance was set at P< 0.05. RESULTS: The age-
and sex-matched males and females with and without the metabolic syndrome did not
differ in their sociodemographic characteristics. They however differed in some
clinical and laboratory parameters such as diastolic blood pressure (P = 0.048),
waist circumference (P = 0.002), body mass index (P = 0.012), waist/hip ratio (P
= 0.023), high density lipoprotein (HDL) (P = 0.012), and insulin resistance (IR)
(P = 0.042). There was a statistically significant increase in the inflammatory
marker, CRP (P = 0.019), the cytokines, IL6 (P = 0.040), and TNF-alpha (P =
0.031) between the subjects with and without metabolic syndrome. There was also a
significant association between CRP, waist circumference, IR, and HDL in the
metabolic syndrome (P < 0.05). CONCLUSION: Plasma levels of inflammatory
cytokines are raised in metabolic syndrome and this may provide novel strategies
for the management of metabolic syndrome and related disorders.
PMID- 27185983
TI - Effects of adenotonsillar hypertrophy corrective surgery on nocturnal enuresis of
children.
AB - BACKGROUND: Nocturnal enuresis is considered a common urological complaint
especially among children. Respiratory obstructive diseases have been one of the
possible etiologies of such a condition. The most common type of upper
respiratory obstructive diseases in childhood is adenotonsillar hypertrophy. In
this study, it was tried to estimate the prevalence of nocturnal enuresis in
adenotonsillar hypertrophy as an upper obstructive airway disease and cure rate
after corrective operation. MATERIALS AND METHODS: In this longitudinal study,
184 children with adenotonsillar hypertrophy as case group and 200 healthy
children as control group were randomly compared for nocturnal enuresis incidence
and risk factors. Then they were followed after 6 months to estimate the cure
rate after corrective operation. RESULTS: In case group, nocturnal enuresis was
more common than control group (26% vs. 17%, P = 0.1). Factors which had a role
in enuresis in case group were family history (P = 0.03) and male sex (P = 0.05).
Three months after surgery, 48% of children totally cured from enuresis (P =
0.001) and 71% cured both partially or totally (P = 0.03). The response rate
after moderate obstruction relieving was 100% while that in severe cases was 60%
(P = 0.2). CONCLUSIONS: Nocturnal enuresis due to upper obstructive airway
disease occurs more in male and in the presence of family history. The cure rate
after 6 months of operation was more prominent in moderate obstruction which
suggests enuresis in severe airway obstruction may need a longer time to subside.
Cure rate of primary enuresis due to obstructive airway disease after 6 months of
relieving was 48% in children over 5 years old.
PMID- 27185984
TI - Ovarian hyperstimulation syndrome in a spontaneous pregnancy: A potential for
missed-diagnosis.
AB - Ovarian hyperstimulation syndrome (OHSS) with the natural ovulatory cycle is
extremely rare. We report a case of severe OHSS associated with a spontaneous
normal singleton pregnancy in a 23-year-old woman presenting with severe
abdominal pain, vomiting, and dyspnea. Ultrasonography revealed 10 weeks viable
intra-uterine single fetus with bilateral multilocular cystic ovarian masses and
ascites. She had supportive therapy inclusive of oral bromocriptine with complete
resolution of OHSS and an eventual uncomplicated normal vaginal delivery at 39
weeks of pregnancy.
PMID- 27185985
TI - Existence of constants in regular splicing languages.
AB - In spite of wide investigations of finite splicing systems in formal language
theory, basic questions, such as their characterization, remain unsolved. It has
been conjectured that a necessary condition for a regular language L to be a
splicing language is that L must have a constant in the Schutzenberger sense. We
prove this longstanding conjecture to be true. The result is based on properties
of strongly connected components of the minimal deterministic finite state
automaton for a regular splicing language. Using constants of the corresponding
languages, we also provide properties of transitive automata and pathautomata.
PMID- 27185986
TI - Tuberculosis therapy in Mumbai: Critical importance of drug-susceptibility
testing.
PMID- 27185987
TI - Possible impact of the standardized Category IV regimen on multidrug-resistant
tuberculosis patients in Mumbai.
AB - BACKGROUND: Treatment of multidrug-resistant tuberculosis (MDR-TB) in the
Programmatic Management of Drug-resistant TB program involves a standard regimen
with a 6-month intensive phase and an 18-month continuation phase. However, the
local drug resistance patterns in high MDR regions such as Mumbai may not be
adequately reflected in the design of the regimen for that particular area.
SETTING: The study was carried out at a private Tertiary Level Hospital in Mumbai
in a mycobacteriology laboratory equipped to perform the second-line drug
susceptibility testing (DST). OBJECTIVE: We attempted to analyze the impact of
prescribing the standardized Category IV regimen to all patients receiving a DST
at our mycobacteriology laboratory. MATERIALS AND METHODS: All samples confirmed
to be MDR-TB and tested for the second-line drugs at Hinduja Hospital's
Mycobacteriology Laboratory in the year 2012 were analyzed. RESULTS: A total of
1539 samples were analyzed. Of these, 464 (30.14%) were MDR-TB, 867 (56.33%) were
MDR with fluoroquinolone resistance, and 198 (12.8%) were extensively drug
resistant TB. The average number of susceptible drugs per sample was 3.07 +/-
1.29 (assuming 100% cycloserine susceptibility). Taking 4 effective drugs to be
the cut or an effective regimen, the number of patients receiving 4 or more
effective drugs from the standardized directly observed treatment, short-course
plus regimen would be 516 (33.5%) while 66.5% of cases would receive 3 or less
effective drugs. CONCLUSION: Our study shows that a high proportion of patients
will have resistance to a number of the first- and second-line drugs. Local
epidemiology must be factored in to avoid amplification of resistance.
PMID- 27185989
TI - Management of chronic empyema with unexpandable lung in poor surgical risk
patients using an empyema tube.
AB - OBJECTIVES: High preoperative risk precludes decortication and other surgical
interventions in some patients with chronic empyema. We manage such patients by
converting the chest tube into an "empyema tube," cutting the tube near the skin
and securing the end with a sterile clip to allow for open pleural drainage. The
patient is followed serially, and the tube gradually withdrawn based on
radiological resolution and amount of drainage. METHODS: Between 2010 and 2014,
patients with chronic empyema and unexpandable lung, deemed high-risk surgical
candidates, had staged chest tube removal, and were included for the study. The
volume of fluid drained, culture results, duration of drainage, functional
status, and comorbidities were recorded. MEASUREMENTS AND RESULTS: Eight patients
qualified. All had resolution of infection. The tube was removed after an average
of 73.6 +/- 49.73 (95% confidence interval [CI]) days. The mean duration of
antibiotic treatment was 5.37 +/- 1.04 (95% CI) weeks. None required surgery or
experienced complications from an empyema tube. CONCLUSION: A strategy of empyema
tube drainage with staged removal is an option in appropriately selected patients
with chronic empyema, unexpandable lung, and poor surgical candidacy.
PMID- 27185988
TI - Relationship of epidermal growth factor receptor activating mutations with
histologic subtyping according to International Association for the Study of Lung
Cancer/American Thoracic Society/European Respiratory Society 2011 adenocarcinoma
classification and their impact on overall survival.
AB - BACKGROUND: There is limited Indian data on epidermal growth factor receptor
(EGFR) gene activating mutations (AMs) prevalence and their clinicopathologic
associations. The current study aimed to assess the relationship between EGFR AM
and histologic subtypes and their impact on overall survival (OS) in a North
Indian cohort. PATIENTS AND METHODS: Retrospective analysis of nonsmall cell lung
cancer patients who underwent EGFR mutation testing (n = 186) over 3 years period
(2012-2014). EGFR mutations were tested using polymerase chain reaction
amplification and direct sequencing. Patients were classified as EGFR AM, EGFR
wild type (WT) or EGFR unknown (UKN). Histologically adenocarcinomas (ADC) were
further categorized as per the International Association for the Study of Lung
Cancer/American Thoracic Society/European Respiratory Society-2011
classification. RESULTS: Overall EGFR AM prevalence was 16.6%. The ratio of exon
19 deletions to exon 21 L858R mutations was 3.17:1. Female sex (P = 0.002), never
smoking status (P = 0.002), metastatic disease (P = 0.032), and nonsolid subtype
of ADC (P = 0.001) were associated with EGFR AM on univariate logistic regression
analysis (LRA). On multivariate LRA, solid ADC was negatively associated with
EGFR AM. Median OS was higher in patients with EGFR AM (750 days) as compared to
EGFR-WT (459 days) or EGFR-UKN (291 days) for the overall population and in
patients with Stage IV disease (750 days vs. 278 days for EGFR-WT, P = 0.024). On
univariate Cox proportional hazard (CPH) analysis, smoking, poor performance
status (Eastern Cooperative Oncology Group >= 2), EGFR-UKN status, and solid ADC
were associated with worse OS while female sex and lepidic ADC had better OS. On
multivariate CPH analysis, lepidic ADC (hazard ratio [HR] =0.12) and EGFR-WT/EGFR
UKN (HR = 2.39 and HR = 3.30 respectively) were independently associated with OS
in separate analyses. CONCLUSIONS: Histologic subtyping of ADC performed on small
biopsies is independently associated with EGFR AM and with better OS. EGFR AM
presence is a positive prognostic factor for OS.
PMID- 27185990
TI - A randomized, double-blind study comparing the efficacy and safety of a
combination of formoterol and ciclesonide with ciclesonide alone in asthma
subjects with moderate-to-severe airflow limitation.
AB - CONTEXT: The combination of inhaled corticosteroids (ICS) and long-acting beta
agonists (LABA) is widely used in the treatment of moderate-to-severe asthma
uncontrolled by ICS alone. AIMS: To evaluate the efficacy and safety of a new ICS
LABA combination inhaler containing Formoterol (F) and Ciclesonide (C). SETTINGS
AND DESIGN: A double-blind, double-dummy, parallel group fashion, multi-centric
study. SUBJECTS AND METHODS: A total of 169 asthma patients received Ciclesonide
80 MUg once daily during a 4-week run-in period, after which, they were
randomized to receive either C (80 MUg) or a combination of F (4.5 MUg) and C (80
MUg) (FC) both delivered through a hydro-fluro-alkane pressurized-metered-dose
inhaler as 1 puff twice daily, for 6 weeks. STATISTICAL ANALYSIS USED: Inter
group differences were compared using t-test for independent samples at a
significance level of 5%. RESULTS: From baseline, the improvements in forced
expiratory volume in 1 s at 1, 3, and 6 weeks was significantly higher in the FC
group compared to Group C (110 ml vs. 40 ml, 140 ml vs. 20 ml, and 110 ml vs. 40
ml, respectively, all P < 0.05). From baseline, the improvements in mean morning
peak expiratory flow at 1, 3, and 6 weeks was significantly higher in the FC
group compared to Group C (17 L/min vs.-3 L/min, 22 L/min vs. 3 L/min, and 30 ml
vs. 8 L/min respectively, all P < 0.05). The changes in symptom scores were
similar in both the groups. The adverse events in the FC group were not
significantly different from those in the C group. CONCLUSIONS: FC provides
better improvement than C alone in terms of lung function and symptoms without
increased risk of adverse events in asthma patients.
PMID- 27185991
TI - Clinical profile, etiology, and management of hydropneumothorax: An Indian
experience.
AB - INTRODUCTION: Hydropneumothorax is an abnormal presence of air and fluid in the
pleural space. Even though the knowledge of hydro-pneumothorax dates back to the
days of ancient Greece, not many national or international literatures are
documented. AIM: To study clinical presentation, etiological diagnosis, and
management of the patients of hydropneumothorax. MATERIALS AND METHODS: Patients
admitted in a tertiary care hospital with diagnosis of hydropneumothorax between
2012 and 2014 were prospectively studied. Detailed history and clinical
examination were recorded. Blood, pleural fluid, sputum investigations, and
computed tomography (CT) thorax (if necessary) were done. Intercostal drainage
(ICD) tube was inserted and patients were followed up till 3 months. RESULTS:
Fifty-seven patients were studied. Breathlessness, anorexia, weight loss, and
cough were the most common symptoms. Tachypnea was present in 68.4% patients.
Mean PaO2 was 71.7 mm of Hg (standard deviation +/-12.4). Hypoxemia was present
in 35 patients (61.4%). All patients had exudative effusion. Etiological
diagnosis was possible in 35 patients by initial work-up and 22 required CT
thorax for arriving at a diagnosis. Tuberculosis (TB) was etiology in 80.7%
patients, acute bacterial infection in 14%, malignancy in 3.5%, and obstructive
airway disease in 1.8%. All patients required ICD tube insertion. ICD was
required for 24.8 days (+/-13.1). CONCLUSION: Most patients presented with
symptoms and signs of cardiorespiratory distress along with cough, anorexia, and
weight loss. Extensive pleural fluid analysis is essential in establishing
etiological diagnosis. TB is the most common etiology. ICD for long duration with
antimicrobial chemotherapy is the management.
PMID- 27185992
TI - Comparison of oral montelukast with oral zileuton in acute asthma: A randomized,
double-blind, placebo-controlled study.
AB - BACKGROUND: Leukotriene modifiers have an established role in the management of
chronic asthma but their role in acute asthma is still under evaluation.
OBJECTIVE: To study and compare the effects of oral montelukast with oral
zileuton in acute asthma. MATERIALS AND METHODS: This study included 120
asthmatics and was conducted from September 2012 to March 2014. Patients were
randomized into three different groups to receive montelukast or zileuton or
placebo in addition to standard treatment for asthma exacerbation. Peak
expiratory flow rate (PEFR) values, details of rescue medication and vital signs
were recorded at 6 h, 12 h, 24 h, and 48 h of drug or placebo administration and
at discharge. Additional recording was done in the morning (8-10 am) following
admission. The primary endpoint was the mean PEFR of each group at these time
points; the secondary end point being the need for rescue medications. RESULTS:
The mean PEFR recordings of the three study groups - placebo, montelukast, and
zileuton - respectively, at various time points were as follows: at 6 h (223.25
+/- 90.40, 199.00 +/- 82.52, 233.75 +/- 84.05; P = 0.240); at 12 h (271.00 +/-
109.38, 251.50 +/- 101.44, 309.50 +/- 129.63; P = 0.048); at 24 h (288.25 +/-
114.26, 269.00 +/- 107.51, 324.50 +/- 127.88; P = 0.080); and at 48 h (295.00 +/-
114.80, 293.50 +/- 113.24, 344.75 +/- 119.91; P = 0.015); discharge (305.00 +/-
118.56, 305.25 +/- 119.51, 361.25 +/- 119.70; P = 0.010). The mean PEFR for the
three study groups at 8-10 am on the morning following admission was 268.75 +/-
111.43, 252.50 +/- 99.99, 306.75 +/- 114.44; P = 0.047. Total rescue doses needed
were 10, 1, and 0, respectively (P = 0.049). CONCLUSION: Zileuton is better than
montelukast as an additional drug in acute asthma and results in significant
improvement in lung function, and reduction in the need for rescue medications.
PMID- 27185993
TI - Bronchoalveolar lavage cellular analyses in conjunction with high-resolution
computed tomography imaging as a diagnostic intervention for patients with
suspected interstitial lung disease.
AB - BACKGROUND: Bronchoalveolar lavage (BAL) has gained acceptance for diagnosis of
Interstitial lung disease (ILD). The advent of high-resolution computed
tomography (HRCT) has reduced the clinical utility of BAL. This work has utilized
the recommendations of the American Thoracic Society (ATS) to optimize BAL and
the findings have been associated with clinical examination and HRCT to precisely
narrow down the cause of ILD. MATERIALS AND METHODS: BAL was performed on ILD
suspects at the target site chosen based on HRCT. The procedure, transport,
processing, and analysis of BAL fluid were performed as per the ATS guidelines.
The clinical data, HRCT findings and BAL report were used to narrow down the
diagnosis of ILD. The statistical analysis was performed to assess the
significance. RESULTS: The BAL procedure was optimized as per the recommendations
of the ATS. In a cohort of 50 patients, Idiopathic pulmonary fibrosis, (8)
hypersensitivity pneumonitis, (17) connective tissue disorder, (9) sarcoidosis,
(3) pneumoconiosis, (5) acute respiratory distress syndrome, (2) eosinophilic
lung disease (2) and lymphangitic carcinomatosa, (2) aspiration bronchiolitis (1)
and pulmonary histiocytosis (1) were diagnosed. Statistically significant
variation in differential counts was found in different ILDs. The different ILDs
were classified based on the criteria described by the ATS. CLINICAL
SIGNIFICANCE: BAL along with clinical and HRCT findings improved the diagnostic
accuracy by incorporating, the acute or chronic nature of the disease and the
cause for acute exacerbation, which helped in the better management of ILDs.
PMID- 27185995
TI - Postcorrective surgery improvement of nocturnal hypoxemia in a case of partial
anomalous pulmonary venous connection and aberrant hepatic vein drainage.
AB - Partial anomalous pulmonary venous connection (PAPVC) is a rare congenital
anomaly that leads to an anatomical left-to-right shunt. Termination of the
intrahepatic inferior vena cava (IVC) with its azygos continuation associated
with the hepatic venous connection to the left atrium (LA) is also a rare
congenital anomaly that results in an anatomical right-to-left shunt. A 65-year
old male presented with severe dyspnea on exertion and pedal edema. He was
further diagnosed at our clinic and was found to have both the aforementioned
congenital abnormalities, creating a bidirectional shunt. On further
investigation, he was found to have nocturnal hypoxemia on overnight oximetry.
The patient was successfully treated via surgical corrections of the congenital
anomalies leading to symptomatic improvement as well as the resolution of
nocturnal hypoxemia.
PMID- 27185994
TI - Imaging of spaces of neck and mediastinum by endoscopic ultrasound.
AB - Endoscopic ultrasound (EUS) of the mediastinum was pioneered by
gastroenterologists, and it was taken up by pulmonologists when the smaller
diameter endobronchial ultrasound (EBUS) scope was designed after a few years.
The pulmonologists' approach remained largely confined to entry from the trachea,
but they soon realized that the esophagus was an alternative route of entry by
the EBUS scope. The new generations of interventionists are facing the challenge
of learning two techniques (EUS and EBUS) from two routes (esophagus and
trachea). The International Association for the Study of Lung Cancer (IASLC)
proposed a classification of mediastinal lymph nodes at different stations that
lie within the boundaries of specific spaces. These interventionists need clear
definitions of landmarks and clear techniques to identify the spaces. There are
enough descriptions of spaces of the neck and the mediastinum in the literature,
yet the topic mentioned above has never been discussed separately. The anatomical
structures, landmarks, and boundaries of spaces will be important to
interventionists in the near future during performances of endosonography. This
article combines the baseline anatomy of the spaces with the actual imaging
during EUS.
PMID- 27185996
TI - Whistle lower-better late than never.
AB - 16 years old boy with childhood history of chronic respiratory symptoms requiring
several admissions presented with recent worsening of symptoms. Chest x-ray
showed left lower lobe collapse and flexible bronchoscopy revealed stenosis of
left main bronchus. Foreign body was seen beyond the stenosis, which was removed
after dilatation of narrowed bronchus under general anesthesia using rigid
bronchoscopy. Patient's father gave a history of aspiration of whistle 14 years
ago, which had then been removed. Patient is now free of symptoms after removal
of foreign body.
PMID- 27185997
TI - Osler-Weber-Rendu disease: A rare cause of recurrent hemoptysis.
AB - Osler-Weber-Rendu disease, also known as hereditary hemorrhagic telangiectasia,
is a rare autosomal dominant condition causing systemic fibrovascular dysplasia.
It has an incidence of 1-2/100,000. Phenotypic variation is extreme ranging from
asymptomatic to severely symptomatic, from cases with no or few mucocutaneous
lesions to those with diffuse cutaneous telangiectasia. We discuss a case of
Osler-Weber-Rendu disease causing diffuse cutaneous telangiectasia and
hemoptysis. The patient presented with complaints of hemoptysis and was
extensively examined and investigated before being diagnosed with Osler-Weber
Rendu disease. We successfully managed the patient's hemoptysis by bronchial
artery embolization. This case emphasizes the need for careful examination and
investigation and to consider such rare diseases when all the common causes of
hemoptysis are ruled out. An early and proper diagnosis will lead to more
effective management of such a rare disease with few treatment options available.
PMID- 27185998
TI - Congenital lobar emphysema: Pitfalls in diagnosis.
AB - Congenital lobar emphysema (CLE) is a rare but life-threatening congenital
anomaly leading to respiratory distress in early childhood. Diagnosis requires a
strong clinical suspicion. We report a case of a 31/2-month-old infant who was
initially diagnosed with pneumonia requiring multiple hospital admissions. After
computed tomography of the thorax, a diagnosis on CLE was made. The child was
planned for surgery in the next available routine operation theatre. However,
suddenly in the evening, she developed respiratory distress and needed emergency
surgical intervention. The child improved dramatically after surgery, and the
postoperative period was uneventful. Early diagnosis and treatment in such cases
can lead to dramatic results.
PMID- 27185999
TI - Bronchocentric granulomatosis with extensive cystic lung disease in tuberculosis:
An unusual presentation.
AB - Tuberculosis is known to cause both cystic lung disease and bronchocentric
granulomatosis (BCG). However, both are rare manifestations of this common
disease. We report a case of BCG with extensive cystic lung disease in a young
female who presented with fever, weight loss, and recurrent pneumothoraces with
respiratory failure. Early diagnosis and treatment are imperative, as appropriate
therapy may be life-saving in such cases.
PMID- 27186000
TI - Delayed diagnosis of endobronchial mucoepidermoid carcinoma in a 29-year-old
male.
AB - Mucoepidermoid carcinoma (MEC) is an uncommon primary lung tumor. It usually
involves large airways and presents clinically and radiologically with
nonspecific features. Because of nonspecific presentation diagnosis is frequently
delayed. We report the case of a 29-year-old male patient wherein a clinico
radiological consideration of tuberculosis (TB) led to a prolonged treatment with
anti-TB medications without response. Flexible bronchoscopic biopsy confirmed the
diagnosis of MEC following that the patient underwent curative surgical
resection.
PMID- 27186001
TI - A case of synchronous isolated thyroid metastasis from a primary lung cancer
presenting as thyroid primary: Diagnostic challenge!
AB - The thyroid metastasis from any primary is rare, and usually is a late event and
presents as a thyroid swelling. Further, the diagnosis of a metastatic lesion in
a patient with no antecedent history of any malignancy can be very challenging.
Recently, a patient presented to us with a history of diagnostic evaluation
suggesting a primary thyroid malignancy with a synchronous lung primary. After
surgery for the thyroid swelling, final histopathology revealed a metastatic
lesion from a lung primary. Here, we discuss this rare case of isolated
synchronous thyroid metastasis from a lung primary and review the relevant
literature.
PMID- 27186002
TI - Idiopathic bilateral diaphragmatic dysfunction as a cause of dyspnea.
AB - Diaphragmatic paralysis is an unusual and often underrecognized cause of dyspnea.
We present a case of bilateral diaphragmatic paralysis with no identifiable
etiology. Our patient is a 73-year-old female with a history of smoking who
presented with dyspnea and orthopnea. She was treated for obstructive lung
disease with no improvement in dyspnea despite adequate therapy. She had
pulmonary function tests (PFTs) that revealed marked decrease in vital capacity
and was unable to perform lung volume maneuvers supine due to marked dyspnea. The
maximal inspiratory pressure was 37 in the upright position and decreased to 27
in the supine position. She was given a presumptive diagnosis of idiopathic
bilateral diaphragmatic dysfunction. Given the history, physical exam, and PFT
findings, we felt that the patient did not need further invasive testing. The
patient was treated with noninvasive mechanical ventilation due to hypercapnia
and her symptoms improved.
PMID- 27186003
TI - Is bronchoalveolar lavage a game changer in the diagnosis of interstitial lung
disease?
PMID- 27186005
TI - Is there a role of immunosenescence in the pathogenesis of malignant
mesothelioma? A case study.
PMID- 27186004
TI - "Crazy-paving" pattern: A characteristic presentation of pulmonary alveolar
proteinosis and a review of the literature from India.
PMID- 27186006
TI - Young onset hemoptysis: A rare cause of pulmonary arterial aneurysm.
PMID- 27186007
TI - Scrub typhus presenting as pneumonia in a 12-year-old girl.
PMID- 27186008
TI - A rare case of tubercular pansinusitis with orbital and intracranial extension.
PMID- 27186009
TI - Latent tuberculosis.
PMID- 27186010
TI - Response to transbronchial lung biopsy in diffuse parenchymal lung disease:
Question still remains whether to go for surgical lung biopsy or not?
PMID- 27186011
TI - Noninvasive ventilation outside Intensive Care Unit in India: Too many problems
to counter.
PMID- 27186012
TI - Metabolic activity of neutrophils is elevated in chronic obstructive pulmonary
disease: Are we sure?
PMID- 27186013
TI - Response to Metabolic activity of neutrophils is elevated in COPD.
PMID- 27186014
TI - Not cysticercosis.
PMID- 27186015
TI - Molecular characterization of CONSTANS-Like (COL) genes in banana (Musa acuminata
L. AAA Group, cv. Grand Nain).
AB - The CONSTANS (CO) family is an important regulator of flowering in photoperiod
sensitive plants. But information regarding their role in day neutral plants is
limited. We report identification of nine Group I type CONSTANS-like (COL) genes
of banana and their characterization for their age dependent, diurnal and tissue
specific expression. Our studies show that the Group I genes are conserved in
structure to members in other plants. Expression of these genes shows a distinct
circadian regulation with a peak during light period. Developmental stage
specific expression reveals high level transcript accumulation of two genes,
MaCOL3a and MaCOL3b, well before flowering and until the initiation of flowering.
A decrease in their transcript levels after initiation of flowering is followed
by an increase in transcription of other members that coincides with the
continued development of the inflorescence and fruiting. CO binding cis-elements
are observed in at least three FT -like genes in banana suggesting possible CO-FT
interactions that might regulate flowering. Distinct tissue specific expression
patterns are observed for different family members in mature leaves, apical
inflorescence, bracts, fruit skin and fruit pulp suggesting possible roles other
than flowering. This is the first exhaustive study of the COL genes belonging to
Group I of banana.
PMID- 27186016
TI - Evaluation of antimutagenic and protective effects of Parkinsonia aculeata L.
leaves against H2O2 induced damage in pBR322 DNA.
AB - The in vitro antimutagenic and DNA protecting potential of organic (methanol,
hexane, n-butanol) and aqueous extract/fractions of Parkinsonia aculeata L.
(Fabaceae) was investigated by employing Ames assay and DNA nicking assay. DNA
damage by hydroxyl radicals was effectively inhibited by all the
extract/fractions. A marked antimutagenic effect was observed against 4-Nitro-o
phenylenediamine and sodium azide (direct acting mutagens) and 2-Aminofluorene
(indirect acting mutagen) in TA98 and TA100 strains of Salmonella typhimurium. In
Ames assay, two different modes of experiments i.e. pre-incubation and co
incubation were performed and it was observed that all the extract/fractions
showed better results in the pre-incubation as compared to co- incubation mode.
Out of all the extract/fractions tested, n-butanol fraction was found to be the
most effective in preventing DNA damage and inhibiting mutagenesis. UHPLC
analysis of extract/fractions revealed presence of polyphenols such as gallic
acid, catechin, chlorogenic acid, caffeic acid, umbelliferone, coumaric acid,
rutin, and ellagic acid etc. DNA protecting and antimutagenic activity of this
plant could be attributed to presence of these polyphenols. The results of this
study indicate the presence of potent antioxidant factors in Parkinsonia aculeata
L, which are being explored further for their mechanism of action.
PMID- 27186017
TI - Genome- wide characterization of Nuclear Factor Y (NF-Y) gene family of sorghum
[Sorghum bicolor (L.) Moench]: a bioinformatics approach.
AB - Nuclear factor Y (NF-Y) is a heterotrimeric transcription factor (TF) complex
with preferential binding to CCAAT elements of promoters, regulating gene
expression in most of the higher eukaryotes. The availability of plant genome
sequences have revealed multiple number of genes coding for the three subunits,
namely NF-YA, NF-YB and NF-YC in contrast to single NF-Y gene for each subunit
reported in yeast and animals. A total of 33 NF-YTF comprising of 8 NF-YA, 11 NF
YB and 14 NF-YC subunits were accessed from the sorghum genome. The bioinformatic
characterization of NF-Y gene family of sorghum for gene structure, chromosome
location, protein motif, phylogeny, gene duplication and in-silico expression
under abiotic stresses have been attempted in the present study. The identified
SbNF-Y genes are distributed on all the 10 chromosomes of sorghum with
variability in the frequency and 18 out of 33 SbNF-Ys were found to be
intronless. Segmental duplication event was found to be predominant feature based
on gene duplication pattern study. Several orthologs and paralogs groups were
disclosed through the comprehensive phylogenetic analysis of SbNF-Y proteins
along with 36 Arabidopsis and 28 rice NF-Y proteins. In-silico expression
analysis under abiotic stresses using rice transcriptome data revealed several of
the sorghum NF-Y genes to be associated with salt, drought, cold and heat
stresses.
PMID- 27186018
TI - Agrobacterium-mediated genetic transformation of commercially elite rice restorer
line using nptII gene as a plant selection marker.
AB - Transformation of commercially important indica cultivars remains challenging for
the scientific community even though Agrobacterium-mediated transformation
protocols for a few indica rice lines have been well established. We report
successful transformation of a commercially important restorer line JK1044R of
indica rice hybrid JKRH 401. While following existing protocol, we optimized
several parameters for callusing, regeneration and genetic transformation of
JK1044R. Calli generated from the rice scutellum tissue were used for
transformation by Agrobacterium harboring pCAMBIA2201. A novel two tire selection
scheme comprising of Geneticin (G418) and Paramomycin were deployed for selection
of transgenic calli as well as regenerated plantlets that expressed neomycin
phosphotransferase-II gene encoded by the vector. One specific combination of
G418 (30 mg l(-1)) and Paramomycin (70 mg l(-1)) was very effective for calli
selection. Transformed and selected calli were detected by monitoring the
expression of the reporter gene uidA (GUS). Regenerated plantlets were confirmed
through PCR analysis of nptII and gus genes specific primers as well as dot blot
using gus gene specific as probe.
PMID- 27186019
TI - Biolistic transformation of Scoparia dulcis L.
AB - Here, we report for the first time, the optimized conditions for microprojectile
bombardment-mediated genetic transformation in Vassourinha (Scoparia dulcis L.),
a Plantaginaceae medicinal plant species. Transformation was achieved by
bombardment of axenic leaf segments with Binary vector pBI121 harbouring beta
glucuronidase gene (GUS) as a reporter and neomycin phosphotransferase II gene
(npt II) as a selectable marker. The influence of physical parameters viz.,
acceleration pressure, flight distance, gap width & macroprojectile travel
distance of particle gun on frequency of transient GUS and stable (survival of
putative transformants) expressions have been investigated. Biolistic delivery of
the pBI121 yielded the best (80.0 %) transient expression of GUS gene bombarded
at a flight distance of 6 cm and rupture disc pressure/acceleration pressure of
650 psi. Highest stable expression of 52.0 % was noticed in putative
transformants on RMBI-K medium. Integration of GUS and npt II genes in the
nuclear genome was confirmed through primer specific PCR. DNA blot analysis
showed more than one transgene copy in the transformed plantlet genomes. The
present study may be used for metabolic engineering and production of
biopharmaceuticals by transplastomic technology in this valuable medicinal plant.
PMID- 27186020
TI - Expression of an endochitinase gene from Trichoderma virens confers enhanced
tolerance to Alternaria blight in transgenic Brassica juncea (L.) czern and coss
lines.
AB - An endochitinase gene 'ech42' from the biocontrol fungus 'Trichoderma virens' was
introduced to Brassica juncea (L). Czern and Coss via Agrobaterium tumefaciens
mediated genetic transformation method. Integration and expression of the 'ech42'
gene in transgenic lines were confirmed by PCR, RT-PCR and Southern
hybridization. Transgenic lines (T1) showed expected 3:1 Mendelian segregation
ratio when segregation analysis for inheritance of transgene 'hpt' was carried
out. Fluorimetric analysis of transgenic lines (T0 and T1) showed 7 fold higher
endochitinase activity than the non-transformed plant. Fluorimetric zymogram
showed presence of endochitinase (42 kDa) in crude protein extract of transgenic
lines. In detached leaf bioassay with fungi Alternaria brassicae and Alternaria
brassicicola, transgenic lines (T0 and T1) showed delayed onset of lesions as
well as 30-73 % reduction in infected leaf area compared to non-transformed
plant.
PMID- 27186021
TI - DUF538 protein superfamily is predicted to be chlorophyll hydrolyzing enzymes in
plants.
AB - The possible hydrolytic activity towards chlorophyll molecules was predicted for
DUF538 protein superfamily in plants. It was examined by using computational as
well as experimental tools including in vitro chlorophyll degradation,
antioxidant compounds production and in vivo real-time gene expression tests.
Comparison of the computational data with the experimental results indicated that
DUF538 proteins might be chlorophyll hydrolyzing enzyme (most probably
carboxyesterase) which degrade chlorophyll molecules (66 % per 12 hrs) to produce
new compounds (1.8 fold per 12 hrs) with antioxidant properties. The relevance of
DUF538 gene expression level with the chlorophyll contents (2.8 fold increase per
chlorophyll content of 50 %) of the drought-stressed leaves showed that
chlorophyll degradation by DUF538 is most probably induced in response to stress
stimuli. Despite membranous chlorophyll catabolic pathways, DUF538-dependent
reactions is predicted to be occurred in the cytosol of the under stressed
plants. We addressed as to whether chlorophyll breakdown to antioxidant compounds
by DUF538 is a defense mechanism of plants against stress stimuli, in vivo? This
question is going to be investigated in our next research project.
PMID- 27186022
TI - Genetic variation assessment of acid lime accessions collected from south of Iran
using SSR and ISSR molecular markers.
AB - Iran has a long history of acid lime cultivation and propagation. In this study,
genetic variation in 28 acid lime accessions from five regions of south of Iran,
and their relatedness with other 19 citrus cultivars were analyzed using Simple
Sequence Repeat (SSR) and Inter-Simple Sequence Repeat (ISSR) molecular markers.
Nine primers for SSR and nine ISSR primers were used for allele scoring. In
total, 49 SSR and 131 ISSR polymorphic alleles were detected. Cluster analysis of
SSR and ISSR data showed that most of the acid lime accessions (19 genotypes)
have hybrid origin and genetically distance with nucellar of Mexican lime (9
genotypes). As nucellar of Mexican lime are susceptible to phytoplasma, these
acid lime genotypes can be used to evaluate their tolerance against biotic
constricts like lime "witches' broom disease".
PMID- 27186023
TI - Evaluation of genetic diversity amongst Descurainia sophia L. genotypes by inter
simple sequence repeat (ISSR) marker.
AB - Descurainia sophia is a valuable medicinal plant in family of Brassicaceae. To
determine the range of diversity amongst D. sophia in Iran, 32 naturally
distributed plants belonging to six natural populations of the Iranian plateau
were investigated by inter-simple sequence repeat (ISSR) markers. The average
percentage of polymorphism produced by 12 ISSR primers was 86 %. The PIC values
for primers ranged from 0.22 to 0.40 and Rp values ranged between 6.5 and 19.9.
The relative genetic diversity of the populations was not high (Gst =0.32).
However, the value of gene flow revealed by the ISSR marker was high (Nm = 1.03).
UPGMA clustering method based on Jaccard similarity coefficient grouped the
genotypes into two major clusters. Graph results from Neighbor-Net Network
generated after a 1000 bootstrap test using Jaccard coefficient, and STRUCTURE
analysis confirmed the UPGMA clustering. The first three PCAs represented 57.31 %
of the total variation. The high levels of genetic diversity were observed within
populations, which is useful in breeding and conservation programs. ISSR is found
to be an eligible marker to study genetic diversity of D. sophia.
PMID- 27186024
TI - Assessment of genetic diversity of Saltol QTL among the rice (Oryza sativa L.)
genotypes.
AB - Eight Saltol quantitative trait locus (QTL) linked simple sequence repeat (SSR)
markers of rice (Oryza sativa L.) were used to study the polymorphism of this QTL
in 142 diverse rice genotypes that comprised salt tolerant as well as sensitive
genotypes. The SSR profiles of the eight markers generated 99 alleles including
20rare alleles and 16 null alleles. RM8094 showed the highest number (13) of
alleles followed by RM3412 (12), RM562 (11), RM493 (9) and RM1287 (8) while as,
RM10764 and RM10745 showed the lowest number (6) of alleles. Based on the highest
number of alleles and PIC value (0.991), we identified RM8094 as suitable marker
for discerning salt tolerant genotypes from the sensitive ones. Based upon the
haplotype analysis using FL478 as a reference (salt tolerant genotypes containing
Saltol QTL), we short listed 68 rice genotypes that may have at least one allele
of FL478 haplotype. Further study may confirm that some of these genotypes might
have Saltol QTL and can be used as alternative donors in salt tolerant rice
breeding programmes.
PMID- 27186025
TI - Polymorphism analysis in advanced mutant population of oat (Avena sativa L.)
using ISSR markers.
AB - Present investigation was carried out to evaluate genetic diversity among 38 M6
population of oat cv. JO-1. To validate the observed morpho-physiological
variations, these lines were analyzed with 21 ISSR primers. A total of 132 loci
were amplified by these 21 ISSR markers and 116 loci were found to be polymorphic
(87.87 %). The genetic similarity coefficient values among 39 oat genotypes based
on ISSR analysis ranged from 0.305 to 0.957. The cluster analysis divided the oat
genotypes into two groups. Mutants JMO 81 and JMO 82 were found to be most
divergent, hence can be used as parents in breeding program for the development
of superior cultivars.
PMID- 27186026
TI - In vitro evaluation of excess copper affecting seedlings and their biochemical
characteristics in Carthamus tinctorius L. (variety PBNS-12).
AB - The present study was focused to recognize the changes in the Safflower
(Carthamus tinctorius L. variety PBNS-12), when exposed to different
concentration of copper (25, 50 and 100 MUM) along with control (0.5 MUM) for 10
and 20 days. This experiment used Hoagland's nutrient solution to meet the
external nutrient conditions, which includes micro and macronutrients equivalent
to soil solution with copper sulphate (CuSO4. 5H2O) as a metal stress. The plant
samples were harvested after 10 and 20 days. The effect of increased
concentrations of copper was indicated by the reduction in overall growth with
reduced fresh and dry weight. Copper stress caused significant increase in the
non- enzymatic antioxidants (polyphenols and flavonoids) in leaves of treated
safflower seedlings as compared to the control. Also, enhanced accumulation of
proline was observed in the safflower leaves. In response to excess copper
concentration, the level of MDA content was found to be increased. The results
showed that the copper has time and dose-dependent effects on safflower
seedlings.
PMID- 27186027
TI - In vitro propagation, micromorphological studies and ex vitro rooting of cannon
ball tree (Couroupita guianensis aubl.): a multipurpose threatened species.
AB - In vitro propagation methods using seeds and nodal segments of a 21-year old
Couroupita guianensis - a medicinally important but threatened tree have been
developed. Hundred percent of the seeds germinated on half strength Murashige and
Skoog (MS) medium with 2.0 mg l(-1) indole-3 butyric acid (IBA). Nodal segments
were found most suitable for the establishment of cultures. About 90 % explants
responded and 4.1 +/- 0.23 shoots per node were induced after five weeks of
inoculation on MS medium +4.0 mg l(-1) 6-benzylaminopurine (BAP). Further shoot
multiplication was achieved by repeated transfer of mother explants and
subculturing of in vitro produced shoots on fresh medium. Maximum number (8.2 +/-
0.17) of shoots were regenerated on MS medium with 1.0 mg l(-1) each of BAP and
Kinetin (Kin) + 0.5 mg l(-1) alpha-naphthalene acetic acid (NAA) with additives
(50 mg l(-1) of ascorbic acid and 25 mg l(-1) each of adenine sulphate, L
arginine and citric acid). The multiplied shoots rooted (4.3 +/- 0.26
roots/shoot) on half strength MS medium with 2.5 mg l(-1) IBA. All the shoots
were rooted ex vitro when pulse treated with 400 mg l(-1) of IBA for five min
with an average of 7.3 +/- 0.23 roots per shoot. Nearly 86 % of these plantlets
were acclimatized within 7-8 weeks and successfully transferred in the field.
Biologically significant developmental changes were observed during acclimation
particularly in leaf micromorphology in terms of changes in stomata, veins and
vein-islets, and trichomes. This study helps in understanding the response by the
plants towards outer environmental conditions during acclimatization. This is the
first report on micropropagation of C. guianensis, which could be used for the
large-scale multiplication, restoration and conservation of germplasm of this
threatened and medicinally important tree.
PMID- 27186028
TI - Mass propagation of Plectranthus bourneae Gamble through indirect organogenesis
from leaf and internode explants.
AB - The present study describes the plant propagation via indirect organogenesis from
in vitro derived leaf and internode explants of Plectranthus bourneae, an endemic
plant to south India. Leaf and internodal explants successfully callused on
Murashige and Skoog medium (MS) supplemented with different concentrations of
auxins [2,4-D (2,4-dichlorophenoxyacetic acid), NAA (alpha-naphthalene acetic
acid), IAA (indole-3 acetic acid), IBA (indole-3-butyric acid) and PIC
(Picloram); 0.1-2.0 mg/l] in combination with BA (6-benzyladenine) (0.5 mg/l).
Maximum callus induction (98 %) was achieved from leaf explant followed by
internodal explant (89 %) at 1.0 mg/l NAA, 0.5 mg/l BA. Leaf derived callus
showed better shoot regeneration (29.71 shoots) on MS medium containing 1.0 mg/l
KN (kinetin), 0.7 mg/l NAA, and 50 mg/l CH (casein hydrolysate) followed by
internodal callus (19.71). A maximum of 19.14 roots/shoot was observed at 1.0
mg/l IBA. The rooted plantlets were successfully hardened and transferred to
greenhouse condition with 80 % survival. This system could be utilized for large
scale multiplication of P. bourneae by tissue culture.
PMID- 27186029
TI - Determining the most effective traits to improve saffron (Crocus sativus L.)
yield.
AB - To determine the effective traits to improve saffron yield, a split plot design
based on RBCD was done in Mashhad region in Iran for three years (2012-2014). The
results showed that all traits except number of daughter corm, fresh weight of
daughter corm and dry leaf weight had low general heritability. Results of
genotypic and phenotypic coefficients of variation and genetic advance
demonstrated that the majority of traits had a low diversity and the selection
did not have any effect in improving the traits. As a result, the best way to
increase saffron yield is improvement of farm management. It was also found that
saffron yield had the highest phenotypic and genotypic correlations with fresh
and dry weight of daughter corm and dry and fresh flower weight. Therefore, the
efforts to improve these traits will increase saffron yield. According to the
present study 5-Jun to 5-Jul was found to be the best sowing date for planting
saffron. Also, the Mashhad and Torbat ecotypes were the best ecotypes in this
study. Phenotypic and genotypic path analysis showed that in the first step three
traits number of daughter corm, fresh flower weight and flower number and in the
second step traits fresh weight of daughter corm, dry flower weight and dry leaf
weight interred to the regression model and had the highest positive direct and
indirect effects on saffron yield. Mainly, it can be derived that the
implementation of correct farm management including appropriate sowing date,
saffron ecotypes, proper density, bigger and higher quality saffron corm can play
an important role in improving yield components and subsequently increasing
saffron yield.
PMID- 27186030
TI - Computational approaches for classification and prediction of P-type ATPase
substrate specificity in Arabidopsis.
AB - As an extended gamut of integral membrane (extrinsic) proteins, and based on
their transporting specificities, P-type ATPases include five subfamilies in
Arabidopsis, inter alia, P4ATPases (phospholipid-transporting ATPase), P3AATPases
(plasma membrane H(+) pumps), P2A and P2BATPases (Ca(2+) pumps) and P1B ATPases
(heavy metal pumps). Although, many different computational methods have been
developed to predict substrate specificity of unknown proteins, further
investigation needs to improve the efficiency and performance of the predicators.
In this study, various attribute weighting and supervised clustering algorithms
were employed to identify the main amino acid composition attributes, which can
influence the substrate specificity of ATPase pumps, classify protein pumps and
predict the substrate specificity of uncharacterized ATPase pumps. The results of
this study indicate that both non-reduced coefficients pertaining to absorption
and Cys extinction within 280 nm, the frequencies of hydrogen, Ala, Val, carbon,
hydrophilic residues, the counts of Val, Asn, Ser, Arg, Phe, Tyr, hydrophilic
residues, Phe-Phe, Ala-Ile, Phe-Leu, Val-Ala and length are specified as the most
important amino acid attributes through applying the whole attribute weighting
models. Here, learning algorithms engineered in a predictive machine (Naive Bays)
is proposed to foresee the Q9LVV1 and O22180 substrate specificities (P-type
ATPase like proteins) with 100 % prediction confidence. For the first time, our
analysis demonstrated promising application of bioinformatics algorithms in
classifying ATPases pumps. Moreover, we suggest the predictive systems that can
assist towards the prediction of the substrate specificity of any new ATPase
pumps with the maximum possible prediction confidence.
PMID- 27186031
TI - Profiling expression of lipoxygenase in cucumber during compatible and
incompatible plant-pathogen interactions.
AB - We compared lipoxygenase (LOX) expression in cucumber in response to host and non
host pathogens. Our results displayed significant difference in expression of LOX
between compatible and incompatible interaction at 12, 24 and 48 h after
inoculation. Moreover, LOX expression at 72 h after inoculation was similar in
both compatible and incompatible interaction. It seems that early induction of
LOX plays a crucial role in plant defense against pathogens.
PMID- 27186032
TI - Challenges in the Surgical Treatment of Retroperitoneal Sarcomas.
AB - The objective of this study is to assess long-term prognosis and patterns of
failure in patients with retroperitoneal sarcoma who underwent surgery with
curative intent at a single institution. We also provide a thorough review of the
literature including several series and widely variable data regarding local and
distant failure. During a 25-year period (1988-2013), 45 consecutive patients who
underwent surgery for retroperitoneal sarcoma at a single referral center were
reviewed retrospectively. We recorded and analyzed the presenting symptoms, type
of surgical excision, and the 5-year survival rate as well as the local
recurrence rate of patients with complete tumor resection. Overall survival is
significantly diminished in patients in whom resection margins are not adequate.
In our series, 5-year recurrence rate for patients with complete and incomplete
resection of the tumor was 30.76 and 68.42 %, respectively. The 5-year survival
rate was 51.12 %, and the 5-year survival rate according to type of resection was
76.93 % for complete and 15.79 % for incomplete resections. Local recurrence
after first surgery and high-grade malignancy are associated with poor survival.
The role of radiation therapy and chemotherapy in the treatment of
retroperitoneal sarcoma (RPS) should be investigated further. Complete en bloc
resection of the tumor mass and adjacent structures is the single most important
factor that improves survival.
PMID- 27186033
TI - Literature Analysis of the Treatment of Benign Esophageal Disease with Stent.
AB - To analyze the efficacy and safety of benign esophageal disease used
biodegradable (BD) stent or metal stent. The English literatures of benign
esophageal disease that were treated by biodegradable or metal stents
implantation were retrieved and summarized. In all 323 benign esophageal disease,
the most common etiologies were benign refractory stricture, surgical anastomotic
stricture and esophageal fistula/leak/perforation, but the main characteristics
between the two groups were not significantly different. One hundred fifty-four
cases were completely healed by using BD stents or self-expandable metal stents
(SEMS) (47.7 %). Clinical success was achieved in 47.7 % of all patients and
there was no significant difference between BD stents (51 %) and SEMS (46.2 %) (P
= 0.472), while stent migration occurred more frequently with SEMS (33.9 %) than
with BD stent (19.6 %) (P <= 0.05), and tissue in- or overgrowth occurred more
frequently with SEMS (22.2 %) than with BD stents (8.8 %) (P <= 0.05).
Furthermore, the time about degradation of BD stents in esophageal was longer
than removal of SEMS from the esophagus (P <= 0.05). Placement of BD stents or
SEMS provides effective and safe relief for benign esophageal disease. Clinical
success and mortality were not significantly different. BD stents offers an
advantage of fewer complications. Although stent placement is a viable strategy
in patients with benign esophageal disease, the ideal treatment strategy and
further randomized trials with large number of patients are needed.
PMID- 27186034
TI - Heme Oxygenase-1 Promoter Polymorphism Protects Liver Allograft.
AB - Heme oxygenase-1 has been identified to protect allograft from
ischemia/reperfusion and immunologic rejection. Activity of heme oxygenase-1 is
regulated by a guanine-thymine dinucleotide length polymorphism in the heme
oxygenase-1 gene promoter. In this study, we aimed to explore the impact of the
heme oxygenase-1 gene promoter polymorphism of donors and recipients on the
orthotopic liver graft function after transplantation. Sixty recipients and their
accompanying donors of orthotopic liver allografts were included retrospectively
in this study. Heme oxygenase-1 gene promoter polymorphism was assessed using
genomic DNA isolated from cryopreserved splenocytes or peripheral blood
mononuclear cells and analyzed by genetic analyzer. Small allele of the donor
heme oxygenase-1 gene polymorphism significantly prolonged the graft survival (p
= 0.017). Recipients of allografts from a class of small-allele carrier had
significantly lower serum total bilirubin compared with recipients of a nonclass
small-allele donor liver (p < 0.01). Additionally, in recipients of small-carrier
allografts, cold ischemia time (<10 h or >=10 h) did not affect the total
bilirubin significantly. Our study suggested a protective function of donor
derived heme oxygenase-1 gene promoter polymorphism on orthotopic liver allograft
function after transplantation.
PMID- 27186035
TI - Evaluation of Factors Affecting the Type of Gallstone.
AB - The aim of this study is to determine the factors affecting the formation of the
gallstone types of cholesterol and pigment stones. This descriptive and
retrospective study comprised 164 patients who underwent cholecystectomy.
Research data were obtained using a data collection form with 34 questions
developed by the researchers based on the relevant literature. Statistical
analysis of the data was made on SPSS 15.0 software using the percentage
assessment method and chi-square test. Advanced statistical analysis of the data
was performed using multivariate logistic regression. Cholesterol stone was found
to form in individuals with anemia, those going through the menopause, and those
consuming liquid oil and not undertaking exercise. The risk of pigment stone
formation was found to be higher in the obese and those with liver disease and
who do not consume milk. From the results of the study, it was determined that
the dietary habits, chronic diseases, and lifestyle behaviors of individuals
could be effective factors in the formation of cholesterol and/or pigment stone.
PMID- 27186036
TI - Rational Hyperoxia in the Perioperative Period: a Safe and Effective Tool in the
Reduction of SSI.
AB - Oxygen supplemented at a concentration higher than 40-50 % for at least 2 h
perioperatively is expected to reduce surgical site infections (SSI). Although
supplementation of 80 % of oxygen perioperatively has shown to reduce SSI in
various studies, this concentration is known to be associated with airway
complications. This study was taken up to assess the efficacy of 60 %, i.e. <80
and >50 %, inspired oxygen supplemented perioperatively in reducing SSI. One
hundred and eighty-eight patients who underwent elective class I and II surgeries
were studied. Patients were divided equally into two groups and subgroups and
matched for age, sex, type of surgeries, etc. The control group received 30 % and
the study group received 60 % oxygen supplementation perioperatively for 2 h.
Wounds were observed for the development of SSI. 8/94 patients in the study group
and 13/94 patients in the control group developed SSI (p < 0.01). The results
indicate a relative risk of 1.62, risk difference of 0.0531 and attributable risk
of 38.42 %. Hence, it may be concluded that perioperative oxygen supplementation
at 60 % concentration reduces SSI.
PMID- 27186037
TI - A Review on the History of 'Thyroid Surgery'.
AB - The history of thyroid surgery is both interesting and illustrative. The
ambitions of a thyroid surgeon have evolved along the length of time. The
objective of this article is to give an idea about the evolution of thyroid
surgery thus giving inspiration to future surgeons in their quest for a perfect
technique, which would take into consideration disease elimination and
maintenance of physiology and cosmesis. The history of thyroid surgery back to as
early as 952 AD, when Albucasis first performed the surgery. Thereafter, the
course of this surgery had its crests and troughs. At one point of time, surgeons
refused to perform this surgery because of the complications. But later on,
surgeons like Billroth and Kocher gave this procedure a new leash of life, after
which this surgery became popular and underwent numerous modifications to where
now it stands. History reveals that thyroid surgery has travelled a long path in
time. From an operation which once was considered dreadful to the present times
when techniques are being tried to make the incision as small as possible. The
quest is still on to develop the perfect technique.
PMID- 27186038
TI - Circumcaval Ureter-the Paradigm Shift in Diagnosis and Management.
AB - An anomalous development of infra-renal inferior vena cava leads to circumcaval
ureter or preureteral vena cava, a rare congenital anomaly with an autopsy
incidence of 0.9 per 1000. We present a case of circumcaval ureter and review the
literature with a special emphasis on the paradigm shift seen during the last
decade, in diagnostic and management strategies.
PMID- 27186041
TI - Mass in the Left Iliac Fossa-a Diagnostic Dilemma.
AB - Clinical diagnosis of abdominal masses remains a challenge to this day; in spite
of the availability of advanced imaging facilities, we fail to reach a definitive
diagnosis in a few cases and have to resort to a laparotomy, which reveals
unexpected findings. We present a case of a 70-year-old lady who presented with
pain abdomen and loss of appetite for 3 months; clinical examination revealed a
fixed intra-abdominal firm to hard mass in the left iliac fossa. CT scan of the
abdomen showed a large cystic hypodense lesion in the left iliac, lumbar and
hypogastric region with no definite organ of origin. The patient underwent an
exploratory laparotomy and in toto excision of the cyst; on opening the cyst
wall, we found multiple daughter cysts in a pool of thick, purulent fluid.
Microscopic examination confirmed the presence of a hydatid cyst Primary
peritoneal hydatid cysts are a rare entity and constitute around 2 % of hydatid
cysts found in the human body. Very few cases of primary peritoneal hydatid cyst
have been reported from non-endemic areas, and this case report highlights the
need to maintain a high index of suspicion while evaluating cystic abdominal
swellings.
PMID- 27186039
TI - Hurthle Cell Lesion: Controversies, Challenges, and Debates.
AB - Hurthle cell lesion is one of the most questionable clinico-pathological entities
in most of its aspects. Literature has used the terms oncocytic, oxyphilic,
Hurthle, and Ashkanazy interchangeably; what does each term denote? Who first
described these cells? What is the cell of origin? How much Hurthle cells should
be present to define the lesion as Hurthle cell one? Is it possible to
differentiate hyperplastic from neoplastic and benign from malignant Hurthle cell
lesion on a non-histopathologic ground? Does it belong to follicular or to
papillary neoplasms or should it be classified separately? Can we anticipate its
clinical behavior or predict its outcome? How can we manage? We will try to
answer these questions in light of the ongoing relevant arguments with the aim of
resolving some uncertainties and suggesting how to solve others.
PMID- 27186040
TI - Extraosseous Ewing Sarcoma: Diagnosis, Prognosis and Optimal Management.
AB - Extraosseous Ewing sarcomas (EESs) are rare tumours originating from soft
tissues. Their clinical picture depends mainly on the primary site of the
sarcoma. Patient characteristics and outcomes seem to be different in EES
compared to patients with skeletal Ewing sarcoma, with implications for patient
care and prognosis. However, multimodality therapeutic strategies are recommended
for all types of the Ewing tumour family. The available diagnostic tools include
ultrasonographic evaluation and computed tomography (CT) or magnetic resonance
imaging as well as histopathologic and immunohistochemical tissue examination.
Several histologic and genetic biomarkers have been established, although their
utilization needs to be further tested by larger prospective studies. Regarding
localized disease, the recommended treatment remains surgery. However,
chemotherapy can be added to achieve improved survival, with neoadjuvant regimens
showing more promising results than adjuvant regimens. Radiotherapy is an option
to obtain local control, although its complications have reduced its utilization.
In metastatic or recurrent disease, systematic chemotherapy improves survival.
PMID- 27186042
TI - Laparoscopic Management of Hilar Cholangiocarcinoma: a Case Report.
AB - The only option for cure of Klatskin's tumour is surgical excision. The
radicality of the procedure is determined by the extent of the tumour and
functional parameters of the patient. Complete laparoscopic resection of hilar
cholangiocarcinoma with biliary reconstruction is a challenging procedure. The
main aim is to achieve pathological negative margins, complete lymph node
retrieval and enterobiliary bypass. We present a case report of a patient with
hilar cholangiocarcinoma managed laparoscopically. The nodal yield was nine. On 6
month follow-up, the patient was symptom free. The main aim is to study the
feasibility of performing this complex procedure completely laparoscopically.
PMID- 27186043
TI - Rapid Remission of Psoriasis After Sleeve Gastrectomy.
AB - Psoriasis is a multifactorial, inflammatory chronic skin condition affecting 1-3
% of the population worldwide. Obesity is more common in patients with psoriasis.
Psoriasis and obesity are linked via a mechanism of chronic inflammation. There
are reports on improvement of psoriasis after obesity surgery. Role of sleeve
gastrectomy in psoriasis improvement is not fully elucidated yet. In this
article, we describe two obese patients with psoriasis who had improvement of
their skin lesions 1 month after sleeve gastrectomy. To our knowledge, this is
the first report of psoriasis remission after sleeve gastrectomy in current
medical literature.
PMID- 27186045
TI - Primary Malignant Melanoma of Vagina Treated by Total Pelvic Exenteration.
AB - Primary malignant melanoma of vagina is a rare variant of melanoma and usually
associated with a grave prognosis. Radical surgery is the only treatment option
with reasonable loco regional control. A case of primary malignant melanoma
involving whole of vagina infiltrating urethra and reaching up to vulva was
treated by surgery and postoperative radiotherapy. The tumor was infiltrating
bladder and rectum reaching the anal sphincter. Total pelvic exenteration was
done to achieve tumor-free surgical margins. One year after treatment, patient is
disease free.
PMID- 27186044
TI - Duplication of Vermiform Appendix.
AB - The vermiform appendix is a tubular, narrow, worm-shaped part of the alimentary
canal that lies near the ileocecal junction and communicates with the caecum.
Duplication of the vermiform appendix is rare, with a reported incidence of 0.004
%. Till now, fewer than 100 cases have been reported. We present a case of an 8
year-old male child with duplex appendix who presented to the emergency
department of our institution with features of acute appendicitis.
PMID- 27186046
TI - Giant Vesical Calculus Formation as a Complication of Augmentation Cystoplasty.
AB - A 44-year-old female presented with the history of recurrent UTI and intermittent
hematuria. She underwent augmentation ileocystoplasty for small capacity bladder
19 years back. Patient was on clean intermittent catheterization (CIC) since
then. Abdominal radiograph and ultrasonography showed the large vesical calculus.
Open cystolithotomy was done, and a yellowish brown hard stone weighing 1025 g
was removed. Chemical analysis revealed struvite stone. Postoperative period was
uneventful. Regular bladder wash, lifelong surveillance and follow-up is
advisable.
PMID- 27186047
TI - Culinary Medicine-Jalebi Adhesions.
AB - Culinary terms have been used to describe anatomy (bean-shaped kidneys),
pathology (strawberry gall bladder), clinical signs (cafe-au-lait spots),
radiological images (sausage-shaped pancreas), etc. While Indian cuisine is
popular all over the world, no Indian dish finds mention in medical terminology.
In intra-abdominal adhesions, sometimes, the intestinal loops are so densely
adherent that it is difficult to make out proximal from distal and it is
impossible to separate them without injuring the bowel resulting in spill of
contents-resection is the only option (Fig. 1). Jalebi, an Indian dessert, has a
single long tubular strip of fried batter filled with sugary syrup so intertwined
that it is impossible to discern its ends; if broken, the syrup spills out-the
best way to relish it is to chew the whole piece (Fig. 2). Because of these
similarities between them, I propose to name dense intra-abdominal adhesions as
'jalebi adhesions.'
PMID- 27186048
TI - Telementoring: an Overview and Our Preliminary Experience in the Setting Up of a
Cost-effective Telementoring Facility.
AB - Telementoring as a subset of telemedicine has evolved over the past few years,
but it is yet to be utilized to its full potential. The technology holds promise
in bridging divides of distance and enables far-flung areas to be mentored in
operative advances. It thus has a special bearing in countries like India where
health care is short staffed and many areas lack availability of quality care. We
describe the setting up of a telementoring facility at our centre. As against a
'routine' facility with dedicated equipments which cost heavily, our facility was
set up using mostly equipments commonly available in an operating room. The
facility is presently functional and allows telementoring through an encrypted
Web-based service. Our set-up design can be emulated in centres with financial
constraint and can help raise the standard of surgical care.
PMID- 27186049
TI - Sleeve Right Lower Lobectomy: a Rarely Performed Extended Resection.
AB - Sleeve resection is a valid option in the surgical treatment of lung tumors,
avoiding large resection. To ensure a good functional result and avoid post
operative complications like recent broncho-pleural fistulas and long-term
stenosis, anastomosis between bronchi must be well performed. We report two cases
of sleeve resection of the right lower lobe and show how we managed caliber
discrepancy between the middle lobe bronchus and the truncus intermedius.
PMID- 27186050
TI - The Legacy of Yasargil: the Father of Modern Neurosurgery.
PMID- 27186051
TI - The "Pygmalion Effect" and Surgical Mentoring.
PMID- 27186052
TI - Local and Oral Antibiotics with Avoidance of Constipation (LOABAC) Treatment for
Anal Fissure: a New Concept in Conservative Management.
PMID- 27186053
TI - Longitudinal Pancreatico-Jejunostomy Vs lateral Pancreatico-Gastrostomy as a
Drainage Procedure for Pain Relieve in Chronic Pancreatitis Done in a Tertiary
Referral Centre of Eastern India.
PMID- 27186055
TI - Under-Utilization of Implantable Cardioverter Defibrillators in Patients with
Heart Failure - The Current State of Sudden Cardiac Death Prophylaxis.
AB - BACKGROUND: Despite ACC/AHA guidelines indicating implantable cardioverter
defibrillator (ICD) as class I therapy for primary prevention of sudden cardiac
death in patients with EF<=35%, ICD utilization rates in real world practice have
been low. OBJECTIVE: To determine the rate of ICD implantation at a tertiary care
academic center and to assess the reasons for under-utilization of the same.
METHODS: Review of a prospectively collected database which included all patients
diagnosed with an EF<=35% was performed to assess the rate of ICD implantation
and mortality. Reasons for non-implantation of ICD were then assessed from
detailed chart review. RESULTS: A total of 707 patients (age 69.4 +/- 14.1 years)
with mean EF of 26+/-7% were analyzed. Only 28% (200/707) of patients had ICDs
implanted. Mortality was lower in the group with ICD (25% vs 37%, p=0.004). When
patients who either died or were lost to follow-up prior to 2005 were excluded,
ICD utilization rate was still low at 37.6%. The most common reason for non
implantation of ICD was physicians not discussing this option with their
patients. Patient refusal was the second most common reason. CONCLUSIONS: ICD
Implantation rates for primary prevention of SCD in patients with EF<=35% is low.
Physician and patient education should be addressed to improve the utilization
rates.
PMID- 27186056
TI - Arthroplasty of the shoulder joint.
PMID- 27186057
TI - Accuracy of patient-specific instrumentation in anatomic and reverse total
shoulder arthroplasty.
AB - PURPOSE: Glenoid component malposition is associated with poor function and early
failure of both anatomic and reverse total shoulder arthroplasty. Glenoid
positioning is challenging particularly in the setting of bone loss or deformity.
Recently, the use of computer assistance has been shown to reduce implantation
error. The aim of this study is to evaluate the accuracy of patient-specific
instrumentation in cases of anatomic and reverse shoulder replacement in vivo.
METHODS: Twenty patients underwent total shoulder arthroplasty using a computed
tomography (CT)-based patient-specific instrumentation (PSI) system, ten anatomic
and ten reverse. Preoperative three-dimensional digital templating of glenoid
component position was undertaken and surgery then performed using a custom-made
guide. Postoperative CT scans were used to compare final implanted component
position to the preoperatively planned position in the same patient. RESULTS:
Final component position and orientation closely reflected the preoperatively
templated position. Mean deviation in the glenoid version from planned was 1.8
degrees +/-1.9 degrees (range, 0.1 degrees -7.3 degrees ). Mean deviation in
inclination was 1.3 degrees +/-1.0 degrees (range, 0.2 degrees -4.5 degrees ).
Mean deviation in position on the glenoid face was 0.5 +/- 0.3 mm (range, 0.0-1.3
mm) in the anteroposterior plane and 0.8 +/- 0.5 mm (range, 0.0-1.9 mm) in the
superoinferior plane. Actual achieved version was within 7 degrees of neutral in
all cases except for one where it was deliberately planned to be outside of this
range. CONCLUSION: PSI in both anatomic and reverse shoulder arthroplasty is
highly accurate in guiding glenoid component implantation in vivo. The system can
reliably correct bony deformity.
PMID- 27186058
TI - Observation of initial postoperative radiolucent lines using a modern pegged
glenoid design.
AB - PURPOSE: Glenoid component loosening remains a common mode of failure for total
shoulder arthroplasty and has inspired improvements in implant design,
instrumentation, and surgical technique. The purpose of this manuscript was to
evaluate the incidence of radiolucent lines and glenoid seating on initial
postoperative radiographs using a modern pegged-glenoid design, instrumentation,
and surgical technique. MATERIALS AND METHODS: We performed a retrospective
analysis of a consecutive series of 100 pegged-glenoid total shoulder
replacements. In cases of excessive glenoid version, the glenoid was
asymmetrically reamed to recreate more normal version. Initial postoperative
radiographs were evaluated for the presence of radiolucent lines and completeness
of glenoid seating. The preoperative glenoid version measured on axial computed
tomography (CT) scans was used to compare differences in version among those with
complete and incompletely seated glenoids. RESULTS: The rate of radiolucent lines
observed on postoperative radiographs was 0%. Complete glenoid seating (Grade A)
was observed in 81 patients (observer 1) and 82 patients (observer 2).
Measurements of preoperative CT scans found a higher percentage of abnormal
glenoid version for incompletely seated glenoids (47%) than completely seated
glenoids (34%) but no significant difference (P = 0.327). The mean preoperative
glenoid retroversion for incompletely seated glenoids was 12.1 degrees and 9.1
degrees for completely seated glenoids (P = 0.263). CONCLUSIONS: Modern surgical
techniques, surgical instrumentation, and peg glenoid design have facilitated the
ability to eliminate radiolucent lines on initial postoperative radiographs with
high rates of complete seating of glenoid components. Incomplete seating may be
related to incomplete correction of glenoid version.
PMID- 27186054
TI - Intensive Care in India: The Indian Intensive Care Case Mix and Practice Patterns
Study.
AB - AIMS: To obtain information on organizational aspects, case mix and practices in
Indian Intensive Care Units (ICUs). PATIENTS AND METHODS: An observational, 4-day
point prevalence study was performed between 2010 and 2011 in 4209 patients from
124 ICUs. ICU and patient characteristics, and interventions were recorded for 24
h of the study day, and outcomes till 30 days after the study day. Data were
analyzed for 4038 adult patients from 120 ICUs. RESULTS: On the study day, mean
age, Acute Physiology and Chronic Health Evaluation (APACHE II) and sequential
organ failure assessment (SOFA) scores were 54.1 +/- 17.1 years, 17.4 +/- 9.2 and
3.8 +/- 3.6, respectively. About 46.4% patients had >=1 organ failure. Nearly,
37% and 22.2% patients received mechanical ventilation (MV) and vasopressors or
inotropes, respectively. Nearly, 12.2% patients developed an infection in the
ICU. About 28.3% patients had severe sepsis or septic shock (SvSpSS) during their
ICU stay. About 60.7% patients without infection received antibiotics. There were
546 deaths and 183 terminal discharges (TDs) from ICU (including left against
medical advice or discharged on request), with ICU mortality 729/4038 (18.1%). In
1627 patients admitted within 24 h of the study day, the standardized mortality
ratio was 0.67. The APACHE II and SOFA scores, public hospital ICUs, medical
ICUs, inadequately equipped ICUs, medical admission, self-paying patient,
presence of SvSpSS, acute respiratory failure or cancer, need for a fluid bolus,
and MV were independent predictors of mortality. CONCLUSIONS: The high proportion
of TDs and the association of public hospitals, self-paying patients, and
inadequately equipped hospitals with mortality has important implications for
critical care in India.
PMID- 27186059
TI - Blood transfusion after total shoulder arthroplasty: Which patients are at high
risk?
AB - PURPOSE: There are multiple reported risk factors and a wide range of reported
blood transfusion rates for total shoulder arthroplasty (TSA). There are no
evidence-based guidelines for blood transfusions in TSA patients. MATERIALS AND
METHODS: We utilized the Nationwide Inpatient Sample to analyze 51,191 patients
undergoing TSA between 1998 and 2011. The purpose was to describe the incidence
and identify the preoperative factors that are independently associated with
blood transfusion after TSA. In addition, we studied the association of blood
transfusions with certain variables such as length of stay (LOS), total charges,
and payer status. RESULTS: The blood transfusion rate in our study was 6.1%.
There was no difference in the rate of blood transfusions over the study period
(P < 0.001). In our logistic regression model, significant associations were
found with increased age (odds ratio [OR] =1.03), white race (OR = 1.05), higher
Charlson-Deyo score (OR = 1.12), presence of ischemic heart disease (OR = 1.24),
blood loss anemia (OR = 1.65), female gender (OR = 1.94), presence of coagulation
disorders (OR = 2.25), and presence of deficiency anemia (OR = 3.5). Patients
receiving a blood transfusion had higher total charges, a longer hospital LOS,
and were more likely to be Medicare payers (P < 0.001). CONCLUSIONS: Our study
found five clinically significant risk factors for blood transfusions for TSA:
female gender, ischemic heart disease, deficiency anemia, coagulation disorder,
and blood loss anemia. Patients with these risk factors should be considered
higher risk for requiring a blood transfusion after TSA and counseled
appropriately. LEVEL OF EVIDENCE: Level II, retrospective cohort study,
prognostic study.
PMID- 27186061
TI - Reconstruction of the elbow and forearm for Ewing sarcoma of ulna: A new
biological technique.
AB - Primary bone tumors around the elbow represent <1% of all the skeletal tumors.
Surgery with or without adjuvant therapy (radiotherapy, chemotherapy) is the
treatment of choice for malignant tumors. Reconstruction of the elbow and forearm
in malignant tumors is challenging as it involves a complex interplay between
multiple joints which need to be stabilized for the optimal functional outcome.
We describe a new technique for the reconstruction of the elbow after resection
of a proximal ulna tumor with articular radio-ulnar synostosis with the creation
of a single bone forearm. We attempted to achieve a mobile elbow and stable wrist
joint with the radio-ulnar union at the proximal articular surface of the ulna
resulting in a single bone forearm. The procedure involves an oblique osteotomy
preserving the olecranon process (after taking adequate margins based on
oncological principles) and its articular cartilage along with the attachment of
the triceps tendon. Then the radial head was partially denuded of its cartilage
using a burr, leaving cartilage only on the volar side, and then fused to the
remnant olecranon. Osteosynthesis was done using compression screw and tension
band wiring. The advantages of this procedure are that the mobility at wrist and
elbow are retained, it requires minimal hardware and allows for primary closure
of the wound.
PMID- 27186060
TI - Rotator cuff tears after total shoulder arthroplasty in primary osteoarthritis: A
systematic review.
AB - Rotator cuff tears have been reported to be uncommon following total shoulder
arthroplasty (TSA). Postoperative rotator cuff tears can lead to pain, proximal
humeral migration, and glenoid component loosening. The purpose of this paper was
to evaluate the incidence of post-TSA rotator cuff tears or dysfunction in
osteoarthritic patients. A systematic review of multiple databases was performed
using preferred reporting items for systematic reviews and meta-analyses
guidelines. Levels I-IV evidence clinical studies of patients with primary
osteoarthritis with a minimum 2-year follow-up were included. Fifteen studies
with 1259 patients (1338 shoulders) were selected. Student's t-tests were used
with a significant alpha value of 0.05. All patients demonstrated significant
improvements in motion and validated clinical outcome scores (P < 0.001).
Radiographic humeral head migration was the most commonly reported data point for
extrapolation of rotator cuff integrity. After 6.6 +/- 3.1 years, 29.9 +/- 20.7%
of shoulders demonstrated superior humeral head migration and 17.9 +/- 14.3%
migrated a distance more than 25% of the head. This was associated with an 11.3
+/- 7.9% incidence of postoperative superior cuff tears. The incidence of
radiographic anterior humeral head migration was 11.9 +/- 15.9%, corresponding to
a 3.0 +/- 13.6% rate of subscapularis tears. We found an overall 1.2 +/- 4.5%
rate of reoperation for cuff injury. Nearly all studies reported indirect markers
of rotator cuff dysfunction, such as radiographic humeral head migration and
clinical exam findings. This systematic review suggests that rotator cuff
dysfunction following TSA may be more common than previously reported. IV,
systematic review of Levels I-IV studies.
PMID- 27186063
TI - Case report of bisphosphonate-associated atypical scapular fracture and brief
literature review.
PMID- 27186062
TI - Osteochondritis dissecans on the medial aspect of the humeral head.
AB - The case of a 29-year-old man who had osteochondritis dissecans on the medial
aspect of the humeral head is reported. Repetitive micro-trauma at a low elevated
arm position was thought to have induced the osteochondral lesion.
PMID- 27186064
TI - African-American and Latino Parents' Attitudes and Beliefs Regarding Adolescent
Fighting and Its Prevention.
AB - Adolescent fighting affects 25% of youth, with the highest rates among African
Americans and Latinos but little is known about parental views on youth fighting.
The purpose of this study was to examine African-American and Latino parents'
perspectives on adolescent fighting and methods to prevent fighting. We conducted
four focus groups with parents of African-American and Latino urban adolescents.
Focus groups were stratified by race/ethnicity and fighting status. Groups were
audiotaped, transcribed, and analyzed by three independent coders using thematic
content analysis. Seventy-six percent of the 17 participants were female. Latino
parents condoned fighting only as a last resort, and taught children about
consequences of fighting, emotional regulation, and non-violent conflict
resolution strategies. African-American parents endorsed teaching non-violent
strategies, but expressed some doubts about their effectiveness. African-American
parents also suggested corporal punishment, but acknowledged that this may not be
an optimal long-term strategy. Positive role modeling and involvement by teachers
and other adults were cited as having important roles in fighting prevention.
Suggested interventions included teaching adolescents non-violent conflict
resolution skills, anger management, and alternatives to fighting. Parents
recommended that violence prevention programs incorporate the experiences of
former fighters and be tailored to community needs. Study findings suggest that
youth violence-prevention programs may benefit from addressing parental attitudes
towards fighting and parent-child communication about fighting, teaching
adolescents non-violent conflict-resolution skills, and tailoring programs by
race/ethnicity. Promoting positive modeling and involvement by teachers and other
adults also may be beneficial.
PMID- 27186065
TI - Large-Vessel Sealing in Laparoscopic Colectomy with an Ultrasonic Device.
AB - BACKGROUND AND OBJECTIVE: The Harmonic ACE+7 Shears with Advanced Hemostasis Mode
(Ethicon, Somerville, NJ, USA) is an ultrasonic device designed to transect and
seal vessels up to 7 mm in diameter. The device applies an algorithm that
optimizes ultrasonic energy delivery combined with a longer sealing cycle. The
purpose of this study was to assess the initial clinical experience with the
Harmonic device by evaluating large-vessel sealing during laparoscopic colectomy
in consecutive cases. METHODS: This prospective, multicenter, observational
series involved 40 adult patients who were to undergo elective laparoscopic
colectomy where dissection and transection of the inferior mesenteric artery was
indicated. The primary study endpoint was first-pass hemostasis, defined as a
single activation of the Advanced Hemostasis Mode to transect and seal the
inferior mesenteric artery. The use of any additional energy device or hemostatic
product to establish or maintain hemostasis was noted. Patients were observed
after surgery for ~4 weeks for adverse events that were considered to be related
to the study procedure or study device. Descriptive statistical analyses were
performed for study endpoints. RESULTS: Forty patients underwent the laparoscopic
colectomy procedure. First-pass hemostasis of the inferior mesenteric artery was
achieved and maintained in all 40 patients, with no required additional
hemostatic measures. Exposure of the vessel was reported as skeletonized in 22 of
40 (55%) patients. Mean transection time was 21.9 +/- 7.4 s. One adverse event
(postoperative anemia) was considered possibly related to the study device.
CONCLUSION: In this initial clinical consecutive series, the device demonstrated
successful transection and sealing of the large mesenteric vessels during
laparoscopic colorectal surgery.
PMID- 27186066
TI - Hiatal Hernia Repair with Novel Biological Graft Reinforcement.
AB - BACKGROUND AND OBJECTIVES: Hiatal hernias are repaired laparoscopically with
increasing use of reinforcement material. Both synthetic and biologically derived
materials reduce the recurrence rate compared to primary crural repair. Synthetic
mesh introduces complications, such as mesh erosion, fibrosis, and infection.
Urinary bladder matrix (UBM) represents a biologically derived material for use
in hiatal hernia repair reinforcement with the potential to improve durability of
repair without incurring the risks of other reinforcement materials. METHODS: The
15 cases presented involved hiatal hernia repair with primary crural repair with
UBM reinforcement and fundoplication. Patients were followed for an average of 3
years, and were assessed with upper gastrointestinal (GI) series, endoscopy, and
assessments of subjective symptoms of gastroesophageal reflux disease (GERD).
RESULTS: Hernia diameters averaged 6 cm. Each repair was successful and completed
laparoscopically. UBM exhibited favorable handling characteristics when placed as
a horseshoe-type graft sutured to the crura. One patient underwent endoscopic
balloon dilatation of a mild postoperative stenosis that resolved. No other
complications occurred. In more than 3 years of follow-up, there have been no
recurrences or long-term complications. GERD-health-related quality of life
(HRQL) scores averaged 6 (range, 0-12, of a possible 50), indicating little
reflux symptomatology. Follow-up upper GI series were obtained in 9 cases and
showed intact repairs. An upper endoscopy was performed in 8 patients and showed
no recurrences. CONCLUSION: Surgeons may safely use laparoscopic fundoplication
with UBM reinforcement for successful repair of hiatal hernias. In this series,
repairs with UBM grafts have been durable at 3 years of follow-up and may serve
as an alternative to synthetic mesh reinforcement of hiatal hernia repairs.
PMID- 27186067
TI - Retroperitoneal Approach in Single-Port Laparoscopic Hysterectomy.
AB - BACKGROUND AND OBJECTIVES: In single-port laparoscopic hysterectomy(SP-LH),
ligation of the uterine artery is a fundamental step. We analyzed the
effectiveness and safety of 2 different surgical approaches to ligate the uterine
artery in SP-LH for women with uterine myomas or adenomyosis. METHODS: A single
surgeon (TJ Kim) performed 36 retroperitoneal single-port laparoscopic
hysterectomies (SP-rH) from September 1st 2012 to April 30th 2013. We compared
these cases with 36 cases of conventional single-port laparoscopic abdominal
hysterectomy (SP-aH) performed by the same surgeon from November 1st 2011 to July
31th 2012 (historic control). In the SP-rH cases, the retroperitoneal space was
developed to identify the uterine artery; then, it was ligated where it
originates from the internal iliac artery. RESULTS: Estimated blood loss (EBL)
was decreased in the SP-rH group compared with the SP-aH group (100 mL vs 200 mL;
P = .023). The median total operative time was shorter in the SP-rH group (75
minutes vs 93 minutes; P < .05). The operative time of the Scope I phase,
including ligation of the utero-ovarian (or infundibulopelvic) ligament, round
ligament, uterine artery, and detachment of the bladder, was longer in the SP-rH
group compared with that in the SP-aH group (26.0 minutes vs 24 minutes; P =
.043). However, the operative time of the Scope II phase, including detachment of
the uterosacral-cardinal ligament, vaginal cutting, and uterus removal, was
shorter in the SP-rH group (19.5 minutes vs 30 minutes; P < .05). Operative
complications were not significantly different between the groups (P = .374).
CONCLUSION: Although SP-rH may be considered technically difficult, it can be
performed safely and efficiently with surgical outcomes comparable to those of SP
aH.
PMID- 27186068
TI - Transanal Total Mesorectal Excision With Single-Incision Laparoscopy for Rectal
Cancer.
AB - BACKGROUND AND OBJECTIVES: There has been great enthusiasm for the technique of
transanal total mesorectal excision. Coupled with this procedure, we performed
single-incision laparoscopic surgery for left colon mobilization. This is a
description of our initial experience with the combined approach. METHODS:
Patients with distal or mid rectal cancer were included. The operation was
performed by 2 teams: one team performed the single-incision mobilization of the
left colon via the right lower quadrant ileostomy site, and the other team
performed the total mesorectal excision with a transanal platform. RESULTS:
During the study period, 10 patients (5 men) with cancer of the rectum underwent
the surgery. The mean age was 62.2 +/- 11.1 years, and the mean body mass index
was 23.4 +/- 3.2 kg/m(2). The tumor's mean distance from the anal verge was 5.1
+/- 2.5 cm. The median operating time was 247.5 minutes (range, 188-462 minutes).
The mean estimated blood loss was 124 +/- 126 mL (range, 10-188 mL). Conversion
to multiport laparoscopy was needed in one case (10%). Postoperative pain, as
reflected by the pain score, was minimal. The mean number of lymph nodes
harvested was 15.6 +/- 3.8. All specimens had clear distal and circumferential
radial margins. The overall complication rate was 10%. CONCLUSION: Our experience
showed transanal total mesorectal excision with single-incision laparoscopy to be
a feasible option for rectal cancer. Patients reported minimal postoperative
pain. Further studies on the long-term outcome are warranted.
PMID- 27186069
TI - Laparoscopic Extracorporeal Appendectomy in Overweight and Obese Children.
AB - BACKGROUND AND OBJECTIVES: To compare surgical outcomes of overweight and obese
patients with acute appendicitis who have undergone single-port extracorporeal
laparoscopically assisted appendectomy (SP) with those who have had conventional
3-port laparoscopic appendectomy (TP). METHODS: This single-center retrospective
chart review included patients 21 years of age and younger with a preoperative
diagnosis of appendicitis who underwent laparoscopic appendectomy from January
2010 through December 2015. Cases of gangrenous and perforated appendicitis were
excluded. Subgroup analyses of patients with acute appendicitis were performed.
Operative time (OT), length of stay (LOS), and cost were compared between groups
stratified by body mass index (BMI) and operative technique. RESULTS: A total of
625 appendectomies were performed-457 for acute appendicitis. Sixty-eight
patients were overweight. The SP technique (n = 30) had shorter OT (median
minutes, 41 vs 68; P < .001), lower cost (median , $5741 vs $8530; P < .001), and
shorter LOS (median hours, 16 vs 19; P = .045) than the TP technique had (n =
38). Seventy patients were obese: 19 were treated with SP and 51 with TP. LOS did
not differ significantly between the SP and TP groups, but subjects treated with
SP had shorter OT (median minutes, 39 vs 63; P < .001) and lower cost (median,
$6401 vs $8205; P = .043). CONCLUSIONS: The SP technique for acute appendicitis
was found to have a significantly shorter OT and lower cost in all weight groups.
There were minimal differences in LOS. SP should be considered in patients with
acute appendicitis, regardless of their weight.
PMID- 27186071
TI - Evaluation of treatment for dry eye with 2-hydroxyestradiol using a dry eye rat
model.
AB - PURPOSE: 2-hydroxy estradiol (2-OHE2) is a catechol derivative of 17beta
Estradiol (E2) and it is synthesized from E2 catalyzed by cytochrome P4501A1.
Previous studies reported that 2-OHE2 is a physiologic antioxidant in
lipoproteins, liver microsomes, and the brain. Catechol derivatives show an anti
inflammatory effect through the inhibition of prostaglandin endoperoxide synthase
(PGS) activity. Corneal erosion caused by dry eye is related to an increase in
oxidative stress and inflammation in ocular surface cells. We investigated the
therapeutic effects of 2-OHE2 on corneal damage caused by dry eye. METHODS:
Steroidal radical scavenging activity was confirmed through the electron spin
resonance (ESR) method. PGS activity was measured using the COX Fluorescent
Activity Assay Kit. To evaluate the effect of 2-OHE2 on the treatment for dry
eye, 2-OHE2 was applied as an eye drop experiment using dry eye model rats.
RESULTS: 2-OHE2 scavenged tyrosyl radical and possibly suppressed oxidative
stress in corneal epithelial cells. In addition, 2-OHE2 inhibited PGS activity,
and 2-OHE2 is probably a competitive inhibitor of PGS. Corneal PGS activity was
upregulated in the dry eye group. Therefore, 2-OHE2 eye drops improved corneal
erosion in dry eye model rats. CONCLUSIONS: 2-OHE2 is a candidate for the
treatment of dry eye through the suppression of inflammation and oxidative stress
in the cornea.
PMID- 27186072
TI - Long-term outcomes in chronic obstructive pulmonary disease patients: exploring
the effects of inhalatory devices and their influence on the outcome.
AB - PURPOSE: Numerous systematic reviews have examined the outcomes in patients with
chronic obstructive pulmonary disease managed with different therapeutic
strategies. However, no such studies have specifically focused on the effect of
inhalation devices. METHODS: A standard PubMed search was carried out in which we
identified all randomized placebo-controlled trials conducted in patients with
moderate-to-severe or severe chronic obstructive pulmonary disease. The clinical
end points were exacerbations rate, incidence of pneumonia, and mortality. Meta
regression was employed to assess the effect of the device. For the incidence of
exacerbations, an equivalence analysis was also carried out. RESULTS: A total of
37 studies were analyzed. Four different devices were used across these trials
(Respimat((r)), HandiHaler((r)), Diskus, and Turbuhaler((r))). Our meta
regression analysis failed to show any significant difference between devices
with regard to exacerbation rate. Equivalence was shown for some comparisons
(HandiHaler((r)) vs Respimat((r))), but not for others. In analyzing mortality,
Respimat((r)) was shown to worsen this end point in comparison with
Turbuhaler((r)) and HandiHaler((r)). Moreover, Turbuhaler((r)) showed a
protective effect over Diskus in the incidence of pneumonia. CONCLUSION: The
results of our analysis represent the first attempt to explore the effect of the
type of device on long-term outcomes. One important limitation was that most
drugs were associated with one particular device, and so the effects of drugs and
devices could not be reliably differentiated from one another.
PMID- 27186070
TI - Tenascin-C promotes angiogenesis in fibrovascular membranes in eyes with
proliferative diabetic retinopathy.
AB - PURPOSE: We previously demonstrated that tenascin-C was highly expressed in the
fibrovascular membranes (FVMs) of patients with proliferative diabetic
retinopathy (PDR). However, its role in the pathogenesis of FVMs has not been
determined. The purpose of this study was to investigate what role tenascin-C
plays in the formation and angiogenesis of FVMs. METHODS: The level of tenascin-C
was determined by sandwich enzyme-linked immunosorbent assay in the vitreous
samples collected from patients with PDR and with a macular hole as control. The
locations of tenascin-C, alpha- smooth muscle actin (SMA), CD34, glial fibrillary
acidic protein (GFAP), and integrin alphaV in the FVMs from PDR patients were
determined by immunohistochemistry. We also measured the in vitro expression of
the mRNA and protein of tenascin-C in vascular smooth muscle cells (VSMCs)
stimulated by interleukin (IL)-13. The effects of tenascin-C on cell
proliferation, migration, and tube formation were determined in human retinal
endothelial cells (HRECs) in culture. RESULTS: The mean vitreous levels of
tenascin-C were significantly higher in patients with PDR than in patients with a
macular hole (p<0.001). Double immunofluorescence analyses of FVMs from PDR
patients showed that tenascin-C co-stained FVMs with alpha-SMA, CD34, and
integrin alphaV but not with GFAP. In addition, IL-13 treatment increased both
the expression and secretion of tenascin-C by VSMCs in a dose-dependent manner.
Tenascin-C exposure promoted proliferation, migration, and tube formation in
HRECs. Tenascin-C neutralizing antibody significantly blocked the tube formation
by HRECs exposed to VSMC-IL-13-conditioned medium. CONCLUSIONS: Our findings
suggest that tenascin-C is secreted from VSMCs and promotes angiogenesis in the
FVMs associated with PDR.
PMID- 27186073
TI - Patient considerations in the use of transdermal iontophoretic fentanyl for acute
postoperative pain.
AB - Opioids are commonly used in the management of moderate-to-severe postoperative
pain. Patient-controlled analgesic techniques are recognized as preferred
administration methods. Previously, research has focused on intravenously
administered opioids via a programmable pump. More recently, an iontophoretic
transdermal system (ITS), which is patient controlled, has been developed. The
focus of this review is on pain management using the fentanyl ITS during the 24
72-hour time period immediately following surgery. Fentanyl ITS offers a needle
free alternative to traditional intravenous (IV) patient-controlled analgesia
(PCA) system that is as effective and safe as IV PCA. This system is easy to use
for both patients and nurses. The use of fentanyl ITS is generally associated
with a better ease-of-care profile, including a greater ease of mobility, from a
patients' perspective when compared with morphine IV PCA.
PMID- 27186075
TI - Retrospective analysis of quality improvement when using liposome bupivacaine for
postoperative pain control.
AB - BACKGROUND/OBJECTIVE: Liposome bupivacaine, a prolonged-release bupivacaine
formulation, recently became available at the Naval Medical Center San Diego
(NMCSD); before availability, postsurgical pain for large thoracic/abdominal
procedures was primarily managed with opioids with/without continuous thoracic
epidural (CTE) anesthesia. This retrospective chart review was part of a clinical
quality initiative to determine whether postsurgical outcomes improved after
liposome bupivacaine became available. METHODS: Data from patients who underwent
laparotomy, sternotomy, or thoracotomy at NMCSD from May 2013 to May 2014 (after
liposome bupivacaine treatment became available) were compared with data from
patients who underwent these same procedures from December 2011 to May 2012
(before liposome bupivacaine treatment became available). Collected data included
demographics, postoperative pain control methods, opioid consumption,
perioperative pain scores, and lengths of intensive care unit and overall
hospital stays. RESULTS: Data from 182 patients were collected: 88 pre-liposome
bupivacaine (laparotomy, n=52; sternotomy, n=26; and thoracotomy, n=10) and 94
post-liposome bupivacaine (laparotomy, n=49; sternotomy, n=31; and thoracotomy,
n=14) records. Mean hospital stay was 7.0 vs 5.8 days (P=0.009) in the pre- and
post-liposome bupivacaine groups, respectively, and mean highest reported
postoperative pain score was 7.1 vs 6.2 (P=0.007), respectively. No other
significant between-group differences were observed for the overall population.
In the laparotomy subgroup, there was a reduction in the proportion of patients
who received CTE anesthesia post-liposome bupivacaine (22% [11/49] vs 35% [18/52]
pre-liposome bupivacaine). CONCLUSION: Surgeons and anesthesiologists have
changed the way they manage postoperative pain since the time point that liposome
bupivacaine was introduced at NMCSD. Our findings suggest that utilization of
liposome bupivacaine may be a useful alternative to epidural anesthesia.
PMID- 27186074
TI - Idiopathic intracranial hypertension, hormones, and 11beta-hydroxysteroid
dehydrogenases.
AB - Idiopathic intracranial hypertension (IIH) results in raised intracranial
pressure (ICP) leading to papilledema, visual dysfunction, and headaches. Obese
females of reproductive age are predominantly affected, but the underlying
pathological mechanisms behind IIH remain unknown. This review provides an
overview of pathogenic factors that could result in IIH with particular focus on
hormones and the impact of obesity, including its role in neuroendocrine
signaling and driving inflammation. Despite occurring almost exclusively in obese
women, there have been a few studies evaluating the mechanisms by which hormones
and adipokines exert their effects on ICP regulation in IIH. Research involving
11beta-hydroxysteroid dehydrogenase type 1, a modulator of glucocorticoids,
suggests a potential role in IIH. Improved understanding of the complex interplay
between adipose signaling factors such as adipokines, steroid hormones, and ICP
regulation may be key to the understanding and future management of IIH.
PMID- 27186077
TI - Cardiac dysfunction among soft tissue sarcoma patients in Denmark.
AB - PURPOSE: Soft tissue sarcoma (STS) patients may experience post-treatment
cardiotoxicity, yet no population-based data exist. We examined the incidence of
left ventricular ejection fraction (LVEF) decline, heart failure, and cardiac
death following STS diagnosis among adults, using Danish patient registries and
medical record review. PATIENTS AND METHODS: LVEF decline was examined in a
regional cohort of STS patients diagnosed during 1997-2011 in Western Denmark for
whom cardiac imaging data were available. LVEF decline was defined as an absolute
decline from baseline to follow-up of 10% or more, or, where baseline imaging was
not available, a decline below the lower limit of normal (or 40%) for a follow-up
LVEF. Heart failure and cardiac death were investigated in a national Danish
cohort of all STS patients diagnosed from 2000 to 2009. We followed patients from
STS diagnosis until heart failure, cardiac death, emigration or December 31, 2012
(whichever occurred first). RESULTS: The incidence rate of LVEF decline for the
regional cohort with follow-up data (N=100, five events) or baseline and follow
up measurements (N=75, 19 events) was 16.8 (95% confidence interval [CI]: 7.0
40.3) and 108 (95% CI: 69-170), respectively, per 1,000 person-years. In the
national cohort (N=1,187), the incidence of heart failure (40 events) and cardiac
death (15 events) was 7.3 (95% CI: 5.4-10.0) and 2.7 (95% CI: 1.6-4.5),
respectively, per 1,000 person-years. The strongest predictors of heart failure
were doxorubicin treatment (hazard ratio [HR] =2.2, 95% CI: 0.5-10.2) and pre
existing cardiovascular disease (HR=6.3, 95% CI: 0.98-40.6). CONCLUSION: LVEF
decline occurred more frequently compared to heart failure or cardiac death in a
nationally representative cohort of Danish STS patients.
PMID- 27186076
TI - Modulation of breast cancer cell viability by a cannabinoid receptor 2 agonist,
JWH-015, is calcium dependent.
AB - INTRODUCTION: Cannabinoid compounds, both nonspecific as well as agonists
selective for either cannabinoid receptor 1 (CB1) or cannabinoid receptor 2
(CB2), have been shown to modulate the tumor microenvironment by inducing
apoptosis in tumor cells in several model systems. The mechanism of this
modulation remains only partially delineated, and activity induced via the CB1
and CB2 receptors may be distinct despite significant sequence homology and
structural similarity of ligands. METHODS: The CB2-selective agonist JWH-015 was
used to investigate mechanisms downstream of CB2 activation in mouse and human
breast cancer cell lines in vitro and in a murine mammary tumor model. RESULTS:
JWH-015 treatment significantly reduced primary tumor burden and metastasis of
luciferase-tagged murine mammary carcinoma 4T1 cells in immunocompetent mice in
vivo. Furthermore, JWH-015 reduced the viability of murine 4T1 and human MCF7
mammary carcinoma cells in vitro by inducing apoptosis. JWH-015-mediated
reduction of breast cancer cell viability was not dependent on Galphai signaling
in vitro or modified by classical pharmacological blockade of CB1, GPR55, TRPV1,
or TRPA1 receptors. JWH-015 effects were calcium dependent and induced changes in
MAPK/ERK signaling. CONCLUSION: The results of this work characterize the actions
of a CB2-selective agonist on breast cancer cells in a syngeneic murine model
representing how a clinical presentation of cancer progression and metastasis may
be significantly modulated by a G-protein-coupled receptor.
PMID- 27186078
TI - Incidence of anemia in patients diagnosed with solid tumors receiving
chemotherapy, 2010-2013.
AB - PURPOSE: The purpose of this study was to evaluate and characterize the risk of
anemia during the course of chemotherapy among patients with five common types of
solid tumors. PATIENTS AND METHODS: Patients diagnosed with incident cancers of
breast, lung, colon/rectum, stomach, and ovary who received chemotherapy were
identified from Kaiser Permanente Southern California Health Plan (2010-2012).
All clinical data were collected from the health plan's electronic medical
records. Incidence proportions of patients developing anemia and 95% confidence
intervals were calculated overall and by anemia severity and type, as well as by
stage at cancer diagnosis, and by chemotherapy regimen and cycle. RESULTS: A
total of 4,426 patients who received chemotherapy were included. Across cancers,
3,962 (89.5%) patients developed anemia during the course of chemotherapy
(normocytic 85%, macrocytic 10%, microcytic 5%; normochromic 47%, hyperchromic
44%, hypochromic 9%). The anemia grades were distributed as follows: 58% were
grade 1, 34% grade 2, 8% grade 3, and <1% grade 4. The incidence of grade 2+
anemia ranged from 26.3% in colorectal cancer patients to 59.2% in ovarian cancer
patients. Incidence of grade 2+ anemia increased from 29% in stage I to 49% in
stage IV. Incidence of grade 2+ anemia varied from 18.2% in breast cancer
patients treated with cyclophosphamide + docetaxel regimen to 59.7% in patients
with ovarian cancer receiving carboplatin + paclitaxel regimen. CONCLUSION: The
incidence of moderate-to-severe anemia (hemoglobin <10 g/dL) remained
considerably high in patients with solid tumors receiving chemotherapy. The risk
of anemia was greater in patients with distant metastasis.
PMID- 27186080
TI - Profile of olaparib in the treatment of advanced ovarian cancer.
AB - Olaparib is a poly(ADP-ribose) polymerase inhibitor that received accelerated
approval from the US Food and Drug Administration as monotherapy for patients
with germline BRCA mutations and ovarian cancer treated with three or more prior
lines of chemotherapy. This article summarizes the mechanism of poly(ADP-ribose)
polymerase inhibition, therapeutic profile and uses of olaparib, and current and
ongoing literature pertaining to olaparib in advanced ovarian cancer.
PMID- 27186079
TI - Exploring the versatility of gingiva-colored composite.
AB - Gingival recession has a diverse etiology. The consequences may include
gingivitis, periodontitis, and hypersensitivity. Whereas the management can
include surgical treatment options, sometimes a more conservative, yet still
esthetic approach may be required. The use of gingiva-colored composites provides
one such alternative and can be applied to varying presentations of the problem.
While surgical treatment can be successful in re-creating favorable esthetic
anatomy as well as achieving accurate tissue contour in cases when minor amounts
of tissue are reconstructed, long-term results vary because of the vascularity
and complexity of the interdental space. Factors such as cost, healing time,
discomfort, and variable long-term results make surgery an unpopular choice. If
tooth-colored restorative materials are utilized to restore cervical tooth
exposure as a result of severe recession, the disproportionate appearance of the
visible crown may give a dissatisfying result. Gingiva-colored composite provides
dental practitioners with a versatile material that can be used to directly
restore cervical defects caused by gingival recession, thus correcting the
appearance of the gingival anatomy. It offers a treatment option that may
increase patient satisfaction through the provision of a cost-effective,
minimally invasive solution with highly esthetic results.
PMID- 27186081
TI - Progressive symmetric vertical macular wide angioid streak-like lacquer crack.
AB - PURPOSE: We report an unusual case of bilateral vertical lacquer crack with no
history of ocular trauma and with progressive marked enlargement and consequent
visual loss. METHODS: Three-year follow-up was completed using best-corrected
visual acuity, serial fundus photographs, intravenous fluorescein angiography,
and optical coherence tomography. RESULTS: We report the occurrence of lacquer
crack in a 43-year-old woman with no history of trauma except for laser in situ
keratomileusis surgery for mild myopia (as reported by the patient) in the past 5
years and habitual ocular rubbing. Lacquer crack started in the right eye and
became evident 1 year later in the left eye. Serial photography after repeated
intravitreal injections of ranibizumab for subfoveal choroidal new vessel showed
the lacquer crack widened gradually in both eyes. Axial length measurement
revealed the presence of high myopia. Best-corrected visual acuity dropped to
20/200 bilaterally. CONCLUSION: We hypothesize that a thin Bruch's membrane in
high myopia is prone for small rupture initially either spontaneously or
following laser in situ keratomileusis and subsequent widening of the rupture by
oculopression and intravitreal injections from rise in intraocular pressure.
PMID- 27186082
TI - Lyme disease caused by Borrelia burgdorferi with two homeologous 16S rRNA genes:
a case report.
AB - Lyme disease (LD), the most common tick-borne disease in North America, is
believed to be caused exclusively by Borrelia burgdorferi sensu stricto and is
usually diagnosed by clinical evaluation and serologic assays. As reported
previously in a peer-reviewed article, a 13-year-old boy living in the Northeast
of the USA was initially diagnosed with LD based on evaluation of his clinical
presentations and on serologic test results. The patient was treated with a
course of oral doxycycline for 28 days, and the symptoms resolved. A year later,
the boy developed a series of unusual symptoms and did not attend school for 1
year. A LD specialist reviewed the case and found the serologic test band
patterns nondiagnostic of LD. The boy was admitted to a psychiatric hospital.
After discharge from the psychiatric hospital, a polymerase chain reaction test
performed in a winter month when the boy was 16 years old showed a low density of
B. burgdorferi sensu lato in the blood of the patient, confirmed by partial 16S
rRNA (ribosomal RNA) gene sequencing. Subsequent DNA sequencing analysis
presented in this report demonstrated that the spirochete isolate was a novel
strain of B. burgdorferi with two homeologous 16S rRNA genes, which has never
been reported in the world literature. This case report shows that direct DNA
sequencing is a valuable tool for reliable molecular diagnosis of Lyme and
related borrelioses, as well as for studies of the diversity of the causative
agents of LD because LD patients infected by a rare or novel borrelial variant
may produce an antibody pattern that can be different from the pattern
characteristic of an infection caused by a typical B. burgdorferi sensu stricto
strain.
PMID- 27186084
TI - Functional role of the nicotinic arm of the acetylcholine regulatory axis in
human B-cell lines.
AB - We studied the involvement of nicotinic acetylcholine receptors (nAChRs) in the
inflammation-related activity of human B-cell lines. Activation of nAChRs in
Daudi cells with epibatidine abolished the pansorbin-dependent upregulation of
the pro-inflammatory marker Cox-2 both at the mRNA and protein levels, indicating
that the nicotinergic signaling suppresses B-cell activation. While the anti
inflammatory action on B-cells was mediated predominantly through alpha7 nAChR,
as could be judged from abolishing epibatidine effects with methyllycaconitine,
both alpha7 and non-alpha7 nAChRs, such as alpha2-containing receptors, were
involved in regulation of B-cell apoptosis. The net effect was antiapoptotic. To
determine the role of nAChRs in regulating B-cell activation/plasmacytic
differentiation, we measured changes in the CD38, CD138 and Bcl-6 gene
expression. Epibatidine significantly (P < 0.05) upregulated CD38 at the
transcriptional level and CD138 and Bcl-6 - at the translational levels. AR
R17779 significantly (P < 0.05) increased the protein levels of CD38 and CD138.
In both cases, the effect of epibatidine was abolished with Mec, and that of AR
R17779 - by MLA, demonstrating a functional role of nAChRs in regulating Daudi
cell differentiation. The obtained results revealed distinct contributions of
alpha7 and non-alpha7 nAChRs to regulation of B-cell activation/differentiation,
and suggested that signaling through the nicotinic arm of acetylcholine
regulatory axis is important for B-cell involvement in inflammation.
PMID- 27186085
TI - Effect of antiretroviral drugs on the pharmacodynamics of gliclazide with respect
to glucose-insulin homeostasis in animal models.
AB - The objective of this study was to investigate the effect of oral administration
of antiretroviral drugs (indinavir, ritonavir, atazanavir, efavirenz and
nevirapine) on the pharmacodynamics of gliclazide in rats (normal and diabetic)
and rabbits with respect to glucose-insulin homeostasis to evaluate the safety
and effectiveness of the combinations. Blood samples were collected at regular
time intervals in rats from retro orbital puncture and by marginal ear vein
puncture in rabbits. All the blood samples were analyzed for blood glucose by
glucose oxidase-peroxidase method and insulin by a radio immuno assay method. The
insulin resistance index and beta-cell function were determined by a homeostasis
model assessment. Indinavir and ritonavir alone had significant impact on glucose
insulin homeostasis in animal models among the antiretroviral drugs used in our
study. In combination, indinavir and efavirenz significantly reduced the activity
of gliclazide, while ritonavir and atazanavir significantly increased the
activity of gliclazide. However, nevirapine had no significant effect on the
activity of gliclazide. From this study we conclude that glucose-insulin
homeostasis disorders associated with antiretroviral drugs are not class
specific, but are drug-specific. So care should be taken when indinavir,
ritonavir, atazanavir and efavirenz are prescribed for diabetic patients.
PMID- 27186083
TI - Differential pharmacology and clinical utility of empagliflozin in type 2
diabetes.
AB - With rates of obesity and diabetes rising across the world, effective therapies
to treat hyperglycemia and its associated comorbidities continue to be in demand.
Empagliflozin is a highly selective sodium glucose transporter-2 inhibitor that
improves serum glucose levels by inducing glucosuria. Taken orally, it is rapidly
absorbed with linear pharmacokinetics consistent in Asian and Caucasian
populations. Empagliflozin treatment demonstrates consistent reductions in
hemoglobin A1c, fasting plasma glucose, body weight, and blood pressure in
individuals with type 2 diabetes. Improvements in glycemic control and metabolic
end points are evident with empagliflozin monotherapy, as add-on to oral
hypoglycemics or add-on to insulin. The nonglycemic effects of empagliflozin with
consistent improvements in blood pressure, body weight, and waist circumference
provide additional rationale for use in patients with type 2 diabetes. Moreover,
treatment with empagliflozin has recently shown significant reductions in both
microvascular and macrovascular complications of diabetes.
PMID- 27186086
TI - Pilot safety evaluation of varenicline for the treatment of methamphetamine
dependence.
AB - Despite the worldwide extent of methamphetamine dependence, no medication has
been shown to effectively treat afflicted individuals. One relatively unexplored
approach is modulation of cholinergic system function. Animal research suggests
that enhancement of central cholinergic activity, possibly at nicotinic
acetylcholine receptors (nAChRs), can reduce methamphetamine-related behaviors.
Further, preliminary findings indicate that rivastigmine, a cholinesterase
inhibitor, may reduce craving for methamphetamine after administration of the
drug in human subjects. We therefore performed a double-blind, placebo
controlled, crossover pilot study of the safety and tolerability of varenicline
in eight methamphetamine-dependent research subjects. Varenicline is used
clinically to aid smoking cessation, and acts as a partial agonist at alpha4b2
nAChRs with full agonist properties at alpha7 nAChRs. Oral varenicline dose was
titrated over one week to reach 1 mg twice daily, and then was co-administered
with 30 mg methamphetamine, delivered in 10 intravenous (iv) infusions of 3 mg
each. Varenicline was found to be safe in combination with iv methamphetamine,
producing no cardiac rhythm disturbances or alterations in vital sign parameters.
No adverse neuropsychiatric sequelae were detected either during varenicline
titration or following administration of methamphetamine. The results suggest
that varenicline warrants further investigation as a potential treatment for
methamphetamine dependence.
PMID- 27186087
TI - Cystone - An ayurvedic polyherbal formulation inhibits adherence of uropathogenic
E. coli and modulates H2O2-induced toxicity in NRK-52E cells.
AB - Gentamicin is a widely used antibiotic for the treatment of adverse urinary tract
infections (UTI), which in turn causes nephrotoxicity to uroepithelial cells and
hence an alternative safe herbal remedy is much desired to compensate these toxic
effects. The bacterial adhesion to the uroepithelial cells is the primary step in
UTI and it induces various immunogenic reactions leading to the generation of
reactive oxygen species (ROS), which are detrimental to the cells survival.
Inhibition of bacterial adherence to urinary tract epithelial cells has been
assumed to account for the beneficial action ascribed to cystone (an ayurvedic
polyherbal formulation) in the prevention of UTI. In this study, we have examined
the effect of cystone on the adherence of pathogenic [2-(14)C]-acetate labeled
Escherichia coli (MTCC-729) to rat proximal renal tubular cells (NRK-52E cells).
Further, the antioxidant property of cystone was studied using hydrogen peroxide
(400 MUM) as a pro-oxidant in NRK-52E cells. The results showed that cystone
inhibited the adherence of E. coli to NRK-52E cells significantly. Additionally
cystone effectively combats the toxicity induced by H2O2 in NRK-52E cells. The
cytoprotective effect of cystone is brought about by inhibiting lipid
peroxidation by 36% in cells treated with cystone compared to H2O2-treated cells
without cystone. The antioxidant enzymes catalase, glutathione were increased by
53% and 68% respectively and superoxide dismutase activity was increased 3-fold.
The glutathione content was significantly increased by 2.4-fold in NRK-52E cells
treated with cystone compared to H2O2 control group. These results suggest that
cystone effectively inhibits bacterial adherence to NRK-52E cells and attenuates
H2O2-induced toxicity in NRK-52E cells by inhibiting lipid peroxidation and
increasing the antioxidant defense mechanism.
PMID- 27186088
TI - Potential antidiabetic and antioxidant activities of Morus indica and Asystasia
gangetica in alloxan-induced diabetes mellitus.
AB - Herbal drugs are frequently considered to be less toxic and also free from side
effects, than synthetic ones. Hence, the present study was designed to
investigate one such combination of herbal drugs, Asystasia gangetica and Morus
indica for their antidiabetic and antioxidant potential against alloxan-induced
diabetes in albino rats. The effect of both individual and a combination of
Asystasia gangetica and Morus indica on blood glucose and liver glycogen were
studied in the diabetic rats. The study also assessed for the effect of selected
plant extracts for their effect on Superoxide dismutase (SOD), catalase (CAT),
reduced glutathione (GSH) and Lipid peroxidation (LPO) in the homogenates of the
pancreas. The results of the present study attests significant antidiabetic and
antioxidant potential for the selected plants individually and also in
combination as a prominent decrease in blood glucose and liver glycogen was
observed in the rats treated with the extracts of the selected plants. Similarly,
the levels of the protective antioxidant enzymes like SOD, CAT and GSH were
increased along with decrease in the LPO levels. The present study provides a
scientific evidence for antidiabetic and antioxidant potential of Asystasia
gangetica and Morus indica. Further studies to isolate bioactive compounds will
pave the way to identify potential lead compounds for developing safe and
efficacious antidiabetic agents.
PMID- 27186089
TI - Cardioprotective and beta-adrenoceptor antagonistic activity of a newly
synthesized aryloxypropanolamine derivative PP-36.
AB - The present study was performed to evaluate the cardioprotective effects and
pharmacological characterization of newly synthesized beta-adrenoreceptor
antagonists 3-(3-tert-butylamino-2-hydroxypropoxy)-4-methoxybenzaldehyde (PP-36)
in the rat model of coronary artery occlusion and reperfusion. Pre-ischemic
administration (20 minutes before coronary occlusion) of PP-36 showed
cardioprotective effects against ischemia/reperfusion injury in rats. PP-36 (6 mg
kg(-1)) significantly reduced arrhythmia score (6.33 +/- 0.55, P < 0.05), infarct
size/left ventricle size (38.9 +/- 3.2, P < 0.05) and no mortality compared to
vehicle-treated control group (14.17 +/- 1.83, 44.9 +/- 4.6 and 17%
respectively). In-vitro studies in rat isolated right atria, guinea-pig trachea
and rat distal colon preparations, were carried out to investigate the potency of
PP-36 towards different beta-adrenoceptor subtypes. pA2/pKB values of PP-36 for
beta1-beta2-and beta3-adrenoceptors were 6.904 +/- 0.190, 6.44 +/- 0.129 and
5.773 +/- 0.129, respectively. In conclusion, PP-36 is a beta-adrenoceptor
antagonist possessing potent anti-arrhythmic and cardioprotective effects against
ischemia/reperfusion injury in rats.
PMID- 27186092
TI - Nephroprotection of lacidipine against gentamycin-induced nephrotoxicity in
albino rats.
AB - AIM: Gentamycin, a widely-used aminoglycoside antibiotic, is recognized as
possessing significant nephrotoxic potential in human beings. Gentamycin-induced
nephrotoxicity is suggested to be mediated via reactive oxygen species. The
present study investigated the possible antioxidant nephroprotective effect of
lacidipine as a calcium-channel blocker in a gentamycin-induced nephrotoxicity
model in albino rats. METHODS: Albino rats were divided into 3 groups. Group 1
received normal saline. Group 2 received gentamycin 80 mg/kg intraperitoneally
for 14 days. Group 3 received lacidipine 1 mg/kg intraperitoneally 3 days before
and 14 days concurrently with gentamycin. This dose does not affect the blood
pressure of rats, as evidenced in the pilot study. RESULTS: Gentamycin-induced
nephrotoxicity was evidenced by a marked reduction in creatinine clearance.
Treatment with lacidipine improved creatinine clearance compared to the
gentamycin-treated group. In addition, it reduced thiobarbituric acid reactive
substance, as an index of lipid peroxidation, with significant increases in
superoxide dismutase enzyme in erythrocyte lysates and kidney catalase enzyme
activities. CONCLUSION: This study recommends the use of lacidipine in
prophylaxis against gentamycin-induced nephrotoxicity.
PMID- 27186091
TI - Effect of amlodipine, a calcium channel antagonist, on gonadal steroid of male
Wistar albino rats.
AB - This study was carried out to investigate the effect of prolonged intake of
calcium-channel blocker amlodipine, an antihypertensive drug on gonadal steroid
hormone (testosterone) of male albino rats. Three different concentrations of
amlodipine (0.01, 0.02 and 0.03 mg/kg body weight) was administered orally to
three different groups (B, C, and D) of experimental male Wistar albino rats (n =
8) for six weeks. Group A rats were fed normal diet without amlodipine (n = 8)
served as the control. The administration of amlodipine significantly reduced
testosterone level in the following order, group A (0.22 +/- 0.01) > B (0.18 +/-
0.01) > C (0.14 +/- 0.01) > D (0.10 +/- 0.01). The reduction in testosterone
levels corresponded with an increase in the concentration of amlodipine
administered to male Wistar albino rats. The observation in this study reveals
that long-term treatment of male Wistar rats with calcium-channel blocker and
antihypertensive (amlodipine) produces a significant reduction in the level of
testosterone a hormone associated with decreased ability of men to enjoy sex and
to develop good quality erections. There is the need for a large scale study to
investigate the potential effect of long-term antihypertensive therapy with
amlodipine on sexual dysfunction in men.
PMID- 27186090
TI - Effects of an oral dose of l-glutamic acid on circulating neurotransmitters:
Possible roles of the C1(Ad) and the A5(NA) pontomedullary nuclei.
AB - OBJECTIVE: Investigation of the effects of an oral administration of a small dose
of l-glutamic acid on the two peripheral sympathetic branches (neural and
adrenal) of the autonomic nervous system. RESEARCH DESIGN AND METHODS:
Circulating neurotransmitters and cardiovascular parameters were assessed in 28
healthy volunteers before and after the administration of 500 mg of l-glutamic
acid or placebo. RESULTS: The drug triggered a significant and sustained
enhancement of the noradrenaline and dopamine circulating levels which were
paralleled and positively correlated with the diastolic blood pressure increases.
Conversely, both platelet and plasma serotonin showed significant falls
throughout the test. Significant positive correlations were registered between
noradrenaline, dopamine, and noradrenaline/dopamine ratio versus diastolic blood
pressure but not versus systolic blood pressure or heart rate. CONCLUSION: The
above results allowed us to postulate that the drug provoked a significant
enhancement of peripheral neural sympathetic activity and the reduction of
adrenal sympathetic and parasympathetic drives. Both sympathetic branches are
positively correlated with the A5 noradrenergic and the C1 adrenergic
pontomedullary nuclei, which interchange inhibitory axons that act at post
synaptic alpha2 inhibitory autoreceptors. In addition, we discussed the
mechanisms able to explain why the drug acted preferentially at the A5
noradrenergic rather than the C1 adrenergic nuclei.
PMID- 27186093
TI - Pharmacological modulation of brain levels of glutamate and GABA in rats exposed
to total sleep deprivation.
AB - Modulation of gamma-aminobutyric acid (GABA) and glutamate by selected
antidepressants and anticonvulsants could play a beneficial role in total sleep
deprivation (TSD) caused by depressed mood. In the present study, albino rats
were exposed to TSD for five days. On the sixth day, the brains were removed, and
GABA and glutamate levels were measured in the prefrontal cortex and thalamus to
identify TSD-induced changes in untreated rats and in rats treated with
carbamazepine 40 mg/kg intraperitoneally (IP), fluoxetine 20 mg/kg IP, or
desipramine 10 mg/kg IP. Carbamazepine and fluoxetine significantly increased
GABA and reduced glutamate levels in both brain areas. Desipramine administration
did not affect GABA or glutamate concentrations in the tested brain areas; levels
were comparable with those induced by TSD without treatment. These results
suggest that administration of carbamazepine or fluoxetine could have a
beneficial effect by increasing GABA levels during TSD.
PMID- 27186094
TI - Pharmacogenomics of chronic hepatitis C therapy with genome-wide association
studies.
AB - Chronic hepatitis C (CHC) is a liver disease characterized by infection with the
hepatitis C virus (HCV) persisting for more than six months. Patients with CHC
often stop pursuing the pegylated interferon (peg-IFN) and ribavirin (RBV)
treatment because of the high cost and associated adverse effects. Therefore, it
is highly desirable, both clinically and economically, to establish the
determinants of response to distinguish responders from nonresponders, and to
predict the possible outcomes of the peg-IFN and RBV treatments. The aim of this
study was to review recent data on the pharmacogenomics of the drug efficacy of
IFN in CHC patients. Single nucleotide polymorphisms (SNPs) can be used to
understand the relationship between genetic inheritance and IFN therapeutic
response. In the recent advent of scientific research, the genome-wide
association study (GWAS), which is an alternative to the candidate-gene approach,
is widely utilized to examine hundreds of thousands of SNPs by high-throughput
genotyping technologies. In addition to the candidate-gene approach, the GWAS
approach has recently been employed to study the determinants of HCV's response
to therapy. Several recent findings have demonstrated that some SNPs in the
interleukin 28B gene are closely associated with IFN responsiveness. These
results promise to lead to mechanistic findings related to IFN responsiveness in
this disease, and will probably have major contributions for individualized
medicine and therapeutic decision making.
PMID- 27186096
TI - Clinical evaluation of a novel herbal dental cream in plaque formation: a double
blind, randomized, controlled clinical trial.
AB - BACKGROUND: The aim of this study was to evaluate the efficacy and safety of
herbal dental cream in comparison to fluoride dental cream. OBJECTIVES: Clinical
evaluation of a novel herbal dental cream in plaque formation: a double-blind,
randomized, controlled clinical trial. METHODS: One hundred and two patients with
established dental plaque were randomly assigned to either herbal dental group or
fluoride dental group for six weeks in a double-blind design. Improvement in
plaque index, oral hygiene status, bleeding index, and gingival index was
evaluated in these patients along with microbiological study. RESULTS: Results
indicated a significant reduction in plaque index, gingival index, oral hygiene
index, and microbial growth in both groups. Difference between the groups was not
significant. There was no significant change in bleeding index. No adverse events
were reported and both the dental creams were well tolerated. CONCLUSION: The
finding of this preliminary study indicates that herbal dental cream is as safe
and effective as fluoride dental cream, but not superior to it.
PMID- 27186095
TI - The influence of dexamethasone and the role of some antioxidant vitamins in the
pathogenesis of experimental bronchial asthma.
AB - BACKGROUND: Bronchial asthma is a disease characterized by paroxysmal and
reversible obstruction of the airways. The imbalance between the oxidant and
antioxidant system that is called oxidative stress is critical in asthma
pathogenesis. It is likely, therefore, that antioxidants may be effective in the
treatment of asthma. Systemic treatment with glucocorticoids has been reported to
inhibit smooth muscle hypercontraction which may account partially for their
beneficial effects in the treatment of asthma. OBJECTIVE: The present study was
conducted in order to study the effect of dexamethasone and some antioxidant
vitamins on interleukin-4 (IL-4), immunoglobulin E (IgE) and heat shock protein
70 (Hsp70) in bronchial asthma in rats, and to recognize their possible
beneficial role. METHOD: The study was conducted on 60 adult male albino rats
randomly divided into 4 groups (15 for each group): including normal control
group (group A); asthma model group where rats were sensitized by ovalbumin and
challenged with antigen aerosol producing bronchial asthma (group B); asthma
model group treated with antioxidant vitamins (vitamin E and vitamin C) (group
C); asthma model group treated with dexamethasone (group D). Blood and lung
samples were collected from all groups. RESULTS AND CONCLUSION: Our results
revealed a significant decrease of serum reduced glutathione (GSH) levels among
groups B, C and D as compared to group A, while there was a significant increase
in group C and D as compared to group B. Antioxidant and dexamethasone treatment
resulted in a significant decrease of serum IL-4, malondialdehyde (MDA), and
serum IgE levels in group C and D as compared to group B. Antioxidant treatment
resulted in a significant decrease of serum Hsp70 level as compared to group B,
while dexamethasone treatment resulted in a significant increase of serum Hsp70
level as compared to group B. This study suggests that it is likely that a
combination of antioxidant vitamins may be effective in the treatment of asthma,
considering their reported effects on lowering MDA, IL-4, and IgE levels, and the
similar beneficial effects of dexamethasone in addition to increasing the
expression of Hsp70 in the studied model of bronchial asthma.
PMID- 27186097
TI - Effect of breastfeeding piperine on the learning of offspring mice: interaction
with caffeine and diazepam.
AB - Piperine, the main alkaloid of black pepper (Piper nigrum), has been suggested to
display several pharmacological properties, including pain relief,
anticonvulsant, antidepressant-like, antianxiety, sedative, and anti-inflammatory
effects. This study was designed to investigate the effect of piperine on
learning in mice and the interaction of the effect with caffeine and diazepam.
Piperine (100 mg/kg intraperitoneally) was injected into the mouse mothers or
nursing dams during breastfeeding for 25 days at five-day intervals. After
feeding the newborn mice, their learning was evaluated using a step-through
passive avoidance task. Mouse learning was assessed 1 hr and 24 hr and 1 week
after a training session. Piperine increased learning in the first (1 hr: 243.33
s vs 55.17 s, P = 0.002) and third assessments (1 week: 226 s vs 97 s, P < 0.05)
post-training, but no significant change was seen at the second (24 hr)
assessment. Piperine improved the effect of a low dose of caffeine (25 mg/kg
intraperitoneally after a shock of 2 s duration) in a first assessment (295.17 s
vs 149.17 s, P = 0.026) compared to a higher dose of caffeine. Piperine reversed
diazepam (1 mg/kg intraperitoneally) suppression of learning 24 hours after
training by a 4 s shock (298 s vs 135.67 s, P = 0.03). According to the results,
piperine alone significantly increased learning 1 hour and 1 week after training
assessments, and learning can be improved in the short term when followed by
piperine administration. It was also shown that piperine can potentiate the
effect of a low dose of caffeine and can reverse the effect of diazepam.
PMID- 27186099
TI - Cytoprotective effect of Semecarpus anacardium against toxicity induced by
Streptozotocin in rats.
AB - Leakage of cellular enzymes into the plasma is a clear indication of cell damage.
When liver plasma membrane is damaged, a variety of enzymes normally located in
the cytosol are released into the blood stream and their estimation is a
quantitative marker for the extent of damage. The cytoprotective effect of
Semecarpus anacardium was evaluated in rats that were rendered diabetic by
administration of streptozotocin at a dose of 50 mg/kg body weight. The
activities of the marker enzymes were assayed in the serum, liver and kidney. The
indicators of renal damage such as urea, uric acid and creatinine were assayed in
addition to the blood profile. The results of the present study reveal that
Semecarpus anacardium was able to reverse the levels of the marker enzymes, and
protect the kidney by reverting back to the normal levels of urea, uric acid, and
creatinine. The abnormal blood parameters were also reverted to near normal
levels indicating the drug's cytoprotective effect.
PMID- 27186098
TI - Current and emerging therapies for the treatment of osteoporosis.
AB - Osteoporosis represents a weakening of bone tissue due to an imbalance in the
dynamic processes of bone formation and bone resorption that are continually
ongoing within bone tissue. Most currently available osteoporosis therapies are
antiresorptive agents. Over the past decade, bisphosphonates, notably alendronate
and risedronate, have become the dominant agents with newer bisphosphonates such
as ibandronate and zoledronic acid following a trend of less frequent dosing
regimens. Synthetic estrogen receptor modulators (SERMs) continue to be developed
as drugs that maintain the bone-protective effects of estrogen while avoiding its
associated adverse side effects. Currently available agents of this class include
raloxifene, the only SERM available in the United States (US), and lasofoxifene
and bazedoxifene, available in Europe. Calcitonin, usually administered as a
nasal spray, completes the list of currently approved antiresorptive agents,
while parathyroid hormone analogs represent the only anabolic agents currently
approved in both the US and Europe. Strontium ranelate is an additional agent
available in Europe but not the US that has both anabolic and antiresorptive
activity. New agents expected to further expand therapeutic options include
denosumab, a monoclonal antibody inhibitor of the resorptive enzyme cathepsin K,
which is in the final stages of Food and Drug Administration approval. Other
agents in preclinical development include those targeting specific molecules of
the Wnt/beta-catenin pathway involved in stimulating bone formation by osteoblast
cells. This review discusses the use of currently available agents as well as
highlighting emerging agents expected to bring significant changes to the
approach to osteoporosis therapy in the near future.
PMID- 27186101
TI - Recent advances in the treatment of multiple sclerosis.
AB - Multiple sclerosis (MS) is a chronic inflammatory, immune-mediated,
demyelinating, neurodegenerative disorder of the central nervous system. Despite
the lack of an etiologic factor, it has been consistently demonstrated that the
immune system plays a crucial role in the pathogenesis of MS. The traditional
description of immunopathogenesis of MS suggests a preferential CD4+ TH1 cell
activity causing tissue damage by the release of pro-inflammatory cytokines and
subsequent demyelination and axonal loss. Recent evidence, however, suggests that
other immune cells including TH17 cells, CD8+ effector T cells, CD4+ CD25+
regulatory T cells, and B cells may play a prominent role in MS immunopathology.
A better understanding of the molecular and cellular components of the
immunopathogenesis of MS is allowing the development of novel therapies.
PMID- 27186100
TI - Effect of combined therapy of diabinese and nicotinic acid on liver enzymes in
rabbits with dithizone-induced diabetes.
AB - The effects of diabinese, a known antidiabetic drug, and the combined effects of
diabinese and nicotinic acid, a vitamin and antilipidemic drug, were studied in
rabbits with dithizone-induced diabetes. Side effects of diabinese include
hypoglycemia and liver toxicity. Dithizone was used to induce partial
experimental diabetes and to increase blood glucose significantly (P < 0.05) by
31.3%, 23.5%, 19.5, 24.7%, and 23.9% in groups A (single therapy of diabinese 10
mg/kg body weight), B (10 mg of diabinese and nicotinic acid 150 mg/kg), C (10 mg
diabinese and nicotinic acid 200 mg/kg), D (10 mg diabinese and nicotinic acid
250 mg/kg) and E control (distilled water 5 mL), respectively. Dithizone
administration also increased bilirubin, alkaline phosphatase (ALP), aspartate
aminotransferase (AST), and alanine aminotransferase (ALT) levels by 28.9%-35.6%,
41.2%-54.8%, 40.1%-46.1%, and 60.9%-68.4%, respectively. Diabinese monotherapy
reduced bilirubin levels, while combined therapy reduced glucose, ALP, AST, and
ALT levels more than single therapy. Reduction from the hyperglycemic level 48
hours after drug administration was 20.0%, 24.6%, 41.0%, and 42.0% for groups A,
B, C, and D, respectively, and was concentration-dependent. Also, combined
therapy produced a significant (P < 0.05) decrease in AST and ALT levels,
especially at 72 hours after drug administration, but did not affect ALP levels.
No significant changes in glucose, bilirubin, ALP, AST, and ALT levels were
observed in Group E (control). This study shows that liver toxicity and the
hypoglycemic side effects of diabinese could be managed by the concomitant
administration of nicotinic acid.
PMID- 27186102
TI - Angiotensin (1-7)/Mas receptor axis activation ameliorates the changes in fatty
acid composition in diabetic rats with nephropathy.
AB - Diabetes mellitus is often associated with altered fatty acids composition. This
study was designed to investigate the role of angiotensin (Ang) (1-7)/Mas
receptor in improving fatty acids composition in streptozotocin (STZ)-induced
diabetic nephropathy (DN) in rats. Rats treated with STZ (50 mg/kg, i.p. once)
developed DN after 8 weeks. Fatty acid composition was estimated in renal
cortical tissue by gas chromatography. Treatment with Ang (1-7), A-779, and Ang
(1-7) plus A-779 was given from week 4 to week 8. Diabetic rats exhibited a
significant increase in levels of saturated fatty acids and a significant
decrease in levels of polyunsaturated fatty acids (PUFAs). Treatment with Ang (1
7) significantly attenuated these diabetes-induced changes. In diabetic rats,
prior administration of A-779 significantly attenuated the increase in PUFAs
produced by Ang (1-7); however, for saturated fatty acids, A-779 significantly
blocked the decrease in palmitic acid only. Our study, for the first time,
documented that endogenous Ang (1-7) modulates fatty acid composition in rats.
Further, treatment with Ang (1-7) significantly attenuated diabetes-induced
changes in fatty acids composition. This may be an additional mechanism implying
the renoprotective role of Ang (1-7) in diabetic rats.
PMID- 27186104
TI - Complexation of amphotericin B and curcumin with serum albumins: solubility and
effect on erythrocyte membrane damage.
AB - Amphotericin and curcumin are known to form complexes with albumins individually.
In-silico analysis shows that amphotericin B and curcumin have separate binding
regions on human serum albumin and bovine serum albumin. The complex formed with
albumin in the presence of both amphotericin and curcumin is water soluble, and
it retains antifungal activity. Interestingly, it was found that the presence of
curcumin in the complex significantly delayed the red cell lysis by amphotericin
B, indicating the possibility of moderating the toxic side effects of the drug
using curcumin. Furthermore, since the presumed ternary complex is stable and
water soluble, its potential use in the treatment of visceral leishmaniasis (kala
azar) and systemic fungal infections needs to be evaluated.
PMID- 27186103
TI - Effects of insulin resistance and testosterone on the participation of
cyclooxygenase isoforms in vascular reactivity.
AB - Testosterone plays an important role in mediating hypertension and altered
vascular reactivity associated with insulin resistance. In addition to other
pathways, testosterone-dependent changes in aortic cyclooxygenase (COX-2) mRNA
levels affect blood pressure following insulin resistance. However their effects
on vascular tone are unclear. We studied the changes in contraction response to
phenylephrine (PE) in the aorta and superior mesenteric artery (SMA) from intact
and gonadectomized fructose-fed rats. Constriction response to PE was studied in
tissues incubated with the COX-1 and COX-2-selective antagonists, SC-560 and NS
398, respectively, and indomethacin, in addition to assessing its role in
endothelium-dependent relaxation. Finally changes in COX-2 protein expression and
plasma thromboxane A2 (TXA2), a downstream vasoconstrictor metabolite of COX-2,
were measured. In fructose-fed rats, castration prevented the increase in blood
pressure but not insulin resistance. The involvement of COX-2 in mediating the
alpha-adrenergic vasoconstriction was higher in intact rat aorta compared to COX
1, which was prevented by castration. However, in the SMA, COX-2 participation
was dependent on testosterone alone. Fructose-induced attenuation of endothelial
relaxation was restored by indomethacin, which suggests a pro-vasoconstrictor
role for COX. Both diet and testosterone did not alter vascular COX-2 expression
thus suggesting the involvement of downstream testosterone-dependent pathways.
This is supported by increased plasma TXA2 in the castrated rats compared to
intact rats. Isoform-specific actions of COX are tissue-selective in states of
insulin resistance and involve potential testosterone-dependent downstream
targets. Further studies are needed to investigate the role of androgens and
insulin resistance in vascular arachidonic acid metabolism.
PMID- 27186105
TI - Role of calcium in morphine dependence and naloxone-precipitated withdrawal in
mice.
AB - PURPOSE: To explore the role of calcium in morphine withdrawal syndrome using
various agents affecting calcium levels in cytoplasm. METHODS: Mice were rendered
dependent on morphine by subcutaneous injection of morphine, and withdrawal was
induced 4 hours later by injecting the opioid antagonist, naloxone. Mice were
observed for 30 minutes for signs of withdrawal, ie, characteristic jumping,
hyperactivity, urination, and diarrhea. Various calcium channel blockers were
injected intraperitoneally 30 minutes before naloxone to evaluate their influence
on the severity of the withdrawal syndrome. We also tested the effect of
combination levodopa-carbidopa pretreatment and its interaction with a selective
alpha-1 blocker, terazosin, on naloxone-precipitated withdrawal in mice acutely
dependent on morphine. RESULTS: A significant dose-dependent attenuation of
naloxone-induced morphine withdrawal syndrome was observed with calcium channel
blockers, ie, verapamil 20 mg/kg (P < 0.05) and diltiazem 30 mg/kg (P < 0.01).
Combination levodopa-carbidopa pretreatment facilitated the morphine withdrawal
syndrome, and this was found to be blocked by terazosin, although not to a
statistically significant (P > 0.05) extent. CONCLUSION: The results indicate
that calcium plays an important role in the genesis of morphine dependence and
withdrawal, and suggest the usefulness of calcium channel blockers in the
management of morphine withdrawal syndrome.
PMID- 27186106
TI - Investigational new drugs in the treatment of inflammatory bowel disease: a
review.
AB - The unraveling of the immuno-pathobiology of inflammatory bowel disease (IBD) in
the past three decades has ushered in a new era of translational medicine. The
biotechnology revolution has resulted in a paradigm shift in how clinicians view
and treat IBD. Anti-tumor necrosis factor (TNF)-alpha strategies using infliximab
and adalimumab currently dominate the therapeutic arena. Better understanding of
how these biologicals work is driving the quest for loftier therapeutic goals of
achieving mucosal healing, sustaining deep remission, and even modifying the
natural history of IBD. However, not all patients respond to anti-TNF drugs.
Immune-mediated adverse reactions and loss of efficacy with time also limit their
use. There are many investigational drugs undergoing active clinical trials. Many
have not fulfilled their early promises but some are potentially making the
transition from bench to trial and to the bedside in the near future. Clinicians
and investigators need to underpin our excitement with caution for the unknown
long-term consequences of modulating cytokines and selective adhesion molecules
in human. Here we provide an overview of investigational new drugs and other
therapeutic strategies currently undergoing clinical trials in IBD.
PMID- 27186108
TI - Preparation of ultrasound microbubbles crosslinked to albumin nanoparticles
packaged with tissue-type plasminogen activator gene plasmid and method of in
vivo transfection.
AB - AIMS: To observe the effect of constructed ultrasound microbubble crosslinked to
albium nanoparticles packaged with tissue-type plasminogen activator (tPA) gene
plasmid on the in vivo transfection. METHODS: The rabbits were chosen for all
experiments. A highly expressive gene plasmid for tPA was constructed and
packaged into a prepared nanoparticle with bovine serum albumin (BSA). This
albium nanoparticle packaged with tPA gene plasmid was crosslinked to an
ultrasound microbubble prepared with BSA and sucrose to form a nano-targeting
vector system for tPA gene transfection. The transfection and effective
expression of tPA in heart, liver, leg skeletal muscle and the cervical rib were
detected with polyclonal antibodies to tPA using immunohistochemical method; the
tPA level and D-dimer content of blood were also tested. RESULTS: The expression
of tPA could be seen in the tissues mentioned above, with the increase in blood
tPA level and D-dimer content from 0.20 +/- 0.05 ug/L and 81.76 +/- 9.84 ug/L
before the operation, to the higher levels of 0.44 +/- 0.05 ug/L and 669.28 +/-
97.74 ug/L after transfection. CONCLUSION: The nano-targeting vector system for
tPA gene was contructed successfully. This provides a new theory and experimental
method for the nano-targeted transgene.
PMID- 27186107
TI - Novel compounds in the treatment of lung cancer: current and developing
therapeutic agents.
AB - Lung cancer is the leading cause of cancer-related death in the United States.
Though incremental advances have been made in the treatment of this devastating
disease during the past decade, new therapies are urgently needed. Traditional
cytotoxic agents have been combined with other modalities with improved survival
for early-stage patients. Newer cytotoxic agents targeting the same or different
mechanisms have been developed at different stages. Optimization of various
chemotherapy regimens in different settings is one of the aims of current
clinical trials. Some predictive biomarkers (eg, excision repair cross
complementing 1, ERCC1) and histotypes (eg, adenocarcinoma) are found to be
associated with resistance/response to some cytotoxic drugs. Another notable
advance is the addition of targeted therapy to lung cancer treatment. Targeted
agents such as erlotinib and bevacizumab have demonstrated clinical benefits and
gained Food and Drug Administration approval for lung cancer. More agents
targeting various signaling pathways critical to lung cancer are at different
stages of development. Along with the effort of new targeted drug discovery,
biomarkers such as epidermal growth factor receptor and anaplastic lymphoma
kinase mutations have proven useful for patient selection, and more predictive
biomarkers have been actively evaluated in non-small cell lung cancer. The
paradigm of lung cancer treatment has shifted towards biomarker-based
personalized medicine.
PMID- 27186109
TI - Comparison of the effects of the oral anticancer platinum(IV) complexes oxoplatin
and metabolite cis-diammine-tetrachlorido-platinum(IV) on global gene expression
of NCI-H526 cells.
AB - Platinum(IV) coordination complexes like oxoplatin (cis,cis,trans-diammine
dichlorido-dihydroxido-platinum[IV]) show high stability and therefore can be
utilized orally for outpatient care. Although oxoplatin is capable of binding
directly to DNA after prolonged incubation, platinum(IV) agents are considered to
be largely inert prodrugs that are converted to highly cytotoxic platinum(II)
compounds by reducing substances, enzymes, or microenviron-mental conditions.
Reaction of oxoplatin with 0.1 M hydrogen chloride mimicking gastric acid yields
cis-diammine-tetrachlorido-platinum(IV) (DATCP[IV]), which exhibits two-fold
increased activity. The presence of chlorides as ligands in the axial position
results in a high reduction potential that favors transformation to platinum(II)
complexes. In this study, the intracellular effect of the highly reactive
tetrachlorido derivative was investigated in comparison with an equipotent dose
of cisplatin. Genome-wide expression profiling of NCI-H526 small cell lung cancer
cells treated with these platinum species revealed clear differences in the
expression pattern of affected genes and concerned cellular pathways between
DATCP(IV) and cisplatin. Application of DATCP(IV) resulted in extensive
downregulation of protein and ATP synthesis, cell cycle regulation, and
glycolysis, in contrast to cisplatin, which preferentially targeted glutathione
conjugation, pyruvate metabolism, citric acid cycle, and the metabolism of amino
acids and a range of carbohydrates. Thus, the oxoplatin metabolite DATCP(IV)
constitutes a potent cytotoxic derivative that may be produced by gastric acid or
acidic areas prevailing in larger solid tumors, depending on the respective
pharmaceutical formulation of oxoplatin. Furthermore, DATCP(IV) exhibits
intracellular effects that are clearly different from the expected reduced
product cisplatin(II). In conclusion, activation of the platinum(IV) complex
oxoplatin seems to involve the generation of a cytotoxic six-coordinate species,
dependent on prevailing conditions, and its effects need to be considered in
addition to the effects of the potential final platinum(II) product.
PMID- 27186110
TI - Effects of single-dose morning and evening administration of pravastatin on
antioxidant markers in cholesterol-fed rabbits.
AB - BACKGROUND: Accurate timing of statin administration is considered important to
obtain the best hypolipidemic effect. Pravastatin is one of the currently
prescribed hepatic 3-hydroxy-3-methylglutaryl coenzyme A reductase inhibitors,
and was chosen in this study to evaluate its antioxidant effect when administered
as a single daily dose in the morning versus evening in cholesterol-fed rabbits.
METHODS: This 12-week study was performed in New Zealand rabbits, divided into
four groups (n = 6 each), ie, normocholesterolemic controls; cholesterol 1% diet,
nontreated ; cholesterol 1% diet treated with pravastatin in the morning; and
cholesterol 1% diet treated with pravastatin in the evening. Plasma total
cholesterol levels, superoxide dismutase enzyme levels in erythrocyte lysates,
thiobarbituric acid-reactive substance content, catalase, and glutathione enzyme
activity in liver homogenates from the tested rabbits were measured. RESULTS:
Both morning and evening treatment with pravastatin significantly improved all
the measured antioxidant markers in comparison with nontreated cholesterol-fed
rabbits. However, results obtained with evening dosing were better than with
morning dosing. CONCLUSION: The antioxidant profile of pravastatin is better when
the drug is administered in the evening rather than in the morning.
PMID- 27186111
TI - The essential oils component p-cymene induces proton leak through Fo-ATP synthase
and uncoupling of mitochondrial respiration.
AB - Essential oils can be used as antimicrobial, antioxidant, and anticarcinogenic
agents or to preserve and give flavors to foods. The activity of phenolic-rich
essential oils has been observed in fractions containing thymol and carvacrol
which show synergistic effects with their precursor p-cymene. Their mode of
action is related to several targets in the cell but specific mechanisms of
activity and cytotoxic effects remain poorly characterized. Given the importance
of mitochondria for cellular functions and their critical role in a vast number
of diseases, this work evaluated the effects of p-cymene on mitochondrial
functions. It was observed that p-cymene did not change the oxygen consumption by
respiratory chain (state 2 respiration). However, p-cymene decreased the
mitochondrial membrane potential (Deltapsi), depressed the rate of ADP
phosphorylation (state 3), and stimulated the oxygen consumption after
phosphorylation of ADP (state 4). The respiratory control ratio (state 3/state 4)
was decreased as a consequence of the inhibition of state 3 and stimulation of
state 4 respiration but the ADP/O index remained unaltered as well as the
mitochondrial Ca(2+) fluxes. Moreover, p-cymene did not induce mitochondrial
membrane disruption but depressed the Deltapsi, and the stimulatory effect
observed on state 4, similar to the effect observed on state 2 respiration plus
ATP, was inhibited by oligomycin. These effects suggest that p-cymene allows a
proton leak through the Fo fraction of the phosphorylative system, changing the
mitochondrial proton motive force and ATP synthesis capacity. Therefore, these
data suggest mitochondria as a target for p-cymene toxicity action mechanisms.
PMID- 27186112
TI - Restoration of renal hemodynamics and functions during black cumin (Nigella
sativa) administration in streptozotocin-induced diabetic rats.
AB - BACKGROUND: Black cumin (Nigella sativa) is an ancient herbal medicine
recommended by the World Health Organization. The antioxidant and
antihyperglycemic effects of black cumin are well established. Amelioration of
renal dysfunction in nephrotoxic rats with black cumin treatment has also been
noted. However, the effect of black cumin treatment on renal dysfunction in
diabetes mellitus has not been clarified. In this study, the effect of black
cumin oil (BC) on changes in renal dysfunction and renal hemodynamics in
streptozotocin-induced diabetic rats was evaluated. METHODS: The experiments were
performed in male Sprague Dawley rats, divided into four groups (seven in each
group): (1) normal rats given tap water (CON); (2) normal rats administered with
BC (CON-BC); (3) diabetic rats given tap water only (STZ); and (4) diabetic rats
administered with BC (STZ-BC). Diabetes mellitus was induced in the rats by an
injection of streptozotocin. BC was given orally at the dose of 1000 mg/kg body
weight to the rat in either CON-BC or STZ-BC every day for 8 weeks. Renal
hemodynamics and functions in each rat were studied. RESULTS: Renal hemodynamic
changes in STZ-BC rats appeared to increase in terms of glomerular filtration
rate, effective renal plasma flow, and effective renal blood flow, while renal
vascular resistance and filtration fraction were decreased in comparison with
diabetic rats given tap water only (STZ). An improvement of renal tubular
dysfunction in STZ-BC rats was indicated by the decreases in fractional excretion
of water and Mg(++). CONCLUSION: An administration of BC can restore changes in
renal hemodynamics and renal dysfunction in streptozotocin-induced diabetic rats.
PMID- 27186114
TI - Hibiscus sabdariffa L., roselle calyx, from ethnobotany to pharmacology.
AB - Using MEDLINE and SCOPUS databases, a review of the literature from the
pioneering study of 1991 until 2010 was performed on the effects on biological
models of Hibiscus sabdariffa L. roselle calyx, its extracts mainly in polar
solvents, or pure components found in extracts, as well as their possible
relationship to these effects. Three relevant effects on lipid metabolism,
antihypertensive activity, and apoptosis were observed. Our chronological review
of the studies mentioned in the literature provides another opportunity to see
how humans compile scientific knowledge of a chemical structure-physiological
activity relationship starting from an ethnobotanical-ethnopharmagognosy
contribution. The chemical components that are the main active principles in the
physiological activities of Hibiscus sabdariffa L. calyx are anthocyanins and
polyphenols (protocatechuic acid and quercetin). Advances have also been made in
the elucidation of action mechanisms. Additionally, it has become clear that the
lack of standardization in terms of chemical components of the material arising
from Hibiscus sabdariffa L. used in testing on biological models imposes limits
on the possibility of carrying out comparative analyses between studies.
Fortunately, more recent studies are overcoming this obstacle by reporting
component concentrations of assumed active principles; however, complete analysis
of the extract, if this is to be considered as a therapeutic agent, is not
commonly reported in the aforesaid studies. If one of the eventual scenarios for
Hibiscus sabdariffa L. calyx is as a therapeutic agent in communities with
economic limitations, then studies of a pharmacological nature should guarantee
the effectiveness, safety, and tolerability of this material, which is widely
accepted to be associated with chemical complexity, thus making this knowledge
necessary.
PMID- 27186113
TI - Flavonoids as GABAA receptor ligands: the whole story?
AB - Benzodiazepines are the most widely prescribed class of psychoactive drugs in
current therapeutic use, despite the important unwanted side effects that they
produce, such as sedation, myorelaxation, ataxia, amnesia, and ethanol and
barbiturate potentiation and tolerance. They exert their therapeutic effects via
binding to the benzodiazepine binding site of gamma-aminobutyric acid (GABA) type
A receptors, and allosterically modulating the chloride flux through the ion
channel complex. First isolated from plants used as tranquilizers in folkloric
medicine, some natural flavonoids have been shown to possess selective affinity
for the benzodiazepine binding site with a broad spectrum of central nervous
system effects. Since the initial search for alternative benzodiazepine ligands
amongst the flavonoids, a list of successful synthetic derivatives has been
generated with enhanced activities. This review provides an update on research
developments that have established the activity of natural and synthetic
flavonoids on GABA type A receptors. Flavonoids are prominent drugs in the
treatment of mental disorders, and can also be used as tools to study modulatory
sites at GABA type A receptors and to develop GABA type A selective agents
further.
PMID- 27186115
TI - Antiarthritic effect of aqueous and ethanolic leaf extracts of Pistia stratiotes
in adjuvant-induced arthritis in Sprague-Dawley rats.
AB - BACKGROUND: Pistia stratiotes has been used effectively to treat a number of
inflammatory conditions. This study aims to determine the antiarthritic effect of
aqueous and ethanolic leaf extracts of P. stratiotes. METHODS: Arthritis was
induced in Sprague-Dawley rats, paw swelling was measured, and arthritis indices
were estimated in rats treated with aqueous and ethanolic leaf extracts of P.
stratiotes (AQ PSE and ET PSE, respectively), methotrexate, diclofenac,
dexamethasone, and normal saline-treated rats. Radiologic imaging, hematological
assessment of red and white blood cells, C-reactive protein and erythrocyte
sedimentation rate, as well as histopathological studies were also done. The data
were analyzed using GraphPad Prism 5. RESULTS: The 30, 100, and 300 mg/kg doses
of AQ PSE and the 30 and 100 mg/kg doses of ET PSE caused a significant (P <=
0.05-0.001) reduction in ipsilateral paw swelling, similar to the effects of
methotrexate, dexamethasone, and diclofenac. Only the 30 mg/kg dose of AQ PSE
caused a significant (P <= 0.01) reduction in contralateral paw swelling.
Arthritic indices reduced significantly (P <= 0.05-0.001) at all drug doses,
except for the 100 and 300 mg/kg doses of ET PSE. White blood cell levels
decreased significantly (P <= 0.05-0.01) in arthritic rats treated with the 30
mg/kg dose of AQ PSE and those treated with methotrexate. Erythrocyte
sedimentation rate and C-reactive protein levels were significantly (P <= 0.01
0.001) lower in all the treatment groups except for the rats treated with AQ PSE
300 mg/kg and ET PSE 100 and 300 mg/kg doses. The arthritic animals treated with
30 mg/kg of the aqueous extract showed no inflammatory changes in the ipsilateral
paw, while the contralateral paw showed only foci of mild chronic inflammatory
changes, as seen with the reference drug treatment in histopathological studies.
CONCLUSION: This study establishes that aqueous and ethanolic extracts of P.
stratiotes have antiarthritic activity in Sprague-Dawley rats with induced
arthritis. The aqueous extract had better activity than the ethanolic extract.
PMID- 27186116
TI - A quantitative way to estimate clinical off-target effects for human membrane
brain targets in CNS research and development.
AB - Although many preclinical programs in central nervous system research and
development intend to develop highly selective and potent molecules directed at
the primary target, they often act upon other off-target receptors. The simple
rule of taking the ratios of affinities for the candidate drug at the different
receptors is flawed since the affinity of the endogenous ligand for that off
target receptor or drug exposure is not taken into account. We have developed a
mathematical receptor competition model that takes into account the competition
between active drug moiety and the endogenous neurotransmitter to better assess
the off-target effects on postsynaptic receptor activation under the correct
target exposure conditions. As an example, we investigate the possible functional
effects of the weak off-target effects for dopamine-1 receptor (D1R) in a
computer simulation of a dopaminergic cortical synapse that is calibrated using
published fast-cyclic rodent voltammetry and human imaging data in subjects with
different catechol-O-methyltransferase genotypes. We identify the conditions
under which off-target effects at the D1R can lead to clinically detectable
consequences on cognitive tests, such as the N-back working memory test. We also
demonstrate that certain concentrations of dimebolin (Dimebon), a recently tested
Alzheimer drug, can affect D1R activation resulting in clinically detectable
cognitive decrease. This approach can be extended to other receptor systems and
can improve the selection of clinical candidate compounds by potentially dialing
out harmful off-target effects or dialing-in beneficial off-target effects in a
quantitative and controlled way.
PMID- 27186117
TI - The efficacy of stingless bee honey for the treatment of bacteria-induced
conjunctivitis in guinea pigs.
AB - The efficacy of the conjunctival application of a crude concentration of
stingless bee honey (SBH) for the treatment of bacterial conjunctivitis was
investigated in an animal model. Bacterial conjunctivitis caused by
Staphylococcus aureus or Pseudomonas aeruginosa was induced in Hartley guinea
pigs. The conjunctival application of SBH or gentamicin was used for treatment,
and the results of this treatment were compared with control values. Inflammatory
signs, duration of infection (ie, positive culture), and time for the complete
resolution of infection with S. aureus or P. aeruginosa were shortened by the
conjunctival application of 1 drop (70 uL) of crude SBH twice daily. The potency
of SBH was comparable with that of gentamicin. SBH may be a rational agent for
the treatment of infective conjunctivitis in humans; it is inexpensive and
commonly available to the rural population.
PMID- 27186118
TI - Oseltamivir reduces hippocampal abnormal EEG activities after a virus infection
(influenza) in isoflurane-anesthetized rats.
AB - BACKGROUND: Oseltamivir phosphate (OP, Tamiflu((r))) is a widely used drug in the
treatment of influenza with fever. However, case reports have associated OP
intake with sudden abnormal behaviors. In rats infected by the influenza A virus
(IAV), the electroencephalogram (EEG) displayed abnormal high-voltage amplitudes
with spikes and theta oscillations at a core temperature of 39.9 degrees C to 41
degrees C. Until now, there has been no information describing the effect of OP
on intact brain hippocampal activity of IAV-infected animals during hyperthermia.
OBJECTIVE: The aim of the present study was to examine the effect of OP on
abnormal EEG activities in the hippocampus using the rat model of influenza
associated encephalopathy. METHODS: Male Wistar rats aged 3 to 4 weeks were used
for the study. Influenza A/WSN/33 strain (1 * 10(5) plaque forming unit in PBS,
60 uL) was applied intranasally to the rats. To characterize OP effects on the
IAV-infected rats, EEG activity was studied more particularly in isoflurane
anesthetized IAV-infected rats during hyperthermia. RESULTS: We found that the
hippocampal EEG of the OP-administered (10 mg/kg) IAV-infected rats showed
significant reduction of the high-voltage amplitudes and spikes, but the theta
oscillations, which had been observed only at >40 degrees C in OP non
administered rats, appeared at 38 degrees C core temperature. Atropine (30 mg/kg)
blocked the theta oscillations. CONCLUSION: Our data suggest that OP efficiently
reduces the abnormal EEG activities after IAV infection during hyperthermia.
However, OP administration may stimulate ACh release in rats at normal core
temperature.
PMID- 27186119
TI - The extracellular signal-regulated kinase (ERK) pathway: a potential therapeutic
target in hypertension.
AB - Hypertension is a risk factor for myocardial infarction, stroke, renal failure,
heart failure, and peripheral vascular disease. One feature of hypertension is a
hyperresponsiveness to contractile agents, and inhibition of vasoconstriction
forms the basis of some of the treatments for hypertension. Hypertension is also
associated with an increase in the growth and proliferation of vascular smooth
muscle cells, which can lead to a thickening of the smooth muscle layer of the
blood vessels and a reduction in lumen diameter. Targeting both the enhanced
contractile responses, and the increased vascular smooth muscle cell growth could
potentially be important pharmacological treatment of hypertension. Extracellular
signal-regulated kinase (ERK) is a member of the mitogen-activated protein kinase
family that is involved in both vasoconstriction and vascular smooth muscle cell
growth and this, therefore, makes it attractive therapeutic target for treatment
of hypertension. ERK activity is raised in vascular smooth muscle cells from
animal models of hypertension, and inhibition of ERK activation reduces both
vascular smooth muscle cell growth and vasoconstriction. This review discusses
the potential for targeting ERK activity in the treatment of hypertension.
PMID- 27186120
TI - Investigational agents in the treatment of Parkinson's disease: focus on
safinamide.
AB - The authors review management issues in Parkinson's disease (PD) and provide an
overview of the current pharmacological management strategies, with a specific
focus on safinamide. Current therapeutic management of PD largely involves
strategies to optimize the replacement of deficient dopamine, using levodopa,
dopamine agonists, and inhibitors of dopamine-metabolizing enzymes. Currently
under investigation for use in the treatment of PD, safinamide has multiple modes
of action including monoamine oxidase B inhibition. It is well absorbed orally,
has a long plasma half-life, and does not have liver enzyme-inducing or liver
enzyme-inhibiting activity. Peak plasma concentration occurs 2-4 hours after
single oral doses. Safinamide as monotherapy and as an adjunct to dopamine
agonists improves Unified Parkinson's Disease Rating Scale motor scores. One
randomized, placebo-controlled trial involving 168 patients given a median
safinamide dose of 70 mg/day (range 40-90 mg/day) significantly increased the
proportion of responders - defined as patients improving their Unified
Parkinson's Disease Rating Scale motor scores by 30% or more from baseline -
after 3 months (37.5% for safinamide versus 21.4% for placebo; P < 0.05).
Safinamide increased "on" time with no or minor dyskinesia compared with the
placebo in another trial, but dyskinesia severity was not reduced. Safinamide was
well tolerated, with an adverse effect profile similar to that of the placebo.
Further Phase III trial data for safinamide efficacy is awaited, and will be of
interest in a comparison with other developments in PD therapeutics: modified
formulations of available compounds, new drug classes such as adenosine receptor
antagonists, and gene-based therapies.
PMID- 27186121
TI - Reduction in cancer risk by selective and nonselective cyclooxygenase-2 (COX-2)
inhibitors.
AB - We conducted a series of epidemiologic studies to evaluate the chemopreventive
effects of aspirin, ibuprofen, and selective cyxlooxygenase-2 (COX-2) inhibitors
(coxibs) against cancers of the breast, colon, prostate, and lung. Composite
results across all four cancer sites revealed that regular intake of 325 mg
aspirin, 200 mg ibuprofen, or standard dosages of coxibs (200 mg celecoxib or 25
mg rofecoxib) produced risk reductions of 49%, 59%, and 64%, respectively. Use of
coxibs for at least 2 years was associated with risk reductions of 71%, 70%, 55%,
and 60% for breast cancer, colon cancer, prostate cancer and lung cancer,
respectively. Effects of ibuprofen were similar to selective coxibs, and slightly
stronger than aspirin. These observed effects are consistent with the relative
COX-2 selectivity of ibuprofen, coxibs, and aspirin. Acetaminophen, an analgesic
without COX-2 activity, had no effect. Overexpression of COX-2 and increased
prostaglandin biosynthesis correlates with carcinogenesis and metastasis at most
anatomic sites. These results indicate that regular intake of nonselective or
selective COX-2 inhibiting agents protects against the development of major forms
of cancer.
PMID- 27186122
TI - Investigational agents in metastatic basal cell carcinoma: focus on vismodegib.
AB - Vismodegib (GDC-0449, 2-chloro-N-(4-chloro-3-(pyridin-2-yl)phenyl)-4
(methylsulfonyl)benzamide, ErivedgeTM) is a novel first-in-human, first-in class,
orally bio-available Hedgehog pathway signaling inhibitor of the G-protein
coupled receptor-like protein smoothened (SMO) which was approved in the United
States on January 2012. This signaling pathway is involved in the carcinogenesis
of several types of tumor, as exemplified by basal cell carcinoma. This review
focuses on the role of the Hedgehog pathway in the pathogenesis of basal cell
carcinoma, the pharmacology and the clinical activity of vismodegib, as well as a
brief summary of investigational agents in development targeting this pathway.
PMID- 27186123
TI - Action of AferBio (fermented food) in a rat inflammatory model.
AB - BACKGROUND: AferBio is a fermented prebiotic food that contains beta-glucans,
which are oligosaccharides capable of stimulating the proliferation of beneficial
bacteria in the gastrointestinal tract. The aim of this study was to evaluate the
possible effects of this functional food on the inflammatory response in rats.
METHODS AND RESULTS: AferBio (900 mg/kg) inhibited edema formation by 34%
compared to the control group. We also observed inhibition of the primary and
secondary reactions of inflammation after the injection of Freund's adjuvant in
the animals fed AferBio. Daily administration of AferBio for 6 d inhibited the
formation of granulomatous tissue by 37%; additionally, inhibition of 31% of
neutrophil migration downstream of carrageenan-induced peritonitis was observed.
An ulcerogenic potency assay revealed that indomethacin produced a higher number
of lesions compared to treatment with AferBio. Anti-inflammatory potency analysis
showed that indomethacin inhibited 39% of carrageenan-induced edema but produced
a higher number of lesions. However, animals treated with AferBio had areas of
hyperemia without ulcerative lesions and 21% of edema was inhibited. CONCLUSION:
Based on the results obtained in this study, AferBio appears to have anti
inflammatory activity during acute and chronic inflammatory processes.
PMID- 27186124
TI - The pharmacology and therapeutic potential of (-)-huperzine A.
AB - (-)-Huperzine A (1) is an alkaloid isolated from a Chinese club moss. Due to its
potent neuroprotective activities, it has been investigated as a candidate for
the treatment of neurodegenerative diseases, including Alzheimer's disease. In
this review, we will discuss the pharmacology and therapeutic potential of (-)
huperzine A (1). Synthetic studies of (-)-huperzine A (1) aimed at enabling its
development as a pharmaceutical will be described.
PMID- 27186125
TI - Ouabain inhibits monocyte activation in vitro: prevention of the proinflammatory
mCD14(+)/CD16(+) subset appearance and cell-size progression.
AB - Classically described as a potent inhibitor of the sodium-potassium adenosine
triphosphatase enzyme, ouabain has been further shown to act as an effective
immunomodulator in mammals. Recently, our group showed that this hormone
downregulates membrane CD14 (mCD14) in human monocytes, though it is not known
whether monocyte activation status could modify ouabain influence. Hence, we
aimed to investigate ouabain effect during monocyte activation in vitro,
analyzing mCD14, CD16 and CD69 expression in total monocytes after two periods of
adhesion (2 hours and 24 hours) or in small and large monocyte subpopulations
separately. Ouabain (100 nM) inhibited monocyte-size increase, characteristic of
activation, only when added to cells immediately after 2 hours' adhesion.
Moreover, downregulation of both mCD14 and CD16 expression by ouabain was more
effective in small monocytes and in cells after 2 hours' adhesion. Since
monocytes after 24 hours' adhesion showed no lack of ouabain binding and no CD69
upregulation, it seems that ouabain is somehow incapable of triggering an
appropriate cell-signaling induction once monocytes become activated.
Furthermore, though p38 MAPK activation was crucial for the impairment in cell
size progression induced by ouabain, its inhibition did not alter ouabain-induced
CD69 upregulation, suggesting that other molecules may participate in the
response to this hormone by monocytes. Our data suggest that ouabain inhibits
monocyte activation in vitro, preventing both cell-size increase and the
appearance of the proinflammatory mCD14(+)/CD16(+) subpopulation. Thus, the
findings suggest that individuals suffering from disorders commonly associated
with high ouabain plasma levels, like hypertension, may present defective
monocyte activation under inflammation or infection.
PMID- 27186126
TI - The therapeutic potential of RORgamma modulators in the treatment of human
disease.
AB - Nuclear receptors (NR) are ligand-regulated transcription factors that bind DNA
in proximity to their target genes and exert their effects as a result of binding
by small molecule ligands such as sterols, lipids, fatty acids, retinoids, and
steroid hormones. The retinoic acid receptor-related orphan receptors or RORs
(NR1F1-NR1F3) are nuclear receptors that regulate multiple cellular processes,
including metabolism, cellular differentiation, and apoptosis, in a range of
tissues and organs. These receptors bind as monomers to ROR response elements
commonly called ROREs present in promoter regions of target genes and tether
chromatin remodeling enzymes, facilitating recruitment of transcription
machinery. Several recent reports have highlighted the potential role for RORs in
human disease, and more importantly, studies have demonstrated that these
receptors can be modulated by exogenous synthetic ligands, paving the way for
development of novel therapeutics. Here we review the current status of synthetic
ligand development as well as the structural aspects governing modulation of ROR
signaling pathways as they relate to metabolic diseases and autoimmune disorders.
PMID- 27186127
TI - Efficacy of ipamorelin, a ghrelin mimetic, on gastric dysmotility in a rodent
model of postoperative ileus.
AB - BACKGROUND: Delayed gastric emptying is a common disorder with few effective
therapeutic options. The goal of this study was to investigate whether
ipamorelin, a synthetic peptidomimetic that acts on the ghrelin receptor,
accelerates gastric emptying in a rodent model of gastroparesis induced by
abdominal surgery and intestinal manipulation. METHODS: Fasted adult male rats
were subjected to laparotomy and intestinal manipulation. Following the surgery
rats received ipamorelin (0.014-0.14 umol/kg) or vehicle control via intravenous
administration. Gastric emptying was measured by the percent of total recovered
radioactivity remaining in the stomach 15 minutes after intragastric gavage of
1.5 mL of (99m)Tc (technicium-99m) sulfur colloid in 0.5% methylcellulose. In a
separate group of rats subjected to laparotomy and intestinal manipulation, the
gastric fundus was isolated and tissue segments were suspended in an organ bath
to assess the effect of ipamorelin (1 uM) on gastric smooth muscle contractility
induced by acetylcholine and electrical field stimulation. RESULTS: Abdominal
surgery caused a delay in gastric emptying with 78% +/- 5% of the meal remaining
in the stomach in vehicle controls. Ipamorelin (0.014 umol/kg intravenous)
resulted in a significant acceleration (P < 0.05 vs vehicle-treated rat) of
gastric emptying with 52% +/- 11% of the meal remaining in the stomach compared
to nonsurgical control animals with 44% +/- 6%. Following abdominal surgery and
intestinal manipulation, isolated preparations of gastric smooth muscle exhibited
a marked inhibition of acetylcholine and electrical field stimulation-induced
contractile responses, which were reversed by ipamorelin and ghrelin. CONCLUSION:
These results suggest that ipamorelin accelerates gastric emptying in a rodent
model of postoperative ileus through the stimulation of gastric contractility by
activating a ghrelin receptor-mediated mechanism involving cholinergic excitatory
neurons.
PMID- 27186128
TI - The anticancer activity of dichloromethane crude extract obtained from Calea
pinnatifida.
AB - The genus Calea is reported for many biological activities such as
antiinflammatory, antiplasmodial, antifungal, antimicrobial, and cytotoxic
activities. Most of the pharmacological activities are credited to
germacranolides, a sesquiterpene lactone common to this genus. Dried aerial parts
of Calea pinnatifida Banks were extracted with dichloromethane, which generated
the dichloromethane crude extract (DCE). The main purpose of this study was to
evaluate the anticancer activity of DCE performed in sulforhodamine B
cytotoxicity in vitro assay against human cancer cell lines and in vivo Ehrlich
models. The DCE showed a high potency and selectivity for the melanoma and kidney
cell line. Two in vivo assays were also conducted in the Ehrlich ascites tumor
and Ehrlich solid tumor. In the Ehrlich ascites tumor assay, the treatment with
DCE increased survival rates at the highest dose (200 mg/kg). Interestingly, in
the Ehrlich solid tumor, by increasing the number of treatments from one to three
times a week, the tumor growth was inhibited by a lower dose (100 mg/kg). These
results encouraged follow-up studies with C. pinnatifida in order to identify the
active principles and to determine the anticancer mechanism of action.
PMID- 27186129
TI - Effectiveness of nootropic drugs with cholinergic activity in treatment of
cognitive deficit: a review.
AB - Nootropics represent probably the first "smart drugs" used for the treatment of
cognitive deficits. The aim of this paper is to verify, by a systematic analysis
of the literature, the effectiveness of nootropics in this indication. The
analysis was limited to nootropics with cholinergic activity, in view of the role
played by acetylcholine in learning and memory. Acetylcholine was the first
neurotransmitter identified in the history of neuroscience and is the main
neurotransmitter of the peripheral, autonomic, and enteric nervous systems. We
conducted a systematic review of the literature for the 5-year period 2006-2011.
From the data reported in the literature, it emerges that nootropics may be an
effective alternative for strengthening and enhancing cognitive performance in
patients with a range of pathologies. Although nootropics, and specifically the
cholinergic precursors, already have a long history behind them, according to
recent renewal of interest, they still seem to have a significant therapeutic
role. Drugs with regulatory indications for symptomatic treatment of Alzheimer's
disease, such as cholinesterase inhibitors and memantine, often have transient
effects in dementia disorders. Nootropics with a cholinergic profile and
documented clinical effectiveness in combination with cognate drugs such as
cholinesterase inhibitors or alone in patients who are not suitable for these
inhibitors should be taken into account and evaluated further.
PMID- 27186131
TI - Morphine treatment alters nucleotidase activities in rat blood serum.
AB - Morphine has been widely used in neonatal pain management. However, this
treatment may produce adaptive changes in several physiologic systems. Our
laboratory has demonstrated that morphine treatment in neonate rats alters
nucleoside triphosphate diphosphohydrolase (NTPDase) activity and gene expression
in central nervous system structures. Considering the relationship between the
opioid and purinergic systems, our aim was to verify whether treatment with
morphine from postnatal days 8 (P8) through 14 (P14) at a dose of 5 ug per day
alters NTPDase and 5'-nucleotidase activities in rat serum over the short,
medium, and long terms. After the in vivo assay, the morphine group showed
increased hydrolysis of all nucleotides at P30, and a decrease in adenosine 5'
diphosphate hydrolysis at P60. Moreover, we found that nucleotidase activities
change with age; adenosine 5'-triphosphate hydrolysis activity was lower at P16,
and adenosine 5'-monophosphate hydrolysis activity was higher at P60. These
changes are very important because these enzymes are the main regulators of blood
nucleotide levels and, consequently, nucleotide signaling. Our findings showed
that in vivo morphine treatment alters nucleotide hydrolysis in rat blood serum,
suggesting that purine homeostasis can be influenced by opioid treatment during
the neonatal period.
PMID- 27186130
TI - Hedgehog signaling pathway and its targets for treatment in basal cell carcinoma.
AB - Basal cell carcinoma (BCC) of the skin is the most common type of cancer and
accounts for up to 40% of all cancers in the US, with a growing incidence rate
over recent decades in all developed countries. Surgery is curative for most
patients, although it leaves unaesthetic scars, but those that develop locally
advanced or metastatic BCC require different therapeutic approaches. Furthermore,
patients with BCC present a high risk of developing additional tumors. The
increasing economic burden and the morbidity of BCC render primary interest in
the development of targeted treatments for this disease. Among the molecular
signals involved in the development of BCC, the critical role of the
morphogenetic Hedgehog (Hh) pathway has become evident. This pathway is found
altered and activated in almost all BCCs, both sporadic and inherited. Given the
centrality of the Hh pathway in the pathophysiology of BCC, the primary efforts
to identify molecular targets for the topical or systemic treatment of this
cancer have focused on the Hh components. Several Hh inhibitors have been so far
identified - from the first identified natural cyclopamine to the recently Food
and Drug Administration-approved synthetic vismodegib - most of which target the
Hh receptor Smoothened (either its function or its translocation to the primary
cilium). Other molecules await further characterization (bisamide compounds),
while drugs currently approved for other diseases such as itraconazole (an
antimicotic agent) and vitamin D3 have been tested on BCC with encouraging
results. The outcomes of the numerous ongoing clinical trials are expected to
expand the field in the very near future. Further research is needed to obtain
drugs targeting downstream components of the Hh pathway (eg, Gli) or to exploit
combinatorial therapies (eg, with phosphatidylinositol 3-kinase inhibitors or
retinoids) in order to overcome potential drug resistance.
PMID- 27186132
TI - Role of GLUT4 on angiotensin 2-induced systemic and renal hemodynamics.
AB - Cross-talk between insulin and the renin angiotensin system signaling system
shows that angiotensin 2 (A2) negatively modulates insulin signaling by
stimulating multiple serine phosphorylation events in the early stages of the
insulin-signaling cascade; however, the biological actions of A2 on insulin
sensitivity remain controversial. Preservation of glucose transporter 4 (GLUT4)
expression during hypertension has been shown to prevent the increased vascular
reactivity associated with hypertension. This study tested the hypothesis that
GLUT4 contributes to the renal actions of A2. In the euvolemic anesthetized rat,
acute infusion of the GLUT4 antagonist, indinavir (1 mg/kg/minute), enhanced an
A2-induced increase in mean arterial blood pressure (MABP) (P < 0.01), but
attenuated an A2-induced increase in medullary blood flow (MBF) and glomerular
filtration rate (P < 0.01). Insulin, a GLUT4 activator (20 mU/kg/minute and 40
mU/kg/minute), decreased basal MABP and urine volume (P < 0.05), but it increased
MBF, and these effects were reversed and blunted by indinavir. Subchronic
indinavir treatment (80 mg/kg/day orally for 15 days) did not affect A2-induced
changes in MABP, cortical blood flow, and MBF, but significantly decreased basal
MBF (P < 0.01) and global kidney perfusion (P < 0.05). We concluded that acute
but not subchronic inhibition of GLUT4 alters A2-induced changes in systemic and
renal hemodynamics by attenuating A2-induced increase in MBF and glomerular
filtration rate.
PMID- 27186133
TI - Pharmacology and rationale for imatinib in the treatment of scleroderma.
AB - Systemic sclerosis (scleroderma) is a chronic, multisystem, fibrotic disease.
Although the pathogenesis is not completely understood, early vascular damage
leads to an inflammatory reaction and a severe fibrotic response. Therapy of
systemic sclerosis is still not convincing and is mainly restricted to the
management of organ complications. A wide choice of immunosuppressive and
antifibrotic drugs has been used to try to modify the course of the disease, but
significant breakthroughs are still lacking. Imatinib is a tyrosine kinase
inhibitor known to regulate growth, proliferation, and differentiation as well as
apoptosis of cells and is already widely used for several malignancies, eg,
chronic myeloid leukemia and gastrointestinal stromal tumors. It has been used in
preclinical as well as clinical studies to modulate the fibrotic process in
patients with systemic sclerosis. This is based on its activity to interfere
selectively with both the transforming growth factor-beta and platelet-derived
growth factor signaling pathway. Preclinical studies in mouse models of
scleroderma showed significant anti-inflammatory and antifibrotic effects;
however, several clinical, proof-of-concept trials have not yet confirmed these
initially promising results.
PMID- 27186135
TI - Progress toward therapeutic potential for AFQ056 in Fragile X syndrome.
AB - Fragile X syndrome (FXS) is the most common form of inherited intellectual
disability and the leading single-gene cause of autism. It is caused by the lack
of production of the Fragile X mental retardation protein (FMRP), resulting in
cognitive deficits, hyperactivity, and autistic behaviors. Breakthrough advances
in potential therapy for FXS followed the discovery that aberrant group 1
metabotropic glutamate receptor (mGluR) signaling is an important constituent of
the pathophysiology of the syndrome. Research has indicated that upon neuronal
stimulation, FMRP acts downstream of group 1 mGluRs (mGluRs1/5) to inhibit
protein synthesis, long-term depression, and alpha-amino-3-hydroxy-5-methyl-4
isoxazolepropionic acid receptor internalization. To offset the deficits caused
by the lack of FMRP, many pharmaceutical companies have designed medicinal drugs
to target the unrestrained stimulation of mGluR5 signaling in FXS. Indeed,
promising results from animal and clinical studies suggest that mGluR5
antagonists such as AFQ056 can successfully correct many of the deficits in FXS.
In this review, we cover the animal studies performed to date that test the role
of AFQ056 as a selective mGluR5 antagonist to alleviate the phenotypes of FXS.
PMID- 27186136
TI - Uptake of tenofovir and emtricitabine into non-monocytic female genital tract
cells with and without hormonal contraceptives.
AB - BACKGROUND: Pre-exposure prophylaxis is becoming a strategic component used to
control the human immunodeficiency virus (HIV-1) epidemic. The goal of this study
was to characterize intracellular uptake of tenofovir and emtricitabine using
five surrogate cell lines of the female genital tract and determine whether
exogenous hormones influence their uptake. METHODS: Surrogate cell lines, ie, THP
1 (representing macrophages), BC-3 (CD8+), Ect1/E6E7 (squamous epithelial), HeLa
(CD4+), and TF-1 (dendritic), were incubated for one hour with tenofovir and
emtricitabine to assess uptake. In separate experiments, ethinyl estradiol (EE)
and etonogestrel (ET) individually and together (EE/ET) were added prior to,
simultaneously, and after incubation. Intracellular phosphorylated tenofovir and
emtricitabine were quantified using validated tandem mass spectrometry methods.
RESULTS: HeLa and Ect1/E6E7 cells showed significantly increased uptake relative
to THP-1 controls for both antiretrovirals. Individually, ethinyl estradiol and
etonogestrel significantly altered antiretroviral uptake across all cell lines,
except Ect1/E6E7 for tenofovir and HeLa for emtricitabine. Cellular uptake of
tenofovir and emtricitabine in BC-3 and TF-1 cells were significantly lower when
dosed one hour prior to EE/ET administration compared with each antiretroviral
administered in the absence of EE/ET (tenofovir, 80 versus 470 fmol/10(6) for BC
3 and 77 versus 506 fmol/10(6) cells for TF-1; emtricitabine, 36 versus 12
fmol/10(6) for BC-3 and 75 versus 5 fmol/10(6) cells for TF-1; P < 0.01 for
each). CONCLUSION: These data suggest that intracellular uptake of tenofovir and
emtricitabine within the female genital tract varies by cell type and in the
presence of hormonal contraceptives. The potential clinical implications of these
findings should be further evaluated in vivo.
PMID- 27186137
TI - Effect of anions or foods on absolute bioavailability of calcium from calcium
salts in mice by pharmacokinetics.
AB - We studied the absolute bioavailability of calcium from calcium L-lactate in mice
using pharmacokinetics, and reviewed the absolute bioavailability of calcium from
three other calcium salts in mice previously studied: calcium chloride, calcium
acetate, and calcium ascorbate. The results showed that calcium metabolism is
linear between intravenous administration of 15 mg/kg and 30 mg/kg, and is not
affected by anions. Results after oral calcium administration of 150 mg/kg showed
that the intestinal absorption process was significantly different among the four
calcium salts. The rank of absolute bioavailability of calcium was calcium
ascorbate > calcium L-lactate >= calcium acetate > calcium chloride. The mean
residence time (MRTab) of calcium from calcium ascorbate (32.2 minutes) in the
intestinal tract was much longer than that from calcium L-lactate (9.5 minutes),
calcium acetate (15.0 minutes) and calcium chloride (13.6 minutes). Furthermore,
the foods di-D-fructo-furanose-1,2':2,3'-dianhydride, sudachi (Citrus sudachi)
juice, and moromi-su (a Japanese vinegar) increased the absolute bioavailability
of calcium from calcium chloride by 2.46-fold, 2.86-fold, and 1.23-fold,
respectively, and prolonged MRTab by 48.5 minutes, 43.1 minutes, and 44.9
minutes, respectively. In conclusion, the prolonged MRTab of calcium in the
intestinal tract by anion or food might cause the increased absorbability of
calcium.
PMID- 27186134
TI - Curcumin: a natural substance with potential efficacy in Alzheimer's disease.
AB - Curcumin is a component of turmeric, a spice used in many types of cooking.
Epidemiological evidence suggesting that populations that eat food with a
substantial amount of curcumin were at lower risk of Alzheimer's disease (AD) led
to the idea that this compound might have a neuroprotective effect. Curcumin has
substantial antioxidant and anti-inflammatory effects, and is being used as a
potential preventative agent or treatment for many types of cancer. There is
evidence to suggest that the addition of curcumin to cultured neuronal cells
decreases brain inflammation and protects against beta-amyloid-induced
neurotoxicity. Curcumin also protects against toxicity when beta-amyloid is
administered to produce animal models of AD. Curcumin decreases beta-amyloid
formation from amyloid precursor protein, and also inhibits aggregation of beta
amyloid into pleated sheets. Studies in transgenic mice with overproduction of
beta-amyloid demonstrate a neuroprotective effect of curcumin as well. Cognitive
function was also improved in these animal models. Clinical trials of curcumin in
AD have not been very promising. It is possible that this is due to poor oral
bioavailability of curcumin in humans, and thus several approaches are being
developed to improve delivery systems or to create analogs that will mimic the
neuroprotective effects and easily reach the brain. The lack of efficacy of
curcumin in humans with AD may also result from treating for too short a time or
starting treatment too late in the course of the disease, where substantial
neuronal death has already occurred and cannot be reversed. Curcumin may be
beneficial in protecting against development or progression of AD if taken over
the long term and started before symptoms of AD become apparent.
PMID- 27186138
TI - Clevidipine resistance in a patient taking aripiprazole and methylphenidate.
AB - Various factors may be responsible for blood pressure alterations during
perioperative care. When these physiologic alterations require treatment, several
therapeutic options are available. Clevidipine is an ultrashort-acting,
intravenous L-type calcium channel antagonist of the dihydropyridine class.
Anecdotal experience has demonstrated its efficacy in various clinical scenarios
in the pediatric population. We report apparent resistance to the vasodilatory
effects of clevidipine in a 13-year-old girl who presented for anesthetic care
during posterior spinal fusion for neuromuscular scoliosis whose chronic
medication regimen included aripiprazole and methylphenidate for the treatment of
depression and attention-deficit/hyperactivity disorder. We discuss the potential
interaction of aripiprazole and methylphenidate with the calcium channel
antagonists and cellular mechanisms responsible for the resistance to the
vasodilatory effects of clevidipine.
PMID- 27186139
TI - BiochronomerTM technology and the development of APF530, a sustained release
formulation of granisetron.
AB - Granisetron and other 5-hydroxytryptamine type 3 (5-HT3) receptor antagonists are
first-line agents for preventing chemotherapy-induced nausea and vomiting (CINV).
Current treatment guidelines prefer the longer-acting agent, palonosetron, for
CINV prevention in some chemotherapy regimens. A new granisetron formulation,
APF530, has been developed as an alternative long-acting agent. APF530 utilizes
Biochronomer(TM) technology to formulate a viscous tri(ethylene glycol)
poly(orthoester)-based formulation that delivers - by single subcutaneous (SC)
injection - therapeutic granisetron concentrations over 5 days. The
poly(orthoester) polymer family contain an orthoester linkage; these bioerodible
polymer systems are specifically designed for controlled, sustained drug
delivery. Pharmacokinetics and pharmacodynamics of APF530 250, 500, or 750 mg SC
(granisetron 5, 10, or 15 mg, respectively) administered 30-60 minutes before
chemotherapy were evaluated in two Phase II trials in cancer patients receiving
moderately (MEC) or highly (HEC) emetogenic chemotherapy. Pharmacokinetics were
dose proportional, with slow granisetron absorption and elimination. Both trials
demonstrated similar results for median half-life, time to maximum concentration,
and exposure for APF530 250 and 500 mg, with no differences between patients
receiving MEC or HEC. A randomized Phase III trial demonstrated noninferiority of
APF530 500 mg SC (granisetron 10 mg) to intravenous palonosetron 0.25 mg in
preventing CINV in patients receiving MEC or HEC in acute (0-24 hours) and
delayed (24-120 hours) settings, with activity over 120 hours. Mean maximum
granisetron plasma concentrations were 10.8 and 17.8 ng/mL, and mean half-lives
were 30.8 and 35.9 hours after SC administration of APF530 250 and 500 mg,
respectively. Therapeutic granisetron concentrations were maintained for greater
than 120 hours (5 days) in both APF530 dose groups. These data suggest that
APF530 - an SC-administered formulation of granisetron delivered via Biochronomer
technology - represents an effective treatment option for the prevention of both
acute and delayed CINV in patients receiving either MEC or HEC.
PMID- 27186140
TI - Single exposure of dopamine D1 antagonist prevents and D2 antagonist attenuates
methylphenidate effect.
AB - Methylphenidate (MPD) is a readily prescribed drug for the treatment of attention
deficit hyperactivity disorder (ADHD) and moreover is used illicitly by youths
for its cognitive-enhancing effects and recreation. MPD exposure in rodents
elicits increased locomotor activity. Repetitive MPD exposure leads to further
augmentation of their locomotor activity. This behavioral response is referred to
as behavioral sensitization. Behavioral sensitization is used as an experimental
marker for a drug's ability to elicit dependence. There is evidence that dopamine
(DA) is a key player in the acute and chronic MPD effect; however, the role of DA
in the effects elicited by MPD is still debated. The objective of this study was
to investigate the role of D1 and/or D2 DA receptors in the acute and chronic
effect of MPD on locomotor activity. The study lasted for 12 consecutive days.
Seven groups of male Sprague Dawley((r)) rats were used. A single D1 or D2
antagonist was given before and after acute and chronic MPD administration.
Single injection of D1 DA antagonist was able to significantly attenuate the
locomotor activity when given prior to the initial MPD exposure and after
repetitive MPD exposure, while the D2 DA antagonist partially attenuated the
locomotor activity only when given before the second MPD exposure. The results
show the role, at least in part, of the D1 DA receptor in the mechanism of
behavioral sensitization, whereas the D2 DA receptor only partially modulates the
response to acute and chronic MPD.
PMID- 27186141
TI - Antihyperalgesic effects of ProTx-II, a Nav1.7 antagonist, and A803467, a Nav1.8
antagonist, in diabetic mice.
AB - The present study investigated the effects of intrathecal administration of ProTx
II (tarantula venom peptide) and A803467 (5-[4-chloro-phenyl]-furan-2-carboxylic
acid [3,5-dimethoxy-phenyl]-amide), selective Nav1.7 and Nav1.8 antagonists,
respectively, on thermal hyperalgesia in a painful diabetic neuropathy model of
mice. Intrathecal administration of ProTx-II at doses from 0.04 to 4 ng to
diabetic mice dose-dependently and significantly increased the tail-flick
latency. Intrathecal administration of A803467 at doses from 10 to 100 ng to
diabetic mice also dose-dependently and significantly increased the tail-flick
latency. However, intrathecal administration of either ProTx-II (4 ng) or A803467
(100 ng) had no effect on the tail-flick latency in nondiabetic mice. The
expression of either the Nav1.7 or Nav1.8 sodium channel protein in the dorsal
root ganglion in diabetic mice was not different from that in nondiabetic mice.
The present results suggest that ProTx-II and A803467, highly selective blockers
of Nav1.7 and Nav1.8 sodium channels, respectively, in the spinal cord, can have
antihyperalgesic effects in diabetic mice.
PMID- 27186142
TI - Current knowledge on the neuroprotective and neuroregenerative properties of
citicoline in acute ischemic stroke.
AB - Ischemic stroke is one of the leading causes of long-lasting disability and
death. Two main strategies have been proposed for the treatment of ischemic
stroke: restoration of blood flow by thrombolysis or mechanical thrombus
extraction during the first few hours of ischemic stroke, which is one of the
most effective treatments and leads to a better functional and clinical outcome.
The other direction of treatment, which is potentially applicable to most of the
patients with ischemic stroke, is neuroprotection. Initially, neuroprotection was
mainly targeted at protecting gray matter, but during the past few years there
has been a transition from a neuron-oriented approach toward salvaging the whole
neurovascular unit using multimodal drugs. Citicoline is a multimodal drug that
exhibits neuroprotective and neuroregenerative effects in a variety of
experimental and clinical disorders of the central nervous system, including
acute and chronic cerebral ischemia, intracerebral hemorrhage, and global
cerebral hypoxia. Citicoline has a prolonged therapeutic window and is active at
various temporal and biochemical stages of the ischemic cascade. In acute
ischemic stroke, citicoline provides neuroprotection by attenuating glutamate
exitotoxicity, oxidative stress, apoptosis, and blood-brain barrier dysfunction.
In the subacute and chronic phases of ischemic stroke, citicoline exhibits
neuroregenerative effects and activates neurogenesis, synaptogenesis, and
angiogenesis and enhances neurotransmitter metabolism. Acute and long-term
treatment with citicoline is safe and in most clinical studies is effective and
improves functional outcome.
PMID- 27186143
TI - Aryl hydrocarbon receptor antagonism and its role in rheumatoid arthritis.
AB - Although rheumatoid arthritis (RA) is the most common autoimmune disease,
affecting approximately 1% of the population worldwide, its pathogenic mechanisms
are poorly understood. Tobacco smoke, an environmental risk factor for RA,
contains several ligands of aryl hydrocarbon receptor (Ahr), also known as dioxin
receptor. Ahr plays critical roles in the immune system. We previously
demonstrated that Ahr in helper T-cells contributes to development of collagen
induced arthritis, a mouse model of RA. Other studies have shown that cigarette
smoke condensate and pure Ahr ligands exacerbate RA by altering bone metabolism
and inducing proinflammatory responses in fibroblast-like synoviocytes.
Consistent with these findings, several Ahr antagonists such as alpha
naphthoflavone, resveratrol, and GNF351 reverse the effect of Ahr ligands in RA
pathogenesis. In this review, we summarize the current knowledge of Ahr function
in the immune system and the potential clinical benefits of Ahr antagonism in
treating RA.
PMID- 27186145
TI - Changes in blood biochemical markers before, during, and after a 2-day
ultramarathon.
AB - We studied changes in blood markers of 18 nonprofessional, middle-aged runners of
a 2-day, 130 km ultramarathon. Blood was sampled at baseline, after the goals on
the first and second day, and at three time points (1, 3, and 5/6 days) after the
race. Blood indices showed three patterns. First pattern indices showed
essentially no changes after the two goals and after the race, including red
blood cell indices, gamma-glutamyl transferase, and tumor necrosis factor-alpha.
Second pattern markers, including the majority of indices, were elevated during
the race (and also after the race for some parameters) and then returned to
baseline afterward, including hemolysis/red blood cell destruction markers
(indirect bilirubin) and an iron reservoir index (ferritin), muscle damage
parameters (uric acid, creatine kinase, lactate dehydrogenase, and aspartate
aminotransferase), renal function markers (creatinine and blood urea nitrogen),
liver injury index (alanine aminotransferase), lipid metabolism indices (free
fatty acid), reactive oxygen species and inflammation parameters (white blood
cells, interleukin-6, and C-reactive protein), and energy production and
catecholamines (adrenaline, noradrenaline, and dopamine). Third pattern index of
a lipid metabolism marker - triglyceride - decreased during the race periods and
started returning to baseline from then onward. Some hormonal markers such as
insulin, leptin, and adiponectin showed unique patterns. These findings appeared
informative for nonprofessional athletes to know about an optimal physical
activity level, duration, and total exercise for elevating physical performance
and monitoring physical/mental conditioning as well as for prevention of
overtraining and physical injuries.
PMID- 27186146
TI - Coping with occupational stress: the role of optimism and coping flexibility.
AB - The current study aimed at measuring whether coping flexibility is a reliable and
valid construct in a UK sample and subsequently investigating the association
between coping flexibility, optimism, and psychological health - measured by
perceived stress and life satisfaction. A UK university undergraduate student
sample (N=95) completed an online questionnaire. The study is among the first to
examine the validity and reliability of the English version of a scale measuring
coping flexibility in a Western population and is also the first to investigate
the association between optimism and coping flexibility. The results revealed
that the scale had good reliability overall; however, factor analysis revealed no
support for the existing two-factor structure of the scale. Coping flexibility
and optimism were found to be strongly correlated, and hierarchical regression
analyses revealed that the interaction between them predicted a large proportion
of the variance in both perceived stress and life satisfaction. In addition,
structural equation modeling revealed that optimism completely mediated the
relationship between coping flexibility and both perceived stress and life
satisfaction. The findings add to the occupational stress literature to further
our understanding of how optimism is important in psychological health.
Furthermore, given that optimism is a personality trait, and consequently
relatively stable, the study also provides preliminary support for the potential
of targeting coping flexibility to improve psychological health in Western
populations. These findings must be replicated, and further analyses of the
English version of the Coping Flexibility Scale are needed.
PMID- 27186144
TI - The pathophysiology of thrombocytopenia in chronic liver disease.
AB - Thrombocytopenia is the most common hematological abnormality encountered in
patients with chronic liver disease (CLD). In addition to being an indicator of
advanced disease and poor prognosis, it frequently prevents crucial
interventions. Historically, thrombocytopenia has been attributed to
hypersplenism, which is the increased pooling of platelets in a spleen enlarged
by congestive splenomegaly secondary to portal hypertension. Over the past
decade, however, there have been significant advances in the understanding of
thrombopoiesis, which, in turn, has led to an improved understanding of
thrombocytopenia in cirrhosis. Multiple factors contribute to the development of
thrombocytopenia and these can broadly be divided into those that cause decreased
production, splenic sequestration, and increased destruction. Depressed
thrombopoietin levels in CLD, together with direct bone marrow suppression,
result in a reduced rate of platelet production. Thrombopoietin regulates both
platelet production and maturation and is impaired in CLD. Bone marrow
suppression can be caused by viruses, alcohol, iron overload, and medications.
Splenic sequestration results from hypersplenism. The increased rate of platelet
destruction in cirrhosis also occurs through a number of pathways: increased
shear stress, increased fibrinolysis, bacterial translocation, and infection
result in an increased rate of platelet aggregation, while autoimmune disease and
raised titers of antiplatelet immunoglobulin result in the immunologic
destruction of platelets. An in-depth understanding of the complex
pathophysiology of the thrombocytopenia of CLD is crucial when considering
treatment strategies. This review outlines the recent advances in our
understanding of thrombocytopenia in cirrhosis and CLD.
PMID- 27186148
TI - Midostaurin: an emerging treatment for acute myeloid leukemia patients.
AB - Acute myeloid leukemia (AML) is a hematologic malignancy that carries a poor
prognosis and has garnered few treatment advances in the last few decades.
Mutation of the internal tandem duplication (ITD) region of fms-like tyrosine
kinase (FLT3) is considered high risk for decreased response and overall
survival. Midostaurin is a Type III receptor tyrosine kinase inhibitor found to
inhibit FLT3 and other receptor tyrosine kinases, including platelet-derived
growth factor receptors, cyclin-dependent kinase 1, src, c-kit, and vascular
endothelial growth factor receptor. In preclinical studies, midostaurin exhibited
broad-spectrum antitumor activity toward a wide range of tumor xenografts, as
well as an FLT3-ITD-driven mouse model of myelodysplastic syndrome (MDS).
Midostaurin is orally administered and generally well tolerated as a single
agent; hematologic toxicity increases substantially when administered in
combination with standard induction chemotherapy. Clinical trials primarily have
focused on relapsed/refractory AML and MDS and included single- and combination
agent studies. Administration of midostaurin to relapsed/refractory MDS and AML
patients confers a robust anti-blast response sufficient to bridge a minority of
patients to transplant. In combination with histone deacetylase inhibitors,
responses appear comparable to historic controls, while the addition of
midostaurin to standard induction chemotherapy may prolong survival in FLT3-ITD
mutant patients. The response of some wild-type (WT)-FLT3 patients to midostaurin
therapy is consistent with midostaurin's ability to inhibit WT-FLT3 in vitro, and
also may reflect overexpression of WT-FLT3 in those patients and/or off-target
effects such as inhibition of kinases other than FLT3. Midostaurin represents a
well-tolerated, easily administered oral agent with the potential to bridge
mutant and WT-FLT3 AML patients to transplant and possibly deepen response to
induction chemotherapy. Ongoing studies are investigating midostaurin's role in
pretransplant induction and posttransplant consolidation therapy.
PMID- 27186147
TI - Neurophysiological basis of rapid eye movement sleep behavior disorder: informing
future drug development.
AB - Rapid eye movement (REM) sleep behavior disorder (RBD) is a parasomnia
characterized by a history of recurrent nocturnal dream enactment behavior and
loss of skeletal muscle atonia and increased phasic muscle activity during REM
sleep: REM sleep without atonia. RBD and associated comorbidities have recently
been identified as one of the most specific and potentially sensitive risk
factors for later development of any of the alpha-synucleinopathies: Parkinson's
disease, dementia with Lewy bodies, and other atypical parkinsonian syndromes.
Several other sleep-related abnormalities have recently been identified in
patients with RBD/Parkinson's disease who experience abnormalities in sleep
electroencephalographic frequencies, sleep-wake transitions, wake and sleep
stability, occurrence and morphology of sleep spindles, and electrooculography
measures. These findings suggest a gradual involvement of the brainstem and other
structures, which is in line with the gradual involvement known in these
disorders. We propose that these findings may help identify biomarkers of
individuals at high risk of subsequent conversion to parkinsonism.
PMID- 27186150
TI - Deaf adolescents in a hearing world: a review of factors affecting psychosocial
adaptation.
AB - Adolescence has long been viewed as a time of rapid change in many domains
including physical, cognitive, and social. Adolescents must adapt based on
developing skills and needs and acclimate to growing environmental pressures.
Deaf adolescents are often faced with the additional challenge of managing these
adaptations in a hearing world, where communication and access to information,
especially about their social world, are incomplete at best and nonexistent at
worst. This article discusses the research on several factors that influence a
deaf adolescent's adaptation, including quality of life, self-concept, and
identity development. Gaps in our knowledge are pointed out with suggestions for
future research programs that can facilitate optimal development in adolescents
who are deaf.
PMID- 27186149
TI - Psychological predictors of headache remission in children and adolescents.
AB - OBJECTIVE: Longitudinal studies on headaches often focus on the identification of
risk factors for headache occurrence or "chronification". This study in
particular examines psychological variables as potential predictors of headache
remission in children and adolescents. METHODS: Data on biological, social, and
psychological variables were gathered by questionnaire as part of a large
population-based study (N=5,474). Children aged 9 to 15 years who suffered from
weekly headaches were selected for this study sample, N=509. A logistic
regression analysis was conducted with remission as the dependent variable. In
the first step sex, age, headache type, and parental headache history were
entered as the control variables as some data already existed showing their
predictive power. Psychological factors (dysfunctional coping strategies,
internalizing symptoms, externalizing symptoms, anxiety sensitivity,
somatosensory amplification) were entered in the second step to evaluate their
additional predictive value. RESULTS: Highly dysfunctional coping strategies
reduced the relative probability of headache remission. All other selected
psychological variables reached no significance, ie, did not contribute
additionally to the explanation of variance of the basic model containing sex and
headache type. Surprisingly, parental headache and age were not predictive. The
model explained only a small proportion of the variance regarding headache
remission (R(2) =0.09 [Nagelkerke]). CONCLUSION: Successful coping with stress in
general contributed to remission of pediatric headache after 2 years in children
aged between 9 and 15 years. Psychological characteristics in general had only
small predictive value. The issue of remission definitely needs more scientific
attention in empirical studies.
PMID- 27186151
TI - Development of a novel sports medicine rotation for emergency medicine residents.
AB - Musculoskeletal complaints are the most common reason for patients to visit a
physician, yet competency in musculoskeletal medicine is invariably reported as a
deficiency in medical education in the USA. Sports medicine clinical rotations
improve both medical students' and residents' musculoskeletal knowledge. Despite
the importance of this knowledge, a standardized sports medicine curriculum in
emergency medicine (EM) does not exist. Hence, we developed a novel sports
medicine rotation for EM residents to improve their musculoskeletal educational
experience and to improve their knowledge in musculoskeletal medicine by teaching
the evaluation and management of many common musculoskeletal disorders and
injuries that are encountered in the emergency department. The University of
Arizona has two distinct EM residency programs, South Campus (SC) and University
Campus (UC). The UC curriculum includes a traditional 4-week orthopedic rotation,
which consistently rated poorly on evaluations by residents. Therefore, with the
initiation of a new EM residency at SC, we replaced the standard orthopedic
rotation with a novel sports medicine rotation for EM interns. This rotation
includes attendance at sports medicine clinics with primary care and orthopedic
sports medicine physicians, involvement in sport event coverage, assigned reading
materials, didactic experiences, and an on-call schedule to assist with
reductions in the emergency department. We analyzed postrotation surveys
completed by residents, postrotation evaluations of the residents completed by
primary care sports medicine faculty and orthopedic chief residents, as well as
the total number of dislocation reductions performed by each graduating resident
at both programs over the last 5 years. While all residents in both programs
exceeded the ten dislocation reductions required for graduation, residents on the
sports medicine rotation had a statistically significant higher rate of
satisfaction of their educational experience when compared to the traditional
orthopedics rotation. All SC residents successfully completed their sports
medicine rotation, had completed postrotation evaluations by attending
physicians, and had no duty hour violations while on sports medicine. In our
experience, a sports medicine rotation is an effective alternative to the
traditional orthopedics rotation for EM residents.
PMID- 27186152
TI - Ramadan fasting is not usually associated with the risk of cardiovascular events:
A systematic review and meta-analysis.
AB - Over one billion Muslims worldwide fast during the month of Ramadan. Ramadan
fasting brings about some changes in the daily lives of practicing Muslims,
especially in their diet and sleep patterns, which are associated with the risk
of cardiovascular diseases. Over the years, many original studies have made the
effort to identify the possible impact of the Ramadan fast on cardiovascular
diseases. This systematic review and meta-analysis is an attempt to present the
summary of key findings from those articles and an appraisal of selected
literature. A systematic search using keywords of ";Ramadan fasting" and
";cardiovascular diseases" was conducted in primary research article and gray
literature repositories, in combination with hand searching and snow balling.
Fifteen studies were finally selected for data extraction on the outcomes of
stroke, myocardial infarction, and congestive heart failure. The analysis
revealed that the incidence of cardiovascular events during the Ramadan fast was
similar to the nonfasting period. Ramadan fast is not associated with any change
in incidence of acute cardiovascular disease.
PMID- 27186153
TI - The association between physical activity and overweight and obesity in a
population of children at high and low altitudes in Southwestern Saudi Arabia.
AB - OBJECTIVE: To assess the relationship between overweight and obesity and physical
activity in Saudi children born and permanently domiciled at high and low
altitudes in Southwestern Saudi Arabia. SUBJECTS AND METHODS: A cross-sectional
study of 145 healthy Saudi children aged 10-15 years who were born and lived
permanently at high altitude (3000-3100 m) and 154 healthy Saudi children of
comparable age who were born and lived permanently at a relatively low altitude
(500 m) was conducted. For each subject selected, body weight and body height
were measured using an Avery beam weighing scale and a stadiometer, respectively.
Body mass index (BMI) was calculated using the equation BMI = (weight [kg]/height
[m(2)]). Physical activity scores were determined using International Physical
Activity Questionnaire-Short Form-A. Resting radial pulse rate (beat/minutes) was
determined clinically. RESULTS: Physical activity was significantly and inversely
associated with overweight and obesity in boys at both high (chi(2) = 15.8, P<
0.001) and low (chi(2) = 14.7, P< 0.001) altitudes, but there was no clear trend
for girls at either altitude. The lack of association between physical activity
and overweight and obesity in girls was attributed to the low and homogeneous
level of physical activity. CONCLUSION: Physical activity should be encouraged as
a strategy for weight reduction in the overweight and the obese and the
prevention of overweight and obesity in Saudi children at high and low altitudes.
PMID- 27186154
TI - Proportion and determinants of tuberculosis among human immunodeficiency virus
positive patients attending the antiretroviral therapy center attached to a
Medical College in South India.
AB - BACKGROUND: The human immunodeficiency virus/acquired immunodeficiency syndrome
(HIV/AIDS) pandemic has caused a re-emergence of tuberculosis (TB). In persons
infected with both HIV and TB, the lifetime risk of developing TB disease is 50
70% compared to 10% in HIV-negative individuals. India has world's 3(rd) highest
HIV burden and is also one of the countries endemic for TB, so the country faces
a dual epidemic of HIV and TB. OBJECTIVES: To find out the proportion and
determinants of TB in HIV-positive subjects. SUBJECTS AND METHODS: This study was
undertaken at the ART center from June 01, 2012, to May 31, 2013. HIV-positive
subjects aged above 15 years who had been on antiretroviral therapy (ART) for
more than 6 months were included in the study. Nonprobability purposive sampling
was adopted. A predesigned semi-structured questionnaire was used to obtain data.
RESULTS: A total of 536 HIV-positive people were interviewed, 58.8% of whom were
males, 79.1% were Hindu, 61.0% had up to high school education, and 57% were
unskilled laborers. About 63% were married, 40% were from the upper lower class,
and 60% were from urban areas. For the majority (89.1%), the probable mode of
transmission of HIV was by the heterosexual route. TB co-infection was present in
38.4% subjects. The most common form of TB was extra-pulmonary in subjects on
antituberculous treatment (47.3%) and among old cases (57.6%). On bivariate
analysis, 136 (42.4%) married subjects and those from rural areas were more
commonly affected by TB compared to subjects who were unmarried and from urban
areas with odds ratio (OR): 1.555, confidence interval (CI): 1.077-2.246 and OR:
1.523, CI: 1.061-2.185, respectively. The proportion of TB was high among
subjects who lived in overcrowded houses 130 (44.2%), and who had a habit of
alcohol use compared to others with OR: 1.731, CI: 1.734-2.179 and OR: 1.524, CI:
1.045-2.223, respectively. Logistic regression analysis showed that TB among
people living with HIV/AIDS was highest in persons living in overcrowded houses
(OR: 1.706, CI: 1.185-2.458) and those who consumed alcohol (OR: 1.605, CI: 1.090
2.362). CONCLUSIONS: Demographic factors like male gender, middle age, living in
the rural areas, consumption of alcohol, and living in overcrowded houses were
found with a higher proportion of TB. The use of highly active ART appeared to
progressively decrease but did not completely eliminate the risk of TB.
PMID- 27186155
TI - Can the management of blood sugar levels in gestational diabetes mellitus cases
be an indicator of maternal and fetal outcomes? The results of a prospective
cohort study from India.
AB - BACKGROUND: Gestational diabetes mellitus (GDM) is emerging as an important
public health problem in India owing to its increasing prevalence since the last
decade. The issue addressed in the study was whether the management of blood
sugar levels in GDM cases can predict maternal and fetal outcomes. MATERIALS AND
METHODS: A prospective cohort study was done for 1 year from October 1, 2013, to
September 31, 2014, at 652 diabetic screening units as a part of the Gestational
Diabetes Prevention and Control Project approved by the Indian Government in the
district of Kanpur, state of Uttar Pradesh. A total of 57,108 pregnant women were
screened during their 24-28(th) weeks of pregnancy by impaired oral glucose test.
All types of maternal and perinatal outcomes were followed up in both GDM and non
GDM categories in the 2(nd) year (2013-2014) after blood sugar levels were
controlled. RESULTS: It was seen that for all kinds of maternal and fetal
outcomes, the differences between GDM cases and non-GDM cases were highly
significant (P < 0.0001, relative risk >1 in every case). Moreover, perinatal
mortality also increased significantly from 5.7% to 8.9% when blood sugar levels
increased from 199 mg/dl and above. Perinatal and maternal outcomes in GDM cases
were also significantly related to the control of blood sugar levels (P <
0.0001). CONCLUSION: Blood sugar levels can be an indicator of maternal and
perinatal morbidity and mortality in GDM cases, provided unified diagnostic
criteria are used by Indian laboratories. However, to get an accurate picture on
this issue, all factors need further study.
PMID- 27186156
TI - Gender inequality in acute coronary syndrome patients at Omdurman Teaching
Hospital, Sudan.
AB - BACKGROUND: Gender differences among patients with the acute coronary syndrome is
still being debated, no research has been done on gender inequality among
coronary syndrome patients in Sudan. OBJECTIVES: To study gender differences in
presentation, management, and outcomes of acute coronary syndrome in Sudan.
SUBJECTS AND METHODS: This cross-sectional descriptive longitudinal study was
conducted in Omdurman Teaching Hospital between July 2014 and August 2015.
Patients were invited to sign a written informed consent form, were interviewed
and examined by a physician, and then followed during their hospital stay.
Information collected includes coronary risk factors, vital signs,
echocardiography findings, arrhythmias, heart failure, cardiogenic shock, and
death. The Ethical Committee of Omdurman Teaching Hospital approved the research.
RESULTS: A total of 197 consecutive acute coronary syndrome patients were
included, 43.1% were females. A significant statistical difference was evident
between males and females regarding the type of acute coronary syndrome, its
presentation, and time of presentation to the hospital, smoking, and receipt of
thrombolysis (P < 0.05). No differences were found with regard to age,
hypertension, diabetes, family history of myocardial infarction, percutaneous
coronary intervention, and in-hospital acute coronary complications (P > 0.05).
CONCLUSION: Women were less likely to receive thrombolytic therapy, present with
chest pain, and diagnosed with ST-segment elevation myocardial infarction. No
gender differences were found in acute coronary syndrome risk factors apart from
smoking, which was more common in males, and there were no differences between
males and females as regards in-hospital complications.
PMID- 27186158
TI - Preconception care in Saudi women with diabetes mellitus.
AB - BACKGROUND: The rate of preexisting diabetes mellitus (DM) in Saudi Arabia is one
of the highest in the world. The role of preconception care (PCC) is well
established as a means of improving pregnancy outcomes in DM. OBJECTIVES: To
assess the rate of preconception counseling, the level of PCC knowledge, and the
rate of unplanned pregnancies in Saudi women with DM. MATERIALS AND METHODS: A
cross-sectional study was conducted among 355 Saudi women aged 18-49 years with
self-reported DM. The study questionnaire contained variables about the provision
of preconception counseling, knowledge of PCC facts, and the number of unplanned
pregnancies after developing DM. The level of PCC knowledge was evaluated using a
modified Likert scale. Statistical Package for Social Sciences 20 was used for
statistical analysis. Descriptive statistics, mean and standard deviation, and
percentages were calculated; t-test was used for statistical significance.
RESULTS: About one-third of the participants had received preconception
counseling after being diagnosed with DM. Counseling on PCC for older and married
participants was significantly less. Of the 355 participants, 42.8% had little or
no PCC knowledge. All pregnancies that occurred after developing DM were
unplanned. CONCLUSIONS: The rate of preconception counseling, the level of PCC
knowledge in the studied Saudi women with DM is suboptimal, and none of the
pregnancies that occurred after developing DM was planned. The study highlights
the need for PCC programs that target all Saudi women of child-bearing age with
DM, and their families starting at the age of puberty and at diagnosis of type
2DM, to optimize women's health and improve pregnancy outcomes.
PMID- 27186159
TI - The unexpected truth about dates and hypoglycemia.
AB - BACKGROUND: Dates are a concentrated source of essential nutrients, vitamins,
minerals, and carbohydrates (CHOs), which are necessary for the maintenance of
optimum health. Most of the CHOs in dates come from sugars including glucose and
fructose. Dates are commonly consumed in Saudi Arabia, particularly at the time
of breaking the fast to provide instant energy and maintain blood sugar level.
However, dates may cause hypoglycemia in a rare condition named as heredity
fructose intolerance (HFI), and a few families have been to see us with a history
of that nature. This is to report the preliminary results of an on-going study of
a group of patients who get symptoms of hypoglycemia following the ingestion of
dates and have suffered for years without an accurate diagnosis. METHODOLOGY:
This report is based on three patients, from the same family, living in a date
growing region of the Kingdom of Saudi Arabia (KSA). The patients had been to
several medical centers without getting any definite answers or diagnosis until
they were referred to the Gastroenterology Clinic of King Fahd Hospital of the
University, Al-Khobar, KSA. The data were obtained by careful history and
laboratory investigations, and a final diagnosis of HFI made on fructose
intolerance test (FIT). RESULTS: The patients reported that they had avoided
eating dates because of various symptoms, such as bloating, nausea, and even
hypoglycemia when larger amounts were consumed. Their other symptoms included
sleepiness, sweating, and shivering. After full examinations and necessary
laboratory tests based on the above symptoms, FIT was performed and the patients
were diagnosed with HFI. They were referred to a dietitian who advised a fructose
free diet. They felt well and were free of symptoms. CONCLUSION: HFI may remain
undiagnosed until adulthood and may lead to disastrous complications and even
death. The diagnosis can only be suspected after a careful dietary history is
taken supported by FIT. This can prevent serious complications. Restricting
dietary fructose may give relief from symptoms in a high proportion of patients
with this disorder.
PMID- 27186157
TI - Seroprevalence of erythrovirus B19 in Saudi pregnant women.
AB - BACKGROUND: Erythrovirus B19 infection is associated with clinical symptoms that
range from mild to severe. The common clinical presentation of B19 virus (B19V)
infection is erythema infectiosum, arthropathy, aplastic crisis, and fetal
infection. Infection in seronegative pregnant women can lead to fetal hydrops.
OBJECTIVES: To determine the seroprevalence of immunoglobulin G (IgG) to
erythrovirus B19 in Saudi pregnant women in the cities of Makkah and Jeddah in
Saudi Arabia. MATERIALS AND METHODS: A total of 364 blood (serum) samples were
tested for erythrovirus B19-specific-IgG antibody in Saudi pregnant women in the
cities of Makkah and Jeddah in Saudi Arabia. RESULTS: Erythrovirus B19-specific
IgG antibodies were detected in 182/364 (50%) of Saudi pregnant women of
different age groups. CONCLUSION: This study indicated that B19V is clearly
circulating in the community in a way that is similar to what is found in most
nontemperate countries.
PMID- 27186161
TI - Author's Reply.
PMID- 27186160
TI - Vitamin D for infants.
PMID- 27186162
TI - Esophageal eosinophilia in secondary adrenal insufficiency.
PMID- 27186163
TI - Erratum: Control of type 2 diabetes in King Abdulaziz Housing City (Iskan)
population, Saudi Arabia.
AB - [This corrects the article on p. 1 in vol. 23, PMID: 26929722.].
PMID- 27186165
TI - Retraction: Non-specific Immune Response of Rainbow Trout (Oncorhynchus Mykiss)
by Dietary Heat-inactivated Potential Probiotics. Immune Network 2008;8(3):67-74.
PMID- 27186164
TI - Identification of brain-enriched proteins in the cerebrospinal fluid proteome by
LC-MS/MS profiling and mining of the Human Protein Atlas.
AB - BACKGROUND: Cerebrospinal fluid (CSF) is a proximal fluid which communicates
closely with brain tissue, contains numerous brain-derived proteins and thus
represents a promising fluid for discovery of biomarkers of central nervous
system (CNS) diseases. The main purpose of this study was to generate an
extensive CSF proteome and define brain-related proteins identified in CSF,
suitable for development of diagnostic assays. METHODS: Six non-pathological CSF
samples from three female and three male individuals were selected for CSF
analysis. Samples were first subjected to strong cation exchange chromatography,
followed by LC-MS/MS analysis. Secreted and membrane-bound proteins enriched in
the brain tissues were retrieved from the Human Protein Atlas. RESULTS: In total,
2615 proteins were identified in the CSF. The number of proteins identified per
individual sample ranged from 1109 to 1421, with inter-individual variability
between six samples of 21 %. Based on the Human Protein Atlas, 78 brain-specific
proteins found in CSF samples were proposed as a signature of brain-enriched
proteins in CSF. CONCLUSION: A combination of Human Protein Atlas database and
experimental search of proteins in specific body fluid can be applied as an
initial step in search for disease biomarkers specific for a particular tissue.
This signature may be of significant interest for development of novel
diagnostics of CNS diseases and identification of drug targets.
PMID- 27186166
TI - Effects of metformin and sitagliptin on glycolipid metabolism in type 2 diabetic
rats on different diets.
AB - INTRODUCTION: The aim of the study was to investigate the effects of metformin
and sitagliptin on glycolipid metabolism in type 2 diabetes after different
diets. MATERIAL AND METHODS: Seventy Male Sprague Dawley rats were fed with a
high fat diet followed by streptozotocin treatment to induce type 2 diabetes.
Then all rats were randomly divided into a control group, a metformin group (200
mg/kg), and a sitagliptin group (10 mg/kg). Each group was further divided into 4
groups receiving one load of high carbohydrate diet (45% glucose, 4.5 ml/kg),
high fat diet (20% lipid emulsion, 4.5 ml/kg), high protein diet (20% whey
protein, 10 ml/kg) or mixed meal, respectively. The caloric densities were all 33
kJ/kg. Postprandial blood glucose (P2BG), triglyceride (TG), glucagon-like
peptide-1 (GLP-1), glucagon and insulin levels were measured. RESULTS: In the
high carbohydrate group, sitagliptin was more efficient in lowering P2BG compared
with metformin (p < 0.05). In the high-fat group, metformin was more powerful in
lowering TG (p < 0.05) and P2BG (p < 0.05) levels because of its improvement of
insulin sensitivity. In the high protein diet group, metformin did not reduce the
P2BG level (p > 0.05), although it did reduce the TG level (p < 0.05). In the
mixed diet group, metformin was more efficient in lowering P2BG (p < 0.05) but
had a similar effect on TG (p > 0.05) compared with sitagliptin. CONCLUSIONS: In
the type 2 diabetic model, metformin and sitagliptin have different effects on
glycolipid metabolism after different diets. If it is proved in type 2 diabetic
patients, then different medicines may be recommended according to different
diets in order to improve glycolipid metabolism.
PMID- 27186168
TI - Remote effect of kidney ischemia-reperfusion injury on pancreas: role of
oxidative stress and mitochondrial apoptosis.
AB - INTRODUCTION: Recent studies have demonstrated remote effects of renal
ischemia/reperfusion (IR) injury on some organs such as brain, liver, and lungs.
Oxidative stress is reported to be the cornerstone in such ischemic conditions.
Associated apoptosis is also reported in remote lung, liver and myocardial injury
after acute kidney injury. So, we postulated that renal IR may affect the
pancreas by its remote effect. Oxidative stress and mitochondrial mediated
apoptosis may play a crucial role in this injury. We investigated the effects of
kidney IR on pancreatic exocrine and endocrine functions, antioxidant enzyme
activity, and apoptosis. MATERIAL AND METHODS: The protective effect of vitamin C
was also investigated. The animals were submitted to non-traumatic bilateral
renal IR, sham operation or treatment with vitamin C after IR. Rats were
sacrificed on the 1(st), 3(rd), and 7(th) days of the experiment to evaluate the
parameters of oxidative stress (catalase, lipid peroxidase, reduced glutathione
and superoxide dismutase), pancreatic endocrine and exocrine function (amylase,
insulin and fasting blood glucose), renal functions (serum creatinine and blood
urea nitrogen), cellular injury and apoptotic markers (Bcl-2, Bax and caspase-3).
RESULTS: Kidney I/R significantly increased the renal and pancreatic functions at
1, 3 and 7 days, while fasting insulin was significantly increased at day 3 after
ischemia. Moreover, I/R significantly increased the studied oxidative stress
markers and decreased the antioxidant capacity in pancreatic tissues. In
addition, renal I/R induced numerous histopatological lesions in pancreatic
tissues and increased the apoptosis-related genes. Treating the rats with vitamin
C (100 mg/kg) significantly restored the renal and pancreatic functions, improved
the pancreatic antioxidant capacity and protected the pancreatic tissues from
apoptotic necrosis. CONCLUSIONS: The results suggested that bilateral renal
ischemia for 45 min caused significant impairment of pancreatic function and
structure as indicators of acute pancreatitis. While IR enhances oxidative stress
and apoptosis, vitamin C appears to play a cytoprotective role.
PMID- 27186167
TI - Morphofunctional renal alterations in rats induced by intrauterine hyperglycemic
environment.
AB - INTRODUCTION: The renal development of rats begins in intrauterine life,
finishing by 15 days after birth. Diabetes and other diseases during pregnancy
can cause systemic changes in the offspring. We evaluated the structural and
functional renal alterations of the offspring from diabetic mothers. MATERIAL AND
METHODS: Pregnant rats were separated and 1, 7, 30 and 90 days-old (DO) pups were
divided into groups according to the treatment that the mothers received: G1:
control, G2: untreated diabetic and G3: insulin-treated diabetic. The kidneys
from offspring at 1, 7 and 30 DO were removed for immunohistochemical and
histological studies. Furthermore, blood and urine samples were collected from
animals at 30 DO to determine the glomerular filtration rate (GFR) by creatinine
clearance, and the animals at 90 DO were subjected to blood pressure measurement
by plethysmography. RESULTS: Our results show an increase of PCNA(+) glomerular
cells at 7 DO and a reduction in 30 DO animals as well as increased alpha-smooth
muscle actin (alpha-SMA) tubulointerstitial expression at 1 and 7 DO in animals
from G2, when compared with controls. The adult offspring from G2 showed reduced
GFR and increased blood pressure. CONCLUSIONS: Maternal diabetes may have induced
programming of renal damage in offspring of hyperglycemic mothers, which may have
contributed to the impairment of renal function.
PMID- 27186169
TI - Mutations in NEBL encoding the cardiac Z-disk protein nebulette are associated
with various cardiomyopathies.
AB - INTRODUCTION: Transgenic mice overexpressing mutated NEBL, encoding the cardiac
specific Z-disk protein nebulette, develop severe cardiac phenotypes. Since
cardiomyopathies are commonly familial and because mutations in a single gene may
result in variable phenotypes, we tested the hypothesis that NEBL mutations are
associated with cardiomyopathy. MATERIAL AND METHODS: We analyzed 389 patients,
including cohorts of patients with dilated cardiomyopathy (DCM), hypertrophic
cardiomyopathy (HCM), and left ventricular non-compaction cardiomyopathy (LVNC).
The 28 coding exons of the NEBL gene were sequenced. Further bioinformatic
analysis was used to distinguish variants. RESULTS: In total, we identified six
very rare heterozygous missense mutations in NEBL in 7 different patients
(frequency 1.8%) in highly conserved codons. The mutations were not detectable in
320 Caucasian sex-matched unrelated individuals without cardiomyopathy and 192
Caucasian sex-matched blood donors without heart disease. Known cardiomyopathy
genes were excluded in these patients. The mutations p.H171R and p.I652L were
found in 2 HCM patients. Further, p.Q581R and p.S747L were detected in 2 DCM
patients, while the mutation p.A175T was identified independently in two
unrelated patients with DCM. One LVNC patient carried the mutation p.P916L. All
HCM and DCM related mutations were located in the nebulin-like repeats, domains
responsible for actin binding. Interestingly, the mutation associated with LVNC
was located in the C-terminal serine-rich linker region. CONCLUSIONS: Our data
suggest that NEBL mutations may cause various cardiomyopathies. We herein
describe the first NEBL mutations in HCM and LVNC. Our findings underline the
notion that the cardiomyopathies are true allelic diseases.
PMID- 27186170
TI - Impact of I/D polymorphism of ACE gene on risk of development and course of
chronic obstructive pulmonary disease.
AB - INTRODUCTION: Chronic obstructive pulmonary disease (COPD) affects more than 10%
of the world's population over 40 years of age. The main exogenous risk factor is
cigarette smoking; however, only 20% of smokers develop COPD, indicating that
some other factors, e.g. genetic, may play an important role in the disease
pathogenesis. Recent research indicates that ACE (angiotensin-converting enzyme)
may be a susceptibility gene for asthma or COPD. The aim of our study was to
determine the influence of I/D (insertion/deletion) polymorphism of the ACE gene
(AluYa5, rs4646994) on the risk and course of COPD. MATERIAL AND METHODS: We
investigated ACE I/D polymorphism in 206 COPD and 165 healthy Caucasian subjects.
RESULTS: In the generalized linear model (GLZ) analysis of the influence of
selected factors on presence of COPD we found a significant independent effect
for male sex (repeatedly increases the risk of COPD, OR = 7.7, p = 0.049), as
well as smoking or lower body mass index, but only in combination with older age
(OR = 0.96, p = 0.003 and OR = 1.005, p = 0.04 respectively). Interestingly,
analysis of factors which may influence the risk of a higher number of
exacerbations demonstrated that occurrence of DD genotype, but only in men, is
associated with a lower risk (OR = 0.7, p = 0.03) of this complication.
CONCLUSIONS: We suggest that ACE may not be a susceptibility gene for the origin
of COPD but a disease-modifying gene. Since the impact of I/D polymorphism of the
ACE gene on COPD risk is moderate or negligible, other molecular changes, that
will help predict the development of this disease, should still be sought.
PMID- 27186172
TI - Indirect costs of inflammatory bowel diseases: Crohn's disease and ulcerative
colitis. A systematic review.
AB - INTRODUCTION: Crohn's disease and ulcerative colitis are lifelong illnesses which
have a significant impact on quality of life and personal burden through a
reduction in the ability to work, sick leave and restrictions of leisure time.
The aim of this study was to conduct a systematic review of the indirect costs of
Crohn's disease and ulcerative colitis. MATERIAL AND METHODS: The search was
carried out in Medline, EMBASE, the Centre for Reviews and Dissemination, and
reference lists of identified articles and reference lists of identified articles
were also handsearched. All costs were adjusted to 2013 USD values by using the
consumer price index and purchasing power parity. Identified studies were then
analysed in order to assess their heterogeneity and possibility of inclusion in
the meta-analysis. RESULTS: Eleven of the identified publications presented
indirect costs of Crohn's disease or ulcerative colitis. The range of estimated
yearly indirect costs per patient was large, from $1 159.09 for loss of earnings
to $14 135.64 for lost productivity and sick leave for Crohn's disease. The
values for ulcerative colitis ranged from $926.49 to $6 583.17. Because of the
imprecise definition of methods of indirect cost calculations as well as
heterogeneity of indirect cost components, a meta-analysis was not performed.
CONCLUSIONS: The indirect costs of ulcerative colitis seem to be slightly lower
than in the case of Crohn's disease. A small number of studies referring to
indirect costs of Crohn's disease and ulcerative colitis were identified, which
indicates the need to conduct further investigations on this problem.
PMID- 27186171
TI - Association analysis of the COL1A1 polymorphism with bone mineral density and
prevalent fractures in Polish postmenopausal women with osteoporosis.
AB - INTRODUCTION: Polymorphism in the promoter region of collagen type 1alpha
(COL1A1) +1245G/T (Sp1, rs1800012) was in some studies shown to be relevant for
bone mineral density (BMD) and low-energy fracture prediction. The aim of the
study was to confirm this finding in a group of postmenopausal women diagnosed
with osteoporosis. MATERIAL AND METHODS: We investigated 311 Caucasian women
(mean age: 65.2 +/-9.39 years) either after low-energy fractures (regardless of
the location) or meeting World Health Organization (WHO) criteria for
osteoporosis. All patients underwent clinical examination in order to exclude
secondary osteoporosis; hip and lumbar spine DEXA was performed (Lunar). The
three genotypes of Sp1 polymorphism were determined by RFLP (restriction fragment
length polymorphism). RESULTS: Distribution of COL1A1 genotypes (SS/Ss/ss) agreed
with Hardy-Weinberg equilibrium. No relation between COL1A1 genotypes and hip/L1
L4 BMD was found. Fractures were reported in 26.3% of women. Prevalence of low
energy fractures, regardless of the type, was 50.0% in ss genotype carriers,
26.4% in SS homozygotes and 23.7% in Ss heterozygotes. There was no statistically
significant recessive or dominant effect of any Sp1 genotype on fracture
prevalence (p = 0.613). CONCLUSIONS: We failed to observe that COL1A1 Sp 1
genotypes contribute to BMD determination or are associated with prevalent low
energy fractures in a Polish cohort of postmenopausal osteoporotic women.
PMID- 27186173
TI - The association of clinical indication for exercise stress testing with all-cause
mortality: the FIT Project.
AB - INTRODUCTION: We hypothesized that the indication for stress testing provided by
the referring physician would be an independent predictor of all-cause mortality.
MATERIAL AND METHODS: We studied 48,914 patients from The Henry Ford Exercise
Testing Project (The FIT Project) without known congestive heart failure who were
referred for a clinical treadmill stress test and followed for 11 +/-4.7 years.
The reason for stress test referral was abstracted from the clinical test order,
and should be considered the primary concerning symptom or indication as stated
by the ordering clinician. Hierarchical multivariable Cox proportional hazards
regression was performed, after controlling for potential confounders including
demographics, risk factors, and medication use as well as additional adjustment
for exercise capacity in the final model. RESULTS: A total of 67% of the patients
were referred for chest pain, 12% for shortness of breath (SOB), 4% for
palpitations, 3% for pre-operative evaluation, 6% for abnormal prior testing, and
7% for risk factors only. There were 6,211 total deaths during follow-up.
Compared to chest pain, those referred for palpitations (HR = 0.72, 95% CI: 0.60
0.86) and risk factors only (HR = 0.72, 95% CI: 0.63-0.82) had a lower risk of
all-cause mortality, whereas those referred for SOB (HR = 1.15, 95% CI: 1.07
1.23) and pre-operative evaluation (HR = 2.11, 95% CI: 1.94-2.30) had an
increased risk. In subgroup analysis, referral for palpitations was protective
only in those without coronary artery disease (CAD) (HR = 0.75, 95% CI: 0.62
0.90), while SOB increased mortality risk only in those with established CAD (HR
= 1.25, 95% CI: 1.10-1.44). CONCLUSIONS: The indication for stress testing is an
independent predictor of mortality, showing an interaction with CAD status.
Importantly, SOB may be associated with higher mortality risk than chest pain,
particularly in patients with CAD.
PMID- 27186174
TI - The diagnostic value of supine blood pressure in hypertension.
AB - INTRODUCTION: Correct blood pressure (BP) measurement is crucial in the diagnosis
of arterial hypertension (AH), and controversy exists whether supine BP should be
treated as equal to sitting BP. The aim of this study was to evaluate the
relation of supine BP to sitting BP and ambulatory BP with regard to
identification of diagnostic cut-offs for hypertension. MATERIAL AND METHODS:
This study included 280 patients with AH (mean age: 44.3 +/-10.6 years). The
following measurements of BP were performed and analyzed: 1) sitting office blood
pressure measurement (OSBP and ODBP); 2) supine BP (supSBP and supDBP), measured
automatically (5 times with a 2-minute interval) during evaluation by the Niccomo
device (Medis, Germany); 3) 24-hour ambulatory blood pressure (ABP) monitoring.
RESULTS: The mean supSBP and supDBP were found to be lower than OSBP and ODBP
(130.9 +/-14.2 vs. 136.6 +/-15.5 mm Hg and 84.8 +/-9.4 vs. 87.8 +/-10.2 mm Hg,
respectively; p < 0.000001). The correlations between ABP and supBP/OBP were
moderate and strong (correlation coefficients in range 0.55-0.76). The ROC
analysis revealed that mean supBP >= 130/80 mm Hg was more precise than OBP >=
140/90 mm Hg in diagnosing hypertension (AUC: 0.820 vs. 0.550; sensitivity 80.7%
vs. 57.4%; specificity 83.2% vs. 52.7%; p < 0.0001) and the additive value
derived mostly from its higher predictive power of identifying patients with
increased night-time BP. CONCLUSIONS: In young and middle-aged hypertensive
patients the blood pressure during a 10-minute supine rest was lower than in the
sitting position. The supine blood pressure >= 130/80 mm Hg was found to be a
specific and sensitive threshold for hypertension.
PMID- 27186175
TI - Red cell distribution width and end-organ damage in patients with systo-diastolic
hypertension.
AB - INTRODUCTION: Both end-organ damage and high red cell distribution width (RDW)
values are associated with adverse cardiovascular events, inflammatory status,
and neurohumoral activation in hypertensive disease and in the general
population. In this study, we investigated the relationship between RDW and end
organ damage in hypertensive patients. MATERIAL AND METHODS: The 446 systo
diastolic hypertensive patients included in the study received 24-hour ambulatory
blood pressure monitoring. Left ventricular mass index, glomerular filtration
rate, and microalbuminuria were measured to identify end-organ damage. High
sensitivity C-reactive protein (hs-CRP) and N-terminal pro-B-type natriuretic
peptide (NT-proBNP) levels of all patients were also examined. RESULTS: The mean
age of the participants was 49.96 +/-11.04 years. The mean RDW was 13.06 +/
1.05%. Red cell distribution width was positively correlated with left
ventricular myocardial index (LVMI), urinary albumin, hs-CRP, and NT-proBNP (r =
0.298, p < 0.001; r = 0.228, p < 0.001; r = 0.337, p < 0.001; r = 0.277, p <
0.001, respectively), while RDW was negatively correlated with eGFR (r = -0.153,
p < 0.001). Additionally, while there was a positive correlation between RDW and
24-h systolic blood pressure, no correlation was found between RDW and 24-h
diastolic blood pressure (r = 0.132, p = 0.006 and r = 0.017, p = 0.725,
respectively). Multiple linear regression analysis revealed that RDW levels were
independently associated with eGFR, LVMI, and severity of albuminuria (beta =
0.126, p = 0.010; beta = -0.149, p = 0.002; beta = 0.114, p = 0.035).
CONCLUSIONS: High RDW levels in systo-diastolic hypertensive patients were found
to be an independent predictor of end-organ damage.
PMID- 27186176
TI - Quality of life in patients with coronary heart disease after myocardial
infarction and with ischemic heart failure.
AB - INTRODUCTION: Quality of life measures are useful when interventions or
treatments are indicated for several reasons such as improvement of physical
functioning, pain relief, to estimate the effectiveness of therapies or to
predict mortality. The aim of the current study was to describe quality of life
in patients with stable coronary artery disease, myocardial infarction and heart
failure and to evaluate the relationship between depression and health-related
quality of life. MATERIAL AND METHODS: Patients after STEMI, with stable coronary
artery disease, and heart failure (n = 332) completed the MacNew Heart Disease
Health-related Quality of Life Questionnaire and the Hospital Anxiety and
Depression Scale. RESULTS: Patients with myocardial infarction had significantly
higher scores than patients with stable coronary artery disease or heart failure
on the MacNew global scale (p < 0.001) and the physical (p < 0.001), emotional (p
< 0.001) and social (p < 0.001) subscales. The anxiety scores were significantly
higher in the group of patients with stable coronary artery disease than in
patients with myocardial infarction (p < 0.05). The depression scores were
significantly higher in patients with heart failure (p < 0.01). CONCLUSIONS: In
patients with stable CAD, anxiety correlated mainly with symptoms, i.e. angina,
than with the history of MI. Patients with symptoms of angina react to the
illness with anxiety more than depression, whereas patients with heart failure
with dyspnea react to the illness with depressive symptoms more than anxiety. In
patients after MI and with stable CAD, cognitive-behavioral techniques could be
useful to quickly reduce the level of anxiety, while patients with heart failure
require long-term support therapy to reduce the risk of depressive symptoms.
PMID- 27186177
TI - Correlation between B type natriuretic peptide and metabolic risk factors.
AB - INTRODUCTION: It has been shown that B type natriuretic peptide (BNP) level can
indicate cardiovascular disease. However, the association between BNP and
metabolic risk factors is unknown. The aim of this study was to investigate the
correlation between N-terminal pro-B type natriuretic peptide (NT-proBNP) and
metabolic risk factors. MATERIAL AND METHODS: A total of 11,508 subjects were
selected from those who underwent health examinations in our hospital. NT-proBNP,
waist circumference, blood pressure, fasting plasma glucose and lipid profile
were measured. The level of NT-proBNP was measured and classified into four
stratifications (BNP >= 20 pg/ml, >= 40 pg/ml, >= 60 pg/ml, and >= 80 pg/ml) to
analyze the relationship between BNP and metabolic risk factors. RESULTS: B type
natriuretic peptide increased gradually with increasing age (p < 0.001). The BNP
levels were significantly higher in women than in men (p < 0.001). Multivariate
regression analysis showed a positive association between NT-proBNP levels and
systolic blood pressure (p < 0.001), fasting plasma glucose (p < 0.05), and total
cholesterol (p < 0.001 in women). The NT-proBNP levels were inversely associated
with diastolic blood pressure, waist circumference, triglyceride, high-density
lipoprotein, and LDL cholesterol. Logistic regression analysis demonstrated a
close relationship between NT-proBNP and systolic blood pressure, fasting plasma
glucose, and total cholesterol. In the BNP >= 60 pg/ml group, odds ratio (OR)
values were 1.80, 1.56 and 1.54 (female) and 3.74, 1.59 and 1.51 (male),
respectively. In the BNP >= 80 pg/ml group, OR values were 2.45, 1.65 and 1.84
(female) and 4.61, 1.66 and 1.75 (male), respectively. CONCLUSIONS: NT-proBNP was
independently associated with the main metabolic risk factors (systolic blood
pressure, fasting plasma glucose, and total cholesterol). These findings suggest
that the combined determination of NT-proBNP and the main metabolic risk factors
could be important in assessing cardiovascular morbidity.
PMID- 27186178
TI - Plasma B-type natriuretic peptide levels are poorly related to the occurrence of
ischemia or ventricular arrhythmias during symptom-limited exercise in low-risk
patients.
AB - INTRODUCTION: The usefulness of B-type natriuretic peptide (BNP) as a marker of
ischemia is controversial. BNP levels have predicted arrhythmias in various
settings, but it is unknown whether they are related to exercise-induced ischemic
ventricular arrhythmias. MATERIAL AND METHODS: We analyzed in 63 patients (64 +/
14 years, 65% male, 62% with known coronary disease) undergoing exercise stress
single-photon emission computed tomography (SPECT) the association between plasma
BNP values (before and 15 min after exercise) and the occurrence of ischemia or
ventricular arrhythmias during the test. RESULTS: Exercise test (8.1 +/-2.7 min,
7.4 +/-8.1 metabolic equivalents, 82 +/-12% of maximal predicted heart rate)
induced reversible perfusion defects in 23 (36%) patients. Eight (13%) patients
presented significant arrhythmias (>= 7 ventricular premature complexes/min,
couplets, or non-sustained ventricular tachycardia during exercise or in the
first minute of recovery). Median baseline BNP levels were 17.5 (12.4-66.4) pg/ml
in patients developing scintigraphic ischemia and 45.6 (13.2-107.4) pg/ml in
those without ischemia (p = 0.137). The BNP levels increased after exercise (34.4
(15.3-65.4)% increment over baseline, p < 0.001), but the magnitude of this
increase was not related to SPECT positivity (35.7 (18.8-65.4)% vs. 27.9 (5.6
64.0)% in patients with and without ischemia, respectively, p = 0.304). No
significant association was found between BNP values (at baseline or their change
during the test) and ventricular arrhythmias. CONCLUSIONS: Plasma BNP values - at
baseline or after exercise - were not associated with myocardial ischemia or with
ventricular arrhythmia during exercise SPECT. These results highlight the limited
usefulness of this biomarker to assess acute ischemia.
PMID- 27186179
TI - Impact of septal flash and left ventricle contractile reserve on positive
remodeling during 1 year cardiac resynchronization therapy: the multicenter
ViaCRT study.
AB - INTRODUCTION: Cardiac resynchronization therapy (CRT) has been shown to improve
outcomes in patients with systolic heart failure (HFREF). However, the relatively
high non-responder rate results in a need for more precise qualification for CRT.
The ViaCRT study was designed to determine the role of contractile reserve and
dyssynchrony parameters in predicting CRT response. The purpose of this analysis
was to determine the effect of baseline septal flash and contractile reserve (CR)
on clinical and echocardiographic parameters of response to CRT in 12-month
follow-up. MATERIAL AND METHODS: One hundred thirty-three guideline-selected CRT
candidates (both ischemic and non-ischemic heart failure with reduced ejection
fraction) were enrolled in the study. Baseline study population characteristics
were: left ventricle ejection fraction (LVEF) 25 +/-6%, QRS 165 +/-25 ms, NYHA
class III (90%) and IV (10%). RESULTS: In subjects with septal flash (SF)
registered before CRT implantation improvement in LVEF (14 +/-2% vs. 8 +/-1%, p <
0.05) and left ventricle (LV) systolic (63 +/-10 ml vs. 36 +/-6 ml, p < 0.05) and
diastolic (46 +/-10 ml vs. 32 +/-7, p < 0.05) volumes was more pronounced than in
patients without SF. In patients with CR (defined as LVEF increase by 20% or 4
viable segments) improvement in echo parameters was not significantly different
then in the CR- group. Neither SF nor CR was associated with improvement in NYHA
class. Subgroup analysis revealed that only in non-ischemic HF patients is
presence of septal flash associated with LV function improvement after CRT.
CONCLUSIONS: In non-ischemic HF patients septal flash is a helpful parameter in
prediction of LV remodeling after 12 months of resynchronization therapy.
PMID- 27186180
TI - Comparison of results of endovascular stenting and bypass grafting for
TransAtlantic Inter-Society (TASC II) type B, C and D iliac occlusive disease.
AB - INTRODUCTION: The priority use of endovascular techniques in the management of
aortoiliac occlusive disease has increased in the last decade. The aim of the
present article is to report 1- and 2-year results of iliac artery stenting (IAS)
and aortoiliac grafting in the management of patients with TASC II type B, C and
D iliac lesions and chronic limb ischaemia. MATERIAL AND METHODS: In this
prospective, non-randomised, one-centre clinical study, iliac artery stents and
vascular grafts used for the treatment of patients with symptomatic lesions in
the iliac artery were evaluated. This study enrolled 2 groups: 54 patients in the
stent group and 47 patient in the surgery group. RESULTS: The primary patency
rates at 1 and 2 years were 83% and 79.9% after IAS and 97.1% and 97.1% after
surgical reconstruction, respectively (p = 0.015). The assisted primary stent
patency at 1 and 2 years was 87.9% and 78.2%, respectively. The complication rate
was 7.4% in the stent group and 6.3% in the surgery group. There was no
perioperative mortality in either group. CONCLUSIONS: Our results reveal that
patients with severe aortoiliac occlusive disease (TASC II types B, C and D) can
be treated with IAS or surgically with satisfactory results. Iliac artery
stenting is associated with decreased primary patency compared with the surgery
group. Iliac artery stenting should be considered with priority in elderly
patients or in patients with severe comorbidities.
PMID- 27186181
TI - Analysis of adverse events of sunitinib in patients treated for advanced renal
cell carcinoma.
AB - INTRODUCTION: Treatment of the metastatic stage of renal cell carcinoma is
specific because classical chemotherapy is not applicable here. The treatment is
mainly based on molecularly targeted drugs, including inhibitors of tyrosine
kinases. In many cases the therapy takes many months, and patients often report
to general practitioners due to adverse events. In this article, the
effectiveness and side effects of one of these drugs are presented. The aim of
the study was to analyse of the toxicity and safety of treatment with sunitinib
malate in patients with clear cell renal cell carcinoma in the metastatic stage.
MATERIAL AND METHODS: Adverse events were analyzed using retrospective analysis
of data collected in a group of 39 patients treated in the Department of Systemic
and Generalized Malignancies in the Cancer Center in Krakow, Poland. RESULTS:
Toxicity of treatment affected 50% of patients. The most common side effects
observed were hypertension, thrombocytopenia, stomatitis, diarrhea and weakness.
Grade 3 serious adverse events according to Common Terminology Criteria for
Adverse Events (CTCAE) version 4 affected up to 10% of patients. The most common
serious adverse events were hypertension and fatigue. CONCLUSIONS: Sunitinib
malate is characterized by a particular type of toxicity. Knowledge of the types
and range of adverse events of this drug is an important part of oncological and
internal medicine care.
PMID- 27186182
TI - Esophageal capsule endoscopy is not the optimal technique to determine the need
for primary prophylaxis in patients with cirrhosis.
AB - INTRODUCTION: Capsule endoscopy has been suggested as a potential alternative to
endoscopy for detection of esophagogastric varices and severe portal hypertensive
gastropathy (PHG). The aim of the study was to determine whether PillCam
esophageal capsule endoscopy could replace endoscopy for screening purposes.
MATERIAL AND METHODS: Sixty-two patients with cirrhosis with no previous variceal
bleeding had PillCam capsule endoscopy and video endoscopy performed on the same
day. Sensitivity, specificity, and positive and negative predictive values (PPV,
NPV) of capsule endoscopy were compared to endoscopy for the presence and
severity of esophageal and gastric varices, PHG and the need for primary
prophylaxis. Patients' preference was assessed by a questionnaire. RESULTS: Four
(6%) patients were unable to swallow the capsule. Sensitivity, specificity, PPV
and NPV of capsule endoscopy for detecting any esophageal varices (92%, 50%, 92%,
50%), large varices (55%, 91%, 75%, 80%), variceal red signs (58%, 87%, 69%,
80%), PHG (95%, 50%, 95%, 50%), and the need for primary prophylaxis (91%, 57%,
78%, 80%) were not optimal, with only moderate agreement (kappa) between capsule
and upper GI endoscopy. Had only a capsule endoscopy been performed, 12 (21.4%)
patients would have received inappropriate treatment. Capsule endoscopy also
failed to detect (0/13) gastric varices. The majority of patients ranked capsule
endoscopy as more convenient (69%) and their preferred (61%) method. CONCLUSIONS:
Despite the preference expressed by patients for capsule endoscopy, we believe
that upper GI endoscopy should remain the preferred screening method for primary
prophylaxis.
PMID- 27186183
TI - Prognostic and diagnostic value of procalcitonin in the post-transplant setting
after liver transplantation.
AB - INTRODUCTION: The aim of the study was to assess the diagnostic accuracy of
procalcitonin (PCT) as a marker for complications and as a prognostic factor for
mortality after liver transplantation. MATERIAL AND METHODS: Liver transplant
patients between January 2007 and April 2011 were prospectively included in the
study. Procalcitonin serum concentration was recorded before, 6 h after
reperfusion and then daily. Postoperative clinical course was prospectively
analyzed from admission to discharge. Main surgical data such as operating
procedure, type of reperfusion, operating and ischemic times, high urgency (HU)
status and MELD score at the time of transplantation were also recorded. RESULTS:
Sixteen patients with initial PCT > 5 ng/ml suffered >= 1 complication (p =
0.03). However, there was no association between the level of the 1(st) peak PCT
and the further postoperative course or the occurrence of complications. Patients
in whom a 2(nd) PCT peak occurred had a significantly higher risk for a
complicated course, for a complicated sepsis course and for mortality (p <
0.0001). Warm ischemic time over 58 min, operating time over 389 min and HU
status were significant independent factors for a complicated postoperative
course (p < 0.001, p < 0.001 and p = 0.03 respectively). CONCLUSIONS: Based on
our results, we believe that PCT course and the occurrence of a 2(nd) peak seem
to possess important diagnostic and prognostic power in the post-transplant
setting after liver transplantation.
PMID- 27186184
TI - Multidisciplinary rehabilitation and steroids in the management of multiple
sclerosis relapses: a randomized controlled trial.
AB - INTRODUCTION: Periodic relapses are one of the main characteristics of multiple
sclerosis (MS), from which recovery is often incomplete despite high-dose
methylprednisolone (HDMP) treatment. The aim of our study was to evaluate the
potential benefits of short-term HDMP combined with multidisciplinary
rehabilitation (MDR) in persons with MS in relapse in order to assess whether
combination of steroid therapy with MDR is more beneficial than steroid therapy
alone. MATERIAL AND METHODS: This investigation was conducted as a randomized
controlled trial. The MS patients were eligible if they had an established
diagnosis and relapse requiring application of HDMP. Forty-nine patients were
included in the study and randomized to control and treatment groups, and 37
completed the study. High-dose methylprednisolone was administered to all
patients. The treatment group additionally underwent an MDR program over a 3-week
period. All outcome measures were completed at baseline and 1 and 3 months later.
RESULTS: The Expanded Disability Status Scale (EDSS) and Functional Independence
Measure (FIM) motor scores improved statistically significantly 1 month after
HDMP, in both treatment and control groups. During the study period, in the
treatment group, a sustained large effect size (ES) was found for both physical
and mental composite scores of Multiple Sclerosis Quality of Life-54 (MSQoL-54),
while in the controls, a sustained moderate ES was demonstrated only for physical
composite score. CONCLUSIONS: Our findings suggest that MDR improves MS relapse
outcome.
PMID- 27186185
TI - Evaluation of sural nerve automated nerve conduction study in the diagnosis of
peripheral neuropathy in patients with type 2 diabetes mellitus.
AB - INTRODUCTION: New tests for improved diagnosis of diabetic peripheral neuropathy
(DPN) are useful. MATERIAL AND METHODS: We evaluated the utility of automated
nerve conduction study (NCS) of the sural nerve with a new portable device for
the diagnosis of DPN in patients with type 2 diabetes mellitus (T2DM). This study
included 114 T2DM patients (58 men) with mean age 64.60 +/-8.61 years. Exclusion
criteria were B12 depletion, alcohol abuse and other causes of peripheral
neuropathy. The reference method was the Neuropathy Disability Score (NDS) with a
threshold NDS >= 3. Sural nerve automated NCS was carried out with the portable
NC-stat DPNCheck device. Sensory nerve conduction velocity and sensory nerve
action potential amplitude were measured bilaterally. Automated NCS was
considered abnormal when >= 1 of the two aforementioned neurophysiological
parameters was abnormal in at least one leg. RESULTS: Examination with NC-stat
DPNCheck exhibited 90.48% sensitivity, 86.11% specificity, 79.17% positive
predictive value (PPV) and 93.94% negative predictive value (NPV). The positive
likelihood ratio (LR+) was 6.51 and the negative likelihood ratio (LR-) was 0.11.
CONCLUSIONS: Sural nerve automated NCS with the NC-stat DPNCheck device exhibits
high sensitivity and specificity for the diagnosis of DPN in T2DM.
PMID- 27186186
TI - The impact of excessive maternal weight on the nutritional status of the fetus -
the role of leptin.
AB - INTRODUCTION: Assessment of leptin concentration in the blood of pregnant women
(leptin concentration - Lc) and in their newborns, according to their nutritional
status. MATERIAL AND METHODS: Pregnant women (n = 42) were divided into normal
body mass (NBM) and excessive body mass (EBM) groups. Neonates were divided into
AGA (eutrophic) and LGA (macrosomic) groups. Leptin concentraction was studied in
4 subgroups: NBM/AGA, NBM/LGA, EBM/AGA, EBM/LGA. RESULTS: Mothers: A significant
correlation was found between maternal Lc and body mass index (BMI) (r = 0.75, p
< 0.001). Maternal Lc was 3 times higher than neonatal Lc (p < 0.00001). The NBM
mothers showed lower Lc compared to EBM mothers (p = 0.000018). Leptin
concentration values in NBM/LGA and NBM/AGA mothers were similar (p = 0.6775).
Newborns: Correlations were found between Lc and ponderal index (PI) (r = 0.67, p
< 0.001), weight (r = 0.43, p = 0.004) and placental weight (r = 0.56, p <
0.001). Girls presented higher Lc than boys (p = 0.0338). In LGA groups, newborns
born to EBM mothers presented higher Lc than those born to NBM mothers (p =
0.0013). In both AGA groups, Lc was similar (AGA/EBM vs. AGA/NBM p = 0.1619). The
highest Lc and the largest placentas were found in the group of LGA newborns born
to EBM mothers. CONCLUSIONS: Leptin concentration positively correlates with BMI
in pregnant women, with PI and female sex in newborns as well as with placental
weight. The nutritional status of fetuses does not affect the Lc of their non
obese mothers. The LGA neonates born to EBM women demonstrate higher Lc than LGA
neonates born to NBM mothers, whereas Lc of AGA neonates is similar regardless of
the nutritional status of their mothers.
PMID- 27186187
TI - Predictors of deterioration of lung function in Polish children with cystic
fibrosis.
AB - INTRODUCTION: Severity of lung disease varies in patients with the same CFTR
genotype. It suggests that other factors affect the severity of cystic fibrosis
(CF). The aim of the study was to identify risk factors that determine lung
function decline in Polish cystic fibrosis children. MATERIAL AND METHODS: The
follow-up time was no less than 5 years of respiratory status observation based
on the forced expiratory volume in 1 s value (FEV1). The socio-economic data,
perinatal interview, presence of meconium ileus (MI), time of CF diagnosis,
initiation of tobramycin inhalation solution (TIS), pancreatic function,
sensitization to Aspergillus fumigatus, presence of impaired glucose tolerance
(IGT) or diabetes mellitus, chronic bacterial colonization and number of
exacerbations and hospitalizations were assessed. RESULTS: The mean age of 61
included children was 13.3 +/-7.6 years. Delta F508 homozygosity was detected in
45.9%, 44.3% were delta F508 heterozygous, and 9.8% had other genotypes. FEV1
decline was observed among 20% of patients; the rest of the patients presented
stable values of FEV1 during at least 5 years of observation. The most
significant predictors related to the decline of FEV1 were presentation of MI (p
= 0.0344), IGT (p = 0.0227), number of exacerbations (p = 0.0288), and early
Pseudomonas aeruginosa (PA) chronic colonization (p = 0.0165) followed by late
TIS initiation after the first detection of PA (p=0.0071). Neither time of
diagnosis nor type of CFTR mutation was statistically significant as a predictor
of lung deterioration. CONCLUSIONS: The presence of MI, IGT, chronic PA
colonization, and number of exacerbations are risk factors for lung function
deterioration.
PMID- 27186189
TI - High prevalence of chronic hepatitis D virus infection in Eastern Turkey:
urbanization of the disease.
AB - INTRODUCTION: Both hepatitis B virus (HBV) and hepatitis D virus (HDV) infection
play an increasingly important role in liver diseases. The main objective of this
study was to investigate the socio-epidemiological, laboratory and radiological
aspects of both HBV and HDV infection near the Iranian border of Turkey. MATERIAL
AND METHODS: The study included 3352 patients with HBV and HDV infection.
Socioepidemiological, laboratory and radiological aspects of the study subjects
were retrospectively examined. Comorbid metabolic diseases were not assessed due
to the retrospective design of the study. RESULTS: Most of the study subjects
were HBe antigen negative. No significant difference in terms of HBV-DNA levels
or HBe antigen seropositivity was detected between the city centre and rural
areas (p > 0.005). The mean HBV-DNA level in the anti-HDV-positive group was
significantly lower than in the anti-HDV-negative group (p < 0.001). The rate of
HDV-RNA positivity in women was higher than in their male counterparts (p =
0.017). Anti-HDV-IgG was detected in 18.4% of tested subjects who came from an
urban area. In contrast, 12.5% of subjects of the rural group had a positive
result for anti-HDV-IgG. Among 134 ultrasonographically evaluated delta hepatitis
patients, 37.3% had liver cirrhosis. On the other hand, in 1244 patients with
hepatitis B monoinfection, there were 90 patients with liver cirrhosis.
Radiologically, the rate of hepatic steatosis in delta hepatitis patients was
lower than in those with HBV monoinfection. CONCLUSIONS: Hepatitis D virus
infection was particularly prevalent among the urban population as well as in
female subjects. More broadly, the current observations are the first to suggest
an inverse correlation between delta hepatitis and ultrasonography-proven hepatic
steatosis.
PMID- 27186188
TI - Usefulness of inflammatory biomarkers in discriminating between bacterial and
aseptic meningitis in hospitalized children from a population with low
vaccination coverage.
AB - INTRODUCTION: Neisseria meningitidis and Streptococcus pneumoniae are the most
frequent pathogens responsible for meningitis beyond the neonatal period. Aseptic
meningitis is a disabling condition, but bacterial meningitis if left untreated
is 100% fatal. The aim of the study was to analyze the usefulness of biochemical
and hematological parameters in distinguishing between bacterial and non
bacterial meningitis in children with meningitis from a population with low rates
of vaccination against S. pneumoniae and N. meningitidis. MATERIAL AND METHODS:
This study is a retrospective chart review of children hospitalized with
meningitis. In patients with aseptic and bacterial meningitis the following
parameters were compared: C-reactive protein, D-dimers, fibrinogen, glucose
level, and leukocyte level, and in cerebrospinal fluid, protein, glucose, and
leukocyte concentrations were analyzed. Number of points in the Bacterial
Meningitis Score (BMS) was calculated. The predictive value of each parameter to
distinguish between bacterial and aseptic meningitis was evaluated. RESULTS: In
total, 129 patients were included in the study: 65 diagnosed with bacterial
meningitis and 64 with aseptic meningitis. Bacterial and aseptic meningitis were
statistically significantly different based on each analyzed parameter (p <
0.000001). Among children with aseptic meningitis 42 (66%) scored 0 points in the
BMS, while all the children with bacterial meningitis had at least one point.
CONCLUSIONS: In children with meningitis inflammatory biomarkers differ
statistically significantly depending on the etiology - bacterial or aseptic.
Serum concentration of C-reactive protein higher than 80 mg/dl is a useful marker
of bacterial etiology of meningitis. A high Bacterial Meningitis Score is
indicative for bacterial meningitis.
PMID- 27186190
TI - Five years of experience with rituximab plus high-dose dexamethasone for
relapsed/refractory chronic lymphocytic leukemia.
AB - INTRODUCTION: High-dose methylprednisolone (HDMP) in combination with rituximab
is active in the treatment of relapsed/refractory chronic lymphocytic leukemia
(CLL), but serious infections are frequent. Recently published data suggested
that high-dose dexamethasone might be equally effective as HDMP despite a lower
cumulative dose. MATERIAL AND METHODS: We performed retrospective analysis of 60
patients with relapsed/refractory CLL (median age: 66 years; range: 37-86)
treated with rituximab plus dexamethasone (R-dex) at a single tertiary center
between September 2008 and October 2012. The schedule of R-dex consisted of
rituximab 500 mg/m(2) i.v. day 1 (375 mg/m(2) in cycle 1) and dexamethasone 40 mg
orally on days 1-4 and 10-13 repeated every 3 weeks for a maximum of 8 cycles.
Unfavorable prognostic features were frequent (Rai stages III/IV in 67%,
unmutated IgVH 82%, del 11q 43%, TP53 mutation/deletion 23%, bulky
lymphadenopathy 58% of patients). RESULTS: Overall response (OR)/complete
remission (CR) was achieved in 75/3%. At the median follow-up of 21 months,
median progression-free survival (PFS) was 8 months, median time to next
treatment 12.9 months and median overall survival 25.5 months. Refractoriness to
fludarabine (p = 0.04) and age >= 65 years (p = 0.03) were significant predictors
of shorter PFS. R-dex was successfully used for debulking before allogenic stem
cell transplantation in 7 patients (12%). Serious (CTCAE grade III/IV) infections
occurred in 27% of patients; 20% of patients developed steroid diabetes requiring
temporary short-acting insulin. CONCLUSIONS: Our results show that R-dex is an
active and well-tolerated regimen for patients with relapsed/refractory CLL;
however, major infections remain frequent despite combined antimicrobial
prophylaxis.
PMID- 27186191
TI - Cardiotoxicity of anthracycline therapy: current perspectives.
AB - Anthracyclines, especially doxorubicin and daunorubicin, are the drugs of first
choice in the treatment of patients with hematologic malignancies, soft-tissue
sarcomas, and solid tumors. Unfortunately, the use of anthracyclines is limited
by their dose-dependent and cumulative cardiotoxicity. The molecular mechanism
responsible for anthracycline-induced cardiotoxicity remains poorly understood,
although experimental and clinical studies have shown that oxidative stress plays
the main role. Hence, antioxidant agents, especially dexrazoxane, and also other
drug classes (statins, beta-blockers) proved to have a beneficial effect in
protecting against anthracycline-induced cardiotoxicity. According to previous
clinical trials, the major high-risk factors for anthracycline-induced
cardiotoxicity are age, body weight, female gender, radiotherapy, and other
diseases such as Down syndrome, familial dilated cardiomyopathy, diabetes and
hypertension. Consequently, further studies are needed to elucidate the molecular
pathogenesis of anthracycline-induced cardiotoxicity and also to discover new
cardioprotective agents against anthracycline-induced cardiotoxicity.
PMID- 27186194
TI - How much does care in palliative care wards cost in Poland?
AB - INTRODUCTION: The main task of palliative care units is to provide a dignified
life for people with advanced progressive chronic disease through appropriate
symptom management, communication between medical specialists and the patient and
his family, as well as the coordination of care. Many palliative care units
struggle with low incomes from the National Health Fund (NHF), which causes
serious economic problems. The aim of the study was to estimate of direct and
administrative costs of care and the actual cost per patient per day in selected
palliative care units and comparison of the results to the valuation of the NHF.
MATERIAL AND METHODS: The study of the costs of hospitalization of 175 patients
was conducted prospectively in five palliative care units (PCUs). The costs
directly associated with care were recorded on the specially prepared forms in
each unit and also personnel and administrative costs provided by the accounting
departments. RESULTS: The total costs of analyzed units amounted to 209 002 EUR
(898 712 PLN), while the payment for palliative care services from the NHF
amounted to 126 010 EUR (541 844 PLN), which accounted for only 60% of the costs
incurred by the units. The average cost per person per day of hospitalization,
calculated according to the actual duration of hospitalization in the unit, was
83 EUR (357 PLN), and the average payment from the NHF was 52.8 EUR (227 PLN).
Underpayment per person per day was approximately 29.2 EUR (125 PLN).
CONCLUSIONS: The study showed a significant difference between the actual cost of
palliative care units and the level of refund from the NHF. Based on the analysis
of costs, the application has been submitted to the NHF to change the
reimbursement amount of palliative care services in 2013.
PMID- 27186192
TI - The role of micronutrients in the risk of urinary tract cancer.
AB - Prostate, bladder and kidney cancers remain the most common urological
malignancies worldwide, and the prevention and treatment of these diseases pose a
challenge to clinicians. In recent decades, many studies have been conducted to
assess the association between supplementation with selected vitamins and
elements and urinary tract tumour initiation and development. Here, we review the
relationship between vitamins A, B, D, and E, in addition to calcium, selenium,
and zinc, and the risk of developing prostate, kidney and bladder cancer. A
relatively consistent body of evidence suggests that large daily doses of calcium
(> 2,000 mg/day) increase the risk of prostate cancer. Similarly, supplementation
with 400 IU/day of vitamin E carries a significant risk of prostate cancer.
However, there have been many conflicting results regarding the effect of these
nutrients on kidney and bladder neoplasms. Moreover, the role of other compounds
in urinary tract carcinogenesis needs further clarification.
PMID- 27186193
TI - Practical approach to management of chronic lymphocytic leukemia.
AB - Revolutionary progress has recently changed the landscape of chronic lymphocytic
leukemia (CLL). Powerful prognostic factors, especially p53 mutation and/or
deletion and IGHV mutation status, have refined individual patient prognosis.
Purine analogs and monoclonal antibodies paved the way from palliative treatment
to chemoimmunotherapy capable of eradication of minimal residual disease and
prolongation of survival. Obinutuzumab (GA-101) and ofatumumab have been recently
approved for the treatment of comorbid patients. Bendamustine is available for
first-line treatment of patients ineligible for fludarabine, cyclophosphamide,
and rituximab (FCR). High-dose glucocorticoids combined with rituximab represent
a promising option for refractory CLL; ofatumumab is approved for fludarabine-
and alemtuzumab-refractory patients. Allogeneic stem cell transplantation is the
only curative option but is feasible in a highly selected group of patients only.
The novel small molecule inhibitors ibrutinib and idelalisib have been recently
approved for relapsed/refractory CLL. This review provides practical advice for
diagnosis, prognostication and treatment of CLL.
PMID- 27186196
TI - Effects of stress management program on the quality of nursing care and intensive
care unit nurses.
AB - BACKGROUND: High level of stress in intensive care unit nurses affects the
quality of their nursing care. Therefore, this study aimed to determine the
effects of a stress management program on the quality of nursing care of
intensive care unit nurses. MATERIALS AND METHODS: This study is a randomized
clinical trial that was conducted on 65 nurses. The samples were selected by
stratified sampling of the nurses working in intensive care units 1, 2, 3 in Al
Zahra Hospital in Isfahan, Iran and were randomly assigned to two groups. The
intervention group underwent an intervention, including 10 sessions of stress
management that was held twice a week. In the control group, placebo sessions
were held simultaneously. Data were gathered by demographic checklist and Quality
Patient Care Scale before, immediately after, and 1 month after the intervention
in both groups. Then, the data were analyzed by Student's t-test, Mann-Whitney,
Chi-square, Fisher's exact test, and analysis of variance (ANOVA) through SPSS
software version 18. RESULTS: Mean scores of overall and dimensions of quality of
care in the intervention group were significantly higher immediately after and 1
month after the intervention, compared to pre-intervention (P < 0.001). The
results showed that the quality of care in the intervention group was
significantly higher immediately after and 1 month after the intervention,
compared to the control group (P < 0.001). CONCLUSIONS: As stress management is
an effective method to improve the quality of care, the staffs are recommended to
consider it in improvement of the quality of nursing care.
PMID- 27186195
TI - Strangulation and necrosis of right hemicolon as an extremely rare complication
of Spigelian hernia.
PMID- 27186197
TI - The effects of two methods of reflexology and stretching exercises on the
severity of restless leg syndrome among hemodialysis patients.
AB - BACKGROUND: Restless leg syndrome prevalence is high among the patients
undergoing hemodialysis. Due to several side effects of medicational treatments,
the patients prefer non-medicational methods. Therefore, the present study aimed
to investigate the effects of two methods of reflexology and stretching exercises
on the severity of restless leg syndrome among patients undergoing hemodialysis.
MATERIALS AND METHODS: This study is a randomized clinical trial that was done on
90 qualified patients undergoing hemodialysis in selected hospitals of Isfahan,
who were diagnosed with restless leg syndrome through standard restless leg
syndrome questionnaire. They were randomly assigned by random number table to
three groups: Reflexology, stretching exercises, and control groups through
random allocation. Foot reflexology and stretching exercises were conducted three
times a week for 30-40 min within straight 4 weeks. Data analysis was performed
by SPSS version 18 using descriptive and inferential statistical analyses [one
way analysis of variance (ANOVA), paired t-test, and least significant difference
(LSD) post hoc test]. RESULTS: There was a significant difference in the mean
scores of restless leg syndrome severity between reflexology and stretching
exercises groups, compared to control (P < 0.001), but there was no significant
difference between the two study groups (P < 0.001). Changes in the mean score of
restless leg syndrome severity were significantly higher in reflexology and
stretching exercises groups compared to the control group (P < 0.001), but it
showed no significant difference between reflexology massage and stretching
exercises groups. CONCLUSIONS: Our obtained results showed that reflexology and
stretching exercises can reduce the severity of restless leg syndrome. These two
methods of treatment are recommended to the patients.
PMID- 27186198
TI - The relationship between personality traits and sexual self-esteem and its
components.
AB - BACKGROUND: Women's sexual self-esteem is one of the most important factors that
affect women's sexual satisfaction and their sexual anxiety. Various aspects of
sexual life are blended with the entire personality. Determining the relationship
between personality traits and self-concept aspects such as sexual self-esteem
leads to better understanding of sexual behavior in people with different
personality traits and helps in identifying the psychological variables affecting
their sexual performance. The aim this study was to determine the relationship
between personality traits and sexual self-esteem. MATERIALS AND METHODS: This
correlation study was performed on 127 married women who referred to selected
health care centers of Mashhad in 2014-2015. Data collection tools included NEO
personality inventory dimensions and Zeanah and Schwarz sexual self-esteem
questionnaire. Data were analyzed through Pearson correlation coefficient test
and stepwise regression model. RESULTS: The results of Pearson correlation test
showed a significant relationship between neuroticism personality dimension (r =
0.414), extroversion (r = 0.363), agreeableness (r = 0.420), and
conscientiousness (r = 0.364) with sexual self-esteem (P < 0.05). The
relationship between openness with sexual self-esteem was not significant (P >
0.05). In addition, based on the results of the stepwise regression model, three
dimensions of agreeableness, neuroticism, and extraversion could predict 27% of
the women's sexual self-esteem variance. CONCLUSIONS: The results showed a
correlation between women's personality characteristics and their sexual self
esteem. Paying attention to personality characteristics may be important to
identify at-risk group or the women having low sexual self-esteem in premarital
and family counseling.
PMID- 27186199
TI - Physical violence against health care workers: A nationwide study from Iran.
AB - BACKGROUND: Workplace violence is a serious and problematic phenomenon in health
care settings. Research shows that health care workers are at the highest risk of
such violence. The aim of this study was to address the frequency of physical
violence against Iranian health personnel, their response to such violence, as
well as the contributing factors to physical violence. MATERIALS AND METHODS: A
cross-sectional study was conducted in 2011, in which 6500 out of 57,000 health
personnel working in some teaching hospitals were selected using multi-stage
random sampling. Data were collected using the questionnaire of "Workplace
Violence in the Health Sector" developed by the International Labor Organization,
the International Council of Nurses, the World Health Organization, and the
Public Services International. RESULTS: The findings revealed that 23.5% of the
participants were exposed to physical violence in the 12 months prior to the
study. Nurses were the main victims of physical violence (78%) and patients'
families were the main perpetrators of violence (56%). The most common reaction
of victims to physical violence was asking the aggressor to stop violence (45%).
Lack of people's knowledge of employees' tasks was the most common contributing
factor to physical violence (49.2%). CONCLUSIONS: Based on the results,
legislating appropriate laws in order to prevent and control violence in the
workplace is necessary. Moreover, developing educational programs to manage the
incidence of physical violence should be on health centers' agenda.
PMID- 27186200
TI - The perception of primiparous mothers of comfortable resources in labor pain (a
qualitative study).
AB - BACKGROUND: Natural delivery is the most painful event that women experience in
their lifetime. That is why labor pain relief has long been as one of the most
important issues in the field of midwifery. Thus, the present study aims to
explore the perception of primiparous mothers on comfortable resources for labor
pain. MATERIALS AND METHODS: In the present study, qualitative content analysis
technique was used. The participants had singleton pregnancy with normal vaginal
delivery. These women referred to the Imam Javad Health Center within 3-5 days
after delivery for screening thyroid of their babies. RESULTS: During the content
analysis process, five themes emerged that indicated the nature and dimensions of
the primiparous mothers' perception of comfortable resources. These themes were:
"religious and spiritual beliefs," "use of analgesic methods" (medicinal and non
medicinal), "support and the continuous attendance of midwife and delivery room
personnel," "family's and husband's support during pregnancy and in vaginal
delivery encouragement," and finally "lack of familiarity with the delivery room
and lack of awareness about structured delivery process." CONCLUSIONS: The
results showed that mothers received more comfort from human resources than from
the environment and modern equipment. Despite the need for specialized midwife
with modern technical facilities, this issue shows the importance of highlighting
the role of midwife and humanistic midwife care. Therefore, considering midwives
and the standardization of human resources in health centers are more important
than physical standardization. This will result in midwife interventions being
performed with real understanding of the patients' needs.
PMID- 27186201
TI - The effect of inhalation aromatherapy with damask rose (Rosa damascena) essence
on the pain intensity after dressing in patients with burns: A clinical
randomized trial.
AB - BACKGROUND: Pain is one of the common problems encountered by patients with
burns, which increases after each dressing. This study aimed to investigate the
effect of inhalation aromatherapy with damask rose essence on the pain of
patients with burns that is caused after dressing. MATERIALS AND METHODS: A
randomized clinical trial was conducted on 50 patients with second- and third
degree burn wounds. The baseline pain of the patients was assessed 30 min before
they entered into the dressing room on the first and second days of intervention.
The patients in the experimental group inhaled five drops of damask rose essence
40% in distilled water, while those in the control group inhaled five drops of
distilled water as placebo. The pain intensity was assessed using Visual Analogue
Scale at 15 and 30 min after the patients exited from the dressing room. Data
were analyzed by SPSS (version 18) using descriptive and inferential statistics.
RESULTS: There was significant difference between the mean of pain intensity
before and after intervention at 15 and 30 min after dressing (P < 0.001).
Moreover, there was significant difference in reduction of pain intensity before
and after aromatherapy in the experimental group (P < 0.05). Also, there was a
significant reduction in severity of pain after dressing in the experimental
group compared with the control group (P < 0.05). CONCLUSIONS: Inhalation
aromatherapy with damask rose could be effective for relieving the pain caused
after dressing in patients with burns. Therefore, it could be suggested as a
complementary therapy in burn patients for pain relief.
PMID- 27186202
TI - Investigating the effect of therapeutic touch on the intensity of acute
chemotherapy-induced vomiting in breast cancer women under chemotherapy.
AB - BACKGROUND: Nausea and vomiting are the worst and the most prevalent
complications experienced by 70-80% of patients. Complementary treatments
including therapeutic touch are cost-effective and low-risk, independent nursing
interventions. Present research aims at investigating the effect of therapeutic
touch on the intensity of acute chemotherapy-induced vomiting in these patients.
MATERIALS AND METHODS: As a single-blind, randomized clinical trial, the present
research was carried out on women with breast cancer undergoing chemotherapy in
Isfahan, Iran. The subjects were divided into three groups of control, placebo,
and intervention. The intervention was applied to each patient once for 20 min on
the aura (human energy field) focusing on solar chakra. Data gathering
instruments included demographic questionnaire and acute vomiting intensity
scale. RESULTS: There was a significant difference among the three groups (and
also after the intervention) (P < 0.0001). Paired comparisons among the groups
using Mann-Whitney test showed that there was a statistically significant
difference between the control group and the intervention group and between the
control group and the placebo group (P < 0.0001). However, there was no
significant difference between the placebo and intervention groups (P = 0.07).
CONCLUSIONS: Therapeutic touch was effective in reducing vomiting in the
intervention group. However, the patients experienced lower-intensity vomiting
which may be because of presence of a therapist and probably the reduced anxiety
related to an additional intervention. So, further research is recommended
considering the placebo group and employing another person in addition to the
therapist, who is not skilled for this technique.
PMID- 27186203
TI - Contextual factors affecting autonomy for patients in Iranian hospitals: A
qualitative study.
AB - BACKGROUND: Consideration of patient autonomy is an essential element in
individualized, patient-centered, ethical care. Internal and external factors
associated with patient autonomy are related to culture and it is not clear what
they are in Iran. The aim of this study was to explore contextual factors
affecting the autonomy of patients in Iranian hospitals. MATERIALS AND METHODS:
This was a qualitative study using conventional content analysis methods. Thirty
four participants (23 patients, 9 nurses, and 2 doctors) from three Iranian
teaching hospitals, selected using purposive sampling, participated in semi
structured interviews. Unstructured observation and filed notes were other
methods for data collection. The data were subjected to qualitative content
analysis and analyzed using the MAXQDA-10 software. RESULTS: Five categories and
sixteen subcategories were identified. The five main categories related to
patient autonomy were: Intrapersonal factors, physical health status, supportive
family and friends, communication style, and organizational constraints.
CONCLUSIONS: In summary, this study uncovered contextual factors that the care
team, managers, and planners in the health field should target in order to
improve patient autonomy in Iranian hospitals.
PMID- 27186204
TI - The association between abdominal obesity and characteristics of migraine attacks
in Iranian adults.
AB - BACKGROUND: Migraine is a primary headache disorder that affects the
neurovascular system. Recent studies have shown that migraine patients with
general obesity have higher characteristics of migraine attacks compared with
normal weight patients, but data on central obesity are scarce. This study was
done to assess the relationship between central obesity and the characteristics
of migraine attacks in migraine patients. MATERIALS AND METHODS: This cross
sectional study was conducted on 129 migraine patients (28 men and 101 women),
aged 15-67 years, in Isfahan, Iran. Anthropometric measurements such as waist
circumference (WC), hip circumference (HC), waist-hip ratio (WHR) and waist
height ratio (WHtR), as well as characteristics of migraine attacks such as
severity, frequency, duration, and headache diary result (HDR) was determined for
each participant. Linear regression was used to examine the association between
anthropometric measurements and characteristics of migraine attacks. P value less
than 0.05 was considered significant. RESULTS: WC, WHR, and WHtR were positively
associated with the severity (P-value: WC: 0.002, WHR: 0.002, WHtR: 0.001) and
frequency (P-value: WC: 0.006, WHR: 0.01, WHtR: 0.002) of migraine attacks.
Moreover, we found a significant association between WC (P = 0.001), WHR (P =
0.004), and WHtR (P < 0.001) with HDR. No significant relationship was observed
between central obesity indicators and duration of migraine attacks. CONCLUSIONS:
Central obesity indicators were positively associated with the severity and
frequency of migraine attacks as well as HDR, but not with duration of attacks.
Based on our findings, it can be concluded that weight loss may decrease the
characteristics of migraine attacks.
PMID- 27186205
TI - Bitter experiences of elderly parents of children with intellectual disabilities:
A phenomenological study.
AB - BACKGROUND: It is predicted that over the next 30 years, there will be a
significant increase in the number of elderly parents who care for their children
with intellectual disability. This paper is part of a larger qualitative study
which investigated the unpleasant experiences of these parents. MATERIALS AND
METHODS: A phenomenological approach was adopted and data were collected through
unstructured in-depth interviews with elderly parents of children with
intellectual disability. The data were analyzed using Colaizzi's seven-step
method. RESULTS: "Bitterness" is one of the four emergent themes extracted in
this study which has five theme clusters: inappropriate behavior toward the child
in the society, the society's failure to support the child with intellectual
disability, sorrows experienced by parents, the child's problems, and barriers in
the care of the child with intellectual disability. One significant barrier in
the last theme cluster is limitations due to aging. CONCLUSIONS: The findings of
this study suggest that the elderly parents of children with intellectual
disability experience many sorrows and unpleasant feelings, but they mostly
consider the social factors as the cause of problems and not the presence of the
child. The results also indicate that older parents cannot look after the child
as before in their old age; so, future well-designed studies are required for
identification of the process of supporting them.
PMID- 27186206
TI - Validity and reliability of the persian version of templer death anxiety scale in
family caregivers of cancer patients.
AB - BACKGROUND: Due to increasing recognition of the importance of death anxiety for
understanding human nature, it is important that researchers who investigate
death anxiety have reliable and valid methodology to measure. The purpose of this
study was to evaluate the validity and reliability of the Persian version of
Templer Death Anxiety Scale (TDAS) in family caregivers of cancer patients.
MATERIALS AND METHODS: A sample of 326 caregivers of cancer patients completed a
15-item questionnaire. Principal components analysis (PCA) followed by a varimax
rotation was used to assess factor structure of the DAS. The construct validity
of the scale was assessed using exploratory and confirmatory factor analyses.
Convergent and discriminant validity were also examined. Reliability was assessed
with Cronbach's alpha coefficients and construction reliability. RESULTS: Based
on the results of the PCA and consideration of the meaning of our items, a three
factor solution, explaining 60.38% of the variance, was identified. A
confirmatory factor analysis (CFA) then supported the adequacy of the three
domain structure of the DAS. Goodness-of-fit indices showed an acceptable fit
overall with the full model {chi(2)(df) = 262.32 (61), chi(2)/df = 2.04 [adjusted
goodness of fit index (AGFI) = 0.922, parsimonious comparative fit index (PCFI) =
0.703, normed fit Index (NFI) = 0.912, CMIN/DF = 2.048, root mean square error of
approximation (RMSEA) = 0.055]}. Convergent and discriminant validity were shown
with construct fulfilled. The Cronbach's alpha and construct reliability were
greater than 0.70. CONCLUSIONS: The findings show that the Persian version of the
TDAS has a three-factor structure and acceptable validity and reliability.
PMID- 27186207
TI - An investigation on the quality of midwifery services from the viewpoint of the
clients in Isfahan through SERVQUAL model.
AB - BACKGROUND: Quality of care is of great importance in health services as these
services have the important mission to preserve health, and to give care to the
society. The present study aimed to investigate the quality of midwifery services
from the viewpoint of the clients, under coverage of health care centers in
Isfahan, through SERVQUAL model. MATERIALS AND METHODS: This descriptive and
analytical study was conducted on 218 subjects in 2014. Study population
comprised the women referring to midwifery services clinics in health care
centers in Isfahan. Data of the subjects (n = 218) were collected by SERVQUAL
model containing the dimensions of tangibles, reliability, responsiveness,
assurance, and empathy. Data were analyzed by paired t-test, Spearman and Pearson
correlation coefficients, and independent t-test through SPSS 20. RESULTS: There
was a negative gap in all five relevant dimensions of giving services. The widest
gap was in the mean of dimension of tangibles (-1.5), and the narrowest gap was
in the dimension of assurance (-0.9). There was no significant association
between the scores of expectations and perceptions, and age, education level,
occupation, and marital status. CONCLUSIONS: The obtained negative gap showed
that the level of service receivers' perception from existing condition was far
from their expectation, and there was a wide gap between attaining their
satisfaction with midwifery services and their expectation and reaching their
appropriate level of services.
PMID- 27186208
TI - Iran's health policymakers' views on barriers and facilitators of nurse
prescribing in their context: A qualitative study.
AB - BACKGROUND: In most countries, one of the main reasons for developing more
advanced roles for nurses is to improve access to care in the context of limited
number of doctors. It is considered that the introduction of major policy
initiatives, such as nurse prescribing, requires high-level discussion and policy
development to ensure successful implementation. This study aimed to identify the
barriers and facilitators of nurse prescribing based on policymakers' views in
Iran. MATERIALS AND METHODS: This qualitative study was based on conventional
content analysis approach. A purposeful sample of 14 participants were recruited,
including 6 members of the Nursing Board, 6 members of the Iranian Nursing
Organization, and 2 senior employees of Iran's Ministry of Health and Medical
Education. Data were gathered through in-depth semi-structured interviews.
Interviews were audio-recorded and transcribed verbatim. RESULTS: The four
emerged categories as facilitators of nurse prescribing were labeled "positive
views of health policymakers," "human resources capabilities," "non-medical
prescribing experiences," and "governmental and non-governmental organizational
activities." The four extracted categories as barriers of nurse prescribing were
"socio-cultural factors," "organizational factors," "educational barriers," and
"human barriers." CONCLUSIONS: Barriers and facilitating factors should be
considered in order to bring about organizational policy changes and improve
perspectives. Nurse prescribing requires the efforts of involved managers and
authorities for development and modernization. The results of this study can
serve as a compressed resource for policymakers and managers to identify the
effective issues on nurse prescribing and can help them to plan for the
implementation of nurse prescribing.
PMID- 27186209
TI - Effect of head nurse empowerment program on staff nurses' job satisfaction at two
educational hospitals in Rasht, Iran.
AB - BACKGROUND: Job satisfaction of nurses is an important concept in nursing
profession because it influences nursing care. Head nurses play a crucial role in
the job satisfaction of nurse staffs. The current study, therefore, aimed to
investigate the effect of Head Nurse Empowerment Program (HNEP) on job
satisfaction of nurses. MATERIALS AND METHODS: This quasi-experimental study was
conducted at two educational hospitals in Rasht, Iran. Of 160 staff nurses who
were working in eight medical-surgical wards and two Intensive Care Units, 60
were recruited using simple random sampling method. The samples were randomly
assigned to control and experimental groups. The HNEP was applied to promote the
management skills among nurses, which included technical, communicational,
perceptional, and diagnostic skills. The data were collected using nurses' job
satisfaction questionnaire and analyzed using the Mann-Whitney and Wilcoxon
Singed Ranks tests. P < 0.05 was set as the significance level. RESULTS: There
was a significant difference in the job satisfaction of nurse staff within the
experimental group on comparing pre- and post-HNEP phases [78 (65.50) vs. 78
(65.50); P < 0.001]. The job satisfaction also differed between the control and
experimental groups [60 (82.25) vs. 128.5 (51.75); P < 0.001]. However, no
significant difference [62 (78.75) vs. 60 (82.25); P = 0.129] was found within
the control group. The number of satisfied nurse staffs increased in the
experimental group from approximately 25% to 76% after HNEP. CONCLUSIONS: The
HNEP can be used as a promoting tool in the nursing profession. Increasing head
nurses' management skills can result in job satisfaction among the staff nurses.
PMID- 27186211
TI - Effect of peer education on the noise management in Iranian neonatal intensive
care unit.
AB - BACKGROUND: Advancements in neonatal intensive care unit (NICU) science and
technology have increased the survival rate of preterm infants. Despite these
advances, they are still facing with neurobehavioral problems. Noise level in
NICU is a potential source of stress for preterm infants. It should be decreased
to the standard level as much as possible. The purpose of this study was to
evaluate the effect of peer education on the performance of staff in noise
management in the NICU. MATERIALS AND METHODS: A pre-post test quasi-experimental
design was used. Fifty-eight staff members (nurses and physicians) participated
in this study. Sound pressure levels were measured before and after the
intervention. Peer education program formed the intervention. The staff
performance in noise management was evaluated before and after the intervention
by using a questionnaire. Data analysis was done by using t-test. RESULTS: The
results of the study showed that the mean sound level in different environments
significantly decreased after the intervention. It reached from 86.7 to 74.9 dB
in the center of unit and from 68.2 to 48.50 dB in the infants' bedside (P <
0.0001). The mean score of the staff performance in noise management
significantly increased after the intervention, compared to the pre-intervention
score. It increased from 74.6 to 83.4 (P < 0.0001). CONCLUSIONS: Peer education
was found to be successful in noise management because behavioral changes were
done to avoid generating unnecessary noise by the staff.
PMID- 27186210
TI - Effective strategies for reducing maternal mortality in Isfahan University of
Medical Sciences, 2014.
AB - BACKGROUND: Maternal mortality rate is among the most important health
indicators. This indicator is a function of factors that are related to pregnant
women; these factors include economic status, social and family life of the
pregnant woman, human resources, structure of the hospitals and health centers,
and management factors. Strategic planning, with a comprehensive analysis and
coverage of all causes of maternal mortality, can be helpful in improving this
indicator. MATERIALS AND METHODS: This research is a descriptive exploratory
study. After needs assessment and review of the current situation through eight
expert panel meetings and evaluating the organization's internal and external
environment, the strengths, weaknesses, threats, and opportunities of maternal
mortality reduction were determined. Then, through mutual comparison of
strengths/opportunities, strengths/threats, weaknesses/opportunities, and
weaknesses/threats, WT, WO, ST, and SO strategies and suggested activities of the
researchers for reducing maternal mortality were developed and dedicated to the
areas of education, research, treatment, and health, as well as food and drug
administration to be implemented. RESULTS: In the expert panel meetings, seven
opportunity and strength strategies, eight strength and threat strategies, five
weakness and threat strategies, and seven weakness and opportunity strategies
were determined and a strategic plan was developed. CONCLUSIONS: Dedication of
the developed strategies to the areas of education, research, treatment, and
health, as well as food and drug administration has coordinated these areas to
develop Ministry of Health indicators. In particular, it emphasizes the key role
of university management in improving the processes related to maternal health.
PMID- 27186212
TI - The effect of nitric oxide releasing cream on healing pressure ulcers.
AB - BACKGROUND: Pressure ulcer is one of the main concerns of nurses in medical
centers around the world, which, if untreated, causes irreparable problems for
patients. In recent years, nitric oxide (NO) has been proposed as an effective
method for wound healing. This study was conducted to determine the effect of
nitric oxide on pressure ulcer healing. MATERIALS AND METHODS: In this clinical
trial, 58 patients with pressure ulcer at hospitals affiliated to Ahvaz
Jundishapur University of Medical Sciences were homogenized and later divided
randomly into two groups of treatment (nitric oxide cream; n = 29) and control
(placebo cream; n = 29). In this research, the data collection tool was the
Pressure Ulcer Scale for Healing (PUSH). At the outset of the study (before using
the cream), the patients' ulcers were examined weekly in terms of size, amount of
exudates, and tissue type using the PUSH tool for 3 weeks. By integrating these
three factors, wound healing was determined. Data were analyzed using SPSS.
RESULTS: Although no significant difference was found in terms of the mean of
score size, the amount of exudates, and the tissue type between the two groups,
the mean of total score (healing) between the two groups was statistically
significant (P = 0.04). CONCLUSIONS: Nitric oxide cream seems to accelerate wound
healing. Therefore, considering its easy availability and cost-effectiveness, it
can be used for treating pressure ulcers in the future.
PMID- 27186213
TI - The effects of progressive muscular relaxation and breathing control technique on
blood pressure during pregnancy.
AB - BACKGROUND: Hypertensive disorders in pregnancy are the main cause of maternal
and fetal mortality; however, they have no definite effective treatment. The
researchers aimed to study the effects of progressive muscular relaxation and
breathing control technique on blood pressure (BP) during pregnancy. MATERIALS
AND METHODS: This three-group clinical trial was conducted in Mashhad health
centers and governmental hospitals. Sixty pregnant (after 20 weeks of gestational
age) women with systolic BP >= 135 mmHg or diastolic BP >= 85 mmHg were assigned
to three groups. Progressive muscular relaxation and breathing control exercises
were administered to the two experimental groups once a week in person and in the
rest of the days by instructions given on a CD for 4 weeks. BP was checked before
and after the interventions. BP was measured before and after 15 min subjects'
waiting without any especial intervention in the control group. RESULTS: After 4
weeks of intervention, the systolic (by a mean of 131.3 to 117.2, P = 0.001 and
by a mean of 131.05 to 120.5, P = 0.004, respectively) and diastolic (by a mean
of 79.2 to 72.3, P = 0.001 and by a mean of 80.1 to 76.5, P = 0.047,
respectively) BPs were significantly decreased in progressive muscular relaxation
and breathing control groups, but they were not statistically significant in the
control group. CONCLUSIONS: The interventions were effective on decreasing
systolic and diastolic BP to normal range after 4 weeks in both the groups. The
effects of both the interventions were more obvious on systolic BP compared to
diastolic BP.
PMID- 27186215
TI - Expiratory rib cage compression, endotracheal suctioning, and vital signs.
PMID- 27186214
TI - Effects of thoracic squeezing on airway secretion removal in mechanically
ventilated patients.
AB - BACKGROUND: Accumulation of secretions in the airways of patients with an
endotracheal tube and mechanical ventilation will have serious consequences. One
of the most common methods of airway clearance is endotracheal suctioning. In
order to facilitate discharge of airway secretion resulting in promotion of gas
exchange, chest physiotherapy techniques can be used at the time of expiration
before suction. MATERIALS AND METHODS: In this clinical trial with a cross-over
design, 50 mechanically ventilated patients admitted to intensive care units
(ICUs) were randomly divided into two groups of thoracic squeezing. In each
patient, two interventions of endotracheal suctioning were conducted, one with
and the other without thoracic squeezing during exhalation, with a 3 h gap
between the two interventions and an elapse of three respiratory cycles between
the number of compressions. Sputum secreted was collected in a container
connected to a suction catheter and weighed. Data were recorded in data gathering
forms and analyzed using descriptive and inferential statistics (Wilcoxon and
independent t-test, Chi-square) in SPSS version 16. RESULTS: Findings showed that
the mean weight of the suction secretions removed from airway without thoracic
squeezing was 1.35 g and that of suction secretions removed by thoracic squeezing
was 1.94 g. Wilcoxon test showed a significant difference regarding the rate of
secretion between the two techniques (P = 0.003). CONCLUSIONS: According to the
study findings, endotracheal suction with thoracic squeezing on expiration helps
airway secretion discharge more than suction alone in patients on mechanical
ventilators and can be used as an effective method.
PMID- 27186216
TI - Diffuse alveolar hemorrhage and recombinant factor VIIa treatment in pediatric
patients.
AB - Diffuse alveolar hemorrhage (DAH) is a life-threatening pulmonary complication in
patients with hematologic malignancies or autoimmune disorders. The current
treatment options, which include corticosteroids, transfusions, extracorporeal
membrane oxygenation (ECMO), and immunosuppressants, have been limited and
largely unsuccessful. Recombinant activated factor VII (rFVIIa) has been
successfully administered, either systemically or bronchoscopically, to adults
for the treatment of DAH, but there are few data on its use in pediatric
patients. The current literature in the PubMed database was reviewed to evaluate
the efficacy and risk of rFVIIa treatment for DAH in pediatric patients. This
review discusses the diagnosis and treatment of DAH, as well as a new treatment
paradigm that includes rFVIIa. Additionally, the risks and benefits of off-label
use of rFVIIa in pediatric patients are discussed.
PMID- 27186217
TI - Reference values of lead in blood and related factors among Korean adolescents:
the Korean National Health and Nutrition Examination Survey 2010-2013.
AB - PURPOSE: This study aimed to assess the reference values and factors influencing
blood lead levels among Korean adolescents. METHODS: The study population
consisted of 1,585 adolescents (801 males, 784 females; aged 10-19 years) who
participated in the Korea National Health and Nutrition Examination Survey 2010
2013. We analyzed blood lead concentrations in relation to demographic/lifestyle
characteristics for all participants. "Reference values" of blood lead levels
were calculated as the upper limit of the 95% confidence interval of the 95th
percentile. RESULTS: The average "reference value" for blood lead concentrations
among Korean adolescents was 2.25 ug/dL (2.49 ug/dL for males, 2.07 ug/dL for
females), and the geometric mean of the blood lead concentrations was 1.34 ug/dL.
Males had higher blood lead concentrations than females (male, 1.48 ug/dL;
female, 1.19 ug/dL; P<0.001). Elementary school students had higher blood lead
concentrations than junior and senior high school students (1.44 ug/dL vs. 1.31
ug/dL, P<0.001). Participants living in detached houses had higher blood lead
concentrations than those living in apartments (P<0.001) and current smokers had
higher concentrations than nonsmokers or participants with secondhand smoke
exposure (P<0.05). Additionally, participants with excessive alcohol consumption
had higher levels than non-drinkers (P<0.001). CONCLUSION: This study provides
national reference data on blood lead concentrations stratified by demographic
and lifestyle factors among Korean adolescents. Further studies are needed to
elucidate the relationship between increased lead exposure and demographic
factors including type of housing.
PMID- 27186219
TI - Diagnostic value of the Vesikari Scoring System for predicting the viral or
bacterial pathogens in pediatric gastroenteritis.
AB - PURPOSE: To evaluate the diagnostic value of the Vesikari Scoring System (VSS) as
an early predictor of pathogens in children with acute gastroenteritis (AG).
METHODS: In this retrospective study, the VSS score, absolute neutrophil count
(ANC), and C-reactive protein (CRP) levels were analyzed in 107 hospitalized
children with AG, aged 6 months to 17 years. Patients were divided into
nonspecific, viral, and bacterial groups according to the pathogens detected
using a multiplex polymerase chain reaction (PCR) test. RESULTS: Patients in the
bacterial group had significantly higher CRP values and VSS scores compared to
those in the viral group and significantly higher VSS scores compared to those in
the nonspecific group (P<0.05). Patients in the viral group had significantly
higher VSS scores than those in the nonspecific group (P<0.05). Logistic
regression analysis revealed that VSS was the most effective diagnostic tool for
predicting the type of pathogen (P<0.05). The area under the receiver operating
characteristics curve of VSS was significantly greater than that for ANC and CRP
(P<0.05). At a cutoff point of 10 in the VSS, an acceptable diagnostic accuracy
could be achieved for distinguishing between bacterial and viral pathogens in AG.
CONCLUSION: VSS can be considered a useful and reliable infectious marker for
pediatric gastroenteritis. VSS may be a good early predictor of the type of
pathogen, enabling development of a treatment plan before results from a stool
culture or PCR test are available.
PMID- 27186218
TI - Recent viral pathogen in acute gastroenteritis: a retrospective study at a
tertiary hospital for 1 year.
AB - PURPOSE: Viral gastroenteritis among children is mainly caused by rotavirus,
norovirus, astrovirus, or adenovirus strains. However, changing socioeconomic
conditions and a rotavirus vaccination program may be affecting the prevalence of
these viral infections. Therefore, we aimed to elucidate the season-specific
trends in viral infections for facilitating prophylaxis and surveillance in our
region. METHODS: We evaluated 345 pediatric patients (203 males, 142 females;
age, 1 month to 16 years) who visited the CHA Bundang Medical Center because of
gastroenteric symptoms between June 2014 and May 2015. The specimens were
simultaneously tested for norovirus, rotavirus, astrovirus, and adenovirus via
multiplex reverse transcription polymerase chain reaction. Clinical
characteristics of patients were analyzed retrospectively. RESULTS: The most
common virus was norovirus, followed by rotavirus, adenovirus, and astrovirus. Of
all viral infections, 45.2% occurred mainly between 6 and 24 months of age; in
particular, norovirus infection mostly occurred in all age groups except those
below 6 months of age, when rotavirus was most prevalent. In addition, seasonal
variation was observed, such as norovirus infection from December to February,
rotavirus infection from February to April, and adenovirus infection from July to
October. CONCLUSION: Our results showed that the most common cause of acute
pediatric viral gastroenteritis had changed from rotavirus to norovirus in our
patients, because of effective rotaviral vaccination. We recommend the management
of food and personal hygiene in accordance with age or seasons as well as active
vaccination for preventing viral gastroenteritis.
PMID- 27186220
TI - A nationwide study of children and adolescents with pneumonia who visited
Emergency Department in South Korea in 2012.
AB - PURPOSE: Acute respiratory infection, particularly pneumonia, is the most common
cause of hospitalization and death among children in developing nations. This
study aimed to investigate the characteristics of children and adolescents with
pneumonia who visited Emergency Department (ED) in South Korea in 2012. METHODS:
We analyzed National Emergency Department Information System (NEDIS) records from
146 EDs in South Korea for all pediatric patients aged <=18 years who were
diagnosed with pneumonia between January and December 2012. RESULTS: Among 38,415
subjects, the male-to-female ratio was 1:0.8. Patients aged <12 months comprised
18.0% of the study population; those aged 1 to 3 years, 54.4%; those aged 4 to 6
years, 16.8%; those aged 7 to 12 years, 7.4%; and those aged 13 to 18 years,
3.4%. Presentation rates were highest in April, followed by January, March, and
May. The hospital admission rate was 43.5%, of which 2.6% were in intensive care
units. The mortality rate was 0.02%. Based on the International Classification of
Diseases, 10th Revision, diagnostic codes, the types of pneumonia according to
cause were viral pneumonia (29.0%), bacterial pneumonia (5.3%), Mycoplasmal
pneumonia (4.5%), aspiration pneumonia (1.3%), and pneumonia of unknown origin
(59.3%). CONCLUSION: Despite the limited data due to the ED data from the NEDIS
lacking laboratory results and treatment information, this study reflects well
the outbreak patterns among children and adolescents with pneumonia. Our results
provide a basis for future studies regarding ED treatment for children and
adolescents with pneumonia.
PMID- 27186222
TI - Acute tubular necrosis as a part of vancomycin induced drug rash with
eosinophilia and systemic symptoms syndrome with coincident postinfectious
glomerulonephritis.
AB - Drug rash with eosinophilia and systemic symptoms (DRESS) syndrome is a rare and
potentially fatal condition characterized by skin rash, fever, eosinophilia, and
multiorgan involvement. Various drugs may be associated with this syndrome
including carbamazepine, allopurinol, and sulfasalazine. Renal involvement in
DRESS syndrome most commonly presents as acute kidney injury due to interstitial
nephritis. An 11-year-old boy was referred to the Children's Hospital of Pusan
National University because of persistent fever, rash, abdominal distension,
generalized edema, lymphadenopathy, and eosinophilia. He previously received
vancomycin and ceftriaxone for 10 days at another hospital. He developed acute
kidney injury with nephrotic range proteinuria and hypocomplementemia. A
subsequent renal biopsy indicated the presence of acute tubular necrosis (ATN)
and late exudative phase of postinfectious glomerulonephritis (PIGN). Systemic
symptoms and renal function improved with corticosteroid therapy after the
discontinuation of vancomycin. Here, we describe a biopsy-proven case of severe
ATN that manifested as a part of vancomycin-induced DRESS syndrome with
coincident PIGN. It is important for clinicians to be aware of this syndrome due
to its severity and potentially fatal nature.
PMID- 27186221
TI - Usefulness of neutrophil-lymphocyte ratio in young children with febrile urinary
tract infection.
AB - PURPOSE: Acute pyelonephritis (APN) is a serious bacterial infection that can
cause renal scarring in children. Early identification of APN is critical to
improve treatment outcomes. The neutrophil-lymphocyte ratio (NLR) is a prognostic
marker of many diseases, but it has not yet been established in urinary tract
infection (UTI). The aim of this study was to determine whether NLR is a useful
marker to predict APN or vesicoureteral reflux (VUR). METHODS: We retrospectively
evaluated 298 pediatric patients (age<=36 months) with febrile UTI from January
2010 to December 2014. Conventional infection markers (white blood cell [WBC]
count, erythrocyte sedimentation rate [ESR], C-reactive protein [CRP]), and NLR
were measured. RESULTS: WBC, CRP, ESR, and NLR were higher in APN than in lower
UTI (P<0.001). Multiple logistic regression analyses showed that NLR was a
predictive factor for positive dimercaptosuccinic acid (DMSA) defects (P<0.001).
The area under the receiver operating characteristic (ROC) curve was high for NLR
(P<0.001) as well as CRP (P<0.001) for prediction of DMSA defects. NLR showed the
highest area under the ROC curve for diagnosis of VUR (P<0.001). CONCLUSION: NLR
can be used as a diagnostic marker of APN with DMSA defect, showing better
results than those of conventional markers for VUR prediction.
PMID- 27186224
TI - Blood glucose level after febrile convulsion.
PMID- 27186223
TI - Complete occlusion of the right middle cerebral artery associated with Mycoplasma
pneumoniae pneumonia.
AB - We report a case of a 5-year-old girl who developed left hemiparesis and left
facial palsy, 6 days after the initiation of fever and respiratory symptoms due
to pneumonia. Chest radiography, conducted upon admission, showed pneumonic
infiltration and pleural effusion in the left lung field. Brain magnetic
resonance imaging showed acute ischemic infarction in the right middle cerebral
artery territory. Brain magnetic resonance angiography and transfemoral cerebral
angiography revealed complete occlusion of the right middle cerebral artery.
Mycoplasma pneumoniae infection was identified by a 4-fold increase in IgG
antibodies to M. pneumoniae between acute and convalescent sera by enzyme-linked
immunosorbent assay. Fibrinogen and D-dimer levels were elevated, while
laboratory exams in order to identify other predisposing factors of pediatric
stroke were all negative. This is the first reported pediatric case in English
literature of a M. pneumoniae-associated cerebral infarction involving complete
occlusion of the right middle cerebral artery.
PMID- 27186225
TI - Cognitive impairment in childhood onset epilepsy: up-to-date information about
its causes.
AB - Cognitive impairment associated with childhood-onset epilepsy is an important
consequence in the developing brain owing to its negative effects on
neurodevelopmental and social outcomes. While the cause of cognitive impairment
in epilepsy appears to be multifactorial, epilepsy-related factors such as type
of epilepsy and underlying etiology, age at onset, frequency of seizures,
duration of epilepsy, and its treatment are considered important. In recent
studies, antecedent cognitive impairment before the first recognized seizure and
microstructural and functional alteration of the brain at onset of epilepsy
suggest the presence of a common neurobiological mechanism between epilepsy and
cognitive comorbidity. However, the overall impact of cognitive comorbidity in
children with epilepsy and the independent contribution of each of these factors
to cognitive impairment have not been clearly delineated. This review article
focuses on the significant contributors to cognitive impairment in children with
epilepsy.
PMID- 27186226
TI - Modification of nutrition strategy for improvement of postnatal growth in very
low birth weight infants.
AB - PURPOSE: To identify the effects of modified parenteral nutrition (PN) and
enteral nutrition (EN) regimens on the growth of very low birth weight (VLBW)
infants. METHODS: The study included VLBW infants weighing <1,500 g, admitted to
Chungnam National University Hospital between October 2010 and April 2014, who
were alive at the time of discharge. Subjects were divided according to 3
periods: period 1 (n=37); prior to the PN and EN regimen being modified, period 2
(n=50); following the PN-only regimen modification, period 3 (n=37); following
both PN and EN regimen modification. The modified PN regimen provided 3 g/kg/day
of protein and 1 g/kg/day of lipid on the first day of life. The modified EN
regimen provided 3.5-4.5 g/kg/day of protein and 150 kcal/kg/day of energy. We
investigated growth rate, anthropometric measurements at 40 weeks
postconceptional age (PCA) and the incidence of extrauterine growth restriction
(EUGR) at 40 weeks PCA. RESULTS: Across the 3 periods, clinical characteristics,
including gestational age, anthropometric measurements at birth, multiple births,
sex, Apgar score, surfactant use and PDA treatment, were similar. Growth rates
for weight and height, from time of full enteral feeding to 40 weeks PCA, were
higher in period 3. Anthropometric measurements at 40 weeks PCA were greatest in
period 3. Incidence of weight, height and head circumference EUGR at 40 weeks PCA
decreased in period 3. CONCLUSION: Beginning PN earlier, with a greater supply of
protein and energy during PN and EN, is advantageous for postnatal growth in VLBW
infants.
PMID- 27186228
TI - Prediction of nonresponsiveness to medium-dose intravenous immunoglobulin (1
g/kg) treatment: an effective and safe schedule of acute treatment for Kawasaki
disease.
AB - PURPOSE: Medium-dose (1 g/kg) intravenous immunoglobulin (IVIG) is effective in
the majority of patients with Kawasaki disease (KD) but some patients who do not
respond to medium-dose IVIG are at high risk for the development of coronary
artery lesions (CALs). The purpose of this study was to identify the clinical
predictors associated with unresponsiveness to medium-dose IVIG and the
development of CALs. METHODS: A retrospective study was performed in 91 children
with KD who were treated with medium-dose IVIG at our institution from January
2004 to December 2013. We classified the patients into responders (group 1; n=68)
and nonresponders (group 2; n=23). We compared demographic, laboratory, and
echocardiographic data between the 2 groups. RESULTS: Multivariate logistic
regression analysis identified 6 variables as predictors for resistance to medium
dose IVIG. We generated a predictive scoring system assigning 1 point each for
percentage of neutrophils >=65%, C-reactive protein>=100 mg/L, aspartate
aminotransferase>=100 IU/L, and alanine aminotransferase>=100 IU/L, as well as 2
points for less than 5 days of illness, and serum sodium level<=136 mmol/L. Using
a cutoff point of >=4 with this scoring system, we could predict
nonresponsiveness to medium-dose IVIG with 74% sensitivity and 71% specificity.
CONCLUSION: If a patient has a low-risk score in this system, medium-dose IVIG
can be recommended as the initial treatment. Through this process, we can
minimize the adverse effects of high-dose IVIG and incidence of CALs.
PMID- 27186227
TI - Usefulness of anterior uveitis as an additional tool for diagnosing incomplete
Kawasaki disease.
AB - PURPOSE: There are no specific tests for diagnosing Kawasaki disease (KD).
Additional diagnostic criteria are needed to prevent the delayed diagnosis of
incomplete Kawasaki disease (IKD). This study compared the frequency of coronary
artery lesions (CALs) in IKD patients with and without anterior uveitis (AU) and
elucidated whether the finding of AU supported the diagnosis of IKD. METHODS:
This study enrolled patients diagnosed with IKD at The Catholic University of
Korea, Uijeongbu St. Mary's Hospital from January 2010 to December 2014. The
patients were divided into 2 groups: group 1 included patients with IKD having
AU; and group 2 included patients with IKD without AU. We analyzed the
demographic and clinical data (age, gender, duration of fever, and the number of
diagnostic criteria), laboratory results, and echocardiographic findings.
RESULTS: Of 111 patients with IKD, 41 had uveitis (36.98%, group 1) and 70 did
not (63.02%, group 2). Patients in group 1 had received a diagnosis and treatment
earlier, and had fewer CALs (3 of 41, 1.7%) than those in group 2 (20 of 70,
28.5%) (P=0.008). All 3 patients with CALs in group 1 had coronary dilatation,
while patients with CALs in group 2 had CALs ranging from coronary dilatation to
giant aneurysm. CONCLUSION: The diagnosis of IKD is challenging but can be
supported by the presence of features such as AU. Group 1 had a lower risk of
coronary artery disease than group 2. Therefore, the presence of AU is helpful in
the early diagnosis and treatment of IKD and can be used as an additional
diagnostic tool.
PMID- 27186229
TI - Correlation of B-type natriuretic peptide levels and echocardiographic parameters
in preterm infants with patent ductus arteriosus.
AB - PURPOSE: This study aimed to evaluate the correlation, according to postnatal
age, between plasma B-type natriuretic peptide (BNP) levels and echocardiographic
parameters for the assessment of patent ductus arteriosus (PDA) in preterm
infants with respiratory distress. METHODS: We enrolled 42 preterm infants with
respiratory distress who underwent serial echocardiographic evaluation with
simultaneous plasma BNP measurements until ductal closure. The correlations
between BNP levels and the following 4 representative echocardiographic
parameters were studied: diameter of the ductus arteriosus (DA), ratio of the
left atrial diameter to the aortic diameter (LA/Ao), ratio of the PDA diameter to
the infant's left pulmonary artery diameter (PDA/LPA), and the antegrade
diastolic flow of LPA (DFLPA). RESULTS: BNP levels were significantly correlated
to the magnitude of the ductal shunt, comprising the DA diameter, PDA/LPA ratio,
LA/Ao ratio, and antegrade DFLPA for the overall study period. The earliest
significant correlation, starting from postnatal day 2, was observed between the
LA/Ao ratio and BNP levels. The PDA/LPA ratio and the antegrade DFLPA showed
significant correlations with BNP levels postnatal day 3 onward, and with the DA
diameter, postnatal day 5 onward. CONCLUSION: BNP levels and echocardiographic
parameters showed a positive correlation, but the significance of the
correlations differed according to the postnatal age, especially during the first
few days of life.
PMID- 27186230
TI - Subclinical left ventricular dysfunction in children after hematopoietic stem
cell transplantation for severe aplastic anemia: a case control study using
speckle tracking echocardiography.
AB - PURPOSE: Severe aplastic anemia (SAA), a fatal disease, requires multiple
transfusion, immunosuppressive therapy, and finally, hematopoietic stem cell
transplantation (HSCT) as the definitive treatment. We hypothesized that iron
overloading associated with multiple transfusions and HSCTrelated complications
may adversely affect cardiac function. Left ventricular (LV) function was
assessed in children after HSCT for SAA. METHODS: Forty-six consecutive patients
with a median age of 9.8 years (range, 1.5-18 years), who received HSCT for SAA
and who underwent comprehensive echocardiography before and after HSCT, were
included in this study. The data of LV functional parameters obtained using
conventional echocardiography, tissue Doppler imaging (TDI), and speckle-tracking
echocardiography (STE) were collected from pre- and post-HSCT echocardiography.
These data were compared to those of 40 age-matched normal controls. RESULTS: In
patients, the LV ejection fraction, shortening fraction, end-diastolic dimension,
mitral early diastolic E velocity, TDI mitral septal E' velocity, and STE LV
longitudinal systolic strain rate (SSR) decreased significantly after HSCT.
Compared to normal controls, patients had significantly lower post-HSCT early
diastolic E velocity and E/A ratio. On STE, patients had significantly decreased
LV deformational parameters including LV longitudinal systolic strain (SS), SSR,
and diastolic SR (DSR), and circumferential SS and DSR. Serum ferritin levels
showed weak but significant correlations (P<0.05) with LV longitudinal SS and SSR
and circumferential SS and DSR. CONCLUSION: Subclinical LV dysfunction is evident
in patients after HSCT for SAA, and was associated with increased iron load.
Serial monitoring of cardiac function is mandatory in this population.
PMID- 27186231
TI - Concomitant use of corticosteroid and antimicrobials for liver abscesses in
patients with chronic granulomatous disease.
AB - Chronic granulomatous disease (CGD) is a rare inherited disorder caused by
defective nicotinamide adenine dinucleotide phosphate oxidase enzyme and
characterized by recurrent bacterial and fungal infections. Although liver
abscess is a common manifestation of CGD, its management in CGD patients is not
well-defined. In addition, the generalized guidelines for treating liver
abscesses do not necessarily apply to CGD patients. Corticosteroids are commonly
used to control granulomatous complications, such as inflammatory
gastrointestinal and genitourinary lesions, in patients with CGD, Corticosteroids
have also been used in combination with antimicrobials to treat refractory
infections in patients with CGD. Because corticosteroids are capable of
suppressing symptomatic inflammation, all potential infections must be adequately
controlled prior to corticosteroid initiation. We report 3 typical CGD cases with
liver abscesses refractory to conventional treatments that were successfully
treated with the concomitant use of corticosteroid and antimicrobials. It remains
unclear whether corticosteroid therapy is required for liver abscesses in CGD
refractory to conventional treatments. However, based on our observations, use of
corticosteroids in combination with optimal antimicrobials should be considered
for refractory liver abscesses in CGD.
PMID- 27186232
TI - Deficiency of antidiuretic hormone: a rare cause of massive polyuria after kidney
transplantation.
AB - A 15-year-old boy, who was diagnosed with Alport syndrome and end-stage renal
disease, received a renal transplant from a living-related donor. On
postoperative day 1, his daily urine output was 10,000 mL despite normal graft
function. His laboratory findings including urine, serum osmolality, and
antidiuretic hormone levels showed signs similar to central diabetes insipidus,
so he was administered desmopressin acetate nasal spray. After administering the
desmopressin, urine specific gravity and osmolality increased abruptly, and daily
urine output declined to the normal range. The desmopressin acetate was tapered
gradually and discontinued 3 months later. Graft function was good, and urine
output was maintained within the normal range without desmopressin 20 months
after the transplantation. We present a case of a massive polyuria due to
transient deficiency of antidiuretic hormone with the necessity of desmopressin
therapy immediately after kidney transplantation in a pediatric patient.
PMID- 27186233
TI - The effect of interaction between Melanocortin-4 receptor polymorphism and
dietary factors on the risk of metabolic syndrome.
AB - BACKGROUND: Controversial data is available on the effect of the Melanocortin-4
receptor (MC4R) gene variation on metabolic syndrome (MetS) and ineffectiveness
of diet in managing MetS. Effects of the interaction between MC4R polymorphism
and dietary factors on MetS were investigated in this study. METHODS: Subjects of
this nested case-control study were selected from among participants of Tehran
Lipid and Glucose Study. Each case (n = 815) was pair matched randomly with a
control by age (+/-5 years) and sex from among those who had not developed >=1
MetS components at the time that the corresponding case developed MetS. Dietary
patterns were determined using factor analysis on 25 foods groups using a valid
and reliable, 168-item semi-quantitative food frequency questionnaire (FFQ). MC4R
rs12970134 were genotyped by Tetra-Primer ARMS-polymerase chain reaction
analysis. Adjusted conditional logistic regression was used to estimate the
interactions of SNP with quartiles of dietary factors in relation to MetS. MetS
was defined by the modified National Cholesterol Education Program/Adult
Treatment panel III. RESULTS: Two dietary patterns were extracted. The healthy
dietary pattern was loaded heavily on vegetables, legumes, low fat dairy, whole
grains, liquid oils and fruits; the western dietary pattern consisted of a high
intake of soft drinks, fast foods, sweets, solid oils, red meats, salty snacks,
refined grains, high fat dairy, tea and coffee, eggs and poultry. Among A allele
carriers, being in the highest quartiles of western dietary pattern score and
saturated fatty acid intake had an increased risk of MetS, compared to those in
the lowest quartile (P trend = 0.007). Saturated fatty acid intake could modulate
the association of A allele carriers of MC4R with MetS (P interaction = 0.03). A
significant interaction was observed between rs12970134 with total fat and iron
intake on the risk of abdominal obesity (P interaction < 0.05). CONCLUSION: Our
findings suggest an interaction between rs12970134 and western dietary pattern,
fat and vegetable intakes on the risk of MetS or its components.
PMID- 27186235
TI - Price and affordability of direct-acting antiviral regimens for hepatitis C virus
in the United States.
AB - Hepatitis C virus is a serious infection causing cirrhosis, liver cancer, and
death. The recent development of direct-acting antivirals has dramatically
improved tolerability of treatment and rates of cure. However, the high price of
these medications has often limited access to care and resulted in rationing of
medications in the United States to those with advanced liver disease, access to
specialist care, and without active substance use. This review assesses the way
pharmaceutical prices are established and how pricing of directly acting
antiviral regimens in the United States has impacted access to treatment for
hepatitis C virus.
PMID- 27186236
TI - Optimization and in vitro antiproliferation of Curcuma wenyujin's active extracts
by ultrasonication and response surface methodology.
AB - BACKGROUND: Curcuma wenyujin, a member of the genus Curcuma, has been widely
prescribed for anti-cancer therapy. Multiple response surface optimization has
attracted a great attention, while, the research about optimizing three or more
responses employing response surface methodology (RSM) was very few. RESULTS: RSM
and desirability function (DF) were employed to get the optimum ultrasonic
extraction parameters, in which the extraction yields of curdione, furanodienone,
curcumol and germacrone from C. wenyujin were maximum. The yields in the extract
were accurately quantified using the validated high performance liquid
chromatography method with a good precision and accuracy. The optimization
results indicated that the maximum combined desirability 97.1 % was achieved at
conditions as follows: liquid-solid ratio, 8 mL g(-1); ethanol concentration, 70
% and ultrasonic time, 20 min. The extraction yields gained from three
verification experiments were in fine agreement with those of the model's
predictions. The surface morphologies of the sonication-treated C. wenyujin were
loose and rough. The extract of C. wenyujin presented obvious antiproliferative
activities against RKO and HT-29 cells in vitro. CONCLUSION: Response surface
methodology was successfully applied to model and optimize the ultrasonic
extraction of four bioactive components from C. wenyujin for antiproliferative
activitiy.Graphical abstract.
PMID- 27186237
TI - Erratum to: Satisfaction with primary care and mental health care among
individuals with severe mental illness in a rural area: a seven-year follow-up
study of a clinical cohort.
AB - [This corrects the article DOI: 10.1186/s13033-016-0064-8.].
PMID- 27186234
TI - Cellular immune response to hepatitis-C-virus in subjects without viremia or
seroconversion: is it important?
AB - Hepatitis C Virus (HCV) causes chronic infection and represents a global health
burden. To date, there is no licensed vaccine for HCV. The high viral replication
rate and the existence of several HCV genotypes and quasispecies hamper the
development of an effective universal vaccine. In this regard, the current HCV
vaccine candidates show genotype-specific protection or narrow cross reactivity
against other genotypes. Importantly, HCV spontaneous clearance occurs in 15-50 %
of infected subjects, indicating that natural resistance to chronic infection
exists. This phenomenon was demonstrated among humans and chimpanzees and
continues to motivate researchers attempting to develop an effective HCV vaccine.
However, what constitutes a protective immune response or correlate of protection
against HCV infection is still vague. Additionally, the mechanisms behind
successful HCV clearance suggest the coordination of several arms of the immune
system, with cell-mediated immunity (CMI) playing a crucial role in this process.
By contrast, although neutralizing antibodies have been identified, they are
isolate-specific and poorly correlate with viral clearance. Antigen-specific CD4
T cells, instead, correlate with transient decline in HCV viremia and long
lasting control of the infection. Unfortunately, HCV has been very successful in
evading host immune mechanisms, leading to complications such as liver fibrosis,
cirrhosis and hepatocellular carcinoma. Interestingly, CMI to HCV antigens were
shown among exposed individuals without viremia or seroconversion, suggesting the
clearance of prior HCV infection(s). These individuals include family members
living with HCV-infected subjects, healthcare workers, IV drug users, and sexual
contacts. The correlates of protection could be closely monitored among these
individuals. This review provides a summary of HCV-specific immune responses in
general and of CMI in particular in these cohorts. The importance of these CMI
responses are discussed.
PMID- 27186240
TI - Dedifferentiated liposarcoma of the adult male breast.
AB - A 66-year-old male presented with a right breast mass, enlarging insidiously over
a one-year period after trauma to the site. After the findings were attributed to
glandular injury and hematoma, the patient eventually underwent mammographic and
ultrasonographic evaluation that demonstrated masses in the breast and the
axilla. A subsequent ultrasound-guided biopsy of the breast mass yielded a
diagnosis of fibromatosis. However, the imaging features were suggestive of
malignancy. Surgical resection was performed and revealed dedifferentiated
liposarcoma-a neoplasm with components of well- and poorly differentiated
liposarcoma as well as nonlipomatous sarcoma. This tumor type is primarily
described in the retroperitoneum and limbs and is especially rare in the breast.
We report an unusual case of multifocal primary dedifferentiated liposarcoma
involving the breast in a man.
PMID- 27186238
TI - Decoding the complete arsenal for cellulose and hemicellulose deconstruction in
the highly efficient cellulose decomposer Paenibacillus O199.
AB - BACKGROUND: The search for new enzymes and microbial strains to degrade plant
biomass is one of the most important strategies for improving the conversion
processes in the production of environment-friendly chemicals and biofuels. In
this study, we report a new Paenibacillus isolate, O199, which showed the highest
efficiency for cellulose deconstruction in a screen of environmental isolates.
Here, we provide a detailed description of the complex multi-component O199
enzymatic system involved in the degradation of lignocellulose. RESULTS: We
examined the genome and the proteome of O199 grown on complex lignocellulose
(wheat straw) and on microcrystalline cellulose. The genome contained 476 genes
with domains assigned to carbohydrate-active enzyme (CAZyme) families, including
100 genes coding for glycosyl hydrolases (GHs) putatively involved in cellulose
and hemicellulose degradation. Moreover, 31 % of these CAZymes were expressed on
cellulose and 29 % on wheat straw. Proteomic analyses also revealed a complex and
complete set of enzymes for deconstruction of cellulose (at least 22 proteins,
including 4 endocellulases, 2 exocellulases, 2 cellobiohydrolases and 2 beta
glucosidases) and hemicellulose (at least 28 proteins, including 5 endoxylanases,
1 beta-xylosidase, 2 xyloglucanases, 2 endomannanases, 2 licheninases and 1 endo
beta-1,3(4)-glucanase). Most of these proteins were secreted extracellularly and
had numerous carbohydrate-binding domains (CBMs). In addition, O199 also secreted
a high number of substrate-binding proteins (SBPs), including at least 42
proteins binding carbohydrates. Interestingly, both plant lignocellulose and
crystalline cellulose triggered the production of a wide array of hydrolytic
proteins, including cellulases, hemicellulases, and other GHs. CONCLUSIONS: Our
data provide an in-depth analysis of the complex and complete set of enzymes and
accessory non-catalytic proteins-GHs, CBMs, transporters, and SBPs-implicated in
the high cellulolytic capacity shown by this bacterial strain. The large
diversity of hydrolytic enzymes and the extracellular secretion of most of them
supports the use of Paenibacillus O199 as a candidate for second-generation
technologies using paper or lignocellulosic agricultural wastes.
PMID- 27186241
TI - Radiographic findings of Proteus Syndrome.
AB - The extremely rare Proteus Syndrome is a hamartomatous congenital syndrome with
substantial variability between clinical patient presentations. The diagnostic
criteria consist of a multitude of clinical findings including hemihypertrophy,
macrodactyly, epidermal nevi, subcutaneous hamartomatous tumors, and bony
abnormalities. These clinical findings correlate with striking radiographic
findings.
PMID- 27186239
TI - Investigating the effects of copy number variants on reading and language
performance.
AB - BACKGROUND: Reading and language skills have overlapping genetic bases, most of
which are still unknown. Part of the missing heritability may be caused by copy
number variants (CNVs). METHODS: In a dataset of children recruited for a history
of reading disability (RD, also known as dyslexia) or attention deficit
hyperactivity disorder (ADHD) and their siblings, we investigated the effects of
CNVs on reading and language performance. First, we called CNVs with PennCNV
using signal intensity data from Illumina OmniExpress arrays (~723,000 probes).
Then, we computed the correlation between measures of CNV genomic burden and the
first principal component (PC) score derived from several continuous reading and
language traits, both before and after adjustment for performance IQ. Finally, we
screened the genome, probe-by-probe, for association with the PC scores, through
two complementary analyses: we tested a binary CNV state assigned for the
location of each probe (i.e., CNV+ or CNV-), and we analyzed continuous probe
intensity data using FamCNV. RESULTS: No significant correlation was found
between measures of CNV burden and PC scores, and no genome-wide significant
associations were detected in probe-by-probe screening. Nominally significant
associations were detected (p~10(-2)-10(-3)) within CNTN4 (contactin 4) and
CTNNA3 (catenin alpha 3). These genes encode cell adhesion molecules with a
likely role in neuronal development, and they have been previously implicated in
autism and other neurodevelopmental disorders. A further, targeted assessment of
candidate CNV regions revealed associations with the PC score (p~0.026-0.045)
within CHRNA7 (cholinergic nicotinic receptor alpha 7), which encodes a ligand
gated ion channel and has also been implicated in neurodevelopmental conditions
and language impairment. FamCNV analysis detected a region of association (p~10(
2)-10(-4)) within a frequent deletion ~6 kb downstream of ZNF737 (zinc finger
protein 737, uncharacterized protein), which was also observed in the association
analysis using CNV calls. CONCLUSIONS: These data suggest that CNVs do not
underlie a substantial proportion of variance in reading and language skills.
Analysis of additional, larger datasets is warranted to further assess the
potential effects that we found and to increase the power to detect CNV effects
on reading and language.
PMID- 27186243
TI - Tumor track seeding: A new complication of fiducial marker insertion.
AB - In the United States, lung cancer is the leading cause of cancer-related death.
Candidates for tumor ablation using CyberKnife(r) require fiducial placement in
or near the target tumor to achieve precision. Placing these reference points may
lead to complications including pneumothorax and/or hemorrhage. We report a new
complication: the appearance of metastatic foci along the track of the fiducial
marker. Since the marker was inserted by traversing the original primary tumor,
we hypothesize that malignant cells were seeded along the track. In light of this
new complication, current techniques for the insertion of fiducial markers should
consider a peripheral approach when possible to avoid tracking of malignant
cells.
PMID- 27186244
TI - Conservatively treated Type B intramural hematoma: Progression into acute aortic
dissection followed by spontaneous resolution, assessed by CT.
AB - This case report shows the full spectrum evolution of type B intramural hematoma
under conservative treatment, with initial progression into a true aortic
dissection, followed by extremely rare near-complete healing of the dissection at
followup.
PMID- 27186242
TI - Primary renal carcinoid tumor: A radiologic review.
AB - Carcinoid tumor is the classic famous anonym of neuroendocrine neoplasms. Primary
renal carcinoid tumors are extremely rare, first described by Resnick and
colleagues in 1966, with fewer than a total of 100 cases reported in the
literature. Thus, given the paucity of cases, the clinical and histological
behavior is not well understood, impairing the ability to predict prognosis.
Computed tomography and (occasionally) octreotide studies are used in the
diagnosis and followup of these rare entites. A review of 85 cases in the
literature shows that no distinctive imaging features differentiate them from
other primary renal masses. The lesions tend to demonstrate a hypodense
appearance and do not usually enhance in the arterial phases, but can
occasionally calcify. Octreotide scans do not seem to help in the diagnosis;
however, they are more commonly used in the postoperative followup. In addition,
we report a new case of primary renal carcinoid in a horseshoe kidney.
PMID- 27186245
TI - Duodenal lymphangitis carcinomatosa: A rare case.
AB - Duodenal lymphangitis carcinomatosa has been sporadically described, and little
attention has been paid so far. To our knowledge, no data on radiological
findings for this rare entity has been published. We report a case of duodenal
lymphangitis carcinomatosa secondary to gallbladder mass in a 44-year-old Indian
man to focus on the radiological diagnosis, which was further confirmed by
endoscopic-guided biopsy and immunohistochemical analysis.
PMID- 27186246
TI - Cavernous hemangioma with extensive sclerosis masquerading as intrahepatic
cholangiocarcinoma - A pathologist's perspective.
AB - A patient presented with an acute episode of bright red blood in her stool. The
incidental liver mass seen in segment 4 was suspected to represent a
cholangiocarcinoma due to associated mild intrahepatic biliary ductal dilatation
and suspicion for capsular retraction. Pathology confirmed that this lesion
represented a sclerosing hemangioma. This case report corroborates prior
observations that degenerative changes in hemangiomas-sclerosis, narrowing of
vascular channels, thrombosis, infarct, hemorrhage-may produce atypical
radiographic findings. Since these atypical radiographic features may suggest a
primary or metastatic malignancy, the protean appearance of hemangiomas remains
an important consideration in the evaluation of hepatic masses.
PMID- 27186247
TI - Femoroacetabular impingement: A classic case of cam-type impingement in a 21-year
old soldier.
AB - We describe a case of femoroacetabular impingement (FAI) in a 21-year-old male
U.S. Army Private. Pre-operative radiographs demonstrated a dysplastic bump at
the right head-neck junction. The patient underwent arthroscopy and resection of
the bump, resulting in a improved contour of the femoral head-neck junction.
After standard recovery, he is now able to ambulate and flex his right hip
without pain and has returned to full duty.
PMID- 27186248
TI - Breast metastasis in an adult woman with alveolar rhabdomyosarcoma of the ethmoid
sinus.
AB - A new breast mass was identified in an adult woman undergoing treatment for a
known ethmoid sinus alveolar rhabdomyosarcoma. Histopathological evaluation
revealed alveolar rhabdomyosarcoma metastatic to the breast. Alveolar
rhabdomyosarcoma, primarily described in adolescents and especially rare in
adults, can uncommonly metastasize to the breast.
PMID- 27186249
TI - Neonatal adenomyomatosis of the gallbladder: An incidental finding at 12 hours of
life.
AB - Adenomyomatosis of the gallbladder, although relatively common in adults, is a
rare entity in the pediatric age group. Controversy still exists as to whether or
not to perform followup ultrasound, as there is a questionable increased risk of
gallbladder carcinoma in adults. We present a case of neonatal adenomyomatosis
that was diagnosed at 12 hours of life in a term newborn.
PMID- 27186250
TI - Lumbar spine chordoma.
AB - Chordoma is a rare tumor arising from notochord remnants in the spine. It is slow
growing, which makes it difficult to diagnose and difficult to follow up after
treatment. Typically, it occurs in the base of the skull and sacrococcygeal
spine; it rarely occurs in other parts of the spine. CT-guided biopsy of a
suspicious mass enabled diagnosis of lumbar spine chordoma.
PMID- 27186251
TI - Langerhans cell histiocytosis of the sacrum.
AB - Langerhans cell histiocytosis is a rare disease with a wide spectrum of clinical
presentations. It is a multisystemic disease with organ system involvement
ranging from simple-where it involves only one organ-to widespread progressive
disease. Although it can affect any age group, the peak incidence is between 1
and 3 years of age.
PMID- 27186253
TI - Radiologic evaluation of postoperative gastropericardial fistula.
AB - Laparoscopic Nissen fundoplication is the current standard surgical option for
complicated GERD and symptomatic hiatal hernia. Though comparable in safety,
short-term efficacy, and patient satisfaction when compared with open operation,
laparoscopic Nissen fundoplication has demonstrated shorter hospital stays and
recuperative times. Commonly reported complications include gastric or esophageal
injury, splenic injury, pneumothorax, bleeding, pneumonia, fever, wound
infections, and dysphagia. We present an unusual case of gastropericardial
fistula that developed as a late complication of laparoscopic Nissen
fundoplication performed 4 years earlier.
PMID- 27186254
TI - Migration of trochanteric cerclage cable debris to the knee joint.
AB - Migrating orthopedic hardware has widely been reported in the literature. Most
reported cases of migrating hardware involve smooth Kirschner wires or
loosening/fracture of hardware involved with joint stabilization/fixation. It is
unusual for hardware to migrate within the soft tissues. In some cases, smooth
Kirschner wires have migrated within the thoracic cage-a proposed mechanism for
this phenomenon is the negative intrathoracic pressure. While wires have also
been reported to gain access to circulation, transporting them over larger
distances, the majority of broken or retained wires remain local. We report a
case of a 34-year-old man in whom numerous fragments of braided cable migrated
from the hip to the knee.
PMID- 27186252
TI - Intrahepatic ovulation.
AB - Ectopic ovaries are a rare finding in the literature, with fewer than 50
published cases to date. This phenomenon has been found in the omentum, bladder,
mesentery, and uterus; attached to the colon; inside the left labia majora; and
in the kidney. Various etiologies have been proposed, including postsurgical or
postinflammatory transplantation, malignant origins, and abnormal embryologic
development. We report the ultrasonographic, computed tomographic (CT), and
magnetic resonance (MR) imaging of, what is to the best of our knowledge, the
first case of an intrahepatic ectopic ovary.
PMID- 27186255
TI - Morel-Lavallee lesion of the elbow with ultrasound and MRI correlation.
AB - Morel-Lavallee lesions are hemolymphatic, nonanatomic fluid collections that
result from a separation of the subcutaneous tissue from the underlying fascia.
Ultrasound and MRI characteristics of such lesions have been previously described
and can be helpful in establishing a diagnosis and guiding clinical management.
We present a case of a Morel-Lavallee lesion of the elbow, with ultrasound and
MRI correlation, which has not been reported in the radiology literature
heretofore.
PMID- 27186256
TI - Deep soft-tissue leiomyoma of the forearm mimicking a primary bone tumor of the
ulna.
AB - Leiomyomas of the soft tissues are rare in general, and extremely uncommon in the
forearm. In general, leiomyomas are benign soft-tissue tumors that occur where
smooth muscles are present. We present a case of soft-tissue leiomyoma of the
forearm eroding the midshaft of the ulna, with emphasis on radiological diagnosis
and histopathological correlation.
PMID- 27186257
TI - Tuberculous peritonitis.
AB - Tuberculous peritonitis is a serious condition with rising prevalence in recent
years. It is especially common in those patients with risk factors such as an
immunocompromised state, chronic kidney disease, or cirrhosis/liver disease.
Spread is typically hematogenous from pulmonary foci. We report on a 34-year-old
man who presented with initial complaints of cough, low-grade fevers, abdominal
pain, and nausea/vomiting. Chest x-ray showed a cluster of nodular opacities on
the right upper lobe, and a CT scan showed diffuse thickening and nodularity of
the omentum with prominent mesenteric lymph nodes, consistent with tuberculous
peritonitis.
PMID- 27186258
TI - Novel, congenital iliac arterial anatomy: Absent common iliac arteries and left
internal iliac artery.
AB - Congenital anomalies of the iliac arterial system are rare and can be associated
with ischemia. With an increase in vascular imaging and interventions, such
anomalies are likely to be encountered with greater frequency. We present the
case of a 25-year-old female who was incidentally found to have absence of the
common iliac arteries bilaterally and the left internal iliac artery, a
constellation not previously reported in the literature. We present relevant
imaging findings, review embryonic vascular development, and discuss potential
clinical implications.
PMID- 27186259
TI - Bilateral lower-extremity numbness and ataxia in a young female runner.
AB - Inferior vena cava (IVC) absence is thought to have either embryologic or
developmental etiologies, depending on the degree of absence. Entire absence of
the IVC is thought to be due to embryologic insult, whereas recent studies
propose that infrarenal IVC absence is developmental, secondary to perinatal
thrombosis. Here we report on an adolescent woman with infrarenal absence of IVC
and common iliac veins. Clinically, she presented with bilateral lower-extremity
numbness and ataxia following strenuous exercise (running > 1 mile). Symptoms
resolved with 30 seconds of rest. Radiographically, MRI revealed extensive
collateral vasculature that had developed within the paravertebral soft tissues
and epidural space of the spinal canal; these collaterals coursed through the
neural foramina and caused moderate stenosis at L4/5 and L5/S1.
PMID- 27186260
TI - Multimodal Nonlinear Optical Imaging of Live Cells Using Plasmon-Coupled DNA
Mediated Gold Nanoprism Assembly.
AB - Multiphoton excitation microscopy techniques are the emerging nonlinear optical
(NLO) imaging methods to watch the biological world due its ability to penetrate
deep into living tissues. Driven by the need to develop multimodal NLO imaging
probe, current article reports the design of DNA-mediated gold nanoprisms
assembly based optical antennas to enhance multiphoton imaging capability in
biological II window. Reported experimental data show a unique way to enhance
second harmonic generation (SHG) and two-photon fluorescence (TPF) properties by
several orders of magnitudes via plasmon coupled organization into gold nanoprism
assembly structures. Experimental and theoretical modeling data using finite
difference time domain (FDTD) simulations indicate that huge enhancement of SHG
and TPF properties are mainly due to the electric quadrupole contribution and
electric field enhancement. Using 1100 nm biological II window light, reported
results demonstrated that antibody conjugated assembly structures are capable of
exhibiting highly selective and very bright multimodal SHG and TPF imaging of
human Hep G2 liver cancer cells.
PMID- 27186261
TI - Human lung cancer-derived microparticles enhanced angiogenesis and growth of
hepatoma cells in rodent lung parenchyma.
AB - This study tested the hypothesis that human lung cancer-derived microparticles
(LcD-MPs) played an important role in tumor angiogenesis and growth. Fischer 344
rats (F344, n=18) were equally categorized into group 1 [Sham Control (3.0 mL
normal saline intravenous injection (IV))], group 2 [hepatoma cell line (2.0 x
10(6) cells, IV)], and group 3 [hepatoma cell line + LcD-MPs (3.0 x 10(6), IV)].
Animals were euthanized by day 28 after hepatoma cells transfusion. Our result
showed that the gross pathology confirmed growth of hepatoma cell line in lung
parenchyma. The size and weight of the lungs were significantly increased in
group 2 and further elevated in group 3 than in group 1 (all p<0.001).
Histopathological analysis demonstrated that the lung crowded score and number of
small vessel exhibited an identical pattern, whereas the number of alveolar sacs
showed an opposite pattern compared to that of total lung weight among the three
groups (all p<0.0001). The cellular expressions of CD34(+), CXCR4(+), c-Kit(+),
CK19(+), VEGF(+) and vimentin+ cells in lung parenchyma exhibited an identical
pattern compared to those of total lung weight among all groups (all p<0.001).
The protein expressions of apoptotic (Bax, cleaved caspase-3 and c-PARP),
fibrotic (Smad3, TGF-beta), and tumor suppression (PTEN) biomarkers showed an
identical pattern, whereas that of anti-apoptotic (Bcl-2) and anti-fibrotic
(Smad1/5, BMP-2) biomarkers were displayed an opposite pattern compared to that
of total lung weight among all groups (all p<0.001). The MPs could enhance
angiogenesis and accelerated hepatoma cell growth in rodent lung parenchyma.
PMID- 27186262
TI - Overexpression of variant PNPLA3 gene at I148M position causes malignant
transformation of hepatocytes via IL-6-JAK2/STAT3 pathway in low dose free fatty
acid exposure: a laboratory investigation in vitro and in vivo.
AB - Epidemiological survey identified that the variant patatin-like phospholipase
domain-containing protein 3 (PNPLA3) gene at I148M position exerts direct effect
in promoting hepatocellular carcinoma (HCC) under extraneous oxidative stress by
interaction with obesity. However, the mechanism is still unknown. HepG2 cells
were overexpressed by transinfection of PNPLA3 with wild-type 148I (PNPLA3(WT))
and mutant 148M (PNPLA3(I148M)), respectively. Variation in metabolic indicators,
hepatic steatosis, biological behaviors and signaling molecules related to cancer
promotion was measured in hepatocytes using low-dose free fatty acid (FFA)
exposure. Effect of PNPLA3(I148M) on xenograft biology and its interaction with
dietary obesity were also evaluated in animal study. Cells overexpresssing
PNPLA3(I148M) in low-dose FFA incubation showed more proliferation, migration,
invasion, and less apoptosis (P<0.05). Low-dose FFA specifically activated
JAK2/STAT3 phosphorylation of PNPLA3(I148M) cells via upregulation of interleukin
6. Animal study showed high-fat diet accelerated growth of xenografts derived
from PNPLA3(I148M) cells incubated in low-dose FFA. In low oxidative stress,
PNPLA3(I148M) initiated the hepatocyte malignant transformation through the
activation of inflammation-mediated JAK/STAT pathway. Dietary obesity amplified
the growth of tumor from PNPLA3(I148M) cells by interaction with local FFA
incubation. Anti-inflammation and weight loss might be potential approaches for
preventing HCC in high-risk population carrying PNPLA3 variant.
PMID- 27186263
TI - An additive effect of eplerenone to ACE inhibitor on slowing the progression of
diabetic nephropathy in the db/db mice.
AB - Although blockade of the renin-angiotensin-system (RAS) has become standard
therapy for diabetic nephropathy (DN), decline in kidney function towards end
stage renal disease is seen in many patients. Elevated plasma aldosterone often
accompanies RAS blockade by a phenomenon known as "aldosterone escape" and
activates the mineralocorticoid receptor (MR). We therefore examined whether
addition of the MR antagonist eplerenone to an ACEI would enhance the efficacy in
slowing the progression of DN. Untreated uninephrectomized diabetic db/db mice
developed progressive albuminuria and glomerulosclerosis between weeks 18 and 22,
associated with decreased number of podocytes and increased renal expression of
fibrotic markers. The therapeutic effect of eplerenone at 100 mg/kg BW/d on
albuminuria, podocyte injury and renal fibrosis was similar to that of enalapril
given alone at maximally effective doses. Adding eplerenone to enalapril resulted
in further reduction in these measurements. Renal expressions of TNF-alpha, MCP
1, Nox2 and p47phox and renal TBARS levels, markers of inflammation and oxidative
stress, were increased during disease progression in diabetic mice, which were
reduced by eplerenone or enalapril given alone and further reduced by the two
drugs given in combination. However, there were no treatment related effects on
plasma K+. Our results suggest that eplerenone is effective in slowing the
progression of DN in db/db mice and that the effect is additive to an ACEI. The
addition of an MR antagonist void of effects on plasma K+ to an ACEI may offer
additional renoprotection in progressive DN via blocking the effects of
aldosterone due to escape or diabetes-induction.
PMID- 27186264
TI - Homoharringtonine delivered by high proportion PEG of long- circulating liposomes
inhibits RPMI8226 multiple myeloma cells in vitro and in vivo.
AB - Multiple myeloma (MM) remains an incurable disease in most patients.
Homoharringtonine (HHT) is a natural alkaloid produced by various Cephalotaxus
species, and is approved by the United States of America Food and Drug
Administration to treat patients with acute and chronic myeloid lymphoma. The aim
of this study was to develop the high proportion polyethyleneglycol (PEG) of long
circulating HHT liposomes (LCL-HHT-H-PEG) and investigate its therapeutic
applicability in vitro and in vivo against RPMI8226 MM. The optimized formulation
of LCL-HHT-H-PEG showed a higher association with cytotoxicity against MM
RPMI8226 cells than those of low proportion PEG of long-circulating HHT
liposomes, liposome-encapsulated-HHT, micelle-HHT, and HHT in vitro. Therapeutic
experiments in severe combined immunodeficient mice implanted with MM RPMI8226
cells by the subcutaeous route showed the significant inhibition of tumor growth
in LCL-HHT-H-PEG group compared with the HHT group, and other control groups. The
analysis of flow cytometry and transmission electron microscopy indicated that
LCL-HHT-H-PEG exerted the cytotoxicity against MM by inducing the MM apoptosis in
vitro and in vivo. This study suggests that our developed LCL-HHT-H-PEG may be
regarded as a promising nano-device to deliver anti-MM drug HHT for treatment of
MM patients.
PMID- 27186265
TI - Na(+)/Ca(2+) exchanger 1 (NCX-1) mediates the anti-apoptotic effect of Akt1 in
neonatal rat cardiomyocytes during ischemia/reperfusion.
AB - The purpose of this study was to investigate the anti-apoptotic role of Akt1 gene
in neonatal rat cardiomyocytes and the relationship with Na(+)/Ca(2+) exchanger 1
(NCX1) during ischemia/reperfusion (IR). The cultured original rat cardiomyocytes
were randomly divided into five groups: normal control group (C group),
hypoxia/reoxygenation group (HR group), the control vector pLVX-EGFP-3FLAG group
(CV group), the gene pLVX-EGFP-3FLAG-Akt1 transfection group (A group), and Akt1
inhibitor LY294002 group (LY group). Cardiomyocyte vitality was determined using
MTT, and the apoptosis was determined by TUNEL to verify the anti-apoptotic role
of Akt1. The mRNA levels of Akt1 and NCX1 were determined by RT-PCR, the protein
expression of Akt1, p-Akt1, NCX1 and the apoptotic proteins of mitochondrial
pathway cytochrome C (Cyto C) and caspase-9 were measured by Western blot. As a
result, transfected Akt1 (A group) showed increased myocardial cell viability and
reduced apoptosis, with increase in Akt1 expression and decrease in NCX1
expression. The levels of apoptotic proteins Cyto C and caspase-9 also declined.
This study demonstrated that lentivirus-mediated transfection of Akt1 played an
anti-apoptotic role during IR of rat cardiomyocytes, via inhibition of NCX1 and
other mitochondrial proteins.
PMID- 27186266
TI - Fabrication and clinical application of easy-to-operate pre-cured CPC/rhBMP-2
micro-scaffolds for bone regeneration.
AB - Bone tissue engineering scaffolds loading growth factors have been considered as
the most perspective among all bone substitutes, yet little progress of its
clinical translation has been made. The concept of "micro-scaffolds" was proposed
in this study to provide a trajectory to the clinical translation of porous
scaffolds. Combining CPC and rhBMP-2, a pre-cured CPC/rhBMP-2 micro-scaffold has
been successfully developed and further applied as an easy-to-operate filler for
bone regeneration in a pilot clinical study. The results demonstrated superior
overall performances of CPC/rhBMP-2 microffolds to traditional therapies, with
not only shortened repairing time and improved repairing qualities, but also the
potential in treating fractures that are most challenging for current therapies.
This pilot clinical study of CPC/rhBMP-2 microffolds further promoted the
clinical translation of porous scaffolds for bone regeneration, and provided new
insights for future development of artificial bone substitutes.
PMID- 27186267
TI - Early protective role of MST1 knockdown in response to experimental diabetic
nephropathy.
AB - Diabetic nephropathy (DN) is a progressive kidney disease caused by the damage of
capillaries in kidney's glomeruli. Mammalian Sterile 20-like kinase 1 (MST1) has
been reported to play an important role in many disease, such as diabetes,
cardiac disease and ect. However, the potential role of MST1 pathway in DN has
not been fully evaluated. In this study, we hypothesized that MST1 could be
involved in DN, and MST1 knockdown would attenuate the DN injury in experimental
diabetic nephropathy induced by streptozotocin (STZ). The sieving method was used
to generate primary cultures of rat podocytes, and cultured according to the
previous reports. The clinical data were analyzed for vein specimens from ESRD.
Real-time quantitative PCR was used to examine the mRNA levels. Immuno
fluorescence assay was used for primary podocyte in vitro. Lectrophoretic
mobility shift assay was used for DNA binding activity of NF-kappaB. HE staining
for histological examination and western blot assay for protein expression were
employed. The average GBM thickness (GBMT) was measured By using the electron
microscopy. In vitro, MST1 level increased significantly in primary rat podocyte
cultured in hyperglycemia condition. In vivo experiment, diabetes induced by a
single STZ injection (50 mg/kg) in SD rats. Knockdown of MST1 expression by
lentiviral mediated gene transfer partly reduced the proteinuria and the level of
FASL, and improved the pathological changes of the diabetic kidney. In
conclusion, the MST1 could be involved in DN pathogenesis and may serve as the
target for development of new therapies for DN.
PMID- 27186268
TI - The impact of different methods of DNA extraction on microbial community measures
of BALF samples based on metagenomic data.
AB - PURPOSE: It is a challenge to find a better microorganisms DNA extraction method
for samples taken from the lower airways for metagenomic sequencing, as the
concentrations of bacteria in the alveoli and small airways are likely
considerably less than that of the mouth or lower digestive tract. Background DNA
from the host, and extraction biases can significantly interfere with microbiota
assessment and increase the cost of sequencing. This study aimed to develop an
optimized DNA extraction method, which would enable a higher concentration of
microbial DNA to be extracted from the samples. METHODS: We compared the
microbiota profiles of the lower airway communities in twelve individuals with
IIP. DNA was extracted using three different extraction methods: QIAamp UCP
PurePathogen Blood Kit named kit3 in this study, QIAamp UCP Pathogen Mini Kit
named kit2, and QIAamp DNA Microbiome Kit named kit1. DNA libraries were
constructed according to the manufacturer's instructions (Illumina). The same
workflows from Illumina were used to perform cluster generation, template
hybridization, isothermal amplification, linearization, blocking, denaturing, and
hybridization of the sequencing primers. Raw data was uploaded to MG-RAST v3 and
analyzed. RESULTS: A great number of bacterium inhabits the lower airways of
patients with IIP, though there is no airway infection. More bacterium was found
in mouth or upper airway. DNA concentrations of DNA samples isolated with kit1
with Benzonase were significantly lower than those isolated with the other two
kits for BALF and mouthwash samples. Moreover, the ratio of human genome in clean
reads of samples isolated with kit1 with Benzonase was remarkably smaller than
those isolated with kit2 and kit3. The relative abundance of total bacteria, the
total number of taxa, and the relative abundance of taxa in BALF samples as
opposed to mouthwash samples with kit1 were significantly higher than for those
extracted the other kits. CONCLUSION: A microbial DNA extraction method with
pretreatment of depletion of host nucleic acid by Benzonase can enable a higher
yield of microbial DNA from samples with a higher fraction of host cells to be
obtained. The lower airways of patients with IIP without airway infection were
inhabited by a great number of bacterium.
PMID- 27186269
TI - Angiotensin II is related to the acute aortic dissection complicated with lung
injury through mediating the release of MMP9 from macrophages.
AB - BACKGROUND: Acute aortic dissection (AAD) patients usually show concurrent lung
injury mainly featured by hyoxemia. To date, no effective treatment method has
been established for the AAD complicated with acute lung injury (ALI). Matrix
metalloproteinases (MMPs), especially MMP2 and MMP9, have been considered to be
closely related to the onset of aortic disease including AAD. To investigate the
roles of MMP in the pathogenesis of AAD complicated with ALI, we determined the
expression of MMP2 and MMP9 in serum and lung tissues of AAD patients. In
addition, a new rat model of AAD complicated with ALI was established to
investigate the pathogenesis of such complicated conditions. METHODS AND RESULTS:
Angiotensin II (Ang II) and MMP9 were up-regulated in the AAD complicated with
ALI patients compared to those of the AAD without ALI patients, normal
individuals and the patients with non-ruptured aneurysm. Besides, massive
macrophages with MMP9 expression was noticed in the lung tissues in the AAD
complicated with ALI patients. On this basis, AAD complicated with ALI rat model
was established based on BAPN feeding and infusion of Ang II. Obvious lung injury
was observed in the BAPN+Ang II group compared to that of the BAPN group,
together with macrophage accumulation in lung tissues, as well as over-expression
of MMP9 in lung tissues. After interference of MMP antagonist, a large number of
macrophages were still accumulated in the lung tissues, but the lung injury was
obviously attenuated. After the interference of AT1 receptor, the number of
macrophages in the lung tissues was obviously decreased and the lung injury was
obviously relieved. CONCLUSIONS: Ang II is closely related to the lung injury at
the early stage of AAD through mediating the release of MMP9 in the macrophages
in the lung tissues.
PMID- 27186270
TI - Anesthetic isoflurane attenuates activated microglial cytokine-induced VSC4.1
motoneuronal apoptosis.
AB - Isoflurane (ISO) exhibits neuroprotective effects against inflammation and
apoptosis. However, the role of ISO in motoneuronal apoptosis induced by
activated microglia remains poorly studied. We investigated the protective
effects of ISO on the apoptosis of ventral spinal cord 4.1 (VSC4.1) motoneurons
induced by lipopolysaccharide (LPS)-activated BV-2 microglia. Results indicated
that ISO inhibited NF-kappaB activation and pro-inflammatory cytokine release in
LPS-treated BV-2 microglia. Conditioned medium (CM) from activated BV-2 cells
treated by ISO directly prevented VSC4.1 motoneurons from LPS-CM-induced neuronal
apoptosis, as determined by the following: reductions in caspase-8, caspase-9,
and caspase-3 activities; downregulation of pro-apoptotic procaspase-8, cleaved
(cl)-caspase-8, procaspase-9, cl-caspase-9, caspase-3, cl-caspase-3, Bid, Bax,
and cytochrome c expression; and upregulation of anti-apoptotic Bcl-2 expression
in LPS-CM-cultured VSC4.1 motoneurons. Findings demonstrated that ISO inhibits BV
2 microglia activation and alleviates VSC4.1 motoneuronal apoptosis induced by
microglial activation. These effects suggest that ISO can be used as an
alternative agent for reducing neuronal apoptosis.
PMID- 27186271
TI - Evaluation of nestin or osterix promoter-driven cre/loxp system in studying the
biological functions of murine osteoblastic cells.
AB - OBJECTIVE: To compare Osterix and Nestin-Cre/Loxp system in studying the
biological functions of murine osteoblastic cells including primary osteoblasts
(OBs) and osteolineage mesenchymal progenitor cells (MPCs). METHODS: We isolated
primary osteoblasts (OBs) from neonatal Nestin-cre-R26-loxP-YFP (Nes-OBs) and
Osterix-cre-R26-loxP-YFP (Osx-OBs) mice and bone marrow mesenchymal stromal cells
(BMMSCs) from the adults (termed as Nes-BMMSCs and Osx-BMMSCs). Then we detected
the percentage of YFP(+) subpopulation in Nes/Osx-OBs and the percentage of CD45(
)YFP(+) progenitor population in Nes/Osx-BMMSCs and sorted them out (termed as
Nes/Osx-YFP(+) OBs and Nes/Osx-CD45(-)YFP(+) MPCs) by using the sorting machine.
We also analyzed the expression of surface antigens on Nes/Osx-YFP(+) OBs and
Nes/Osx-CD45(-)YFP(+) MPCs by Flow cytometry. PDGF-BB induced proliferation of
Nes/Osx-YFP(+) OBs and Nes/Osx-CD45(-)YFP(+) MPCs was measured by H3-Thymidine
incorporation assay. We then did OB maturation and mineralization assays of
Nes/Osx-YFP(+) OBs and CFU and multi-lineage differentiation assays of Nes/Osx
CD45(-)YFP(+) MPCs. RESULTS: YFP(+)% in Nes-OBs and Osx-OBs and CD45(-)YFP(+)% in
Nes-BMMSCs and Osx-BMMSCs was respectively 5.56%+/-3.56% (n=5), 10.12%+/-2.7%
(n=4), 1.29%+/-0.98% (n=13) and 16.38%+/-6.98% (n=17). Both Nes-YFP(+) OBs and
Osx-YFP(+) OBs were positive for CD51. Nes/Osx-CD45(-)YFP(+) MPCs were positive
for CD51, CD105 and Sca1, and negative for CD31 and CD45. PDGFR expression in Osx
YFP(+) OBs was a bit higher than that in Nes-YFP(+) OBs, and slightly higher in
Osx-CD45(-)YFP(+) MPCs than in Nes-CD45(-)YFP(+) MPCs. Proliferation ability of
Nes/Osx-YFP(+) OBs increased dramatically after stimulated with PDGF-BB for 48 h,
while it was not statistically significant that PDGF-BB induced the increase of
proliferation ability in either Nes-CD45(-)YFP(+) MPCs or Osx-CD45(-)YFP(+) MPCs.
We observed that no significant difference of OB maturation and mineralization
ability existed between Nes-YFP(+) OBs and Osx-YFP(+) OBs, and there was little
difference of self-renewal and multi-lineage differentiation potential between
Nes-CD45(-)YFP(+) MPCs and Osx-CD45(-)YFP(+) MPCs, either. CONCLUSION: Both
Nestin and Osterix could be selected as useful markers for the osteoblastic
cells, while Osterix was a prior choice due to larger number of Osterix
expressing cells than Nestin-expressing cells in distinct subpopulations of bone
forming cells.
PMID- 27186272
TI - Enhanced penetration of exogenous EPCs into brains of APP/PS1 transgenic mice.
AB - The aim of this study was to investigate the repair function of exogenous
Endothelial progenitor cells (EPCs) for brain microvascular damage of the APP/PS1
transgenic mouse model of Alzheimer's disease (AD). This study used a density
gradient centrifugation method to isolate mononuclear cells (MNCs) from mouse
bone marrow, which were subsequently seeded and cultured. Cells were
characterized by morphology and detection of the surface markers CD34 and CD133
at different time points by immunofluorescence (IF) and flow cytometry (FCM).
Then, EPCs were transfected with GFP adenoviral vectors (GFP-EPCs). Wild-type
(WT) and APP/PS1 transgenic mice both received GFP-EPCs injection through the
tail vein, and using a PBS buffer injection as the control. Seven days later, the
animals' brain tissue was isolated. Expression of GFP was detected by
quantitative polymerase chain reaction (qPCR) and western-blot (WB), while the
fluorescence of GFP within the brains of mice was observed under a fluorescence
microscope. Higher mRNA and protein expression of GFP, accompanied with increased
green fluorescence, were detected in the brain of GFP-EPCs-injected APP/PS1 mice,
as compared with GFP-EPCs-injected WT mice. The results show that the APP/PS1
transgenic mouse model of AD exhibited enhanced penetration of exogenous EPCs
into brains than the WT mice.
PMID- 27186273
TI - microRNA-141 inhibits thyroid cancer cell growth and metastasis by targeting
insulin receptor substrate 2.
AB - microRNA-141 (miR-141), a member of the miR-200 family, and has been reported to
involve in tumor initiation and development in many types of cancers. However,
the function and underlying molecular mechanism of miR-141 in thyroid cancer
remains unclear. Therefore, the aim of this study is to identify its expression,
function, and molecular mechanism in thyroid cancer. In this study, we found that
miR-141 expression levels were downregulated in human thyroid cancer specimens
compared to the adjacent normal tissues, and its expression were strongly
correlated with clinical stages and lymph node metastases. Function assays showed
that overexpression of miR-141 inhibited cell proliferation, induced cell
apoptosis, and decreased migration, invasion in thyroid cancer cells, as well as
tumor growth in nude mice. Moreover, insulin receptor substrate 2 (IRS2), a known
oncogene, was confirmed as a direct target of miR-141, and IRS2 expression levels
were upregulated in thyroid cancer, and its expression were inversely correlated
with miR-141 expression levels in human thyroid cancer specimens. Forced
expression of IRS2 reversed the inhibition effect induced by miR-141
overexpression in thyroid cancer cells. Taken together, our study provides the
first evidence that miR-141 suppressed thyroid cancer cell growth and metastasis
through inhibition of IRS2. Thus, miR-141 might serve as a promising therapeutic
strategy for thyroid cancer treatment.
PMID- 27186274
TI - MicroRNA-217 inhibits cell proliferation and invasion by targeting Runx2 in human
glioma.
AB - MircroRNA-217 (miR-217) has been showed to involve in the initiation and
development of human cancers, and is recognize as a tumor suppressor miRNA in
several tumors. However, the clinical significance and its underlying role in
human glioma remain unclear. Herein, we found that the expression of miR-217 was
significantly down-regulated in glioma tissues as compared with adjacent normal
brain tissues. Clinical association analysis disclosed that low-expression of miR
217 was evidently negative associated with advanced tumor stage (grade III + IV)
in glioma. Further function assays showed that miR-217 inhibited proliferation,
colony formation, invasion and migration of glioma cells. Notably, runt-related
transcription factors 2 (Runx2) was identified as a functional target of miR-217
in glioma. Furthermore, an inverse correlation between miR-217 and Runx2
expression was observed in glioma tissues. Downregulation of Runx2 has similar
with inhibition effect of overexpression of miR-217, and upregulation of Runx2
reversed the effects of overexpressing of miR-217. Taken together, these results
suggest a critical role of miR-217 in suppressing proliferation, migration, and
invasion of glioma by targeting Runx2.
PMID- 27186275
TI - miR-132 targeting E2F5 suppresses cell proliferation, invasion, migration in
ovarian cancer cells.
AB - Accumulating evidence showed that microRNA-132 (miR-132) are involved in
development and progression of several types of cancers, however, the function
and underlying molecular mechanism of miR-132 in ovarian cancer remains unclear.
In this study we investigated the biological roles and molecular mechanism of miR
132 in ovarian cancer. Here, we found that that the expression levels of miR-132
were dramatically decreased in ovarian cancer cell lines and clinical ovarian
cancer tissue samples. Then, we found that introduction of miR-132 significantly
suppressed the proliferation, colony formation, migration and invasion of ovarian
cancer cells. Mechanism investigation revealed that miR-132 inhibited the
expression of transcription factor E2F5 by specifically targeting its mRNA 3'UTR.
Moreover, the expression level of E2F5 was significantly increased in ovarian
cancer tissues than in the adjacent normal tissues, and its expression was
inversely correlated with miR-132 expression in clinical ovarian cancer tissues.
Additionally, silencing E2F5 was able to inhibit the proliferation, colony
formation, migration and invasion of ovarian cancer cells, parallel to the effect
of miR-132 overexpression on the ovarian cancer cells. Meanwhile, overexpression
of E2F5 reversed the inhibition effect mediated by miR-132 overexpression. These
results indicate that miR-132 suppresses the cell proliferation, invasion,
migration in ovarian cancer cells by targeting E2F5.
PMID- 27186276
TI - Methylation-mediated loss of SFRP2 enhances melanoma cell invasion via Wnt
signaling.
AB - Wnt signaling plays an essential role in the initiation and progression of
melanoma tumors. The Secreted Frizzled Related Proteins (SFRPs) are a family of
proteins that suppress Wnt signaling. The methylation of SFRPs reduces their
activity, and hence augments Wnt signaling. However, whether the methylation of
SFRP2, a member of SFRPs, may be involved in the pathogenesis of melanoma is not
known. Here we investigated the expression levels of SFRP2 in melanoma specimens.
We found that SFRP2 mRNA wassignificantly decreased and methylation of SFRP2 gene
was significantly increased in malignant melanoma tumors ascompared to the paired
adjacent non-tumor tissue. Moreover, SFRP2 expression was significantly decreased
in the malignant melanoma celllines, HTB63, A2058 and A375, but not in the non
transformed melanocyte cell line, Hermes 3A. The demethylation of SFRP2 gene by
5'-aza-deoxycytidine (5-aza-dCyd) in melanoma cell lines restored SFRP2
expression, at both mRNA and protein levels, and suppressed cell invasion.
Furthermore, the demethylation of SFRP2 geneappeared to inhibit nuclear retention
of a key Wnt signaling factor, beta-catenin, in melanoma cell lines. Together,
these data suggest that SFRP2may function as a melanoma invasion suppressor
byinterfering with Wnt signaling, and the methylation of SFRP2 gene may promote
pathogenesis of melanoma.
PMID- 27186277
TI - Diaphragm contractile dysfunction causes by off-target low-dose irradiation.
AB - BACKGROUND: Diaphragm is a primary inspiratory muscle and often receives off
target dose in patients with thoracic radiotherapy, and whether acute effect of
low dose irradiation would cause contractile dysfunction of the diaphragm remains
unclear. We use a rat model to investigate the effect of low-dose irradiation on
diaphragm contractile function in the current study. METHODS: The radiation dose
distributions in patients with esophageal cancer receiving radiotherapy were
calculated to determine the dose received by the off-target diaphragm area. Rats
were randomly assigned to an irradiated or a non-irradiated control group (n = 10
per group). A single-fraction of 5 Gy radiation was then delivered to the
diaphragms of Sprague-Dawley rats in the irradiated group. The control group
received sham irradiation (0 Gy). Rats were sacrificed 24 hours after the
irradiation procedures and diaphragms were removed en bloc for contractile
function assessment, oxidative injury and DNA damage analysis. Oxidative injury
was determined by analyzing concentration of protein carbonyls and DNA damage was
determined by analyzing retention of gammaH2AX foci in nuclei of diaphragmatic
tissue. RESULTS: At 24 hours after delivery of a single dose of 5 Gy radiation,
specific twitch (p = 0.03) and tetanus tension (p = 0.02) were significantly
lower in the irradiated group than in the control group. The relative force
frequency curves showed a significant downward shift in the irradiated group.
Protein carbonyl level (p < 0.01) and percentage of gammaH2AX-positive diaphragm
muscle cells were significantly higher in the irradiated group than in the
control group 24 hours after irradiation (58% vs. 30%, p = 0.01). CONCLUSIONS:
Off-target low dose irradiation could induce acute contractile dysfunction of the
diaphragm which was related to radiation-induced direct DNA and indirect
oxidative damage.
PMID- 27186278
TI - EphA2 silencing promotes growth, migration, and metastasis in salivary adenoid
cystic carcinoma: in vitro and in vivo study.
AB - EphA2 is associated with tumor growth and distant metastasis in numerous human
tumors. Considering the controversial effects of EphA2 in different tumors and
the lack of reports in salivary adenoid cystic carcinoma (SACC), we evaluated the
effects of EphA2 inhibition by short hairpin RNA on SACC through in vivo and in
vitro researches for the first time. Real-time reverse transcriptase-PCR and
western blot analysis were conducted to verify the interference effect on SACC
cells. Using Cell Counting Kit-8, wound healing, Transwell and Matrigel adhesion
assays, we confirm that inhibition of EphA2 promotes the migration, invasion and
adhesion ability of SACC cells. In vivo research, we prove that silencing of
EphA2 significantly accelerates tumor growth and lung metastasis ability by
establishing xenograft models in mice, including subcutaneous inoculation and
tail vein injection. In addition, immunostaining of EphA2, E-cadherin and Slug
from 40 specimens and in vitro simulation of perineural invasion (PNI) assay
imply that suppression of EphA2 partially contribute to epithelial-mesenchymal
transition and enhancement of PNI in SACC. In conclusion, all the data suggest
that EphA2 may act as a tumor suppressor in SACC progression.
PMID- 27186279
TI - Restoration of murine femoral segmental defect using CTGF-overexpressing MC3T3-E1
cells.
AB - Connective tissue growth factor (CTGF) is a member of the CCN super family and is
reported to widely participate in bone development and regeneration. This study
aimed to restore murine femoral segmental defect using CTGF-overexpressing MC3T3
E1 cells. MC3T3-E1 cells were transinfected by lenti-CTGF (LvCTGF) and lenti
negative control (LvNC) virus to obtain stably transinfected cells. Real-time
PCR, Western blot, alkaline phosphatase activity assay, and alizarin red staining
demonstrated that the overexpression of CTGF enhanced osteogenesis in vitro. Cell
migration assay results showed that LvCTGF cells expressed higher migration
ability than LvNC cells, while CCK-8 assay revealed no significant difference in
cell proliferation. The LvCTGF and LvNC cells were then seeded into a
chitosan/beta-TCP scaffold and were used to restore a murine femoral segmental
defect. Samples were harvested by the end of 2 and 5 weeks respectively. Micro-CT
analysis and Masson's trichrome staining results showed that the LvCTGF-scaffold
group expressed better bone healing compared with the LvNC-scaffold and scaffold
only groups. CTGF-overexpressed cells serve as an efficient source of seeding
cells for bone regeneration.
PMID- 27186280
TI - Bone marrow derived mesenchymal stem cells alleviated brain injury via down
regulation of interleukin-1beta in focal cerebral ischemic rats.
AB - Interleukin-1beta (IL-1beta) plays an important role in brain injury after focal
ischemia, and bone marrow-derived mesenchymal stem cells (BMSCs) are capable of
reducing the expression of IL-1beta, we investigated the effects of BMSCs
transplantation on brain edema and cerebral infarction as well as the underlying
mechanisms via IL-1beta. Male Sprague-Dawley rats were randomly divided into five
groups: Normal + phosphate-buffered saline (PBS), middle cerebral artery
occlusion (MCAO) + PBS, Normal + BMSCs, MCAO + BMSCs and MCAO + IL-1ra (an
antagonist of IL-1beta). BMSCs were transplanted 24 hours after MCAO, and brain
edema was evaluated by Magnetic Resonance Imaging (MRI) and brain water content
method after BMSCs transplantation. The expression of NeuN and AQP4 was analyzed
by immunofluorescence staining. Protein level of AQP4 and IL-1beta was detected
by western blot analysis 48 hours after transplantation. The results showed that
BMSCs transplantation reduced brain edema by measurement of brain water content
and ADC Value of MRI, as well as the expression of AQP4 and IL-1beta. It was also
found that BMSCs transplantation could alleviate the cerebral infarction volume
and neuronal damage. Both the brain edema and the cerebral infarction were
associated with IL-1beta expression. In conclusion, BMSCs transplantation was
capable of alleviating brain edema as well as reducing cerebral infarction via
down-regulation of IL-1beta expression, thus repair the injured brain in focal
cerebral ischemic rats.
PMID- 27186281
TI - Deregulation of MicroRNA-375 inhibits cancer proliferation migration and
chemosensitivity in pancreatic cancer through the association of HOXB3.
AB - BACKGROUND: The expression pattern and regulatory effect of microRNA-375 (miR
375) in human pancreatic cancer was explored. METHODS: Gene expression of miR-375
was compared between pancreatic tumors and non-tumorous pancreatic tissues, as
well as pancreatic cancer cell lines and normal epithelial cells. MiR-375 was
downregulated in pancreatic cancer cell lines, Capan-1 and PANC-1 cells, to
assess possible tumor suppressive effects on cancer proliferation, migration,
cisplatin chemosensitivity and in vivo growth of tumor explant. The regulation of
miR-375 on its target gene, homeobox B3 (HOXB3) gene, was assessed though
luciferase activity assay and qRT-PCR. HOXB3 was also downregulated in Capan-1
and PANC-1 cells to assess its functional correlation with miR-375 on cancer
regulation. RESULTS: MiR-375 was upregulated in pancreatic tumors and pancreatic
cancer cell lines. MiR-375 downregulation had tumor suppressive effects in Capan
1 and PANC-1 cells by reducing cancer proliferation & migration, increasing
cisplatin sensitivity and inhibiting in vivo tumor explant growth. HOXB3 was
directly bound by miR-375, and was negatively regulated by miR-375 in pancreatic
cancer cells. Subsequent HOXB3 downregulation reversed the suppression of miR-375
downregulation on cancer proliferation, migration and cisplatin chemosensitivity
in pancreatic cancer. CONCLUSION: MiR-375 is an oncogene in pancreatic cancer.
Deregulation of miR-375 is inhibitory to the development of pancreatic cancer,
and reversely regulated by HOXB3.
PMID- 27186282
TI - Privacy and security in the era of digital health: what should translational
researchers know and do about it?
AB - The rapid growth in the availability and incorporation of digital technologies in
almost every aspect of our lives creates extraordinary opportunities but brings
with it unique challenges. This is especially true for the translational
researcher, whose work has been markedly enhanced through the capabilities of big
data aggregation and analytics, wireless sensors, online study enrollment, mobile
engagement, and much more. At the same time each of these tools brings
distinctive security and privacy issues that most translational researchers are
inadequately prepared to deal with despite accepting overall responsibility for
them. For the researcher, the solution for addressing these challenges is both
simple and complex. Cyber-situational awareness is no longer a luxury-it is
fundamental in combating both the elite and highly organized adversaries on the
Internet as well as taking proactive steps to avoid a careless turn down the
wrong digital dark alley. The researcher, now responsible for elements that
may/may not be beyond his or her direct control, needs an additional level of
cyber literacy to understand the responsibilities imposed on them as data owner.
Responsibility lies with knowing what you can do about the things you can control
and those you can't. The objective of this paper is to describe the data privacy
and security concerns that translational researchers need to be aware of, and
discuss the tools and techniques available to them to help minimize that risk.
PMID- 27186283
TI - Barcoded sequencing reveals diverse intrauterine microbiomes in patients
suffering with endometrial polyps.
AB - Results of this study showed that the bacterial composition in vagina (V) greatly
differed from intrauterine microbiome (I). Microbiomes were present in all
intrauterine samples of healthy women (Group H (I)) and patients with endometrial
polyps (EP) (including Group EP (I) and Group EP/chronic endometritis (CE) (I)).
Indeed, the intrauterine bacteria population in Group EP/CE (I) were more diverse
than those in Groups EP (I) and H (I). The result also confirmed the bacterial
composition differences between vagina and uterus as well as the intrauterine
microbiome alteration in the patients, compared to the healthy. Although bacteria
of Proteobacteria, Firmicutes and Actinobacteria, dominated the intrauterine
microbiome in all samples, however, proportions of Firmicutes from Group EP/CE
(I) and Group EP (I) were much higher than that from Group H (I), in contrast,
the proportions of Proteobacteria were far lower than the healthy. At the genus
level, compared to Group H (I), it is found that proportions of Lactobacillus,
Gardnerella, Bifidobacterium, Streptococcus, and Alteromonas were significantly
higher, and that of Pseudomonas were significantly lower in Group EP/CE (I) or
Group EP (I). In addition, lower proportions of Enterobacter and Sphingomonas and
a higher proportion of Prevotella were also observed in Group EP/CE (I). In
conclusion, uterine microbiomes between patients with EP and the healthy are
significantly different and all the potentially important variation of uterine
microbes may cause EP, but not definitively related to CE. Further experiments
should be performed to test these relationships to endometritis occurrence.
PMID- 27186284
TI - The combination of tacrolimus and entecavir improves the remission of HBV
associated glomerulonephritis without enhancing viral replication.
AB - BACKGROUND: Tacrolimus inhibits hepatitis B virus entry into hepatocytes through
targeting the HBV receptor, sodium taurocholate cotransporting polypeptide. This
study was performed to evaluate the efficacy and safety of Tacrolimus combined
with entecavir antiviral therapy for HBV-associated glomerulonephritis patients
with biopsy-proven membranous nephropathy. METHOD: A cohort of 42 patients was
enrolled in this retrospective study. Twenty-three patients received Tacrolimus
(0.05 mg/kg/day) in combination entecavir over 24 weeks, whereas the other 19
patients only received entecavir monotherapy. RESULTS: The probability of
proteinuria remission in the Tacrolimus+entecavir group was 69 and 87% after 12
and 24 weeks, whereas was only 26 and 42%, respectively, in the entecavir group.
The mean time to partial or complete remission was 18.6 weeks in the
Tacrolimus+entecavir group and 34.3 weeks in the entecavir group (P<0.001). A
decrease in the HBV DNA titer was observed in all patients with active HBV
replication. None of the HBV carriers in the Tacrolimus+entecavir group showed
evidence of HBV reactivation. The serum creatinine and alanine aminotransferase
levels remained stable in both groups. The Tacrolimus target trough concentration
was 5-10 ng/mL. CONCLUSION: Tacrolimus combined with entecavir rapidly and
effectively induced remission of HBV-GN in Chinese adults. Furthermore,
Tacrolimus may have a synergistic antiviral effect with entecavir.
PMID- 27186286
TI - Houttuynia cordata Thunb reverses oxaliplatin-induced neuropathic pain in rat by
regulating Th17/Treg balance.
AB - Oxaliplatin is a widely used anti-advanced colorectal cancer drug, while it could
induce neuropathy. Houttuynia cordata Thunb (HCT) has a wide range of biological
activities, such as anti-inflammation, anti-cancer, and immune regulation. In the
present study, we investigated the effect of HCT on oxaliplatin-induced
neuropathy in rat models. HCT (1000 mg/kg/day) significantly decreased the number
of withdrawal responses and the withdrawal latency in oxaliplatin-treated rats.
HCT could down-regulated the serum levels of Interleukin-6 (IL-6) and macrophage
inflammatory protein1-alpha (MIP-1alpha) in oxaliplatin-treated rats. Th17/Treg
balance was reversed by HCT in oxaliplatin-treated rats by regulating
PI3K/Akt/mTOR signaling pathway. The present results suggest that HCT is useful
as a therapeutic drug for oxaliplatin-induced neuropathic pain.
PMID- 27186285
TI - Effect of the BRCA1-SIRT1-EGFR axis on cisplatin sensitivity in ovarian cancer.
AB - There is accumulating evidence that breast cancer 1 (BRCA1), sirtuin 1 (SIRT1),
and epidermal growth factor receptor (EGFR) help to modulate cisplatin
cytotoxicity. The role of dynamic crosstalk among BRCA1, SIRT1, and EGFR in
cisplatin sensitivity remains largely unknown. We found that BRCA1, SIRT1, and
EGFR levels were increased in cisplatin-resistant ovarian cancers compared with
those in cisplatin-sensitive ovarian cancers. Hypomethylation in the BRCA1
promoter was associated with BRCA1 activation, significantly elevated SIRT1
levels, decreased nicotinamide adenine dinucleotide (NAD)-mediated SIRT1
activity, and decreased EGFR levels. Treatment with 5 and 10 MUg/ml cisplatin
induced a gradual increase in BRCA1 and SIRT1 levels and a gradual decrease in
NAD levels and NAD-mediated SIRT1 activity, whereas EGFR levels were increased or
decreased by treatment with 5 or 10 MUg/ml cisplatin, respectively. The
overexpression of SIRT1 or the enhancement of SIRT1 activity synergistically
enhanced the BRCA1-mediated effects on EGFR transcription. In contrast, the
knockdown of SIRT1 or the inhibition of SIRT1 activity inhibited the BRCA1
mediated effects on EGFR transcription. BRCA1 regulates EGFR through a BRCA1
mediated balance between SIRT1 expression and activity. Those results improve our
understanding of the basic molecular mechanism underlying BRCA1-related cisplatin
resistance in ovarian cancer.
PMID- 27186288
TI - Over-expression of HSPA12B protects mice against myocardium ischemic/reperfusion
injury through a PPARgamma-dependent PI3K/Akt/eNOS pathway [Retraction].
AB - [This retracts the article on p. 2724 in vol. 7, PMID: 26885270.].
PMID- 27186287
TI - CMD kinetics and regenerative medicine.
AB - The author's theory of the cell memory disc (CMD) offers a radical and holistic
picture of the cell from both functional and structural perspectives. Despite all
of the attention that has been focused on different regenerative strategies,
several serious CMD-based obstacles still remain that make current cell therapies
inherently unethical, harmful, and largely ineffective from a clinical viewpoint.
Accordingly, unless there is a real breakthrough in finding an alternative or
complementary approach to overcome these barriers, all of the discussion
regarding cell-based therapies may be fruitless. Hence, this paper focuses on the
issue of CMD kinetics in an attempt to provide a fresh perspective on
regenerative medicine.
PMID- 27186289
TI - NPGPx (GPx7): a novel oxidative stress sensor/transmitter with multiple roles in
redox homeostasis.
AB - NPGPx (GPx7) is a member of the glutathione peroxidase (GPx) family without any
GPx activity. GPx7 displays a unique function which serves as a stress
sensor/transmitter to transfer the signal to its interacting proteins by
shuttling disulfide bonds in response to various stresses. In this review, we
focus on the exceptional structural and biochemical features of GPx7 compared to
other 7 family members and described how GPx7 regulates the diverse signaling
targets including GRP78, PDI, CPEB2, and XRN2, and their different roles in
unfolded protein response, oxidative stress, and non-targeting siRNA stress
response, respectively. The phenotypes associated with GPx7 deficiency in mouse
or human including ROS accumulations, highly elevated cancer incidences, auto
immune disorders, and obesity are also revealed in this paper. Finally, we
compare GPx8 with GPx7, which shares the highest structural similarity but
different biological roles in stress response. These insights have thus provided
a more comprehensive understanding of the role of GPx7 in the maintenance of
redox homeostasis.
PMID- 27186290
TI - Galectin-1 mediates TGF-beta-induced transformation from normal fibroblasts into
carcinoma-associated fibroblasts and promotes tumor progression in gastric
cancer.
AB - Rcinoma-associated fibroblasts (CAFs) are a major constituent of the tumor
microenvironment. Cancer cells can induce the transformation from normal
fibroblasts (NFs) into CAFs, reciprocally, CAFs promote tumor invasion and
proliferation. TGF-beta has been the mostly accepted factor to fuel NFs
transformation into CAFs. Galectin-1 (Gal1) is highly upregulated in CAFs of
multiple human cancers, and overexpression of Gal1 in CAFs promotes tumor
progression. The effect of Gal1 on TGF-beta-induced CAFs activation has not yet
been established in gastric cancer (GC). In this study, we show that Gal1
expression in stroma is positively related to TGF-beta in epithelial cells by
retrospective analysis of GC patient samples. Meanwhile, conditioned media (CMs)
from gastric cancer cells induce expression of both Gal1 and the CAFs marker
alpha smooth muscle actin (alpha-SMA) in NFs via TGF-beta secretion. Knockdown of
Gal1 prevents TGF-beta-induced the conversion of NFs to CAFs. CMs from
fibroblasts overexpressing Gal1 inhibits cancer cells apoptosis, promotes
migration and invasion in vitro. Thus, Gal1 is significantly involved in the
development of tumor-promoting microenvironment by enhancing TGF-beta signaling
in a positive feedback loop. Targeting Gal1 in tumor stroma should be considered
as a potential therapeutic target for GC.
PMID- 27186293
TI - The therapeutic response of CDDO-Me in the esophageal squamous cell carcinoma
(ESCC) cells is mediated by CaMKIIalpha.
AB - CDDO-Me has exhibited a potent anticancer effect in human esophageal squamous
cell carcinoma (ESCC) cells in our previous study, but the molecular interactome
remains elusive. We applied the approach of stable-isotope labeling by amino
acids in cell culture (SILAC) to assess the proteomic responses of CDDO-Me
treatment in human ESCC Ec109 cells. The data were subsequently validated using
Western blot assay. The results of our study revealed that CDDO-Me increased the
expression level of 543 protein molecules, but decreased the expression level of
709 protein molecules in Ec109 cells. Among these modulated protein molecules,
calcium/calmodulin-dependent protein kinase type II subunit alpha (CaMKIIalpha)
was highly expressed in all tested ESCC cell lines, whereas its expression levels
were substantially lower in normal control cell line. Its silencing by small
interfering RNA inhibited CDDO-Me induced apoptosis and autophagy in ESCC cells.
Collectively, these data demonstrate that the therapeutic response of CDDO-Me in
the human ESCC cells is mediated by CaMKIIalpha.
PMID- 27186292
TI - Electrospun nanofibrous sheets of collagen/elastin/polycaprolactone improve
cardiac repair after myocardial infarction.
AB - Electrospun nanofibrous sheets get increasing attention in myocardial infarction
(MI) treatment due to their good cytocompatibility to deliver transplanted stem
cells to infarcted areas and due to mechanical characteristics to support damaged
tissue. Cardiac extracellular matrix is essential for implanted cells since it
provides the cardiac microenvironment. In this study, we hypothesized high
concentrations of cardiac nature protein (NP), namely elastin and collagen, in
hybrid polycaprolactone (PCL) electrospun nanofibrous sheets could be effective
as cardiac-mimicking patch. Optimal ratio of elastin and collagen with PCL in
electrospun sheets (80% NP/PCL) was selected based on cytocompatibility and
mechanical characteristics. Bone-marrow (BM) c-kit(+) cells anchoring onto NP/PCL
sheets exhibited increased proliferative capacity compared with those seeded on
PCL in vitro. Moreover, we examined the improvement of cardiac function in MI
mice by cell-seeded cardiac patch. Green Fluorescent Protein (GFP)-labeled BM c
kit(+) cells were loaded on 80% NP/PCL sheets which was transplanted into MI
mice. Both 80% NP/PCL and c-kit(+)-seeded 80% NP/PCL effectively improved cardiac
function after 4 weeks of transplantation, with reduced infarction area and
restricted LV remodeling. C-kit(+)-seeded 80% NP/PCL was even superior to the 80%
NP/PCL alone and both superior to PCL. GFP(+) cells were identified both in the
sheets and local infarcted area where transplanted cells underwent cardiac
differentiation after 4 weeks. To the best of our knowledge, this is the first
report that sheets with high concentrations of nature proteins loaded with BM c
kit(+) cells might be a novel promising candidate for tissue-engineered cardiac
patch to improve cardiac repair after MI.
PMID- 27186291
TI - miR-3646 promotes cell proliferation, migration, and invasion via regulating G2/M
transition in human breast cancer cells.
AB - MicroRNAs (miRNAs) are small non-coding RNAs that are often located in genomic
breakpoint regions and play a critical role in regulating a variety of the
cellular processes in human cancer. miR-3646 has been reported to take part in
tumorigenic progression in breast and bladder cancer, but its potential functions
and exact mechanistic roles in breast cancer are still unclear. The objective of
this study was to investigate the role of miR-3646 in breast cancer growth and
metastasis using both bioinformatic and experimental approaches. Before starting
the bench work, we conducted a bioinformatic study to predict the target genes
regulated by miR-3646 using a panel of different algorithms. The results showed
that miR-3646 might regulate a large number of genes that are related to cell
growth, proliferation, metabolis, transport, and apoptosis and some were cancer
related genes. We found that the expression level of miR-3646 was significantly
upregulated in breast cancer cells and tissues compared with normal breast cells
and no tumor tissues. Subsequently, the MTT and colony formation assay results
showed that up-regulation of miR-3646 promoted the cell viability and
proliferation. Our results also showed that down-regulation of miR-3646 arrested
the cells in G2/M phase in MCF7 and MDA-MB-231 cells which was accompanied by the
down-regulation of CDK1/CDC2 and cyclin B1 and upregulation of p21Waf1/Cip1, p27
Kip1, and p53, suggesting that down-regulation of miR-3646 induces G2/M arrest
through activation of the p53/p21/CDC2/cyclin B1 pathway. In addition,
overexpression of miR-3646 promoted migration and invasion of MCF7 and MDA-MB-231
cells. Taken together, miR-3646 is a potential oncogene in breast cancer and it
may represent a new niomarker in the diagnosis and prediction of prognosis and
therapeutic response.
PMID- 27186294
TI - The antitumor activity study of ginsenosides and metabolites in lung cancer cell.
AB - Ginseng and its components exert various biological effects, including
antioxidant, anti-carcinogenic, anti-mutagenic, and antitumor activity.
Ginsenosides are the main biological components of ginseng. Protopanaxadiol (PPD)
and protopanaxatriol (PPT) are two metabolites of ginsenosides. However, the
difference between these compounds in anti-lung cancer is unclear. The present
study aimed to evaluate the antitumor activity of PPD, PPT, Ginsenosides-Rg3 (G
Rg3) and Ginsenosides-Rh2 (G-Rh2) in lung cancer cell. After treatment with
cisplatin, PPD, PPT, G-Rg3 or G-Rh2, the viability, apoptosis level and
invasiveness of lung cell lines (A549 cell, a lung adenocarcinoma cell line and
SK-MES-1 cell, a lung squamous cell line) in vitro were analyzed by Cell Counting
Kit-8 (CCK8), Annexin V/PI apoptosis and Matrigel invasion assays, respectively.
Here we found that all these compounds led to significant decreases of viability
and invasiveness and an obvious increase of apoptosis of A549 and SK-MES-1 cells.
Among these, the viability of SK-MES-1 cell treated with PPT was decreased to
66.8%, and this effect was closest to Cisplatin. G-Rg3 had the highest
stimulatory effect on apoptosis, and PTT had the highest inhibitory effect on
cell invasiveness in A549 and SK-MES-1 cells. These results indicate that both
ginsenosides and two metabolites have antitumor activity on lung cancer cell in
vitro. However, PPT is more powerful for inhibiting the viability and
invasiveness of lung cancer cell, especially lung squamous cell. G-Rg3 has the
best pro-apoptosis effects. This study provides a scientific basis for potential
therapeutic strategies targeted to lung cancer by further structure modification.
PMID- 27186295
TI - CXCL12/CXCR4 axis induces proliferation and invasion in human endometrial cancer.
AB - OBJECTIVE: Since that we have previously found CXCL12/CXCR4, an important
biological axis is highly transcribed in several cancer cells. We aim to
investigate whether CXCL12/CXCR4 axis regulates critical processes in neoplastic
transformation that affects endometrial cancer cell biology. METHODS: The
expression levels of CXCR4 were analyzed in human normal endometrial tissue,
simple hyperplasia, atypical hyperplasia and endometrial cancer cells by
immunohistochemistry and reverse transcriptase-polymerase chain reaction (RT
PCR). Serum CXCL12 was measured by Enzyme-Linked Immunosorbent Assay (ELISA) in
Ishikawa endometrial cancer cell line. To study the biological function of
CXCL12/CXCR4 in endometrial cancer, short interfering RNA silencing of CXCR4 was
established to analyze the roles of CXCL12/CXCR4 in proliferation, migration,
invasion and apoptosis of Ishikawa cells in vitro. RESULTS: The expression level
of CXCR4 in endometrial cancer tissue was higher as compared to atypical
hyperplasia, simple hyperplasia and normal cycling endometrium cells. Ishikawa
cells secreted CXCL12 spontaneously and continuously for 96 hrs in culture. The
proliferation, migration and invasion of Ishikawa cells was significantly
induced, and the apoptosis was significantly reduced by CXCL12 in combination
with CXCR4. Moreover, CXCR4 silencing could significantly antagonize all these
functions. CONCLUSIONS: CXCL12/CXCR4 axis plays an important role in the
proliferation, invasion and metastasis of endometrial cancer, indicating that
CXCR4 could be the target for the treatment of endometrial cancer.
PMID- 27186296
TI - The role of JAK/STAT3 signaling pathway on apoptosis of lung adenocarcinoma cell
line PC-9 induced by icotinib.
AB - OBJECTIVE: The aim of this study is to estimate the role of JAK/STAT3 signaling
pathway on apoptosis of lung adenocarcinoma induced by icotinib. METHODS: EGFR
mutation was detected in lung adenocarcinoma cell line PC-9 by ARMS assay; The
inhibitory rates of cell proliferation of PC-9 cells which were exposed to
different concentrations of icotinib (0~100 MUMol/L) for different time (24~72 h)
respectively were evaluated by MTT assay; Apoptosis of PC-9 cells exposed to
different concentrations of icotinib (0, 0.1, 1 and 10 MUMol/L) for 48 h were
evaluated by TUNEL assay; JAK2, STAT3, Bcl-2, Bax mRNA expressions were evaluated
by Real-time PCR assay; The protein levels of P-STAT3 and IL-6 were evaluated by
Western-blot assay. RESULTS: Human lung adenocarcinoma cell line PC-9 had an exon
19 deletion mutation in EGFR gene; Followed by treatment of icotinib, the
proliferation of PC-9 cells were all inhibited significantly, especially in 48
and 72 h (P<0.01) in all concentrations; The inhibitory rates of cell
proliferation in different treating time had statistical significance (P<0.01);
Cell apoptosis in different concentrations were increased significantly (P<0.05);
Along with the increasing concentrations, gene expression levels of JAK2, STAT3
and Bcl-2 decreased significantly (P<0.05), Bax increased significantly (P<0.05),
JAK2/STAT3 ratios increased significantly (P<0.01), and Bcl-2/bax ratios
decreased significantly (P<0.01); P-STAT3 and IL-6 protein levels were inhibited
significantly in higher concentration. CONCLUSIONS: JAK/STAT3 signaling pathway
participates in apoptosis of PC-9 cells induced by icotinib. The most likely
mechanism is icotinib inhibited the gene expression levels of JAK2, STAT3 and Bcl
2, so with the P-STAT3 and IL-6 protein levels, and mediated gene Bax
overexpression.
PMID- 27186297
TI - Abate Cytochrome C induced apoptosome to protect donor liver against ischemia
reperfusion injury on rat liver transplantation model.
AB - OBJECTIVE: Aim of this study is to protect donor liver against ischemia
reperfusion injury by abating Cytochrome C induced apoptosome on rat model.
METHODS: A total of 25 clean SD inbred male rats were used in this research. The
rats in ischemia-reperfusion injury group (I/R group, n=5) were under liver
transplantation operation; rats in dichloroacetate diisopropylamine group (DADA
group, n=5) were treated DADA before liver transplantation; control group (Ctrl
group, n=5); other 10 rats were used to offer donor livers. RESULTS: In DADA
therapy group, Cytochrome C expression in donor hepatocellular cytoplasm was
detected lower than that in I/R group. And the Cytochrome C induced apoptosome
was also decreased in according to the lower expressions of Apaf-1 and Caspase3.
Low level of cleaved PARP expression revealed less apoptosis in liver tissue. The
morphology of donor liver mitochondria in DADA group was observed to be slightly
edema but less than I/R group after operation 12 h. The liver function indexes of
ALT and AST in serum were tested, and the results in DADA group showed it is
significantly lower than I/R group after operation 12 h. The inflammation indexes
of IL-6 and TNF-alpha expressions in DADA group were significantly lower than
that in I/R group after operation 24 h. CONCLUSION: The dichloroacetate
diisopropylamine treatment could protect the hepatocellular mitochondria in case
of the spillage of Cytochrome C induced apoptosome, and protect the liver against
ischemia-reperfusion injury. Thus, it may be a method to promote the recovery of
donor liver function after transplantation.
PMID- 27186298
TI - MicroRNA-183 correlates cancer prognosis, regulates cancer proliferation and
bufalin sensitivity in epithelial ovarian caner.
AB - Background we intended to explore the functional implication of microRNA-183 (miR
183) in predicting clinical prognosis and regulating cancer proliferation and
bufalin sensitivity in epithelial ovarian cancer (EOC). Methods In 75 EOC
patients, miR-183 expression was examined, by quantitative RT-PCR (qRT-PCR),
between paired EOC tumors and adjacent normal tissues, and between tumor samples
from patients at early clinical stages and those at advanced clinical stages. The
association of serum miR-183 and patients' clinicopathological variables were
examined. The overall survival (OS) was estimated by Kaplan-Meier model. And the
possibility of miR-183 as a prognostic biomarker for EOC was examined by cox
proportional hazard regression model. In EOC cell lines SKOV3 and ES-2 cells,
lentiviral transduction was conducted to genetically suppress miR-183. The effect
of miR-183 downregulation on EOC in vitro growth, bufalin sensitivity and in vivo
tumorigenicity were examined. Results MiR-183 was highly expressed in EOC tumors,
as well ass in patients at advanced clinical stages. Serum miR-183 was
significantly associated with major clinicopathological variables in EOC
patients, such as clinical stage and lymph node metastases. High level of serum
miR-183 was associated with poor OS in EOC patients, and proved to be a potential
biomarker for EOC. In EOC cell lines, functional assays demonstrated that miR-183
downregulation inhibited cancer proliferation, enhanced bufalin sensitivity and
reduced tumorigenicity in vivo. Conclusion MiR-183 may be a prognostic biomarker
for EOC, and inhibiting miR-183 may have therapeutic effect to inhibit tumor
growth in EOC.
PMID- 27186299
TI - Overexpression of GOLPH3 is associated with poor survival in Non-small-cell lung
cancer.
AB - As a highly conserved protein of the Golgi apparatus, Golgi phosphoprotein 3
(GOLPH3) has been shown to be involved in tumorigenesis. This study aims to
explore the expression and significance of GOLPH3 in non-small-cell lung cancer
(NSCLC). We found that GOLPH3 expression was significantly elevated in NSCLC
tissues when compared with adjacent lung tissues (p<0.01). Moreover, GOLPH3
expression was significantly associated with histological type (p<0.01),
differentiation (p<0.01), and lymph node metastasis (p<0.05). Kaplan-Meier
survival analysis showed that overall survival of patients with high expression
of GOLPH3 was significantly shorter (n=100, p<0.05). In addition, GOLPH3 knock
down in two independent NSCLC cell lines inhibited cell viability through the
induction of cell cycle arrest and apoptosis. In conclusion, GOLPH3 is closely
related to the progression in NSCLC and could be served as a potential prognostic
biomarker and therapeutic target for NSCLC.
PMID- 27186300
TI - The mechanism of acetylcholine receptor in binding MuSK in myasthenia gravis and
the role of HSP90 molecular chaperone.
AB - As an autoimmune disease, myasthenia gravis is caused by the dysfunction of
neural transmission. Acetylcholine is known to exert its function after entering
into synaptic cleft through binding onto postsynaptic membrane. The role of
acetylcholine in binding MuSK in myasthenia gravis, however, remains unknown. A
total of 38 myasthenia gravis patients and 27 healthy controls were included in
this study for the detection of the expression of MuSK using immunofluorescent
method. Expression of both MuSK and interleukin-6 (IL-6) were measured by Western
blot, followed by the correlation analysis between heat shock protein 90 (HSP90)
and IL-6 which were measured by enzyme-linked immunosorbent assay (ELISA). In
myasthenia gravis patients, MuSK was co-localized with acetylcholine at the
postsynaptic membrane. Such accumulation of MuSK, however, did not occur in
normal people. Meanwhile we also observed elevated expression of IL-6 in
myasthenia gravis patients (p<0.05). ELISA assay showed higher expression of
HSP90 in patients. Further signaling pathway screening revealed the activation of
IL-6-mediated pathways including STAT3 and SPH2. In conclusion, MuSK was co
localized with acetylcholine in myasthenia gravis patients, with elevated
expression. HSP90 in disease people can activate IL-6 mediated signaling
pathways.
PMID- 27186301
TI - Cardiomyocyte specific deletion of PP2A causes cardiac hypertrophy.
AB - Cardiac hypertrophy is a common pathological alteration in heart disease, which
has been reported to be connected with serine/threonine protein phosphatases that
control the dephosphorylation of a variety of cardiac proteins. Herein, we
generated protein phosphatase type 2A knockout expressing a tamoxifen-inducible
Cre recombinase protein fused to two mutant estrogen-receptor ligand-binding
domains (MerCreMer) under the control of the a-myosin heavy chain promoter.
Cardiac function of mice was determined by echocardiography. Decrease in PP2A
activity leads to increased cardiomyocyte hypertrophy and fibrosis. Loss of
PP2ACalpha leads to the heart failure, including the changes of EF, FS, LV, ANP
and BNP. On the molecular level, knockout mice shows increased expression of B55a
and B56e at 60 days after tamoxifen injection. Additionally, the regulation of
the Akt/GSK3beta/beta-catenin pathway is severely disturbed in knockout mice. In
conclusion, cardiomyocyte specific deletion of PP2A gene causes the cardiac
hypertrophy. We will use the knockout mice to generate a type of cardiomyocyte
hypertrophy mouse model with myocardial fibrosis.
PMID- 27186302
TI - miR-141 modulates osteoblastic cell proliferation by regulating the target gene
of lncRNA H19 and lncRNA H19-derived miR-675.
AB - Increasing evidence has reported the significant roles of lncRNA or miRNAs in the
biology of various diseases. This study was aimed to elucidate the potential
roles of miR-141 and lncRNA H19 and H19-derived miR-675 in regulating osteoblasts
proliferation and apoptosis and to explore its potential mechanism. miR-141 mimic
or miR-141 inhibitor or siRNA-H19 or miR-675 inhibitor was transfected into human
hFOB1.19 cells. The effects or miR-141 expression on H19 or miR-675 expression,
on osteoblasts proliferation and apoptosis were analyzed. Moreover, effects of
H19 and miR-675 expression on cell proliferation were also analyzed. The results
showed that miR-141 was down-regulated in both hFOB1.19 cells and osteosarcoma
tissues. The overexpressed miR-141 suppressed H19 and miR-675 expression in
hFOB1.19 cells. Besides, miR-141 suppression significantly increased cell
viability but this effect was blocked by silencing H19 or miR-675 inhibitor,
which is similar to the effects on VEGF and IGF2 expression. Furthermore, miR-141
overexpression induced osteoblasts apoptosis, but decreased the levels of caspase
3 and the Bcl-2/Bax ratio. Taken together, our study revealed that tumor
suppressor miR-141 overexpression suppressed osteoblasts proliferation but
induced apoptosis through down-regulating H19 or miR-675 in osteosarcoma. This
study may provide theoretical basis for illustrating the interaction between
lncRNA and miRNAs in osteosarcoma and for the therapeutic target of miR-141 in
osteosarcoma treatment.
PMID- 27186303
TI - IER5 promotes irradiation- and cisplatin-induced apoptosis in human
hepatocellular carcinoma cells.
AB - PURPOSE: To elucidate the mechanisms of the immediate-early response gene 5
(IER5) effect on the apoptosis induced by irradiation and cisplatin (CDDP) in
human hepatocellular carcinoma (HepG2) cells. METHODS: We generated IER5
overexpression stable cells (HepG2/IER5) using Lipofectamine 2000 transfection
HepG2 cells. Cell apoptosis was induced by irradiation and cisplatin treatments,
and cell proliferation (viability) and apoptosis were evaluated by MTT and flow
cytometry assays. Protein expression was determined by Western blot. RESULTS: The
growth of the IER5 overexpression cells was significantly inhibited after six
days of (60)Co gamma-irradiation exposure (p<0.01) compared with the cell growth
of vector control cells. Furthermore, the HepG2/IER5 cells were arrested at the
G2/M phases. We also found that the expression of phospho-Akt was reduced, and
the levels of cleaved caspase-3 and PARP were increased after the treatment of
HepG2/IER5 cells with gamma-irradiation and cisplatin. CONCLUSION: Our results
suggest that the overexpression of IER5 can inhibit cell growth and enhance the
cell apoptosis induced by exposure to radiation or cisplatin. The overexpression
of IER5 can be utilized as a targeting strategy to improve the outcomes of
radiotherapy used for the treatment of patients with liver cancer.
PMID- 27186304
TI - Genome-wide association study identified PLCE1- rs2797992 and EGFR- rs6950826
were associated with TP53 expression in the HBV-related hepatocellular carcinoma
of Chinese patients in Guangxi.
AB - OBJECTIVE: The genome-wide association approach was employed to explore the
association between single nucleotide polymorphisms (SNPs) and TP53 expression in
the HBV-related hepatocellular carcinoma (HCC) of Chinese patients in Guangxi.
METHODS: 403 HBV-related HCC patients were recruited into this study and
classified according to the TP53 expression in the cancer by
immunohistochemistry. DNA was extracted from the cancer and genotyped with the
Human ExomeBeadChip 12v1-1 system; quality control and principal-component
analysis (PCA) were applied for data analysis. RESULTS: The Genome-wide
association analysis indicated that rs2797992 with a P value of 4.35 * 10(-5)
locus in PLCE1 gene and rs6950826 with a P value of 2.2 * 10(-3) locus in EGFR
gene were associated with TP53 expression in the HCC. A allele of rs2797992
predicted a decreased risk for TP53 expression in HCC. In contrast, A allele of
rs6950826 increased the risk for TP53 expression. There was no strong LD locus in
the tested regions. PLCE1 and EGFR were associated with TP53 in pathway and at
HCC mRNA level. CONCLUSION: rs2797992 of PLCE1 gene and rs6950826 of EGFR gene
are associated with TP53 expression, but not with the prognosis of HBV-related
HCC in HBV-related HCC of Chinese patients in Guangxi.
PMID- 27186305
TI - MicroRNA-145 regulates platelet-derived growth factor-induced human aortic
vascular smooth muscle cell proliferation and migration by targeting CD40.
AB - The objective of this study is to investigate the expression of microRNA (miR)
145 in human aortic vascular smooth muscle cells (VSMCs) and the effect of miR
145 in the biological behavior and expression of CD40 in VSMCs. Cells were
treated with either miR-145 or miR-145 inhibitor. Cell proliferation was analyzed
by a colony formation assay and a methyl thiazolyl tetrazolium assay. Cell
migration and invasion were assessed using a transwell assay, an invasion assay,
and a wound healing assay. A luciferase reporter assay was used to detect the
interaction between miR-145 and CD40. Expression of alpha-SMA, calponin,
osteopontin (OPN), epiregulin, activator protein-1 (AP-1) and CD40 was measured
using real-time RT-PCR for mRNA levels and Western blotting for protein levels.
Overexpression of miR-145 significantly inhibited VSMC proliferation, invasion
and migration. Furthermore, OPN, epiregulin, AP-1 and CD40 expression at the mRNA
and protein levels was down-regulated by overexpression of miR-145. However,
alpha-SMA and calponin expression at the mRNA and protein levels was up-regulated
by overexpression of miR-145. In addition, the luciferase reporter assay showed
that CD40 may be a direct target gene of miR-145 in VSMC initiation and
development. Moreover, these data demonstrate that the up-regulation of CD40 is
critical for miR-145-mediated inhibitory effects on platelet-derived growth
factor-induced cell proliferation and migration in human VSMCs. In summary, CD40,
a direct target of miR-145, reverses the inhibitory effects of miR-145. These
results suggest that the specific modulation of miR-145 in human VSMCs may be an
attractive approach for the treatment of proliferative vascular diseases.
PMID- 27186306
TI - MicroRNA-544 inhibits glioma proliferation, invasion and migration but induces
cell apoptosis by targeting PARK7.
AB - Glioma is a common type of primary brain tumor. The survival rate in people with
malignant gliomas is extremely low associated with the lack of effective
treatment. Here, we firstly observed that miR-544 expression is downregulated in
glioma tissues and its overexpression in glioma cell line dramatically reduces
cell proliferation, migration and invasion. In addition, we found that the tumor
growth in nude mouse was as well inhibited by miR-544 overexpressed in glioma
cell. Our further investigation showed that the inhibitor role of miR-544 in
tumor development was related to the downregulated expression of Park7 gene which
has been demonstrated as a functional downstream target of miR-544. Thus, our
discovery suggested that miR-544 might used as a therapeutic reagent for the
treatment of glioma in the future.
PMID- 27186307
TI - Effects of combined delivery of extremely low frequency electromagnetic field and
magnetic Fe3O4 nanoparticles on hepatic cell lines.
AB - Magnetic Fe3O4 nanoparticles (MNPs) have shown promise as drug carriers for
treating lung and liver tumors in vivo. However, little is known about the
combined delivery of these MNPs with a second approach, extremely low frequency
electro-magnetic field (ELFF) exposure, which has been shown to have value for in
vitro treatment of tumor cells. Here, ELFF and MNPs were combined to treat
healthy (HL-7702) and cancerous (Bel-7402, HepG2) hepatic cells lines to explore
the potential therapeutic effects, bio-mechanisms, and potential toxicity of a
combined drug-free treatment in vitro. Flow cytometry for anti-AFP (alpha fetal
protein) antibody, which coated the MNPs, indicated that the combined treatment
induced Bel-7402 and HepG2 hepatoma cells lines into early apoptosis, without
significant effects on healthy hepatic cells. This effect appeared to be mediated
through cellular membrane ion metabolism. The presence of AFP-loaded MNPs
strengthened the effects of ELFF on tumor cells, inducing a higher frequency of
early apoptosis, while having minimal toxic effects on healthy HL-7702 cells.
Western blotting revealed that the apoptosis-triggering BCL proteins were up
regulated in hepatoma cells compared to healthy cells. Flow cytometry and patch
clamp studies revealed that this resulted from a higher MNP uptake ratio and
greater cellular membrane ion exchange current in tumor cells compared to HL-7702
cells. Further, patch-clamp results showed that combining MNPs with ELFF
treatment induces cells into early apoptosis through an ion metabolism
disturbance in cells, similar to ELFF treatment. In brief, the combination of
ELFF and MNPs had beneficial effects on tumor cells without significant toxicity
on healthy cells, and these effects were associated with cellular MNP uptake.
PMID- 27186308
TI - miRNA-296-3p modulates chemosensitivity of lung cancer cells by targeting CX3CR1.
AB - Lung cancer is the most common type of cancer-related death in developed
countries. MicroRNAs (miRNAs) are small non-coding RNAs, which regulates gene
expression in cancer. Recent studies demonstrate that the microRNA-293-3p (miR
293-3p) may play as an oncogene or a tumor suppressor. However, its expression
and roles in non-small cell lung cancer (NSCLC) is not known. In this study, our
purpose is to investigate the expression and roles of miR-296-3p in NSCLC. The
findings indicated that miR296-3p inhibited NSCLC cell proliferation, enhance the
drug resistance, and apoptosis. Data of luciferase reporter assays demonstrated
that the CX3CR1 gene was a direct regulator of tumorsuppressive miR296-3p.
Moreover, overexpressed CX3CR1 was confirmed in NSCLC clinical specimens.
Inhibition of CX3CR1 could inhibit cancer cellular survival and increase
chemotherapy sensitivity. There was a negative relationship between miR296-3p and
CX3CR1 expression in NSCLC tissues. Our study elucidates that miR296-3p plays a
suppressive role in NSCLC by inhibiting CX3CR1 expression.
PMID- 27186309
TI - Distribution of pancreatic B cell imaging agent (99m)Tc-DTPA-NGN2 in the body and
animal experimental research on pancreatic B cell functional imaging.
AB - PURPOSE: To explore the feasibility of the application of (99m)Tc-DTPA
Nateglinide as a nuclear medicine imaging agent for evaluating pancreatic B cell
function. METHODS: (1) Distribution of the experiment: Forty-two mice were
selected and divided into seven groups. Each mice was injected with 3.7 MBq (100
MUCi) of (99m)Tc-DTPA-NGN2 from the vena caudalis and was sacrificed by
bloodletting at five minutes, 15 minutes, 30 minutes, one hour, two hours, four
hours and six hours, respectively. Then, their tissues and organs such as the
heart, liver, spleen, brain, kidneys, bones, small bowels, stomach and
pancreas,and blood were collected, weighted, and their radioactivity was tested.
Subsequently, the percentage injection dose rate (%ID/g) per gram of tissue was
calculated. (2) Imaging experiment: Thirty-five mice were selected and divided
into seven groups. Each was injected with 18.5 MBq (100 MUCi) of (99m)Tc-DTPA
NGN2 from the vena caudalis and imaging were conducted at the same time as above.
(3) Forty-eight Wistar rats were attained and randomly divided into four groups.
The first group served as the healthy control group, while the second, third and
fourth groups were diabetic model groups induced by intraperitoneally injecting
STZ at different doses. Each group was injected with (99m)Tc-DTPA-Nateglinide
from the vena caudalis, and radiological evaluations were conducted at 30
minutes, one hour, 1.5 hours and two hours, respectively. The data obtained were
estimated using a correlation comparison with the levels of insulin and
immunohistochemical count of beta cells. RESULTS: The (99m)Tc-DTPA-Nateglinide
demonstrated good imaging in the pancreases of mice and rats, and was positively
correlated to the level of insulin and the number of pancreatic beta cells.
CONCLUSION: Pancreatic beta cell imaging using (99m)Tc-DTPA-Nateglinide may be a
method to evaluate pancreatic beta cell function.
PMID- 27186310
TI - Phenylbutyrate prevents disruption of blood-spinal cord barrier by inhibiting
endoplasmic reticulum stress after spinal cord injury.
AB - This study aims to investigate the role of endocytoplasmic reticulum (ER) stress
induced by spinal cord injury (SCI) in blood-spinal cord barrier (BSCB)
disruption and the effect of phenylbutyrate (PBA) on BSCB disruption after SCI.
After a moderate contusion injury at the T9 level of spinal cord with a vascular
clip, PBA was immediately administered into injured rat via intraperitoneal
injection (100 mg/kg) and then further treated once a day for 2 weeks for
behavior test. Spinal cord was collected at 1 day post-injury for evaluation of
the effects of ER stress and PBA on BSCB disruption after SCI. PBA significantly
attenuated BSCB permeability and degradation of tight junction molecules such as
P120, beta-catenin, Occludin and Claudin5 at 1 day after injury and improved
functional recovery in the rat model of trauma. The BSCB protective effect of PBA
is related to the inhibition of ER stress induced by SCI. In addition, PBA
significantly inhibited the increase of ER stress markers and prevents loss of
tight junction and adherens junction proteins in TG-treated human brain
microvascular endothelial cells (HBMEC). Taken together, our data demonstrate
that therapeutic strategies targeting ER stress may be suitable for the therapy
of preserving BSCB integrity after SCI. PBA may be a new candidate as a
therapeutic agent for protecting SCI by a compromised BSCB.
PMID- 27186311
TI - Radiofrequency ablation for postsurgical thyroid removal of differentiated
thyroid carcinoma.
AB - Differentiated thyroid carcinoma (DTC) is the most common endocrine malignancy.
Surgical removal with radioactive iodine therapy is recommended for recurrent
thyroid carcinoma, and the postsurgical thyroid removal is critical. This study
evaluated the clinical values of radiofrequency ablation (RFA) in the
postsurgical thyroid removal for DTC. 35 DTC patients who had been treated by
subtotal thyroidectomy received RFA for postsurgical thyroid removal. Before and
two weeks after RFA, the thyroid was examined by ultrasonography and (99m)TcO4 (
) thyroid imaging, and the serum levels of free triiodothyronine (FT3), free
thyroxin (FT4), thyroid stimulating hormone (TSH) and thyroglobulin (Tg) were
detected. The efficacy and complications of RFA were evaluated. Results showed
that, the postsurgical thyroid removal by RFA was successfully performed in 35
patients, with no significant complication. After RFA, the average largest
diameter and volume were significantly decreased in 35 patients (P > 0.05), and
no obvious contrast media was observed in ablation area in the majority of
patients. After RFA, the serum FT3, FT4 and Tg levels were markedly decreased (P
< 0.05), and TSH level was significantly increased (P < 0.05). After RFA,
radioiodine concentration in the ablation area was significantly reduced in the
majority of patients. The reduction rate of thyroid update was 0.69+/-0.20%. DTC
staging and interval between surgery and RFA had negative correlation (Pearson
coefficient = -0.543; P = 0.001), with no obvious correlation among others
influential factors. RFA is an effective and safe method for postsurgical thyroid
removal of DTC.
PMID- 27186312
TI - MiR-129-5p-mediated Beclin-1 suppression inhibits endothelial cell autophagy in
atherosclerosis.
AB - Endothelial cell injury and subsequent death play an essential role in the
pathogenesis of atherosclerosis. Autophagy of endothelial cells antagonizes the
development of atherosclerosis, whereas the underlying molecular mechanisms are
unclear. MicroRNA-129-5p (miR-129-5p) is a well-defined tumor suppressorin some
types of cancer, while it is unknown whether miR-129-5p may also play a role in
the development of atherosclerosis. Here, we addressed this question in the
current study. We examined the levels of endothelial cell autophagy in ApoE (-/-)
mice suppled with high-fat diet (HFD), a mouse model for atherosclerosis
(simplified as HFD mice). We analyzed the levels of Beclin-1 and the levels of
miR-129-5p in the purified CD31+ endothelial cells from mouse aorta. Prediction
of the binding between miR-129-5p and 3'-UTR of Beclin-1 mRNA was performed by
bioinformatics analyses and confirmed by a dual luciferase reporter assay. The
effects of miR-129-5p were further analyzed in an in vitro model using oxidized
low-density lipoprotein (ox-LDL)-treated human aortic endothelial cells (HAECs).
We found that HFD mice developed atherosclerosisin 12 weeks, while the control
ApoE (-/-) mice that had received normal diet (simplified as CTL mice) did not.
Compared to CTL mice, HFD mice had significantly lower levels of endothelial cell
autophagy, resulting from decreases in Beclin-1 protein, but not mRNA. The
decreases in Beclin-1 in endothelial cells were due to HFD-induced increases
inmiR-129-5p, which suppressed the translation of Beclin-1 mRNA via 3'-UTR
binding. These in vivo findings were reproduced in vitro on ox-LDL-treated HAECs.
Together, these data suggest that upregulation of miR-129-5p by HFD may impair
the protective effects of endothelial cell autophagy against development of
atherosclerosis through suppressing protein translation of Beclin-1.
PMID- 27186314
TI - Sestrin2 expression is a favorable prognostic factor in patients with non-small
cell lung cancer.
AB - The purpose of this study was to evaluate the prognostic value of Sestirn2 in
patients with non-small cell lung cancer (NSCLC). Quantitative real-time ( RT
PCR) and western blot were performed to investigate the mRNA and protein
expression of Sestirn2 in NSCLC and corresponding non-cancerous tissues.
Immunohistochemistry was used to detect the expression of Sestirn2 in 210 NSCLC
tissue samples. Overall survival was calculated by the Kaplan-Meier method and
analyzed by the log-rank test between different groups. The results indicated
that the Sestirn2 expression was significantly lower in NSCLC tissues than the
corresponding non-cancerous lung tissues. Low Sestirn2 expression was related to
poor tumor differentiation, advanced TNM stage, and lymph node metastasis.
Patients with high Sestirn2 expression had longer overall survival than those
with low expression levels, which was consistent with the results of the subgroup
analysis. Multivariate analysis showed that high Sestirn2 expression was a
favorable prognostic factor for NSCLC patients. Our study indicated that Sestirn2
could play an important role in the observation of prognosis in NSCLC and might
be a valuable marker for predicting the treatment outcome in patients with NSCLC.
PMID- 27186313
TI - microRNA-22 attenuates neuronal cell apoptosis in a cell model of traumatic brain
injury.
AB - Traumatic brain injury (TBI) is a major cause of injury-related deaths, and the
mechanism of TBI has become a research focus, but little is known about the
mechanism of microRNAs in TBI. The aim of this study is the role of microRNA-22
(miR-22) in TBI-induced neuronal cell apoptosis. Rat cortical neurons were
cultured and the TBI model was induced by scratch injury in vitro, before which
miR-22 level was altered by transfection of agomir or antagomir. Lactate
dehydrogenase (LDH) release and TUNEL assays were performed to examine neuronal
cell injury and apoptosis. The activity of caspase 3 (CASP3) and level changes of
several apoptosis factors including B-cell lymphoma 2 (BCL2), BCL2-associated X
protein (BAX), phosphatase and tensin homolog (PTEN) and v-AKT murine thymoma
viral oncogene homolog 1 (AKT1) were detected. Results showed that TBI model
cells possessed a downregulated miR-22 level (P < 0.001) and more LDH release and
apoptotic cells indicating the aggravated neuronal cell injury and apoptosis
induced by TBI. miR-22 agomir attenuated neuronal cell injury and apoptosis of
the TBI model. It also caused the corresponding changes in CASP3 activity and
other apoptosis factors, with cleaved CASP3, BAX and PTEN inhibited and BCL2 and
phosphorylated AKT1 promoted, while miR-22 antagomir had the opposite effects. So
miR-22 has neuroprotective roles of attenuating neuronal cell injury and
apoptosis induced by TBI, which may be associated with its regulation on
apoptosis factors. This study reveals miR-22 as a potential approach to TBI
treatment and detailed mechanism remains to be uncovered.
PMID- 27186316
TI - miR-128 modulates hepatocellular carcinoma by inhibition of ITGA2 and ITGA5
expression [Retraction].
AB - [This retracts the article on p. 1564 in vol. 7, PMID: 26550456.].
PMID- 27186315
TI - Icariin exerts estrogen-like activity in ameliorating EAE via mediating estrogen
receptor beta, modulating HPA function and glucocorticoid receptor expression.
AB - BACKGROUND: Estrogen exerts neuroprotective and anti-inflammatory effects in EAE
and multiple sclerosis (MS), but its clinical application is hindered due to side
effects and risk of tumor. Phytoestrogen structurally or functionally mimics
estrogen with fewer side effects than endogenous estrogen. Icariin (ICA), an
active component of Epimedium extracts, demonstrates estrogen-like
neuroprotective effects. However, it is unclear whether ICA is effective in EAE
and what are the underlying mechanisms. OBJECTIVE: To determine the therapeutic
effects of ICA in EAE and explore the possible mechanisms. METHODS: C57BL/6 EAE
mice were treated with Diethylstilbestrol, different dose of ICA and mid-dose ICA
combined with ICI 182780. The clinical scores and serum Interleukin-17 (IL-17),
Corticosterone (CORT) concentrations were then analyzed. Western blot were
performed to investigate the expressions of glucocorticoid receptor (GR),
estrogen receptor alpha (ERalpha) and ERbeta in the cerebral white matter of EAE
mice. RESULTS: High dose ICA is equally effective in ameliorating neurological
signs of EAE as estrogen. Estrogen and ICA has no effects on serum concentrations
of IL-17 in EAE. While the CORT levels were decreased by ICA at mid or high
doses, the expressions of GR, ERalpha and ERbeta were up-regulated by estrogen or
different doses of ICA in a dosedependent manner. Estrogen induced the elevation
of ERalpha more markedly than ICA. In contrast, ICA at mid and high doses
promoted ERbeta more significantly than estrogen. CONCLUSION: ICA exerts estrogen
like activity in ameliorating EAE via mediating ERbeta, modulating HPA function
and up-regulating the expression of GR in cerebral white matter. ICA may be a
promising therapeutic option for MS.
PMID- 27186317
TI - Optogenetics for neurodegenerative diseases.
AB - Neurodegenerative diseases are devastating conditions that lead to progressive
degeneration of neurons. Neurodegeneration may result in ataxia, dementia, and
muscle atrophies, etc. Despite enormous research efforts that have been made,
there is lack of effective therapeutic interventions for most of these diseases.
Optogenetics is a recently developed novel technique that combines optics and
genetics to modulate the activity of specific neurons. Optogenetics has been
implemented in various studies including neuropsychiatric disorders and
neurodegenerative diseases. This review focuses on the recent advance in using
this technique for the studies of common neurodegenerative diseases.
PMID- 27186318
TI - Neuroprotective effect of lidocaine: is there clinical potential?
AB - Local anesthetic lidocaine has been shown to be protective in animal models of
focal and global ischemia as well as in in vitro hypoxic models. Lidocaine has
been tested in patients for its potential protective effect on postoperative
cognitive dysfunction. This mini-review summarizes the laboratory and clinical
evidences and discusses its clinical applications as neuroprotective agent.
PMID- 27186320
TI - Role of autophagy in megakaryocyte differentiation and platelet formation.
AB - Autophagy is a conserved biological process for digestion and recycling of
cytoplasmic constituents in eukaryotic cells. Autophagy may trigger cell death or
promote cell survival following various forms of stress. The emerging roles of
autophagy in megakaryopoiesis, thrombopoiesis, and platelet function have been
uncovered using not only in vitro and in vivo genetic models, but also in
clinical observations of autophagic structure in patients with thrombocytopenic
disorders. Inhibition of autophagy in early stage of megakaryocyte
differentiation appears to impede megakaryocyte maturation, reduce platelet
formation, and affect platelet function, whereas autophagic deficiency in mature
megakaryocytes gives rise to abnormal platelet activation and function without
changing platelet size and number. On the other hand, induction of autophagy by
rapamycin in megakaryocytes exhibited substantial therapeutic benefits in
patients with immune thrombocytopenic purpura (ITP). This mini-review is to
highlight recent progresses in understanding the regulation of autophagy in
megakaryopoiesis, thrombopoiesis and platelet function to bridge the gap between
autophagy and megakaryocyte/platelet pathophysiology.
PMID- 27186321
TI - Intracellular zinc distribution in mitochondria, ER and the Golgi apparatus.
AB - Zinc (Zn(2+)) is required for numerous cellular functions. As such, the
homeostasis and distribution of intracellular zinc can influence cellular
metabolism and signaling. However, the exact distribution of free zinc within
live cells remains elusive. Previously we showed the release of zinc from
thapsigargin/IP3-sensitive endoplasmic reticulum (ER) storage in cortical
neurons. In the present study, we investigated if other cellular organelles also
contain free chelatable zinc and function as organelle storage for zinc. To
identify free zinc within the organelles, live cells were co-stained with Zinpyr
1, a zinc fluorescent dye, and organelle-specific fluorescent dyes (MitoFluor Red
589: mitochondria; ER Tracker Red: endoplasmic reticulum; BODIPY TR ceramide:
Golgi apparatus; Syto Red 64: nucleus). We examined organelles that represent
potential storing sites for intracellular zinc. We showed that zinc fluorescence
staining was co-localized with MitoFluor Red 589, ER Tracker Red, and BODIPY TR
ceramide respectively, suggesting the presence of free zinc in mitochondria,
endoplasmic reticulum, and the Golgi apparatus. On the other hand, cytosol and
nucleus had nearly no detectable zinc fluorescence. It is known that nucleus
contains high amount of zinc binding proteins that have high zinc binding
affinity. The absence of zinc fluorescence suggests that there is little free
zinc in these two regions. It also indicates that the zinc fluorescence detected
in mitochondria, ER and Golgi apparatus represents free chelatable zinc. Taken
together, our results support that these organelles are potential zinc storing
organelles during cellular zinc homeostasis.
PMID- 27186319
TI - The mitochondria-targeted antioxidant MitoQ attenuates liver fibrosis in mice.
AB - Oxidative stress plays an essential role in liver fibrosis. This study
investigated whether MitoQ, an orally active mitochondrial antioxidant, decreases
liver fibrosis. Mice were injected with corn oil or carbon tetrachloride (CCl4,
1:3 dilution in corn oil; 1 ul/g, ip) once every 3 days for up to 6 weeks. 4
Hydroxynonenal adducts increased markedly after CCl4 treatment, indicating
oxidative stress. MitoQ attenuated oxidative stress after CCl4. Collagen 1alpha1
mRNA and hydroxyproline increased markedly after CCl4 treatment, indicating
increased collagen formation and deposition. CCl4 caused overt pericentral
fibrosis as revealed by both the sirius red staining and second harmonic
generation microscopy. MitoQ blunted fibrosis after CCl4. Profibrotic
transforming growth factor-beta1 (TGF-beta1) mRNA and expression of smooth muscle
alpha-actin, an indicator of hepatic stellate cell (HSC) activation, increased
markedly after CCl4 treatment. Smad 2/3, the major mediator of TGF-beta
fibrogenic effects, was also activated after CCl4 treatment. MitoQ blunted HSC
activation, TGF-beta expression, and Smad2/3 activation after CCl4 treatment.
MitoQ also decreased necrosis, apoptosis and inflammation after CCl4 treatment.
In cultured HSCs, MitoQ decreased oxidative stress, inhibited HSC activation, TGF
beta1 expression, Smad2/3 activation, and extracellular signal-regulated protein
kinase activation. Taken together, these data indicate that mitochondrial
reactive oxygen species play an important role in liver fibrosis and that
mitochondria-targeted antioxidants are promising potential therapies for
prevention and treatment of liver fibrosis.
PMID- 27186322
TI - Zinc wave during the treatment of hypoxia is required for initial reactive oxygen
species activation in mitochondria.
AB - Mitochondrial reactive oxygen species (ROS) are known to accumulate during
chemical hypoxia, causing adverse effects on cell function and survival. Recent
studies show important role zinc accumulation plays in dysfunction associated
with hypoxia. It is well known that ROS accumulation also plays a major role in
cellular damage by hypoxia. In this study, fluorescent imaging and
pharmacological methods were used in live HeLa cells to determine role of zinc in
initial ROS accumulation in mitochondria during chemical hypoxia (oxygen glucose
depravation with 4 mM sodium dithionite). Accumulation of both was observed as a
very rapid phenomenon with initial rapid zinc increase (zinc wave) within 60
seconds of hypoxia onset and ROS increase within 4.5 minutes. Zinc chelation with
TPEN removed the initial zinc wave which in turn abolished ROS accumulation.
Influx of exogenous zinc induced rapid ROS accumulation. Inhibition of NADPH
oxidase with apocynin, a NADPH oxidase inhibitor, showed significant and
prolonged reduction in zinc induced ROS accumulation. We proposed a novel
mechanism of intracellular zinc increase that activates NADPH oxidase which in
turn triggers mitochondrial ROS production.
PMID- 27186323
TI - DNA methylation and genetic polymorphisms of the Leptin gene interact to
influence lung function outcomes and asthma at 18 years of age.
AB - The leptin gene (LEP) plays a regulatory role in satiety, inflammation, and
allergy. Prior findings linking leptin to asthma motivated us to investigate
whether DNA methylation (DNA-M) of CpG (cytosine-phosphate-guanine) sites in
concert with single nucleotide polymorphisms (SNPs) of LEP can explain the risk
of asthma and lung function. Methylation of CpG sites was assessed using the
Illumina Infinium Human Methylation 450 beadchip in blood samples collected from
10- and 18-year-old boys and girls from the Isle of Wight (IOW) birth cohort
(UK). Four LEP SNPs were genotyped. Linear and log linear models were used for
the analysis, adjusting for false discovery rate (FDR). The analyses were
repeated in the BAMSE cohort (Sweden). In the IOW study, the interaction of
cg00666422 and rs11763517 (CT vs TT and CC) was associated with FEV1 (FDR
adjusted p-value: 0.03), FEV1/FVC ratio (FDR-adjusted p-value: 0.0096), and FEF25
75% (FDR-adjusted p-value: 0.00048) such that they decreased with increasing DNA
M. The interaction of the same CpG-SNP pair was also associated with increased
risk of asthma at age 18. We replicated the findings for FEV1/FVC and FEF25-75%
in a smaller sample of 34 participants at age 10. Regarding the BAMSE cohort,
although, the interaction of cg00666422 and rs11763517 on lung function were not
significant, the direction of the effect was the same as in IOW cohort. Thus,
penetrance of LEP genotype seems to be modified by methylation at cg00666422 and
is linked to airway obstruction and asthma.
PMID- 27186324
TI - Telomere length measurement by a novel Luminex-based assay: a blinded comparison
to Southern blot.
AB - Telomere length (TL) is a potential biomarker of aging and age-related disease
risk. We recently published a novel Luminex-based method for high-throughput, low
cost TL measurement. Here we describe a blinded comparison of the Luminex method
to Southern blot, the most precise TL measurement method. Luminex and Southern
blot measurements for the same 50 DNA samples were taken in two independent
laboratories; each sample was measured twice, several months apart. The inter
assay CV for Luminex ranged from 5.5 to 9.1 (depending on CV estimation method),
and Southern blot CV from 1.0 to 1.7. Both measures were inversely associated
with age. The correlation between the repeated measurements was 0.66 for Luminex
and 0.97 for Southern blot. The correlation between Southern blot and Luminex was
0.65 in round 1 and 0.75 in round 2, and the relationship showed no evidence of
non-linearity. Our results demonstrate that the Luminex assay is a valid and
reproducible method for high-throughput TL measurement. The Luminex assay
involves no DNA amplification, which may make Luminex an attractive alternative
to PCR-based TL measurement.
PMID- 27186325
TI - GNAS gene mutation may be present only transiently during colorectal
tumorigenesis.
AB - Mutations of the gene GNAS have been shown to activate the adenylate cyclase gene
and lead to constitutive cAMP signaling. Several preliminary reports have
suggested a role for GNAS gene mutations during colorectal carcinogenesis,
particularly mucinous carcinomas. The aim of this study was to clarify the
incidence of GNAS mutations in adenomas (tubular, tubulovillous, and villous),
carcinomas with residual adenoma, and carcinomas, and to relate these findings to
mutations of the KRAS gene and to the mucinous status of the tumors. We used
standard PCR techniques and direct gene sequencing to evaluate tumors for gene
mutations. No GNAS mutations were identified in 25 tubular adenomas, but were
present in 6.4% of tubulovillous adenomas and 11.2% of villous adenomas. A GNAS
mutation was found in 9.7% of the benign portion of carcinoma with residual
adenoma, but in none of 86 carcinomas. A similar trend was seen for KRAS mutation
across the five groups of tumors. GNAS mutations may function as an important
driver mutation during certain phases of colorectal carcinogenesis, but may then
be lost once the biological advantage gained by the mutated gene is no longer
necessary to sustain or advance tumor development.
PMID- 27186326
TI - Placental genetic variations in circadian clock-related genes increase the risk
of placental abruption.
AB - The genetic architecture of placental abruption (PA) remains poorly understood.
We examined variations in SNPs of circadian clock-related genes in placenta with
PA risk. We also explored placental and maternal genomic contributions to PA
risk. Placental genomic DNA samples were isolated from 280 PA cases and 244
controls. Genotyping was performed using the Illumina Cardio-MetaboChip. We
examined 116 SNPs in 13 genes known to moderate circadian rhythms. Logistic
regression models were fit to estimate odds ratios (ORs). The combined effect of
multiple SNPs on PA risk was estimated using a weighted genetic risk score. We
examined independent and joint associations of wGRS derived from placental and
maternal genomes with PA. Seven SNPs in five genes (ARNTL2, CRY2, DEC1, PER3 and
RORA), in the placental genome, were associated with PA risk. Each copy of the
minor allele (G) of a SNP in the RORA gene (rs2899663) was associated with a 30%
reduced odds of PA (95% CI 0.52-0.95). The odds of PA increased with increasing
placental-wGRS (Ptrend<0.001). The ORs were 1.00, 2.16, 3.24 and 4.48 across
quartiles. Associations persisted after the maternal-wGRS was included in the
model. There was evidence of an additive contribution of placental and maternal
genetic contributions to PA risk. Participants with placental- and maternal-wGRS
in the highest quartile, compared with those in the lowest quartile, had a 15.57
fold (95% CI 3.34-72.60) increased odds of PA. Placental variants in circadian
clock-related genes are associated with PA risk; and the association persists
after control of genetic variants in the maternal genome.
PMID- 27186327
TI - Association analysis of a chemo-response signature identified within The Cancer
Genome Atlas aimed at predicting genetic risk for chemo-response in ovarian
cancer.
AB - BACKGROUND: A gene signature associated with chemo-response in ovarian cancer was
created through integration of biological data in The Cancer Genome Atlas (TCGA)
and validated in five independent microarray experiments. Our study aimed to
determine if single nucleotide polymorphisms (SNPs) within the 422-gene signature
were associated with a genetic predisposition to platinum-based chemotherapy
response in serous ovarian cancer. METHODS: An association analysis between SNPs
within the 422-gene signature and chemo-response in serous ovarian cancer was
performed under the log-additive genetic model using the 'SNPassoc' package
within the R environment (p<0.0001). Subsequent validation of statistically
significant SNPs was done in the Ovarian Cancer Association Consortium (OCAC)
database. RESULTS: 19 SNPs were found to be associated with chemo-response with
statistical significance. None of the SNPs found significant in TCGA were
validated within OCAC for the outcome of interest, chemo-response. CONCLUSIONS:
SNPs associated with chemo-response in ovarian cancer within TGCA database were
not validated in a larger database of patients and controls from OCAC. New
strategies integrating somatic and germline information may help to characterize
genetic predictors for treatment response in ovarian cancer.
PMID- 27186328
TI - Genes, environment and gene expression in colon tissue: a pathway approach to
determining functionality.
AB - Genetic and environmental factors have been shown to work together to alter
cancer risk. In this study we evaluate previously identified gene and lifestyle
interactions in a candidate pathway that were associated with colon cancer risk
to see if these interactions altered gene expression. We analyzed non-tumor RNA
seq data from 144 colon cancer patients who had genotype, recent cigarette
smoking, diet, body mass index (BMI), and recent aspirin/non-steroidal anti
inflammatory use data. Using a false discovery rate of 0.1, we evaluated
differential gene expression between high and low levels of lifestyle exposure
and genotypes using DESeq2. Thirteen pathway genes and 17 SNPs within those genes
were associated with altered expression of other genes in the pathway. BMI,
NSAIDs use and dietary components of the oxidative balance score (OBS) also were
associated with altered gene expression. SNPs previously identified as
interacting with these lifestyle factors, altered expression of pathway genes.
NSAIDs interacted with 10 genes (15 SNPs) within those genes to alter expression
of 28 pathway genes; recent cigarette smoking interacted with seven genes (nine
SNPs) to alter expression of 27 genes. BMI interacted with FLT1, KDR, SEPN1,
TERT, TXNRD2, and VEGFA to alter expression of eight genes. Three genes (five
SNPs) interacted with OBS to alter expression of 12 genes. These data provide
support for previously identified lifestyle and gene interactions associated with
colon cancer in that they altered expression of key pathway genes. The need to
consider lifestyle factors in conjunction with genetic factors is illustrated.
PMID- 27186329
TI - Genetic polymorphism at codon 546 of the human RAD17 contributes to the risk for
esophageal squamous cell carcinoma.
AB - Human RAD17, a human homolog of the Schizosaccharomyces pombe cell cycle
checkpoint gene RAD17, plays a significant role in activating checkpoint signals
in response to DNA damage. We evaluated the association of hRAD17 Leu546Arg
(rs1045051), a missense single nucleotide polymorphism, with the risk of
esophageal squamous cell carcinoma in relation to smoking and alcohol consumption
history in 154 esophageal squamous cell carcinoma male patients and 695 cancer
free male controls by a case-control study conducted in Japan. The results showed
that the hRAD17 Arg/Arg genotype compared to the Leu/Leu and Leu/Arg genotypes
was significantly associated with the risk of the esophageal squamous cell
carcinoma with an adjusted odds ratios of 2.22 (95% CI: 1.19-4.16 P=0.013). In
stratified studies, the risk of esophageal squamous cell carcinoma was markedly
higher in light drinkers (less than 23 g ethanol/day) with the Arg/Arg genotype
than in heavy drinkers (excess of 23 g ethanol/day) with the Arg/Arg genotype
(OR=2.83, 95% CI: 1.05-7.61, P=0.04). We concluded that the genetic variant of
hRAD17 Leu546Arg polymorphism exerts a significant effect on esophageal squamous
cell carcinoma risk among Japanese men.
PMID- 27186330
TI - Dyslipidemia, insulin resistance and dietary fat intake in obese and normal
weight adolescents: the role of uncoupling protein 2 -866G/A gene polymorphism.
AB - Obesity in adolescents has been associated with increased cardiovascular risk
factors such as dyslipidemia and insulin resistance. Several factors have been
proposed to be associated with cardiovascular risk factors in adolescents
including dietary habit, physical activity and genetic. This study was aimed to
evaluate the interaction between genetic variation and dietary intake on
cardiovascular metabolic risk factors in obese and normal weight adolescents. The
UCP2 gene was chosen because it was previously correlated with dietary intake and
cardiovascular risk factors. This study is a case control study done in 10 senior
high school in Yogyakarta. Subjects were obese and normal weight adolescents
taken from an obesity screening with age ranged between 16 and 18 years old.
Dyslipidemia was observed by measuring total cholesterol, triglyceride, LDL dan
HDL level while insulin resistance was determined by calculating fasting glucose
and insulin level. Lipid profile, glucose and insulin level were measured after 8
hours of fasting. UCP2 -866G/A gene polymorphism were determined using polymerase
chain reaction-restriction fragment length polymorphism (PCR-RFLP). The results
show that obese adolescents had significantly higher blood pressure, total
cholesterol, LDL, triglyceride, insulin level and lower HDL level than their
normal weight counterparts (all p<0.001). In obese adolescents, UCP2 -866G/A was
associated with blood pressure (p=0.025), total cholesterol level (p=0.025), LDL
(p=0.024) level and HOMA IR (p<0.001) but not with dietary fat intake (p=0.386).
Additionally, subjects with UCP2 -866G/A gene polymorphism and high dietary fat
intake had lower risk on obesity compared to those without UCP2 -866G/A gene
polymorphism and low dietary fat intake. We conclude that the UCP2 -866G/A was
associated with dyslipidemia, insulin resistance in obese adolescents.
Additionally, we also observed the interaction between UCP2 -866G/A gene
polymorphism and dietary intake on the risk of obesity.
PMID- 27186331
TI - Prevalence of common vitamin D receptor gene polymorphisms in HIV-infected and
uninfected South Africans.
AB - BACKGROUND: Host genetic factors may a play role in susceptibility to infection.
Vitamin-D is an immunomodulator that may play a role in HIV infection. Vitamin-D
action is mediated by the vitamin-D receptor. We establish prevalence of ApaI,
BsmI, FokI and TaqI polymorphisms (VDRPs) amongst a black southern African HIV+ve
population and investigate polymorphic differences between HIV+ve and -ve people.
METHODS: Seventy-nine sex and age-group matched HIV+ve patients of African origin
initiating antiretroviral therapy (ART) and 79 HIV-ve participants, also of
African origin, were recruited from a public sector HIV testing and treatment
clinic and investigated for the 4 polymorphisms. The genotype frequencies were
compared, odds ratios and 95% confidence intervals of the association of HIV
status and each genotype were calculated. Both dominant, co-dominant, recessive
and allele models were tested. RESULTS: We found no evidence of difference in
distribution and association between HIV infection and the genotypes of the BsmI,
FokI and TaqI VDR polymorphisms. The genotype distributions were consistent with
Hardy-Weinberg equilibrium for these genotypes. The ApaI genotype showed
differences in distribution by HIV status in the dominant and co-dominant models.
However this finding is cautiously stated as the ApaI genotype violated the Hardy
Weinberg equilibrium and frequency of the minor variant was unexpectedly low in
this population. CONCLUSION: We do not show convincing differences in
distribution of the VDR genotypes among HIV+ve and HIV-ve black southern African
persons. Future studies need to be replicated in larger study populations as
understanding polymorphic differences and similarities may offer insights into
the different susceptibility and progression of HIV in southern African
populations.
PMID- 27186332
TI - Soluble vascular endothelial growth factor receptors 2 (sVEGFR-2) and 3 (sVEGFR
3) and breast cancer risk in the Swedish Mammography Cohort.
AB - Vascular endothelial growth factor (VEGF) is a signalling protein that has been
established as a contributor to tumor angiogenesis, and expression of VEGF and
its soluble receptors (sVEGFR2 and sVEGFR3) have been demonstrated in breast
cancer cells. However, no prospective studies have examined the association
between prediagnostic sVEGFR levels and breast cancer risk. We conducted a
prospective case-control study nested within the Swedish Mammography Cohort
examining the association between sVEGFR2 and 3 levels and breast cancer risk.
The analysis included 69 incident breast cancer cases diagnosed after blood
collection and 719 controls. Logistic regression models were used to calculate
odds ratios and 95% confidence intervals. After adjustment for breast cancer risk
factors, sVEGFR2 levels were associated with breast cancer risk (OR=1.28; 95%
CI=1.06-1.56 per 1000 ng/L increase in concentration) while sVEGFR3 levels were
not related to such risk (OR=1.00; 95% CI=0.93-1.07). Our results suggest that
sVEGFR2 levels may be positively associated with breast cancer risk, however
future studies with larger case groups are necessary to confirm this association.
PMID- 27186334
TI - Karyotype and genome size in Euterpe Mart. (Arecaceae) species.
AB - Euterpe (Martius, 1823), a genus from Central and South America, has species with
high economic importance in Brazil, because of their palm heart and fruits, known
as acai berries. Breeding programs have been conducted to increase yield and
establish cultivation systems to replace the extraction of wild material. These
programs need basic information about the genome of these species to better
explore the available genetic variability. The aim of this study was to compare
Euterpe edulis (Martius, 1824), Euterpe oleracea (Martius, 1824) and Euterpe
precatoria (Martius, 1842), with regard to karyotype, type of interphase nucleus
and nuclear DNA amount. Metaphase chromosomes and interphase nuclei from root tip
meristematic cells were obtained by the squashing technique and solid stained for
microscope analysis. The DNA amount was estimated by flow cytometry. There were
previous reports on the chromosome number of Euterpe edulis and Euterpe oleracea,
but chromosome morphology of these two species and the whole karyotype of Euterpe
precatoria are reported for the first time. The species have 2n=36, a number
considered as a pleisomorphic feature in Arecoideae since the modern species,
according to floral morphology, have the lowest chromosome number (2n=28 and
2n=30). The three Euterpe species also have the same type of interphase nuclei,
classified as semi-reticulate. The species differed on karyotypic formulas, on
localization of secondary constriction and genome size. The data suggest that the
main forces driving Euterpe karyotype evolution were structural rearrangements,
such as inversions and translocations that alter chromosome morphology, and
either deletion or amplification that led to changes in chromosome size.
PMID- 27186333
TI - Screening and chromosome localization of two cotton BAC clones.
AB - Two bacterial artificial chromosome (BAC) clones (350B21 and 299N22) of Pima 90
53 cotton [Gossypium barbadense Linnaeus, 1753 (2n=4x=52)] were screened from a
BAC library using SSR markers. Strong hybridization signals were detected at
terminal regions of all A genome (sub-genome) chromosomes, but were almost absent
in D genome (sub-genome) chromosomes with BAC clone 350B21 as the probe. The
results indicate that specific sequences, which only exist at the terminal parts
of A genome (sub-genome) chromosomes with a huge repeat number, may be contained
in BAC clone 350B21. When utilizing FISH with the BAC clone 299N22 as probe, a
pair of obvious signals was detected on chromosome 13 of D genome (sub-genome),
while strong dispersed signals were detected on all A genome (sub-genome)
chromosomes. The results showed that peculiar repetitive sequence, which was
distributed throughout all A genome (sub-genome) chromosomes, may exist in BAC
clone 299N22. The absence of the repetitive sequences, which exist in the two BAC
clones, in D genome may account for the genome-size variation between A and D
genomes. In addition, the microcolinearity analysis of the clone 299N22 and its
homologous region on Gossypium raimondii Ulbrich, 1932 chromosome 13 (D513)
indicated that the clone 299N22 might come from A sub-genome of sea island cotton
(Gossypium barbadense), and a huge number of small deletions, illegitimate
recombination, translocation and rearrangements may have occurred during the
genus evolution. The two BAC clones studied here can be used as cytological
markers but will be also be helpful to research in cotton genome evolution and
comparative genomics.
PMID- 27186335
TI - A chromosomal analysis of three species of Timarcha (Coleoptera, Chrysomelidae,
Chrysomelinae).
AB - The karyotypes of three species of Timarcha Latreille, 1829 have been analysed.
Timarcha (Metallotimarcha) metallica (Laicharting, 1781), has 18 + Xyp male
meioformula and 2n = 38 chromosomes, similar to those found in the two species of
subgenus Americanotimarcha Jolivet, 1948, in agreement with morphological and
molecular phylogenetic grounds. Timarcha (Timarcha) carmelenae Petitpierre, 2013
displays 9 + Xyp and 2n = 20 chromosomes as in morphologically related Andalusian
species, whereas Timarcha (Timarcha) parvicollis ssp. seidlitzi Kraatz, 1879
shows 11 + Xyp and 2n = 24 chromosomes, clearly differing from the previous
species. These results are discussed in order to get an insight into the main
trends of the chromosomal evolution in Timarcha.
PMID- 27186336
TI - Chromosomal evidence of species status and evolutionary relationships of the
black fly Prosimulium petrosum (Diptera, Simuliidae) in Armenia.
AB - The karyotype of Armenian populations of the black fly Prosimulium petrosum
Rubtsov, 1955 was characterized and compared with that of all other chromosomally
known Palearctic members of the Prosimulium hirtipes group. Analysis of the
polytene chromosomes established that Prosimulium petrosum is most closely
related to European populations of Prosimulium latimucro (Enderlein, 1925) with
which it shares an identical fixed chromosomal banding sequence. Its validity as
a species, separate from Prosimulium latimucro, is based on its unique sex
differential sections in the expanded centromere region of chromosome I, in
agreement with the unique structural configuration of the hypostomal teeth of its
larvae. Prosimulium petrosum and Prosimulium latimucro, therefore, are
homosequential species, demonstrating the value of a combined chromosomal and
morphological approach in determining species status.
PMID- 27186337
TI - Sex chromosome diversity in Armenian toad grasshoppers (Orthoptera, Acridoidea,
Pamphagidae).
AB - Although previous cytogenetic analysis of Pamphagidae grasshoppers pointed to
considerable karyotype uniformity among most of the species in the family, our
study of species from Armenia has discovered other, previously unknown
karyotypes, differing from the standard for Pamphagidae mainly in having unusual
sets of sex chromosomes. Asiotmethis turritus (Fischer von Waldheim, 1833),
Paranocaracris rubripes (Fischer von Waldheim, 1846), and Nocaracris cyanipes
(Fischer von Waldheim, 1846) were found to have the karyotype 2n?=16+neo-XY and
2n?=16+neo-XX, the neo-X chromosome being the result of centromeric fusion of an
ancient acrocentric X chromosome and a large acrocentric autosome. The karyotype
of Paranothrotes opacus (Brunner von Wattenwyl, 1882) was found to be
2n?=14+X1X2Y and 2n?=14+X1X1X2X2., the result of an additional chromosome
rearrangement involving translocation of the neo-Y and another large autosome.
Furthermore, evolution of the sex chromosomes in these species has involved
different variants of heterochromatinization and miniaturization of the neo-Y.
The karyotype of Eremopeza festiva (Saussure, 1884), in turn, appeared to have
the standard sex determination system described earlier for Pamphagidae
grasshoppers, 2n?=18+X0 and 2n?=18+XX, but all the chromosomes of this species
were found to have small second C-positive arms. Using fluorescent in situ
hybridization (FISH) with 18S rDNA and telomeric (TTAGG)n DNA repeats to yield
new data on the structural organization of chromosomes in the species studied, we
found that for most of them, clusters of repeats homologous to 18S rDNA localize
on two, three or four pairs of autosomes and on the X. In Eremopeza festiva,
however, FISH with labelled 18S rDNA painted C-positive regions of all autosomes
and the X chromosome; clusters of telomeric repeats localized primarily on the
ends of the chromosome arms. Overall, we conclude that the different stages of
neo-Y degradation revealed in the Pamphagidae species studied make the family a
very promising and useful model for studying sex chromosome evolution.
PMID- 27186338
TI - Identification of homogeneously staining regions by G-banding and chromosome
microdissection, and FISH marker selection using human Alu sequence primers in a
scleractinian coral Coelastrea aspera Verrill, 1866 (Cnidaria).
AB - Karyotype analysis was performed on the scleractinian coral Coelastrea aspera
Verrill, 1866, commonly found along temperate coasts in Japan (30-35 degrees N)
and in coastal waters in the Indian and Pacific oceans. G-banding of Coelastrea
aspera was successfully performed, although the banding pattern was not as clear
as that in mammals. The karyogram clearly revealed that this coral had a
homogeneously staining region (hsr) in chromosome 11. This hsr consisted of
ribosomal RNA (rRNA) related genes, which was demonstrated by fluorescence in
situ hybridization (FISH) with probes generated using 28S ribosomal DNA (rDNA)
primers and those generated through chromosome microdissection. In addition, we
conducted silver-stained nucleolus organizer region (Ag-NOR) analysis and found
Ag depositions in the interphase nuclei but not on rRNA gene loci and hsr(s) in
the mitotic stage. The hsr of this coral was observed in approximately 50% of the
metaphase spreads analyzed. This may explain the diversity of coral rDNA based on
the molecular study of sequence analysis. Furthermore, it was discovered that
human telomere and Alu repeated sequences were present in this Coelastrea aspera.
Probes derived from human Alu sequences are expected to play an important role in
the classification of corals. Overall, our data can be of great value in
discriminating among scleractinian coral species and understanding their
genetics, including chromosomal evolution.
PMID- 27186339
TI - Evolutionary trends in the family Curimatidae (Characiformes): inferences from
chromosome banding.
AB - The family Curimatidae is a fish group usually considered chromosomally conserved
in their diploid number. However, some studies show small changes in the
karyotype microstructure, and the presence of B chromosomes, indicating a
chromosomal diversification within the group, even if structural changes in the
karyotypes are not visible. Few studies associate this trait with an evolutionary
pattern within the family. This study aimed to characterize the karyotype,
nucleolus organizer regions (NORs), and heterochromatin distribution of six
species of Curimatidae of the genera Cyphocharax Fowler, 1906 and Steindachnerina
Fowler, 1906: Cyphocharax voga (Hensel, 1870), Cyphocharax spilotus (Vari, 1987),
Cyphocharax saladensis (Meinken, 1933), Cyphocharax modestus (Fernandez-Yepez,
1948), Steindachnerina biornata (Braga et Azpelicueta, 1987) and Steindachnerina
insculpta (Fernandez-Yepez, 1948) and contribute data to a better understanding
of the mechanisms involved in the chromosomal evolution of this group of fish.
All specimens had 2n=54, m-sm, and B microchromosomes. Five species exhibited
single NORs, except for Steindachnerina biornata, which showed a multiple pattern
of ribosomal sites. NORs were chromomycin A3 positive (CMA3 (+)) and 4'-6-diamino
2-phenylindole (DAPI(-)) negative, exhibiting differences in the pair and
chromosomal location of each individual of the species. FISH with 5S rDNA probe
revealed sites in the pericentrometic position of a pair of chromosomes of five
species. However, another site was detected on a metacentric chromosome of
Cyphocharax spilotus. Heterochromatin distributed both in the pericentromeric and
some terminal regions was revealed to be CMA3 (+)/DAPI(-). These data associated
with the previously existing ones confirm that, although Curimatidae have a very
conservative karyotype macrostructure, NORs and heterochromatin variability are
caused by mechanisms of chromosome alterations, such as translocations and/or
inversions, leading to the evolution and diversification of this group of fish.
PMID- 27186340
TI - The contribution of cytogenetics and flow cytometry for understanding the
karyotype evolution in three Dorstenia (Linnaeus, 1753) species (Moraceae).
AB - Chromosome morphometry and nuclear DNA content are useful data for cytotaxonomy
and for understanding the evolutionary history of different taxa. However, the
chromosome number is the only karyotype aspect reported for the species of
Dorstenia so far. In this study, the nuclear genome size of Dorstenia arifolia
(Lamarck, 1786), Dorstenia bonijesu (Carauta & C. Valente, 1983) and Dorstenia
elata (Hooker, 1840) was evaluated and their karyotype morphometry accomplished,
with the aim of verifying the potential of those parameters to understand
evolutionary issues. Mean nuclear 2C value ranged from 2C = 3.49 picograms (pg)
for Dorstenia elata to 2C = 5.47 pg for Dorstenia arifolia, a variation of +/-
1.98 pg. Even though showing a marked difference in 2C value, the three species
exhibited the same 2n = 32. Corroborating the flow cytometry data, differences in
chromosome morphology were found among the karyotypes of the species
investigated. Based on this and the only phylogeny proposed for Dorstenia thus
far, structural rearrangements are related to the karyotype variations among the
three species. Besides, the karyological analysis suggests a polyploid origin of
the Dorstenia species studied here.
PMID- 27186341
TI - Karyotype of three Lonchophylla species (Chiroptera, Phyllostomidae) from
Southeastern Brazil.
AB - Lonchophylla Thomas, 1903 is a Neotropical bat genus that comprises 12 species,
with little cytogenetic information available. Here we present the description of
the karyotype of three species collected in Southeastern Brazil. Lonchophylla
bokermanni Sazima, Vizotto & Taddei, 1978, Lonchophylla dekeyseri Taddei, Vizotto
& Sazima, 1983, and Lonchophylla peracchii Dias, Moratelli & Esberard, 2013
showed the same diploid number 2n = 28 and the same autosomal fundamental number
FNa = 50, in both Lonchophylla bokermanni and Lonchophylla peracchii. We observed
that the karyotypes were also cytogenetically similar when we compared the
studied species with other species within the same genus. It is therefore not
possible to differentiate the species using only karyotypes with conventional
staining. However, this information increases the knowledge of the genus and can
be one more important character for a better phylogenetic comprehension of this
taxon.
PMID- 27186342
TI - Refinement of the karyological aspects of Psidium guineense (Swartz, 1788): a
comparison with Psidium guajava (Linnaeus, 1753).
AB - Euploidy plays an important role in the evolution and diversification of Psidium
Linnaeus, 1753. However, few data about the nuclear DNA content, chromosome
characterization (morphometry and class) and molecular markers have been reported
for this genus. In this context, the present study aims to shed light on the
genome of Psidium guineense Swartz, 1788, comparing it with Psidium guajava
Linnaeus, 1753. Using flow cytometry, the nuclear 2C value of Psidium guineense
was 2C = 1.85 picograms (pg), and the karyotype showed 2n = 4x = 44 chromosomes.
Thus, Psidium guineense has four chromosome sets, in accordance with the basic
chromosome number of Psidium (x = 11). In addition, karyomorphometric analysis
revealed morphologically identical chromosome groups in the karyotype of Psidium
guineense. The high transferability of microsatellites (98.6%) further
corroborates with phylogenetic relationship between Psidium guajava and Psidium
guineense. Based on the data regarding nuclear genome size, karyotype morphometry
and molecular markers of Psidium guineense and Psidium guajava (2C = 0.95 pg, 2n
= 2x = 22 chromosomes), Psidium guineense is a tetraploid species. These data
reveal the role of euploidy in the diversification of the genus Psidium.
PMID- 27186343
TI - Chromosomal variation in Argentine populations of Akodon montensis Thomas, 1913
(Rodentia, Cricetidae, Sigmodontinae).
AB - The genus Akodon Meyen, 1833 is one of the most species-rich among sigmodontine
rodents and has great chromosome variability. Akodon montensis has a relatively
broad distribution in South America, and Argentine populations are located in the
southernmost region of its range. Brazilian populations have important
chromosomal variability, but cytogenetic data from Argentina are scarce. We
performed a chromosome characterization of natural populations of Akodon
montensis using conventional staining, C-banding, Ag-NORs and base-specific
fluorochromes. A total of 31 specimens from five localities of Misiones Province,
in Argentina, were analyzed. The 2n=24 chromosomes was the most frequently
observed karyotype. However, five individuals presented 25 chromosomes due to a
supernumerary B-chromosome; and one individual had 2n=26 due to one B plus a
trisomy for chromosome 11. Additionally, two XY females and two variants of the X
chromosomes were found. C-positive centromeric bands occurred in all chromosomes;
additional C-bands were observed in some autosomes, the X, Y and B chromosomes.
Ag-NORs were observed in five autosomes, and the B chromosome was frequently
marked. Fluorochrome banding was similar among karyotypes of the analyzed
populations. Comparisons of cytogenetic data among populations of Argentina and
Brazil showed the presence of high intraspecific variability in Akodon montensis
and some differences among regions.
PMID- 27186344
TI - Cytogenetic characterization and B chromosome diversity in direct-developing
frogs of the genus Oreobates (Brachycephaloidea, Craugastoridae).
AB - Oreobates Jimenez de la Espada, 1872 is a large group of South American frogs
with terrestrial reproduction and direct development, located in the superfamily
Brachycephaloidea. About 260 brachycephaloidean species have been cytogenetically
studied so far, at least with standard techniques. However, this information
represents fewer than 17% species of the family Craugastoridae Hedges, Duellman &
Heinicke, 2008, where the genus Oreobates is included. In the present work, using
a diversity of standard and molecular techniques, we describe the karyotype of
Oreobates barituensis Vaira & Ferrari, 2008, Oreobates berdemenos Pereyra,
Cardozo, Baldo & Baldo, 2014 and Oreobates discoidalis (Peracca, 1895), from
northwestern Argentina. The three species analyzed showed a diploid karyotype
with 2n = 22 biarmed chromosomes, fundamental number (FN) = 44, nucleolus
organizer regions (NORs) located pericentromerically on pair 7, and a centromeric
and pericentromeric C-banding pattern. We observed variations in the chromosome
number in Oreobates barituensis due the presence of two morphs of B chromosomes,
one medium-sized telocentric (BT) and another subtelocentric and smaller (Bst).
Both B chromosomes are mitotically stable and were recorded in all somatic and
germinal cells analyzed. The BT chromosome occurred at a maximum of one per
individual (2n = 22+BT), and the other one was observed single (2n = 22 + Bst) or
as a pair in two doses (2n = 22 + 2BT). We additionally observed other
supernumerary chromosomes in the three species analyzed, all of them euchromatic,
small, dot-shaped and with instability during mitoses, showing a frequency of
occurrence below 50% in studied specimens. The occurrence of polymorphic and
spontaneous chromosomal rearrangements and supernumerary chromosomes is a
recurrent feature reported in frogs with terrestrial habits (Brachycephaloidea
and Hemiphractidae Peters, 1862), which suggests that Brachycephaloidea may be a
promising group for studying the origin and maintenance of B chromosomes in
anurans.
PMID- 27186345
TI - Chromosomal mapping of tandem repeats in the Yesso Scallop, Patinopecten
yessoensis (Jay, 1857), utilizing fluorescence in situ hybridization.
AB - Construction of cytogenetic maps can provide important information for chromosome
identification, chromosome evolution and genomic research. However, it hasn't
been conducted in many scallop species yet. In the present study, we attempted to
map 12 fosmid clones containing tandem repeats by fluorescence in situ
hybridization (FISH) in the Yesso scallop Patinopecten yessoensis (Jay, 1857).
The results showed 6 fosmid clones were successfully mapped and distributed in 6
different pairs of chromosomes. Three clones were respectively assigned to a pair
of metacentric chromosomes, a pair of submetacentric chromosomes and a pair of
telocentric chromosomes and the remaining 3 clones showed their loci on three
different pairs of subtelocentric chromosomes by co-hybridization. In summary,
totally 8 pairs of chromosomes of the Yesso scallop were identified by 6 fosmid
clones and two rDNA probes. Furthermore, 6 tandem repeats of 5 clones were
sequenced and could be developed as chromosome specific markers for the Yesso
scallop. The successful localization of fosmid clones will undoubtedly facilitate
the integration of linkage groups with cytogenetic map and genomic research for
the Yesso scallop.
PMID- 27186346
TI - Karyological investigations and new chromosome number reports in Bellevalia
Lapeyrouse, 1808 and Muscari Miller, 1758 (Asparagaceae) from Algeria.
AB - Karyological investigations were carried out on four species of Bellevalia
Lapeyrouse, 1808 and Muscari Miller, 1758 (Asparagaceae) sampled in contrasting
bioclimatic conditions of Algeria. The endemic Bellevalia mauritanica Pomel, 1874
was found to have a tetraploid cytotype 2n = 4x = 16 and an octoploid 2n = 8x =
32 which is a new report. The chromosome number 2n = 2x = 18 in Muscari comosum
(Linnaeus, 1753) Miller, 1768 and Muscari maritimum Desfontaines, 1798 was in
conformity with earlier reports. The latter species reveals a lesser bimodality
of the karyotype. Within Muscari neglectum Gussone ex Tenore, 1842 pentaploid (2n
= 5x = 45), hexaploid (2n = 6x = 54) and very rare octoploid cytotype (2n = 8x =
72) have been reported in Algeria. Principal component analysis performed on
basis of karyotype parameters, showed a segregation of the different cytotypes.
This study provides new karyological information, which is discussed in a
taxonomic context.
PMID- 27186347
TI - A chromosomal analysis of eleven species of Gyrinidae (Coleoptera).
AB - Karyotypes are presented for 10 species of Gyrinus Geoffroy, 1762: Gyrinus
minutus Fabricius, 1798, Gyrinus caspius Menetries, 1832, Gyrinus paykulli Ochs,
1927, Gyrinus distinctus Aube, 1836 var. fairmairei Regimbart, 1883, Gyrinus
marinus Gyllenhal, 1808, Gyrinus natator (Linnaeus, 1758), Gyrinus opacus
Sahlberg, 1819, Gyrinus substriatus Stephens, 1869, Gyrinus suffriani Scriba,
1855, Gyrinus urinator Illiger, 1807 and for Orectochilus villosus (Muller, 1776)
(Coleoptera: Gyrinidae). The 10 Gyrinus species have karyotypes comprising 13
pairs of autosomes plus sex chromosomes which are X0 (?), XX (?), with the X
chromosomes the longest in the nucleus. Orectochilus villosus has 16 pairs of
autosomes plus X0, XX sex chromosomes. The data obtained by Saxod and Tetart
(1967) and Tetart and Saxod (1968) for five of the Gyrinus species are compared
with our results. Saxod and Tetart considered the X chromosome to be the smallest
in the nucleus in all cases, and this is considered to result from confusion
arising from uneven condensation of some of the chromosomes. Small differences
between the chromosomes of different Gyrinus species have been detected, but not
between Greenland and Swedish populations of Gyrinus opacus, nor between typical
Gyrinus distinctus from France and Gyrinus distinctus var. fairmairei from
Kuwait.
PMID- 27186348
TI - Discovery of gastric inhibitory polypeptide and its subsequent fate: Personal
reflections.
AB - The present review focuses initially on experimental studies that were designed
to identify acid inhibitory factors, referred to as 'enterogastrones,' that
ultimately led to the isolation of gastric inhibitory polypeptide (GIP), a 42
amino acid polypeptide. GIP was shown to inhibit acid secretion in animal models,
as well as stimulating gastric somatostatin secretion. However, its role in human
gastric physiology is unclear. Further studies showed that GIP strongly
stimulated the secretion of insulin, in the presence of elevated glucose, and
this 'incretin' action is now considered to be its most important; an alternative
for the GIP acronym, glucose-dependent insulinotropic polypeptide, was therefore
introduced. In the 1970s, GIP purified by conventional chromatography was shown
by high-performance liquid chromatography to consist largely of GIP 1-42 and GIP
3-42. It was later shown that dipeptidyl peptidase 4 was a physiologically
relevant enzyme responsible for this conversion, as well as the similar
metabolism of the second incretin, glucagon-like peptide-1. Dipeptidyl peptidase
4 inhibitors are currently in use as type 2 diabetes therapeutics, and studies on
islet transplantation in rodent models of type 1 diabetes have shown that
dipeptidyl peptidase-4 inhibitor treatment reduces graft rejection. Additional
studies on C-terminally shortened forms of GIP have shown that GIP 1-30 and a
dipeptidyl peptidase-4-resistant form (D-Ala(2) GIP 1-30) are equipotent to the
intact polypeptide in vitro, and administration of D-Ala(2) GIP 1-30 to diabetic
rodents greatly improved glucose tolerance and reduced apoptotic cell death in
islet beta-cells. There are probably therefore further clinically useful effects
of GIP that require investigation.
PMID- 27186349
TI - Searching for the physiological role of glucose-dependent insulinotropic
polypeptide.
AB - Glucose-dependent insulinotropic polypeptide (GIP) was established as a gut
hormone more than 40 years ago, and there is good experimental support for its
role as an incretin hormone although deletion of the GIP receptor or the GIP
cells or GIP receptor mutations have only minor effects on glucose metabolism.
Unlike the related hormone, GLP-1, GIP stimulates the secretion of glucagon,
which in healthy individuals may help to stabilize glucose levels, but in people
with type 2 diabetes may contribute to glucose intolerance. A role in lipid
metabolism is supported by numerous indirect observations and by resistance to
diet-induced obesity after deletion of the GIP receptor. However, a clear effect
on lipid clearance could not be identified in humans, raising doubt about its
importance. The GIP receptor is widely expressed in the body and also appears to
be expressed on bone cells, and experimental studies in rodent point to effects
on bone metabolism. Recent studies revealed pronounced inhibitory effects of GIP
on bone resorption markers in humans and suggest that GIP may be (one of the)
gastrointestinal regulators of bone turn-over. In support of this, a loss-of
function GIP receptor mutation in humans is associated with a marked increase in
fracture risk. The lack of a reliable GIP receptor antagonist contributes to the
uncertainty regarding the physiological role of GIP.
PMID- 27186350
TI - Mechanisms underlying glucose-dependent insulinotropic polypeptide and glucagon
like peptide-1 secretion.
AB - The incretin hormones, glucose-dependent insulinotropic peptide and glucagon-like
peptide-1, are secreted from intestinal K- and L cells, respectively, with the
former being most abundant in the proximal small intestine, whereas the latter
increase in number towards the distal gut. Although an overlap between K- and L
cells can be observed immunohistochemically or in murine models expressing
fluorescent markers under the control of the two hormone promoters, the majority
(>80%) of labeled cells seems to produce only one of these hormones.
Transcriptomic analysis showed a close relationship between small intestinal K-
and L cells, and glucose sensing mechanisms appear similar in both cell types
with a predominant role of electrogenic glucose uptake through sodium-coupled
glucose transporter 1. Similarly, both cell types produce the long-chain fatty
acid sensing G-protein-coupled receptors, FFAR1 (GPR40) and FFAR4 (GPR120), but
differ in the expression/functionality of other lipid sensing receptors. GPR119
and FFAR2/3, for example, have clearly documented roles in glucagon-like peptide
1 secretion, whereas agonists for the endocannabinoid receptor type 1 have been
found to show largely selective inhibition of glucose-dependent insulinotropic
peptide secretion. In conclusion, although K- and L cell populations overlap and
share key molecular nutrient-sensing mechanisms, subtle differences between the
responsiveness of the different cell types might be exploited to differentially
modulate glucose-dependent insulinotropic peptide or glucagon-like peptide-1
secretion.
PMID- 27186351
TI - Mechanisms of fat-induced gastric inhibitory polypeptide/glucose-dependent
insulinotropic polypeptide secretion from K cells.
AB - Gastric inhibitory polypeptide/glucose-dependent insulinotropic polypeptide (GIP)
is one of the incretins, which are gastrointestinal hormones released in response
to nutrient ingestion and potentiate glucose-stimulated insulin secretion. Single
fat ingestion stimulates GIP secretion from enteroendocrine K cells; chronic high
fat diet (HFD) loading enhances GIP secretion and induces obesity in mice in a
GIP-dependent manner. However, the mechanisms of GIP secretion from K cells in
response to fat ingestion and GIP hypersecretion in HFD-induced obesity are not
well understood. We generated GIP-green fluorescent protein knock-in (GIP
(gfp/+)) mice, in which K cells are labeled by enhanced GIP-green fluorescent
protein. Microarray analysis of isolated K cells from GIP (gfp/+) mice showed
that both fatty acid-binding protein 5 and G protein-coupled receptor 120 are
highly expressed in K cells. Single oral administration of fat resulted in
significant reduction of GIP secretion in both fatty acid-binding protein 5- and
G protein-coupled receptor 120-deficient mice, showing that fatty acid-binding
protein 5 and G protein-coupled receptor 120 are involved in acute fat-induced
GIP secretion. Furthermore, the transcriptional factor, regulatory factor X6
(Rfx6), is highly expressed in K cells. In vitro experiments using the mouse
enteroendocrine cell line, STC-1, showed that GIP messenger ribonucleic acid
levels are upregulated by Rfx6. Expression levels of Rfx6 messenger ribonucleic
acid as well as that of GIP messenger ribonucleic acid were augmented in the K
cells of HFD-induced obese mice, in which GIP content in the small intestine is
increased compared with that in lean mice fed a control diet. These results
suggest that Rfx6 is involved in hypersecretion of GIP in HFD-induced obese
conditions by increasing GIP gene expression.
PMID- 27186352
TI - Carbohydrate-induced secretion of glucose-dependent insulinotropic polypeptide
and glucagon-like peptide-1.
AB - Glucose-dependent insulinotropic polypeptide (GIP) and glucagon-like peptide-1
(GLP-1) are the incretin hormones secreted from enteroendocrine K-cells and L
cells, respectively, by oral ingestion of various nutrients including glucose. K
cells, L-cells and pancreatic beta-cells are glucose-responsive cells with
similar glucose-sensing machinery including glucokinase and an adenosine
triphosphate-sensitive K(+) channel comprising KIR6.2 and sulfonylurea receptor
1. However, the physiological role of the adenosine triphosphate-sensitive K(+)
channel in GIP secretion in K-cells and GLP-1 secretion in L-cells is not
elucidated. Recently, it was reported that GIP and GLP-1-producing cells are
present also in pancreatic islets, and islet-derived GIP and GLP-1 contribute to
glucose-induced insulin secretion from pancreatic beta-cells. In this short
review, we focus on GIP and GLP-1 secretion by monosaccharides, such as glucose
or fructose, and the role of the adenosine triphosphate-sensitive K(+) channel in
GIP and GLP-1 secretion.
PMID- 27186353
TI - Alternative form of glucose-dependent insulinotropic polypepide and its
physiology.
AB - Glucose-dependent insulinotropic polypepide (GIP) was first extracted from
porcine gut mucosa and identified as "incretin" decades ago. Though early studies
have shown the possible GIP isoforms by gel filtration profiles from porcine or
human intestinal extracts analyzed by radioimmunoassay (RIA), GIP is currently
believed to consist of 42 amino acids (GIP1-42), which are released from gut K
cells and promote postprandial insulin release. In fact, GIP1-42 is usually
processed from proGIP by the action of prohormone convertase (PC) 1/3 in the gut.
GIP expression is occasionally found in the intestinal glucagon-like peptide-1
secreting cells, suggesting gene expression of both GIP and proglucagon can co
exist in identical cells. However, GIP1-42 immunoreactivity is rarely found in
alpha-cells or other pancreatic endocrine cells of wild-type mammals.
Interestingly, we found that short-form GIP1-30 is expressed in and released from
pancreatic alpha-cells and a subset of enteroendocrine cells through proGIP
processing by PC2. GIP1-30 is also insulinotropic and modulates glucose
stimulated insulin secretion in a paracrine manner. It is also suggested that
short-form GIP1-30 possibly plays a crucial role for the islet development. It
has not been well elucidated whether expression of GIP1-30 is modulated in the
diabetic status, and whether GIP1-30 might have therapeutic potentials. Our
preliminary data suggest that short-form GIP1-30 might play important roles in
glucose metabolism.
PMID- 27186354
TI - beta-Cell glutamate signaling: Its role in incretin-induced insulin secretion.
AB - Insulin secretion from the pancreatic beta-cell (referred to as beta-cell
hereafter) plays a central role in glucose homeostasis. Impaired insulin
secretion is a major factor contributing to the development of diabetes and,
therefore, is an important target for treatment of the disease. Cyclic adenosine
monophosphate is a key second messenger in beta-cells that amplifies insulin
secretion. Incretins released by the gut potentiate insulin secretion through
cyclic adenosine monophosphate signaling in beta-cells, which is the basis for
the incretin-based diabetes therapies now being used worldwide. Despite its
importance, the interaction between glucose metabolism and incretin/cyclic
adenosine monophosphate signaling in beta-cells has long been unknown. A recent
study showed that cytosolic glutamate produced by glucose metabolism in beta
cells is a key signal in incretin-induced insulin secretion. Here we review the
physiological and pathophysiological roles of beta-cell glutamate signaling in
incretin-induced insulin secretion.
PMID- 27186355
TI - Glucagon-like peptide-1 and cholecystokinin production and signaling in the
pancreatic islet as an adaptive response to obesity.
AB - Precise control of blood glucose is dependent on adequate beta-cell mass and
function. Thus, reductions in beta-cell mass and function lead to insufficient
insulin production to meet demand, and result in diabetes. Recent evidence
suggests that paracrine signaling in the islet might be important in obesity, and
disruption of this signaling could play a role in the pathogenesis of diabetes.
For example, we recently discovered a novel islet incretin axis where glucagon
like peptide-1 regulates beta-cell production of another classic gut hormone,
cholecystokinin. This axis is stimulated by obesity, and plays a role in
enhancing beta-cell survival. In the present review, we place our observations in
the wider context of the literature on incretin regulation in the islet, and
discuss the potential for therapeutic targeting of these pathways.
PMID- 27186356
TI - Is GLP-1 a hormone: Whether and When?
AB - Glucagon-like peptide-1 (GLP-1) is a product of proglucagon cleavage synthesized
in L cells in the intestinal mucosa, alpha-cells in the pancreatic islet, and
neurons in the nucleus of the solitary tract. GLP-1 is essential for normal
glucose tolerance and acts through a specific GLP-1 receptor that is expressed by
islet beta-cells as well as other cell types. Because plasma concentrations of
GLP-1 increase following meal ingestion it has been generally presumed that GLP-1
acts as a hormone, communicating information from the intestine to the endocrine
pancreas through the circulation. However, there are a number of problems with
this model including low circulating concentrations of GLP-1 in plasma, limited
changes after meal ingestion and rapid metabolism in the plasma. Moreover,
antagonism of systemic GLP-1 action impairs insulin secretion in the fasting
state, suggesting that the GLP-1r is active even when plasma GLP-1 levels are low
and unchanging. Consistent with these observations, deletion of the GLP-1r from
islet beta-cells causes intolerance after IP or IV glucose, challenges that do
not induce GLP-1 secretion. Taken together, these data support a model whereby
GLP-1 acts through neural or paracrine mechanisms to regulate physiologic insulin
secretion. In contrast, bariatric surgery seems to be a condition in which
circulating GLP-1 could have an endocrine effect. Both gastric bypass and sleeve
gastrectomy are associated with substantial increases in postprandial GLP-1
release and in these conditions interference with GLP-1r signaling has a
significant impact on glucose regulation after eating. Thus, with either
bariatric surgery or treatment with long-acting GLP-1r agonists, circulating
peptide mediates insulinotropic activity. Overall, a case can be made that
physiologic actions of GLP-1 are not hormonal, but that an endocrine mechanism of
GLP-1r activation can be co-opted for therapeutics.
PMID- 27186357
TI - Long-acting glucagon-like peptide-1 receptor agonists have direct access to and
effects on pro-opiomelanocortin/cocaine- and amphetamine-stimulated transcript
neurons in the mouse hypothalamus.
AB - Liraglutide is a glucagon-like peptide-1 receptor (GLP-1R) agonist marketed for
the treatment of type 2 diabetes. Besides lowering blood glucose, liraglutide
reduces bodyweight, and has recently also been approved for the obesity
indication. Acutely, GLP-1 markedly reduces gastric emptying, and this effect was
previously believed to at least partly explain the effect on bodyweight loss.
However, recent studies in both humans and animals have shown that GLP-1R
agonists, such as liraglutide, that lead to pharmacological concentrations for 24
h/day only have a minor effect on gastric emptying; such an effect is unlikely to
have lasting effects on appetite reduction. Liraglutide has been shown to have
direct effects in the arcuate nucleus of the rodent brain, activating pro
opiomelanocortin neurons and increasing levels of the cocaine- and amphetamine
stimulated transcript neuropeptide messenger ribonucleic acid, which correlate
nicely to clinical studies where liraglutide was shown to increase feelings of
satiety. However, despite the lack of a GLP-1R on agouti-related
peptide/neuropeptide Y neurons, liraglutide also was able to prevent a hunger
associated increase in agouti-related peptide and neuropeptide Y neuropeptide
messenger ribonucleic acid, again with a strong correlation to clinical studies
that document reduced hunger feelings in patients while taking liraglutide.
Studies using fluorescent labeled liraglutide, as well as other GLP-1R agonists,
and analysis using single-plane illumination microscopy show that such medium
sized peptide-based compounds can directly access not only circumventricular
organs of the brain, but also directly access discrete regions in the
hypothalamus. The direct effects of long-acting GLP-1R agonists in the
hypothalamus are likely to be an important new pathway in understanding GLP-1R
agonist mediated weight loss.
PMID- 27186358
TI - Neural effects of gut- and brain-derived glucagon-like peptide-1 and its receptor
agonist.
AB - Glucagon-like peptide-1 (GLP-1) is derived from both the enteroendocrine L cells
and preproglucagon-expressing neurons in the nucleus tractus solitarius (NTS) of
the brain stem. As GLP-1 is cleaved by dipeptidyl peptidase-4 yielding a half
life of less than 2 min, it is plausible that the gut-derived GLP-1, released
postprandially, exerts its effects on the brain mainly by interacting with vagal
afferent neurons located at the intestinal or hepatic portal area. GLP-1 neurons
in the NTS widely project in the central nervous system and act as a
neurotransmitter. One of the physiological roles of brain-derived GLP-1 is
restriction of feeding. GLP-1 receptor agonists have recently been used to treat
type 2 diabetic patients, and have been shown to exhibit pleiotropic effects
beyond incretin action, which involve brain functions. GLP-1 receptor agonist
administered in the periphery is stable because of its resistance to dipeptidyl
peptidase-4, and is highly likely to act on the brain by passing through the
blood-brain barrier (BBB), as well as interacting with vagal afferent nerves.
Central actions of GLP-1 have various roles including regulation of feeding,
weight, glucose and lipid metabolism, cardiovascular functions, cognitive
functions, and stress and emotional responses. In the present review, we focus on
the source of GLP-1 and the pathway by which peripheral GLP-1 informs the brain,
and then discuss recent findings on the central effects of GLP-1 and GLP-1
receptor agonists.
PMID- 27186359
TI - Glucagon-like peptide-1: The missing link in the metabolic clock?
AB - Circadian expression of clock genes in peripheral tissues is critical to the
coordinated regulation of intestinal digestive and absorptive functions, insulin
secretion, and peripheral tissue nutrient deposition during periods of nutrient
ingestion, thereby preventing metabolic dysregulation. As glucagon-like peptide-1
is a key incretin hormone that regulates glucose-dependent insulin secretion, we
hypothesized that this intestinal hormone is a player in the peripheral metabolic
clock, linking nutrient ingestion to insulin secretion. We have now established
that secretion of glucagon-like peptide-1 from the intestinal L cell shows a
rhythmic pattern in rats and humans in vivo that is altered by circadian
disruptors, such as constant light exposure, consumption of a Western diet and
feeding at inappropriate times (i.e., during the light period in rodents).
Interestingly, the alterations in the rhythm of the glucagon-like peptide-1
secretory responses were found to parallel the changes in the pattern of insulin
responses in association with significant impairments in glucose tolerance.
Furthermore, we have detected circadian clock gene expression, and showed
circadian secretion of glucagon-like peptide-1 from both the murine and human L
cell in vitro. These findings demonstrate that glucagon-like peptide-1 is a
functional component of the peripheral metabolic clock, and suggest that altered
release of glucagon-like peptide-1 might play a role in the metabolic
perturbations that result from circadian disruption.
PMID- 27186360
TI - Novel extrapancreatic effects of incretin.
AB - The hormonal factors implicated as transmitters of signals from the gut to
pancreatic beta-cells are referred to as incretins. Gastric inhibitory
polypeptide (GIP) and glucagon-like peptide-1 (GLP-1) are incretins. In addition
to the insulinotropic effects, we have shown, using the GIP receptor and GLP-1
receptor-deficient mice, that GIP and GLP-1 have direct actions on adipocytes and
the kidney, respectively. Because GIP receptors and GLP-1 receptors are
differentially expressed in a tissue-specific manner, GIP and GLP-1 have specific
physiological activities, and further comprehensive characterization of the
extrapancreatic actions of GIP and GLP-1 is anticipated, as dipeptidyl peptidase
IV inhibitors activate both GIP and GLP-1 signaling.
PMID- 27186361
TI - Anti-atherogenic and anti-inflammatory properties of glucagon-like peptide-1,
glucose-dependent insulinotropic polypepide, and dipeptidyl peptidase-4
inhibitors in experimental animals.
AB - We reported that native incretins, liraglutide and dipeptidyl peptidase-4
inhibitors (DPP-4i) all confer an anti-atherosclerotic effect in apolipoprotein E
null (Apoe (-/-)) mice. We confirmed the anti-atherogenic property of incretin
related agents in the mouse wire injury model, in which the neointimal formation
in the femoral artery is remarkably suppressed. Furthermore, we showed that DPP
4i substantially suppresses plaque formation in coronary arteries with a marked
reduction in the accumulation of macrophages in cholesterol-fed rabbits. DPP-4i
showed an anti-atherosclerotic effect in Apoe (-/-) mice mainly through the
actions of glucagon-like peptide-1 and glucose-dependent insulinotropic
polypepide. However, the dual incretin receptor antagonists partially attenuated
the suppressive effect of DPP-4i on atherosclerosis in diabetic Apoe (-/-) mice,
suggesting an incretin-independent mechanism. Exendin-4 and glucose-dependent
insulinotropic polypepide elicited cyclic adenosine monophosphate generation, and
suppressed the lipopolysaccharide-induced gene expression of inflammatory
molecules, such as interleukin-1beta, interleukin-6 and tumor necrosis factor
alpha, in U937 human monocytes. This suppressive effect, however, was attenuated
by an inhibitor of adenylate cyclase and mimicked by 8-bromo-cyclic adenosine
monophosphate or forskolin. DPP-4i substantially suppressed the
lipopolysaccharide-induced expression of inflammatory cytokines without affecting
cyclic adenosine monophosphate generation or cell proliferation. DPP-4i more
strongly suppressed the lipopolysaccharide-induced gene expression of
inflammatory molecules than incretins, most likely through inactivation of CD26.
Glucagon-like peptide-1 and glucose-dependent insulinotropic polypepide
suppressed oxidized low-density lipoprotein-induced macrophage foam cell
formation in a receptor-dependent manner, which was associated with the
downregulation of acyl-coenzyme A cholesterol acyltransferase-1 and CD36, as well
as the up-regulation of adenosine triphosphate-binding cassette transporter A1.
Our studies strongly suggest that incretin-related agents have favorable effects
on macrophage-driven atherosclerosis in experimental animals.
PMID- 27186362
TI - Engineering the gut for insulin replacement to treat diabetes.
AB - The gut epithelium's large surface area, its direct exposure to ingested
nutrients, its vast stem cell population and its immunotolerogenic environment
make it an excellent candidate for therapeutic cells to treat diabetes. Thus,
several attempts have been made to coax immature gut cells to differentiate into
insulin-producing cells by altering the expression patterns of specific
transcription factors. Furthermore, because of similarities in enteroendocrine
and pancreatic endocrine cell differentiation pathways, other approaches have
used genetically engineered enteroendocrine cells to produce insulin in addition
to their endogenous secreted hormones. Several studies support the utility of
both of these approaches for the treatment of diabetes. Converting a patient's
own gut cells into meal-regulated insulin factories in a safe and
immunotolerogenic environment is an attractive approach to treat and potentially
cure diabetes. Here, we review work on these approaches and indicate where we
feel further advancements are required.
PMID- 27186363
TI - Contribution of the distal small intestine to metabolic improvement after
bariatric/metabolic surgery: Lessons from ileal transposition surgery.
AB - Roux-en Y gastric bypass is a highly effective bariatric/metabolic surgical
procedure that can induce robust weight loss and even remission of type 2
diabetes. One of the characteristic consequences of Roux-en Y gastric bypass is
the expedited nutrient delivery to the distal small intestine, where L-cells are
abundant and bile acid reabsorption occurs. To examine the role of the distal
small intestine in isolation from other components of Roux-en Y gastric bypass,
the ileal transposition (IT) surgery has been used in various rat models. IT
relocates the distal ileal segment to the upper jejunum distal to the ligament of
Treitz without any other alterations in the gastrointestinal anatomy. Therefore,
IT exposes the distal ileal tissue to ingested nutrients after a meal faster than
the normal condition. Although there is some inconsistency in the effect of IT
according to different types of rat models and different types of surgical
protocols, IT typically improved glucose tolerance, increased insulin sensitivity
and induced weight loss, and the findings were more prominent in obese diabetic
rats. Suggested mechanisms for the metabolic improvements after IT include
increased L-cell secretion (e.g., glucagon-like peptides and peptide YY), altered
bile acid metabolism, altered host-microbial interaction, attenuated metabolic
endotoxemia and many others. Based on the effect of IT, we can conclude that the
contribution of the distal small intestine to the metabolic benefits of
bariatric/metabolic surgery is quite considerable. By unveiling the mechanism of
action of IT, we might revolutionize the treatment for obesity and type 2
diabetes.
PMID- 27186364
TI - Incretin-based drugs for type 2 diabetes: Focus on East Asian perspectives.
AB - Type 2 diabetes in East Asians is characterized primarily by beta-cell
dysfunction, and with less adiposity and less insulin resistance compared with
that in Caucasians. Such pathophysiological differences can determine the
appropriate therapeutics for the disease. Incretins, glucose-dependent
insulinotropic polypeptide and glucagon-like peptide-1, are secreted in response
to meal ingestion, and enhance insulin secretion glucose-dependently. Incretin
based drugs, dipeptidyl peptidase-4 inhibitors (DPP-4i) and glucagon-like peptide
1 receptor agonists, that ameliorate beta-cell dysfunction with limited
hypoglycemia risk are now widely used in type 2 diabetes management. Recent meta
analyses of clinical trials on DPP-4i and glucagon-like peptide-1 receptor
agonists found that the drugs were more effective in Asians, most likely because
of amelioration of beta-cell dysfunction. In addition, we found increased
glycated hemoglobin-lowering effects of DPP-4i to be associated with intake of
fish in type 2 diabetes, which suggests that dietary customs of East Asians might
also underlie the greater efficacy of DPP-4i. Despite the limited risk, cases of
severe hypoglycemia were reported for DPP-4i/sulfonylureas combinations.
Importantly, hypoglycemia was more frequent in patients also receiving
glibenclamide or glimepiride, which activate exchange protein directly activated
by cyclic adenosine monophosphate 2, a critical mediator of incretin signaling,
and was less frequent in patients receiving gliclazide, which does not activate
exchange protein directly activated by cyclic adenosine monophosphate 2.
Prevention of insulin-associated hypoglycemia by DPP-4i has gained attention with
regard to the enhancement of hypoglycemia-induced glucagon secretion by
insulinotropic polypeptide, but remains to be investigated in East Asians.
Despite the safety issues, which are paramount and must be carefully monitored,
the incretin-based drugs could have potential as a first choice therapy in East
Asian type 2 diabetes patients.
PMID- 27186365
TI - Spinal manipulative therapy, Graston technique(r) and placebo for non-specific
thoracic spine pain: a randomised controlled trial.
AB - BACKGROUND: Few controlled trials have assessed the efficacy of spinal
manipulative therapy (SMT) for thoracic spine pain. No high quality trials have
been performed to test the efficacy and effectiveness of Graston Technique(r)
(GT), an instrument-assisted soft tissue therapy. The objective of this trial was
to determine the efficacy of SMT and GT compared to sham therapy for the
treatment of non-specific thoracic spine pain. METHODS: People with non-specific
thoracic pain were randomly allocated to one of three groups: SMT, GT, or a
placebo (de-tuned ultrasound). Each participant received up to 10 supervised
treatment sessions at Murdoch University chiropractic student clinic over a 4
week period. The participants and treatment providers were not blinded to the
treatment allocation as it was clear which therapy they were receiving, however
outcome assessors were blinded and we attempted to blind the participants
allocated to the placebo group. Treatment outcomes were measured at baseline, 1
week, and at one, three, six and 12 months. Primary outcome measures included a
modified Oswestry Disability Index, and the Visual Analogue Scale (VAS).
Treatment effects were estimated with intention to treat analysis and linear
mixed models. RESULTS: One hundred and forty three participants were randomly
allocated to the three groups (SMT = 36, GT = 63 and Placebo = 44). Baseline data
for the three groups did not show any meaningful differences. Results of the
intention to treat analyses revealed no time by group interactions, indicating no
statistically significant between-group differences in pain or disability at 1
week, 1 month, 3 months, 6 months, or 12 months. There were significant main
effects of time (p < 0.01) indicating improvements in pain and disability from
baseline among all participants regardless of intervention. No significant
adverse events were reported. CONCLUSION: This study indicates that there is no
difference in outcome at any time point for pain or disability when comparing
SMT, Graston Technique(r) or sham therapy for thoracic spine pain, however all
groups improved with time. These results constitute the first from a fully
powered randomised controlled trial comparing SMT, Graston technique(r) and a
placebo. TRIAL REGISTRATION: This trial was registered with the Australia and New
Zealand Clinical Trials Registry on the 7(th) February, 2008. TRIAL NUMBER:
ACTRN12608000070336.
PMID- 27186366
TI - Protein changes in abalone foot muscle from three geographical populations of
Haliotis diversicolor based on proteomic approach.
AB - Using two-dimensional gel electrophoresis, the foot muscle proteome of three
geographical populations of Haliotis diversicolor were examined, with a total of
922 +/- 21 protein spots detected in the Japanese population (JJ), 904 +/- 25.6
in the Taiwanese population (TT), and 936 +/- 16.2 in the Vietnamese population
(VV). Of these, 254 spots showed differential expression and 85 protein spots
percentage volumes varied more than twofold. Both "genotype" and "spot" analysis
of variance approaches significantly showed differences among the three
populations. Hierarchical clustering analysis showed that TT and VV clustered
together followed by clustering with JJ, which is consistent with their
geographical location. Following matrix-assisted laser desorption/ionization time
of-flight mass spectrometry, 30 differentially expressed proteins involved in
major biological processes including energy production and storage and stress
response were identified. Of these proteins, proteins pertaining to muscle
contraction and muscle protein regulation showed highest expression levels in VV
samples. Proteins involved in energy production and storage, including ATP
synthase beta subunit, fructose-1,6-bisphosphate aldolase, arginine kinase,
enolase, triosephosphate isomerase, and tauropine dehydrogenase, showed diverse
expression patterns among the three populations. For stress-responsive proteins,
the expression of heat shock protein 70 was JJ > VV > TT. The expression pattern
of Cu/Zn-superoxide dismutase was JJ > VV > TT. Overall, these results may aid in
the detection of new differentially expressed proteins within three different
abalone populations.
PMID- 27158444
TI - Adrenocorticotropic hormone gel in the treatment of systemic lupus erythematosus:
A retrospective study of patients.
AB - OBJECTIVES: Acthar Gel is a long-acting formulation of adrenocorticotropic
hormone (ACTH) with anti-inflammatory effects thought to be mediated in part
through melanocortin receptor activation. This study was initiated to understand
the role of Acthar Gel in SLE treatment in rheumatology practices. METHODS: This
is a retrospective case series of nine adult female patients treated with Acthar
Gel for at least six months at five academic centers. Treating physicians
completed a one-page questionnaire on lupus medications, disease activity, and
outcomes. Clinical response was defined using SLEDAI 2K and improvement in the
clinical manifestation(s) being treated. RESULTS: The most common clinical SLE
manifestations/indications requiring therapy with Acthar Gel were arthritis,
rash, and inability to taper corticosteroids. The mean SLEDAI 2K score at
baseline was 5.8 +/- 5.0 (range 0-16). Six patients were concomitantly treated
with corticosteroids (mean dose 18.3mg/day). All patients were on background SLE
medications including immunosuppressives. Seven of nine patients had an overall
improvement, with a decrease in SLEDAI 2K from 5.8 +/- 5.0 at baseline to 3.5 +/-
2.7 (range 0-8); four of five patients had improvement or resolution in
arthritis, and one of two patients had resolution of inflammatory rash. Four
patients discontinued corticosteroids and one patient tapered below 50% of the
initial dose by 3 months of treatment with Acthar Gel. No adverse events were
reported. CONCLUSIONS: This study suggests a role for Acthar Gel as an
alternative to corticosteroids in the treatment of SLE. Acthar Gel appears to be
safe and well-tolerated after 6 months of treatment, with a significant reduction
in disease activity.
PMID- 27186367
TI - Do relaxed selection and habitat temperature facilitate biased mitogenomic
introgression in a narrowly endemic fish?
AB - Introgression might be exceptionally common during the evolution of narrowly
endemic species. For instance, in the springs of the small and isolated Cuatro
Cienegas Valley, the mitogenome of the cichlid fish Herichthys cyanoguttatus
could be rapidly introgressing into populations of the trophically polymorphic H.
minckleyi. We used a combination of genetic and environmental data to examine the
factors associated with this mitochondrial introgression. A reduced
representation library of over 6220 single nucleotide polymorphisms (SNPs) from
the nuclear genome showed that mitochondrial introgression into H. minckleyi is
biased relative to the amount of nuclear introgression. SNP assignment
probabilities also indicated that cichlids with more hybrid ancestry are not more
commonly female providing no support for asymmetric backcrossing or hybrid
induced sex-ratio distortion in generating the bias in mitochondrial
introgression. Smaller effective population size in H. minckleyi inferred from
the SNPs coupled with sequences of all 13 mitochondrial proteins suggests that
relaxed selection on the mitogenome could be facilitating the introgression of
"H. cyanoguttatus" haplotypes. Additionally, we showed that springs with colder
temperatures had greater amounts of mitochondrial introgression from H.
cyanoguttatus. Relaxed selection in H. minckleyi coupled with temperature-related
molecular adaptation could be facilitating mitogenomic introgression into H.
minckleyi.
PMID- 27186369
TI - Meta-analysis of proportion estimates of Extended-Spectrum-Beta-Lactamase
producing Enterobacteriaceae in East Africa hospitals.
AB - BACKGROUND: A high proportion of Extended-Spectrum-Beta-Lactamase (ESBL)
producing Enterobacteriaceae is causing common infections in all regions of the
world. The burden of antibiotic resistance due to ESBL in East Africa is large
but information is scarce and thus it is unclear how big the problem really is.
To gain insight into the magnitude and molecular epidemiology of ESBL-producing
Enterobacteriaceae in East Africa a literature search was performed in PubMed on
31 July 2015 to retrieve articles with relevant information on ESBL. METHODS AND
RESULTS: Meta-analysis was performed to determine overall proportion estimate of
ESBL-producing Enterobacteriaceae. A total of 4076 bacterial isolates were
included in the analysis. The overall pooled proportion of ESBL-producing
Enterobacteriaceae among included surveys done in East African hospitals was
found to be 0.42 (95 % CI: 0.34-0.50). Heterogeneity (I(2)) between countries'
proportions in ESBL was significantly high (96.95 % and p < 0.001). The
frequently detected genes encoding ESBL were CTX-M, TEM, SHV and OXA while the
most infrequent reported genes were KPC and NDM. CONCLUSION: The available
studies show a very wide variation in resistance due to ESBL between countries.
This highlights a need for active surveillance systems which can help understand
the actual epidemiology of ESBL, aid in formulating national or regional
guidelines for proper screening of ESBL, and support developing standardized
approaches for managing patients colonized with ESBL.
PMID- 27186370
TI - Protocol of the Belgian food consumption survey 2014: objectives, design and
methods.
AB - BACKGROUND: Dietary patterns are one of the major determinants as far as health
and burden of disease is concerned. Food consumption data are essential to
evaluate and develop nutrition and food safety policies. The last national food
consumption survey in Belgium took place in 2004 among the Belgian population
aged 15 years and older. Since dietary habits are prone to change over time a new
Belgian National Food Consumption Survey (BNFCS2014) was conducted in 2014-2015.
METHODS: The BNFCS2014 is a cross-sectional study. A representative sample (n =
3200) of the Belgian population aged 3 to 64 years old was randomly selected from
the National Population Register following a multistage stratified sampling
procedure. Data collection was divided equally over the four seasons and days of
the week in order to incorporate seasonal effects and day-to-day variation in
food intake. Information on food intake was collected in adults with two non
consecutive 24-h dietary recalls (using the GloboDiet(r) software). In children
food intake was collected with two non-consecutive one-day food diaries followed
by a completion interview with GloboDiet. Additional data on socio-demographic
characteristics, eating habits, lifestyle, food safety (at household level),
physical activity and sedentary behaviour were collected with a face-to-face
questionnaire using a computer-assisted personal interviewing technique. In the
time between the two visits, participants were asked to complete a self
administered food frequency questionnaire and health questionnaire. Height,
weight and waist circumference were measured. In addition, children and
adolescents were asked to wear an accelerometer and keep a logbook for seven
consecutive days to objectively measure physical activity and sedentary
behaviour. CONCLUSION: The main objective of the BNFCS2014 is to evaluate the
habitual food, energy and nutrient intake in the Belgian population and to
compare these with recommendations from the national dietary guidelines. A second
objective is to monitor eating habits and food safety aspects of the food
consumption in Belgium. The results of this dietary monitoring survey, together
with the information on the level of physical activity, may underpin future
nutrition, food safety and physical activity policies at national and European
level.
PMID- 27186371
TI - Effectiveness, safety and tolerability of a complex homeopathic medicinal product
in the prevention of recurrent acute upper respiratory tract infections in
children: a multicenter, open, comparative, randomized, controlled clinical
trial.
AB - BACKGROUND: The present study was initiated to investigate the effectiveness,
safety and tolerability of complex homeopathic CalSuli-4-02 tablets on prevention
of recurrent acute upper respiratory tract infections (URTIs) in children, in
comparison to another complex homeopathic product. METHODS: The study was
designed as a prospective, multicenter, randomized, open, clinical trial with two
parallel treatment groups at four outpatient pediatric clinics in Russia.
Children aged <= 6 years with susceptibility to acute URTIs (>= three occasions
during the last 6 months) were randomized to receive either CalSuli-4-02 or a
comparator homeopathic product (control group) for 3 weeks. Primary outcome was
the frequency of acute URTIs after 3 and 6 months post-treatment follow-up.
Secondary endpoints were changes in complaints and symptoms (total and individual
scores), treatment satisfaction, antibiotic use, safety and tolerability.
RESULTS: The intention-to-treat analysis involved 200 children (CalSuli-4-02: N =
99, CONTROL: N = 101). In both treatment groups, the median number of acute URTIs
was one for 3 months and two, respectively, for the full 6 months post-treatment
(Relative Risk: 0.86 (95 %-CI: 0.72-1.03), p = 0.1099). Seasons had no influence
on the outcome. At the end of study, CalSuli-4-02 had overall higher odds of
getting lower complaints severity total score (Odds ratio: 1.99 (95 %-CI: 1.31
3.02), p = 0.0012) and showing symptom improvement (Odds ratio: 1.93 (95 %-CI:
1.25-3.00), p = 0.0033). Specifically, the complaint "appetite disorder" and the
symptom "child's activities" significantly improved more in the CalSuli-4-02
group (p = 0.0135 and p = 0.0063, respectively). Antibiotic use was decreased in
both treatment groups at the study end. Overall assessment for satisfaction with
and tolerability of treatment was higher with CalSuli-4-02. A low number of non
serious adverse drug reactions was reported (CalSuli-4-02: N = 4, CONTROL: N =
1). CONCLUSIONS: Both complex homeopathic products led to a comparable reduction
of URTIs. In the CalSuli-4-02 group, significantly less URTI-related complaints
and symptoms and higher treatment satisfaction and tolerability were detected.
The observation that the use of antibiotics was reduced upon treatment with the
complex homeopathic medications, without the occurrence of complications, is
interesting and warrants further investigations on the potential of CalSuli-4-02
as an antibiotic sparing option. CLINICAL TRIAL REGISTRATION NUMBER:
Roszdravnadzor: Study No 164-563.
PMID- 27186372
TI - Do female dieters have an "eating disorder" self-schema?
AB - BACKGROUND: The processing of schema-related information is important in the
maintenance of specific eating disorder (ED)-related belief systems and
psychopathology. To date, most research on differences in the processing of ED
schematic information has used interview or self-report questionnaire measures.
Dieting is a known risk factor for EDs and dieters have been included in some
studies. However, they have not been compared with non-dieters on a novel,
objective measure of ED related schema processing. METHODS: The current study
recruited healthy female volunteers from the community and divided them into
dieting (n = 25) and non-dieting (n = 24) groups using rigorous criteria. ED self
schemas with content unrelated to eating, weight and shape were measured using a
self-schema processing task. RESULTS: Dieters endorsed significantly more ED
relevant words compared to non-dieters, whereas non-dieters rejected
significantly more ED relevant words compared to dieters. Reaction times to
endorsements and rejections were non-significant when the two groups were
compared. In a surprise recall task, dieters recalled significantly more ED
relevant words. CONCLUSION: The results of this study support the presence of ED
self-schemas with negative content unrelated to eating, weight and shape in
otherwise healthy dieters. Implications for future research and the early
identification of individuals vulnerable to EDs are discussed.
PMID- 27186373
TI - Erratum to: Orthorexia nervosa and self-attitudinal aspects of body image in
female and male university students.
AB - [This corrects the article DOI: 10.1186/s40337-015-0038-2.].
PMID- 27186374
TI - Expression of IFITM1 as a prognostic biomarker in resected gastric and esophageal
adenocarcinoma.
AB - BACKGROUND: There is an increasing amount of reports on IFITM1 (interferon
inducible transmembrane protein 1) in various malignancies. The aim of this study
was to examine the expression of IFITM1 and its prognostic significance in
gastroesophageal adenocarcinoma. METHODS: Tissue samples were obtained from a
consecutive cohort of 174 patients surgically treated between 2006 and 2010 for
gastroesophageal (gastric, gastroesophageal junction and esophageal)
adenocarcinoma, not subjected to neoadjuvant therapy. Expression of IFITM1 was
examined using immunohistochemistry on tissue microarrays of primary tumors and
paired samples of adjacent normal epithelium, intestinal metaplasia and lymph
node metastases. RESULTS: Expression of IFITM1 was significantly elevated in
primary tumors and lymph node metastases compared to adjacent normal epithelium
and intestinal metaplasia, regardless of tumor location. Overexpression of IFITM1
was associated with M0-disease (no distant metastases). In gastric cancer IFITM1
expression was significantly associated with improved TTR (time to recurrence) in
Kaplan-Meier analysis and Cox regression, both in the unadjusted analysis (HR
0.33, 95 % CI 0.12-0.88) and in the adjusted analysis (HR 0.32, 95 % CI 0.12
0.87) but there was no significant impact on OS (overall survival). In esophageal
adenocarcinoma expression of IFITM1 had no impact on TTR or OS in Kaplan-Meier
analyses, but in the adjusted Cox regression IFITM1 expression had a negative
impact on both TTR (HR 3.05, 95 % CI 1.09-8.53) and OS (HR 2.71, 95 % CI 1.11
6.67). CONCLUSIONS: IFITM1 was overexpressed in gastroesophageal adenocarcinoma
and associated with M0-disease. In gastric cancer IFITM1 expression had a
positive impact on TTR but in esophageal cancer it seemed to have an adverse
impact on survival. The reason for the diverging prognostic impact of IFITM1 in
esophageal and gastric cancer is unclear and warrants further studies.
PMID- 27186375
TI - Land or sea? Foraging area choice during breeding by an omnivorous gull.
AB - BACKGROUND: Generalist predators may vary their diet and use of habitat according
to both internal state (e.g. breeding stage) and external (e.g. weather) factors.
Lesser black-backed gulls Larus fuscus (Linnaeus 1758) are dietary generalists,
foraging in both terrestrial and marine habitats during breeding. We investigate
what affects the gulls' propensity to forage at sea or on land. We assess the
importance of terrestrial foraging to gulls in the Baltic Sea (sub. sp. L. f.
fuscus), looking especially at their use of agricultural fields. RESULTS: Through
the GPS tracking of 19 individuals across 3 years we tracked 1038 foraging trips
and found that 21.2 % of foraging trips were predominantly terrestrial, 9.0 %
were a mix of terrestrial and marine, and 68.5 % were exclusively marine.
Terrestrial trips were (1) more frequent when departing around sunrise, whereas
marine trips occurred throughout the day. Additionally, trips with mostly land
based foraging decreased as the breeding season progressed, suggesting dietary
switching coincident with the onset of chick provisioning. (2) During cloudy and
cold conditions terrestrial foraging trips were more likely. (3) We found no
differences between sexes in their land-based foraging strategy. (4) Gull
individuals showed great variation in foraging strategy. Using observations of
agricultural fields, carried out for one year, we found that (5) gulls
preferentially foraged on fields with short vegetation, and there was a positive
association with occurrence of waders and other species of gulls. (6) The
availability and use of these preferred fields decreased through the breeding
period. CONCLUSIONS: This study found high prevalence of terrestrial foraging
during early breeding as well as support for dietary switching early in the
breeding season. The overall tendency for marine or terrestrial foraging was
consistent within individuals, with gull identity accounting for much of the
variation observed in foraging trips. Our results suggest that anthropogenic
terrestrial food sources may play a role in the low breeding success of these
gulls through either variation in quantity and/or quality. Finally, our study
demonstrates the potential of combining data from GPS-tracking of individual
animals with the 'ground-truthing' of habitat visited to elucidate the otherwise
nebulous behavior of a generalist predator.
PMID- 27186376
TI - Diagnosis of perinuclear anti-neutrophil cytoplasmic antibody-associated
microscopic polyangiitis in silicotics: case report.
AB - BACKGROUND: An association between silica exposure and autoimmune diseases
including rheumatoid arthritis, systemic sclerosis, systemic lupus erythematosus,
and anti-neutrophil cytoplasmic autoantibody (ANCA)-associated vasculitis has
been made. CASE PRESENTATION: A 56-year-old male presented with silicosis and had
an occupational history of precious metal processing for 30 years and a 30 pack
year smoking history. The patient was diagnosed with pneumoconiosis and received
compensation. No other complications were reported for pneumoconiosis. The
patient suddenly presented with a non-specific headache for several days and
microscopic hematuria was identified upon examination in the outpatient clinic.
Following several weeks, the patient presented with aggravated dyspnea and
hemoptysis, and his Modification of Diet in Renal Disease estimated glomerular
filtration rate indicated acute kidney injury. Diagnostic analysis revealed
perinuclear ANCA-associated microscopic polyangiitis (p-ANCA-associated MPA).
CONCLUSION: Exposure to silica dust was likely one of the cause of p-ANCA
associated MPA. Possible pathogenic mechanisms of autoimmune diseases in
silicotics and emphasis of the necessity for early diagnosis are discussed.
PMID- 27186377
TI - Erratum to: Impact of an integrated obesity management system on patient's care -
research protocol.
AB - [This corrects the article DOI: 10.1186/s40608-014-0019-z.].
PMID- 27186378
TI - Fatal cardiovascular instability secondary to hypercalcaemia and intracellular
calcium deposition complicating T-cell leukaemia-lymphoma.
AB - Adult T-cell leukaemia-lymphoma is a rare haematological malignancy, which can
cause severe hypercalcaemia and metastatic calcification resulting in life
threatening arrhythmias.
PMID- 27186379
TI - Rare times rare: The hyponatremia, rhabdomyolysis, anterior compartment syndrome
sequence.
AB - Primary polydipsia occurs in up to 25% of patients with chronic psychiatric
disorders (especially schizophrenia), related to the disease, its treatment or
both. Urine output fails to match intake >10 L/day and water intoxication may
develop. Rhabdomyolysis is a rare complication of hyponatremia, and an acute
anterior compartment syndrome of the leg, an emergency, may be very rarely
associated.
PMID- 27186380
TI - Intoxication with alcohol: An underestimated trigger of Brugada syndrome?
AB - Alcohol intoxication is a potentially under-recognised precipitant of Brugada
syndrome. Higher pre-cordial electrocardiogram lead placement increases
sensitivity of detecting the Brugada pattern.
PMID- 27186381
TI - Rare and unusual clinicopathologic presentation of renal AL amyloidosis.
AB - Rarely, renal light chain (AL) amyloidosis may present without significant
proteinuria owing to glomerular sparing and amyloid deposition confined to the
vasculature and tubulointerstitium.
PMID- 27186382
TI - Care Instability in Nursing Homes; A Qualitative Study.
AB - BACKGROUND: The use of long-term care services has risen and this trend is
expected to continue as the population reaches old age. OBJECTIVES: This study
was performed to assess the caring conditions in nursing homes. PATIENTS AND
METHODS: This study was conducted with a qualitative approach using conventional
qualitative content analysis. The study was conducted on 23 Iranian participants
including 14 elders and 9 caregivers. Data was collected with unstructured
interviews and continued to the point of data saturation. Analysis of data was
performed continually and concurrently with data collection through a comparative
method. RESULTS: Three themes emerged from 595 open codes including care as
unpleasant task, sustained care and insufficient resources. Ten subthemes
indicated participants' experiences and understanding of caring conditions in a
nursing home. CONCLUSIONS: The prevailing given care was the routine one with a
focus on physical aspects, although there was some psychological care given to
the older people. The findings of this research are guidelines for managers and
care planners in nursing homes who should pay attention to physical and
psychological care needs of older people. In addition, it is important to pay
close attention to the needs of caregivers and provision of instructions for
treatment, supervision and education of caregivers and medical students to
provide a better care.
PMID- 27186383
TI - Health Information Management System for Elderly Health Sector: A Qualitative
Study in Iran.
AB - BACKGROUND: There are increasing change and development of information in
healthcare systems. Given the increase in aging population, managers are in need
of true and timely information when making decision. OBJECTIVES: The aim of this
study was to investigate the current status of the health information management
system for the elderly health sector in Iran. MATERIALS AND METHODS: This
qualitative study was conducted in two steps. In the first step, required
documents for administrative managers were collected using the data gathering
form and observed and reviewed by the researcher. In the second step, using an
interview guide, the required information was gathered through interviewing
experts and faculty members. The convenience, purposeful and snowball sampling
methods were applied to select interviewees and the sampling continued until
reaching the data saturation point. Finally, notes and interviews were
transcribed and content analysis was used to analyze them. RESULTS: The results
of the study showed that there was a health information management system for the
elderly health sector in Iran. However, in all primary health care centers the
documentation of data was done manually; the data flow was not automated; and the
analysis and reporting of data are also manually. Eventually, decision makers are
provided with delayed information. CONCLUSIONS: It is suggested that the steward
of health in Iran, the ministry of health, develops an appropriate infrastructure
and finally puts a high priority on the implementation of the health information
management system for elderly health sector in Iran.
PMID- 27186384
TI - Population-Based Preference Weights for the EQ-5D Health States Using the Visual
Analogue Scale (VAS) in Iran.
AB - BACKGROUND: Health-related quality of life (HRQoL) is used as a measure to
valuate healthcare interventions and guide policy making. The EuroQol EQ-5D is a
widely used generic preference-based instrument to measure Health-related quality
of life. OBJECTIVES: The objective of this study was to develop a value set of
the EQ-5D health states for an Iranian population. PATIENTS AND METHODS: This
study is a cross-sectional study of Iranian populations. Our sample from Iranian
populations consists out of 869 participants, who were selected for this study
using a stratified probability sampling method. The sample was taken from
individuals living in the city of Tehran and was stratified by age and gender
from July to November 2013. Respondents valued 13 health states using the visual
analogue scale (VAS) of the EQ-5D. Several fixed effects regression models were
tested to predict the full set of health states. We selected the final model
based on the logical consistency of the estimates, the sign and magnitude of the
regression coefficients, goodness of fit, and parsimony. We also compared
predicted values with a value set from similar studies in the UK and other
countries. RESULTS: Our results show that the HRQoL does not vary among
socioeconomic groups. Models at the individual level resulted in an additive
model with all coefficients being statistically significant, R(2) = 0.55, a value
of 0.75 for the best health state (11112), and a value of -0.074 for the worst
health state (33333). The value set obtained for the study sample remarkably
differs from those elicited in developed countries. CONCLUSIONS: This study is
the first estimate for the EQ-5D value set based on the VAS in Iran. Given the
importance of locally adapted value set the use of this value set can be
recommended for future studies in Iran and In the EMRO regions.
PMID- 27186385
TI - The Most Common Herbs to Cure the Most Common Oral Disease: Stomatitis Recurrent
Aphthous Ulcer (RAU).
AB - CONTEXT: Recurrent aphthous ulcer (RAU) is an oral disease and the most common
oral lesion, with 2% to 66% of the world's population infected annually. Its
prevalence is about 25% in Tehran and 27.6% in Mashhad. The etiology of RAU is
multifactorial. Aphthous risk factors include: immunological factors,
psychological factors, stress, trauma, sensitivity, family history, blood
disorders, malnutrition, and use of certain medications, It should be noted that
the best treatment for RAU is the topical application of drugs. The use of
topical treatments is recommended not only because the drug can directly impact
the source of the disease, but also systemic side effects of the drug are
reduced. Treatment of RAU has been considered in Iranian traditional medicine
(ITM), and is covered in therapeutic books. The use of herbs in RAU has a
centuries-old history; accordingly, extensive research should be conducted for
this treatment of the disease. EVIDENCE ACQUISITION: Iranian medical sources were
reviewed and effective plants used in the traditional treatment of RAU were found
and compared with new findings. Finally, we have created a table listing the
plants that are part of the therapeutic protocol for RAU. RESULTS: Based on this
article we can explain some of traditional pharmacological effects of plants and
how these plants can be a source for a cure. CONCLUSIONS: The plants listed can
be used as a prediction of RAU management. Of course, there are is no evidence
for curing RAU by some of these plants in allopathic medicine, and the further
investigations in this area could lead to the discovery of a new drug.
PMID- 27186386
TI - Turbulent Atmosphere-Based Dominant Management Behavior of the Head Nurses in
Clinical Wards: A Qualitative Study.
AB - BACKGROUND: Nursing management is the most important aspect for providing high
quality nursing care. Therefore, skillful nursing managers, such as head nurses,
are required to accomplish this goal. High-quality nursing care is one of the
most important principles of health organizations to ensure society's health.
OBJECTIVES: The goal of the conventional content analysis is to explain the
dominant experienced-based behavior of the head nurses in clinical wards.
MATERIALS AND METHODS: This study was conducted by applying a quality study
approach with a common content analysis model (Granheim and Lundmen). The
participants were 25 head nurses who were working in the wards of various
hospitals in Zahedan City. They were selected via the purposeful sampling method.
The data were collected thoroughly and continued until a saturation stage was
reached. RESULTS: The result of data analysis was the theme "turbulent atmosphere
based management," which consists of five categories as follows: the work culture
of the ward, job burnout, negligent evaluation, job conflict, and decision making
with limited effects. CONCLUSIONS: The analysis of the findings of the present
study through considering the defined categories demonstrated that, to modify and
correct the turbulent atmosphere-based management, several important measures are
required and need to be continually monitored.
PMID- 27186387
TI - A Proper Enteral Nutrition Support Improves Sequential Organ Failure Score and
Decreases Length of Stay in Hospital in Burned Patients.
AB - BACKGROUND: Severe burned patients developed metabolic imbalances and systemic
inflammatory response syndrome (SIRS), which can lead to malnutrition, impaired
immunologic response, multiple organ failure and death. Studies have shown that
providing an early and adequate nutrition support can lower hypermetabolic
response and improve the outcome. Unfortunately, little emphasis has been given
to the role of nutritional support, especially for demonstrating the importance
of a proper nutritional support in determining the outcome of critically burned
patients. OBJECTIVES: This study was designed to determine the possible
protective effect of early and adequate nutrition support on sequential organ
failure assessment (SOFA) score and length of stay (LOS) in hospital, in thermal
burn victims. PATIENTS AND METHODS: Thirty patients with severe thermal burn
(More than 20% of total body surface area [TBSA] burn), on the first day in the
intensive care unit, joined this double-blinded randomized controlled clinical
trial. Patients were randomly divided into two groups: control group (group C, 15
patients) received hospital routine diet (liquid and chow diet, ad libitum) while
intervention group (group I, 15 patients) received commercially prepared
solution, with oral or tube feeding. The caloric requirement for these patients
was calculated, according to the Harris-Benedict formula. The SOFA score was also
measured on admission (SOFA0), day 2 (SOFA1), day 5 (SOFA2) and day 9 (SOFA3),
consequently. The difference between the last measurement (SOFA3) and day 2
(SOFA1) was calculated. RESULTS: The results showed that there was a significant
change between SOFA3 and SOFA1, {-1[(-1) - 0], P = 0.013 vs. -1 [(-2) - 0], P =
0.109}. Mean LOS in hospital, for patients consuming commercial standard food,
also proved to be shorter than those consuming hospital routine foods (17.64 +/-
8.2 vs. 23.07 +/- 11.89). CONCLUSIONS: This study shows that an adequate
nutritional support, in patients with severe burn injury, can improve SOFA score.
It is also more cost-effective, resulting in a shorter LOS in hospital.
PMID- 27186388
TI - Distal Femur Morphology of Iranian Population and Correlation With Current
Prostheses.
AB - BACKGROUND: Maintaining proper size and rotation of components of total knee
arthroplasty is mandatory for optimal longevity. Ethnical differences may affect
the fitness of prostheses that were manufactured mainly based on Caucasian
dimensions. OBJECTIVES: To evaluate the distal femur morphology of the Iranian
population at the level of standard cuts simulated via computed tomography.
PATIENTS AND METHODS: During 2013, 150 consecutive patients (96 males and 54
females) from the outpatient Department of Orthopedic Surgery of Rasoul Akram
Hospital with knee CT scans were studied. We entered cases with apparent normal
extremity alignment and bone maturity. Exclusion criteria were history of
fracture or conditions affecting knee profile. Standard cuts were simulated on
the CT scan using the Marco Pacs program. For each bone, anteroposterior (AP),
mediolateral (ML), and aspect ratio (ML/AP) were measured. Values were compared
with the sizing of four currently available prostheses. RESULTS: The mean age of
the patients was 43 years (range: 17 to 80). All isolated parameters were higher
in men significantly (P < 0.001). However, the aspect ratio did not show any
significant difference (1.51 +/- 0.11 vs 1.46 +/- 0.1), suggestive of a similar
configuration of distal femur profiles between genders. Ultimately, close
correlations were observed among simulated cuts and size-matched femoral
components of the prostheses. For females, however, components were mostly
overhang mediolaterally except for one gender-specific subset. Decrement in the
aspect ratio for larger knees was another mismatch with current prostheses that
preserve an almost constant ratio throughout all sizes. CONCLUSIONS: Our findings
may be applied by manufacturers to design prostheses more compatible with Asian
populations. Alterations to the shape of components should be considered to
provide optimal coverage.
PMID- 27186389
TI - An Evidence-Based Review on Medicinal Plants Used as Insecticide and Insect
Repellent in Traditional Iranian Medicine.
AB - CONTEXT: Insects can be the cause of major ecological problems; they can transmit
microbes and parasites that affect humans, and damage food crops, trees, and
homes. The total economic cost of insect-related damage and disease is
immeasurable. In traditional Iranian medicine (TIM), several medicinal plants
have been identified as insecticides or insect repellents, but many of them are
still unknown. Therefore, the aim of this study was to review the insecticidal or
insect repellent activity of certain medicinal plants described in TIM. EVIDENCE
ACQUISITION: Information about medicinal plants proposed as insecticides and
insect repellents in the TIM was collected from the TIM literature, and searched
in modern medical databases to find studies that confirmed their efficacy.
RESULTS: Modern investigations have supported the claims of the insecticidal
activity of several plants, including Allium sativum, Artemisia absinthium,
Citrullus colocynthis, Laurus nobilis, Mentha pulegium, Myrtus communis, Nerium
oleander, Ocimum basilicum, and Origanum majorana. However, in the cases of
plants like Iris florentina and Malva sylvestris, there is not enough evidence in
modern medicine to prove their effectiveness with regard to their insecticidal
and insect repellent activities. CONCLUSIONS: This study confirmed the Iranian
traditional medicine claims of the insecticidal and insect repellent activity of
certain plants. Further pharmacological and clinical studies are recommended to
evaluate the overall efficacy and possible mechanisms underlying these herbs.
PMID- 27186390
TI - Evaluation of Association of ADRA2A rs553668 and ACE I/D Gene Polymorphisms with
Obesity Traits in the Setapak Population, Malaysia.
AB - BACKGROUND: alpha-adrenergic receptor 2A (ADRA2A) and angiotensin-converting
enzyme (ACE) genes have been variably associated with obesity and its related
phenotypes in different populations worldwide. OBJECTIVES: This cross-sectional
study aims to investigate the association of adrenergic receptor alpha2A (ADRA2A)
rs553668 and angiotensin-converting enzyme (ACE) I/D single nucleotide
polymorphisms (SNPs) with obesity traits (body mass index-BMI; waist-hip ratio
WHR; total body fat percentage - TBF) in a Malaysian population. MATERIALS AND
METHODS: Demographic and clinical variables were initially collected from 230
subjects via convenience sampling among residents and workers in Setapak,
Malaysia, but in the end only 214 multi-ethnic Malaysians (99 males; 45 Malays,
116 ethnic Chinese, and 53 ethnic Indians) were available for statistical
analysis. Genotyping was performed by polymerase chain reaction using DNA
extracted from mouthwash samples. RESULTS: The overall minor allele frequencies
(MAFs) for ADRA2A rs553668 and ACE I/D were 0.55 and 0.56, respectively. Allele
distribution of ACE I/D was significantly associated with ethnicity and WHR
class. Logistic regression analysis showed that subjects with the ACE II genotype
and I allele were, respectively, 2.15 and 1.55 times more likely to be centrally
obese, but when adjusted for age and ethnicity, this association was abolished.
Covariate analysis controlling for age, gender, and ethnicity also showed similar
results, where subjects carrying the II genotype or I allele did not have
significantly higher WHR. Combinatory genotype and allele analysis for ADRA2A
rs553668 and ACE I/D showed that subjects with both ADRA2A rs553668 GG and ACE
I/D II genotypes had significant lowest WHR compared to other genotype
combinations. CONCLUSIONS: The ACE II genotype might be a protective factor
against central adiposity risk among the Malaysian population when in combination
with the ADRA2A rs553668 GG genotype.
PMID- 27186391
TI - MicroRNA-338-3p suppresses metastasis of lung cancer cells by targeting the EMT
regulator Sox4.
AB - Metastasis remains the leading cause of the majority of cancer-related mortality.
MicroRNAs (miRNAs) have frequently emerged as tumor metastatic regulator by
acting on multiple signaling pathways. In the present study, we demonstrated that
miR-338-3p was significantly downregulated in highly metastatic NSCLC cell lines
and clinical metastatic tissues. Then, we found that introduction of miR-338-3p
significantly suppressed the migration and invasion of lung cancer cells both in
vitro and in vivo, suggesting that miR-338-3p may be a novel tumor suppressor.
Further studies indicated that the EMT-related transcription factor Sox4 was one
direct target gene of miR-338-3p, evidenced by the direct binding of miR-338-3p
with the 3'untranslated region (3'UTR) of Sox4. Furthermore, miR-338-3p could
decrease the expression of Sox4 both at mRNA and protein levels. Notably, the EMT
marker E-cadherin or vimentin, a downstream regulator of Sox4, was also down
regulated or up-regulated upon miR-338-3p treatment. Additionally, over
expressing or silencing Sox4 could elevate or inhibit the migration and invasion
of lung cancer cells, parallel to the effect of miR-338-3p on the lung cancer
cells. Meanwhile, knockdown of Sox4 reversed the enhanced migration and invasion
mediated by miR-338-3p. These results indicated that miR-338-3p suppressed the
migration and invasion of NSCLC cells through targeting Sox4 involving in the EMT
process. Thus, our finding provides new insight into the mechanism of NSCLC
progression. Therapeutically, miR-338-3p may serve as a potential target in the
treatment of human lung cancer.
PMID- 27186392
TI - MicroRNA-10b regulates epithelial-mesenchymal transition by modulating
KLF4/Notch1/E-cadherin in cisplatin-resistant nasopharyngeal carcinoma cells.
AB - Epithelial-mesenchymal transition (EMT) is an initiating event in tumor cell
invasion and metastasis that contributes to therapeutic resistance to compounds
including cisplatin. MicroRNAs (miRNAs) have been associated with EMT as well as
resistance to standard therapies. However, the underlying mechanisms by which
miRNAs control the development of resistance to cisplatin (DDP), and the
accompanying EMT-like properties are required to elucidate. Here we show that
microRNA-10b (miR-10b) is up-regulated in HNE1/DDP cells, and inhibition of miR
10b expression reversed the EMT phenotype. However, over-expression of miR-10b
was able to promote the acquisition of an EMT phenotype in HNE1 cells.
Additionally, we identified that miR-10b expression inversely correlates with
KLF4, which then controls expression of Notch1. Knock-down of Notch1 inhibited
cell migration, invasion, and reversed EMT in HNE1/DDP cells, which was dependent
on miR-10b. In summary, our results reveal that miR-10b regulates EMT by
modulating KLF4/Notch1/E-cadherin expression, which promotes invasion and
migration of nasal pharyngeal carcinoma cells.
PMID- 27186393
TI - Anti-CD40 antibody and toll-like receptor 3 ligand restore dendritic cell
mediated anti-tumor immunity suppressed by morphine.
AB - The influence of morphine on host immunity and the underlying mechanism are still
unclear. In the current study, we investigated the influence of morphine on
dendritic cells (DCs), its possible mechanism of action, and the molecules that
could reverse these effects. Morphine suppressed DC maturation, antigen
presenting abilities, and the ability to activate antigen-specific CD8(+) T
cells. Morphine-treated DCs also secreted higher concentrations of IL-10, but
lower IL-6 and TNF-alpha. Morphine-treated DCs showed decreased ERK1/2
phosphorylation and reduced p38 dephosphorylation. The in vivo administration of
immuno-modulators, anti-CD40 Ab and TLR3 ligand-poly(I:C), enhanced antigen
specific immunity, promoted the anti-tumor effects, and prolonged the survival of
morphine-treated, tumor-bearing mice by promoting the maturation and function of
BMM-derived DCs by enhancing ERK1/2 phosphorylation and p38 dephosphorylation. We
concluded that morphine can inhibit DC-mediated anti-tumor immunity by
suppressing DC maturation and function. Immuno-modulators, such as anti-CD40 Abs
and TLR agonists, can restore the DC-mediated anti-tumor immunity. Use of immuno
modulators could serve as a useful approach to overcome the immunocompromised
state generated by morphine.
PMID- 27186394
TI - MiR-326 regulates cell proliferation and migration in lung cancer by targeting
phox2a and is regulated by HOTAIR.
AB - Recent findings indicate that microRNAs (miRNAs) play a crucial role in lung
cancer development, progression and regression. In our previous study, we
identified miR-326 is down-regulated in lung cancer. However, the role of miR-326
hasn't been revealed yet. The aim of the current study is to investigate the
function and regulation mechanism of miR-326 in lung cancer. MTT assays,
Transwell migration assays and xenograft model in nude mice were carried to
detect the effects of miR-326 on cell proliferation, migration and tumor growth
in nude mice. Flow cytometry was used to analyze the effects of miR-326 on cell
cycle and apoptosis. By using siRNAs and luciferase assays, we also demonstrated
that Phox2a is a functional target of miR-326, and that miR-326 is regulated by
long non-coding RNA HOTAIR through silencing HOTAIR. Enforced expression of miR
326 inhibited cell proliferation and migration in vitro and tumor growth in nude
mice, decreased proportion of cells in S phase and increased cell apoptosis in
both A549 and H838 cells. In addition, we found miR-326 bound to 3'UTR of Phox2a
but not KLF3, and enforced expression of miR-326 decreased accumulation of Phox2a
in both A549 and H838. Moreover, exogenous expression of Phox2a compromised
inhibitory effects of miR-326 on cell proliferation and migration. We also found
silencing of HOTAIR caused increased expression of miR-326. miR-326 regulates
cell proliferation and migration in lung cancer by targeting Phox2a and is
regulated by HOTAIR.
PMID- 27186395
TI - Methyl jasmonate induces apoptosis and pro-apoptotic autophagy via the ROS
pathway in human non-small cell lung cancer.
AB - Methyl jasmonate (MJ) is a botanical hormone that serves as a signal transduction
intermediate and regulates cell death in stressed plants. MJ induces cell cycle
arrest, apoptosis and non-apoptotic cell death selectively in cancer cells.
However, the underlying mechanism of MJ-induced apoptosis remains unclear. In
this study, we examined the molecular mechanism through which MJ induces
apoptosis in human non-small cell lung cancer (NSCLC). We found that MJ triggered
apoptosis via the DDIT3-TNFRSF10B-CASP axis. MJ treatment significantly decreased
the expression of CFLAR (CASP8 and FADD-like apoptosis regulator, an inhibitor of
CASP8) in NSCLC cells, and ectopic expression of CFLAR partly protected cells
from MJ-induced apoptosis. MJ also induced pro-apoptotic autophagy in NSCLC
cells. Importantly, inhibition of ROS suppressed both MJ-induced apoptosis and
autophagy. Taken together, MJ induces apoptosis and pro-apoptotic autophagy in
NSCLC cells through the ROS pathway. Thus, MJ and its derivative treatment may
serve as a novel chemotherapeutic strategy for cancer therapy.
PMID- 27186396
TI - Cancer-associated fibroblasts promote endometrial cancer growth via activation of
interleukin-6/STAT-3/c-Myc pathway.
AB - Cancer-associated fibroblasts (CAFs) secrete various pro-tumorigenic cytokines,
yet the role of these cytokines in the progression of endometrial cancer remains
unclear. We found that CAFs isolated from human endometrial cancer (EC) tissues
secreted high levels of interleukin-6 (IL-6), which promotes EC cell
proliferation in vitro. Neutralizing IL-6 in CAF-conditioned media reduced (47%
inhibition) while IL-6 recombinant protein increased cell proliferation (~2.4
fold) of both EC cell lines and primary cultures. IL-6 receptors (IL-6R and
gp130) were expressed only in EC epithelial cells but not in CAF, indicating a
one-way paracrine signaling. In the presence of CAF-conditioned media, Janus
kinase/signal transducers and activators of transcription (JAK/STAT3) pathway was
activated in EC cells. Treatment with JAK and STAT3 specific inhibitors, AD412
and STATTIC, respectively, significantly abrogated CAF-mediated cell
proliferation, indicating the role of IL-6 activation in EC cell proliferation.
We further showed that one of STAT-3 target genes, c-Myc, was highly induced in
EC cells after exposure to CAF-conditioned medium at both mRNA (>105-fold vs.
control) and protein level (>2-fold vs. control). EC cell proliferation was
dependent on c-Myc expression, as RNAi-mediated c-Myc down-regulation led to a
significant 46% reduction in cell viability when compared with scrambled control.
Interestingly, CAF-conditioned media failed to promote proliferation in EC cells
with reduced c-Myc expression, suggesting that CAF-mediated cell proliferation
was also dependent on c-Myc expression. Subcutaneous tumor xenograft model showed
that EC cells grew at least 1.4 times larger when co-injected with CAF, when
compared to those injected with EC cells alone. Mice injected with EC cells with
down-regulated c-Myc expression, however, showed at least 2.5 times smaller tumor
compared to those in control group. Notably, there was no increase of tumor size
when co-injected with CAFs. Further immunohistochemical staining on human tissues
showed positive expression of IL-6 receptors, phosphorylated-STAT3 and c-Myc in
human EC tissues with less signals in benign endometrium. Taken together, our
data suggests that IL-6 secreted by CAF induces c-Myc expression to promote EC
proliferation in vitro and in vivo. IL-6 pathway can be a potential target to
disrupt tumor-stroma interaction in endometrial cancer progression.
PMID- 27186397
TI - A placental growth factor-positively charged peptide potentiates the antitumor
activity of interferon-gamma in human brain glioblastoma U87 cells.
AB - Interferons have been marketed to treat hematological malignancies, but their
efficacy in the treatment of solid tumors has been significantly hindered by low
antitumor efficacy and numerous side effects. We used a "cDNA in-frame fragment"
library screening method to identify short cDNA peptides that potentiate the anti
tumor activity of interferons. In this study, we synthesized a hybrid molecule by
fusing a short positively charged peptide derived from placental growth factor-2
to the C-terminus of human IFNgamma. Using the human brain glioblastoma U87 cell
line as a model system, we found that the hybrid interferon exhibited
significantly higher activity than did the wild-type IFNgamma in inhibiting tumor
cell growth. As compared with the unmodified IFNgamma, the hybrid interferon was
better at inhibiting cell invasion in a matri-gel assay and at decreasing tumor
colony formation. The enhanced antitumor activity of the synthetic interferon was
correlated with the activation of interferon pathway genes and the blockade of
tumor cell division at the S-G2/M phase. This study demonstrates the potential of
a synthetic IFNgamma for use as a novel antitumor agent.
PMID- 27186398
TI - Guggulsterone-induced apoptosis in cholangiocarcinoma cells through ROS/JNK
signaling pathway.
AB - Cholangiocarcinoma (CCA), the most common biliary tract malignancy, is arising
from the bile duct epithelium with the global significantly increased morbidity
and mortality. Here, we showed the effect of guggulsterone, a steroid found in
the resin of the guggul plant, on human HuCC-T1 and RBE CCA cells. Exposure to
various concentrations of guggulsterone for multiple action time resulted in
significant apoptosis in the CCA cells via activating both extrinsic and
intrinsic pathways. Furthermore, we demonstrated that the apoptosis of CCA cells
was induced by Reactive oxygen species (ROS) mediated JNK signaling pathway.
Consistently, inhibition of JNK activity, overexpression of JBD, its binding
protein or reduction of ROS by overexpression of catalase, all decreased
apoptotic cells. Our results also revealed that guggulsterone-induced apoptosis
was coupled with endoplasmic reticulum stress (ERS) in CHOP-dependent pathway.
Downregulation of CHOP instead of other ERS markers could inhibit CCA cell
apoptosis. Taken together, our results showed that guggulsterone could induce
apoptosis of human CCA cells through ROS/JNK signaling pathway, indicating that
guggulsterone could be important for the clinical therapy of CCA.
PMID- 27186399
TI - Androgen receptor expands the population of cancer stem cells in upper urinary
tract urothelial cell carcinoma cells.
AB - Androgen receptor (AR) affects the development and progression of upper urinary
tract urothelial cell carcinoma (UUTUC). However, the regulatory mechanism
exerted by AR to affect UUTUC cells remains unclear. Here we investigated whether
AR promotes UUTUC development and progression, possibly by expanding the
population of cancer stem cells (CSCs), which are a particular population of
cells within cancer cells responsible for tumor initiation, drug resistance and
metastasis. We compared UUTUC cells with or without the addition of AR on their
CSC population with flow cytometry, colony formation and sphere formation assay
to determine the effect of AR on CSC activity, and real-time PCR was used to
detect the expression stemness genes and miRNAs. In vivo tumor formation was
evaluated with the implantation of cancer cells in nude mice. We found that the
addition of AR in UUTUC cells, significantly increased the population of CSC,
clonogenicity, sphere formation and the expression of stemness genes (Oct4, Bmi1
and Nanog), altered CSC-related miRNA profile, as well as promoted epithelial
mesenchymal transition (EMT). And AR inhibitor, enzalutamide was shown to
suppress AR's effect on tumorsphere formation. Furthermore, in an immune
deficient mouse model, the addition of AR in UUTUC cells also increased the tumor
formation capacity. This study will help us better understand the extent to which
AR contributes to UUTUC progression by expanding their CSC population and
capacity. Our findings could explain high incidence of UUTUC observed in males.
And targeting AR may lead to novel therapeutic approaches for genetically
diversified urothelial carcinomas in precision medicine era.
PMID- 27186400
TI - Overexpression and oncogenic function of HMGA2 in endometrial serous
carcinogenesis.
AB - The high-mobility group A protein 2 (HMGA2) is a non-histone chromatin factor
highly expressed in fetal tissue and malignant tumors but rarely detected within
normal adult tissues. The clinical implications and biological functions of HMGA2
in endometrial carcinoma are largely unknown. Here we report that HMGA2
expression was barely detected in benign endometrium samples (2 of 28 samples).
However, HMGA2 expression increased significantly from precancerous lesion
endometrial glandular dysplasia (7 of 17, 41.2%), to serous endometrial
intraepithelial carcinoma (5 of 8, 62.5%) and to full blown endometrial serous
carcinoma (39 of 59, 66.1%). Functional characterization of HMGA2 revealed that
the gene has both tumor growth promotion and metastasis. In addition, HMGA2
induced epithelial-mesenchymal transition (EMT) through modulation vimentin and
beta-catenin. Furthermore, HMGA2 overexpression started from endometrial serous
precancers, non-invasive cancers, as well as in full blown carcinomas in a p53
knockout mouse model we recently established in our laboratory. Our findings
suggest that HMGA2 may serve as a useful diagnostic marker in the assessment of
endometrial serous cancer and its precursor lesions.
PMID- 27186401
TI - Deregulated miR-296/S100A4 axis promotes tumor invasion by inducing epithelial
mesenchymal transition in human ovarian cancer.
AB - S100A4 represents an important member of the S100 family of small calcium-binding
proteins. Increased expression of S100A4 has been observed in chronic
inflammatory and autoimmune diseases, such as idiopathic inflammatory myopathies.
The majority of studies of S100A4 are focused on cancer research; however, the
oncogenic roles of S100A4 in epithelial ovarian cancer (EOC) remain largely
unexplored. In this study, S100A4 expression is significantly up-regulated in
ovarian cancer and associated with the clinical stage of EOC patients.
Attenuation of S100A4 expression results in decreased cell mobility and
metastatic capacity, whereas overexpression of S100A4 enhanced the invasive
ability of EOC cells. Then by an integrated informatics analysis and luciferase
reporter assay, we identify that miR-296 is a critical upstream regulator of
S100A4. In addition, deregulated miR-296/S100A4 axis facilitates epithelial
mesenchymal transition (EMT) process as demonstrated by altered expression of EMT
related markers. In conclusion, our study reveals that deregulated miR-296/S100A4
promotes tumor progression in EOC, and provides evidence that miR-296/S100A4 axis
related signaling may represent a potential target for EOC therapy.
PMID- 27186402
TI - SIAH2 protein expression in breast cancer is inversely related with ER status and
outcome to tamoxifen therapy.
AB - Our previous study demonstrated that high mRNA levels for Seven in Absentia
Homolog 2 (SIAH2) correlated with high Estrogen Receptor (ER) mRNA levels and
with longer progression-free survival (PFS) after first-line tamoxifen. Others
showed high SIAH2 protein levels in ER-negative breast cancer associated with an
unfavorable relapse-free survival. In the current study, we investigated SIAH2
protein expression to clarify the discrepancy between protein and mRNA findings
and to determine its diagnostic value in breast cancer patients. Tissue
microarrays (TMAs) containing core specimens of primary breast tumors were
immunohistochemically stained for SIAH2 protein. The TMAs analyzed a cohort of
746 patients with primary breast cancer (PBC) and a cohort of 245 patients with
ER-positive metastatic breast cancer (MBC) treated with first-line tamoxifen.
SIAH2 staining was scored for intensity and proportion of positive tumor cells
and evaluated for its relationship with metastasis-free survival (MFS) and PFS.
Multivariate survival analyses included traditional prognostic or predictive
factors, respectively. The PBC-cohort had 263 patients with high SIAH2 protein
expression and decreased expression of ER protein and mRNA levels (P = 0.005 and
P = 0.003, respectively). High SIAH2 levels correlated with significant
unfavorable MFS in lymph node negative, ER-positive breast cancer patients. The
MBC-cohort had 86 patients with increased SIAH2 protein expression. High SIAH2
expression was associated with an unfavorable PFS after first-line tamoxifen in
multivariate analyses (HR = 1.45; 95% CI, 1.07-1.96; P = 0.015). In conclusion,
SIAH2 protein expression is especially observed in ER-negative tumors. Its
prognostic value in breast cancer does not add to current prognostic markers. The
proportion of SIAH2-positive cells can be used as biomarker to predict tamoxifen
treatment failure in MBC patients.
PMID- 27186403
TI - Long non-coding RNA Linc00152 is a positive prognostic factor for and
demonstrates malignant biological behavior in clear cell renal cell carcinoma.
AB - Accumulating evidence demonstrates that lncRNAs play important roles in
regulating gene expression and are involved in various pathological processes. In
the present study, we screened the lncRNAs profile in clear cell renal cell
carcinoma (ccRCC) from The Cancer Genome Atlas (TCGA) database, and got
linc00152, a differentially expressed lncRNA that haven't been reported in ccRCC.
To further explore its role in ccRCC, the level of Linc00152 was detected in 77
paired ccRCC tissues and renal cancer cell lines by qRT-PCR, and its association
with overall survival was assessed by statistical analysis. Linc00152 expression
was significantly up-regulated in cancerous tissues and cell lines compared with
normal counterparts, and high Linc00152 expression was closely associated with
advanced TNM stage. Moreover, Linc00152 was found to be able to serve as an
independent predictor of overall survival. Further experiments demonstrated that
overexpression of Linc00152 can significantly promote cell proliferation and
invasion, inhibit cell cycle arrest in G1 phase and dramatically decrease
apoptosis in both 786O and Caki-2 cell lines, whereas the opposite results were
observed with attenuated Linc00152 expression. Our data suggest that Linc00152 is
a novel molecule involved in ccRCC progression as well as a potential prognostic
biomarker and therapeutic target.
PMID- 27186404
TI - Transient fasting enhances replication of oncolytic herpes simplex virus in
glioblastoma.
AB - Short-term nutritional restriction (fasting) has been shown to enhance the
efficacy of chemotherapy by sensitizing cancer cells and protecting normal cells
in a variety of cancer models, including glioblastoma (GBM). Cancer cells, unlike
normal cells, respond to fasting by promoting oncogenic signaling and protein
synthesis. We hypothesized that fasting would increase the replication of
oncolytic herpes simplex virus (oHSV) in GBM. Patient-derived GBM cell lines were
fasted by growth in glucose and fetal calf serum restricted culture medium.
"Transient fasting", 24-hour fasting followed by 24-hour recovery in complete
medium, increased late virus gene expression and G47Delta yields about 2-fold in
GBM cells, but not in human astrocytes, and enhanced G47Delta killing of GBM
cells. Mechanistically, "transient fasting" suppressed phosphorylation of the
subunit of eukaryotic initiation factor 2alpha (eIF2alpha) and c-Jun N-terminal
kinases (JNK) in GBM cells, but not in astrocytes. Pharmacological inhibition of
JNK also increased G47Delta yield. In vivo, transient fasting (48-hour food
restriction and 24-hour recovery) doubled luciferase activity after intratumoral
G47Delta-US11fluc injection into orthotopic GBM xenografts. Thus, "transient
fasting" increases G47Delta replication and oncolytic activity in human GBM
cells. These results suggest that "transient fasting" may be effectively combined
to enhance oncolytic HSV therapy of GBM.
PMID- 27186406
TI - Hydroxylated collagen peptide in urine as biomarker for detecting colorectal
liver metastases.
AB - The clinical efficacy of carcinoembryonic antigen (CEA) as a marker of colorectal
liver metastasis is limited, motivating a search for new biomarkers. Recently,
urine proteomic analysis revealed AGPP(-OH)GEAGKP(-OH)GEQGVP(-OH)GDLGAP(-OH)GP
(AGP), a promising peptide for this application. This study aimed to determine
whether combining urine AGP testing with serum CEA analyses improves the
sensitivity of detecting colorectal liver metastases. Urine samples from 100
patients with CRLM were collected prospectively and compared to three control
groups: healthy kidney donors, patients who were relapse-free for 24 months after
curative CRLM surgery, and primary colorectal cancer patients. A stable isotope
labeled peptide standard was used to quantify the abundance of AGP in urine
samples by selective reaction monitoring. Combined testing of urine AGP levels
and serum CEA levels revealed a significantly increased sensitivity compared to
CEA alone (85% vs. 68%, P<0.001; specificity 84% and 91%, respectively). No
correlation was found between CEA and AGP-positive test results within individual
patients (r(2) = 0.08). Urine AGP testing was negative in the three control
groups. These results indicate that collagen-derived urine AGP peptide with a
specific hydroxylation pattern combined with serum CEA levels may significantly
improve the detection of colorectal liver metastases in patients at risk.
PMID- 27186405
TI - HPV E6/p53 mediated down-regulation of miR-34a inhibits Warburg effect through
targeting LDHA in cervical cancer.
AB - MicroRNAs (miRNA) play crucial roles in regulating cell proliferation,
differentiation and developmental timing. Aberrantly expressed miRNAs have
recently emerged as key regulators of metabolism. However, little is known about
its role in tumor metabolism of cervical cancer. In this study, we determined the
oncogenic effects of miRNAs on Warburg effect, a metabolic phenotype that allows
cancer cells to utilize glucose even under aerobic conditions. A gain-of-function
study was performed in 12 down-regulated miRNAs that frequently reported in
cervical cancer. We found that miR-34a plays a suppressive role in Warburg effect
as evidenced by decreased lactate production and glucose consumption. Knockdown
of oncoprotein E6 expression of human papillomavirus in SiHa and HeLa cells by
siRNAs lead to an increased protein level of p53, decreased level of miR-34a, as
well as reduced Warburg effect. Subsequently, lactate dehydrogenase A (LDHA),
which catalyzes the last key step in glycolysis, was identified as a direct
target of miR-34a. Silencing of LDHA or introduction of miR-34a significantly
attenuated colony formation ability and invasive capacity of SiHa and HeLa cells,
and these effects were fully compromised by reintroduction of LDHA. In
conclusion, our findings demonstrated that deregulated miR-34a/LDHA axis induced
by HPV E6/p53 signaling facilitates tumor growth and invasion through regulating
Warburg effect in cervical cancer, and provided new insights into the mechanism
by which miR-34a contributes to the development and progression of cervical
cancer.
PMID- 27186407
TI - The increased excretion of urinary orosomucoid 1 as a useful biomarker for
bladder cancer.
AB - Improving the early detection rate and prediction of bladder cancer remains a
great challenge in management of this disease. To examine the value of urinary
orosomucoid 1 (ORM1) for the early detection and surveillance of bladder cancer,
two-dimensional differential gel electrophoresis (2-DE) and matrix-assisted laser
desorption time-of-flight mass spectrometry (MALDI-TOF/TOFMS) were applied to
identify the differently expressed proteins in urine between bladder cancer and
healthy controls. Thirteen different proteins including ORM1 were identified.
After verification by western blotting, the ORM1 expressions were quantified in
186 urine samples by enzyme-linked immunosorbent assay (ELISA) correcting for
creatinine expression. ELISA quantification showed the urinary ORM1-Cr was found
to be higher in bladder cancer patients compared to controls and benign cases
(7172.23+/-3049.67 versus 2243.16+/-969.01, 2493.48+/-830.37 ng/ml, respectively,
P<0.0001). Furthermore, the pearson correlation analysis indicated that urinary
ORM1 had high positive correlation with the pathology classification of bladder
cancer. Receiver operating characteristic (ROC) analysis was used to calculate
the cut-off value for early diagnosis of bladder cancer, and rendered an optimum
cut-off value of 3912.97 ng/mg corresponding to 91.96% sensitivity and 94.34%
specificity. Moreover, a cut-off value with 7351.28 ng/mg was utilized to
distinguish infiltrating urothelial carcinoma from bladder cancer patients
corresponding to 91.89% sensitivity and 90.67% specificity. In conclusion, our
findings suggested the elevated urinary ORM1 could be a useful biomarker for
bladder cancer. Further research is warranted to elucidate the pathogenic
mechanisms of elevated ORM1.
PMID- 27186408
TI - Association of CYP8A1 (Prostacyclin I2 synthase) polymorphism rs5602 with breast
cancer in Mexican woman.
AB - Breast cancer (BCa) is the most common cancer in Mexican women. Certain risk
factors, such as environmental and lifestyle factors have been implicated in BCa
initiation and progression. Moreover, genetic factors, such as single nucleotide
polymorphisms (SNPs) of the P450 system, have been reported in BCa. In this
report, and for the first time in the literature, we analyzed the rs5602 (67730 T
> C) polymorphism in the CYP8A1 in patients with BCa and in healthy Mexican women
to identify a potential risk between this polymorphism and BCa. Leukocyte cells
from 38 control patients and tissue from radical mastectomy surgeries in 64 BCa
patients were used for polymorphism analysis using an allelic discrimination
assay with TaqMan probes. Links with clinic-pathological characteristics were
also analyzed. Statistical analysis was performed using the standard chi(2) or
Fisher exact test statistic. All CYP8A1 genotypes were detected in patients with
BCa and the controls. Significant differences were observed in the distribution
of CYP8A1 genotypes between the patients and controls (P=0.0008) and allele C was
significantly associated with BCa risk (OR 2.08, 95% CI 1.166-3.72, P=0.0178).
All polymorphism frequencies were in Hardy-Weinberg Equilibrium (HWE) in the
controls (P > 0.05). We found that variant 67730 T > C was significantly
associated with an increased risk of BCa (P < 0.05). We not observed an
association of the TT and TC + CC genotypes with the clinical stage, BIRADS,
estrogen receptor (ER) status, progesterone receptor (PR) status, HER2 status,
p53 status, CD34 status, metastasis or therapy use. These results indicate that
the CYP8A1 rs5602 SNP is a possible risk factor for BCa in Mexican women. This
study showed an association between the CYP8A1 polymorphism and BCa risk in a
Mexican population.
PMID- 27186409
TI - Potentiation of chemotherapeutics by bromelain and N-acetylcysteine: sequential
and combination therapy of gastrointestinal cancer cells.
AB - Intraperitoneal chemotherapy together with cytoreductive surgery is the standard
of care for a number of peritoneal surface malignancies. However, this approach
fails to maintain the complete response and disease recurs due to microscopic
residual disease. Although safer than systemic chemotherapy regimens,
locoregional treatment with chemotherapeutics can induce toxicity which is a
major concern affecting the patient's treatment protocol and outcome. For an
enhanced treatment efficacy, efforts should be made to maximize cytotoxic effects
of chemotherapeutic agents on tumor cells while minimizing their toxic effects on
host cells. Bromelain and N-acetylcysteine are two natural agents with good
safety profiles shown to have anti-cancer effects. However, their interaction
with chemotherapeutics is unknown. In this study, we investigated if these agents
have the potential to sensitize in vitro gastrointestinal cancer models to
cisplatin, paclitaxel, 5-fluorouracil, and vincristine. The drug-drug interaction
was also analyzed. Our findings suggest that combination of bromelain and N
acetylcysteine with chemotherapeutic agents could give rise to an improved
chemotherapeutic index in therapeutic approaches to peritoneal surface
malignancies of gastrointestinal origin so that maximum benefits could result
from less toxic and more patient-friendly doses. This represents a potentially
efficacious strategy for the enhancement of microscopic cytoreduction and is a
promising area for future research.
PMID- 27186410
TI - Podoplanin - a small glycoprotein with many faces.
AB - Podoplanin is a small membrane glycoprotein with a large number of O-glycoside
chains and therefore it belongs to mucin-type proteins. It can be found on the
surface of many types of normal cells originating from various germ layers. It is
present primarily on the endothelium of lymphatic vessels, type I pneumocytes and
glomerular podocytes. Increased levels of podoplanin or its neo-expression have
been found in numerous types of human carcinomas, but it is especially common in
squamous cell carcinomas, such as cervical, larynx, oral cavity, skin and lung
cancer. This small sialomucin is also seen on the surface of cancer-associated
fibroblasts (CAFs) in lung adenocarcinomas, as well as in breast and pancreatic
tumors. In most cancers, a high level of podoplanin expression, both in cancer
cells, as well as in CAFs, is correlated with an increased incidence of
metastasis to lymph nodes and shorter survival time of patients. Little is known
about the biological role of podoplanin, however research carried out on mice
with a knock-out gene of this glycoprotein shows that the presence of podoplanin
determines normal development of lungs, the lymphatic system and heart.
Podoplanin on cancer cells and CAFs seems to play an important role in the
development and progression of various cancers. However, its role in these
processes is both unclear and controversial. In this review, the role of
podoplanin in both physiological processes and carcinogenesis is discussed.
PMID- 27186411
TI - Multifunctional DDX3: dual roles in various cancer development and its related
signaling pathways.
AB - DEAD-box RNA helicase 3 (DDX3) is a highly conserved family member of DEAD-box
protein, which is a cluster of ATP-dependent and the largest family of RNA
helicase. DEAD-box family is characterized by the regulation of ATPase and
helicase activities, the modulation of RNA metabolism, and the actors of RNA
binding proteins or molecular chaperones to interact with other proteins or RNA.
For DDX3, it exerts its multifaceted roles in viral manipulation, stress
response, hypoxia, radiation response and apoptosis, and is closely related to
cancer development and progression. DDX3 has dual roles in different cancer types
and can act as either an oncogene or tumor suppressor gene during cancer
progression. In the present review, we mainly provide an overview of current
knowledge on dual roles of DDX3 in various types of cancer, including breast
cancer, lung cancer, colorectal cancer, hepatocellular carcinoma, oral squamous
cell carcinoma, Ewing sarcoma, glioblastoma multiforme and gallbladder carcinoma,
and illustrate the regulatory mechanisms for leading these two controversial
biological effects. Furthermore, we summarize the essential signaling pathways
that DDX3 participated, especially the Wnt/beta-catenin signaling and EMT related
signaling (TGF-beta, Notch, Hedgehog pathways), which are crucial to DDX3
mediated cancer metastasis process. Thoroughly exploring the dual roles of DDX3
in cancer development and the essential signaling pathways it involved, it will
help us open new perspectives to develop novel promising targets to elevate
therapeutic effects and facilitate the "Personalized medicine" or "Precision
medicine" to come into clinic.
PMID- 27186413
TI - PTTG promotes invasion in human breast cancer cell line by upregulating EMMPRIN
via FAK/Akt/mTOR signaling.
AB - Pituitary tumor transforming gene (PTTG) is a novel oncogene that is expressed at
higher level in most of the tumors. PTTG overexpression correlates with lymph
node infiltration and a higher degree of tumor recurrence in breast cancer.
However, the cellular functions and precise signals elicited by PTTG in breast
cancer are not fully understood. Here, we established a breast cancer cell line
which stably overexpressed PTTG. In vitro experiments showed that overexpression
of PTTG in MCF-7 cells was associated with enhanced cell migration and invasion
as well as EMT. Our results also demonstrated that PTTG overexpression correlated
with elevated EMMPRIN level, which mediated the enhanced cell migration, invasion
and EMT. Moreover, our findings suggested that PTTG enhances metastatic potential
of breast cancer cells by inducing EMMPRIN through activating FAK/Akt/mTOR
pathway. Our findings may lead to a better understanding of the biological effect
of PTTG and provide mechanistic insights for developing potential therapeutic
strategies for inhibiting the invasion and metastasis of breast cancer.
PMID- 27186412
TI - CD19 chimeric antigen receptor (CD19 CAR)-redirected adoptive T-cell
immunotherapy for the treatment of relapsed or refractory B-cell Non-Hodgkin's
Lymphomas.
AB - Recovery rates for B-cell Non-Hodgkin's Lymphoma (NHL) are up to 70% with current
standard-of-care treatments including rituximab (chimeric anti-CD20 monoclonal
antibody) in combination with chemotherapy (R-CHOP). However, patients who do not
respond to first-line treatment or develop resistance have a very poor prognosis.
This signifies the need for the development of an optimal treatment approach for
relapsed/refractory B-NHL. Novel CD19- chimeric antigen receptor (CAR) T-cell
redirected immunotherapy is an attractive option for this subset of patients.
Anti-CD19 CAR T-cell therapy has already had remarkable efficacy in various
leukemias as well as encouraging outcomes in phase I clinical trials of
relapsed/refractory NHL. In going forward with additional clinical trials,
complementary treatments that may circumvent potential resistance mechanisms
should be used alongside anti-CD19 T-cells in order to prevent relapse with
resistant strains of disease. Some such supplementary tactics include
conditioning with lymphodepletion agents, sensitizing with kinase inhibitors and
Bcl-2 inhibitors, enhancing function with multispecific CAR T-cells and CD40
ligand-expressing CAR T-cells, and safeguarding with lymphoma stem cell-targeted
treatments. A therapy regimen involving anti-CD19 CAR T-cells and one or more
auxiliary treatments could dramatically improve prognoses for patients with
relapsed/refractory B-cell NHL. This approach has the potential to revolutionize
B-NHL salvage therapy in much the same way rituximab did for first-line
treatments.
PMID- 27186414
TI - IL-17 induces EMT via Stat3 in lung adenocarcinoma.
AB - Epithelial-mesenchymal transition (EMT) plays a vital role in lung inflammatory
diseases, including lung cancer. However, the role and mechanism of action of the
proinflammatory cytokine IL-17 in EMT in lung adenocarcinoma remain unresolved.
In our study, we discovered that the expression of N-cadherin, Vimentin, Snail1,
Snail2, and Twist1 was positively correlated with IL-17 expression, while E
cadherin expression was negatively correlated with IL-17 expression in human lung
adenocarcinoma tissues. Moreover, we confirmed that IL-17 promoted EMT in A549
and Lewis lung carcinoma (LLC) cells in vitro by upregulating N-cadherin,
Vimentin, Snail1, Snail2, and Twist1 expression and downregulating E-cadherin
expression. Stat3 was activated in IL-17-treated A549 and LLC cells, and Stat3
inhibition or siRNA knockdown notably reduced IL-17-induced EMT in A549 and LLC
cells. Thus, IL-17 promotes EMT in lung adenocarcinoma via Stat3 signaling; these
observations suggest that targeting IL-17 and EMT are potential novel therapeutic
strategies for lung cancer.
PMID- 27186415
TI - Plasma LUNX mRNA, a non-invasive specific biomarker for diagnosis and prognostic
prediction of non-small cell lung cancer.
AB - Lung cancer is the most common cancer worldwide. However, no specific biomarker
has been found in diagnosis and evaluation of therapeutic efficacy for lung
cancer. The human lung-specific X protein gene (LUNX) was recently identified
with a feature of lung tissue specificity. We applied the fluorescent
quantitative polymerase chain reaction method to examine LUNX mRNA in plasma and
peripheral blood mononuclear cells (PBMC) in patients with non-small cell lung
cancer (NSCLC), benign lung diseases, extrapulmonary tumors, and healthy
subjects. The results showed that LUNX mRNA in both of plasma and PBMC were
significantly higher in lung cancer patients compared to other groups. In plasma,
there were higher sensitivity and negative predictive value of LUNX mRNA than in
PBMC. Patients with III~IV stages of lung cancer had more LUNX mRNA in plasma
than the early stage of lung cancer sufferers. After a period of therapy,
significant reductions of plasma LUNX mRNA in patients with I and II stages of
lung cancer were found. Levels of plasma LUNX mRNA in patients who had succeeded
to respond to therapy decreased compared to prior treatment. On the other hand,
the post-treatment level was obviously increased in patients that had failed to
respond to therapy. Patients with negative plasma LUNX mRNA after therapy
displayed a favorable prognosis and survival rate. These preliminary data
suggested that cell-free LUNX mRNA in plasma as a non-invasive biomarker, is
superior to peripheral intracellular LUNX mRNA, and plays a critical role in
specific diagnosis and prognostic prediction of non-small cell lung cancer.
PMID- 27186417
TI - PFKL/miR-128 axis regulates glycolysis by inhibiting AKT phosphorylation and
predicts poor survival in lung cancer.
AB - MicroRNAs (miRNAs) affect cancer cell glucose metabolism by targeting mRNAs of
diverse enzymes that have been implicated in oxidative phosphorylation (OXPHOS)
and glycolytic pathways. However, the mechanisms that underlie miRNA-mediated
regulation of phosphofructokinase (PFK), a key rate-limiting enzyme in
glycolysis, remain largely unknown. Here, we show that miR-128 directly targets
PFK liver type (PFKL) in lung cancer cells and regulates endogenous expression of
PFKL at both the mRNA and protein levels. In line with this, overexpression of
miR-128 decreased glucose uptake and lactate production, as well as increased
cellular ATP content. Interestingly, knockdown of miR-128 was shown to promote
lung cancer cell growth and colony formation. Moreover, we observed that miR-128
expression inversely correlated with PFKL mRNA levels in clinic lung cancer
samples and that increased PFKL expression predicted poor overall survival in
lung cancer patients. Mechanistically, we showed that miR-128 regulates PFKL via
a feedback loop that involves inhibition of the AKT signaling pathway. Together,
our results suggest that miR-128 acts as a metabolic regulator in lung cancer
cells that may be therapeutically exploited.
PMID- 27186416
TI - Mesenchymal stem cell-derived exosomes facilitate nasopharyngeal carcinoma
progression.
AB - Mesenchymal stem cells (MSCs), which are capable of differentiating into multiple
cell types, are reported to exert multiple effects on tumor development. However,
the relationship between MSCs and nasopharyngeal carcinoma (NPC) cells remains
unclear. Exosomes are small membrane vesicles that can be released by several
cell types, including MSCs. Exosomes, which can carry membrane and cytoplasmic
constituents, have been described as participants in a novel mechanism of cell-to
cell communication. In the present study, we investigated the mechanisms
underlying the interaction between MSCs and NPC cells. The data showed that MSCs
secreted 40-100 nm heterogeneous small vesicles, which were defined as exosomes.
Incubation of NPC cells with MSC-derived exosomes resulted in the uptake of
exosomes by the cells, which promoted their proliferation, migration and
tumorigenesis. After an extended treatment duration, the tumor cells showed
morphological changes and significant changes in the expression of epithelial
mesenchymal transition (EMT) markers. Moreover, we found that FGF19 was highly
expressed in MSC-exosomes and that exosomes stimulated NPC progression by
activating the FGF19-FGFR4-dependent ERK signaling cascade and by modulating the
EMT. All of these data indicated that exosomes participate in a novel mechanism
by which MSCs influence NPC progression.
PMID- 27186418
TI - PRAS40 deregulates apoptosis in Ewing sarcoma family tumors by enhancing the
insulin receptor/Akt and mTOR signaling pathways.
AB - EWS expression in Ewing sarcoma family tumors (ESFTs) is decreased due to the
haploinsufficiency elicited by chromosomal translocation. The abnormal expression
levels of EWS and its downstream factors contribute to the manifestation of
ESFTs. Previously, we reported that increased Proline-rich Akt substrate of 40
kDa (PRAS40), which is encoded by an EWS mRNA target, promotes the development of
ESFTs. However, the mechanism remains elusive. To clarify the role of PRAS40 in
ESFTs, we silenced PRAS40 expression in ESFT cells using siRNAs and found
increased levels of terminal deoxynucleotidyl transferase dUTP nick end labeling
(TUNEL)-positive cells. Cleaved caspase 3 levels and cytochrome C release were
increased simultaneously. Furthermore, with PRAS40 knockdown, the phosphorylation
of Akt and mTOR downstream factors, i.e., S6K and S6, was attenuated notably.
Ectopic expression of PRAS40 increased Akt and S6 phosphorylation. Activation of
Akt only partially reversed the apoptosis induced by PRAS40 knockdown, and
downregulation of S6 phosphorylation by PRAS40 silencing could not be
sufficiently restored via Akt activation. Searching the upstream factors in this
pathway, the autophosphorylation of insulin receptor (IR) was found to be
inhibited significantly by PRAS40 silencing but increased by PRAS40
overexpression. Therefore, PRAS40 may enhance IR phosphorylation to facilitate
Akt and mTOR signaling leading to the apoptosis deregulation in ESFTs. Moreover,
in vivo results confirmed that PRAS40 deletion suppressed the growth of ESFT
xenografts and downregulated IR and S6 phosphorylation. Our findings suggest a
novel functioning model for PRAS40, which represents a novel therapeutic target
for ESFTs.
PMID- 27186419
TI - Quercetin induces bladder cancer cells apoptosis by activation of AMPK signaling
pathway.
AB - Quercetin, a natural existing polyphenol compound, has shown anticancer capacity
for liver, breast, nasopharyngeal and prostate carcinoma but has not been
clinically approved yet. This might be due to lack of clear mechanistic picture.
Bladder cancer is one of the most common cancers of the urinary tract in the
world. In China, bladder cancer has the highest rate of incidence out of all
malignancies of the urinary system. The anticancer application of quercetin on
bladder cancer has not been investigated either. This study was aimed to examine
the mechanisms of quercetin on inhibition of bladder cancer. First, two human and
one murine bladder cancer cell lines were tested in vitro for inhibitory
sensitivity by MTT and cologenic assays. Second, AMPK pathway including 4E-BP1
and S6K were examined by western blot. Quercetin induces apoptosis and inhibits
migration. We are the first to show that quercetin displays potent inhibition on
bladder cancer cells via activation of AMPK pathway.
PMID- 27186420
TI - Over expression of hyaluronan promotes progression of HCC via CD44-mediated
pyruvate kinase M2 nuclear translocation.
AB - Hyaluronan is expressed in hepatocellular carcinoma (HCC) as HCC generally arises
from a cirrhotic liver in which excessive production and accumulation of HA leads
to developing cirrhosis. Though it has been suggested HA is involved in
progression of HCC, the mechanisms underlying the connection between HA and HCC
progression are unclear. Since increased aerobic glycolysis is a metabolic trait
of malignant cells and HA-CD44 can modulate glucose metabolism, we aim to
investigate the roles of PKM2, a key enzyme in glucose metabolism, in the HA-CD44
axis facilitated the progress of HCC. We shown PKM2 was required for HA-promoted
HCC progression, which was not modulated by PKM2 kinase activity but by nuclear
translocation of PKM2. PKM2 translocation was Erk (Thr202/Tyr204) phosphorylation
dependent, which functioned at the downstream of HA-CD44 binding. Furthermore,
elevated HA expression significantly correlated with PKM2 nuclear location and
was an independent factors predicting poor HCC prognosis. In conclusions PKM2
nuclear translocation is required for mediating the described HA biological
effects on HCC progression and our results imply that inhibition of HA may have
therapeutic value in treating HCC.
PMID- 27186421
TI - MiR-654-5p attenuates breast cancer progression by targeting EPSTI1.
AB - MicroRNAs (miRNAs) dysregulation is a common event in a variety of human diseases
including breast cancer. However, clinical relevance and biological role of miR
654-5p in the progression of breast cancer remain greatly elusive. Herein, the
expression levels of miR-654-5p were aberrantly downregulated in human breast
cancer specimens and four breast cancer cell lines. Low expression of miR-654-5p
was strongly associated with advanced TNM stage and lymph node metastasis as well
as a poor survival. Functional analysis showed that miR-654-5p overexpression
inhibited cell growth and invasion, and induced cell apoptosis in two aggressive
breast cancer cells. Further studies demonstrated that Epithelial stromal
interaction 1 (EPSTI1) was a direct target gene of miR-654-5p and showed an
inverse correlation with miR-654-5p expression. Forced expression of EPSTI1 could
abrogate the inhibitory effect of miR-654-5p on the growth and invasion of breast
cancer cells as well as apoptosis-induced ability. In conclusion, the present
study highlights that miR-654-5p acts as a tumor suppressor in breast cancer
through directly targeting EPSTI1, and their functional regulation may open a
novel avenue with regard to the therapeutic target for breast cancer.
PMID- 27186422
TI - Successful establishment of patient-derived tumor xenografts from
gastrointestinal stromal tumor-a single center experience.
AB - Patient-derived tumor xenografts (PDTX) generally represent a kind of more
reliable model of human disease, by which a potential drugs' preclinical efficacy
could be evaluated. To date, no stable gastrointestinal stromal tumor (GIST) PDTX
models have been reported. In this study, we aimed to establish stable GIST PDTX
models and to evaluate whether these models accurately reflected the histological
feature of the corresponding patient tumors and create a reliable GIST PDTX
models for our future experiment. By engrafting fresh patient GIST tissues into
immune-compromised mice (BALB/c athymic mice), 4 PDTX models were established.
Histological features were assessed by a qualified pathologist based on H&E
staining, CD117 and DOG-1. We also conduct whole exome sequencing(WES) for the 4
established GIST PDTX models to test if the model still harbored the same
mutation detected in corresponding patient tumors and get a more intensive vision
for the genetic profile of the models we have established, which will help a lot
for our future experiment. To explore the tumorigenesis mechanism for GIST, we
also have a statistical analysis for the genes detected as nonsynchronous-mutated
simultaneously in 4 samples. All 4 GIST PDTX models retained the histological
features of the corresponding human tumors, with original morphology type and
positive stains for CD117 and DOG-1. Between the GIST PDTX models and their
parental tumors, a same mutation site was detected, which confirmed the genetic
consistency. The stability of molecular profiles observed within the GIST PDTX
models provides confidence in the utility and translational significance of these
models for in vivo testing of personalized therapies. To date, we conducted the
first study to successfully establish a GIST PDTX model whose genetic profiles
were revealed by whole exome sequencing. Our experience could be of great use.
PMID- 27186423
TI - Plasma levels of miRNA-155 as a powerful diagnostic marker for dedifferentiated
liposarcoma.
AB - Atypic lipomatous tumors (ALT) and dedifferentiated liposarcomas (DDLS) are
closely related liposarcoma subtypes, often difficult to distinguish but they
exhibit an entirely different clinical outcome. Recently discovered regulatory
functions of miRNAs in liposarcoma progression prompted us to investigate miRNAs
as potential diagnostic biomarkers in liposarcoma with a main focus on
circulating miRNAs for fast and reliable differential diagnosis. Tumor and blood
samples of 35 patients with lipomatous lesions collected between June 2011 and
September 2014 were analyzed by qRT-PCR. They included 10 lipomas, 7 ALT, 5 DDLS
and 13 myxoid liposarcomas (MLS). Ten samples of normal fat tissue and blood from
20 healthy volunteers were used as controls. A meta-analysis of public data on
miRNA expression in liposarcoma revealed 9 miRNAs with potential diagnostic
power. Out of these, miRNA-155 was found significantly elevated in the
circulation of DDLS patients as compared to the plasma levels detected in all
other liposarcoma subtypes and in healthy subjects. miRNA-155 levels in the
plasma samples correlated significantly (r=0.41, p=0.02) with those in
corresponding tumor extracts. This correlation was even more pronounced in an
analysis of plasma and tumor extracts of malignant liposarcoma subtypes alone
(r=0.51, p=0.02). Receiver operating characteristic analysis indicated that
plasma miRNA-155 levels have a high diagnostic accuracy for distinguishing DDLS
from healthy subjects (AUC=0.91, p=0.005) and from lipomas (AUC=0.86, p=0.02),
MLS (AUC=0.92, p=0.006) and most importantly ALT (AUC=0.91, p=0.01) patients. In
conclusion, this study identified miRNA-155 as a first blood biomarker for the
differential diagnosis of DDLS.
PMID- 27186424
TI - DNA methylation of oxidative stress genes and cancer risk in the Normative Aging
Study.
AB - Oxidative stress (OS) is a primary mechanism of carcinogenesis, and methylation
of genes related to it may play a role in cancer development. In this study, we
examined the prospective association between blood DNA methylation of four
oxidative stress genes and cancer incidence. Our study population included a
total of 582 participants in the Normative Aging Study (NAS) who had blood drawn
during 1-4 visits from 1999-2012 (mean follow up 9.0 years). Promoter DNA
methylation of CRAT, iNOS, OGG1 and GCR in blood leukocytes was measured using
pyrosequencing. We used Cox regression models to examine prospective associations
between cancer incidence and both methylation at the baseline visit and
methylation rate of changes over time. Baseline OGG1 methylation was associated
with higher risk of all-cancer (HR: 1.43, 95% CI: 1.15-1.78) and prostate cancer
(HR: 1.52, 95% CI: 1.03-2.25) incidence. Compared with participants remaining
cancer-free, those who eventually developed cancer had significantly accelerated
CRAT methylation (p = 0.04) and decelerated iNOS methylation (p<0.01) over time
prior to cancer diagnosis. Accelerated CRAT methylation was associated with
higher all-cancer incidence (HR: 3.88, 95% CI: 1.06-14.30), whereas accelerated
iNOS methylation was associated with lower all-cancer incidence (HR: 0.08, 95% CI
0.02-0.38). Our results suggest that methylation and its dynamic change over time
in OS-related genes, including OGG1, CRAT and iNOS, may play an important role in
carcinogenesis. These results can potentially facilitate the development of early
detection biomarkers and new treatments for a variety of cancers.
PMID- 27186426
TI - Paradoxical role of SOX2 in gastric cancer.
AB - Sox2 is a critical regulator of embryogenesis and necessary for cellular
reprogramming. It also plays an important role in tissue homeostasis and
regeneration, maintaining the population of undifferentiated adult stem cells.
Like various developmental and stem cell genes, SOX2 is aberrantly expressed and
amplified in several human cancers. Moreover, functional studies have shown that
it regulates many biological processes including cell proliferation, apoptosis,
self-renewal and invasion. While it is oncogenic in most cancers, SOX2 activity
is controversial in gastric cancer, where it might behave as a tumor suppressor
in some situations. In this review, we discuss its role in cancer biology, with
particular attention to what is known about the involvement of SOX2 in gastric
cancer biology.
PMID- 27186425
TI - The life and works of S100P - from conception to cancer.
AB - Since its discovery in 1992, the small, 10.4 kDa calcium-binding protein S100P
has gained the attention of researchers from different scientific fields due to
its potential roles in both healthy and neoplastic tissues. Although not
ubiquitously expressed, in tissues where it is present, S100P is associated with
distinct changes in cellular behaviour. In this review we have summarized the
evolutionary history of S100P, its expression and involvement in implantation and
human embryonic development, as well as important functions in normal tissue and
cancer. Finally, we have demonstrated its pivotal role as a potential diagnostic
and therapeutic target, which opens promising avenues for further fruitful
research on S100P.
PMID- 27186427
TI - Anti-tumor efficacy of BEZ235 is complemented by its anti-angiogenic effects via
downregulation of PI3K-mTOR-HIF1alpha signaling in HER2-defined breast cancers.
AB - Activation of the PI3K-mTOR pathway via HER2: HER3-mediated signaling in HER2+
breast cancers pose one of the major threats towards the success of trastuzumab.
First, trastuzumab cannot perturb survival/proliferative signals following HER2:
HER3 heterodimerization in HER2+ tumor cells. Second, trastuzumab treatment has
been reported to cause drug-mediated resistance in over 50% of HER2+ breast
cancers. We have reported that treatment with an anti-angiogenic drug imparted a
significant anti-tumor advantage when combined with trastuzumab plus pertuzumab
in the trastuzumab-resistant model of HER2+ breast cancers (PMID: 23959459). The
very fact as revealed by our study that an inclusion of anti-angiogenic drug
conferred a significant anti-tumor advantage when combined with dual anti-HER2
therapy clearly indicated a critical and indispensable role of angiogenesis in
these tumors. Hence, we hypothesized that BEZ235 a dual PI3K/mTOR inhibitor will
have an effect on the tumor as well as the angiogenic stromal compartments. In
vitro and in vivo efficacy of BEZ235 was determined in HER2+ trastuzumab
sensitive, trastuzumab-resistant and HER2 amplified/PIK3CA mutated cell lines.
BEZ235 alone and in combination with trastuzumab was tested on the tumor as well
as stromal compartments. AKT-mTOR signal was suppressed following BEZ235
treatment in a concentration and time-dependent manner. AnnexinV, cl-CASPASE3,
SURVIVIN and p-FOXO1 indicated that BEZ235-induced cell death occurred
predominantly via an apoptotic pathway. Heregulin-induced HIF1alpha synthesis was
also significantly decreased. Oncoprint data (cBioPortal) representing PAM50 Her2
enriched tumors (TCGA, Nature 2012) and Her2-positive breast tumors (TCGA, cell
2015) showed 91.4% genetic alterations and 79.2% genetic alterations in a set of
four genes comprised of PIK3CA, ERBB2, VEGFA and HIF1alpha. The co-occurrence of
HIF1alpha with VEGFA in PAM50 Her2 enriched tumors (TCGA, Nature 2012) and the co
occurrence of HIF1alpha with VEGFA pair as well as HIF1alpha with PIK3CA pair in
Her2-positive breast tumors (TCGA, cell 2015) were found statistically
significant. In xenograft models, BEZ235 blocked tumor growth and decreased Ki67,
CD31, p-AKT, p-S6RP, p-4EBP1 IHC-expressions. These decreases were more
pronounced when BEZ235 was combined with trastuzumab in HER2+/trastuzumab
sensitive, trastuzumab-resistant and HER2+/PIK3CA mutated models. We demonstrated
that combined targeting of HER2 and the PI3K-AKT-mTOR pathway is superior to HER2
directed therapy alone. Mechanistically the inhibition of tumor-induced
angiogenesis by BEZ235 caused by the down-regulation of PI3K-mTOR-HIF1alpha
signaling irrespective of the trastuzumab-sensitivity status of HER2+ breast
cancers proving evidence for the first time that the inhibition of angiogenesis
is an important component of the anti-tumor efficacy of BEZ235 in HER2 defined
breast cancers.
PMID- 27186428
TI - A novel microtubule inhibitor, MT3-037, causes cancer cell apoptosis by inducing
mitotic arrest and interfering with microtubule dynamics.
AB - We investigated the anticancer potential of a new synthetic compound, 7-(3
fluorophenyl)-4-methylpyrido-[2,3-d]pyrimidin-5(8H)-one (MT3-037). We found that
MT3-037 effectively decreased the cancer cell viability by inducing apoptosis.
MT3-037 treatments led to cell cycle arrest at M phase, with a marked increase in
both expression of cyclin B1 and cyclin-dependent kinase 1 (CDK1) as well as in
CDK1 kinase activity. Key proteins that regulate mitotic spindle dynamics,
including survivin, Aurora A/B kinases, and polo-like kinase 1 (PLK1), were
activated in MT3-037-treated cells. MT3-037-induced apoptosis was accompanied by
activation of a pro-apoptotic factor, FADD, and the inactivation of apoptosis
inhibitors, Bcl-2 and Bcl-xL, resulting in the cleavage/activation of caspases.
The activation of c-Jun N-terminal kinase (JNK) was associated with MT3-037
induced CDK1 and Aurora A/B activation and apoptosis. Immunofluorescence staining
of tubulin indicated that MT3-037 altered tubulin networks in cancer cells.
Moreover, an in vitro tubulin polymerization assay revealed that MT3-037
inhibited the tubulin polymerization by direct binding to tubulin. Molecular
docking studies and binding site completion assays revealed that MT3-037 binds to
the colchicine-binding site. Furthermore, MT3-037 significantly inhibited the
tumor growth in both MDAMB-468 and Erlotinib-resistant MDA-MB-468 xenograft mouse
models. In addition, MT3-037 inhibited the angiogenesis and disrupted the tube
formation by human endothelial cells. Our study demonstrates that MT3-037 is a
potential tubulin-disrupting agent for antitumor therapy.
PMID- 27186429
TI - Chronic oxidative stress increases the integration frequency of foreign DNA and
human papillomavirus 16 in human keratinocytes.
AB - Cervical cancer is the second most common cancer, and the fourth most common
cause of cancer death in women worldwide. Nearly all of these cases are caused by
high-risk HPVs (HR HPVs), of which HPV16 is the most prevalent type. In most
cervical cancer specimens, HR HPVs are found integrated into the human genome,
indicating that integration is a key event in cervical tumor development. An
understanding of the mechanisms that promote integration may therefore represent
a unique opportunity to intercept carcinogenesis. To begin identifying these
mechanisms, we tested the hypothesis that chronic oxidative stress (OS) induced
by virus- and environmentallymediated factors can induce DNA damage, and thereby
increase the frequency with which HPV integrates into the host genome. We found
that virus-mediated factors are likely involved, as expression of E6*, a splice
isoform of HPV16 E6, increased the levels of reactive oxygen species (ROS),
caused oxidative DNA damage, and increased the frequency of plasmid DNA
integration as assessed by colony formation assays. To assess the influence of
environmentally induced chronic OS, we used L-Buthionine-sulfoximine (BSO) to
lower the level of the intracellular antioxidant glutathione. Similar to our
observations with E6*, glutathione depletion by BSO also increased ROS levels,
caused oxidative DNA damage and increased the integration frequency of plasmid
DNA. Finally, under conditions of chronic OS, we were able to induce and
characterize a few independent events in which episomal HPV16 integrated into the
host genome of cervical keratinocytes. Our results support a chain of events
leading from induction of oxidative stress, to DNA damage, to viral integration,
and ultimately to carcinogenesis.
PMID- 27186431
TI - Prognostic significance of ubiquinol-cytochrome c reductase hinge protein
expression in patients with clear cell renal cell carcinoma.
AB - Ubiquinol-cytochrome c reductase hinge protein (UQCRH), as a connecter between
cytochrome c1 with cytochrome c in complex III of respiratory chain, is top
ranked hypermethylated gene in clear cell renal cell carcinoma (ccRCC). This
study aims to evaluate the impact of UQCRH on recurrence and survival of 424
ccRCC patients enrolled retrospectively from a single institution after surgical
resection using immunohistochemistry method. UQCRH was specifically downregulated
in ccRCC, compared with papillary and chromophobe RCC. Moreover, patients with
low UQCRH were prone to possess high T stage and TNM stage and associated with
poor survival and early recurrence. UQCRH remained an independent favorable
prognosticator for OS (Hazard rate [HR]: 0.510, 95% CI: 0.328-0.795, p=0.003) and
RFS (HR: 0.506, 95% CI: 0.334-0.767, p=0.001) adjusting with other well
established factors using backward Cox model. Furthermore, in stratified
subgroups, patients with low UQCRH had an increased risk of recurrence (HR:
0.452, 95% CI: 0.261-0.783, p=0.005) and mortality (HR: 0.386, 95% CI: 0.205
0.726, p=0.003) in subgroup of early TNM stage. Taken together, UQCRH is a
potential independent favorable prognostic factor for recurrence and survival of
patients with ccRCC after nephrectomy.
PMID- 27186430
TI - ALDH2 modulates autophagy flux to regulate acetaldehyde-mediated toxicity
thresholds.
AB - A polymorphic mutation in the acetaldehyde dehydrogenase 2 (ALDH2) gene has been
epidemiologically linked to the high susceptibility to esophageal carcinogenesis
for individuals with alcohol use disorders. Mice subjected to alcohol drinking
show increased oxidative stress and DNA adduct formation in esophageal epithelia
where Aldh2 loss augments alcohol-induced genotoxic effects; however, it remains
elusive as to how esophageal epithelial cells with dysfunctional Aldh2 cope with
oxidative stress related to alcohol metabolism. Here, we investigated the role of
autophagy in murine esophageal epithelial cells (keratinocytes) exposed to
ethanol and acetaldehyde. We find that ethanol and acetaldehyde trigger oxidative
stress via mitochondrial superoxide in esophageal keratinocytes. Aldh2-deficient
cells appeared to be highly susceptible to ethanol- or acetaldehyde-mediated
toxicity. Alcohol dehydrogenase-mediated acetaldehyde production was implicated
in ethanol-induced cell injury in Aldh2 deficient cells as ethanol-induced
oxidative stress and cell death was partially inhibited by 4-methylpyrazole.
Acetaldehyde activated autophagy flux in esophageal keratinocytes where Aldh2
deficiency increased dependence on autophagy to cope with ethanol-induced
acetaldehyde-mediated oxidative stress. Pharmacological inhibition of autophagy
flux by chloroquine stabilized p62/SQSTM1, and increased basal and acetaldehyde
mediate oxidative stress in Aldh2 deficient cells as documented in monolayer
culture as well as single-cell derived three-dimensional esophageal organoids,
recapitulating a physiological esophageal epithelial proliferation
differentiation gradient. Our innovative approach indicates, for the first time,
that autophagy may provide cytoprotection to esophageal epithelial cells
responding to oxidative stress that is induced by ethanol and its major
metabolite acetaldehyde. Defining autophagymediated cytoprotection against
alcohol-induced genotoxicity in the context of Aldh2 deficiency, our study
provides mechanistic insights into the tumor suppressor functions of ALDH2 and
autophagy in alcohol-related esophageal carcinogenesis.
PMID- 27186432
TI - M2698 is a potent dual-inhibitor of p70S6K and Akt that affects tumor growth in
mouse models of cancer and crosses the blood-brain barrier.
AB - Dysregulated PI3K/Akt/mTOR (PAM) pathway signaling occurs in ~30% of human
cancers, making it a rational target for new therapies; however, the
effectiveness of some PAM pathway inhibitors, such as mTORC rapalogs, may be
compromised by a compensatory feedback loop leading to Akt activation. In this
study, the p70S6K/Akt dual inhibitor, M2698 (previously MSC2363318A), was
characterized as a potential anti-cancer agent through examination of its
pharmacokinetic, pharmacodynamic and metabolic properties, and anti-tumor
activity. M2698 was highly potent in vitro (IC50 1 nM for p70S6K, Akt1 and Akt3
inhibition; IC50 17 nM for pGSK3beta indirect inhibition) and in vivo (IC50 15 nM
for pS6 indirect inhibition), and relatively selective (only 6/264 kinases had an
IC50 within 10-fold of p70S6K). Orally administered M2698 crossed the blood-brain
barrier in rats and mice, with brain tumor exposure 4-fold higher than non
disease brain. Dose-dependent inhibition of target substrate phosphorylation was
observed in vitro and in vivo, indicating that M2698 blocked p70S6K to provide
potent PAM pathway inhibition while simultaneously targeting Akt to overcome the
compensatory feedback loop. M2698 demonstrated dose-dependent tumor growth
inhibition in mouse xenograft models derived from PAM pathway-dysregulated human
triple-negative (MDA-MB-468) and Her2-expressing breast cancer cell lines (MDA-MB
453 and JIMT-1), and reduced brain tumor burden and prolonged survival in mice
with orthotopically implanted U251 glioblastoma. These findings highlight M2698
as a promising PAM pathway inhibitor whose unique mechanism of action and
capacity to pass the blood-brain barrier warrant clinical investigation in
cancers with PAM pathway dysregulation, and those with central nervous system
involvement.
PMID- 27186433
TI - Bruceine D induces apoptosis in human chronic myeloid leukemia K562 cells via
mitochondrial pathway.
AB - Chronic myeloid leukemia (CML), an acquired malignant myeloproliferative disorder
of hematopoietic stem cells, is one of the three most common forms of leukemia.
In this study, we investigated the effects of bruceine D, which have been
isolated from Brucea javanica (L.) Merr. on human chronic myeloid leukemia K562
cells. MTT assay was used to evaluate cell growth inhibition. Flow cytometry was
performed to analyze mitochondrial membrane potential (DeltaPsim). Western blot
was applied to detect expression of cytochrome c, caspases-9, -3, PARP and other
proteins. Bruceine D exhibited potent cytotoxicity to K562 cells with IC50 of
6.37 +/- 0.39 MUM. It led to loss of DeltaPsim, release of cytochrome c,
activation of caspases-9, -3 and cleavage of PARP, which suggested that bruceine
D induced apoptosis of K562 cells through mitochondrial pathway. In addition,
bruceine D inhibited the phosphorylation of AKT and ERK. It's indicative that the
potent anticancer activity of bruceine D be related to MAPK and PI3K pathways.
PMID- 27186435
TI - In vitro and in vivo antiangiogenic activity of desacetylvinblastine
monohydrazide through inhibition of VEGFR2 and Axl pathways.
AB - Tumor angiogenic process is regulated by multiple proangiogenic pathways, such as
vascular endothelial growth factor receptor 2 (VEGFR2) and Axl receptor tyrosine
kinase (Axl). Axl is one of many important factors involved in anti-VEGF
resistance. Inhibition of VEGF/VEGFR2 signaling pathway alone fails to block
tumor neovascularization. Therefore, discovery of novel agents targeting multiple
angiogenesis pathways is in demand. Desacetylvinblastine monohydrazide (DAVLBH),
a derivative of vinblastine (VLB), has been reported exhibit an anticancer
activity via its cytotoxic effect. However, little attention has been paid to the
antiangiogenic properties of DAVLBH. Here, we firstly reported that DAVLBH
exerted a more potent antiangiogenic effect than VLB in vitro and in vivo, which
was associated with inactivation of VEGF/VEGFR2 and Gas6/Axl signaling pathways.
We found that DAVLBH inhibited VEGF- and Gas6-induced HUVECs proliferation,
migration, tube formation and vessel sprouts formation in vitro and ex vivo. It
significantly inhibited in vivo tumor angiogenesis and tumor growth in HeLa
xenografts. It also inhibited Gas6-induced pericytes recruitment to endothelial
tubes accompanied with a decrease in expression and activation of Axl. Besides,
it could block the compensatory up-regulating expression and activation of Axl in
response to bevacizumab treatment in HUVECs. Taken together, our results suggest
that DAVLBH potently inhibits angiogenesis-mediated tumor growth through blockage
of the activation of VEGF/VEGFR2 and Gas6/Axl pathways and it might serve as a
promising antiangiogenic agent for the cancer therapy.
PMID- 27186437
TI - Erratum: Upregulation of H19 indicates a poor prognosis in gallbladder carcinoma
and promotes epithelial-mesenchymal transition.
AB - [This corrects the article on p. 15 in vol. 6, PMID: 27073719.].
PMID- 27186434
TI - Regulation of therapeutic resistance in cancers by receptor tyrosine kinases.
AB - In response to DNA damage lesions due to cellular stress, DNA damage response
(DDR) pathways are activated to promote cell survival and genetic stability or
unrepaired lesion-induced cell death. Current cancer treatments predominantly
utilize DNA damaging agents, such as irradiation and chemotherapy drugs, to
inhibit cancer cell proliferation and induce cell death through the activation of
DDR. However, a portion of cancer patients is reported to develop therapeutic
resistance to these DDR-inducing agents. One significant resistance mechanism in
cancer cells is oncogenic kinase overexpression, which promotes cell survival by
enhancing DNA damage repair pathways and evading cell cycle arrest. Among the
oncogenic kinases, overexpression of receptor tyrosine kinases (RTKs) is reported
in many of solid tumors, and numerous clinical trials targeting RTKs are
currently in progress. As the emerging trend in cancer treatment combines DNA
damaging agents and RTK inhibitors, it is important to understand the substrates
of RTKs relative to the DDR pathways. In addition, alteration of RTK expression
and their phosphorylated substrates can serve as biomarkers to stratify patients
for combination therapies. In this review, we summarize the deleterious effects
of RTKs on the DDR pathways and the emerging biomarkers for personalized therapy.
PMID- 27186436
TI - Tumour-specific metabolic adaptation to acidosis is coupled to epigenetic
stability in osteosarcoma cells.
AB - The glycolytic-based metabolism of cancers promotes an acidic microenvironment
that is responsible for increased aggressiveness. However, the effects of
acidosis on tumour metabolism have been almost unexplored. By using capillary
electrophoresis with time-of-flight mass spectrometry, we observed a significant
metabolic difference associated with glycolysis repression (dihydroxyacetone
phosphate), increase of amino acid catabolism (phosphocreatine and glutamate) and
urea cycle enhancement (arginino succinic acid) in osteosarcoma (OS) cells
compared with normal fibroblasts. Noteworthy, metabolites associated with
chromatin modification, like UDP-glucose and N(8)-acetylspermidine, decreased
more in OS cells than in fibroblasts. COBRA assay and acetyl-H3 immunoblotting
indicated an epigenetic stability in OS cells than in normal cells, and OS cells
were more sensitive to an HDAC inhibitor under acidosis than under neutral pH.
Since our data suggest that acidosis promotes a metabolic reprogramming that can
contribute to the epigenetic maintenance under acidosis only in tumour cells, the
acidic microenvironment should be considered for future therapies.
PMID- 27186439
TI - Prognostic value of FDG PET/CT-based metabolic tumor volumes in metastatic triple
negative breast cancer patients.
AB - FDG PET/CT-based measures of tumor burden show promise to predict survival in
patients with metastatic breast cancer, but the patient populations studied so
far are heterogeneous. The reports may have been confounded by the markedly
different prognosis of the various subtypes of breast cancer. The purpose of this
study is to evaluate the correlation between tumor burden on FDG PET/CT and
overall survival (OS) in patients within a defined population: metastatic triple
negative breast cancer (MTNBC). FDG PET/CT scans of 47 consecutive MTNBC patients
(54+/-12 years-old) with no other known malignancies were analyzed. A total 393
lesions were identified, and maximum standardized uptake value (SUVmax), mean
SUV, metabolic tumor volume (MTV), total lesion number (TLN) and total lesion
glycolysis (TLG), were measured and correlated with patient survival by Mantel
Cox tests and Cox regression analysis. At a median follow-up time of 12.4 months,
41 patients died with a median OS of 12.1 months. Patients with MTV less than
51.5 ml lived nearly three times longer (22 vs 7.1 months) than those with a
higher MTV (chi(2)=21.3, P<0.0001). In a multivariate Cox regression analysis
only TLN and MTV were significantly correlated with survival. Those with an MTV
burden in the 75(th) percentile versus the 25(th) percentile had a hazard ratio
of 6.94 (p=0.001). In patients with MTNBC, MTV appears to be a strong prognostic
factor. If validated in prospective studies, MTV may be a valuable tool for risk
stratification of MTNBC patients in clinical trials and to guide patient
management.
PMID- 27186438
TI - PET imaging of in vivo caspase-3/7 activity following myocardial ischemia
reperfusion injury with the radiolabeled isatin sulfonamide analogue [(18)F]WC-4
116.
AB - The utility of [(18)F]WC-4-116, a PET tracer for imaging caspase-3 activation,
was evaluated in an animal model of myocardial apoptosis. [(18)F]WC-4-116 was
injected into rats at 3 hours after a 30 min period of ischemia induced by
temporary occlusion of the left anterior descending coronary artery in Sprague
Dawley rats. [(18)F]WC-4-116 uptake was quantified by 1) autoradiography, 2)
microPET imaging studies, and 3) post-PET biodistribution studies. MicroPET
imaging also assessed uptake of the non-caspase-3-targeted tracer [(18)F]ICMT-18
at 3 hours postischemia. Enzyme assays and Western blotting assessed caspase-3
activation in both at-risk and not-at-risk regions. Caspase-3 enzyme activity
increased in the at-risk but not in the not-at-risk myocardium. Quantitative
autoradiographic analysis of [(18)F]WC-4-116 demonstrated nearly 2-fold higher
uptake in the ischemia-reperfusion (IR) versus sham animals. [(18)F]WC-4-116
microPET imaging studies demonstrated that the IR animals was similarly elevated
in relation to sham. [(18)F]ICMT-18 uptake did not increase in at-risk myocardium
despite evidence of caspase-3 activation. Biodistribution studies with [(18)F]WC
4-116 confirmed the microPET findings. These data indicate that the caspase-3-PET
tracer [(18)F]WC-4-116 can noninvasively image in vivo caspase activity during
myocardial apoptosis and may be useful for clinical imaging in humans.
PMID- 27186440
TI - What role for radiobiphosphonates bone scintigraphy in the monitoring of an
unusual bone giant cell tumor: a case report and literature review.
AB - We report the case of 24 years old female patient, followed since ten years ago
for bone giant cell tumor (GCT) of the right knee, which was complicated by
pulmonary metastases. Surgical treatment and pulmonary metastasectomies have not
allowed definitive cure of this disease with the appearance of metachronous bone
lesions after eight years of evolution. The literature review confirms the
originality of this observation: the age of the patient, the initial and
metastasis locations and the occurrence of lung metastases with unfavorable
prognosis. Through this clinical case, the authors highlight the role of
radiobiphosphonates bone scintigraphy in detecting synchronous or metachronous
bone lesions, and in monitoring of these locations under medical treatment.
PMID- 27186441
TI - Good manufacturing practice production of [(68)Ga]Ga-ABY-025 for HER2 specific
breast cancer imaging.
AB - Therapies targeting human epidermal growth factor receptor type 2 (HER2) have
revolutionized breast cancer treatment, but require invasive biopsies and
rigorous histopathology for optimal patient stratification. A non-invasive and
quantitative diagnostic method such as positron emission tomography (PET) for the
pre-therapeutic determination of the presence and density of the HER2 would
significantly improve patient management efficacy and treatment cost. The
essential part of the PET methodology is the production of the
radiopharmaceutical in compliance with good manufacturing practice (GMP). The use
of generator produced positron emitting (68)Ga radionuclide would provide
worldwide accessibility of the agent. GMP compliant, reliable and highly
reproducible production of [(68)Ga]Ga-ABY-025 with control over the product
peptide concentration and amount of radioactivity was accomplished within one
hour. Two radiopharmaceuticals were developed differing in the total peptide
content and were validated independently. The specific radioactivity could be
kept similar throughout the study, and it was 6-fold higher for the low peptide
content radiopharmaceutical. Intrapatient comparison of the two peptide doses
allowed imaging optimization. The high peptide content decreased the uptake in
healthy tissue, in particular liver, improving image contrast. The later imaging
time points enhanced the contrast. The combination of high peptide content
radiopharmaceutical and whole-body imaging at 2 hours post injection appeared to
be optimal for routine clinical use.
PMID- 27186443
TI - In vivo injection of alpha-bungarotoxin to improve the efficiency of motor
endplate labeling.
AB - INTRODUCTION: Motor endplates are composed of a motor neuron terminal and muscle
fiber and are distributed in skeletal muscle, causing muscle contraction.
However, traditional motor endplate staining methods are limited to the
observation of partial skeletal muscle. The procedure was time-consuming due to
strict incubation conditions, and usually provided unsatisfactory results. We
explored a novel method to label motor endplate rapidly by in vivo injection of
fluorescent alpha-bungarotoxin. METHODS: Fifty-two mice were randomly divided
into two groups, an experiment group (n = 50), and a contrast group (n = 2). In
experiment group, alpha-bungarotoxin was injected via the caudal vein. The
injection dosages were designated as 0.1, 0.2, 0.3, 0.4, and 0.5 MUg/g. The
experimental mice were divided into five subgroups of ten mice per group. The
contrast group was only injected with 200 MUL normal saline solution. Bilateral
gastrocnemius were acquired for microscope analysis and optical clearing to seek
specific fluorescent signal. RESULTS: A dose of 0.3 MUg/g of alpha-bungarotoxin
with 1 h conjugation time could display the number and structure of motor
endplate in plane view. Compared with the traditional procedure, this method was
rapid, convenient, and time-saving. Combined with the optical clearing technique,
spatial distribution could also be seen, helping to better understand the
stereoscopic view of motor endplate position in skeletal muscle. CONCLUSIONS: In
vivo injection of alpha-bungarotoxin proved effective for studying motor endplate
in skeletal muscle.
PMID- 27186442
TI - Is peripheral paraneoplastic neurological syndrome possible in primary brain
tumors?
AB - INTRODUCTION: Systemic malignant diseases cause the induction of autoimmunity,
for example, paraneoplastic syndromes. There are no proofs of paraneoplastic
syndromes in primary brain tumors. The aim of the study was to evaluate the
involvement of the peripheral nervous system, together with an assessment of
onconeuronal and antineural antibodies as indicators of humoral immune response
against nervous system in patients with primary brain tumors. MATERIALS AND
METHODS: Clinical examinations, electrophysiological studies of peripheral nerves
(motor and sensory conduction velocity studies, conduction velocity distribution
tests, thermal and vibratory quantitative sensory tests, and sympathetic skin
response tests) and muscles, blood sampling collection (assessment of
onconeuronal, and antineural antibodies) were performed on 33 patients with newly
recognized primary brain tumors within 2-4 days after their admission to our
department. RESULTS: We revealed statistically significant changes of peripheral
nerves, more pronounced in the peroneal nerve in standard and conduction velocity
distribution tests, as well as in sympathetic skin responses. We revealed
significantly higher vibratory thresholds, and pain thresholds for cold and warm
in the upper and lower limbs in the study group than in the controls. In five
patients, we have identified anti-neuroendothelium, anti-GFAP, anti-MAG, anti
PCNA, and anti-Ro52 antibodies. CONCLUSIONS: In patients with primary brain
tumors, electrophysiological changes in peripheral nerves, together with the
presence of the antineural antibodies suggest an autoimmune humoral response, and
make the diagnosis of paraneoplastic neurological syndrome possible.
PMID- 27186444
TI - Prognostic significance of carotid and vertebral ultrasound in ischemic stroke
patients.
AB - OBJECTIVES: The ultrasound investigation of carotid and vertebral arteries is
routinely performed in stroke patients to determine the etiopathogenetic
classification and possible need of revascularization. However, the medium and
long-term prognostic implications of carotid and vertebral ultrasound in ischemic
stroke patients are not yet known. METHODS: This study included 309 ischemic
stroke patients (mean age 76.3; 160 men). They all had undergone carotid and
vertebral ultrasound (carotid stenoses were measured according to the European
Carotid Surgery Trial [ECST] method). After a median interval of 9.4 months, a
telephone follow-up was performed to determine their outcome. Dependency or death
(modified Rankin scale-mRS >2) and all cause mortality were the study end-points.
RESULTS: At follow-up, 158 patients had a mRS >2. In multivariate analysis, of 13
variables univariately predictive of dependency or death, only National
Institutes of Health Stroke Scale (NIHSS) score (P < 0.0001), age (P < 0.0001)
and ipsi- or contralateral carotid stenosis >=60% (O.R. 3.5, 95% C.I. 1.5-8.6, P
= 0.006) remained associated with a mRS >2. Sixty-nine patients had died. In a
Cox proportional hazards regression, of 10 variables univariately predictive of
mortality, only NIHSS score (P < 0.0001), age (P = 0.003), total anterior
circulation syndrome (P = 0.004), vertebral Doppler abnormalities (O.R. 2.2, 95%
C.I. 1.3-3.6, P = 0.006), male sex (P = 0.02), and hypercholesterolemia (P =
0.04, inverse relationship) remained associated with mortality. CONCLUSIONS: In
stroke patients, carotid stenoses >=60%, ipsi- or contralateral to cerebral
lesions, were associated with an increased medium and long-term probability of
dependency or death, and abnormalities of vertebrobasilar flow were a significant
indicator of death risk, independent of stroke severity and age.
PMID- 27186445
TI - Ventilation-Based Decellularization System of the Lung.
AB - The demand for donated organs greatly exceeds the availability. Alternatives to
organ donation, such as laboratory-engineered organs, are therefore being
developed. One approach is to decellularize the organ and reseed it with selected
cells, ideally from the organ recipient. Organ decellularization has typically
been attempted by the administration of detergents into vessels such as the
portal vein in the liver. However, in the case of the lung, the airway provides
another potential administration route, because it has a wide contact area
between cells and detergents in the tracheal tree and alveoli. In the present
study, we introduce a novel ventilation-based decellularization system for the
lung and compare its efficacy to ordinary decellularization systems administering
detergent through the pulmonary artery. Rat lungs were decellularized using 500
mL of 3-[(3-cholamidopropyl) dimethylammonio]-1-Propanesulfonate (CHAPS)
decellularization solution administrated through the pulmonary artery (vessel
group) or through the trachea (airway group). The vessel group was infused CHAPS
solution using a gravitational pressure head of 20 cmH2O. The airway group was
infused with the detergent using negative pressure and positive end-expiratory
pressure, for a volume 10cc with each inspiration in a bioreactor. Pathological
and immunohistochemical findings indicated that components of the extracellular
matrix (ECM), including proteoglycans, elastic fibers, fibronectin, and laminin,
were more decreased in the airway group than in the vessel group. Western blot
analysis showed that MHC class I antigen and beta-actin were not detected in both
decellularized groups. A collagen assay showed that collagen was 70% preserved in
both groups compared to native lung. Glycosaminoglycan (GAG) and DNA assays
showed that GAG and DNA contents were strongly diminished in both decellularized
groups, but those contents were smaller in the airway group than in the vessel
group. Accordingly, the alveolar wall was thinner on electron microscopy, and DNA
remnants were not observed in the airway group. Infusion of red blood cells
indicated that capillary walls were preserved without blood leakage in both
groups. In conclusion, we describe a novel approach for decellularization through
the airway that represents a more stringent method for both DNA and ECM removal,
with capillary wall preservation.
PMID- 27186446
TI - Novel Links between Troubled Marriages and Appetite Regulation: Marital Distress,
Ghrelin, and Diet Quality.
AB - Distressed marriages enhance risk for health problems; appetite dysregulation is
one potential mechanistic pathway. Research suggests that ghrelin and leptin,
appetite-relevant hormones connected to shorter and longer-term energy balance,
may differentially affect people with a higher versus lower body mass index
(BMI). During this double-blind randomized crossover study, both members of a
couple (N=86 participants) ate a standardized meal at the beginning of two
visits. Observational recordings of a marital conflict assessed marital distress.
Ghrelin and leptin were sampled pre-meal and post-meal at 2, 4, and 7 hours. Diet
quality was measured using the USDA 24-Hour Multiple-Pass Approach. People in
more distressed marriages had higher post-meal ghrelin (but not leptin) and a
poorer quality diet than those in less distressed marriages, but only among
participants with a lower BMI. These effects were consistent for both spouses.
Ghrelin and diet quality may link marital distress to its corresponding negative
health effects.
PMID- 27186447
TI - Molecular Imaging in Genetic Medicine.
AB - The field of biomedical imaging has made significant advances in recent times.
This includes extremely high-resolution anatomic imaging and functional imaging
of physiologic and pathologic processes as well as novel modalities in optical
imaging to evaluate molecular features within the cellular environment. The
latter has made it possible to image phenotypic markers of various genotypes that
are implicated in human development, behavior, and disease. This article
discusses the role of molecular imaging in genetic and precision medicine.
PMID- 27186448
TI - Demographics of Lower Limb Amputations in the Pakistan Military: A Single Center,
Three-Year Prospective Survey.
AB - INTRODUCTION : The Pakistan military has been actively engaged in the war against
terror for more than a decade. Many officers and soldiers have lost their limbs
in this war. But the data on traumatic lower limb amputations in Pakistan is
sparse. The aim of this study is to prospectively document the epidemiological
profile of lower limb military amputees presenting at the largest rehabilitation
centre of Pakistan over a three-year period. MATERIALS & METHODS : A prospective
three-year survey was conducted at the Armed Forces Institute of Rehabilitation
Medicine (AFIRM), Pakistan. One hundred twenty-three consecutive patients with
lower limb amputations were enrolled in the survey. The demographic data,
etiology, associated injuries, complications profile, and type of prosthesis
provided were documented. The data analysis was done using the statistical
analysis tool SPSS V 20 (IBM(r),NY, USA). RESULTS : All patients were male. Most
had traumatic amputation (119), were between 20-40 years (106), with unilateral
amputation (115). Mine blast injury was the leading cause in 73 (59.3%) and most
(58.5%) were fitted with modular prosthesis. Transtibial amputation was the
commonest level (65), followed by transfemoral (30). The time of surgical
amputation was not documented in 87% of the patients. Half of the patients (54%)
had associated injuries. Seventy-nine patients had at least one complication with
phantom pain being the commonest in 25% cases. CONCLUSIONS : This is the largest
prospective demographic survey of lower limb amputees in Pakistan military to
date. Scores of soldiers and civilians in Pakistan have suffered lower limb
amputation. The availability of demographic data can improve the trauma and
rehabilitation services for better understanding and management of such cases.
There is a need to conduct large scale community-based epidemiological surveys to
direct future policies and develop amputee rehabilitation services in the public
sector.
PMID- 27186449
TI - Patterns of Care and Outcomes of Adjuvant Radiotherapy for Meningiomas: A
Surveillance, Epidemiology, and End Results and Medicare Linked Analysis.
AB - BACKGROUND: The role of adjuvant stereotactic radiosurgery (SRS) and fractionated
radiotherapy (XRT) are unknown in patients with resected meningiomas. OBJECTIVE:
To identify patterns of care and outcomes of adjuvant radiotherapy for
meningiomas in the Linked Surveillance, Epidemiology, and End Results (SEER)
Medicare data. METHODS: A total of 1,964 patients older than 66 years included in
the SEER-Medicare data, who were diagnosed with meningioma, and underwent
craniotomy were included for analysis. RESULTS: Patients were less likely to
receive adjuvant therapy if they were older than 75 (OR 0.730, 95% CI 0.548
0.973), female sex (OR 0.731, 95% CI 0.547-0.978), or unmarried (OR 0.692, 95% CI
0.515-0.929). Patients were more likely to receive adjuvant treatment for Grade
II/III tumors (OR 5.586, 95% CI 2.135-13.589), tumors over 5 cm (OR 1.850, 95% CI
1.332-2.567), or partial resection (OR 3.230, 95% CI 2.327-4.484). Yearly between
2000 and 2009, 10.65 - 19.77% of patients received adjuvant therapy. Although no
survival benefit was seen with the addition of adjuvant therapy (p = 0.1236), the
subgroup of patients receiving SRS had a decreased risk of death compared to
those receiving surgery alone (aHR 0.544, 95% CI 0.318 - 0.929). CONCLUSION:
Utilization of adjuvant XRT and SRS remained stable between 2000 and 2010. Male
sex, young age, marriage, partial resection, Grade II/III tumors, and large
tumors predicted the use of adjuvant therapy. For all patients, SRS decreased the
risk of death compared to craniotomy alone.
PMID- 27186450
TI - Sweet Syndrome Associated with Upper Respiratory Infection and Amoxicillin Use.
AB - Sweet syndrome (acute febrile neutrophilic dermatosis) is an uncommon
dermatologic eruption characterized by acute onset of painful papules, plaques or
nodules on the skin that are red, blue, or violaceous in color. It has been
associated with various infections, medications, and malignancies. Here we report
the case of a middle-aged male who presents with Sweet syndrome after an upper
resipiratory infection and while using amoxicillin. We also review the diagnostic
criteria, laboratory testing, and treatment options.
PMID- 27186452
TI - Fetal MRI as Complementary Study of Congenital Cystic Adenomatoid Malformation
During Pregnancy: A Single Case Report.
AB - Fetal lung masses are rare findings in prenatal ultrasound scanning in general
population, of which congenital cystic adenomatoid malformation is the most
commonly diagnosed type. This paper reports a single case of congenital cystic
adenomatoid malformation detected at our hospital and the subsequent clinical
follow-up using ultrasound scanning and fetal magnetic resonance imaging.
PMID- 27186451
TI - Standardized Photographic Views in Oculoplastic Surgery: How to Capture Quality
Images Outside a Photographic Studio.
AB - Purpose The aim of this paper is to demonstrate fundamental photographic
techniques and standardized views in oculoplastic disease and surgery outside of
a photographic studio. Methods A Canon EOS 60D digital single lens reflex (DSLR)
camera, which was fitted with a Canon EF-S 60 mm USM macro lens, was used to
photograph the subject. A Canon MR-14EX Macro Ringlite was used to illuminate the
subject. Informed written consent was obtained for publication of the photographs
used in this study. The photographs were taken in an ophthalmology clinic using
standardized photographic settings at various magnification ratios. The
magnification ratios were recalibrated and adjusted to accommodate the smaller
sensor size in-line with standardized medical photography guidelines. Results We
present a series of core views for various oculoplastic and orbital disease
presentations. Conclusions It is possible to capture quality standardized digital
photographs in a busy clinical environment without the need for a dedicated
photographic studio.
PMID- 27186453
TI - Frameless Stereotactic Radiosurgery, a Feasible Alternative to the Frame-Based
Technique for the Treatment of Refractory Trigeminal Neuralgia.
AB - Classic trigeminal neuralgia (TN) causes severe facial pain. Several treatment
options exist for classic TN refractory to medical therapy, including
stereotactic radiosurgery (SRS). Most studies in the medical literature used a
frame-based SRS technique. Improvements in linear accelerator-based treatment
systems and image guidance have led to the use of frameless SRS as a safe and
feasible alternative to the frame-based technique for the treatment of refractory
TN. We present a case of refractory TN successfully treated with frameless SRS.
PMID- 27186455
TI - Comparison of net ecosystem carbon exchange estimation in a mixed temperate
forest using field eddy covariance and MODIS data.
AB - Quantification of net ecosystem carbon exchange (NEE) between the atmosphere and
vegetation is of great importance for regional and global studies of carbon
balance. The eddy covariance technique can quantify carbon budgets and the
effects of environmental controls for many forest types across the continent but
it only provides integrated CO2 flux measurements within tower footprints and
need to be scaled up to large areas in combination with remote sensing
observations. In this study we compare a multiple-linear regression (MR) model
which relates enhanced vegetation index and land surface temperature derived from
the moderate resolution imaging spectroradiometer (MODIS), and photosynthetically
active radiation with the site-level NEE, for estimating carbon flux exchange
between the ecosystem and the environment at the deciduous-dominated Harvard
Forest to three other methods proposed in the literature. Six years (2001-2006)
of eddy covariance and MODIS data are used and results show that the MR model has
the best performance for both training (2001-2004, R (2) = 0.84, RMSE = 1.33 g
Cm(-2) day(-1)) and validation (2005-2006, R (2) = 0.76, RMSE = 1.54 g Cm(-2)
day(-1)) datasets comparing to the other ones. It provides the potential to
estimate carbon flux exchange across different ecosystems at various time
intervals for scaling up plot-level NEE of CO2 to large spatial areas.
PMID- 27186456
TI - Effects of the seasonal flooding on riparian soil seed bank in the Three Gorges
Reservoir Region: a case study in Shanmu River.
AB - INTRODUCTION: The water-level fluctuation in the Three Gorges Reservoir Region
has changed dramatically as a result of the hydroelectric project for flood
control and power generation. The riparian seasonal hydrological environment also
has changed from summer flooding with winter drought to summer drought with
winter flooding. The changes of riparian seed bank and vegetation were
investigated to determine the effects of the seasonal flooding on the composition
and spatial distribution of riparian soil seed bank and the similarity of seed
bank to standing vegetation. CASE DESCRIPTION: We conducted intensive riparian
soil sampling (525 samples) along altitude gradient in the Shanmu River, a
tributary of the Yangzi River in the reservoir region of China. Seed bank
density, species richness and composition of soil seed bank were examined using
the seedling-emergence method. The seasonal hydrological conditions resulted in a
decrease in species diversity and an increase in the distribution heterogeneity
of the soil seed bank. The soil seed bank was composed of 48 species from 22
families and 40 genera. Most species were annual and perennial herbaceous
Polygonaceae, Asteraceae, and Poaceae. Rumex dentatus was the predominant species
accounting for 27.0 % of the total seeds. Diversity and composition of the seed
bank changed along an altitude gradient and soil depth. Maximum species richness
was found in the top soil layer at 165 m and 175 m above sea level. The mean
overall seed density of the soil seed bank was 13,475.3 ind m(-2). Density and
the number of seeds increased initially and then decreased with increased
altitude. Maximum seed density (22,500.2 ind m(-2)) was found at 165 m above sea
level in the intermediately flooded riverbank, with the seed number accounting
for 27.8 % of the total soil seed bank. Average seed density declined
significantly with soil depth. The similarity of seed bank to standing vegetation
was relatively high. DISCUSSION AND EVALUATION: The environmental heterogeneity
created by the wide range and seasonal flooding led to the changes in
biodiversity and seed density along altitude gradient. The seasonal flooding also
led to the increase in the similarity of seed bank to standing vegetation as
their composition both degraded. CONCLUSIONS: The seasonal flooding due to the
dam reshape the composition and spatial distribution of riparian soil seed bank
and limit the vegetation to a grassland dominated by a few annuals and perennials
in the Three Gorges Reservoir Region.
PMID- 27186454
TI - Proximal tibial reconstruction with auto transplantation of the fibular growth
plate: two case reports, describing the surgical technique.
AB - INTRODUCTION: Tumors of the proximal tibia, in children, can affect the growth
plate and pose a challenge to further reconstruction of the bone defects
resulting from tumor resection. Reconstruction methods do not always compensate
the potential for bone growth in this segment. We present a new surgical
technique of bone reconstruction, based on the transposition of the ipsilateral
fibula with its growth plate and the use of an internal sliding fixation device,
without need for microsurgical technique. CASE DESCRIPTION: We report two
patients with osteosarcoma of the proximal tibia affecting the growth cartilage
who were treated with the new technique. DISCUSSION AND EVALUATION: In both
cases, bone healing, hypertrophy and longitudinal growth of the transposed fibula
were documented. CONCLUSIONS: This new technique preserves the blood supply of
the auto-transplanted bone segment, maintaining physeal growth potential, with no
need for microsurgery. The implant allows longitudinal bone growth, which was
radiographically confirmed. LEVEL OF EVIDENCE: Case report, Level IV.
PMID- 27186457
TI - Solving multi-customer FPR model with quality assurance and discontinuous
deliveries using a two-phase algebraic approach.
AB - A multi-customer finite production rate (FPR) model with quality assurance and
discontinuous delivery policy was investigated in a recent paper (Chiu et al. in
J Appl Res Technol 12(1):5-13, 2014) using differential calculus approach. This
study employs mathematical modeling along with a two-phase algebraic method to
resolve such a specific multi-customer FPR model. As a result, the optimal
replenishment lot size and number of shipments can be derived without using the
differential calculus. Such a straightforward method may assist practitioners who
with insufficient knowledge of calculus in learning and managing the real multi
customer FPR systems more effectively.
PMID- 27186458
TI - Development and application of a direct method to observe the implant/bone
interface using simulated bone.
AB - BACKGROUND: Primary stability after implant placement is essential for
osseointegration. It is important to understand the bone/implant interface for
analyzing the influence of implant design on primary stability. In this study
rigid polyurethane foam is used as artificial bone to evaluate the bone-implant
interface and to identify where the torque is being generated during placement.
METHODS: Five implant systems-Straumann-Standard (ST), Straumann-Bone Level (BL),
Straumann-Tapered Effect (TE), Nobel Biocare-Branemark MKIII (MK3), and Nobel
Biocare-Branemark MKIV (MK4)-were used for this experiment. Artificial bone
blocks were prepared and the implant was installed. After placement, a metal jig
and one side artificial bone block were removed and then the implant embedded in
the artificial bone was exposed for observing the bone-implant interface. A
digital micro-analyzer was used for observing the contact interface. RESULTS: The
insertion torque values were 39.35, 23.78, 12.53, 26.35, and 17.79 N cm for MK4,
BL, ST, TE, and MK3, respectively. In ST, MK3, TE, MK4, and BL the white layer
areas were 61 * 103 MUm(2), 37 * 103 MUm(2), 103 * 103 MUm(2) in the tapered
portion and 84 * 03 MUm(2) in the parallel portion, 134 * 103 MUm(2), and 98 *
103 MUm(2) in the tapered portion and 87 * 103 MUm(2) in the parallel portion,
respectively. CONCLUSIONS: The direct observation method of the
implant/artificial bone interface is a simple and useful method that enables the
identification of the area where implant retention occurs. A white layer at the
site of stress concentration during implant placement was identified and the
magnitude of the stress was quantitatively estimated. The site where the highest
torque occurred was the area from the thread crest to the thread root and the
under and lateral aspect of the platform. The artificial bone debris created by
the self-tapping blade accumulated in both the cutting chamber and in the space
between the threads and artificial bone.
PMID- 27186459
TI - Aggressive re-warming at 38.5 degrees C following deep hypothermia at 21
degrees C increases neutrophil membrane bound elastase activity and pro
inflammatory factor release.
AB - BACKGROUND: Cardiopulmonary bypass (CPB) is often performed under hypothermic
condition. The effects of hypothermia and re-warming on neutrophil activity are
unclear. This study aimed to compare the effects of different hypothermia and re
warming regimens on neutrophil membrane bound elastase (MBE) activity and the
release of pro-inflammatory factors from neutrophils. METHODS: Human neutrophils
were exposed to different hypothermia and re-warming regimens. MBE activity and
the release of interleukin (IL)-beta1, IL-6, IL-8, and tumor necrosis factor
(TNF)-alpha were measured. RESULTS: Neutrophil MBE activity was significantly
reduced after 60-min moderate (28 degrees C) or deep (21 degrees C) hypothermic
treatment. Compared with normothermic (37 degrees C) re-warming, aggressive re
warming (38.5 degrees ) for 120 min following deep hypothermia (21 degrees C)
dramatically increased neutrophil MBE activity (P < 0.05). Co-incubation of
neutrophils with platelet-rich plasma further increased MBE activity
significantly under all the tested temperature regimens. IL-beta1 release from
neutrophils was significantly higher after deep hypothermia (21 degrees C)
followed by normothermic (37 degrees C) re-warming than after moderate
hypothermia (28 degrees C) followed by normothermic re-warming (P < 0.05).
Aggressive re-warming (38.5 degrees ) following deep hypothermia significantly
increased the release of IL-beta1, IL-8, and TNF-alpha from neutrophil compared
with moderate re-warming (37 degrees C) (all P < 0.05). CONCLUSION: Aggressive
re-warming following deep hypothermia may contribute to CPB-associated tissue
injury by increasing neutrophil MBE activity and stimulating pro-inflammatory
factor release, thus, should be avoided. The optimal hypothermic temperature of
CPB should be determined based on patient clinical characteristics and surgery
type.
PMID- 27186460
TI - Non-conductive ferromagnets based on core double-shell nanoparticles for radio
electric applications.
AB - Two fabrication schemes of magnetic metal-polymer nanocomposites films are
described. The nanocomposites are made of graphene-coated cobalt nanoparticles
embedded in a polystyrene matrix. Scheme 1 uses non-covalent chemistry while
scheme 2 involves covalent bonding with radicals. Preservation of the net-moment
of cobalt and electrical insulation are achieved by means of a core double-shell
structure of cobalt-graphene-polystyrene. The graphene shell has two functions:
it is a protective layer against metal core oxidation and it serves as the
functionalization surface for polymer grafting as well. The polystyrene shell is
used as an insulating layer between nanoparticles and improves nanoparticles
dispersion inside the polystyrene matrix. The theoretical maximum volume filling
ratio estimated at ~30 % is almost reached. The nanocomposites are shown to
undergo percolation behavior but retain low conductivity (<1 S/m) at the highest
filling ratio reached ~25 % leading to extremely low losses (10(-3)) at high
frequency. Such low conductivity values are combined with large magnetization, as
high as 0.9 T. Ability for radiofrequency applications is discussed in regards to
the obtained magnetization.
PMID- 27186461
TI - Postoperative effects of laparoscopic sleeve gastrectomy in morbid obese patients
with type 2 diabetes.
AB - BACKGROUND: Laparoscopic Sleeve Gastrectomy has become one of the most popular
bariatric surgery types and helps treating not only obesity but also
endocrinological diseases related to obesity. Therefore we aimed to evaluate the
effects of laparoscopic sleeve gastrectomy on the treatment of type 2 diabetes.
METHODS: All patients, who underwent morbid obesity surgery during 2013-2014 and
had a HbA1c >6 % were included in this prospective study. Demographical data,
usage of oral antidiabetic drugs or insulin were recorded, and laboratory
findings as HbA1c and fasting plasma glucose were evaluated preoperatively and
postoperatively at the 6th and 12th months. Diabetes remission criteria were used
to assess success of the surgical treatment. RESULTS: Totally 88 patients were
included in this study. 55 patients were using oral antidiabetic drugs and 33
patients were using insulin. At the 6th month complete remission was observed in
80 (90.9 %), partial remission in 3 (3.4 %) and persistent diabetes in 5 (5.6 %)
patients. At the 12th month complete remission was observed in 84 (95.4 %),
partial remission in 1 (1.1 %) and persistent diabetes in 3 (3.4 %) patients.
CONCLUSIONS: This study indicated that laparoscopic sleeve gastrectomy surgery
achieved a complete remission of diabetes in 95.4 % patients having type 2
diabetes during a 1 year fallow up period. However, complete remission of type 2
diabetes has been reported as 80 % during long term fallow up in the literature.
In our opinion this rate may change with longer follow up periods and studies
involving more patients suffering type 2 diabetes.
PMID- 27186462
TI - Meals of differing caloric content do not alter physical activity behavior during
a subsequent simulated recess period in children.
AB - OBJECTIVE: Research on adults and animals has demonstrated that chronic and acute
overfeeding can alter physical activity behavior. However, there are no
assessments of the acute effects of high-calorie (HC) meals on physical activity
behavior in children. This is of importance as a typical school lunch is HC. If
this type of meal negatively impacts subsequent physical activity behavior, the
ability of post-lunch recess periods as a means to increase energy expenditure
may be lessened. PURPOSE: To assess the effect of two meals of differing caloric
content, HC and low calorie (LC), on children's subsequent physical activity
behavior. METHODS: Nineteen healthy children (aged 6-10) completed two laboratory
sessions where they were fed lunch with HC or LC content, but equivalent
macronutrient distribution. Children had 15 min to consume as much of the meal as
possible per session. Children consumed 659.5 +/- 101.3 kcal in the HC condition
and 291.8 +/- 12.1 kcal in the LC condition. After the meal, children went to a
gymnasium for 40 min. In the gymnasium children had free-choice access to
obstacle courses, various sports equipment, and a table with sedentary
activities. Children could play with any of the activities in any amount they
wished for the entire activity session. Children's physical activity was
monitored with accelerometers and that data was converted into caloric
expenditure. Each child ate all meals and participated in the free-choice
activity sessions with no other children present. RESULTS: Caloric expenditure
during the free-choice activity sessions was not significantly different (p =
0.4) between the HC (89.2 +/- 27.3 kcals) and LC (83.4 +/- 34.9 kcals)
conditions. However, caloric balance (kcals eaten-kcals expended) was 2.74-fold
greater (p < 0.001) in the HC condition (Delta 570.3 +/- 92.2 kcals) than the LC
condition (Delta 208.4 +/- 32.0 kcals). CONCLUSION: Children did not alter their
physical activity behavior during a free-choice activity session after consuming
a HC meal versus a LC meal. Because activity was not different across the two
conditions, children had a much greater caloric surplus during the HC condition
than the LC condition.
PMID- 27186463
TI - Impact of urban contamination of the La Paz River basin on thermotolerant
coliform density and occurrence of multiple antibiotic resistant enteric
pathogens in river water, irrigated soil and fresh vegetables.
AB - La Paz River in Andean highlands is heavily polluted with urban run-off and
further contaminates agricultural lowlands and downstream waters at the Amazon
watershed. Agricultural produce at this region is the main source of vegetables
for the major Andean cities of La Paz and El Alto. We conducted a 1 year study,
to evaluate microbial quality parameters and occurrence of multiple
enteropathogenic bacteria (Enterohemorrhagic E. coli-EHEC, Enteroinvasive E. coli
or Shigella-EIEC/Shigella, Enteroaggregative E. coli-EAEC, Enteropathogenic E.
coli-EPEC Enterotoxigenic E. coli-ETEC and Salmonella) and its resistance to 11
antibiotics. Four sampling locations were selected: a fresh mountain water
reservoir (un-impacted, site 1) and downstream sites receiving wastewater
discharges (impacted, sites 2-4). River water (sites 1-4, N = 48), and soil and
vegetable samples (site 3, N = 24) were collected during dry (April-September)
and rainy seasons (October-March). Throughout the study, thermotolerant coliform
density values at impacted sites greatly exceeded the guidelines for recreational
and agricultural water uses. Seasonal differences were found for thermotolerant
coliform density during dry season in water samples nearby a populated and
hospital compound area. In contrast to the un-impacted site, where none of the
tested enteropathogens were found, 100 % of surface water, 83 % of soil and 67 %
of vegetable samples at impacted sites, were contaminated with at least one
enteropathogen, being ETEC and Salmonella the most frequently found. ETEC
isolates displayed different patterns of toxin genes among sites. The occurrence
of enteropathogens was associated with the thermotolerant coliform density. At
impacted sites, multiple enteropathogens were frequently found during rainy
season. Among isolated enteropathogens, 50 % were resistant to at least two
antibiotics, with resistance to ampicillin, nalidixic acid, trimethoprim
sulfamethoxazole and tetracycline commonly present. Moreover, some Salmonella
isolates were distinguished by their multi-resistance to >=8 antibiotics, within
soil and vegetable samples. Overall, this study demonstrates that La Paz River-an
affluent of the Amazon macrobasin-is heavily polluted along the year with a high
density of thermotolerant coliforms and is a reservoir of multiple antibiotic
resistant enteropathogens, present in river water, soil and vegetables. These
data highlight health risk associated with food and waterborne diseases at the
region.
PMID- 27186464
TI - On meeting capital requirements with a chance-constrained optimization model.
AB - This paper deals with a capital to risk asset ratio chance-constrained
optimization model in the presence of loans, treasury bill, fixed assets and non
interest earning assets. To model the dynamics of loans, we introduce a modified
CreditMetrics approach. This leads to development of a deterministic convex
counterpart of capital to risk asset ratio chance constraint. We pursue the scope
of analyzing our model under the worst-case scenario i.e. loan default. The
theoretical model is analyzed by applying numerical procedures, in order to
administer valuable insights from a financial outlook. Our results suggest that,
our capital to risk asset ratio chance-constrained optimization model guarantees
banks of meeting capital requirements of Basel III with a likelihood of 95 %
irrespective of changes in future market value of assets.
PMID- 27186465
TI - Comparison of fuzzy AHP and fuzzy TODIM methods for landfill location selection.
AB - Landfill location selection is a multi-criteria decision problem and has a
strategic importance for many regions. The conventional methods for landfill
location selection are insufficient in dealing with the vague or imprecise nature
of linguistic assessment. To resolve this problem, fuzzy multi-criteria decision
making methods are proposed. The aim of this paper is to use fuzzy TODIM (the
acronym for Interactive and Multi-criteria Decision Making in Portuguese) and the
fuzzy analytic hierarchy process (AHP) methods for the selection of landfill
location. The proposed methods have been applied to a landfill location selection
problem in the region of Casablanca, Morocco. After determining the criteria
affecting the landfill location decisions, fuzzy TODIM and fuzzy AHP methods are
applied to the problem and results are presented. The comparisons of these two
methods are also discussed.
PMID- 27186466
TI - An efficient method for tracking a magnetic target using scalar magnetometer
array.
AB - The position of a magnetic target can be obtained through magnetic anomaly which
is measured by a magnetic sensor. Comparing with vector magnetic sensor, the
measurement value of the scalar magnetic sensor is almost not influenced by its
orientation in measurement coordinate axes. Therefore, scalar magnetic sensors
can be easily assembled into an array. Based on analysis of the total scalar
magnetic anomaly measured by scalar magnetometer, we present an efficient method
for tracking a magnetic target using scalar magnetometer array. In this method,
we separate the position information and magnetic moment information of magnetic
target by matrix transformation. Then, we can obtain the position of the magnetic
target in real time by a scalar magnetometer array and a particle swarm
optimization algorithm. In addition, the magnetic moment of the target can be
estimated when the target's position had been calculated. The simulation shows
that the position of the target can be calculated accurately and the relative
error of the position is <5 %. The calculated magnetic moment of the target is
close to the theoretical value. In addition, execution time of each calculation
is <1 s. Thus, the position of the magnetic target can be obtained in real-time
through this method.
PMID- 27186467
TI - Growth characteristics of freeze-tolerant baker's yeast Saccharomyces cerevisiae
AFY in aerobic batch culture.
AB - Saccharomyces cerevisiae AFY is a novel baker's yeast strain with strong freeze
tolerance, and can be used for frozen-dough processing. The present study armed
to clarify the growth characteristics of the yeast AFY. Aerobic batch culture
experiments of yeast AFY were carried out using media with various initial
glucose concentrations, and the culture process was analyzed kinetically. The
growth of the yeast AFY exhibited a diauxic pattern with the first growth stage
consuming glucose and the second growth stage consuming ethanol. The cell yield
decreased with increasing initial glucose concentration in the first growth
stage, and also decreased with increasing initial ethanol concentration in the
second growth stage. In the initial glucose concentration range of 5.0-40.0 g/L,
the simultaneous equations of Monod equation, Luedeking-Piret equation and pseudo
Luedeking-Piret equation could be used to describe the concentrations of cell,
ethanol and glucose in either of the two exponential growth phases. At the
initial glucose concentrations of 5.0, 10.0 and 40.0 g/L, the first exponential
growth phase had a maximal specific cell growth rate of 0.52, 0.98 and 0.99 h(
1), while the second exponential growth phase had a maximal specific cell growth
rate of 0.11, 0.06 and 0.07 h(-1), respectively. It was indicated that the
efficiency of the yeast production could be improved by reducing the ethanol
production in the first growth stage.
PMID- 27186468
TI - Dynamic mechanical response and a constitutive model of Fe-based high temperature
alloy at high temperatures and strain rates.
AB - The effects of strain rate and temperature on the dynamic behavior of Fe-based
high temperature alloy was studied. The strain rates were 0.001-12,000 s(-1), at
temperatures ranging from room temperature to 800 degrees C. A phenomenological
constitutive model (Power-Law constitutive model) was proposed considering
adiabatic temperature rise and accurate material thermal physical properties.
During which, the effects of the specific heat capacity on the adiabatic
temperature rise was studied. The constitutive model was verified to be accurate
by comparison between predicted and experimental results.
PMID- 27186469
TI - Effects of weather conditions, light conditions, and road lighting on vehicle
speed.
AB - Light conditions are known to affect the number of vehicle accidents and
fatalities but the relationship between light conditions and vehicle speed is not
fully understood. This study examined whether vehicle speed on roads is higher in
daylight and under road lighting than in darkness, and determined the combined
effects of light conditions, posted speed limit and weather conditions on driving
speed. The vehicle speed of passenger cars in different light conditions
(daylight, twilight, darkness, artificial light) and different weather conditions
(clear weather, rain, snow) was determined using traffic and weather data
collected on an hourly basis for approximately 2 years (1 September 2012-31 May
2014) at 25 locations in Sweden (17 with road lighting and eight without). In
total, the data included almost 60 million vehicle passes. The data were cleaned
by removing June, July, and August, which have different traffic patterns than
the rest of the year. Only data from the periods 10:00 A.M.-04:00 P.M. and 06:00
P.M.-10:00 P.M. were used, to remove traffic during rush hour and at night.
Multivariate adaptive regression splines was used to evaluate the overall
influence of independent variables on vehicle speed and nonparametric statistical
testing was applied to test for speed differences between dark-daylight, dark
twilight, and twilight-daylight, on roads with and without road lighting. The
results show that vehicle speed in general depends on several independent
variables. Analyses of vehicle speed and speed differences between daylight,
twilight and darkness, with and without road lighting, did not reveal any
differences attributable to light conditions. However, vehicle speed decreased
due to rain or snow and the decrease was higher on roads without road lighting
than on roads with lighting. These results suggest that the strong association
between traffic accidents and darkness or low light conditions could be explained
by drivers failing to adjust their speed to the reduced visibility in dark
conditions.
PMID- 27186470
TI - Timing of carbohydrate ingestion did not affect inflammatory response and
exercise performance during prolonged intermittent running.
AB - BACKGROUND: Carbohydrate ingestion during exercise is known to attenuate exercise
induced elevation of plasma IL-6 concentration. However, the influence of timing
of carbohydrate ingestion remains unclear. PURPOSE: The present study
investigated the influence of different timing of carbohydrate ingestion during a
simulated soccer game on exercise performance, metabolic and inflammatory
responses. METHODS: Seven active males performed 3 exercise trials in a
randomized order. The exercise consisted of two consecutive bouts of 45 min
running (4-16 km/h), separated with 15 min rest period between bouts. The
subjects ingested carbohydrate gel (1.0 g/kg) immediately before the first bout
of exercise (ONE), immediately before first and second bouts of exercise (0.5
g/kg for each ingestion) (TWO) or placebo immediately before exercise (PLA) Time
course changes of maximal jump height, peak power output during 6-s maximal
pedaling, perceived fatigue and heart rate (HR) were monitored. Blood samples
were also drawn to determine blood glucose, serum insulin, free fatty acid (FFA),
myoglobin (Mb), creatine kinase (CK) and plasma IL-6 concentrations. RESULTS:
Blood glucose and serum insulin concentrations were significantly higher in the
ONE trial after first bout of 45 min exercise compared with PLA trial (P < 0.05),
while serum FFA concentration was significantly elevated in PLA compared with ONE
and TWO trials after second bout of exercise (P < 0.05). However, changes of jump
height, peak power output during 6-s maximal pedaling, perceived fatigue, HR, or
indirect muscle damage (Mb, CK) and inflammatory (IL-6) markers were not
significantly different among three trials (P > 0.05). CONCLUSIONS: The timing of
carbohydrate ingestion did not affect exercise performance, exercise-induced
muscle damage or inflammatory response during a simulated soccer game.
PMID- 27186471
TI - Hybrid model for analysis of abnormalities in diabetic cardiomyopathy and
diabetic retinopathy related images.
AB - At present image processing methods hold a noteworthy position in unravelling
various medical imaging challenges. The high risk disorders such as diabetic
cardiomyopathy and diabetic retinopathy are considered as applications for
proposed method. The dictum of this paper is on observing enhancement and
segmentation of the cross sectional view of a blood capillary of a right coronary
artery image of a diabetic patient and also retinal images. A hybrid model using
hybrid morphological reconstruction technique as pre-processing with watershed
segmentation method as post-processing is developed in this work.
PMID- 27186472
TI - A comprehensive survey of the relationship between self-efficacy and performance
for the governmental auditors.
AB - As governmental auditing is involved in evaluating the legitimacy, economy,
efficiency, and effectiveness of how the various administrative branches use
their allocated resources to optimize the government's functions, it is expected
that the performance of the auditors in charge are strongly influenced by their
respective qualities such as self-efficacy and experience, etc. To further
understand the factors that may enhance their performance and to ultimately
provide practical recommendations for the audit authorities, we have surveyed
about 50 % of all the governmental auditors in Taiwan. The result showed that any
auditing experience and professionalization do positively influence the
professional awareness, and acquired knowledge and skillset of an auditor can
effectively improve his or her professional judgment. We also found that
perceived ability, problem-solving skills, and resource sharing may significantly
impact any performance involved. Our study provides a workable management
guidelines for strengthening the self-efficacy of audit authorities in Taiwan.
PMID- 27186473
TI - Obstacle avoidance planning of space manipulator end-effector based on improved
ant colony algorithm.
AB - With the development of aerospace engineering, the space on-orbit servicing has
been brought more attention to many scholars. Obstacle avoidance planning of
space manipulator end-effector also attracts increasing attention. This problem
is complex due to the existence of obstacles. Therefore, it is essential to avoid
obstacles in order to improve planning of space manipulator end-effector. In this
paper, we proposed an improved ant colony algorithm to solve this problem, which
is effective and simple. Firstly, the models were established respectively,
including the kinematic model of space manipulator and expression of valid path
in space environment. Secondly, we described an improved ant colony algorithm in
detail, which can avoid trapping into local optimum. The search strategy,
transfer rules, and pheromone update methods were all adjusted. Finally, the
improved ant colony algorithm was compared with the classic ant colony algorithm
through the experiments. The simulation results verify the correctness and
effectiveness of the proposed algorithm.
PMID- 27186474
TI - Biological screening of selected Pacific Northwest forest plants using the brine
shrimp (Artemia salina) toxicity bioassay.
AB - The brine shrimp (Artemia salina) bioassay was used to screen 211 methanol
extracts from 128 species of Pacific Northwest plants in search of general
cytotoxic activity. Strong toxicity (LC50 < 100 ug/ml) was found for 17 extracts
from 13 species, with highest activity observed for Angelica arguta roots at <10
ug/ml. Notably, four species of cedar trees and one of juniper in the family
Cupressaceae dominated this group with LC50 for heartwood extracts ranging from
15 to 89 ug/ml. Moderate toxicity (LC50 100-500 ug/ml) was found in 38 extracts
from 27 species, while weak toxicity (LC50 500-1000 ug/ml) was detected for 17
extracts in 16 species. There were 139 extracts from 99 species that were non
toxic (LC50 > 1000 ug/ml). Our subsequent studies of conifer heartwoods with
strong activity confirm the assay's value for identifying new investigational
leads for materials with insecticidal and fungicidal activity.
PMID- 27186476
TI - Clinical utility of high-flow nasal cannula oxygen therapy for acute respiratory
failure in patients with hematological disease.
AB - A high-flow nasal cannula (HFNC) is a newly developed device that enables high
flow oxygen therapy for patients with serious cardiopulmonary problems, but there
are few data regarding its use in patients with hematological disease. The
efficacy and tolerability of HFNCs for patients who developed ARF during the
treatment of various hematological diseases was evaluated. Fifty-six patients
underwent HFNC therapy during the last 2 years, and the causes of ARF were mainly
pneumonia (n = 37) or acute congestive heart failure (n = 7). Only 11 patients
(20 %) showed a good response to HFNC therapy, and remaining 45 patients (80 %)
failed to respond to the initial HFNC therapy and, therefore, underwent second
line therapy including endotracheal intubation with mechanical ventilation (n =
15), non-invasive positive pressure ventilation (n = 1), or narcotic palliation
alone (n = 29). Thus, HFNC appear not to be a viable treatment option in 4 out of
5 patients in this cohort of patients with hematological disease, but it was well
tolerated in most patients (96 %); no major complications except for nasal
soreness (n = 2) were observed. Multivariate analysis showed that the cause of
ARF (pneumonia, odds ratio 11.2, 95 % CI 1.76-71.5, p = 0.01) was the only risk
factor for treatment failure.
PMID- 27186477
TI - Solution of the Falkner-Skan wedge flow by a revised optimal homotopy asymptotic
method.
AB - In this paper, a revised optimal homotopy asymptotic method (OHAM) is applied to
derive an explicit analytical solution of the Falkner-Skan wedge flow problem.
The comparisons between the present study with the numerical solutions using
(fourth order Runge-Kutta) scheme and with analytical solution using HPM-Pade of
order [4/4] and order [13/13] show that the revised form of OHAM is an extremely
effective analytical technique.
PMID- 27186475
TI - Functional characterization of Rorippa indica defensin and its efficacy against
Lipaphis erysimi.
AB - Rorippa indica, a wild crucifer, has been previously reported as the first
identified plant in the germplasm of Brassicaceae known to be tolerant towards
the mustard aphid Lipaphis erysimi Kaltenbach. We herein report the full-length
cloning, expression, purification and characterization of a novel R. indica
defensin (RiD) and its efficacy against L. erysimi. Structural analysis through
homology modeling of RiD showed longer alpha-helix and 3rd beta-sheet as compared
to Brassica juncea defensin (BjD). Recombinant RiD and BjD was purified for
studying its efficacy against L. erysimi. In the artificial diet based insect
bioassay, the LC50 value of RiD against L. erysimi was found to be 9.099 +/-
0.621 ug/mL which is far lower than that of BjD (43.51 +/- 0.526 ug/mL). This
indicates the possibility of RiD having different interacting partner and having
better efficacy against L. erysimi over BjD. In the transient localization
studies, RiD signal peptide directed the RiD: yellow fluorescent protein (YFP)
fusion protein to the apoplastic regions which indicates that it might play a
very important role in inhibiting nutrient uptake by aphids which follow mainly
extracellular route to pierce through the cells. Hence, the present study has a
significant implication for the future pest management program of B. juncea
through the development of aphid tolerant transgenic plants.
PMID- 27186478
TI - Inference of biological networks using Bi-directional Random Forest Granger
causality.
AB - The standard ordinary least squares based Granger causality is one of the widely
used methods for detecting causal interactions between time series data. However,
recent developments in technology limit the utilization of some existing
implementations due to the availability of high dimensional data. In this paper,
we are proposing a technique called Bi-directional Random Forest Granger
causality. This technique uses the random forest regularization together with the
idea of reusing the time series data by reversing the time stamp to extract more
causal information. We have demonstrated the effectiveness of our proposed method
by applying it to simulated data and then applied it to two real biological
datasets, i.e., fMRI and HeLa cell. fMRI data was used to map brain network
involved in deductive reasoning while HeLa cell dataset was used to map gene
network involved in cancer.
PMID- 27186479
TI - A case of pacemaker implantation in the patient with duplication of the left
innominate vein: a case report.
AB - INTRODUCTION: Duplication of the left innominate vein is a rare systemic venous
anomaly defined as the coexistence of a retroaortic innominate vein and a
normally positioned left innominate vein. We describe a successful case of
pacemaker implantation in a patient with duplication of the left innominate vein
via a retroaortic innominate vein. CASE DESCRIPTION: A 70-year-old mentally
challenged man was admitted to our hospital because of bradycardia and an altered
state of consciousness. Electrocardiogram indicated sinus arrest and junctional
escape rhythm with a heart rate of 40 beats/min; hence, a pacemaker was
implanted. Left subclavian venography showed two vessels that were connected to
the superior vena cava: a narrow, normal positioned left innominate vein and a
tortuous vein. The normally positioned left innominate vein was too narrow to
pass through with a guide wire. Therefore, we chose the tortuous vein for
implantation. However, the procedure was difficult because of the vein's
tortuosity. Finally, leads at the right atrium and ventricle were successfully
implanted using a steerable stylet. After the procedure, computed tomography
showed two innominate veins: a retroaortic innominate vein and narrow left
innominate vein that was a duplication of the left innominate vein. DISCUSSION
AND EVALUATION: The exact embryogenesis of retroaortic innominate vein remains
unknown and incidence of retroaortic innominate vein is very rare. But for
cardiologists performing transvenous pacemaker insertion, the anomalous
brachiocephalic vein may cause technical difficulty during a left arm approach.
CONCLUSIONS: In cases in which subclavian venography shows a tortuous vein,
cardiologists should consider the presence of a retroaortic innominate vein.
PMID- 27186480
TI - Spatially and temporally continuous LAI datasets based on the mixed pixel
decomposition method.
AB - The leaf area index (LAI) is a key biophysical parameter that determines the
state of plant growth. A global LAI has been routinely produced by the Moderate
Resolution Imaging Spectro-radiometer (MODIS) and Advanced Very High Resolution
Radiometer (AVHRR). However, the MODIS and AVHRR LAI products cannot be
synchronized with the same spatial and temporal resolution. The LAI features are
not discernible when a global LAI product is implemented at the regional scale
because it has low resolution and different land cover types. To obtain high
spatial and temporal resolution of LAI products, an empirical model based on the
pixel scale was developed. The approach to generate a long (multi-decade) time
series of a 1-km spatial resolution LAI normally integrates both AVHRR and MODIS
datasets for different land cover types. In this paper, a regression-based model
for generating a vegetation LAI was developed using the AVHRR Global Inventory
Modelling and Mapping Studies Normalized Difference Vegetation Index (NDVI),
MODIS LAI and land cover as input data; the model was evaluated by using relevant
data from the same period data from 2000 to 2006. The results of this method show
a good consistency in LAI values retrieved from the AVHRR NDVI and MODIS LAI.
This simple method has no specific-limited data requirements and can provide
improved spatial and temporal resolution in a region without ground data.
PMID- 27186481
TI - An algorithm for space-time block code classification using higher-order
statistics (HOS).
AB - This paper proposes a novel algorithm for space-time block code classification,
when a single antenna is employed at the receiver. The algorithm exploits the
discriminating features provided by the higher-order cumulants of the received
signal. It does not require estimation of channel and information of the noise.
Computer simulations are conducted to evaluate the performance of the proposed
algorithm. The results show the performance of the algorithm is good.
PMID- 27186484
TI - A multivariate morphometric investigation to delineate stock structure of
gangetic whiting, Sillaginopsis panijus (Teleostei: Sillaginidae).
AB - This study was conducted to delineate the stock structure of Sillaginopsis
paniijus based on morphometric characters of the species. A total of 194
specimens were collected from the Meghna, Tentulia and Baleswar rivers located in
the southern coastal zone of Bangladesh. Data were subjected to univariate ANOVA,
multivariate ANOVA, discriminate function analysis (DFA), and principal component
analysis. Mean variations of ten morphometric characters; HD, HBD, LBD, PsOL, ED,
SnL, SPrDL, HAF, LSDB and LPB showed significant differences (p < 0.05) among 27
morphometric traits that were selected for the study. In DFA, the overall
assignments of individuals into their correctly classified original groups were
71.1 and 70.6 % for male and female, respectively. A scatter plot of the first
two discriminant functions was used to visually depict the discrimination among
the populations. The results showed different stocks of S. panijus in the rivers
of Baleswar, Tentulia and Meghna in southwest coast of Bangladesh.
PMID- 27186482
TI - Simeprevir with peginterferon/ribavirin for patients with hepatitis C virus
genotype 1: high frequency of viral relapse in elderly patients.
AB - PURPOSE: The tolerability and efficacy of simeprevir in combination with
peginterferon and ribavirin in patients infected with hepatitis C virus (HCV)
genotype 1 under actual clinical conditions were investigated. METHODS: A total
of 176 patients with chronic HCV genotype 1 infection were treated with
simeprevir for 12 weeks plus Peg-IFN/RBV for 24 weeks. Overall, 107 (60.7 %)
patients were aged 60 years or more, and 16 (9 %) patients were aged 70 years or
more. Treatment discontinuation, sustained virological response 12 (SVR12), and
viral relapse were evaluated and compared between younger patients and elderly
patients. RESULTS: The rates of undetectable HCV RNA at the end of treatment were
95.8, 100 and 93.1 % in treatment-naive, prior relapse, and prior non-responders,
respectively. However, the rates of SVR12 were 82.4, 88.2 and 69.2 %,
respectively. Especially in prior non-responders, viral relapse was relatively
frequent. Treatment discontinuation and SVR12 were not different between patients
aged <70 and >=70 years, but viral relapse after completing treatment was
significantly more frequent in patients aged >=70 years (p = 0.012). CONCLUSIONS:
In simeprevir with peginterferon and ribavirin therapy, viral relapse was
relatively frequent. Especially in elderly patients, the relapse rate was high
after completing treatment, instead of low frequency of discontinuation by the
adverse events.
PMID- 27186485
TI - Integral inequalities under beta function and preinvex type functions.
AB - In the present paper, the notion of P-preinvex function is introduced and new
integral inequalities for this kind of function along with beta function are
establised. The work extends the results appeared in the literature.
PMID- 27186487
TI - Multimodal game bot detection using user behavioral characteristics.
AB - As the online service industry has continued to grow, illegal activities in the
online world have drastically increased and become more diverse. Most illegal
activities occur continuously because cyber assets, such as game items and cyber
money in online games, can be monetized into real currency. The aim of this study
is to detect game bots in a massively multiplayer online role playing game
(MMORPG). We observed the behavioral characteristics of game bots and found that
they execute repetitive tasks associated with gold farming and real money
trading. We propose a game bot detection method based on user behavioral
characteristics. The method of this paper was applied to real data provided by a
major MMORPG company. Detection accuracy rate increased to 96.06 % on the banned
account list.
PMID- 27186483
TI - Amino acid composition in eyes from zebrafish (Danio rerio) and sardine (Sardina
pilchardus) at the larval stage.
AB - A comparative study was performed to identify differences in the amino acid
composition of the eyes from zebrafish (Danio rerio) and sardine (Sardina
pilchardus) larvae and their link to the environmental adaption of the species.
Amino acids in the acidic hydrolysates of eyes from 11 zebrafish and 12 sardine
were determined with the use of high-performance liquid chromatography involving
precolumn derivatization with ortho-phthalaldehyde. Differences in the content of
most amino acids were detected between zebrafish and sardine. These amino acids
were aspartate, glutamate, serine, glycine, threonine, arginine, methionine,
valine, phenylalanine, isoleucine, leucine and lysine. Of particular note, the
percentage of methionine in zebrafish eyes was much higher than that in sardine,
whereas the opposite was observed for glutamate and glycine. These results
indicate that zebrafish and sardine likely have experienced differences in
adaptation to environmental changes. We suggest that the amino acid composition
of eyes represents a powerful tool to discriminate between species characterized
by different lifestyle and inhabiting different environments.
PMID- 27186486
TI - Oestrogens and oestrogen receptors in prostate cancer.
AB - The role of androgens in prostate cancer is obvious due to the fact that androgen
signalling is the main regulator of prostate growth and function. Androgen
deprivation therapy is a mainstay treatment for advanced prostate cancer.
However, prostate cancer often becomes androgen-independent, which in consequence
leads to lethal and incurable disease. In addition, oestrogens play a crucial
role in prostate cancer, especially in elder men in whom the overall ratio of
oestrogens to androgens is increasing. This review summarizes the current
knowledge on molecular mechanisms through which oestrogens are involved in
prostate cancer development. We focused on commonly alternated molecular
signalling pathways contributing to tumourgenesis in prostate cancer.
PMID- 27186488
TI - Hermite-Hadamard type inequalities for n-times differentiable and geometrically
quasi-convex functions.
AB - By Holder's integral inequality, the authors establish some Hermite-Hadamard type
integral inequalities for n-times differentiable and geometrically quasi-convex
functions.
PMID- 27186489
TI - A novel family VIII carboxylesterase hydrolysing third- and fourth-generation
cephalosporins.
AB - A metagenomic library was constructed from a soil sample of spindle tree
rhizosphere. From this library, one clone with esterase activity was selected.
The sequence analysis revealed an open reading frame (EstSTR1) encoded protein of
390 amino acids. EstSTR1 is a family VIII carboxylesterase and retains the S-X-X
K motif conserved in both family VIII carboxylesterases and class C beta
lactamases. The estSTR1 gene was overexpressed in E. coli and the recombinant
protein was purified by purified by metal chelating affinity chromatography and
size-exclusion chromatography. EstSTR1 hydrolysed p-nitrophenyl esters, exhibited
the highest activity toward p-nitrophenyl butyrate. Furthermore, EstSTR1 could
hydrolyse third- and fourth-generation cephalosporins (cefotaxime and cefepime)
as well as first-generation cephalosporin (cephalothin). Site-directed
mutagenesis studies revealed that a catalytic residue, Ser71, of EstSTR1 plays an
essential role in hydrolysing both antibiotics and p-nitrophenyl esters. We
demonstrate that a metagenome-derived carboxylesterase displays beta-lactam
hydrolysing activities toward third- and fourth-generation cephalosporins.
PMID- 27186491
TI - Development of the safety control framework for shield tunneling in close
proximity to the operational subway tunnels: case studies in mainland China.
AB - INTRODUCTION: China's largest cities like Beijing and Shanghai have seen a sharp
increase in subway network development as a result of the rapid urbanization in
the last decade. The cities are still expanding their subway networks now, and
many shield tunnels are being or will be constructed in close proximity to the
existing operational subway tunnels. The execution plans for the new nearby
shield tunnel construction calls for the development of a safety control
framework-a set of control standards and best practices to help organizations
manage the risks involved. CASE DESCRIPTION: Typical case studies and relevant
key technical parameters are presented with a view to presenting the resulting
safety control framework. The framework, created through collaboration among the
relevant parties, addresses and manages the risks in a systematic way based on
actual conditions of each tunnel crossing construction. The framework consists of
six parts: (1) inspecting the operational subway tunnels; (2) deciding allowed
movements of the existing tunnels and tracks; (3) simulating effects of the
shield tunneling on the existing tunnels; (4) doing preparation work; (5)
monitoring design and information management; and (6) measures and activation
mechanism of the countermeasures. The six components are explained and
demonstrated in detail. DISCUSSION AND EVALUATION: In the end, discussions made
involve construction and post-construction settlement of the operational tunnel,
application of the remedial grouting to rectify excessive settlements of the
operational tunnel, and use of the innovative tool of the optical fiber
measurement for tunnel movement monitoring. CONCLUSIONS: It is concluded that the
construction movement of the tunnel can be controlled within 15 mm when the
shield machine is <7 m in excavation diameter. The post-construction settlement
of the tunnel buried in the very soft ground is much greater than its
construction settlement, and last several years until reaching a final stable
state. Two cases are outlined to demonstrate the feasibility of using the
remedial grouting to reduce the long-term settlement of the operational tunnels.
The more and more segmental tunnels being constructed, there is an increasing
need of the optical fiber measurement for tunnel movement monitoring in the near
future.
PMID- 27186490
TI - A meta-analysis of MMP-9 promoter -1562 C/T polymorphism on susceptibility of
chronic periodontitis.
AB - BACKGROUND: Although many studies have focused on the association of the MMP-9
promoter -1562 C/T polymorphism with the susceptibility and/or severity of
chronic periodontitis (CP), results have been inconsistent. Therefore, a meta
analysis of all eligible studies was performed to derive a more precise
estimation of the association between this polymorphism and CP risk. METHODS: All
relevant studies were identified through a database search in PubMed, Medline,
and Web of Science. All the full-text studies with appropriate analytical design,
published in English, which evaluated the association of MMP-9 promoter -1562C/T
polymorphism with CP risk using validated genotyping methods, and with non
duplicated data were selected for this study. A fixed-effect model was used to
calculate pooled ORs in the absence of heterogeneity across included trials (P >
0.1 and I(2) < 50 %), otherwise the random-effect model was applied. RESULTS: In
an overall meta-analysis, pooled ORs revealed that T variant in the MMP-9
promoter -1562 C/T polymorphism was associated with a significantly decreased
risk for CP under all comparison models. In subgroup analyses by ethnicity,
pooled ORs showed that a significant association of the MMP-9 promoter -1562 C/T
polymorphism with CP risk was only detected in Caucasians and Asians but not in
mixed population. In the subgroup analysis by severity of CP, pooled ORs
indicated that T allele of the MMP-9 promoter -1562 C/T polymorphism was
associated with decreased susceptibility to severe CP while there was no
significant association between this polymorphism and moderate CP. CONCLUSIONS:
Our meta-analysis showed that T allele in the MMP-9 promoter -1562 C/T
polymorphism might be a protective factor for CP, especially in Caucasians and
Asians. Moreover, there was a significant association of the MMP-9 promoter -1562
C/T polymorphism with decreased susceptibility to severe CP, while the allelic
and/or genotype distributions of this polymorphism were not associated with
moderate CP.
PMID- 27186492
TI - Building an associative classifier with multiple minimum supports.
AB - Classification is one of the most important technologies used in data mining.
Researchers have recently proposed several classification techniques based on the
concept of association rules (also known as CBA-based methods). Experimental
evaluations on these studies show that in average the CBA-based approaches can
yield higher accuracy than some of conventional classification methods. However,
conventional CBA-based methods adopt a single threshold of minimum support for
all items, resulting in the rare item problem. In other words, the classification
rules will only contain frequent items if minimum support (minsup) is set as high
or any combinations of items are discovered as frequent if minsup is set as low.
To solve this problem, this paper proposes a novel CBA-based method called
MMSCBA, which considers the concept of multiple minimum supports (MMSs). Based on
MMSs, different classification rules appear in the corresponding minsups. Several
experiments were conducted with six real-world datasets selected from the UCI
Machine Learning Repository. The results show that MMSCBA achieves higher
accuracy than conventional CBA methods, especially when the dataset contains rare
items.
PMID- 27186493
TI - Two dimensional analysis of low pressure flows in the annulus region between two
concentric cylinders.
AB - A numerical simulation of the steady two-dimensional laminar natural convection
heat transfer for the gaseous low-pressure flows in the annulus region between
two concentric horizontal cylinders is carried out. This type of flow occurs in
"evacuated" solar collectors and in the receivers of the solar parabolic trough
collectors. A finite volume code is used to solve the coupled set of governing
equations. Boussinesq approximation is utilized to model the buoyancy effect. A
correlation for the thermal conductivity ratio (k r = k eff/k) in terms of
Knudsen number and the modified Rayleigh number is proposed for Prandtl number
(Pr = 0.701). It is found that as Knudsen number increases then the thermal
conductivity ratio decreases for a given Rayleigh number. Also, it is shown that
the thermal conductivity ratio k r increases as Rayleigh number increases. It
appears that there is no consistent trend for varying the dimensionless gap
spacing between the inner and the outer cylinder ([Formula: see text]) on the
thermal conductivity ratio (k r) for the considered spacing range.
PMID- 27186494
TI - A comparative study in fixation methods of medial malleolus fractures between
tension bands wiring and screw fixation.
AB - OBJECTIVES: The aim of this study is to compare two methods of internal fixations
of fractured medial malleolus which are simple screw fixation and tension band
wiring. PATIENTS AND METHODS: Over 5 years we grouped 20 patients with fractured
medial malleolus into two groups of operative treatments, group1 treated by
malleolar screw fixation and group2 by tension band wiring. The patients were
with same age group, gender, fracture type, and etiology. We use statistical
analysis for make a comparative study between the two ways of surgical treatment.
RESULTS: The mean time for radiologic bone union was 11.8 weeks in group1
patients and 9.4 weeks in group2 patients (P = 0.03). No patients had any sign of
fixation failure or Kirschner wires migration. According to the modified ankle
scoring system of Olerud and Molander, excellent and good results were achieved
in 80 % in group1 patients and 90 % in group2 patients (P = 0.049). CONCLUSIONS:
Tension-band wiring may be better treatment option for internal fixation of
medial malleolar fractures than screw fixation. RECOMMENDATIONS: From these
findings we recommend a further randomized clinical trial of larger number of
cases and longer follow-up duration in order to regard tension-band wiring a
better operative option for fixation of medial malleolar fractures.
PMID- 27186495
TI - Circulating DNA addresses cancer monitoring in non small cell lung cancer
patients for detection and capturing the dynamic changes of the disease.
AB - PURPOSE: Monitoring of key markers for lung cancer detection and tracking of
acquired drug resistance is critical for the management of the disease. We aim to
ascertain the value of monitoring both total cell free DNA concentrations and
mutant EGFR DNA content within diverse groups of individuals most vulnerable to
the disease. METHODS: We proposed longitudinal monitoring of circulating DNA
using digital PCR. Circulating DNA present in peripheral blood can be obtained
non-invasively and provide timely disease status update. 25 heavy smokers and 50
patients undergoing TKI therapy were recruited. Peripheral blood specimens were
taken at different time points and their circulating DNA were analyzed and
quantified. RESULTS: Significant higher concentrations of total cell free DNA
were detected when compared with healthy high-risk individuals. Levels were
stable throughout the treatment cycles, which makes it potentially a useful tool
for patient stratification. Concurrent mutant T790M DNA detection of lung cancer
patients at baseline achieved 82 % concordance with matched tissue analysis.
Samples initially negative for the T790M gene mutation that became positive
during treatment were corroborated with a repeat biopsy. The results showed its
usefulness for serial monitoring. CONCLUSION: Monitoring of circulating DNA
addresses the need for disease detection and shows the ability to capture the
dynamic changes of the disease.
PMID- 27186496
TI - Maternal diabetes impairs oxidative and inflammatory response in murine placenta.
AB - Placenta is the major exchange surface between mother and fetus and plays a
pivotal role in fetal development. A better understanding of the mechanisms by
which diabetes alters placental function may allow better management of diabetes
pregnancies. In this study, we attempt to investigate the effect of diabetic
milieu with and without malformation on placental function. In order to
investigate the impact of diabetic pregnancy on oxidative stress, endothelial and
vascular functions of placental tissue, we mated diabetic and non-diabetic female
rats with normal male rats. At gestational day 17, we terminated pregnancy,
assessed fetuses for malformations and isolated placenta for measurement of
various parameters of placental function. Our results show that maternal diabetes
induced a state of oxidative stress in placenta, which disrupts normal signaling,
activating apoptosis, as well as perturbing endothelial and vascular placental
functions. The coalescence of these insults on various levels of placental
function could contribute to the pleiotropic nature of diabetes-induced placental
stress.
PMID- 27186497
TI - Mitigation of acrylamide by l-asparaginase from Bacillus subtilis KDPS1 and
analysis of degradation products by HPLC and HPTLC.
AB - The use of bacterial l-asparaginase (LA) is one of the alternative approaches for
acrylamide reduction in food stuffs as it catalyzes the conversion of l
asparagine to l-aspartic acid and ammonia. In present investigation, purification
of extracellular LA from isolate of Bacillus subtilis sp. strain KDPS-1 was
carried out by solid state fermentation process. The effects of solid substrates,
initial moisture content, moistening agents, temperature, and incubation time on
LA production was studied, and the highest asparaginase activity (47 IU/ml) was
achieved in the medium having orange peel as substrate. The enzyme was purified
to homogeneity by diethylaminoethyl (DEAE) cellulose ion exchange chromatography;
with 84.89 % yield and 12.11 fold purity. LA showed stimulant activity against
beta-mercaptoethanol and was greatly inhibited by Zn(2+) and Hg(2+) metal ions.
Reduction of acrylamide in fried potatoes was detected by high performance liquid
chromatography, which showed clear degradation of acrylamide by height and area
(%) in the chromatograms of standard sample to that of the test sample.
Hydrolysates analysis by high performance thin layer chromatography confirmed the
test sample to be LA.
PMID- 27186498
TI - The bizzare phenomenon of smokers' paradox in the immediate outcome post acute
myocardial infarction: an insight into the Malaysian National Cardiovascular
Database-Acute Coronary Syndrome (NCVD-ACS) registry year 2006-2013.
AB - BACKGROUND: 'Smoker's paradox' is a controversial phenomenon of an unexpected
favourable outcome of smokers post acute myocardial infarction. There are
conflicting evidences from the literature so far. We investigate for the
existence of this phenomenon in our post acute myocardial infarction patients.
METHODS: We analysed 12,442 active smokers and 10,666 never-smokers diagnosed
with STEMI and NSTEMI from the Malaysian National Cardiovascular Database-Acute
Coronary Syndrome (NCVD-ACS) year 2006-2013 from 18 hospitals across Malaysia.
Comparisons in the baseline characteristics, clinical presentation, in-hospital
treatment and short term clinical outcome were made between the two groups. To
compare the clinical outcome, an extensive multivariate adjustment was made to
estimate the allcause mortality risk ratios for both groups. RESULTS: The active
smokers were younger (smokers 53.7 years vs non-smokers 62.3 years P < 0.001) and
had lower cardiovascular risk burden and other co-morbidities. STEMI is more
common in smokers and intravenous thrombolysis was the main reperfusion therapy
in both groups. Smokers had a higher rate of in-hsopital coronary
revascularisation in NSTEMI group (21.6 % smokers vs 16.7 % non-smokers P <
0.001) but similar to non-smokers in the STEMI group. Multivariate adjusted
mortality risk ratios showed significantly lower mortality risks of smokers at
both in-hospital (RR 0.510 [95 % CI 0.442-0.613]) and 30-day post discharge (RR
0.534 [95 % CI 0.437-0.621]). CONCLUSION: Smoking seems to be associated with a
favourable outcome post myocardial infarction. The phenomenon of 'smoker's
paradox' is in fact a reality in our patients population. The definitive
explanation for this unexpected protective effect of smoking remains unclear.
PMID- 27186499
TI - Cervical myelopathy due to a solitary osteochondroma: a case report.
AB - INTRODUCTION: Osteochondroma is the most common benign bone tumor. However, the
incidence of osteochondroma in the spine is reported to be very rare. CASE
DESCRIPTION: This report presents the case of a 57-year-old man who suffered from
osteochondroma of the cervical spine. He had bilateral lower extremity pain for 3
years, developing pain of right upper extremity and gait disturbance. Plain
radiographic images and computed tomography scans showed bony lesion in right
C6/7 foramen and C6 lamina. Magnetic resonance images of whole spine showed
severe compression of spinal cord at the C6/7 and spinal canal stenosis at the
L3/4 level. First, we performed a surgery of the cervical spine, and removed the
tumor covered with the cartilaginous cap. The pathological diagnosis of the tumor
was osteochodroma. After the surgery, the symptoms on his right upper extremity
improved smoothly. Because the bilateral lower extremity pain remained, a L3/4
partial laminectomy was performed 1 month later, and the symptom improved. At 1
year after his primary operation, we could not find a recurrence of the tumor.
CONCLUSIONS: It is very important to perform a complete en bloc resection of the
tumor (especially cartilaginous cap) to prevent the recurrence.
PMID- 27186500
TI - Monitoring and behavior of unsaturated volcanic pyroclastic in the Metropolitan
Area of San Salvador, El Salvador.
AB - Field monitoring and laboratory results are presented for an unsaturated volcanic
pyroclastic. The pyroclastic belongs to the latest plinian eruption of the
Ilopango Caldera in the Metropolitan Area of San Salvador, and is constantly
affected by intense erosion, collapse, slab failure, sand/silt/debris flowslide
and debris avalanche during the rainy season or earthquakes. Being the flowslides
more common but with smaller volume. During the research, preliminary results of
rain threshold were obtained of flowslides, this was recorded with the TMS3 (a
moisture sensor device using time domain transmission) installed in some slopes.
TMS3 has been used before in biology, ecology and soil sciences, and for the
first time was used for engineering geology in this research. This device uses
electromagnetic waves to obtain moisture content of the soil and a calibration
curve is necessary. With the behavior observed during this project is possible to
conclude that not only climatic factors as rain quantity, temperature and
evaporation are important into landslide susceptibility but also information of
suction-moisture content, seepage, topography, weathering, ground deformation,
vibrations, cracks, vegetation/roots and the presence of crust covering the
surface are necessary to research in each site. Results of the field monitoring
indicates that the presence of biological soil crusts a complex mosaic of soil,
green algae, lichens, mosses, micro-fungi, cyanobacteria and other bacteria
covering the slopes surface can protect somehow the steep slopes reducing the
runoff process and mass wasting processes. The results obtained during the
assessment will help explaining the mass wasting problems occurring in some
pyroclastic soils and its possible use in mitigation works and early warning
system.
PMID- 27186501
TI - Biosorption of Congo Red from aqueous solution by crab shell residue: a
comprehensive study.
AB - The abundantly available bio waste, crab shell powder was used as an adsorbent
for the removal of pollutants like Congo Red. The morphological, textural and
chemical characterization of the biomass was done with SEM, XRD, EDS and FT-IR
studies. The nature and mechanism of the process were determined from
equilibrium, kinetic and thermodynamic studies. The results exhibited that the
bio waste surface is fractured, rough and porous. It is composed of various
surface functional groups which attracts organic pollutants. Equilibrium studies
conclude Adsorption is a favorable process and it is a monolayer covering the
surface. The maximum adsorption capacity, given by non-linear Langmuir isotherm
was 124.9 mg/g. In kinetic studies pseudo-second order model best described the
sorption kinetics compared to other models. Thermodynamic studies conclude that
the process is spontaneous, endothermic and a physical adsorption.
PMID- 27186502
TI - How eye movement and driving performance vary before, during, and after entering
a long expressway tunnel: considering the differences of novice and experienced
drivers under daytime and nighttime conditions.
AB - INTRODUCTION: Driving environment in tunnels is quite different from the ordinary
roadway sections, especially the entrance locations, which causes great
difficulty in obtaining and interpreting information through fixations and
saccades that are relevant to driving safety. Therefore, it is necessary to
understand driver's visual behaviors while entering a tunnel so as to take the
countermeasures for accident prevention. CASE DESCRIPTION: In order to identify
the variation of driver's visual features during the process of tunnel entry, 18
participants were recruited to take part in a driving test conducted in real
tunnel sections between Qipanguan toll and Jinshui toll of the G5 expressway in
Shaanxi, China. During this test, the drivers' fixations, saccades and driving
performances were captured for further analysis. DISCUSSION AND EVALUATION: The
test data revealed that the driver's number of fixations, duration of fixations
and number of saccades increased gradually at the transition zone. The number of
fixations, duration of fixations and number of saccades then drop slightly until
the end of the transition zone, and then rise just a little to a stable value
after fully adapting to the driving conditions inside the tunnel. Meanwhile, the
driver's number of saccades and saccade amplitude value decreased first, and then
increased gradually until reaching a relatively stable value inside the tunnel.
Additionally, drivers are more cautious at the transition zone, driving
conservatively at lower speed, while decreasing their steering wheel angle and
minimizing the vehicle's lateral deviation. Specially, novice drivers require a
longer transition zone before tunnel entry compared to the experienced ones.
Moreover, both novice and experienced drivers take more time to get prepared for
tunnel entry while driving at night. CONCLUSION: Tunnel entrance section is far
more dangerous, so drivers should be educated to get ready ahead for tunnel
entry, drive cautiously at lower speed and pay full attention to the traffic flow
conditions while driving through the tunnel, especially for the novice drivers in
night tasks. Tunnel entrance is suggested to have easily identifiable frame
design, with effective traffic signs placed at least 170 m before the entrance
and gradually changeable LED lighting along the transition zone. All these
suggestions provide insight into potential strategies for reducing and preventing
traffic accidents and injuries at the tunnel locations.
PMID- 27186503
TI - Improving the prediction of going concern of Taiwanese listed companies using a
hybrid of LASSO with data mining techniques.
AB - The purpose of this study is to establish rigorous and reliable going concern
doubt (GCD) prediction models. This study first uses the least absolute shrinkage
and selection operator (LASSO) to select variables and then applies data mining
techniques to establish prediction models, such as neural network (NN),
classification and regression tree (CART), and support vector machine (SVM). The
samples of this study include 48 GCD listed companies and 124 NGCD (non-GCD)
listed companies from 2002 to 2013 in the TEJ database. We conduct fivefold cross
validation in order to identify the prediction accuracy. According to the
empirical results, the prediction accuracy of the LASSO-NN model is 88.96 % (Type
I error rate is 12.22 %; Type II error rate is 7.50 %), the prediction accuracy
of the LASSO-CART model is 88.75 % (Type I error rate is 13.61 %; Type II error
rate is 14.17 %), and the prediction accuracy of the LASSO-SVM model is 89.79 %
(Type I error rate is 10.00 %; Type II error rate is 15.83 %).
PMID- 27186504
TI - Analytical modeling of gas production rate in tight channel sand formation and
optimization of artificial fracture.
AB - Permeability variation in tight channel sand formation makes an important role in
gas production. Based on the features of channel sand formation, a mathematical
model has been established considering anisotropy of permeability. The analytical
solutions were derived for productivity of both vertical wells and vertically
fractured wells. Simulation results show that, gas production rate of anisotropic
channel sand formation is less than that of isotropic formation. For vertically
fractured well, artificial fracture direction, drainage radius, permeability
ratio and fracture half-length have considerable influence on production rate.
The optimum fracture direction should be deviated less than pi/8 from the maximum
permeability direction (or the channel direction). In addition, the analytical
model was verified by in situ measured data. The research provides theoretical
basis for the development of tight channel sand gas reservoirs.
PMID- 27186505
TI - Influence of salinity and temperature on uptake of perfluorinated carboxylic
acids (PFCAs) by hydroponically grown wheat (Triticum aestivum L.).
AB - Perfluoroalkyl substances (PFASs) have recently attracted increasing concerns due
to their ubiquitous existence, adverse effects and persistence in environment.
This study employed four perfluorinated carboxylic acids (PFCAs) to examine
effects of salinity and temperature on the PFAS uptake in wheat, one of the major
crops in the North China Plain. Wheat plants were grown in the spiked-PFCA
hydroponic culture system at different salinities and temperatures. As expected,
salinity and temperature significantly impacted the root uptake and translocation
of wheat to four PFCAs, and the concentrations for each of PFCAs in wheat root
and shoot increased with increasing salinity and temperature, respectively. PFCA
concentrations at high salinity or high temperature were up to thrice those found
at low salinity or low temperature. Except for perfluorobutanoic acid, the amount
of PFCAs in root was always higher than that in shoot at the ranges of salinity
and temperature tested. Additionally salinity and temperature were also capable
of influencing the transfer factors (TFs) of four PFCAs, and significant increase
was observed in the TFs in response to the increases in salinity and temperature.
PMID- 27186506
TI - Effects of bloom-forming cyanobacterial extracellular polymeric substances on the
adsorption of cadmium onto kaolinite: behaviors and possible mechanisms.
AB - Cyanobacterial blooms result in high level of cyanobacterial extracellular
polymeric substances (EPS) in water. The effects of bloom-forming cyanobacterial
EPS on the distribution of Cd(II) in the interface between sediment and water is
unknown. Clay is a main component in sediment. The effects of EPS, originated
from a typical bloom-forming cyanobacterium Microcystis aeruginosa, on the
adsorption and desorption characteristics of Cd(II) by kaolinite were
investigated in this study. Results of XRD analysis indicated that cyanobacterial
EPS bound on the surface of kaolinite. The composite of kaolinite + EPS showed
higher adsorption capacity toward Cd(II) than pure kaolinite, and hydroxyl groups
were involved in the adsorption processes. The data for the adsorption of Cd(II)
by kaolinite are well fitted by both Langmuir model and Freundlich model, whereas
only Freundlich model well describes the adsorption data of Cd(II) by the
composite of kaolinite + EPS. The adsorption of Cd(II) onto kaolinite was an
exothermic process, but it became an endothermic process after EPS incorporation.
Results of desorption showed that EPS incorporation increased the adsorption of
kaolinite toward Cd(II) through physical adsorption, ion exchange and
complexation.
PMID- 27186507
TI - Robot body self-modeling algorithm: a collision-free motion planning approach for
humanoids.
AB - Motion planning for humanoid robots is one of the critical issues due to the high
redundancy and theoretical and technical considerations e.g. stability, motion
feasibility and collision avoidance. The strategies which central nervous system
employs to plan, signal and control the human movements are a source of
inspiration to deal with the mentioned problems. Self-modeling is a concept
inspired by body self-awareness in human. In this research it is integrated in an
optimal motion planning framework in order to detect and avoid collision of the
manipulated object with the humanoid body during performing a dynamic task.
Twelve parametric functions are designed as self-models to determine the boundary
of humanoid's body. Later, the boundaries which mathematically defined by the
self-models are employed to calculate the safe region for box to avoid the
collision with the robot. Four different objective functions are employed in
motion simulation to validate the robustness of algorithm under different
dynamics. The results also confirm the collision avoidance, reality and stability
of the predicted motion.
PMID- 27186508
TI - Results of screw fixation in Mason type II radial head fractures.
AB - PURPOSE: The treatment of Mason type II fractures is controversial, and the aim
of our study is to define the outcome of surgical treatment with screw fixation
in the Mason type II radial head fracture. METHODS: The study was carried out
between 2011 and 2015, and included 14 men and 9 women, with isolated Mason type
II radial head fractures which were treated operatively with screw fixation.
Cases involving the additional ligament injury or fractures in other areas, or
having a follow-up period which is greater than 11 months were excluded. The
clinical and radiological results of our patients were assessed, using the Mayo
Elbow Performance Score (MEPS). RESULTS: The average MEPS was 95.86 points. 100
degree arcs of motion were attained by a total of 21 patients (91 %) for both
flexion-extension and pronation-supination. Nevertheless, 2 patients (9 %) did
not recover the 100 degree arcs for the flexion-extension. CONCLUSION: Anatomical
reduction of type II radial head fractures through open surgery and fixation with
screws can have favorable results. LEVEL OF EVIDENCE: Level IV, Retrospective
design.
PMID- 27186509
TI - Tuberculosis in infants: a retrospective study in China.
AB - To describe the demographics, clinical characteristics and microbiologic findings
of infant (<=2 years old) tuberculosis (TB) in a high TB burden country. Between
Feb, 2007 and Jun, 2015, 115 TB infants who admitted to our hospital were
enrolled in the study. Their clinicopathological characteristics were reviewed
and analyzed. The mean age was 10.1 +/- 7.4 (SD) months, and 84 of 115 infants
(73.0 %) were males. 23 patients (20.0 %) had isolated pulmonary TB, 18 patients
(15.7 %) had pulmonary and extrapulmonary TB (EPTB), the remaining 74 patients
(64.4 %) had exclusively EPTB. The most common site of EPTB was lymph node (n =
61), 54 cases were left axillary lymph node involvement. 49 of 51 patients (96.1
%) were validated by pathological examination, 5 of 57 patients (8.8 %) were
positive on acid fast bacilli smear, and 27 of 103 patients (26.2 %) were
confirmed by mycobacterial culture. 29 of 59 patients (49.2 %) were PPD positive,
14 of 30 patients (46.7 %) were T-SPOT.TB positive. The most common complaints of
patients were lymph node swelling (53.0 %), fever (36.5 %), cough (28.7 %) and
dyspnea (10.4 %). There was significant difference in the time before hospital
admission among different types of tuberculosis (P < 0.01), fever was also a
factor influencing the time (P < 0.05). In infants, the sensitivities of routine
TB tests were low and emphasize the need for improved diagnostics; EPTB was more
common than pulmonary TB, tuberculous lymphadenitis constituted a high proportion
of EPTB; there appears to be an association between the incidence of axillary
lymph node TB and BCG vaccination among infants in China.
PMID- 27186510
TI - Treatment of limited-stage small cell lung cancer in the elderly, chemotherapy
vs. sequential chemoradiotherapy vs. concurrent chemoradiotherapy: that's the
question.
AB - Chemotherapy is the mainstay of the treatment in limited disease (LD) and
extended disease (ED) small cell lung cancer (SCLC) patients, while concurrent
chemoradiotherapy (CRT) is the standard of care in healthy patients with LD.
However, this intensive treatment is associated with significantly more toxicity
in the subset of patients aged 70 years or more. To date, most of available data
concerning CRT in elderly derived from retrospective analyzes, usually conducted
on small samples of patients, poorly representative of this population. Modern
CRT appears to confer a survival benefit compared to chemotherapy alone in a
recent retrospective analysis conducted on elderly patients with LD-SCLC. Age
alone should not be a contraindication for multimodality treatment in this subset
of patients.
PMID- 27186513
TI - Pros: concurrent chemo-radiotherapy remains the ideal treatment in fit patients
with large volume unresectable stage III non-small cell lung cancer.
AB - The debate of treating stage III, large volume non-small cell lung cancer (NSCLC)
with definitive chemo-radiotherapy continues to be waged. A physically fit
patient, having large volume and unresectable disease is the ideal candidate for
this treatment approach. The ability of this patient population to successfully
complete, and thereby benefit from an aggressive, combined treatment to improve
local control and survival, drives the recommendation of treating oncologists for
this approach. Until a phase III trial proves otherwise, concurrent chemo
radiotherapy will remain the ideal treatment for fit patients having large volume
unresectable stage III NSCLC.
PMID- 27186514
TI - Cons: concurrent chemo-radiotherapy remains the ideal treatment in fit patients
with inoperable large volume stage III non-small cell lung cancer.
PMID- 27186512
TI - The role of epithelial to mesenchymal transition in resistance to epidermal
growth factor receptor tyrosine kinase inhibitors in non-small cell lung cancer.
AB - Inhibition of the epidermal growth factor receptor (EGFR) is an important
strategy when treating non-small cell lung cancer (NSCLC) patients. However,
intrinsic resistance or development of resistance during the course of treatment
constitutes a major challenge. The knowledge on EGFR-directed tyrosine kinase
inhibitors (TKIs) and their biological effect keeps increasing. Within the group
of patients with EGFR mutations some benefit to a much higher degree than others,
and for patients lacking EGFR mutations a subset experience an effect. Up to 70%
of patients with EGFR mutations and 10-20% of patients without EGFR mutations
initially respond to the EGFR-TKI erlotinib, but there is a severe absence of
good prognostic markers. Despite initial effect, all patients acquire resistance
to EGFR-TKIs. Multiple mechanisms have implications in resistance development,
but much is still to be explored. Epithelial to mesenchymal transition (EMT) is a
transcriptionally regulated phenotypic shift rendering cells more invasive and
migratory. Within the EMT process lays a need for external or internal stimuli to
give rise to changes in central signaling pathways. Expression of mesenchymal
markers correlates to a bad prognosis and an inferior response to EGFR-TKIs in
NSCLC due to the contribution to a resistant phenotype. A deeper understanding of
the role of EMT in NSCLC and especially in EGFR-TKI resistance-development
constitute one opportunity to improve the benefit of TKI treatment for the
individual patient. Many scientific studies have linked the EMT process to EGFR
TKI resistance in NSCLC and our aim is to review the role of EMT in both
intrinsic and acquired resistance to EGFR-TKIs.
PMID- 27186511
TI - Epigenetics in non-small cell lung cancer: from basics to therapeutics.
AB - Lung cancer remains the number one cause of cancer-related deaths worldwide with
221,200 estimated new cases and 158,040 estimated deaths in 2015. Approximately
80% of cases are non-small cell lung cancer (NSCLC). The diagnosis is usually
made at an advanced stage where the prognosis is poor and therapeutic options are
limited. The evolution of lung cancer is a multistep process involving genetic,
epigenetic, and environmental factor interactions that result in the
dysregulation of key oncogenes and tumor suppressor genes, culminating in
activation of cancer-related signaling pathways. The past decade has witnessed
the discovery of multiple molecular aberrations that drive lung cancer growth,
among which are epidermal growth factor receptor (EGFR) mutations and
translocations involving the anaplastic lymphoma kinase (ALK) gene. This has
translated into therapeutic agent developments that target these molecular
alterations. The absence of targetable mutations in 50% of NSCLC cases and
targeted therapy resistance development underscores the importance for developing
alternative therapeutic strategies for treating lung cancer. Among these
strategies, pharmacologic modulation of the epigenome has been used to treat lung
cancer. Epigenetics approaches may circumvent the problem of tumor heterogeneity
by affecting the expression of multiple tumor suppression genes (TSGs), halting
tumor growth and survival. Moreover, it may be effective for tumors that are not
driven by currently recognized druggable mutations. This review summarizes the
molecular pathology of lung cancer epigenetic aberrations and discusses current
efforts to target the epigenome with different pharmacological approaches. Our
main focus will be on hypomethylating agents, histone deacetylase (HDAC)
inhibitors, microRNA modulations, and the role of novel epigenetic biomarkers.
Last, we will address the challenges that face this old-new strategy in treating
lung cancer.
PMID- 27186515
TI - Rebuttal from Prof. Kong and Dr. Rabatic.
PMID- 27186516
TI - Rebuttal from Prof. Rodrigues.
PMID- 27186518
TI - Erlotinib plus concurrent whole-brain radiation therapy for non-small cell lung
cancers patients with multiple brain metastases.
AB - Sequencing of the epidermal growth factor receptor (EGFR) gene to identify
mutations in lung adenocarcinomas is routine in clinical practice. The use of
tyrosine kinase inhibitors (TKIs) has transformed the management of patients with
brain metastases harboring EGFR mutations, with improved response rates (RR) and
survival. We evaluate the role of concurrent TKI therapy and radiotherapy in this
group of patients, considering this data in the context of emerging concepts in
this advancing field.
PMID- 27186519
TI - Translational Lung Cancer Research is endorsed by the Brazilian Society of
Thoracic Surgery.
PMID- 27186517
TI - Prognostic value of the expression of estrogen receptor beta in patients with non
small cell lung cancer: a meta-analysis.
AB - BACKGROUND: Lung cancer remains the leading cause of cancer-related deaths in men
and the second leading cause in women worldwide. It is becoming increasingly
clear that estrogen and estrogen receptors are involved in the pathogenesis and
development of lung cancer. However, observational studies on the prognostic role
of estrogen receptor beta (ERbeta) in non-small cell lung cancer (NSCLC) are
controversial. METHODS: To clarify the impact of ERbeta in NSCLC survival, we
performed this meta-analysis that included eligible studies. The combined hazard
ratios (HR) and their corresponding 95% confidence intervals (CIs) were
calculated in terms of overall survival. RESULTS: A total of eleven studies with
3,300 patients were evaluable for this meta-analysis. Our results suggested that
ERbeta overexpression had no relationship on survival of patients with NSCLC, the
HR (95% CI) was 1.000 (0.954-1.047) overall. Moreover, there was no heterogeneity
between the studies. CONCLUSIONS: ERbeta overexpression indicates no relationship
of prognosis for patients with NSCLC.
PMID- 27186520
TI - Retraction to platelets and their role in cancer evolution and immune system.
AB - [This retracts the article on p. 713 in vol. 4, PMID: 26798580.].
PMID- 27186521
TI - Obstetrical, maternal characteristics and outcome of HIV-infected rapid
progressor infants at Yaounde: a retrospective study.
AB - BACKGROUND: Rapid progressors are exposed to HIV infection at an early stage of
life, and the prognosis is poor without treatment. Reducing the proportion of
infants who are rapid progressors, require strengthening strategies to achieve
the highest level of performance for the PMTCT program. METHODS: This was a
retrospective study carried out on HIV infected infants aged less than 12 months,
clinically classified stage 4 (WHO) or having CD4 count <25%. We described
maternal and obstetrical characteristics of HIV-infected rapid progressors using
univariate and bivariate analysis. Patients' survival was monitored from the
inclusion time to the end of the study. We then estimated their probability of
survival with or without anti-retroviral (ARV) treatment from birth using the
Kaplan-Meier method. RESULTS: The characteristics of the mothers of the 150 rapid
progressors infants we included were: low level of education (OR=3.87; P=0.016),
CD4 count less than 200/mm(3) (OR=43.3; P=0.000), absence of ARV prophylaxis
(OR=6.02; P=0.043), or treatment with HAART (OR=5.74; P=0.000) during pregnancy.
In the children, the most important findings were lack of co-trimoxazole
prophylaxis (OR=11.61; P=0.000) and antiretroviral prophylaxis (OR=2.70;
P=0.0344). The survival rate was 84.3% in infants who were receiving HAART as
opposed to 43.3% in those who were not (P<0.05). CONCLUSIONS: HIV infected women
who are eligible should start antiretroviral treatment prior to a pregnancy, in
order to improve their immunological status. This measure associated to
cotrimoxazole prophylaxis and ART could improve their survival.
PMID- 27186522
TI - Surgical strategies for pediatric epilepsy.
AB - Pediatric epilepsy is a debilitating condition that impacts millions of patients
throughout the world. Approximately 20-30% of children with recurrent seizures
have drug-resistant epilepsy (DRE). For these patients, surgery offers the
possibility of not just seizure freedom but significantly improved neurocognitive
and behavioral outcomes. The spectrum of surgical options is vast, ranging from
outpatient procedures such as vagus nerve stimulation to radical interventions
including hemispherectomy. The thread connecting all of these interventions is a
common goal-seizure freedom, an outcome that can be achieved safely and durably
in a large proportion of patients. In this review, we discuss many of the most
commonly performed surgical interventions and describe the indications,
complications, and outcomes specific to each.
PMID- 27186525
TI - Chromosomal instability syndromes-the most classical and representative types of
rare diseases.
PMID- 27186523
TI - Emerging surgical therapies in the treatment of pediatric epilepsy.
AB - In the approximately 1% of children affected by epilepsy, pharmacoresistance and
early age of seizure onset are strongly correlated with poor cognitive outcomes,
depression, anxiety, developmental delay, and impaired activities of daily
living. These children often require multiple surgical procedures, including
invasive diagnostic procedures with intracranial electrodes to identify the
seizure-onset zone. The recent development of minimally invasive surgical
techniques, including stereotactic electroencephalography (SEEG) and MRI-guided
laser interstitial thermal therapy (MRgLITT), and new applications of
neurostimulation, such as responsive neurostimulation (RNS), are quickly changing
the landscape of the surgical management of pediatric epilepsy. In this review,
the authors discuss these various technologies, their current applications, and
limitations in the treatment of pediatric drug-resistant epilepsy, as well as
areas for future research. The development of minimally invasive diagnostic and
ablative surgical techniques together with new paradigms in neurostimulation hold
vast potential to improve the efficacy and reduce the morbidity of the surgical
management of children with drug-resistant epilepsy.
PMID- 27186524
TI - Phenotypes and genotypes of the chromosomal instability syndromes.
AB - As defined initially, chromosome instability syndromes (CIS) are a group of
inherited conditions transmitted in autosomal recessive pattern characterised
with both mental and physical development delay generally. They are also with
other medical complications in individuals with CIS commonly including different
degree of dysmorphics, organs/systems dys-function and high risk of cancer
predisposition. Chromosomal breakage from CIS can be seen either in spontaneous
breakage around 10-15% observed in Fanconi anemia or induced by clastogenic
agents such as mitomycin (MMC), diepoxybutane (DEB). The spontaneous chromosome
breakage is less common but it correlates with patient clinical severity.
Relative high rates of some types of CIS can occur in certain ethnic groups.
Individuals with CIS are commonly in childhood and these disorders are often
lethal. Diagnosis is complicated usually because the symptoms presented from
individuals with CIS may be varied and complex. Advances in molecular level have
identified genes responsible for such group diseases/disorders demonstrated that
CIS are characterized by the genome instability, defect in DNA repair mechanisms.
Latest advances in high-throughput technologies have been increasing sequencing
capabilities to facilitate more accurate data for such syndrome researches. CIS
are the typical rare diseases and becoming more challenges in pediatrics clinic.
In the last two decades, there were no many articles to review and analysis CIS
together to comparing their phenotypes and genotypes. In this article, the
similarity and differences of the phenotypes and genotypes of CIS were reviewed
to understanding the whole profiles of CIS to assist laboratory genetic
diagnostic services in CIS and for the confirmation from the clinical referrals.
PMID- 27186526
TI - A case of Cushing ulcer in an 8-month-old patient with medulloblastoma.
AB - The authors present the first case of a Cushing ulcer in an infant with
medulloblastoma who, despite being administered stress ulcer prophylaxis,
worsened after corticosteroids were initiated. An 8-month-old boy presented with
progressive vomiting, lethargy, and decreased oral intake. Imaging revealed a
heterogeneous fourth ventricular mass. Preoperatively, the patient was started on
dexamethasone. The patient underwent an uncomplicated external ventricular drain
placement and suboccipital craniotomy for resection of the lesion. The results of
the pathological analysis were consistent with medulloblastoma. Postoperatively,
the patient had melanotic stools, which were reported to be occurring for months
prior to presentation. Two proximal duodenal bulb ulcers were found and required
definitive surgical repair. The patient recovered from the acute postsurgical
course after continued stress ulcer prophylaxis and is currently undergoing
chemotherapy.
PMID- 27186527
TI - An extremely rare case of metastatic retinoblastoma of parotids presenting as a
massive swelling in a child.
AB - Retinoblastoma (Rb) is a common childhood malignancy but bilateral Rb with
metastasis to parotids is very uncommon. To the best of our knowledge, bilateral
Rb metastasizing to parotids is very rare and this is the fifth such case
reported in world literature till date in a 2-year-old male child who underwent
exenteration of left eye for bilateral Rb and later developed recurrent
metastasis to left parotid requiring parotidectomy. A year later he presented
again with swelling left parotid region extending from occipital region reaching
upto left anterior chest wall with intra-cranial extension on magnetic resonance
imaging. Histopathological examination of the parotid swelling and
immunohistochemistry showed metastasis from Rb. He was treated with chemotherapy
followed by radiotherapy to local site and brain to which he responded well.
Presently on regular follow up without any signs of locoregional and distal
metastasis. Till date different types of primary parotid tumors have been
reported in literature but a metastatic parotid tumor is extremely rare and
therefore this case is being reported to highlight the extreme rarity, the
diagnostic and therapeutic challenges, the highly aggressive nature and overall
dismal prognosis of this disease entity.
PMID- 27186528
TI - Periungual myiasis caused by wohlfahrtia magnifica mimicking an ingrown toenail.
AB - Myiasis is the infestation of organs and tissues of human being or other
vertebrate animals with dipterous larvae. Myiasis is generally rare in humans,
especially in children. We present the first case of periungual myiasis by
Wohlfahrtia magnifica in a 5-year-old female. Wohlfahrtia magnifica is an
obligatory parasite, which has been described as cause of ophthalmomyiasis,
otomyiasis, oral myiasis, vulvar myiasis and wound myiasis. Treatment of myiasis
and ingrown toenail is generally non-operative although both entities can be
serious and/or non-responsive to conservative therapy.
PMID- 27186529
TI - The skinny on metabolic syndrome in adolescents.
PMID- 27186530
TI - Delayed cord clamping in red blood cell alloimmunization: safe, effective, and
free?
AB - Hemolytic disease of the newborn (HDN), an alloimmune disorder due to maternal
and fetal blood type incompatibility, is associated with fetal and neonatal
complications related to red blood cell (RBC) hemolysis. After delivery, without
placental clearance, neonatal hyperbilirubinemia may develop from ongoing
maternal antibody-mediated RBC hemolysis. In cases refractory to intensive
phototherapy treatment, exchange transfusions (ET) may be performed to prevent
central nervous system damage by reducing circulating bilirubin levels and to
replace antibody-coated red blood cells with antigen-negative RBCs. The risks and
costs of treating HDN are significant, but appear to be decreased by delayed
umbilical cord clamping at birth, a strategy that promotes placental transfusion
to the newborn. Compared to immediate cord clamping (ICC), safe and beneficial
short-term outcomes have been demonstrated in preterm and term neonates receiving
delayed cord clamping (DCC), a practice that may potentially be effective in
cases RBC alloimmunization.
PMID- 27186531
TI - Sperm retrieval in adolescent males with Klinefelter syndrome: medical and
ethical issues.
PMID- 27186532
TI - Translational Pediatrics is indexed in PubMed/PubMed Central (PMC).
PMID- 27186533
TI - Interdisciplinary Researches in Iran IV: The Road Map of Ocular Image Analysis
Research Group.
PMID- 27186535
TI - A Novel Thresholding Based Algorithm for Detection of Vertical Root Fracture in
Nonendodontically Treated Premolar Teeth.
AB - In this paper, an efficient algorithm is proposed for detection of vertical root
fractures (VRFs) in periapical (PA), and cone-beam computed tomography (CBCT)
radiographs of nonendodontically treated premolar teeth. PA and CBCT images are
divided into some sub-categories based on the fracture space between the two
fragments as small, medium, and large for PAs and large for CBCTs. These graphics
are first denoised using the combination of block matching 3-D filtering, and
principle component analysis model. Then, we proposed an adaptive thresholding
algorithm based on the modified Wellner model to segment the fracture and canal.
Finally, VRFs are identified with a high accuracy through applying continuous
wavelet transform on the segmented radiographs and choosing the most optimal
value for sub-images based on the lowest interclass variance. Performance of the
proposed algorithm is evaluated utilizing the different tested criteria. Results
illustrate that the range of specificity deviations for PA and CBCT radiographs
are 99.69 +/- 0.22 and 99.02 +/- 0.77, respectively. Furthermore, the sensitivity
changes from 61.90 to 77.39 in the case of PA and from 79.54 to 100 in the case
of CBCT. Based on our statistical evaluation, the CBCT imaging has the better
performance in comparison with PA ones, so this technique could be a useful tool
for clinical applications in determining the VRFs.
PMID- 27186536
TI - Radio Frequency Ultrasound Time Series Signal Analysis to Evaluate High-intensity
Focused Ultrasound Lesion Formation Status in Tissue.
AB - High-intensity focused ultrasound (HIFU) is a novel treatment modality used by
scientists and clinicians in the recent decades. This modality has had a great
and significant success as a noninvasive surgery technique applicable in tissue
ablation therapy and cancer treatment. In this study, radio frequency (RF)
ultrasound signals were acquired and registered in three stages of before,
during, and after HIFU exposures. Different features of RF time series signals
including the sum of amplitude spectrum in the four quarters of the frequency
range, the slope, and intercept of the best-fit line to the entire power spectrum
and the Shannon entropy were utilized to distinguish between the HIFU-induced
thermal lesion and the normal tissue. We also examined the RF data, frame by
frame to identify exposure effects on the formation and characteristics of a HIFU
thermal lesion at different time steps throughout the treatment. The results
obtained showed that the spectrum frequency quarters and the slope and intercept
of the best fit line to the entire power spectrum both increased two times during
the HIFU exposures. The Shannon entropy, however, decreased after the exposures.
In conclusion, different characteristics of RF time series signal possess
promising features that can be used to characterize ablated and nonablated
tissues and to distinguish them from each other in a quasi-quantitative fashion.
PMID- 27186534
TI - Quantitative Assessment of Retinopathy Using Multi-parameter Image Analysis.
AB - A multi-parameter quantification method was implemented to quantify retinal
vascular injuries in microscopic images of clinically relevant eye diseases. This
method was applied to wholemount retinal trypsin digest images of diabetic
Akita/+, and bcl-2 knocked out mice models. Five unique features of retinal
vasculature were extracted to monitor early structural changes and retinopathy,
as well as quantifying the disease progression. Our approach was validated
through simulations of retinal images. Results showed fewer number of cells (P =
5.1205e-05), greater population ratios of endothelial cells to pericytes (PCs) (P
= 5.1772e-04; an indicator of PC loss), higher fractal dimension (P = 8.2202e
05), smaller vessel coverage (P = 1.4214e-05), and greater number of acellular
capillaries (P = 7.0414e-04) for diabetic retina as compared to normal retina.
Quantification using the present method would be helpful in evaluating
physiological and pathological retinopathy in a high-throughput and reproducible
manner.
PMID- 27186537
TI - Assessment of the Focal Hepatic Lesions Using Diffusion Tensor Magnetic Resonance
Imaging.
AB - The goal is assessing the diffusion magnetic resonance imaging (dMRI) method
efficiency in characterizing focal hepatic lesions (FHLs). About 28-FHL patients
were studied in Radiology and Clinical Imaging Department of our University
Hospital using 1.5 Tesla MRI system between January 2010 and June 2011. Patients
underwent hepatic MRI consisting of dynamic T1- and T2-weighted imaging. The dMRI
was performed with b-values of 200 s/mm(2) and 600 s/mm(2). About 42 lesions
measuring more than 1 cm were studied including the variation of the signal
according to the b-value and the apparent diffusion coefficient (ADC). The
diagnostic imaging reference was based on standard MRI techniques data for
typical lesions and on histology after surgical biopsy for atypical lesions.
About 38 lesions were assessed including 13 benign lesions consisting of 1 focal
nodular hyperplasia, 8 angiomas, and 4 cysts. About 25 malignant lesions included
11 hepatocellular carcinoma, 9 hepatic metastases, 1 cholangiocarcinoma, and 4
lymphomas. dMRI of soft lesions demonstrated higher ADC of 2.26 +/- 0.75 mm(2)/s,
whereas solid lesions showed lower ADC 1.19 +/- 0.33 mm(2)/s with significant
difference (P = 0.05). Discrete values collections were noticed. These results
were correlated to standard MRI and histological findings. Sensitivity of 93% and
specificity of 84% were found in diagnoses of malignant tumors with an ADC
threshold of 1.6 * 10(-3) mm(2)/s. dMRI is important characterization method of
FHL. However, it should not be used as single criteria of hepatic lesions
malignity. MRI, clinical, and biological data must be correlated. Significant
difference was found between benign and solid malignant lesions without threshold
ADC values. Hence, it is difficult to confirm ADC threshold differentiating the
lesion classification.
PMID- 27186538
TI - Synthesis and In vitro Evaluation of Electrodeposited Barium Titanate Coating on
Ti6Al4V.
AB - Osseointegration has been the concern of implantology for many years. Researchers
have used various ceramic coatings for this purpose; however, piezoelectric
ceramics (e.g., barium titanate [BTO]) are a novel field of interest. In this
regard, BTO (BaTiO3) coating was fabricated by electrophoretic deposition on
Ti6Al4V medical alloy, using sol-gel-synthesized nanometer BTO powder. Structure
and morphologies were studied using X-ray diffraction and scanning electron
microscopy (SEM), respectively. Bioactivity response of coated samples was
evaluated by SEM and inductively coupled plasma (ICP) analysis after immersion in
simulated body fluid (SBF). Cell compatibility was also studied via MTT assay and
SEM imaging. Results showed homogenous coating with cubic structure and
crystallite size of about 41 nm. SEM images indicated apatite formation on the
coating after 7 days of SBF immersion, and ICP analysis approved ions
concentration decrement in SBF. Cells showed flattened morphology in intimate
contact with coating after 7 days of culture. Altogether, coated samples
demonstrated appropriate bioactivity and biocompatibility.
PMID- 27186539
TI - Multiple MTS Assay as the Alternative Method to Determine Survival Fraction of
the Irradiated HT-29 Colon Cancer Cells.
AB - A multiple colorimetric assay has been introduced to evaluate the proliferation
and determination of survival fraction (SF) of irradiated cells. The estimation
of SF based on the cell-growth curve information is the major advantage of this
assay. In this study, the utility of multiple-MTS assay for the SF estimation of
irradiated HT-29 colon cancer cells, which were plated before irradiation, was
evaluated. The SF of HT-29 colon cancer cells under irradiation with 9 MV photon
was estimated using multiple-MTS assay and colony assay. Finally, the correlation
between two assays was evaluated. Results showed that there are no significant
differences between the SF obtained by two assays at different radiation doses (P
> 0.05), and the survival curves have quite similar trends. In conclusion,
multiple MTS-assay can be a reliable method to determine the SF of irradiated
colon cancer cells that plated before irradiation.
PMID- 27186540
TI - Prediction of the Wrist Joint Position During a Postural Tremor Using Neural
Oscillators and an Adaptive Controller.
AB - The prediction of the joint angle position, especially during tremor bursts, can
be useful for detecting, tracking, and forecasting tremors. Thus, this research
proposes a new model for predicting the wrist joint position during rhythmic
bursts and inter-burst intervals. Since a tremor is an approximately rhythmic and
roughly sinusoidal movement, neural oscillators have been selected to underlie
the proposed model. Two neural oscillators were adopted. Electromyogram (EMG)
signals were recorded from the extensor carpi radialis and flexor carpi radialis
muscles concurrent with the joint angle signals of a stroke subject in an arm
constant-posture. The output frequency of each oscillator was equal to the
frequency corresponding to the maximum value of power spectrum related to the
rhythmic wrist joint angle signals which had been recorded during a postural
tremor. The phase shift between the outputs of the two oscillators was equal to
the phase shift between the muscle activation of the wrist flexor and extensor
muscles. The difference between the two oscillators' output signals was
considered the main pattern. Along with a proportional compensator, an adaptive
neural controller has adjusted the amplitude of the main pattern in such a way so
as to minimize the wrist joint prediction error during a stroke patient's tremor
burst and a healthy subject's generated artificial tremor. In regard to the range
of wrist joint movement during the observed rhythmic motions, a calculated
prediction error is deemed acceptable.
PMID- 27186541
TI - The law of therapeutic parsimony.
PMID- 27186542
TI - The Draupadi of dyslipidemia: Familial hypercholesterolemia.
PMID- 27186544
TI - Clinical, hormonal and radiological profile of 46XY disorders of sexual
development.
AB - BACKGROUND AND OBJECTIVES: 46 XY disorders of sexual development (DSD) cover a
wide spectrum of phenotypes ranging from unambiguous female genitalia to
ambiguous male genitalia with hypospadias or dysgenetic gonads. Management of
these patients depends on the cause of DSD, degree of feminization, age at
presentation, and gender orientation. The aim of this study was to evaluate the
presentation and management of patients with 46XY DSD at our center. PATIENTS AND
METHODS: All new and old patients of 46XY DSD attending the endocrine OPD in a
period of 16 months were included in this study. Clinical, cytogenetic, hormonal,
and radiological evaluation were done to identify the cause of DSD. RESULTS:
Among 19 patients, eight were diagnosed with disorders of gonadal development
(one with complete gonadal dysgenesis, four with partial gonadal dysgenesis, two
with congenital bilateral anorchia, and one with ovotesticular DSD) and eight
with disorders of androgen synthesis and action (one with complete androgen
insensitivity syndrome [AIS], three with partial AIS and four with 5alpha
reductase deficiency). In three patients, a definitive diagnosis could not be
made. CONCLUSIONS: Management of patients with DSD depends on etiology, gender
assignment, gender orientation, hormonal treatment, genital surgery, and
consequent psychosocial implications. Due to the overlapping clinical and
biochemical parameters in different subsets of DSD, only a preliminary
etiological diagnosis can be made in some cases. Genetic studies with long-term
follow-up are required for an accurate diagnosis.
PMID- 27186543
TI - Efficacy and safety of biphasic insulin aspart and biphasic insulin lispro mix in
patients with type 2 diabetes: A review of the literature.
AB - Type 2 diabetes (T2D) represents an escalating burden worldwide, particularly in
China and India. Compared with Caucasians, Asian people with diabetes have lower
body mass index, increased visceral adiposity, and postprandial glucose
(PPG)/insulin resistance. Since postprandial hyperglycemia contributes
significantly to total glycemic burden and is associated with heightened
cardiovascular risk, targeting PPG early in T2D is paramount. Premixed insulin
regimens are widely used in Asia due to their convenience and effectiveness. Data
from randomized controlled trials and observational studies comparing efficacy
and safety of biphasic insulin aspart 30 (BIAsp 30) with biphasic insulin lispro
mix (LM 25/50) and versus other insulin therapies or oral antidiabetic drugs
(OADs) in T2D demonstrated that BIAsp 30 and LM 25/50 were associated with
similar or greater improvements in glycemic control versus comparator regimens,
such as basal-bolus insulin, in insulin-naIve, and prior insulin users. Studies
directly comparing BIAsp 30 and LM 25 provided conflicting glycemic control
results. Safety data generally showed increased hypoglycemia and weight gain with
premixed insulins versus basal-bolus insulin or OADs. However, large
observational trials documented improvements in glycated hemoglobin, PPG, and
hypoglycemia with BIAsp 30 in multi-ethnic patient populations. In summary, this
literature review demonstrates that premixed insulin regimens are an appropriate
and effective treatment choice in T2D.
PMID- 27186545
TI - Outcome and preferences in female-to-male subjects with gender dysphoria:
Experience from Eastern India.
AB - CONTEXT: Awareness of gender dysphoria (GD) and its treatment is increasing.
There is paucity of scientific data from India regarding the therapeutic options
being used for alleviating GD, which includes psychotherapy, hormone, and
surgical treatments. AIM: To study the therapeutic options including
psychotherapy, hormone, and surgical treatments used for alleviating GD. SETTINGS
AND DESIGN: This is a retrospective study of treatment preferences and outcome in
18 female-to-male (FTM) transgender subjects who presented to the endocrine
clinic. RESULTS: The mean follow-up was 1.6 years and only one subject was lost
to follow-up after a single visit. All subjects desiring treatment had regular
counseling and medical monitoring. All FTM subjects were cross-dressing.
Seventeen (94.4%) FTM subjects were receiving cross-sex hormone therapy, in the
form of testosterone only (61.1%) or gonadotropin-releasing hormone (GnRH)
agonist in combination with testosterone (11.1%) or medroxyprogesterone acetate
(MPA) depot in combination with testosterone (22.2%). FTM subjects preferred
testosterone or testosterone plus MPA; very few could afford GnRH therapy.
Testosterone esters injection was preferred by most (72.2%) subjects as it was
most affordable while 22.2% chose 3 monthly injections of testosterone
undecanoate for convenience and better symptomatic improvement, but it was more
expensive. None preferred testosterone gels because of cost and availability
concerns. About 33.3% of our subjects underwent mastectomy, 38.9% had
hysterectomy with bilateral salpingo-oophorectomy, and only one subject underwent
phalloplasty. About 16.7% of FTM subjects presented with prior mastectomy
depicting a high prevalence of unsupervised or poorly supervised surgeries not
following protocol wise approach. CONCLUSION: Notwithstanding of advances in
Standards of Care in the Western world, there is lack of awareness and acceptance
in the FTM subjects, about proper and timely protocol-wise management options
leading to suboptimal physical, social, and sexual results.
PMID- 27186546
TI - Th1/Th2 cytokines in Type 1 diabetes: Relation to duration of disease and gender.
AB - BACKGROUND: T-cells are important in the pathogenesis of Type 1 diabetes (T1D).
However, the exact role of T-cell subpopulations in this pathway remains unknown.
The purpose of this study was to assess the expression pattern of T helper 1
(Th1) interferon-gamma (IFN-gamma) and Th2 interleukin-4 (IL-4) cytokines and
their relationship with sex and disease duration in T1D patients. MATERIALS AND
METHODS: This study was conducted on 21 T1D patients and 22 healthy subjects.
Gene expression analysis of peripheral blood mononuclear cells (PBMCs) was
performed using real-time reverse transcriptase polymerase chain reaction.
RESULTS: IFN-gamma gene expression was significantly lower in T1D patients
compared with controls (P < 0.05). Conversely, IL-4 mRNAs were significantly
increased in the PBMCs from patients as compared to controls (P < 0.05). There
was no significant difference in the expression of IL-4 and IFN-gamma between men
and women with T1D (P > 0.05) while IL-4 mRNA expression in male patients was
about 1.9 folds higher than female patients. Moreover, IFN-gamma mRNA expression
in female patients was about 1.8 folds lower than male patients. Patients were
divided into two groups regarding their disease duration: <10 years and >10
years. A significant increase in the IL-4 expression was observed between two
groups of patients compared to controls (P < 0.0001). Conversely, there was a
significant difference in the expression of IFN-gamma only between patients with
more than 10 years of disease duration (P = 0.02). CONCLUSION: These data propose
supplementary implications for the role of Th1/Th2 imbalance in T1D
immunopathogenesis. Moreover, factors such as sex and disease duration may have
some influence on cytokine mRNA expression.
PMID- 27186547
TI - Effect of maternal and neonatal factors on cord blood thyroid stimulating
hormone.
AB - BACKGROUND: Congenital hypothyroidism (CH) is most common preventable cause of
mental retardation in children. Cord blood Thyroid Stimulating Hormone (CBTSH)
level is an accepted screening tool for CH. OBJECTIVES: To study CBTSH profile in
neonates born at tertiary care referral center and to analyze the influence of
maternal and neonatal factors on their levels. DESIGN: Cross retrospective
sectional study. METHODS: Study population included 979 neonates (males = 506 to
females = 473). The CBTSH levels were estimated using electrochemiluminescence
immunoassay on Cobas analyzer. Kit based cut-offs of TSH level were used for
analysis. All neonates with abnormal CBSTH levels, were started on levothyroxine
supplementation 10 MUg/Kg/day and TSH levels were reassessed as per departmental
protocol. RESULTS: The mean CBTSH was 7.82 MUIU/mL (Range 0.112 to 81.4, SD =
5.48). The mean CBTSH level was significantly higher in first order neonates,
neonates delivered by assisted vaginal delivery and normal delivery, delivered at
term or preterm, neonates with APGAR score <5 and those needing advanced
resuscitation after birth. The CBTSH level >16.10 and <1.0 MUIU/mL was found in
4.39 % and 1.02 % neonates respectively. The prevalence rate of CBTSH level >16.1
MUIU/mL was significantly higher in neonates delivered by assisted vaginal
delivery and normal delivery, term and preterm neonates, APAGR score of <5,
presence of fetal distress, need for resuscitation beyond initial steps and in
those with birth weight of <1.5 Kg. Three neonates were confirmed to have CH
after retesting of TSH level. CONCLUSIONS: The CBTSH estimation is an easy, non
invasive method for screening for CH. The cutoff level of CB TSH (MUIU/mL) >16.10
and <1.0 led to a recall of 5.41% of neonates which is practicable given the
scenario in our Country. The mode of delivery and perinatal stress factors have a
significant impact on CBTSH levels and any rise to be seen in the light of these
factors. The prevalence rate of CH after recall was ~3 in 1000 live births.
PMID- 27186548
TI - Leptin and body mass index in polycystic ovary syndrome.
AB - OBJECTIVE: Polycystic ovary syndrome (PCOS) is a common endocrine disorder
associated with obesity. Human and animal studies showed a direct relationship
between leptin level and obesity, however, results from different studies were
mixed. This study investigated the status of leptin level in PCOS and its
relationship with body mass index (BMI) in a group of Iranian women with PCOS.
METHODS: In this cross-sectional study, 40 women with PCOS and 36 healthy women
were assigned to experimental and control groups, respectively. Those in the PCOS
group were not prescribed any medications for 3 months prior to the study.
Fasting blood samples were then collected during the 2(nd) or 3(rd) day of
menstruation for laboratory measurement of serum total leptin, blood glucose
(fasting blood sugar), serum insulin, follicle-stimulating hormone, and
luteinizing hormone (LH). RESULTS: Mean BMI of the PCOS and control groups were
26.62 +/- 4.03 kg/m(2) and 23.52 +/- 2.52 kg/m(2), respectively (P = 0.006). The
mean total leptin in the PCO group was also 10.69 +/- 5.37 ng/mL and 5.73 +/-
2.36 ng/mL in the control group (P = 0.0001). A significant relationship was
found between leptin level and BMI as well as LH level among women with PCOS (P <
0.05). However, there was no significant correlation between leptin and insulin
(P > 0.05). CONCLUSION: The results of this study indicated an increased leptin
level among women with PCOS that positively associated with BMI and LH.
PMID- 27186550
TI - Efficacy and safety of Vitamin D supplementation during pregnancy: A randomized
trial of two different levels of dosing on maternal and neonatal Vitamin D
outcome.
AB - INTRODUCTION: Pregnant women represent a typical group susceptible to dietary and
mineral deficiencies. This study was sought to assess the efficacy and safety of
various doses of 25-hydroxyvitamin D (25[OH]D) supplementation during pregnancy
and ratify the inadequacy of the recommended daily allowance for Vitamin D in
vulnerable groups. MATERIALS AND METHODS: A total of 100 pregnant women were
included in this open-label, parallel group, prospective, randomized, and
controlled trial. Study subjects were assigned to four treatment groups: Group 1
(n = 26), 1000 IU of Vitamin D daily; Group 2 (n = 21), 30,000 IU of Vitamin D
monthly; Group 3 (n = 27), 2000 IU of Vitamin D daily; and Group 4 (n = 26),
60,000 IU Vitamin D monthly. Group 1 and 2 were further analyzed together as
Group 1K (1000 IU daily and 30,000 IU monthly), and Group 3 and 4 as Group 2K
(2000 IU daily and 60,000 IU monthly). The analysis was done on an intention to
treat basis. RESULTS: A total of 87 patients completed the study; 21 in Group 1,
25 in Group 2, 18 in Group 3, and 23 in Group 4. The levels of 25(OH)D at
baseline ranged from 1.3 to 58.0 with a mean of 24.2 +/- 15.1 ng/ml.
Postsupplementation, 25(OH)D levels ranged from 11.5 to 70.3 with a mean of 40.2
+/- 12.2 ng/ml. The postsupplementation levels of 25(OH)D were higher in Group 2K
(42.86 +/- 12.83) than in Group 1K (36.96 +/- 10.56) with P value of 0.023.
CONCLUSION: We concluded that Vitamin D supplementation with 2000 IU/day or
60,000 IU/month is very effective and safe in achieving Vitamin D sufficiency in
pregnant women.
PMID- 27186549
TI - Adherence to diabetes care processes at general practices in the National Capital
Region-Delhi, India.
AB - AIM: To assess the level of adherence to diabetes care processes, and associated
clinic and patient factors at general practices in Delhi, India. METHODS: We
interviewed physicians (n = 23) and patients with diabetes (n = 406), and
reviewed patient charts at general practices (government = 5; private = 18). We
examined diabetes care processes, specifically measurement of weight, blood
pressure (BP), glycated hemoglobin (HbA1c), lipids, electrocardiogram, dilated
eye, and a foot examination in the last one year. We analyzed clinic and patient
factors associated with a number of care processes achieved using multilevel
Poisson regression model. RESULTS: The average number of clinic visits per
patient was 8.8/year (standard deviation = 5.7), and physicians had access to
patient's previous records in only 19.7% of patients. Dilated eye exam, foot
exam, and electrocardiogram were completed in 7.4%, 15.1%, and 29.1% of patients,
respectively. An estimated 51.7%, 88.4%, and 28.1% had >=1 measurement of HbA1c,
BP, and lipids, respectively. Private clinics, physician access to patient's
previous records, use of nonphysicians, patient education, and the presence of
diabetes complication were positively associated with a number of care processes
in the multivariable model. CONCLUSION: Adherence to diabetes care processes was
suboptimal. Encouraging implementation of quality improvement strategies like
Chronic Care Model elements at general practices may improve diabetes care.
PMID- 27186551
TI - Awareness among tertiary care doctors about Pharmacovigilance Programme of India:
Do endocrinologists differ from others?
AB - BACKGROUND AND OBJECTIVES: Reporting adverse drug reactions (ADRs) associated
with drug use is an important factor in patient safety. Majority of ADRs are
preventable through improved prescribing and monitoring. Endocrinologists
prescribe drugs with actions on almost all organs and for relatively longer
durations. ADR are expected following the use of these drugs. Pharmacovigilance
is the study of drug-related adverse effects aimed at protecting patients and
public from drug-related harms. The concept of pharmacovigilance is relatively
new in India, and this survey is an attempt to explore awareness among doctors of
an establishing institution of national importance. MATERIALS AND METHODS: The
survey was conducted on faculty and resident doctors by administering a written
structured questionnaire in a voluntary manner. The questionnaire contained
questions meant to evaluate their awareness, understanding, and misconception
about ADR reporting. Identity of the responder was kept confidential. RESULTS: A
total of 106 (faculty = 56; residents = 50) participated in survey. The most
common cause cited for not reporting an ADR was "do not know how to report" by
64.15%. Majority of them (64%) had no information about the Pharmacovigilance
Programme of India (PvPI), and only few (8.5%) had actually reported or published
an ADR. INTERPRETATION AND CONCLUSIONS: ADRs are major public health problem that
needs to be addressed at all levels of health care. High index of clinical
suspicion are crucial for their timely detection and management. Various
educational interventions have shown to improve medical professionals' awareness,
understanding about ADRs and in their reporting behavior. PvPI is an important
initiative toward ensuring patient safety.
PMID- 27186553
TI - Study of differences in presentation, risk factors and management in diabetic and
nondiabetic patients with acute coronary syndrome.
AB - OBJECTIVES: To compare clinical characteristics, treatment, and utilization of
evidence-based medicines at discharge from hospital in acute coronary syndrome
(ACS) patients with or without diabetes at a tertiary care cardiac center in
India. METHODS: We performed an observational study in consecutive patients
discharged following management of ACS. We obtained demographic details, comorbid
conditions, and cardiovascular risk factors, physical and biochemical parameters,
and management. Descriptive statistics are reported. RESULTS: We enrolled 100
patients (diabetics = 28) with mean age of 59.0 +/- 10.8 years (diabetics 59.3 +/
11.6, nondiabetics 58.9 +/- 8.5). Forty-nine patients had ST-elevation
myocardial infarction (STEMI) (diabetics = 14, 28.7%) while 51 had
nonSTEMI/unstable angina (diabetics = 14, 27.4%) (P = nonsignificant). Among
diabetics versus nondiabetics there was greater prevalence (%) of hypertension
(78.6% vs. 44.4%), obesity (25.0% vs. 8.3%), abdominal obesity (85.7% vs. 69.4%)
and sedentary activity (89.2% vs. 77.8%), and lower prevalence of smoking/tobacco
use (10.7% vs. 25.0%) (P < 0.05). In STEMI patients 28 (57.1%) were thrombolysed
(diabetes 17.8% vs. 31.9%), percutaneous coronary interventions (PCI) was in
67.8% diabetics versus 84.7% nondiabetics and coronary bypass surgery in 21.4%
versus 8.3%. At discharge, in diabetics versus nondiabetics, there was similar
use of angiotensin converting enzyme inhibitors (67.9% vs. 69.4%) and statins
(100.0% vs. 98.6%) while use of dual antiplatelet therapy (85.7% vs. 95.8%) and
beta-blockers (64.3% vs. 73.6%) was lower (P < 0.05). CONCLUSIONS: Diabetic
patients with ACS have greater prevalence of cardiometabolic risk factors
(obesity, abdominal obesity, and hypertension) as compared to nondiabetic
patients. Less diabetic patients undergo PCIs and receive lesser dual anti
platelet therapy and beta-blockers.
PMID- 27186552
TI - Evaluation of oxidative stress and thyroid hormone status in hemodialysis
patients in Gorgan.
AB - AIMS: The aim of this study focused on serum malondialdehyde (MDA) levels and
erythrocyte superoxide dismutase (SOD) and catalase (CAT) activities in
hemodialysis patients and compared with control groups. MATERIALS AND METHODS:
Forty-five hemodialyzed patients and 45 control groups recruited in this study.
Serum creatinine and urea, thyroid hormones (THs) levels and erythrocyte
antioxidant enzyme activities were determined. RESULTS: Hemodialysis (HD)
patients showed higher levels of MDA than control groups (P < 0.01), but the
levels of thyroxin (T3), free triiodothyronine (fT3), and free thyroxin (fT4),
SOD and CAT were low in HD patients (P < 0.01). Serum T3, fT3, and fT4 levels
were significantly negative correlated with MDA (P < 0.01). CONCLUSION: It is
concluded that serum lipid peroxidation is markedly increased in HD patients.
This means that elevated reactive oxygen species may interact with the lipid
molecules in HD patients. HD may cause significant changes in TH levels. Thyroid
stimulating hormone level in HD patients is slightly similar to that of control
groups. This suggests that thyroid is able to resynthesize for hormonal urinary
losses.
PMID- 27186554
TI - Estimation of thyroglobulin in lymph node aspirates: Pilot experience from a
tertiary referral cancer center.
AB - BACKGROUND: Assessment of cervical lymph node involvement in patients with
thyroid cancer either during preoperative surgical mapping or detection of
recurrences during follow-up is a crucial step in the management of
differentiated thyroid cancers (DTCs). In most patients, fine needle aspiration
cytology (FNAC) confirms the presence of metastasis in lymph node. However, in
cases of paucicellular lymph node aspirate or discordant sonogram and cytology
results, thyroglobulin (Tg) measurement in the lymph node aspirate (FNA-Tg) is
useful and a value >1 ng/ml is considered consistent with metastatic disease.
CONTEXT: The addition of FNAC to the US improves the specificity, but 5-10% are
nondiagnostic and 6-8% rate of false-negative results. Several studies have
reported that the detection of Tg in FNA-needle washes improves the evaluation of
suspicious lymph nodes in patients with DTC.Data from Indian centers on FNA-Tg
are limited. AIMS: We piloted the utility of FNA-Tg in patients with
sonographically suspicious cervical lymph node enlargement in the setting of
suspicious thyroid nodule or in the follow-up of thyroid cancer. SETTINGS AND
DESIGN: Prospective data collection. RESULTS: We measured Tg in 13 lymph node
aspirates (12 patients, 10 females) among whom 4 patients had a total
thyroidectomy and 1 had a hemithyroidectomy. Eight of the 13 lymph node aspirates
had FNA-Tg values >150 ng/ml, all of them had unequivocal malignant cytology and
four among them had proven metastatic DTC on surgical pathology. The median FNA
Tg of the patients with malignant cytology was 7550 ng/ml with a range of 162
30,000 ng/ml. Among the remaining 5 lymph node aspirate, 2 lymph nodes showed
cytological features suggestive of reactive lymphadenitis (FNA-Tg <0.2 ng/ml) and
were not operated, 1 had a high-grade malignancy consistent with anaplastic
thyroid cancer (FNA-Tg <0.2 ng/ml), and 2 had nondiagnostic cytology (one had non
caseating granuloma on surgical pathology [FNA-Tg 1.3 ng/ml] and in the other
patient [FNA-Tg <0.2 ng/ml] surgical intervention was deferred). CONCLUSIONS: FNA
Tg was concordant with positive cytology in all patients with DTC and may serve
as a useful tool in patients with negative and nondiagnostic cytology to guide
surgical management.
PMID- 27186555
TI - Current practices in the diagnosis and management of gestational diabetes
mellitus in India (WINGS-5).
AB - AIM: To obtain information on existing practices in the diagnosis and management
of gestational diabetes mellitus (GDM) among
physicians/diabetologists/endocrinologists and obstetricians/gynecologists
(OB/GYNs) in India. METHODS: Details regarding diagnostic criteria used,
screening methods, management strategies, and the postpartum follow-up of GDM
were obtained from physicians/diabetologists/endocrinologists and OB/GYNs across
24 states of India using online/in-person surveys using a structured
questionnaire. RESULTS: A total of 3841 doctors participated in the survey of
whom 68.6% worked in private clinics. Majority of OB/GYNs (84.9%) preferred
universal screening for GDM, and screening in the first trimester was performed
by 67% of them. Among the OB/GYNs, 600 (36.7%) reported using the nonfasting 2 h
criteria for diagnosing GDM whereas 560 (29.4%) of the
diabetologists/endocrinologists reported using the same. However, further
questioning on the type of blood sample collected and the glucose load used
revealed that, in reality, only 208 (12.7%) and 72 (3.8%), respectively, used
these criteria properly. The survey also revealed that the International
Association of Diabetes and Pregnancy Study Groups criteria was followed properly
by 299 (18.3%) of OB/GYNs and 376 (19.7%) of
physicians/diabetologists/endocrinologists. Postpartum oral glucose tolerance
testing was advised by 56% of diabetologists and 71.6% of OB/GYNs. CONCLUSION:
More than half of the physicians/diabetologists/endocrinologists and OB/GYNs in
India do not follow any of the recommended guidelines for the diagnosis of GDM.
This emphasizes the need for increased awareness about screening and diagnosis of
GDM both among physicians/diabetologists/endocrinologists and OB/GYNs in India.
PMID- 27186556
TI - Insulin degludec aspart: One-year real world experience.
AB - BACKGROUND: This retrospective analysis describes the use of insulin degludec
aspart (IDegAsp) in India. MATERIAL AND METHODS: All subjects who had received
IDegAsp for 52 weeks at two endocrine centers were included in this study.
RESULTS: Forty-eight subjects (40 men), with mean age of 54.33 +/- 9.63 years and
mean duration of diabetes of 6.33 +/- 2.96 years, started IDegAsp as insulin of
initiation (16), as an intensification regime (4), as de-escalation from basal
bolus therapy (16), or as switch from premixed insulin (12). The dose of IDegAsp
fell from 43.17 +/- 21.18 U/day or 0.56 +/- 0.23 U/kg to 37.75 +/- 17.13U/day
(0.51 +/- 0.12 U/kg) at 24 weeks and 41.41 +/- 15.33 U/day (0.56 +/- 0.17 U/kg)
at 52 weeks. Hemoglobin A1c (HbA1c), which was 9.52 +/- 1.27% at the start of
therapy, fell to 7.51 +/- 0.46% at 26 weeks and to 7.48 +/- 0.40% at 52 weeks.
Fasting plasma glucose fell from 154.08 +/- 33.30 mg% to 108.58 +/- 22.26 mg% at
26 weeks and 102.17 +/- 12.79 mg% at 52 weeks. Of the 48 subjects, 39 (81.25%)
achieved a target of HbA1c <7.0% at both 26 and 52 weeks. No episode of
hypoglycemia was reported in the 4 weeks preceding the analysis. CONCLUSION: This
communication highlights the efficacy, safety, and tolerability, while providing
insight into the usage patterns of IDegAsp.
PMID- 27186558
TI - Association of type 1 diabetes mellitus and autoimmune disorders in Brazilian
children and adolescents.
AB - CONTEXT: Type 1 diabetes mellitus (T1DM) is caused by an immune-mediated
destruction of pancreatic beta cells. Other autoimmune diseases can be observed
in association with T1DM. The screening for celiac disease (CD) and Hashimoto's
thyroiditis is necessary due to the increased prevalence of these pathologies in
T1DM patients. AIMS: This study aimed to investigate the prevalence of autoimmune
markers for pancreatitis, thyroiditis, and CD in racially admixtured children and
adolescents with T1DM. SETTINGS AND DESIGN: Cross-sectional clinic-based study.
METHODS: Seventy-one patients with T1DM (average: 11.6 +/- 5.1 years). In all
patients, the following antibodies were surveyed: Anti-glutamic acid
decarboxylase (anti-GAD), immunoglobulin A (IgA) anti-transglutaminase (anti
tTG), Antithyroglobulin (AAT), anti-thyroid peroxidase (anti-TPO), and IgA.
STATISTICAL ANALYSIS USED: The quantitative variables were expressed as a mean
and standard deviation and the qualitative variables in contingency tables.
Student's t-test and chi(2) tests were used to assess the differences between the
groups. The level of significance was established as P < 0.05. RESULTS: The
prevalence of anti-GAD antibodies was 5.9%; anti-tTG IgA, 7.4%; anti-TPO, 11.8%;
and AAT, 11.8%. CONCLUSIONS: Children and adolescents with T1DM have increased
the prevalence of antithyroid and CD-related antibodies. The positivity for anti
GAD and antithyroid antibodies was less frequent than in other studies. The
prevalence of anti-tTG antibodies was similar to the literature.
PMID- 27186557
TI - Efficacy and safety of canagliflozin in patients with type 2 diabetes mellitus
from India.
AB - BACKGROUND: This post hoc analysis evaluated the efficacy and safety of
canagliflozin, a sodium glucose co-transporter 2 inhibitor, in patients with type
2 diabetes mellitus (T2DM) from India. METHODS: Changes from baseline in HbA1c,
fasting plasma glucose (FPG), body weight, and blood pressure (BP) with
canagliflozin 100 and 300 mg were evaluated in a subgroup of patients from India
(n = 124) from 4 randomized, double-blind, placebo- and active-controlled, Phase
3 studies (N = 2313; Population 1). Safety was assessed based on adverse event
(AE) reports in these patients and in a broader subgroup of patients from India
(n = 1038) from 8 randomized, double-blind, placebo- and active-controlled, Phase
3 studies (N = 9439; Population 2). RESULTS: Reductions in HbA1c with
canagliflozin 100 and 300 mg were -0.74% and -0.88%, respectively, in patients
from India, and -0.81% and -1.00%, respectively, in the 4 pooled Phase 3 studies.
In the Indian subgroup, both canagliflozin doses provided reductions in FPG, body
weight, and BP that were consistent with findings in the overall population. The
incidence of overall AEs in patients from India was generally similar with
canagliflozin 100 and 300 mg and noncanagliflozin. The AE profile in patients
from India was generally similar to the overall population, with higher rates of
genital mycotic infections and osmotic diuresis-related and volume depletion
related AEs with canagliflozin versus noncanagliflozin. CONCLUSION: Canagliflozin
provided glycemic control, body weight reduction, and was generally well
tolerated in patients with T2DM from India.
PMID- 27186559
TI - Prevalence of hypothyroidism in pregnancy: An epidemiological study from 11
cities in 9 states of India.
AB - BACKGROUND: A previous hospital based study from Delhi revealed a high prevalence
of hypothyroidism in pregnant women. Several other studies with small sample size
also indicate a rising trend of prevalence of hypothyroidism during pregnancy in
India. OBJECTIVE: To assess prevalence of hypothyroidism in pregnant women from
various states/cities across India. MATERIALS AND METHODS: This was a cross
sectional multicenter study conducted at Allahabad (Uttar Pradesh), Bengaluru
(Karnataka), Chennai (Tamil Nadu), Kolkata (West Bengal), Hyderabad (Telangana),
Nasik (Maharashtra), Rohtak (Haryana), Pune (Maharashtra), New Delhi (Delhi),
Srinagar (Kashmir), and Vizag (Andhra Pradesh) enrolling 2599 pregnant women.
Estimation of thyroid stimulating hormone (TSH), free T4, and antithyroid
peroxidase (TPO) antibodies was carried out using Roche modular kit using ECLIA
technology in a central laboratory. RESULTS: We found in our study population
that 13.13% of pregnant women have hypothyroidism (n = 388), using a cutoff TSH
level of 4.5 MUIU/ml. This prevalence was much higher using the American Thyroid
Association criteria. Anti-TPO antibodies were positive in 20.74% of all pregnant
women (n = 613), whereas 40% (n = 155) of hypothyroid pregnant women were
positive for anti-TPO antibodies. CONCLUSION: This study concludes that there is
a high prevalence of hypothyroidism (13.13%), majority being subclinical in
pregnant women during the first trimester from India and universal screening of
hypothyroidism may be desirable in our country.
PMID- 27186560
TI - Vitamin D supplementation reduces thyroid peroxidase antibody levels in patients
with autoimmune thyroid disease: An open-labeled randomized controlled trial.
AB - BACKGROUND AND AIMS: Although Vitamin D deficiency has been linked to autoimmune
thyroid disorders (AITD), the impact of Vitamin D supplementation on thyroid
autoimmunity is not known. This study aimed to evaluate the impact of Vitamin D
supplementation on thyroid autoimmunity (thyroid peroxidase antibody [TPO-Ab]
titers) in patients with newly diagnosed AITD in a randomized controlled trial.
MATERIALS AND METHODS: One hundred two patients with newly diagnosed AITD (TPO-Ab
> 34 kIU/L and/or sonographic evidence of thyroiditis) patients were randomized
into Group-1 (intervention group) and Group-2 (control group). Group-1 received
cholecalciferol 60,000 IU weekly and calcium 500 mg/day for 8 weeks; Group-2
received calcium 500 mg/day for 8 weeks. Responders were defined as >=25% fall in
TPO-Ab titers. Individuals with at least 3-month follow-up were analyzed. Trial
is registered at ctri.nic.in (CTRI/2015/04/005713). RESULTS: Data from 100 AITD
patients (68 with thyroid stimulating hormone [TSH] <=10 mIU/L, 32 with TSH > 10
mIU/L), 93% having Vitamin D insufficiency, were analyzed. TPO-Ab titers were
highest among patients in the lowest 25-hydroxyvitamin D quartile (P = 0.084). At
3 months follow-up, there was significant fall in TPO-Ab in Group-1 (-46.73%) as
compared to Group-2 (-16.6%) (P = 0.028). Sixty-eight percentage patients in
Group-1 were responders compared to 44% in Group-2 (P = 0.015). Kaplan-Meier
analysis revealed significantly higher response rate in Group-1 (P = 0.012).
Significantly greater reduction in TPO-Ab titers was observed in AITD with TSH <=
10 mIU/L compared to TSH > 10 mIU/L. Cox regression revealed Group-1 followed by
TPO-Ab and free tetraiodothyronine levels to be a good predictor of response to
therapy (P = 0.042, 0.069, and 0.074, respectively). CONCLUSION: Vitamin D
supplementation in AITD may have a beneficial effect on autoimmunity as evidence
by significant reductions in TPO-Ab titers.
PMID- 27186561
TI - Bilateral inferior petrosal sinus sampling using vasopressin.
AB - CONTEXT: Anatomical localization of pituitary adenoma can be challenging in
adrenocorticotropic hormone (ACTH)-dependent Cushing's syndrome, and bilateral
inferior petrosal sinus sampling (BIPSS) is considered gold standard in this
regard. Stimulation using corticotrophin-releasing hormone (CRH) improves the
sensitivity of BIPSS, however, same is not easily available in India. Therefore,
we undertook this study of BIPPS using vasopressin as agent for stimulation owing
to its ability to stimulate V3 receptors present on corticotrophs. AIMS: To study
the tumor localization and lateralization in difficult to localize cases of ACTH
dependent Cushing's syndrome by bilateral inferior petrosal sinus sampling using
vasopressin for corticotroph stimulation. SETTINGS AND DESIGN: Prospective
observational study. SUBJECTS AND METHODS: Six patients (5 females) meeting
inclusion criteria underwent BIPSS using vasopressin for stimulation. RESULTS:
All six patients had nonsuppressible overnight and low dose dexamethasone
suppression test with elevated plasma ACTH levels suggestive of ACTH-dependent
Cushing's syndrome. High dose dexamethasone suppression test showed suppressible
cortisol in two cases, and microadenoma was seen in two patients on magnetic
resonance imaging pituitary. Contrast enhanced computed tomography of the abdomen
showed left adrenal hyperplasia in one case and anterior mediastinal mass with
bilateral adrenal hyperplasia another. Using BIPSS four patients were classified
as having Cushing's disease that was confirmed histopathologically following
surgery. Of the remaining two, one had primary pigmented nodular adrenocortical
disease, and another had thymic carcinoid with ectopic ACTH production as the
cause of Cushing's syndrome. No serious adverse events were noted. CONCLUSIONS:
Vasopressin may be used instead of CRH and desmopressin for stimulation in BIPSS.
PMID- 27186562
TI - The Mahabharata and reproductive endocrinology.
AB - This communication approaches the Mahabharata through the prism of reproductive
endocrinology. Descriptions of episodes related to reproduction are listed here,
to provide fodder for the endocrinologically minded brain. The cases described
here are perhaps, the first documented observations of fetal orgasm, pseudocyesis
and assisted reproductive technology, including assisted insemination by donor,
induction of ovulation, and in vitro fertilization as well as precocious growth
and intersex. We do not presume to offer a definite explanation for these
interesting episodes from the Mahabharata. We do, however, hope to stimulate
interest in ancient Indian literature, and encourage a literary "forensic
endocrine" analysis of events relevant to our specialty.
PMID- 27186563
TI - Flexibility in insulin prescription.
AB - This communication explores the concept of flexibility, a propos insulin
preparations and insulin regimes used in the management of type 2 diabetes. The
flexibility of an insulin regime or preparation is defined as their ability to be
injected at variable times, with variable injection-meal time gaps, in a dose
frequency and quantum determined by shared decision making, with a minimal
requirement of glucose monitoring and health professional consultation, with no
compromise on safety, efficiency and tolerability. The relative flexibility of
various basal, prandial and dual action insulins, as well as intensive regimes,
is compared. The biopsychosocial model of health is used to assess the utility of
different insulins while encouraging a philosophy of flexible insulin usage.
PMID- 27186564
TI - National List of Essential Medicines, 2015: Endocrinology perspective.
PMID- 27186565
TI - HbA1c for diabetes screening in acute coronary syndrome: National Institute for
Health and Care Excellence criteria and universal screening.
PMID- 27186566
TI - Determination of the potency of a novel saw palmetto supercritical CO2 extract
(SPSE) for 5alpha-reductase isoform II inhibition using a cell-free in vitro test
system.
AB - BACKGROUND: The nicotinamide adenine dinucleotide phosphate-dependent membrane
protein 5alpha-reductase catalyses the conversion of testosterone to the most
potent androgen - 5alpha-dihydrotestosterone. Two 5alpha-reductase isoenzymes are
expressed in humans: type I and type II. The latter is found primarily in
prostate tissue. Saw palmetto extract (SPE) has been used extensively in the
treatment of lower urinary tract symptoms secondary to benign prostatic
hyperplasia (BPH). The pharmacological effects of SPE include the inhibition of
5alpha-reductase, as well as anti-inflammatory and antiproliferative effects.
Clinical studies of SPE have been inconclusive - some have shown significant
results, and others have not - possibly the result of varying bioactivities of
the SPEs used in the studies. PURPOSE: To determine the in vitro potency in a
cell-free test system of a novel SP supercritical CO2 extract (SPSE), an
inhibitor of the 5alpha-reductase isoenzyme type II. MATERIALS AND METHODS: The
inhibitory potency of SPSE was compared to that of finasteride, an approved
5alpha-reductase inhibitor, on the basis of the enzymatic conversion of the
substrate androstenedione to the 5alpha-reduced product 5alpha-androstanedione.
RESULTS: By concentration-dependent inhibition of 5alpha-reductase type II in
vitro (half-maximal inhibitory concentration 3.58+/-0.05 MUg/mL), SPSE
demonstrated competitive binding toward the active site of the enzyme.
Finasteride, the approved 5alpha-reductase inhibitor tested as positive control,
led to 63%-75% inhibition of 5alpha-reductase type II. CONCLUSION: SPSE
effectively inhibits the enzyme that has been linked to BPH, and the amount of
extract required for activity is comparatively low. It can be confirmed from the
results of this study that SPSE has bioactivity that promotes prostate health at
a level that is superior to that of many other phytotherapeutic extracts. The
bioactivity of SPSE corresponds favorably to that reported for the hexane extract
used in a large number of positive BPH clinical trials, as well as to
finasteride, the established standard of therapy among prescription drugs. Future
in vitro and clinical trials involving SPEs would be useful for elucidating their
comparative differences, as well as appropriate patient selection for their use.
PMID- 27186567
TI - A comparative study of postoperative pain for open thyroidectomy versus bilateral
axillo-breast approach robotic thyroidectomy using a self-reporting application
for iPad.
AB - PURPOSE: Postoperative pain for robotic thyroid surgeries including bilateral
axillo-breast approach (BABA) has not been well studied. In this study, we have
developed a self-reporting application (SRA) for iPad and prospectively collected
pain scores from open thyroidectomy (OT) and BABA robotic thyroidectomy (RT)
patients. METHODS: Female patients who underwent total thyroidectomy for
papillary thyroid carcinoma were included. Patients recorded pain scores for
throat, anterior neck, posterior neck, chest, and back on postoperative days 1,
2, and 3. Once discharged, on postoperative day 14, a survey was also conducted
on satisfaction of SRA and cosmesis. RESULTS: A total of 54 patients were
enrolled (27 BABA RT and 27 OT). There were no significant differences between
the 2 groups in clinicopathological characteristics and postoperative
complication rates. Postoperative pain scores at days 1, 2, 3, and 14 were not
significantly different between the groups for throat, anterior neck, posterior
neck, or back. Postoperative analgesic requirements were similar between the 2
groups. Wound satisfaction scores were significantly higher in the BABA RT group
(BABA RT 7.4 vs. OT 5.7; P = 0.016). Satisfaction scores for the usefulness of
SRA were above 7.2 for all four questionnaire items on the 10-point scale.
CONCLUSION: Postoperative pain for BABA RT is equivalent to OT but offers greater
cosmetic satisfaction for patients. A mobile device application such as SRA may
facilitate proper assessment and management of pain in postoperative patients.
PMID- 27186568
TI - The rat choledochojejunostomy model for microsurgical training.
AB - PURPOSE: The feasibility of a rat choledochojejunostomy (CJ) training model was
investigated, as an introductory model to microsurgery for general surgeons.
METHODS: Roux-en-Y CJ was performed on 20 rats. Interrupted 10-0 prolene sutures
were used to perform CJ. The animals were observed for 7 days and sacrificed and
examined. RESULTS: The rats were divided into 2 groups of 10 based on surgical
order. The CJ time showed a significant decrease from 36.2 +/- 5.6 minutes in
group 1 to 29.4 +/- 5.7 minutes in group 2 (P = 0.015). The bile leakage rate was
40% in group 1 and 10% in group 2. The survival time was 5.4 +/- 2.2 days in
group 1 and 7 days in group 2 (P = 0.049). CONCLUSION: The rat CJ training model
is a feasible introductory model for general surgeons with no previous experience
in microsurgery.
PMID- 27186569
TI - Prognostic significance of intraoperative macroscopic serosal invasion finding
when it shows a discrepancy in pathologic result gastric cancer.
AB - PURPOSE: Depth of wall invasion is an important prognostic factor in patients
with gastric cancer, whereas the prognostic significance of intraoperative
macroscopic serosal invasion (mSE) findings remain unclear when they show a
discrepancy in pathologic findings. This study, therefore, assessed the
prognostic significance of mSE. METHODS: Data from cohort of 2,835 patients with
resectable gastric cancer who underwent surgery between 1990 and 2010 were
retrospectively reviewed. RESULTS: The overall accuracy of mSE and pathologic
results was 83.4%. The accuracy of mSE was 75.5% in pT2. On the other hand, the
accuracy of pT3 dropped to 24.5%. According to mSE findings (+/-), the 5-year
disease-specific survival (DSS) rate differed significantly in patients with pT2
(+; 74.2% vs. -; 92.0%), pT3 (+; 76.7% vs. -; 91.8%) and pT4a (+; 51.3% vs. -;
72.8%) (P < 0.001 each), but not in patients with T1 tumor. Multivariate analysis
showed that mSE findings (hazard ratio [HR], 2.275; 95% confidence interval [CI],
1.148-4.509), tumor depth (HR, 6.894; 95% CI, 2.325-20.437), nodal status (HR,
5.206; 95% CI, 2.298-11.791), distant metastasis (HR, 2.881; 95% CI, 1.388
6.209), radical resection (HR, 2.002; 95% CI, 1.017-3.940), and lymphatic
invasion (HR, 2.713; 95% CI, 1.424-5.167) were independent predictors of 5-year
DSS rate. CONCLUSION: We observed considerable discrepancies between macroscopic
and pathologic diagnosis of serosal invasion. However, macroscopic diagnosis of
serosal invasion was independently prognostic of 5-year DSS. It suggests that
because the pathologic results could not be perfect and the local inflammatory
change with mSE(+) could affect survival, a combination of mSE(+/-) and
pathologic depth may be predictive of prognosis in patients with gastric cancer.
PMID- 27186570
TI - Risk factors for cancer recurrence or death within 6 months after liver resection
in patients with colorectal cancer liver metastasis.
AB - PURPOSE: The aim of this study was to find risk factors for early recurrence (ER)
and early death (ED) after liver resection for colorectal cancer liver metastasis
(CRCLM). METHODS: Between May 1990 and December 2011, 279 patients underwent
liver resection for CRCLM at Korea University Medical Center. They were assigned
to group ER (recurrence within 6 months after liver resection) or group NER (non
ER; no recurrence within 6 months after liver resection) and group ED (death
within 6 months after liver resection) or group NED (alive > 6 months after liver
resection). RESULTS: The ER group included 30 patients (10.8%) and the NER group
included 247 patients (89.2%). The ED group included 18 patients (6.6%) and the
NED group included 253 patients (93.4%). Prognostic factors for ER in a
univariate analysis were poorly differentiated colorectal cancer (CRC),
synchronous metastasis, >=5 cm of liver mass, >=50 ng/mL preoperative
carcinoembryonic antigen level, positive liver resection margin, and surgery
alone without perioperative chemotherapy. Prognostic factors for ED in a
univariate analysis were poorly differentiated CRC, positive liver resection
margin, and surgery alone without perioperative chemotherapy. Multivariate
analysis showed that poorly differentiated CRC, >=5-cm metastatic tumor size,
positive liver resection margin, and surgery alone without perioperative
chemotherapy were independent risk factors related to ER. For ED, poorly
differentiated CRC, positive liver resection margin, and surgery alone without
perioperative chemotherapy were risk factors in multivariate analysis.
CONCLUSION: Complete liver resection with clear resection margin and
perioperative chemotherapy should be carefully considered when patients have the
following preoperative risk factors: metastatic tumor size >= 5 cm and poorly
differentiated CRC.
PMID- 27186571
TI - Early results with the Mutaf technique: a novel off-midline approach in pilonidal
sinus surgery.
AB - PURPOSE: The objective of the present study was to compare different off-midline
techniques in terms of their advantages and disadvantages. METHODS: A total of 81
patients were included in this prospective, controlled, randomized study.
Patients in group 1 were treated with the Limberg flap, and patients in group 2
were treated with Mutaf technique. Patients were followed up for 9 months
postsurgically and assessed at regular intervals. RESULTS: A total of 41 and 40
patients received surgical treatment with Limberg or Mutaf techniques,
respectively. The 2 groups were similar in terms of age, gender, body mass index,
and Tezel pilonidal sinus classification. Also, the 2 groups were comparable with
regard to the frequency of preoperative discharge from the wound site, history of
abscess formation, and the resultant antibiotic use. Early results showed similar
recurrence rates and surgical-site complications between the 2 groups. Although a
lower visual analogue scale score was found in group 2 at postoperative day 1,
seroma persistence, time to withdrawal of surgical drains, and wound healing were
more prolonged. CONCLUSION: In this study, Mutaf technique was comparable to
Limberg flap in the treatment of pilonidal sinus. Therefore, Mutaf technique may
be offered as a viable surgical therapeutic option among off-midline closure
approaches.
PMID- 27186572
TI - Balloon-assisted maturation for arteriovenous fistula maturation failure: an
early period experience.
AB - PURPOSE: Balloon-assisted maturation (BAM) is emerging as a salvage management
for arteriovenous fistula maturation failure (AVF MF). However, BAM is a
relatively new, yet controversial technique for AVF maturation. Therefore, we
evaluated the effectiveness of BAM for AVF MF. METHODS: Between January 2012 and
December 2014, 249 AVFs were created. The total MF rate was 24.8%. But, only 110
AVFs were enrolled, including 74 brachiocephalic (BC) AVFs and 36 radiocephalic
(RC) AVFs. The follow-up period was 12 months. Among those, there were 42 MFs (22
BC AVFs and 20 RC AVFs) and 68 maturation successes (MS) (52 BC AVFs and 16 RC
AVFs). BAM was involved in MF group. We compared the clinical characteristics,
AVF flows, and AVF flow ratios of MF and MS groups. Also, we evaluated the
etiology, management, and result of MF. RESULTS: There was no difference in
clinical characteristics between MF and MS groups. In MF group, 39 balloon
angioplasties (BAs) for 42 AVF MFs were performed. Number of BA was 1.45 +/- 0.57
and duration of BA was 21.30 +/- 21.24 weeks. BAM rate was 46.2%. For 1 year
after AVF creation, AVF flows of MS group were significantly larger than those of
MF group (P < 0.05) but there was no difference in AVF flow ratio between MF and
MS groups (P > 0.05). CONCLUSION: BA for AVF MF is a relatively applicable and
effective modality. Although a large volume study is necessary, we suggest BAM is
an effective salvage management for AVF MF.
PMID- 27186573
TI - Intraoperative indocyanine green angiography for the objective measurement of
blood flow.
AB - PURPOSE: Intraoperative assessment of tissue perfusion is important to predict
wound healing or improvement of symptoms in patients with peripheral arterial
disease (PAD) or vascular trauma. There is no widely accepted standard for
intraoperative measurement of tissue perfusion. Here, we report the use of
indocyanine green (ICG)-based angiography to determine the blood flow in patients
with PAD and vascular trauma. METHODS: The SPY fluorescent imaging system was
utilized. A dose of 3-5 mL of ICG (2.5 mg/mL) was injected intravenously followed
by a 10 mL normal saline flush. The SPY imaging system was used to quantitatively
assess perfusion. During the study period, the SPY imaging system was applied in
4 patients with PAD and one patient with vascular trauma. RESULTS: In 3 patients
with PAD associated with an ischemic wound, complete wound healing was achieved
with the indication of viable tissue by the SPY system. In one patient with
severe claudication in both lower extremities, the ICG angiography was used to
determine the increased blood flow after revascularization. In the case of
vascular trauma, this imaging system enabled the delineation of viability of the
injured tissue. CONCLUSION: ICG angiography can determine the surface tissue
viability in PAD patients. In cases of severe vascular trauma,the SPY system can
be used to determine tissue perfusion. Further study is warranted to define the
definite utility of this technology to assess perfusion, response to
revascularization, and potentially, to predict the likelihood of wound healing.
PMID- 27186574
TI - Associating microwave ablation and portal vein ligation for staged hepatectomy
for the treatment of huge hepatocellular carcinoma with cirrhosis.
AB - Associating liver partition and portal vein ligation for staged hepatectomy
(ALPPS) could induce extensive and rapid future liver remnant hypertrophy.
However, the morbidity for ALPPS is very high. This paper reports a modified
ALPPS (associating microwave ablation and portal vein ligation for staged
hepatectomy, AMAPS), which was successfully applied in the treatment of huge
hepatocellular carcinoma with cirrhosis, and the procedure of operation was
greatly simplified. Hence, AMAPS is feasible and safe in selected patients with
primary hepatocellular carcinoma and cirrhosis.
PMID- 27186575
TI - IgG4-related disease of the rectum.
AB - IgG4-related disease is a relatively new disease entity characterized by elevated
serum IgG4 levels and marked infiltration of IgG4-positive plasma cells in
lesions. Organ enlargement or nodular lesions consisting of abundant infiltration
of lymphocytes and IgG4-positive plasma cells and fibrosis are seen in various
organs throughout. We encountered a patient with an inflammatory pseudotumor of
the rectum, which was histopathologically confirmed to be an IgG4-related
disease. The patient was a 28-year-old woman who had constipation for 3 months.
The endoluminal ultrasonography showed a lesion that was heterogeneous and low
echogenic in lower rectum. The result of colonoscopic biopsy findings was of
chronic proctitis with lymphoid aggregates. For a confirmative diagnosis,
excision was performed. Histopathological examination represented plasma cell
infiltration and fibrosis. Immunohistochemistry revealed prominence of IgG4
positive plasma cells and confirmed the diagnosis of IgG4-related disease. The
patient is currently under observation on low-dose oral prednisolone without
relapse.
PMID- 27186576
TI - Probable Buruli Ulcer Disease in Honduras.
PMID- 27186577
TI - Acute Kidney Injury Is Common in Pediatric Severe Malaria and Is Associated With
Increased Mortality.
AB - Background. Acute kidney injury (AKI) is a well recognized complication of
severe malaria in adults, but the incidence and clinical importance of AKI in
pediatric severe malaria (SM) is not well documented. Methods. One hundred
eighty children aged 1 to 10 years with SM were enrolled between 2011 and 2013 in
Uganda. Kidney function was monitored daily for 4 days using serum creatinine
(Cr). Acute kidney injury was defined using the Kidney Disease: Improving Global
Outcomes (KDIGO) guidelines. Blood urea nitrogen (BUN) and Cr were assessed using
i-STAT, and cystatin C (CysC) was measured by enzyme-linked immunosorbent assay.
Results. Eighty-one (45.5%) children had KDIGO-defined AKI in the study: 42
(51.9%) stage 1, 18 (22.2%) stage 2, and 21 (25.9%) stage 3. Acute kidney injury
evolved or developed in 50% of children after admission of hospital. There was an
increased risk of AKI in children randomized to inhaled nitric oxide (iNO), with
47 (54.0%) of children in the iNO arm developing AKI compared with 34 (37.4%) in
the placebo arm (relative risk, 1.36; 95% confidence interval [CI], 1.03-1.80).
Duration of hospitalization increased across stages of AKI (P = .002). Acute
kidney injury was associated with neurodisability at discharge in the children
receiving placebo (25% in children with AKI vs 1.9% in children with no AKI, P =
.002). Mortality increased across stages of AKI (P = .006) in the placebo arm,
reaching 37.5% in stage 3 AKI. Acute kidney injury was not associated with
neurodisability or mortality at discharge in children receiving iNO (P > .05 for
both). Levels of kidney biomarkers were predictive of mortality with areas under
the curves (AUCs) of 0.80 (95% CI, .65-.95; P = .006) and 0.72 (95% CI, .57-.87;
P < .001), respectively. Admission levels of CysC and BUN were elevated in
children who died by 6 months (P < .0001 and P = .009, respectively).
Conclusions. Acute kidney injury is an underrecognized complication in young
children with SM and is associated with increased mortality.
PMID- 27186578
TI - Detection of Bacteriuria by Canine Olfaction.
AB - Background. Urinary tract infections (UTIs) are a significant medical problem ,
particularly for patients with neurological conditions and the elderly. Detection
is often difficult in these patients, resulting in delayed diagnoses and more
serious infections such as pyelonephritis and life-threatening sepsis. Many
patients have a higher risk of UTIs because of impaired bladder function,
catheterization, and lack of symptoms. Urinary tract infections are the most
common nosocomial infection; however, better strategies are needed to improve
early detection of the disease. Methods. In this double-blinded, case-control,
validation study, we obtained fresh urine samples daily in a consecutive case
series over a period of 16 weeks. Dogs were trained to distinguish urine samples
that were culture-positive for bacteriuria from those of culture-negative
controls, using reward-based clicker and treat methods. Results. Samples were
obtained from 687 individuals (from 3 months to 92 years of age; 86% female and
14% male; 34% culture-positive and 66% culture-negative controls). Dogs detected
urine samples positive for 100 000 colony-forming units/mL Escherichia coli (N =
250 trials; sensitivity 99.6%, specificity 91.5%). Dilution of E coli urine with
distilled water did not affect accuracy at 1% (sensitivity 100%, specificity
91.1%) or 0.1% (sensitivity 100%, specificity 93.6%) concentration. Diagnostic
accuracy was similar to Enterococcus (n = 50; sensitivity 100%, specificity
93.9%), Klebsiella (n = 50; sensitivity 100%, specificity 95.1%), and
Staphylococcus aureus (n = 50; sensitivity 100%, specificity 96.3%). All dogs
performed with similarly high accuracy: overall sensitivity was at or near 100%,
and specificity was above 90%. Conclusions. Canine scent detection is an
accurate and feasible method for detection of bacteriuria.
PMID- 27186579
TI - Pre-existence and Persistence of Resistant Minority Hepatitis C Virus Variants in
Genotype 1-Infected Patients Treated With Simeprevir/Peginterferon/Ribavirin.
AB - Background. The pre-existence of minority hepatitis C virus (HCV) variants and
their impact on treatment outcome, as well as the persistence of emerging
resistant variants posttreatment in patients failing treatment with
simeprevir/peginterferon/ribavirin (SMV/PR), were assessed by deep sequencing
(DS). Methods. Population sequencing (PS) and Illumina DS were performed on HCV
genotype 1 isolates from patients treated with SMV/PR in Phase 2b (PILLAR
[NCT00882908] and ASPIRE [NCT00980330]) and Phase 3 (QUEST-1 [NCT01289782], QUEST
2 [NCT01290679], and PROMISE [NCT01281839]) trials. Results. Minority
polymorphisms (ie, detected pretreatment by DS only) reducing SMV activity in
vitro were uncommon (3.6%, 19 of 534 patients). These SMV-resistant minority
polymorphisms were detected in similar proportions of patients achieving (3.7%)
and not achieving (3.3%) sustained virologic response with SMV/PR and generally
did not emerge as major variants at time of failure. SMV-resistant variants
emerging at time of failure were no longer detected at end of study in 69.3% and
52.0% of the patients by PS and DS, respectively. Conclusions. Minority
polymorphisms did not impact outcome of SMV/PR treatment. The majority of
emerging variants that became undetectable at end of study by PS were also
undetectable by DS. These results suggest no added value of DS for clinical usage
of SMV.
PMID- 27186580
TI - Novel Highly Pathogenic Avian H5 Influenza A Viruses in Live Poultry Markets,
Wuxi City, China, 2013-2014.
AB - During 12 recent months of periodic influenza virus surveillance at 9 live
poultry markets in Wuxi City China, we identified multiple highly pathogenic
H5N6, H5N8, H5N2, and H5N1 avian influenza viruses. The variety of potentially
pandemic viruses in this low-risk area is disconcerting and portends an increased
pandemic threat.
PMID- 27186581
TI - Cryptococcal Antigenemia in Nigerian Patients With Advanced Human
Immunodeficiency Virus: Influence of Antiretroviral Therapy Adherence.
AB - Background. Cryptococcal meningitis has a high mortality in human
immunodeficiency virus (HIV)-infected persons in Africa. This is preventable with
early screening and preemptive therapy. We evaluated the prevalence of
cryptococcal disease by antigen testing, possible associated factors, and
outcomes in HIV-infected patients being managed in a tertiary hospital in Lagos,
Nigeria. Methods. Sera were collected from 214 consenting HIV-infected
participants with CD4(+) counts <250 cells/mm(3), irrespective of their
antiretroviral therapy (ART) status, between November 2014 and May 2015. A
cryptococcal antigen (CrAg) lateral flow assay was used for testing. Pertinent
clinical data were obtained from patients and their case notes. Results. Of the
214 participants, females (124; 57.9%) outnumbered males. Mean age was 41.3 +/-
9.4 (standard deviation) years. The majority (204; 95.3%) were ART experienced.
The median CD4(+) cell count was 160 cells/mm(3) (interquartile range, 90-210).
The overall seroprevalence of cryptococcal antigenemia was 8.9% (19 of 214); 6 of
61 (9.8%) in those with CD4(+) cell counts <100 cells/mm(3), 4 of 80 (5.0%) in
the 100-200 group, and 9 of 73 (12.3%) in 200-250 cells/mm(3) group. Among ART
naive patients, 1 of 10 (10%) was CrAg positive. Twenty-seven of 214 (12.6%) had
associated oral thrush. Potential baseline meningitis symptoms (3 of 214 [1.4%]
experienced neck pain or stiffness and 21 of 214 [9.8%] experienced headache)
were common in the study group, but the result was not statistically significant
in relation to CrAg positivity. Two of 19 (10.5%) CrAg-positive patients died, 10
of 19 (52.6%) were lost to follow up, and 7 of 19 (36.8%) were alive. Empirical
fluconazole was routinely given to those with low CD4 counts <100 cells/mm(3),
which was unrelated to CrAg positivity (P = .018). Conclusions. We report a
prevalence of 8.9% cryptococcal antigenemia in a setting where first-line
antifungals are not readily available. We recommend CrAg screening for HIV
infected patients, even for patients on ART.
PMID- 27186583
TI - Infectious Diseases (ID) Learning Unit: How Rapidly to Evaluate for Active
Tuberculosis Disease in Low-Prevalence Settings.
AB - With declining tuberculosis (TB) incidence in low-prevalence settings, many
clinicians are likely unaware that the approach to diagnosing active TB is
evolving with newer technologies. Rapid molecular assays are commercially
available, and more are likely to enter the market in the coming years. These
tests, such as the Xpert MTB/RIF, which can detect TB and drug-resistance in 2
hours, are increasingly used in settings with higher TB prevalence; however,
uptake has been slower in low-prevalence settings. Newer algorithms incorporating
rapid TB diagnostics have the ability to alter current clinical and infection
control practice patterns. In this learning unit, we review current and newly
available tests for the detection of active TB disease and their usage in low
prevalence settings.
PMID- 27186582
TI - Shedding of Hepatitis C Virus in Semen of Human Immunodeficiency Virus-Infected
Men.
AB - Background. The epidemic of sexually transmitted hepatitis C virus (HCV)
infection among human immunodeficiency virus (HIV)-infected men who have sex with
men (MSM) has been documented for over a decade. Despite this, there is no
consensus as to the risk factors for sexual acquisition of HCV in these men.
Methods. We obtained paired semen and blood samples at 2-week intervals from HIV
infected MSM with recent and chronic HCV infection and quantified HCV in semen.
Results. Hepatitis C virus was quantified in 59 semen specimens from 33 men.
Hepatitis C virus was shed in 16 (27%) of semen specimens from 11 (33%) of the
men. Median HCV viral load (VL) in semen was 1.49 log10 IU/mL. Hepatitis C virus
VL in blood was significantly higher at the time of HCV shedding in semen than
when HCV shedding in semen was not detected (P = .002). Furthermore, there was a
significant correlation between the HCV VL in blood and semen overall (rs = 0.41;
P = .001), and in the subgroup with recent HCV infection (rs = 0.37; P = .02),
but not in the subgroup with chronic HCV infection (rs = 0.34; P = .1).
Conclusions. One third of HIV-infected MSM coinfected with HCV shed HCV into
their semen. Based on the HCV VL in semen in this study, an average ejaculate
would deliver up to 6630 IU of virus into the rectum of the receptive partner.
Therefore, our data strongly support that condoms should be used during anal
intercourse among MSM to prevent transmission of HCV.
PMID- 27186584
TI - Human Immunodeficiency Virus/Hepatitis C Virus Coinfection in Spain: Prevalence
and Patient Characteristics.
AB - Background. The purpose of this study was to assess the prevalence of anti
hepatitis C virus (HCV) antibodies (Abs) and active HCV infection in human
immunodeficiency virus (HIV)-infected (HIV+) patients in Spain in 2015. This was
a cross-sectional study. Methods. The study was performed in 41 centers in 2015.
Sample size was estimated for an accuracy of 2%, the number of patients from each
hospital was determined by proportional allocation, and patients were selected
using simple random sampling. Results. The reference population was 35 791
patients, and the sample size was 1867 patients. Hepatitis C virus serostatus was
known in 1843 patients (98.7%). Hepatitis C virus-Abs were detected in 695
patients (37.7%), in whom the main route of HIV acquisition was injection drug
use (75.4%). Of these 695 patients, 402 had HCV RNA, 170 had had a sustained
viral response (SVR) after anti-HCV therapy, and 102 cleared HCV spontaneously.
Hepatitis C virus-ribonucleic acid results were unknown in 21 cases. Genotype
distribution (known in 367 patients) was 1a in 143 patients (39.0%), 4 in 90
(24.5%) patients, 1b in 69 (18.8%) patients, 3 in 57 (15.5%) patients, 2 in 5
(1.4%) patients, and mixed in 3 (0.8%) patients. Liver cirrhosis was present in
93 patients (23.1%) with active HCV infection and in 39 (22.9%) patients with SVR
after anti-HCV therapy. Conclusions. The prevalence of HCV-Abs and active HCV
infection in HIV+ patients in Spain is 37.7% and 22.1%, respectively; these
figures are significantly lower than those recorded in 2002 and 2009. The
predominant genotypes in patients with active HCV infection were 1a and 4. A high
percentage of patients had cirrhosis. Cirrhosis is also common in patients with
SVR after anti-HCV therapy.
PMID- 27186585
TI - Risk of Cardiovascular Events Among Patients Initiating Efavirenz-Containing
Versus Efavirenz-Free Antiretroviral Regimens.
AB - Background. Efavirenz (EFV), an antiretroviral medication used to treat human
immunodeficiency virus (HIV) infection, can increase lipid levels. Because
hyperlipidemia is associated with increased risk for cardiovascular (CV) events,
this study compared the risk of CV events in patients initiating EFV-containing
vs EFV-free antiretroviral regimens. Methods. Antiretroviral-naive HIV-positive
(HIV+) patients ages 18-64 were selected from commercial and Medicaid insurance
claims databases. Patients with >=1 claim for antiretroviral medications between
January 1, 2007 and December 31, 2013 were classified into 2 cohorts: EFV
containing or EFV-free regimens. Patients were required to have 6 months of
continuous enrollment before initiation, with no evidence of a CV event during
this time. Patients were observed from initiation until the occurrence of a CV
event, disenrollment, or study end. Cardiovascular events were identified through
diagnosis or procedure codes for myocardial infarction, stroke, percutaneous
coronary intervention, or coronary artery bypass graft. We calculated unadjusted
incidence rates (IRs) and fit propensity-score-weighted Cox proportional hazards
models. Results. There were 22 212 patients (11 978 EFV-containing and 10 234
EFV-free) identified in the commercial database and 7400 patients identified
(2943 EFV-containing and 4457 EFV-free) in the Medicaid database. Cardiovascular
events were rare (commercial IR = 396 per 100 000 person-years; Medicaid IR = 973
per 100 000 person-years). In propensity-score-weighted models, hazards of CV
events were significantly lower for EFV-containing regimens in the commercial
database (hazard ratio [HR] = 0.68; 95% confidence interval [CI], .49-.93) No
significant difference was found in the Medicaid database (HR = 0.83; 95% CI, .58
1.19). Conclusions. This analysis found no evidence of increased risk of CV
events among HIV+ patients initiating EFV-containing regimens.
PMID- 27186586
TI - Delayed Diagnosis, Leprosy Reactions, and Nerve Injury Among Individuals With
Hansen's Disease Seen at a United States Clinic.
AB - Background. Hansen's disease (HD), or leprosy, is uncommon in the United States.
We sought to describe the characteristics of patients with HD in a US clinic,
including an assessment of delays in diagnosis and HD reactions, which have both
been associated with nerve damage. Methods. A retrospective chart review was
conducted on patients seen at an HD clinic in the southern United States between
January 1, 2002 and January 31, 2014. Demographic and clinical characteristics
were summarized, including delays in diagnosis, frequency of reactions, and other
complications including peripheral neuropathy. Results. Thirty patients were
seen during the study time period. The majority of patients were male (73%) and
had multibacillary disease (70%). Brazil, Mexico, and the United States were the
most frequent of the 14 countries of origin. Hansen's disease "reactions", severe
inflammatory complications, were identified among 75% of patients, and nerve
damage was present at diagnosis in 36% of patients. The median length of time
between symptom onset and diagnosis was long at 12 months (range, 1-96), but no
single factor was associated with a delay in diagnosis. Conclusions. The
diagnosis of HD was frequently delayed among patients referred to our US clinic.
The high frequency of reactions and neuropathy at diagnosis suggests that further
efforts at timely diagnosis and management of this often unrecognized disease is
needed to prevent the long-term sequelae associated with irreversible nerve
damage.
PMID- 27186587
TI - Measles Outbreak Associated With Low Vaccine Effectiveness Among Adults in
Pohnpei State, Federated States of Micronesia, 2014.
AB - Background. A measles outbreak in Pohnpei State, Federated States of Micronesia
in 2014 affected many persons who had received >=1 dose of measles-containing
vaccine (MCV). A mass vaccination campaign targeted persons aged 6 months to 49
years, regardless of prior vaccination. Methods. We evaluated vaccine
effectiveness (VE) of MCV by comparing secondary attack rates among vaccinated
and unvaccinated contacts after household exposure to measles. Results. Among
318 contacts, VE for precampaign MCV was 23.1% (95% confidence interval [CI],
425 to 87.3) for 1 dose, 63.4% (95% CI, -103 to 90.6) for 2 doses, and 95.9% (95%
CI, 45.0 to 100) for 3 doses. Vaccine effectiveness was 78.7% (95% CI, 10.1 to
97.7) for campaign doses received >=5 days before rash onset in the primary case
and 50.4% (95% CI, -52.1 to 87.9) for doses received 4 days before to 3 days
after rash onset in the primary case. Vaccine effectiveness for most recent doses
received before 2010 ranged from 51% to 57%, but it increased to 84% for second
doses received in 2010 or later. Conclusions. Low VE was a major source of
measles susceptibility in this outbreak; potential reasons include historical
cold chain inadequacies or waning of immunity. Vaccine effectiveness of campaign
doses supports rapid implementation of vaccination campaigns in outbreak
settings.
PMID- 27186588
TI - Salmonella enterica Subspecies diarizonae Maxillary Sinusitis in a Snake Handler:
First Report.
AB - In this study, we report the first case of reptile-associated maxillary sinusitis
due to Salmonella enterica subspecies diarizonae in a snake handler and the third
case of salmonella-associated sinusitis worldwide. The case highlights the
potential of respiratory transmission and atypical salmonellosis presentations.
PMID- 27186590
TI - The "100 Days Cough" Was Not Originally Whooping Cough.
PMID- 27186589
TI - Implementation of Xpert MTB/RIF in Uganda: Missed Opportunities to Improve
Diagnosis of Tuberculosis.
AB - Background. The effect of Xpert MTB/RIF (Xpert) scale-up on patient outcomes in
low-income settings with a high tuberculosis (TB) burden has not been
established. We sought to characterize the effectiveness of Xpert as implemented
across different levels of the healthcare system in Uganda. Methods. We reviewed
laboratory records from 2012 to 2014 at 18 health facilities throughout Uganda.
In 8 facilities, Xpert had been implemented onsite since 2012, and in 10 sites
Xpert was available as an offsite referral test from another facility. We
describe Xpert testing volumes by facility, Xpert and smear microscopy results,
and downtime due to malfunction and cartridge stockouts. We compare TB treatment
initiation as well as time to treatment between facilities implementing Xpert and
those that did not. Results. The median number of Xpert assays run at
implementing facilities was 25/month (interquartile range [IQR], 10-63),
amounting to 8% of total capacity. Among 1251 assays run for a new TB diagnosis,
19% were positive. Among 1899 patients with smear-negative presumptive TB, the
proportion starting TB treatment was similar between Xpert facilities (11%; 95%
confidence interval [CI], 9%-13%) and non-Xpert facilities (9%; 95% CI, 8%-11%; P
= .325). In Xpert facilities, a positive Xpert preceded TB treatment initiation
in only 12 of 70 (17%) smear-negative patients initiated on treatment.
Conclusions. Xpert was underutilized in Uganda and did not significantly
increase the number of patients starting treatment for TB. Greater attention must
be paid to appropriate implementation of novel diagnostic tests for TB if these
new tools are to impact patient important outcomes.
PMID- 27186591
TI - Idebenone for Leber's hereditary optic neuropathy.
AB - Idebenone is a rapidly absorbed, safe and well-tolerated drug and is currently
the only clinically proven treatment option for Leber's hereditary optic
neuropathy (LHON) patients. Idebenone (Raxone(r)) is approved by the European
Medicines Agency for the treatment of LHON and has been available on the European
market since 2015. Due to its molecular mode of action of bypassing the defective
mitochondrial complex I, idebenone leads to improved energy supply and a
functional recovery of retinal ganglion cells during the acute stage of the
disease, thereby preventing further vision loss and promoting recovery of vision.
Thus, commencing treatment shortly after the onset of symptoms is likely to have
the best therapeutic effect, a hypothesis that is supported by the available
clinical data.
PMID- 27186592
TI - PCSK9 inhibitors: monoclonal antibodies for the treatment of
hypercholesterolemia.
AB - In 2015 the U.S. Food and Drug Administration approved the first two proprotein
convertase subtilisin/kexin type 9 (PCSK9) inhibitors, alirocumab (Praluent(r);
Sanofi/ Regeneron) and evolocumab (Repatha(r); Amgen), for use in patients with
heterozygous and homozygous familial hypercholesterolemia and for patients
intolerant of statins or those with a major risk of cardiovascular disease (CVD)
but unable to lower their LDL cholesterol (LDL-C) to optimal levels with statins
and ezetimibe. Numerous randomized clinical trials have demonstrated that these
inhibitors cause a fall in LDL-C levels of 50-60% as well as causing a decline in
lipoprotein(a) and an increase in HDL cholesterol. They are effective in reducing
levels of LDL-C to 1.8 mmol/L or less in almost all patients in the groups listed
above except for those with homozygous familial hypercholesterolemia. In the
latter case, many patients will still have LDL-C levels well above optimal levels
despite the use of statins and a PCSK9 inhibitor. To date these inhibitors have
not caused major adverse effects. However, the results of ongoing long-term
randomized clinical trials are needed to determine whether they cause a
significant reduction in CVD events including deaths from CVD. These studies will
also demonstrate whether the PCSK9 inhibitors have any unexpected adverse effects
and/or effects resulting from the loss of PCSK9 functions at other sites in the
body, in particular regarding neurocognition. A further major concern is the high
cost of PCSK9 inhibitors and their effect on healthcare costs and health
insurance premiums.
PMID- 27186593
TI - The impact of SPRINT on the future treatment of hypertension: a mini review.
AB - Blood pressure (BP) control is associated with a significant decrease in the risk
of coronary artery disease (CAD), stroke and chronic kidney disease (CKD), and
U.S. treatment guidelines in 2003 and 2007 recommended a BP reduction to <140/90
mmHg for uncomplicated hypertension and to <130/80 mmHg for hypertension
complicated by CAD, diabetes mellitus (DM) or CKD. In hopes of further decreasing
the adverse effects of hypertension, more aggressive lowering of systolic blood
pressure (SBP) was tested. However, this aggressive control of SBP did not
materialize in additional cardiac benefits, and in fact resulted in worsening of
cardiovascular and renal complications with the exception of stroke. These
findings led national committees in 2014 and 2015 to draw up new guidelines
recommending a relaxation of BP control based on recent clinical evidence, until
publication of SPRINT. This National Institutes of Health (NIH)-sponsored study
showed that aggressive SBP lowering to <120 mmHg was beneficial in further
decreasing the risk of CVD, CVD mortality and strokes. The results of this study
will most likely lead to the revision of current guidelines and to the
recommendation of stricter BP control. However, the results of SPRINT are not
final and still are in contrast with other recent studies. Until new guidelines
become available, we should follow the current ones, or move closer to older
guidelines depending on the clinical situation. A return to BP <140/90 mmHg for
older subjects or uncomplicated hypertension and to <130/80 mmHg for hypertension
complicated by CAD, DM and CKD may be appropriate.
PMID- 27186594
TI - Duchenne muscular dystrophy drugs face tough path to approval.
AB - Highly anticipated as new disease-modifying treatments for Duchenne muscular
dystrophy (DMD), therapeutics by BioMarin Pharmaceutical (KyndrisaTM;
drisapersen) and Sarepta Therapeutics (eteplirsen; AVI-4658) both recently
received negative FDA reviews and are now facing battles for approval in the U.S.
At present, BioMarin is committed to working with the FDA to forge a pathway to
approval following the failure of its NDA, while Sarepta awaits the formal
decision on its NDA, which is expected by late May 2016. Despite the critical
nature of both reviews, analysts consider that there is still a narrow
possibility of approval of both drugs. According to Consensus forecasts from
Thomson Reuters Cortellis for Competitive Intelligence, Kyndrisa is forecast to
achieve sales of USD 533.71 million in 2021.
PMID- 27186595
TI - Pharma Pricing & Market Access Europe 2016--Health Network Communications' Tenth
Annual Conference (February 23-25, 2016--London, UK).
AB - Tighter national budgets and escalating drug prices continue to present
challenges for pharmaceutical market access strategies and societal cost of care.
As pharmaceutical companies and medical governmental advisory organizations enter
tougher negotiations, hospital trusts and other dispensary firms face barriers to
receiving the best medical treatment, and as a result patient access is limited.
The 2016 HealthNetwork Communications' Pharma Pricing & Market Access Europe
meeting brought together pharmaceutical, medical governmental advisory and
stakeholders and market access/pricing consultants, to encourage discussions and
negotiations into how to improve the drug pricing system and consequential market
access strategies while achieving the respective reimbursement and affordability
objectives.
PMID- 27186596
TI - Evidence 2016--A Health Network Communications Conference (February 24-25, 2016-
London, UK).
AB - Defining, standardizing and interpreting are the keys to evidence use throughout
healthcare and at each point in the care decision process. The generation of
evidence at each step is also critical to define and constitute value from the
information gathered, and not gathered, for each stakeholder in the care pathway.
Health Network Communications' Evidence 2016 conference provided the opportunity
for attendees to consider diverse evidence methodologies globally and their wide
ranging use, from economic modeling initiatives, to framing value assessments in
health technology assessments, to leveraging real-world data to support market
access.
PMID- 27186597
TI - Novel reduced graphene oxide-glycol chitosan nanohybrid for the assembly of an
amperometric enzyme biosensor for phenols.
AB - A novel water-soluble graphene derivative was prepared from graphene oxide via a
two-step modification approach. Graphene oxide was first functionalised with
reactive epoxy groups by covalent modification with (3
glycidyloxypropyl)trimethoxysilane and further cross-linked with glycol chitosan.
This graphene derivative was characterized using different microscopy and
physicochemical methods and employed as a coating material for a glassy carbon
electrode. The nanostructured surface was used as a support for the covalent
immobilization of the enzyme laccase through cross-linking with glutaraldehyde.
The enzyme electrode was tested for the amperometric detection of different
phenolic compounds, which displayed excellent analytical behaviour toward
catechol with a linear range of response from 200 nM to 15 MUM, sensitivity of 93
mA M(-1) cm(-2), and low detection limit of 76 nM. The enzyme biosensor showed
high stability when stored at 4 degrees C under dry conditions and was
successfully employed to quantify the total phenolic compounds in commercial
herbal tea samples.
PMID- 27186598
TI - Freezing and melting line invariants of the Lennard-Jones system.
AB - The invariance of several structural and dynamical properties of the Lennard
Jones (LJ) system along the freezing and melting lines is interpreted in terms of
isomorph theory. First the freezing/melting lines of the LJ system are shown to
be approximated by isomorphs. Then we show that the invariants observed along the
freezing and melting isomorphs are also observed on other isomorphs in the liquid
and crystalline phases. The structure is probed by the radial distribution
function and the structure factor and dynamics are probed by the mean-square
displacement, the intermediate scattering function, and the shear viscosity.
Studying these properties with reference to isomorph theory explains why the
known single-phase melting criteria hold, e.g., the Hansen-Verlet and the
Lindemann criteria, and why the Andrade equation for the viscosity at freezing
applies, e.g., for most liquid metals. Our conclusion is that these empirical
rules and invariants can all be understood from isomorph theory and that the
invariants are not peculiar to the freezing and melting lines, but hold along all
isomorphs.
PMID- 27186600
TI - Chemical energy dissipation at surfaces under UHV and high pressure conditions
studied using metal-insulator-metal and similar devices.
AB - Metal heterostructures have been used in recent years to gain insights into the
relevance of energy dissipation into electronic degrees of freedom in surface
chemistry. Non-adiabaticity in the surface chemistry results in the creation of
electron-hole pairs, the number and energetic distribution of which need to be
studied in detail. Several types of devices, such as metal-insulator-metal, metal
semiconductor and metal-semiconductor oxide-semiconductor, have been used. These
devices operate by spatially separating the electrons from the holes, as an
internal barrier allows only - or at least favours - transport from the top to
the back electrode for one kind of carrier. An introduction into the matter, a
survey of the literature and a critical discussion of the state of research is
attempted.
PMID- 27186599
TI - A DFT study of 2-aminopurine-containing dinucleotides: prediction of stacked
conformations with B-DNA structure.
AB - The fluorescence properties of dinucleotides incorporating 2-aminopurine (2AP)
suggest that the simplest oligonucleotides adopt conformations similar to those
found in duplex DNA. However, there is a lack of structural data for these
systems. We report a density functional theory (DFT) study of the structures of
2AP-containing dinucleotides (deoxydinucleoside monophosphates), including full
geometry optimisation of the sugar-phosphate backbone. Our DFT calculations
employ the M06-2X functional for reliable treatment of dispersion interactions
and include implicit aqueous solvation. Dinucleotides with 2AP in the 5'-position
and each of the natural bases in the 3'-position are examined, together with the
analogous 5'-adenine-containing systems. Computed structures are compared in
detail with typical B-DNA base-step parameters, backbone torsional angles and
sugar pucker, derived from crystallographic data. We find that 2AP-containing
dinucleotides adopt structures that closely conform to B-DNA in all
characteristic parameters. The structures of 2AP-containing dinucleotides closely
resemble those of their adenine-containing counterparts, demonstrating the
fidelity of 2AP as a mimic of the natural base. As a first step towards exploring
the conformational heterogeneity of dinucleotides, we also characterise an
imperfectly stacked conformation and one in which the bases are completely
unstacked.
PMID- 27186601
TI - New Fe(iii) and Co(ii) salen complexes with pendant distamycins: selective
targeting of cancer cells by DNA damage and mitochondrial pathways.
AB - Minor groove binding distamycin like moieties were conjugated with core salens
and the corresponding Fe(iii) and Co(ii) complexes were synthesized. Herein, we
have shown efficient DNA minor groove binding specificities along with excellent
DNA cleavage capacities with metallosalen conjugates. The metal complexes showed
toxicity toward various cancer cells over normal cells with high specificity.
Interestingly, the Co(ii) complexes exhibited greater activity than the Fe(iii)
complexes in accordance with the stronger affinity of the former in the
biophysical studies. Active DNA damage, and prominent nuclear condensation along
with the release of cytochrome-c from the mitochondria unanimously showed that
the metal complexes followed apoptotic pathways to induce cell death.
PMID- 27186602
TI - A novel multi-biofunctional protein from brown rice hydrolysed by endo/endo
exoproteases.
AB - Brown rice, which is a less allergenic food grain and contains essential amino
acids, was hydrolysed by bromelain and PROTEASE FP51(r) to improve its
functionalities and taste for food applications. The hydrolysate prepared by
bromelain (eb-RPH) had high protein solubility, surface hydrophobicity, low
molecular weight peptides, hydrophobic amino acids (leucine, valine and glycine)
and flavor amino acids (glutamic acid and aspartic acid). The eb-RPH exhibited
higher 1,1-diphenyl-2-picrylhydrazyl (DPPH) and 2,2'-azino-bis 3
ethylbenzthiazoline-6-sulfonic (ABTS(+)) radical-scavenging activities of 76.62%
and 52.96%, respectively, and possessed a better foaming capacity (221.76%) and
emulsifying capacity (32.34%) than the hydrolysate prepared by PROTEASE FP51(r)
(ep-RPH) did. The eb-RPH gave the desired taste, which is attributed to volatile
flavor compounds (benzaldehyde, benzeneacetaldehyde and 2-acetyl-1-pyrroline) and
non-volatile flavor compounds, such as monosodium glutamate, 5'-guanosine
monophosphate and 5'-inosine monophosphate (0.07, 0.03 and 0.05 mg mL(-1),
respectively). Brown rice peptides generated by bromelain were novel bioactive
peptides with multifunctional properties.
PMID- 27186603
TI - Application of isothermal titration calorimetry as a tool to study natural
product interactions.
AB - Covering: up to February 2015Over the past twenty-five years, isothermal
titration calorimetry (ITC) has become a potent tool for the study a great
variety of molecular interactions. This technique is able to provide a complete
thermodynamic profile of an interaction process in a single experiment, with a
series of advantages in comparison to other comparable techniques, such as less
amount of sample or no need of chemical modification or labelling. It is thus not
surprising that ITC has been applied to study the manifold types of interactions
of natural products to get new insights into the molecular key factors implied in
the complexation process of this type of compounds. This review provides an
overview over the applications of ITC as a potent tool to investigate
interactions of natural products with proteins, nucleic acids, oligosaccharides,
and other types of receptors. The examples have been selected depending on the
impact that this technique had during the investigation and revision of the
interactions involved in the bioactivity of a compound, lead optimization or
technical applications.
PMID- 27186604
TI - Analysis of alcohol-induced DNA damage in Escherichia coli by visualizing single
genomic DNA molecules.
AB - Consumption of alcohol injures DNA, and such damage is considered to be a primary
cause for the development of cancer and many other diseases essentially due to
reactive oxygen species generated from alcohol. To sensitively detect alcohol
induced DNA lesions in a biological system, we introduced a novel analytical
platform for visualization of single genomic DNA molecules using E. coli. By
fluorescently labelling the DNA lesions, our approach demonstrated, with the
highest sensitivity, that we could count the number of DNA lesions induced by
alcohol metabolism in a single bacterial cell. Moreover, our results showed a
linear relationship between ethanol concentration and the number of DNA lesions:
0.88 lesions per 1% ethanol. Using this approach, we quantitatively analysed the
DNA damage induced by exposure to alcoholic beverages such as beer (5% ethanol),
rice wine (13%), soju (20%), and whisky (40%).
PMID- 27186605
TI - Enzymatically catalytic signal tracing by a glucose oxidase and ferrocene dually
functionalized nanoporous gold nanoprobe for ultrasensitive electrochemical
measurement of a tumor biomarker.
AB - A nanoporous gold nanosphere (pAu NS) was synthesized to load high-content
glucose oxidase (GOx) and ferrocene (Fc) for the successful preparation of a new
gold nanoprobe. After the specific recognition of the tumor biomarker of
carcinoembryonic antigen (CEA) at a gold electrode based aptasensor, this GOx and
Fc dually functionalized pAu NS nanoprobe was further used for sandwich
immunoreaction and signal tracing. Based on the Fc-mediated GOx-catalytic
reaction, the gold nanoprobes quantitatively captured onto the electrode surface
produced a sensitive electrochemical signal corresponding to the protein
recognition events, which led to the development of a new biosensing method for
CEA measurement. Both the high loading of GOx and Fc on the pAu NS nanocarrier
and the enzymatically catalytic reaction of the nanoprobe greatly amplify the
electrochemical signal; meanwhile, the immobilization of the Fc mediator on this
enzyme nanoprobe and the highly specific aptamer recognition drastically decrease
the background current, resulting in the achievement of ultrahigh sensitivity of
the method. Under optimum conditions, this method shows an excellent analytical
performance including a wide linear relationship of five-order of magnitude and a
low detection limit down to 0.45 pg mL(-1). Thus this pAu NS based gold nanoprobe
and the proposed immunoassay method provide great potential for practical
applications.
PMID- 27186606
TI - A multi-responsive turn-on flurogenic probe to sense Zn(2+), Cd(2+) and Pb(2+):
left-right-center emission signal swing.
AB - A versatile new fluorogenic Schiff base probe (L) has been synthesized by the
reaction of quinoline-2-carbohydrazide (which acts as the chelating site) and 4
dimethylamino cinnamaldehyde (which acts as the signaling unit). L can sense
three of the most biologically and environmentally important metal ions, Zn(2+),
Cd(2+) and Pb(2+), among various tested metal ions through selective TURN-ON
fluorescence responses in physiological pH. Interestingly, L can not only sense
Zn(2+), Cd(2+) and Pb(2+) fluorometrically in physiological conditions but can
also distinguish one from another by exhibiting individual intrinsic left-right
center TURN-ON emission signal swings. These selective fluorescence responses
were explained by a chelation-enhanced fluorescence (CHEF) mechanism. Theoretical
calculations were carried out to ascertain the preferred L-metal ion binding
mode.
PMID- 27186607
TI - Endonuclease IV cleaves apurinic/apyrimidinic sites in single-stranded DNA and
its application for biosensing.
AB - Endonuclease IV (Endo IV), as a DNA repairing enzyme, plays a crucial role in
repairing damaged DNA comprising abasic sites to maintain genomic integrity. The
cleaving capability of Endo IV to apurinic/apyrimidinic sites (AP) in single
stranded DNA (ssDNA) was demonstrated. It was found that Endo IV has considerably
high cleaving activity to AP sites in ssDNA compared with that in double-stranded
DNA (dsDNA). The unique feature of Endo IV in cleaving AP sites in ssDNA was
further applied to construct a novel dual signal amplified sensing system for
highly sensitive enzyme and protein detection by a combination of exonuclease III
(Exo III)-aided cyclic amplification reaction and a rolling circle replication
(RCR) technique, which showed a good sensing performance with a detection limit
of 0.008 U mL(-1) for Endo IV and 2.5 pM for streptavidin. In addition, the
developed method had considerably high specificity for Endo IV and streptavidin
over other potential interferences. The developed strategy indeed provides a
novel platform for protein and enzyme assays and may find a broad spectrum of
applications in bioanalysis, disease diagnosis, and drug development.
PMID- 27186608
TI - In Memoriam: Professor Camille-Georges Wermuth.
PMID- 27186609
TI - Synthesis of alpha-diazo-beta-keto esters, phosphonates and sulfones via
acylbenzotriazole-mediated acylation of a diazomethyl anion.
AB - We report a method for the synthesis of alpha-diazo-beta-keto esters,
phosphonates and sulfones via acylation of corresponding diazomethyl anions with
N-acylbenzotriazoles. The N-o-amino-acylbenzotriazoles exhibited an unprecedented
transphosphorylation reaction leading to diazoacetyl phenylphosphoramidates.
PMID- 27186610
TI - Response.
PMID- 27186611
TI - Response.
PMID- 27186612
TI - Response.
PMID- 27186614
TI - [In memory of Tamata Oskarovna Faller (1920-2015)].
PMID- 27186613
TI - [Awake craniotomy].
AB - Awake craniotomy is a neurosurgical intervention aimed at identifying and
preserving the eloquent functional brain areas during resection of tumors located
near the cortical and subcortical language centers. This article provides a
review of the modern literature devoted to the issue. The anatomical rationale
and data of preoperative functional neuroimaging, intraoperative
electrophysiological monitoring, and neuropsychological tests as well as the
strategy of active surgical intervention are presented. Awake craniotomy is a
rapidly developing technique aimed at both preserving speech and motor functions
and improving our knowledge in the field of speech psychophysiology.
PMID- 27186615
TI - EAPCI focus on the WEAPCI survey on radiation exposure and regulations in
European catheterisation laboratories Julinda Mehilli, Chairwoman.
PMID- 27186616
TI - Walking with patients is a privilege.
PMID- 27186617
TI - The key is in the name.
PMID- 27186618
TI - Chemotherapy of mantle cell lymphoma relapsed or refractory chronic lymphocytic
leukaemia.
PMID- 27186619
TI - COMMON STEM--(-)terol.
PMID- 27186620
TI - vilanterol + fluticasone (RELVAR Ellipta). Asthma and COPD: yet another beta-2
agonist and corticosteroid combination.
AB - As expected, the vilanterol + fluticasone combination increases the risk of
pneumonia in patients with COPD.
PMID- 27186621
TI - Olodaterol (Striverdi Respimat). COPD: just another beta-2 agonist.
PMID- 27186622
TI - Venlafaxine: more dangerous than most "selective" serotonergic antidepressants.
AB - Venlafaxine is a serotonergic and noradrenergic antidepressant. It shares the
same serotonergic adverse effects as the "selective" serotonin reuptake inhibitor
(SSRI) antidepressants while in addition provoking noradrenergic adverse effects,
in particular cardiovascular disorders, yet offers no demonstrated advantages
over SSRIs in terms of efficacy. Several cohort studies using data from a UK
database have shown that venlafaxine overdoses are more frequently fatal than
SSRI overdoses. Several meta-analyses of more than 70 published and unpublished
randomised clinical trials, including about 7000 patients in total, have shown
that treatment discontinuation due to adverse effects is more common with
venlafaxine than with SSRI antidepressants. Venlafaxine can provoke dose
dependent blood pressure elevation, sometimes requiring treatment
discontinuation. Exposure to venlafaxine during the second and third trimesters
of pregnancy increases the risk of pre-eclampsia and eclampsia. A cohort study in
about 50 elderly patients and analysis of several hundred reported suicide
attempts by venlafaxine overdose demonstrated a risk of QT interval prolongation,
which can lead to torsades de pointes, an unusual and potentially fatal type of
ventricular tachycardia. Large British and Danish cohort studies found no
increased risk of sudden cardiac death with venlafaxine compared with other
antidepressants. However, since only 3.5% and 7% of the patients were using
venlafaxine, the statistical power of these studies was relatively low. In
practice, the data available as of mid-2015 from clinical trials and
epidemiological studies confirm the harms foreseeable from venlafaxine's
pharmacological properties: a higher risk of cardiovascular adverse effects and
of fatal overdoses than with most SSRI antidepressants. Since venlafaxine and
SSRI antidepressants have similar and limited efficacy, venlafaxine is best
avoided. An SSRI anti-depressant is a more reasonable option, with the exception
of citalopram and escitalopram which also expose patients to more cardiovascular
adverse effects.
PMID- 27186623
TI - Citalopram, escitalopram: no more effective than other SSRIs, but more toxic.
PMID- 27186624
TI - Allergic rhinitis during pregnancy.
AB - During pregnancy, the first-choice drugs for allergic rhinitis are nasal or oral
"non-sedating" antihistamines without antimuscarinic activity, in particular
cetirizine, or loratadine after the first trimester.
PMID- 27186625
TI - Drugs to avoid in pregnant women with allergies.
PMID- 27186626
TI - Towards better patient care: drugs to avoid in 2016.
AB - To help healthcare professionals and patients choose high-quality treatments that
minimize the risk of adverse effects, in early 2016 we updated our list of drugs
to avoid. Prescrire's assessments of the harm-benefit balance of new drugs and
indications are based on a rigorous procedure that includes a systematic and
reproducible literature search, identification of patient-relevant outcomes,
prioritisation of the supporting data based on the strength of evidence,
comparison with standard treatments, and an analysis of both known and potential
adverse effects. This 2016 review of medications examined by Prescrire over a six
year period, from 2010 to 2015, identified 74 drugs that are more harmful than
beneficial in all the indications for which they have been authorised in France.
In most cases, when drug therapy is really necessary, other drugs with a better
harm-benefit balance are available. Even in serious situations, when no effective
treatment exists, there is no justification for prescribing a drug with no proven
efficacy that provokes severe adverse effects. It may be acceptable to test these
drugs in clinical trials, but patients must be informed of the uncertainty over
their harm-benefit balance, and the trial design must be relevant. Tailored
supportive care is the best option when there are no available treatments capable
of improving prognosis or quality of life, beyond the placebo effect.
PMID- 27186627
TI - Notable changes in the 2016 update: citalopram, escitalopram, diclofenac added to
the list of drugs to avoid.
PMID- 27186628
TI - PCOS, breast hypoplasia and low milk supply: A case study.
PMID- 27186629
TI - Metal-Free, Visible Light-Photocatalyzed Synthesis of Benzo[b]phosphole Oxides:
Synthetic and Mechanistic Investigations.
AB - Highly functionalized benzo[b]phosphole oxides were synthesized from reactions of
arylphosphine oxides with alkynes under photocatalytic conditions by using eosin
Y as the catalyst and N-ethoxy-2-methylpyridinium tetrafluoroborate as the
oxidant. The reaction works under mild conditions and has a broad substrate
scope. Mechanistic investigations have been undertaken and revealed the formation
of a ground state electron donor-acceptor complex (EDA) between eosin (the
photocatalyst) and the pyridinium salt (the oxidation agent). This complex, which
has been fully characterized both in the solid state and in solution, turned out
to exhibit a dual role, i.e., the oxidation of the photocatalyst and the
formation of the initiating radicals, which undergoes an intramolecular reaction
avoiding the classical diffusion between the two reactants. The involvement of
ethoxy and phosphinoyl radicals in the photoreaction has unequivocally been
evidenced by EPR spectroscopy.
PMID- 27186630
TI - The effect of lifestyle-based education to women and their husbands on the
anxiety and depression during pregnancy: a randomized controlled trial.
AB - OBJECTIVE: The aim of this study was to determine the effect of lifestyle-based
education to women and their husbands on anxiety and depression during pregnancy.
METHOD: This controlled trial was conducted on 189 pregnant women. Participants
were allocated into three groups through block randomization. In the first
intervention group, both women and their husbands, and in the second one, only
women received the lifestyle-based education. In the control group, women
received only the routine care. Edinburgh depression and Spielberger anxiety
questionnaires were completed at baseline and 8 weeks after it. RESULTS: Compared
to the control group, significant reductions were observed in the scores of
depression (adjusted difference: -4.7; 95% CI: -5.9 to -3.4), state anxiety (
8.1; -11.3 to -4.9) and trait anxiety (-8.3; -11.9 to -5.0) in the first
intervention group, and in the scores of depression (-2.9; -4.1 to -1.6), state
anxiety (-4.3; -7.5 to -1.1) and trait anxiety (-5.5; 95% CI: -8.7 to -2.3) in
the second intervention group. Comparing the two intervention groups, mean scores
of depression and state anxiety were significantly lower in the first group.
CONCLUSION: Results suggested the positive effect of lifestyle education to women
and their husbands on depression and anxiety during pregnancy.
PMID- 27186632
TI - Dimetallaborane analogues of the octaboranes of the type Cp2M2B6H10: structural
variations with changes in the skeletal electron count.
AB - The structures and energetics of the complete series of hydrogen-rich
dimetallaboranes Cp2M2B6H10 and Cp*2M2B6H10 (Cp = eta(5)-C5H5; Cp* = eta(5)
Me5C5; M = Pd, Pt; Rh, Ir; Ru, Os; Re; Mo, W; Ta), including the experimentally
known Cp*2Rh2B6H10 and Cp*2W2B6H10 (Cp* = eta(5)-Me5C5), have been investigated
by density functional theory. The lowest energy structures of the hyperelectronic
Cp2M2B6H10 (M = Pd, Pt; Rh, Ir) systems have central M2B6 frameworks with a
hexagonal open face similar to the B8 networks in arachno-B8H14 and nido-B8H12.
The two lowest energy structures for Cp2Rh2B6H10 and Cp*2Rh2B6H10, lying within 1
kcal mol(-1) of energy, differ only in the locations of the bridging hydrogen
atoms around the hexagonal hole consistent with the experimentally observed
fluxionality of the hydrogen atoms in Cp*2Rh2B6H10. Most of the lowest energy
Cp2M2B6H10 (M = Ru, Os) structures also have a central M2B6 framework similar to
B8H12, typically with such additional features as an additional metal-metal bond
or a formal metal-metal double bond. A common motif for the low-energy structures
of the hypoelectronic Cp2M2B6H10 (M = Re; Mo, W; Ta) systems, including the
experimentally known Cp*2W2B6H10, is a central M2B4 octahedron with its two M2B
faces capped by the remaining boron atoms and with four M-B edges bridged by
hydrogen atoms. Such structures can also be considered as oblatonido structures
derived from the experimentally known 9-vertex oblatocloso Cp*2Re2B7H7 structure
by removal of the unique degree 4 vertex atom.
PMID- 27186631
TI - Effect of treatment with simvastatin on bone microarchitecture of the femoral
head in an osteoporosis animal model.
AB - The objective of this study was to evaluate the microarchitecture and trabecular
bone strength at the distal region of the femur, and its biomechanical properties
with simvastatin administration with two different doses in ovariectomized (OVX)
rats. Ninety rats were divided into six groups to evaluate treatment with the
simvastatin drug (n = 15): SH (Sham surgery), SH-5 (5 mg simvastatin), SH-20 (20
mg simvastatin), OVX, OVX-5, and OVX-20. Euthanasia was performed at three
different times, five animals per period: 7, 14, and 28 days. The effectiveness
of the treatments was evaluated by mechanical testing and histomorphometric
analysis of the femurs. The results of analysis by the linear model of mixed
effects showed 20 mg of simvastatin results in increased trabecular bone after 14
days (P = 0.039) of ingestion in ovariectomized animals. However, ingestion of 5
mg of simvastatin is able to sensitize the trabecular bone only at 28 days (P =
0.005) of ingestion. In the mechanical tests stiffness improves within 28 days (P
= 0.003). Regarding maximum strength, no statistical differences were observed.
According to these results, it can be concluded that for a decrease in oral
intake, longer treatment times are required. Microsc. Res. Tech. 79:684-690,
2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27186633
TI - Post-synthetic modification of an amino-functionalized metal-organic framework
for highly efficient enrichment of N-linked glycopeptides.
AB - A maltose-functionalized metal-organic framework (MOF), MIL-101(Cr)-maltose, was
developed via a simple two step post-synthetic modification of MIL-101(Cr)-NH2.
With the use of this nanomaterial, 33 glycopeptides were detected from the digest
of human immunoglobulin G, demonstrating its high efficiency in glycoproteomic
analysis. More importantly, the generic functionalization route from amino
derived MOFs opens a new perspective in material design in sample preparation.
PMID- 27186634
TI - Fixed-dose combinations of drugs versus single-drug formulations for treating
pulmonary tuberculosis.
AB - BACKGROUND: People who are newly diagnosed with pulmonary tuberculosis (TB)
typically receive a standard first-line treatment regimen that consists of two
months of isoniazid, rifampicin, pyrazinamide, and ethambutol followed by four
months of isoniazid and rifampicin. Fixed-dose combinations (FDCs) of these drugs
are widely recommended. OBJECTIVES: To compare the efficacy, safety, and
acceptability of anti-tuberculosis regimens given as fixed-dose combinations
compared to single-drug formulations for treating people with newly diagnosed
pulmonary tuberculosis. SEARCH METHODS: We searched the Cochrane Infectious
Disease Group Specialized Register; the Cochrane Central Register of Controlled
Trials (CENTRAL, published in the Cochrane Library, Issue 11 2015); MEDLINE (1966
to 20 November 2015); EMBASE (1980 to 20 November 2015); LILACS (1982 to 20
November 2015); the metaRegister of Controlled Trials; and the World Health
Organization International Clinical Trials Registry Platform (WHO ICTRP), without
language restrictions, up to 20 November 2015. SELECTION CRITERIA: Randomized
controlled trials that compared the use of FDCs with single-drug formulations in
adults (aged 15 years or more) newly diagnosed with pulmonary TB. DATA COLLECTION
AND ANALYSIS: Two review authors independently assessed studies for inclusion,
and assessed the risk of bias and extracted data from the included trials. We
used risk ratios (RRs) for dichotomous data and mean differences (MDs) for
continuous data with 95% confidence intervals (CIs). We attempted to assess the
effect of treatment for time-to-event measures with hazard ratios and their 95%
CIs. We used the Cochrane 'Risk of bias' assessment tool to determine the risk of
bias in included trials. We used the fixed-effect model when there was little
heterogeneity and the random-effects model with moderate heterogeneity. We used
an I2 statistic value of 75% or greater to denote significant heterogeneity, in
which case we did not perform a meta-analysis. We assessed the quality of
evidence using the Grading of Recommendations Assessment, Development and
Evaluation (GRADE) approach. MAIN RESULTS: We included 13 randomized controlled
trials (RCTs) in the review, which enrolled 5824 participants. Trials were
published between 1987 and 2015 and included participants in treatment with newly
diagnosed pulmonary TB in countries with high TB prevalence. Only two trials
reported the HIV status of included participants.Overall there is little or no
difference detected between FDCs and single-drug formulations for most outcomes
reported. We did not detect a difference in treatment failure between FDCs
compared with single-drug formulations (RR 1.28, 95% CI 0.82 to 2.00; 3606
participants, seven trials, moderate quality evidence). Relapse may be more
frequent in people treated with FDCs compared to single-drug formulations,
although the confidence interval (CI) includes no difference (RR 1.28, 95% CI
1.00 to 1.64; 3621 participants, 10 trials, low quality evidence). We did not
detect any difference in death between fixed-dose and single-drug formulation
groups (RR 0.96, 95% CI 0.67 to 1.39; 4800 participants, 11 trials, moderate
quality evidence).When we compared FDCs with single-drug formulations we found
little or no difference for sputum smear or culture conversion at the end of
treatment (RR 0.99, 95% CI 0.96 to 1.02; 2319 participants, seven trials, high
quality evidence), for serious adverse events (RR 1.45, 95% CI 0.90 to 2.33; 3388
participants, six trials, moderate quality evidence), and for adverse events that
led to discontinuation of therapy (RR 0.96, 95% CI 0.56 to 1.66; 5530
participants, 13 trials, low quality evidence).We conducted a sensitivity
analysis excluding studies at high risk of bias and this did not alter the review
findings. AUTHORS' CONCLUSIONS: Fixed-dose combinations and single-drug
formulations probably have similar effects for treating people with newly
diagnosed pulmonary TB.
PMID- 27186635
TI - Supplemental Breast Cancer Screening With Molecular Breast Imaging for Women With
Dense Breast Tissue.
AB - OBJECTIVE: Molecular breast imaging was implemented in routine clinical practice
at a large community-based breast imaging center. The aim of this study was to
retrospectively assess the clinical performance of molecular breast imaging as a
supplementary screening tool for women with dense breast tissue. MATERIALS AND
METHODS: Women with dense breasts and negative mammography results who
subsequently underwent screening with 300 MBq (8 mCi) (99m)Tc-sestamibi molecular
breast imaging were retrospectively analyzed. Outcome measures included cancer
detection rate, recall rate, biopsy rate, and positive predictive values (PPVs).
RESULTS: Molecular breast imaging screening of 1696 women in this study resulted
in the detection of 13 mammographically occult malignancies, of which 11 were
invasive, one was node positive, and one had unknown node positivity. The lesion
size ranged from 0.6 to 2.4 cm, with a mean of 1.1 cm. The incremental cancer
detection rate was 7.70/00 (95% CI, 4.5-13.10/00), the recall rate was 8.4% (95%
CI, 7.2-9.8%), and the biopsy rate was 3.7% (95% CI, 2.9%-4.7%). The PPV for
recall (PPV 1) was 9.1% (95% CI, 5.4-15.0%), and the PPV for biopsy (PPV 3) was
19.4% (95% CI, 11.4-30.9%). CONCLUSION: When incorporated into a community-based
clinical practice environment, molecular breast imaging yielded a high
incremental cancer detection rate of 7.70/00 at an acceptable radiation dose.
These results show the utility of molecular breast imaging as a supplementary
screening tool to mammography for women with dense breasts.
PMID- 27186636
TI - Evaluation of Heavy Metal Removal from Wastewater in a Modified Packed Bed
Biofilm Reactor.
AB - For the effective application of a modified packed bed biofilm reactor (PBBR) in
wastewater industrial practice, it is essential to distinguish the tolerance of
the system for heavy metals removal. The industrial contamination of wastewater
from various sources (e.g. Zn, Cu, Cd and Ni) was studied to assess the impacts
on a PBBR. This biological system was examined by evaluating the tolerance of
different strengths of composite heavy metals at the optimum hydraulic retention
time (HRT) of 2 hours. The heavy metal content of the wastewater outlet stream
was then compared to the source material. Different biomass concentrations in the
reactor were assessed. The results show that the system can efficiently treat 20
(mg/l) concentrations of combined heavy metals at an optimum HRT condition (2
hours), while above this strength there should be a substantially negative impact
on treatment efficiency. Average organic reduction, in terms of the chemical
oxygen demand (COD) of the system, is reduced above the tolerance limits for
heavy metals as mentioned above. The PBBR biological system, in the presence of
high surface area carrier media and a high microbial population to the tune of 10
000 (mg/l), is capable of removing the industrial contamination in wastewater.
PMID- 27186638
TI - Chemical Records in Snowpits from High Altitude Glaciers in the Tibetan Plateau
and Its Surroundings.
AB - Glaciochemistry can provide important information about climatic change and
environmental conditions, as well as for testing regional and global atmospheric
trace transport models. In this study, delta18O and selected chemical
constituents records in snowpits collected from eight glaciers in the Tibetan
Plateau and adjacent areas have been investigated. Drawing on the integrated
data, our study summarized the seasonal and spatial characteristics of snow
chemistry, and their potential sources. Distinct seasonal patterns of delta18O
values in snowpits indicated more negative in the south TP controlled by Indian
monsoon, and less negative in the north TP and Tien Shan. Overall increasing
concentrations of microparticles and crustal ions from south to north indicated a
strength of dust deposition on glaciers from semi-arid and arid regions.
Principal component analysis and air mass trajectories suggested that chemical
constituents were mainly attributable to crustal sources as demonstrated by the
high concentrations of ions occurring during the non-monsoon seasons.
Nevertheless, other sources, such as anthropogenic pollution, played an important
role on chemical variations of glaciers near the human activity centers. This
study concluded that air mass transport from different sources played important
roles on the spatial distributions and seasonality of glaciochemistry.
PMID- 27186637
TI - Characterization and Comparison of the CPK Gene Family in the Apple (Malus *
domestica) and Other Rosaceae Species and Its Response to Alternaria alternata
Infection.
AB - As one of the Ca2+ sensors, calcium-dependent protein kinase (CPK) plays vital
roles in immune and stress signaling, growth and development, and hormone
responses, etc. Recently, the whole genome of apple (Malus * domestica), pear
(Pyrus communis), peach (Prunus persica), plum (Prunus mume) and strawberry
(Fragaria vesca) in Rosaceae family has been fully sequenced. However, little is
known about the CPK gene family in these Rosaceae species. In this study, 123 CPK
genes were identified from five Rosaceae species, including 37 apple CPKs, 37
pear CPKs, 17 peach CPKs, 16 strawberry CPKs, and 16 plum CPKs. Based on the
phylogenetic tree topology and structural characteristics, we divided the CPK
gene family into 4 distinct subfamilies: Group I, II, III, and IV. Whole-genome
duplication (WGD) or segmental duplication played vital roles in the expansion of
the CPK in these Rosaceae species. Most of segmental duplication pairs in peach
and plum may have arisen from the gamma triplication (~140 million years ago
[MYA]), while in apple genome, many duplicated genes may have been derived from a
recent WGD (30~45 MYA). Purifying selection also played a critical role in the
function evolution of CPK family genes. Expression of apple CPK genes in response
to apple pathotype of Alternaria alternata was verified by analysis of
quantitative real-time RT-PCR (qPCR). Expression data demonstrated that CPK genes
in apple might have evolved independently in different biological contexts. The
analysis of evolution history and expression profile laid a foundation for
further examining the function and complexity of the CPK gene family in Rosaceae.
PMID- 27186640
TI - Correction: Characterisation of Mesothelioma-Initiating Cells and Their
Susceptibility to Anti-Cancer Agents.
AB - [This corrects the article DOI: 10.1371/journal.pone.0119549.].
PMID- 27186639
TI - In-Depth Analysis of HA and NS1 Genes in A(H1N1)pdm09 Infected Patients.
AB - In March/April 2009, a new pandemic influenza A virus (A(H1N1)pdm09) emerged and
spread rapidly via human-to-human transmission, giving rise to the first pandemic
of the 21th century. Influenza virus may be present in the infected host as a
mixture of variants, referred to as quasi-species, on which natural and immune
driven selection operates. Since hemagglutinin (HA) and non-structural 1 (NS1)
proteins are relevant in respect of adaptive and innate immune responses, the
present study was aimed at establishing the intra-host genetic heterogeneity of
HA and NS1 genes, applying ultra-deep pyrosequencing (UDPS) to nasopharyngeal
swabs (NPS) from patients with confirmed influenza A(H1N1)pdm09 infection. The
intra-patient nucleotide diversity of HA was significantly higher than that of
NS1 (median (IQR): 37.9 (32.8-42.3) X 10(-4) vs 30.6 (27.4-33.6) X 10(-4)
substitutions/site, p = 0.024); no significant correlation for nucleotide
diversity of NS1 and HA was observed (r = 0.319, p = 0.29). Furthermore, a strong
inverse correlation between nucleotide diversity of NS1 and viral load was
observed (r = - 0.74, p = 0.004). For both HA and NS1, the variants appeared
scattered along the genes, thus indicating no privileged mutation site. Known
polymorphisms, S203T (HA) and I123V (NS1), were observed as dominant variants
(>98%) in almost all patients; three HA and two NS1 further variants were
observed at frequency >40%; a number of additional variants were detected at
frequency <6% (minority variants), of which three HA and four NS1 variants were
novel. In few patients multiple variants were observed at HA residues 203 and
222. According to the FLUSURVER tool, some of these variants may affect immune
recognition and host range; however, these inferences are based on H5N1, and
their extension to A(H1N1)pdm09 requires caution. More studies are necessary to
address the significance of the composite nature of influenza virus quasi-species
within infected patients.
PMID- 27186641
TI - Elevated Serum ADA Activity as a Marker for Diagnosis and Prognosis of Visceral
Leishmaniasis and Post Kala-Azar Dermal Leishmaniasis in Indian Patients.
AB - Serum adenosine deaminase (ADA) activity increases in diseases where cellular
immunity is involved. Since cell-mediated immune responses play a paramount role
in the pathogenesis and healing of the visceral leishmaniasis, therefore, the
present study was undertaken to evaluate the serum ADA activity in different
pathological conditions. Adenosine deaminase was determined in sera of active
visceral leishmaniasis (VL) patients (n = 39), active postkala-azar dermal
leishmaniasis (PKDL) cases (n = 34) at the point of diagnosis and after treatment
stages along with healthy controls (n = 30), endemic healthy subjects (n = 34)
and endemic asymptomatic subjects (n = 34).Our in-vitro result revealed that
monocytes secrete significant ADA level in response to Leishmania donovani
(L.donovani) stimulation. The serum ADA activity in active VL and PKDL subjects
were found to be significantly higher than that of respective treated cases and
healthy controls. We also observed a marginal number (17.6%) of endemic
asymptomatic subjects showed elevated serum ADA activity. Further, the ADA
activity in PKDL was found to be decreased gradually during the different phases
of treatment. Interestingly, 2 out of 32 treated VL cases found to have high
serum ADA activity during follow up period were relapsed within few days. These
results suggest the possibility of ADA as a marker of clinical pathogenesis and
can be used as a surrogate marker in the diagnosis and prognosis of VL and PKDL.
PMID- 27186642
TI - Modulation in Persistent Organic Pollutant Concentration and Profile by Prey
Availability and Reproductive Status in Southern Resident Killer Whale Scat
Samples.
AB - Persistent organic pollutants (POPs), specifically PCBs, PBDEs, and DDTs, in the
marine environment are well documented, however accumulation and mobilization
patterns at the top of the food-web are poorly understood. This study broadens
the understanding of POPs in the endangered Southern Resident killer whale
population by addressing modulation by prey availability and reproductive status,
along with endocrine disrupting effects. A total of 140 killer whale scat samples
collected from 54 unique whales across a 4 year sampling period (2010-2013) were
analyzed for concentrations of POPs. Toxicant measures were linked to pod, age,
and birth order in genotyped individuals, prey abundance using open-source test
fishery data, and pregnancy status based on hormone indices from the same sample.
Toxicant concentrations were highest and had the greatest potential for toxicity
when prey abundance was the lowest. In addition, these toxicants were likely from
endogenous lipid stores. Bioaccumulation of POPs increased with age, with the
exception of presumed nulliparous females. The exceptional pattern may be
explained by females experiencing unobserved neonatal loss. Transfer of POPs
through mobilization of endogenous lipid stores during lactation was highest for
first-borns with diminished transfer to subsequent calves. Contrary to
expectation, POP concentrations did not demonstrate an associated disruption of
thyroid hormone, although this association may have been masked by impacts of
prey abundance on thyroid hormone concentrations. The noninvasive method for
measuring POP concentrations in killer whales through scat employed in this study
may improve toxicant monitoring in the marine environment and promote
conservation efforts.
PMID- 27186644
TI - Effect of tumor necrosis factor-alpha inhibitors on ambulatory 24-h blood
pressure.
AB - Tumor necrosis factor alpha (TNF-alpha) inhibitors are increasingly being used in
inflammatory rheumatic diseases (IRD). The risk of cardiovascular disease is
elevated in patients with IRD and TNF-alpha inhibitors reduce this risk. We
assessed whether the beneficial effect of TNF-alpha inhibitors on cardiovascular
risk is mediated by blood pressure reduction. We measured blood pressure levels
with 24-h ambulatory blood pressure measurements device in patients with IRD
before and 3 months after treatment with TNF-alpha inhibitors. The study
population consisted of 15 subjects (6 men; mean age 45.9 +/- 14.1 years). Most
patients had either rheumatoid arthritis or psoriatic arthritis and adalimumab
was the most common TNF-alpha inhibitor used. Mean 24-h systolic and diastolic
blood pressure levels remained the same after treatment (121 +/- 12/66 +/- 7
before and 123 +/- 11/67 +/- 10 mm Hg after; p = 0.88 and 0.66, respectively).
The study demonstrates that TNF-alpha inhibitors have no effect on blood pressure
levels.
PMID- 27186643
TI - Impaired Ethanol-Induced Sensitization and Decreased Cannabinoid Receptor-1 in a
Model of Posttraumatic Stress Disorder.
AB - BACKGROUND AND PURPOSE: Impaired striatal neuroplasticity may underlie increased
alcoholism documented in those with posttraumatic stress disorder (PTSD).
Cannabinoid receptor-1 (CB1) is sensitive to the effects of ethanol (EtOH) and
traumatic stress, and is a critical regulator of striatal plasticity. To
investigate CB1 involvement in the PTSD-alcohol interaction, this study measured
the effects of traumatic stress using a model of PTSD, mouse single-prolonged
stress (mSPS), on EtOH-induced locomotor sensitization and striatal CB1 levels.
METHODS: Mice were exposed to mSPS, which includes: 2-h restraint, 10-min group
forced swim, 15-min exposure to rat bedding odor, and diethyl ether exposure
until unconsciousness or control conditions. Seven days following mSPS exposure,
the locomotor sensitizing effects of EtOH were assessed. CB1, post-synaptic
density-95 (PSD95), and dopamine-2 receptor (D2) protein levels were then
quantified in the dorsal striatum using standard immunoblotting techniques.
RESULTS: Mice exposed to mSPS-EtOH demonstrated impaired EtOH-induced locomotor
sensitization compared to Control-EtOH mice, which was accompanied by reduced
striatal CB1 levels. EtOH increased striatal PSD95 in control and mSPS-exposed
mice. Additionally, mSPS-Saline exposure increased striatal PSD95 and decreased
D2 protein expression, with mSPS-EtOH exposure alleviating these changes.
CONCLUSIONS: These data indicate that the mSPS model of PTSD blunts the
behavioral sensitizing effects of EtOH, a response that suggests impaired
striatal neuroplasticity. Additionally, this study demonstrates that mice exposed
to mSPS and repeated EtOH exposure decreases CB1 in the striatum, providing a
mechanism of interest for understanding the effects of EtOH following severe,
multimodal stress exposure.
PMID- 27186647
TI - Silicon Oxycarbide/Carbon Nanohybrids with Tiny Silicon Oxycarbide Particles
Embedded in Free Carbon Matrix Based on Photoactive Dental Methacrylates.
AB - A new facile scalable method has been developed to synthesize silicon oxycarbide
(SiOC)/carbon nanohybrids using difunctional dental methacrylate monomers as
solvent and carbon source and the silane coupling agent as the precursor for
SiOC. The content (from 100% to 40% by mass) and structure (ratio of disordered
carbon over ordered carbon) of the free carbon matrix have been systematically
tuned by varying the mass ratio of methacryloxypropyltrimethoxysilane (MPTMS)
over the total mass of the resin monomers from 0.0 to 6.0. Compared to the bare
carbon anode, the introduction of MPTMS significantly improves the
electrochemical performance as a lithium-ion battery anode. The initial and
cycled discharge/charge capacities of the SiOC/C nanohybrid anodes reach maximum
with the MPTMS ratio of 0.50, which displays very good rate performance as well.
Detailed structures and electrochemical performance as lithium-ion battery anodes
have been systematically investigated. The structure-property correlation and
corresponding mechanism have been discussed.
PMID- 27186646
TI - Disc height loss and restoration via injectable hydrogel influences adjacent
segment mechanics in-vitro.
AB - BACKGROUND: Height loss can have a profound influence on the local mechanical
environment of the disc. While disc height loss is incorporated into scales of
degeneration, its direct influence on spine kinematics is unclear. Further, there
is a need for minimally invasive techniques to restore disc height; injectable
hydrogels are a potential solution. Tandem investigation of disc height loss and
subsequent restoration will enhance understanding of spine dysfunction and
aberrant movement. METHODS: Twenty porcine spine specimens with two functional
segments were tested in repeated flexion and extension. Relative angular
displacement of each segment was measured with full specimen disc height, disc
height loss in one of the segments (superior or inferior), and disc height
restoration via hydrogel injection. FINDINGS: Disc height loss decreased the
range of motion at the affected segment and increased the range of motion at the
adjacent segment. Relative angular displacement decreased at the affected segment
by 13.8% (SD=5.3%) and 4.5% (SD=2.1%) for specimens with height loss in the
superior and inferior discs respectively. Hydrogel injection was able to restore
segmental kinematics to the pre-injury state, with 12.7% (SD=5.5%) and 6.4%
(SD=4.2%) of motion regained at the affected segment for superior and inferior
disc height loss specimens respectively. INTERPRETATION: Acute disc height loss
reduces motion at an affected segment, while increasing motion at an adjacent
segment in-vitro; relative motion appears to be governed by local stiffness.
Injectable hydrogels show promise in their ability to restore kinematics to
segments with disc height loss.
PMID- 27186648
TI - A new coumarin from the roots of Micromelum minutum.
AB - A new coumarin, minutuminolate (1), together with eleven known coumarins (2-12),
was isolated from the roots of Micromelum minutum. The structures of these
compounds were established on the basis of their 1D and 2D NMR spectroscopic
data. Compounds 2, 5, 10, 11 and 12 showed cytotoxicity against KB cell line. In
addition, compounds 2, 3, 4, 7, 11 and 12 also showed weak cytotoxicity against
NCI-H187 cell line.
PMID- 27186645
TI - Prioritizing Surgical Care on National Health Agendas: A Qualitative Case Study
of Papua New Guinea, Uganda, and Sierra Leone.
AB - BACKGROUND: Little is known about the social and political factors that influence
priority setting for different health services in low- and middle-income
countries (LMICs), yet these factors are integral to understanding how national
health agendas are established. We investigated factors that facilitate or
prevent surgical care from being prioritized in LMICs. METHODS AND FINDINGS: We
undertook country case studies in Papua New Guinea, Uganda, and Sierra Leone,
using a qualitative process-tracing method. We conducted 74 semi-structured
interviews with stakeholders involved in health agenda setting and surgical care
in these countries. Interviews were triangulated with published academic
literature, country reports, national health plans, and policies. Data were
analyzed using a conceptual framework based on four components (actor power,
ideas, political contexts, issue characteristics) to assess national factors
influencing priority for surgery. Political priority for surgical care in the
three countries varies. Priority was highest in Papua New Guinea, where surgical
care is firmly embedded within national health plans and receives significant
domestic and international resources, and much lower in Uganda and Sierra Leone.
Factors influencing whether surgical care was prioritized were the degree of
sustained and effective domestic advocacy by the local surgical community, the
national political and economic environment in which health policy setting
occurs, and the influence of international actors, particularly donors, on
national agenda setting. The results from Papua New Guinea show that a strong
surgical community can generate priority from the ground up, even where other
factors are unfavorable. CONCLUSIONS: National health agenda setting is a complex
social and political process. To embed surgical care within national health
policy, sustained advocacy efforts, effective framing of the problem and
solutions, and country-specific data are required. Political, technical, and
financial support from regional and international partners is also important.
PMID- 27186649
TI - Association between presence of pneumonia and pressure ulcer formation following
traumatic spinal cord injury.
AB - OBJECTIVE: To determine if the presence of pneumonia and pressure ulcers are
associated in individuals with an acute spinal cord injury during acute care and
rehabilitation hospitalizations. DESIGN: Retrospective, secondary analyses of
data obtained from the Spinal Cord Injury Model Systems enrolled from 1993 until
2006 Setting: Acute care hospitalization and inpatient rehabilitation facilities
Participants: A cohort of individuals hospitalized in acute care (n = 3,098) and
inpatient rehabilitation (n = 1,768) was included in the analysis. Frequencies of
pressure ulcer formation and episodes of pneumonia were noted in both settings.
INTERVENTIONS: Not applicable. OUTCOME MEASURES: Pressure ulcer formation and
diagnosis of pneumonia Results: The development of pressure ulcers, including
stage I, was 20.3% acute care and 21.1% during in inpatient rehabilitation.
Multivariate logistic regression analyses revealed a significant association of
pneumonia with occurrence of pressure ulcers (P <= 0.001, OR = 2.3 and 2.2
respectively), the American Spinal Injury Association Impairment Scale grades (P
< 0.001), and utilization of mechanical ventilation (P < 0.01) in both settings.
CONCLUSION: A higher presence of pressure ulcers was found in individuals with
pneumonia, after adjusting for injury severity, age, sex, and utilization of
mechanical ventilation. Impaired inflammatory response and decreased mobility in
individuals with pneumonia may predispose these individuals to develop pressure
ulcers. Surveillance and preventive measures for pressure ulcers should be
rigorous in individuals with SCI and pneumonia.
PMID- 27186650
TI - Social anxiety following traumatic brain injury: an exploration of associated
factors.
AB - Social anxiety (SA) following traumatic brain injury (TBI) has the potential to
affect an individual's general psychological well-being and social functioning,
however little research has explored factors associated with its development. The
present study used hierarchical multiple regression to investigate the
demographic, clinical and psychological factors associated with SA following TBI.
A sample of 85 people who experienced TBI were recruited through social media
websites and brain injury services across the North-West of England. The overall
combined biopsychosocial model was significant, explaining 52-54.3% of the
variance in SA (across five imputations of missing data). The addition of
psychological variables (self-esteem, locus of control, self-efficacy) made a
significant contribution to the overall model, accounting for an additional 12.2
13% of variance in SA above that explained by demographic and clinical variables.
Perceived stigma was the only significant independent predictor of SA (B = .274,
p = .005). The findings suggest that psychological variables are important in the
development of SA following TBI and must be considered alongside clinical
factors. Furthermore, the significant role of stigma highlights the need for
intervention at both an individualised and societal level.
PMID- 27186651
TI - Erratum: Chromatin-associated degradation is defined by UBXN-3/FAF1 to safeguard
DNA replication fork progression.
PMID- 27186652
TI - Self-Assembled Epitaxial Au-Oxide Vertically Aligned Nanocomposites for Nanoscale
Metamaterials.
AB - Metamaterials made of nanoscale inclusions or artificial unit cells exhibit
exotic optical properties that do not exist in natural materials. Promising
applications, such as super-resolution imaging, cloaking, hyperbolic propagation,
and ultrafast phase velocities have been demonstrated based on mostly micrometer
scale metamaterials and few nanoscale metamaterials. To date, most metamaterials
are created using costly and tedious fabrication techniques with limited paths
toward reliable large-scale fabrication. In this work, we demonstrate the one
step direct growth of self-assembled epitaxial metal-oxide nanocomposites as a
drastically different approach to fabricating large-area nanostructured
metamaterials. Using pulsed laser deposition, we fabricated nanocomposite films
with vertically aligned gold (Au) nanopillars (~20 nm in diameter) embedded in
various oxide matrices with high epitaxial quality. Strong, broad absorption
features in the measured absorbance spectrum are clear signatures of plasmon
resonances of Au nanopillars. By tuning their densities on selected substrates,
anisotropic optical properties are demonstrated via angular dependent and
polarization resolved reflectivity measurements and reproduced by full-wave
simulations and effective medium theory. Our model predicts exotic properties,
such as zero permittivity responses and topological transitions. Our studies
suggest that these self-assembled metal-oxide nanostructures provide an exciting
new material platform to control and enhance optical response at nanometer
scales.
PMID- 27186653
TI - Highly Enantioselective Iridium-Catalyzed Hydrogenation of Cyclic Enamides.
AB - The MaxPHOX-Ir catalyst system provided the highest selectivity ever reported for
the reduction of cyclic enamides derived from alpha- and beta-tetralones. This
result indicates that iridium catalysts are also proficient in reducing alkenes
bearing metal-coordinating groups. In the present system, selectivity was
pressure-dependent: In most cases, a decrease in the H2 pressure to 3 bar
resulted in an increase in enantioselectivity. Moreover, the process can be
carried out in environmentally friendly solvents, such as methanol and ethyl
acetate, with no loss of selectivity.
PMID- 27186655
TI - Influence of dietary protein on serum metabolites and antioxidant status: A study
in Chrysolophus amherstiae.
AB - This experiment was conducted to study the effect of feeding graded levels of
dietary crude protein (CP) on serum biochemical profile of Lady Amherst's
pheasants (LAP). Eighteen male LAP were randomly distributed into three groups of
six each in an experiment based on completely randomized design. The CP content
of the diets was 13.4%, 16.5%, and 19.1%, in groups I, II, and III, respectively.
Serum concentrations of uric acid was lowest (P < 0.05) in group I. Relationship
between serum concentration of uric acid and nitrogen intake was linear (R(2) =
0.39, P < 0.01). Concentrations of other serum metabolites and enzymes were
similar among the groups. Serum concentration of triiodothyronine (T3 ) was
highest (P < 0.05) in group I, followed by groups II and III. Total antioxidant
capacity (TAC) of serum was lower (P < 0.007), whereas serum concentration of
malondialdehyde (MDA) was higher (P < 0.001) in group I as compared to groups II
and III. Regression of serum concentration of TAC (R(2) = 0.74, P < 0.01) and
MDA (R(2) = 0.39, P < 0.05) was polynomial. Heterophil to lymphocyte ratio was
higher (P < 0.007) in group I as compared to groups II and III. Relationship
between H/L ratio and nitrogen intake was polynomial (R(2) = 0.69, P < 0.05).
Cell mediated immune response measured as foot web index was similar among the
groups. Based upon the results, it was concluded that a diet containing 16.5%
crude protein would be optimum for improving antioxidant defense and the ability
of Lady Amherst's pheasant to combat stress. Zoo Biol. 35:346-354, 2016. (c) 2016
Wiley Periodicals, Inc.
PMID- 27186654
TI - Development of a new therapeutic technique to direct stem cells to the infarcted
heart using targeted microbubbles: StemBells.
AB - Successful stem cell therapy after acute myocardial infarction (AMI) is hindered
by lack of engraftment of sufficient stem cells at the site of injury. We
designed a novel technique to overcome this problem by assembling stem cell
microbubble complexes, named 'StemBells'. StemBells were assembled through
binding of dual-targeted microbubbles (~3MUm) to adipose-derived stem cells
(ASCs) via a CD90 antibody. StemBells were targeted to the infarct area via an
ICAM-1 antibody on the microbubbles. StemBells were characterized microscopically
and by flow cytometry. The effect of ultrasound on directing StemBells towards
the vessel wall was demonstrated in an in vitro flow model. In a rat AMI
reperfusion model, StemBells or ASCs were injected one week post-infarction. A
pilot study demonstrated feasibility of intravenous StemBell injection, resulting
in localization in ICAM-1-positive infarct area three hours post-injection. In a
functional study five weeks after injection of StemBells cardiac function was
significantly improved compared with controls, as monitored by 2D
echocardiography. This functional improvement neither coincided with a reduction
in infarct size as determined by histochemical analysis, nor with a change in
anti- and pro-inflammatory macrophages. In conclusion, the StemBell technique is
a novel and feasible method, able to improve cardiac function post-AMI in rats.
PMID- 27186656
TI - Targeting the Breast Cancer Kinome.
AB - Protein kinases are highly tractable targets for the treatment of many cancers
including breast cancer, due to their essential role in tumor cell proliferation
and survival. Sequencing of the breast cancer genome and transcriptome has
defined breast cancer as a heterogeneous disease that is classified into five
molecular subtypes: luminal A, luminal B, HER2-enriched, basal-like, and claudin
low. Each subtype displays a unique expression profile of protein kinases that
can be targeted by small molecule kinase inhibitors or biologics. An
understanding of genomic changes, including mutations or copy number variations,
for specific protein kinases and dependencies on kinases across breast cancer
subtypes is allowing for a more rational design of targeted breast cancer
therapies. While specific kinase inhibitors have had success in the clinic,
including the CDK4/6 inhibitor palbociclib in combination with aromatase
inhibitors in luminal breast cancer, patients often become resistant to
treatment. An understanding of the mechanisms allowing cells to bypass targeted
kinase inhibition has led to the development of combination therapies that are
more durable in pre-clinical studies. However, the heterogeneity of resistance
mechanisms and rapid adaptability of the kinome through feedback regulation
greatly inhibit the long-term efficacy of combination kinase inhibitor therapies.
It is becoming apparent that epigenetic inhibitors, such as HDAC and BET
bromodomain inhibitors can block the transcriptional adaptability of tumor cells
to kinase inhibitors and prevent the onset of resistance. Such novel combination
therapies are currently showing promise in preclinical studies to markedly
increase the durability of kinase inhibitors in breast cancer. J. Cell. Physiol.
232: 53-60, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27186657
TI - Multiepitope Templates Imprinted Particles for the Simultaneous Capture of
Various Target Proteins.
AB - To achieve the simultaneous capture of various target proteins, the multiepitope
templates imprinted particles were developed by phase inversion-based poly(ether
sulfone) (PES) self-assembly. Herein, with the top three high-abundance proteins
in the human plasma, serum albumin, immunoglobulin G, and transferrin, as the
target proteins, their N-terminal peptides were synthesized as the epitope
templates. After the preorganization of three epitopes and PES in
dimethylacetamide, the multiepitope templates imprinted particles were formed in
water through self-assembly, by which the simultaneous recognition of three
target proteins in human plasma was achieved with high selectivity. Furthermore,
the binding kinetics study proved that the adsorption mechanism in this
imprinting system toward three epitope templates was the same as that on the
single-epitope imprinting polymer. These results demonstrate that our proposed
multiepitope templates imprinting strategy might open a new era of artificial
antibodies to achieve the recognition of various targets simultaneously.
PMID- 27186658
TI - Ecological Importance of Small-Diameter Trees to the Structure, Diversity and
Biomass of a Tropical Evergreen Forest at Rabi, Gabon.
AB - Tropical forests have long been recognized for their biodiversity and ecosystem
services. Despite their importance, tropical forests, and particularly those of
central Africa, remain understudied. Until recently, most forest inventories in
Central Africa have focused on trees >=10 cm in diameter, even though several
studies have shown that small-diameter tree population may be important to
demographic rates and nutrient cycling. To determine the ecological importance of
small-diameter trees in central African forests, we used data from a 25-ha
permanent plot that we established in the rainforest of Gabon to study the
diversity and dynamics of these forests. Within the plot, we censused 175,830
trees >=1 cm dbh from 54 families, 192 genera, and 345 species. Average tree
density was 7,026 trees/ha, basal area 31.64 m2/ha, and above-ground biomass
369.40 Mg/ha. Fabaceae, Ebenaceae and Euphorbiaceae were the most important
families by basal area, density and above-ground biomass. Small-diameter trees (1
cm >= dbh <10 cm) comprised 93.7% of the total tree population, 16.5% of basal
area, and 4.8% of the above-ground biomass. They also had diversity 18% higher at
family level, 34% higher at genus level, and 42% higher at species level than
trees >=10 cm dbh. Although the relative contribution of small-diameter trees to
biomass was comparable to other forests globally, their contribution to forest
density, and diversity was disproportionately higher. The high levels of
diversity within small-diameter classes may give these forests high levels of
structural resilience to anthropogenic/natural disturbance and a changing
climate.
PMID- 27186659
TI - Effect of catechins on fattening performance, meat quality, some antioxidant and
blood parameters and fattening costs in Japanese quail (Coturnix coturnix
japonica).
AB - The aim of this study was to investigate the effect of dietary supplementation of
green tea (Camellia sinensis) catechins in quail (Coturnix coturnix japonica).
Quail were fed with a basal diet, and the treatment groups were fed on the basal
diet with 1.25 or 2.50 g/kg catechin supplementation for 30 d. Fattening
performance and meat quality of the quail were estimated. Serum total antioxidant
status (TAS), plasma and liver malondialdehyde (MDA) and some serum biochemical
parameters were measured. The results showed that catechin supplementation did
not affect live weight, feed intake, feed conversion ratio, carcass weight,
carcass dressing or the nutrient composition of breast and thigh meats. The water
holding capacity (WHC) of breast meat was increased in the 2.50 g/kg catechin
treatment. Catechin supplementation increased the serum TAS, but decreased plasma
MDA and liver MDA concentration as well as serum glucose and total cholesterol
levels. Serum triglyceride and total protein levels were not affected by catechin
supplementation. In conclusion, catechins have effective antioxidant
hypoglycaemic and hypocholesterolaemic properties, as well as having the
potential to increase meat quality in fattening quail. On the other hand,
catechin supplementation did not have any negative effect on the fattening
performance, meat nutrient composition and fattening costs in fattening quail.
PMID- 27186660
TI - Effect of Assembly pH on Polyelectrolyte Multilayer Surface Properties and BMP-2
Release.
AB - The effect of solution pH during layer-by-layer assembly of polyelectrolyte
multilayer (PEM) coatings on properties relevant to orthopedic implant success
was investigated. Bone morphogenetic protein 2 (BMP-2), a potent osteoconductive
growth factor, was adsorbed onto the surface of anodized titanium, and PEM
coatings prepared from solutions of poly-l-histidine and poly(methacrylic acid)
were built on top of the BMP-2. High levels of BMP-2 released over several months
were achieved. Approximately 2 MUg/cm(2) of BMP-2 were initially adsorbed on the
anodized titanium and a pH-dependent release behavior was observed, with more
stable coatings assembled at pH = 6-7. Three different diffusion regimes could be
determined from the release profiles: an initial burst release, a sustained
release regime, and a depletion regime. BMP-2 was shown to maintain bioactivity
after release from a PEM and the presence of a PEM was shown to preserve BMP-2
structure. No visible change was observed in surface roughness as the assembly pH
was varied, whereas the surface energy decreased for samples prepared at more
basic pH. These results indicate that the initial BMP-2 layer affects PEM surface
structure, but not the functional groups exposed on the surface.
PMID- 27186661
TI - Self-Diffusiophoresis of Janus Catalytic Micromotors in Confined Geometries.
AB - The self-diffusiophoresis of Janus catalytic micromotors (JCMs) in confined
environment is studied using direct numerical simulations. The simulations
revealed that, on average, the translocation of a JCM through a short pore is
moderately slowed down by the confinement. This slowdown is far weaker compared
to the transport of particles through similar pores driven by forces induced by
external means or passive diffusiophoresis. Pairing of two JCMs facilitates the
translocation of the one JCM entering the pore first but slows down the second
JCM. Depending on its initial orientation, a JCM near the entrance of a pore can
exhibit different rotational motion, which determines whether it can enter the
pore. Once a JCM enters a narrow pore, it can execute a self-alignment process
after which it becomes fully aligned with the pore axis and moves to the center
line of the pore. Analysis of these results showed that, in addition to
hydrodynamic effect, the translation and rotation of JCM is also affected by the
"chemical effects", i.e., the modification of the chemical species concentration
around a JCM by confining walls and neighboring JCMs. These chemical effects are
unique to the self-diffusiophoresis of JCMs and should be considered in design
and operations of JCMs in confined environment.
PMID- 27186662
TI - Direct Diastereo- and Enantioselective Vinylogous Michael Additions of Linear
Enones.
AB - A direct vinylogous Michael addition using linear vinylogous Michael donors has
been developed. Notably, even gamma-substituted Michael donors cleanly afforded
gamma-alkylated products in high yield and ee by this method. Moreover, control
experiments revealed that, for these and related linear vinylogous Michael
donors, the size of the Michael acceptor strongly influences whether alpha- or
gamma-alkylation occurs, not simply blocking effects of cocatalysts as suggested
previously.
PMID- 27186663
TI - Controlling the Ratio between Native-Like, Non-Native-Like, and Aggregated beta
Lactoglobulin after Heat Treatment.
AB - The amount of heat-denatured whey protein is typically determined by pH 4.6
precipitation. Using this method, a significant amount of nondenatured protein
was reported even after long heating times. Apparently, a fraction of the
unfolded protein refolds into the "native" state rather than form aggregates.
This fact is known and has been explained using kinetic models. How the
conditions affect the refolding and aggregation is, however, not fully
understood. Therefore, this study investigates the unfolding, refolding, and
aggregation process of beta-lactoglobulin using circular dichroism and size
exclusion chromatography to characterize different folding variants and to
quantify their content. The proteins remaining in solution at pH 4.6 were
confirmed to be native-like. The nonaggregated fraction contains proteins with a
native-like and two types of non-native-like conformations. The nonaggregated
fraction increased with decreasing temperature (60-90 degrees C) and
concentration (1-50 g/L) and increasing electrostatic repulsion (pH 7-8; 0-50
mM). The native-like fraction in the nonaggregated fraction was independent of
pH, ionic strength, and concentration but increased with decreasing temperature.
PMID- 27186665
TI - Improved pharmacokinetics and antihyperlipidemic efficacy of rosuvastatin-loaded
nanostructured lipid carriers.
AB - In the present study, rosuvastatin calcium-loaded nanostructured lipid carriers
were developed and optimized for improved efficacy. The ROS-Ca-loaded NLC was
prepared using melt emulsification ultrasonication technique and optimized by Box
Behnken statistical design. The optimized NLC composed of glyceryl monostearate
(solid lipid) and capmul MCM EP (liquid lipid) as lipid phase (3% w/v), poloxamer
188 (1%) and tween 80 (1%) as surfactant. The mean particle size, polydispersity
index (PDI), zeta potential (zeta) and entrapment efficiency (%) of optimized NLC
formulation was observed to be 150.3 +/- 4.67 nm, 0.175 +/- 0.022, -32.9 +/- 1.36
mV and 84.95 +/- 5.63%, respectively. NLC formulation showed better in vitro
release in simulated intestinal fluid (pH 6.8) than API suspension. Confocal
laser scanning showed deeper permeation of formulation across rat intestine
compared to rhodamine B dye solution. Pharmacokinetic study on female albino
Wistar rats showed 5.4-fold increase in relative bioavailability with NLC
compared to API suspension. Optimized NLC formulation also showed significant (p
< 0.01) lipid lowering effect in hyperlipidemic rats. Therefore, NLC represents a
great potential for improved efficacy of ROS-Ca after oral administration.
PMID- 27186666
TI - Cavity Femtochemistry: Manipulating Nonadiabatic Dynamics at Avoided Crossings.
AB - Molecular potential energy surfaces can be actively manipulated by light. This is
usually done by strong classical laser light but was recently demonstrated for
the quantum field in an optical cavity. The photonic vacuum state of a localized
cavity mode can be strongly mixed with the molecular degrees of freedom to create
hybrid field-matter states known as polaritons. We simulate the avoided crossing
of sodium iodide in a cavity by incorporating the quantized cavity field into the
nuclear wave packet dynamics calculation. The quantized field is represented on a
numerical grid in quadrature space, thus avoiding the limitations set by the
rotating wave approximation (RWA) when the field is expanded in Fock space. This
approach allows the investigation of cavity couplings in the vicinity of
naturally occurring avoided crossings and conical intersections, which is too
expensive in the fock space expansion when the RWA does not apply. Numerical
results show how the branching ratio between the covalent and ionic dissociation
channels can be strongly manipulated by the optical cavity.
PMID- 27186664
TI - Accelerated Discovery of Thermoelectric Materials: Combinatorial Facility and
High-Throughput Measurement of Thermoelectric Power Factor.
AB - A series of processes have been developed to facilitate the rapid discovery of
new promising thermoelectric alloys. A novel combinatorial facility where
elements are wire-fed and laser-melted was designed and constructed. Different
sample compositions can be achieved by feeding different element wires at
specific rates. The composition of all the samples prepared was tested by energy
dispersive X-ray spectroscopy (EDS). Then, their thermoelectric properties (power
factor) at room temperature were screened in a specially designed new high
throughput setup. After the screening, the thermoelectric properties can be
mapped with the possibility of identifying compositional trends. As a proof-of
concept, a promising thermoelectric ternary system, Al-Fe-Ti, has been
identified, demonstrating the capability of this accelerated approach.
PMID- 27186667
TI - Decimetre-scale multicellular eukaryotes from the 1.56-billion-year-old
Gaoyuzhuang Formation in North China.
AB - Fossils of macroscopic eukaryotes are rarely older than the Ediacaran Period (635
541 million years (Myr)), and their interpretation remains controversial. Here,
we report the discovery of macroscopic fossils from the 1,560-Myr-old Gaoyuzhuang
Formation, Yanshan area, North China, that exhibit both large size and regular
morphology. Preserved as carbonaceous compressions, the Gaoyuzhuang fossils have
statistically regular linear to lanceolate shapes up to 30 cm long and nearly 8
cm wide, suggesting that the Gaoyuzhuang fossils record benthic multicellular
eukaryotes of unprecedentedly large size. Syngenetic fragments showing closely
packed ~10 MUm cells arranged in a thick sheet further reinforce the
interpretation. Comparisons with living thalloid organisms suggest that these
organisms were photosynthetic, although their phylogenetic placement within the
Eukarya remains uncertain. The new fossils provide the strongest evidence yet
that multicellular eukaryotes with decimetric dimensions and a regular
developmental program populated the marine biosphere at least a billion years
before the Cambrian Explosion.
PMID- 27186679
TI - Regulation of Rap GTPases in mammalian neurons.
AB - Small GTPases are central regulators of many cellular processes. The highly
conserved Rap GTPases perform essential functions in the mammalian nervous system
during development and in mature neurons. During neocortical development, Rap1 is
required to regulate cadherin- and integrin-mediated adhesion. In the adult
nervous system Rap1 and Rap2 regulate the maturation and plasticity of dendritic
spine and synapses. Although genetic studies have revealed important roles of Rap
GTPases in neurons, their regulation by guanine nucleotide exchange factors
(GEFs) that activate them and GTPase activating proteins (GAPs) that inactivate
them by stimulating their intrinsic GTPase activity is just beginning to be
explored in vivo. Here we review how GEFs and GAPs regulate Rap GTPases in the
nervous system with a focus on their in vivo function.
PMID- 27186676
TI - Development of Purine-Based Hydroxamic Acid Derivatives: Potent Histone
Deacetylase Inhibitors with Marked in Vitro and in Vivo Antitumor Activities.
AB - In the present study, a series of novel histone deacetylase (HDAC) inhibitors
using the morpholinopurine as the capping group were designed and synthesized.
Several compounds demonstrated significant HDAC inhibitory activities and
antiproliferative effects against diverse human tumor cell lines. Among them,
compound 10o was identified as a potent class I and class IIb HDAC inhibitor with
good pharmaceutical profile and druglike properties. Western blot analysis
further confirmed that 10o more effectively increased acetylated histone H3 than
panobinostat (LBH-589) and vorinostat (SAHA) at the same concentration in vitro.
In in vivo efficacy evaluations of HCT116, MV4-11, Ramos, and MM1S xenograft
models, 10o showed higher efficacy than SAHA or LBH-589 without causing
significant loss of body weight and toxicity. All the results indicated that 10o
could be a suitable candidate for treatment of both solid and hematological
cancer.
PMID- 27186678
TI - Biological characteristics of renal cancer cells after CTP-mediated cancer
suppressor gene NPRL2 protein treatment.
AB - Nitrogen permease regulator like-2 (NPRL2) has been proved to be a useful
suppressor gene in treating many cancers containing renal cancer based on
experiments. Transgenic technology which transfect exogenous NPRL2 gene into
cancer cell was used in these experiments. However, this technology has defects,
such as gene mutation and loss. Cytoplasmic transduction peptide (CTP) can be
used to avoid these defects because it can directly mediate proteins to penetrate
cell membrane and specifically locate in cytoplasm. In this article, CTP was used
to directly mediate NPRL2 protein into the renal cancer cell line 786-O, then
cell proliferation was detected by the CCK-8 method, cell cycle and apoptosis
were detected by flow cytometry, cell invasion and migration ability were
detected by the Transwell assay. Bcl-xl, Cyt-c and caspase-3 were detected by
real-time fluorescent quantitative PCR and Western blot for the analysis of the
related mechanism. The result showed that CTP successfully mediated NPRL2 protein
into renal cancer cells and the growth of cells was significantly inhibited. The
mechanism may be NPRL2 down-regulating the expression of Bcl-xl which can up
regulate Cyt-c and further activate caspase-3, and then a cascade reaction is
caused for cell apoptosis on the classic mitochondrial apoptosis pathway.
PMID- 27186677
TI - MicroRNA-544 down-regulates both Bcl6 and Stat3 to inhibit tumor growth of human
triple negative breast cancer.
AB - Triple negative breast cancer lacking estrogen receptor (ER), progesterone
receptor and Her2 account for account for the majority of the breast cancer
deaths, due to the lack of specific gene targeted therapy. Our current study
aimed to investigate the role of miR-544 in triple negative breast cancer.
Endogenous levels of miR-544 were significantly lower in breast cancer cell lines
than in human breast non-tumorigenic and mammary epithelial cell lines. We found
that miR-544 directly targeted the 3'-untranslated region (UTR) on both Bcl6 and
Stat3 mRNAs, and overexpression of miR-544 in triple negative breast cancer cells
significantly down-regulated expressions of Bcl6 and Stat3, which in turn
severely inhibited cancer cell proliferation, migration and invasion in vitro.
Employing a mouse xenograft model to examine the in vivo function of miR-544, we
found that expression of miR-544 significantly repressed the growth of xenograft
tumors. Our current study reported miR-544 as a tumor-suppressor microRNA
particularly in triple negative breast cancer. Our data supported the role of miR
544 as a potential biomarker in developing gene targeted therapies in the
clinical treatment of triple negative breast cancer.
PMID- 27186680
TI - Benchmark Quantum Mechanical Calculations of Vibrationally Resolved Cross
Sections and Rate Constants on ab Initio Potential Energy Surfaces for the F + HD
Reaction: Comparisons with Experiments.
AB - Quantum scattering calculations within the time-independent approach in an
extended interval of energies were performed for the title reaction on four ab
initio potential energy surfaces. The calculated integral cross sections,
vibrational branching ratios, and rate constants are compared with scattering
experiments as well as with chemical kinetics rate data available for this system
for both the HF and DF channels. The calculations on the CSZ (J. Chem. Phys.
2015, 142, 024303) and LWAL (J. Chem. Phys. 2007, 127, 174302) surfaces are in
close agreement between them and reproduce satisfactorily the experimental
measurements. The agreement with the experiments is improved with respect to
calculations on the earlier SW (J. Chem. Phys. 1996, 104, 6515) and FXZ (J. Chem.
Phys. 2008, 129, 011103) surfaces. The results presented here witness the
remarkable progress made by quantum chemistry calculations in describing the
interatomic interactions governing the dynamics and kinetics of this reaction.
They also suggest that comparison with translationally and rotationally averaged
experimental observables is not sufficient to assess the relative accuracy of
highly accurate potential energy surfaces. The dynamics and kinetics calculations
show that temperatures lower than 50 K or molecular beam energy spread below 1
meV must be reached to discriminate the accuracy of the LWAL and the CSZ
surfaces.
PMID- 27186682
TI - Primary Effusion Lymphoma (PEL)-Like Lymphoma in a Child With Congenital
Immunodeficiency.
AB - Primary effusion lymphoma (PEL) is a rare lymphoma that occurs more frequently in
immunocompromised adults and has a poor survival. We report a 9-year-old female
with combined immunodeficiency with an Epstein-Barr virus positive/human herpes
virus 8 negative PEL-like lymphoma. The treatment with systemic chemotherapy for
non-Hodgkin lymphoma, zidovudine, and interferon-alpha failed to control disease
progression. This is the first reported pediatric case of PEL-like lymphoma.
Increased diagnostic awareness and more effective treatment strategies are needed
for this rare lymphoma.
PMID- 27186684
TI - A Qualitative Comparison of Susceptibility and Behavior in Recreational and
Occupational Risk Environments: Implications for Promoting Health and Safety.
AB - Although internal factors that influence risk are frequently studied to
understand human behavior, external factors, including social, cultural, and
institutional factors, should be better utilized to inform ways to efficiently
target, tailor, and promote safety messaging to at-risk populations. Semi
structured interviews obtained data from 37 motorcyclists and 18 mineworkers
about their risk perceptions and behaviors within their respective dynamic
environments. A comparative thematic analysis revealed information about external
factors that influence risk perceptions and behaviors. Results support the
importance of qualitative approaches for assessing and targeting individuals'
risk perceptions and behaviors. In addition, segmenting at-risk subgroups within
target populations and tailoring messages for these at-risk groups is critical
for safety behavior modification. Practitioners should utilize strategic, culture
centric risk communication that takes into account external factors when
determining when, who, and what to communicate via health promotion activities to
more accurately disseminate valid, empathetic, and engaging communication with a
higher level of fidelity.
PMID- 27186685
TI - Experimental study of liquid carbon.
AB - Direct measurements of the functional dependencies of the electric resistivity
and the molar volume on enthalpy and pressure have been performed for graphite
and liquid carbon. It has been found that for graphite at the pressures P ? 1
GPa the isochoric temperature coefficient of resistance is positive, while for
liquid carbon it is negative over the entire pressure range investigated where P
= 0.5-3.5 GPa. These observations probably indicate that graphite is a metal
whereas liquid carbon is not a metal, so that the melting of graphite under such
pressures coincides with a metal-to-nonmetal transition.
PMID- 27186683
TI - Mortality Reduction and Long-Term Compliance with Surviving Sepsis Campaign: A
Nationwide Multicenter Study.
AB - PURPOSE: To determine the long-term degree of compliance with the Surviving
Sepsis Campaign (SSC) bundles and related outcomes after an educational program
in septic patients admitted to a network of intensive care units (ICU). METHODS:
Prospective, observational, multicenter study in several ICUs during a 5-month
period for evaluating the degree of compliance with the SSC bundles of
resuscitation in the first 6 h (B6H) and management in the following 24 h (B24H).
We compared the findings with those from a historical cohort at the same ICUs
after an educational program (EDUSEPSIS) 5 years earlier. RESULTS: The study
cohort comprised 231 episodes of severe sepsis and the historical cohort included
217. In the current cohort, we found a better compliance with B6H compared with
the historical cohort (27.7% vs. 9.7%, P < 0.001), and lower compliance with B24H
(4.3% vs. 12.9%, P < 0.001). ICU and in-hospital mortalities were reduced from
37.3% to 27.1% (P = 0.02) and from 45.3% to 36.7% (P = 0.06), respectively. This
reduction occurred linearly with the number of B6H items completed (P for trend
<0.001). All B6H measures were individually associated with lower ICU mortality.
Measurement of plasma lactate, blood cultures, and administration of broad
spectrum antibiotics were associated with lower in-hospital mortality. No benefit
was observed regarding B24H. CONCLUSIONS: Our study confirmed that an educational
campaign aimed at early recognition and management of patients with severe sepsis
improves compliance with management recommendations and hospital survival in the
long term.
PMID- 27186686
TI - Prediction of powdered activated carbon doses for 2-MIB removal in drinking water
treatment using a simplified HSDM approach.
AB - The addition of powdered activated carbon (PAC) is an effective measure to cope
with seasonal taste and odor (T&O) problems caused by 2-methylisoborneol (2-MIB)
and trans-1, 10-dimethyl-trans-9-decalol (geosmin) in drinking water. Some T&O
problems are episodic in nature, and generally require rapid responses. This
paper proposed a simplified approach for the application of the homogenous
surface diffusion model (HSDM) to predict the appropriate PAC doses for the
removal of 2-MIB. Equilibrium and kinetic experiments were performed for 2-MIB
adsorption onto five PACs in three source waters. The simplified HSDM approach
was compared with the experimental data, by assigning the Freundlich 1/n value in
the range of 0.1-1.0 and obtaining the Freundlich equilibrium parameter K value
through a 6-hr adsorption kinetic test. The model describes the kinetic
adsorption data very well for all of the tested PACs in different source waters.
The results were validated using the data obtained from one full scale water
treatment plant, and the differences between the predicted and observed results
were within 10% range. This simplified HSDM approach may be applied for the rapid
determination of PAC doses for water treatment plants when faced with 2-MIB
episodes in source waters.
PMID- 27186687
TI - Development of new transition metal oxide catalysts for the destruction of
PCDD/Fs.
AB - Various transition metal oxide and vanadium-containing multi-metallic oxide
catalysts were developed for the destruction of PCDD/Fs (polychlorinated dibenzo
p-dioxins and furans). A stable PCDD/Fs generating system was installed to
support the catalytic destruction tests in this study. Nano-titania supported
vanadium catalyst (VOx/TiO2) showed the highest activity, followed by CeOx, MnOx,
WOx and finally MoOx. Multi-metallic oxide catalysts, prepared by doping WOx,
MoOx, MnOx and CeOx into VOx/TiO2 catalysts, showed different activities on the
decomposition of PCDD/Fs. The highest destruction efficiency of 92.5% was
observed from the destruction test over VOxCeOx/TiO2 catalyst. However, the
addition of WOx and MoOx even played a negative role in multi-metallic VOx/TiO2
catalysts. Characterizations of transition metal oxides and multi-metallic
VOx/TiO2 catalysts were also investigated with XRD and TPR. After the catalysts
were used, the conversion from high valent metals to low valence states was
observed by XPS.
PMID- 27186688
TI - Association of cadmium in urine and blood with age in a general population with
low environmental exposure.
AB - A recent study reported a nonlinear and nonmonotonic relationship between urinary
cadmium (U-Cd) and age and questioned the long-held view that U-Cd is a reliable
biomarker of Cd body burden at low exposure levels. In order to reassess the
significance of U-Cd as biomarker of Cd body burden, we studied the lifetime
trend of U-Cd as functions of diuresis in a cross-sectional study. Cadmium was
measured with an inductively coupled plasma mass spectrometer (ICP-MS) for the
general population taking part in the Metals and Health Survey in Jiangsu (MHSJ),
China, with ages ranging from 2.8 to 86.8 years (n = 1235). Variations in U-Cd
and B-Cd with age were modeled using natural cubic splines. Factors associated
with U-Cd were analyzed with Pearson correlation and linear regression models. As
results, nonsmoking men had peak U-Cd at approximately 60 years, after which it
decreased. In nonsmoking women, U-Cd increased from 2.8 years to 50 years, then
leveled off. In both genders, B-Cd increased from birth to approximately 30 years
and then leveled off. U-Cd, expressed in per liter, was consistently associated
with B-Cd and U-creatinine, regardless of smoking status. U-Cd and B-Cd were not
significantly higher in former smokers than never smokers. Our study suggests
that individual U-Cd level are correlated with B-Cd and U-creatinine, and needed
to be appropriately adjusted for B-Cd and U-creatinine, when it is used for a
biomarker of kidney burden of Cd.
PMID- 27186689
TI - Nontarget approach for environmental monitoring by GC * GC-HRTOFMS in the Tokyo
Bay basin.
AB - In this study, we developed an approach for sequential nontarget and target
screening for the rapid and efficient analysis of multiple samples as an
environmental monitoring using a comprehensive two-dimensional gas chromatograph
coupled to a high resolution time-of-flight mass spectrometer (GC * GC-HRTOFMS).
A key feature of the approach was the construction of an accurate mass spectral
database learned from the sample via nontarget screening. To enhance the
detection power in the nontarget screening, a global spectral deconvolution
procedure based on non-negative matrix factorization was applied. The approach
was applied to the monitoring of rivers in the Tokyo Bay basin. The majority of
the compounds detected by the nontarget screening were alkyl chain-based
compounds (55%). In the quantitative target screening based on the output from
the nontarget screening, particularly high levels of organophosphorus flame
retardants (median concentrations of 31, 116 and 141 ng l(-1) for TDCPP, TCIPP
and TBEP, respectively) were observed among the target compounds. Flame
retardants used for household furniture and building materials were detected in
river basins where buildings and arterial traffic were dominated. The developed
GC * GC-HRTOFMS approach was efficient and effective for environmental monitoring
and provided valuable new information on various aspects of monitoring in the
context of environmental management.
PMID- 27186690
TI - Phytoremediation of lead using Ipomoea aquatica Forsk. in hydroponic solution.
AB - Ipomoea aquatica Forsk., an aquatic macrophyte, was assessed for its ability to
accumulate lead (Pb) by exposing it to graded concentrations of this metal.
Accumulation of Pb was the highest in root followed by that in stem and leaf with
translocation factor (TF) values of less than unity. On the other hand, all
bioconcentration factor (BCF) values in root, stem and leaf were greater than
unity. Furthermore, exposure to Pb concentrations over about 20 mg L(-1) induced
colour changes in the basal portion of stem which had significantly higher Pb
accumulation than that in the unaffected apical part. This resulted in
sequestration of excess metal in affected stem tissue, which could take up Pb by
the process of caulofiltration or shoot filtration, and served as a secondary
reservoir of Pb in addition to the root. The apical parts contained less lead and
could regrow roots from nodes and survive when kept in Pb-free medium. The
ability of the plant to store Pb in its root and lower part of stem coupled with
its ability to propagate by fragmentation through production of adventitious
roots and lateral branches from nodes raises the possibility of utilizing Ipomoea
aquatica for Pb phytoremediation from liquid effluent.
PMID- 27186691
TI - Ocular findings in a patient with Cockayne syndrome with two mutations in the
ERCC6 gene.
AB - BACKGROUND: Cockayne syndrome is a rare, autosomal recessive, multisystem
disorder that causes a senile appearance. Ophthalmic abnormalities are frequently
present. Here, we report a wide range of ocular findings in a child with Cockayne
syndrome. MATERIALS AND METHODS: The systemic and ocular findings were reviewed.
A mutation analysis was performed in the patient and her parents. RESULTS: The
patient underwent a complete ocular examination. Both eyes had low visual acuity,
corneal epithelial degeneration, punctate opacities of the lens, and retina
disorders. The systemic findings included growth deficiency and a senile
appearance. Gene analysis showed mutations in exons 4 and 18 of the ERCC6 gene.
CONCLUSION: Multiple ocular abnormalities were observed in a patient with
Cockayne syndrome. A detailed ophthalmic evaluation of children with Cockayne
syndrome is advised.
PMID- 27186693
TI - Systems-level analysis of genome wide association study results for a pilot
juvenile idiopathic arthritis family study.
AB - Genome wide association studies (GWAS) determine susceptibility profiles for
complex diseases. In this study, GWAS was performed in 26 patients with oligo and
rheumatoid factor negative polyarticular juvenile idiopathic artritis (JIA) and
their healthy parents by Affymetrix 250K SNP arrays. Biological function and
pathway enrichment analysis was done. This is the first GWAS reported for JIA
families from the eastern Mediterranean population. Enrichment of FcgammaR
mediated phagocytosis pathway and response to various stimuli were the leading
discoveries, along with the presentation of the strong interaction of JIA
associated genes with HLA cluster in the co-expression network. The co-expression
network also presented the direct interaction of a gene in FcgammaRmediated
phagocytosis pathway, namely GAB2, with BLK, CDH13, IL4R and MICA. The systems
biology approach helped us to investigate the interactions between the identified
genes and biological pathways and molecular functions, expanding our
understanding of JIA pathogenesis at molecular level.
PMID- 27186696
TI - Serum vitamin B12, folic acid, and homocysteine levels in children with febrile
seizure.
AB - The aim of this study is to investigate the associations between febrile seizure
and serum levels of vitamin B12, folic acid, and homocysteine. One hundred and
four children who presented with febrile seizure and 75 controls who presented
with febrile illness unaccompanied by seizure were enrolled into the study. Mean
levels of vitamin B12, folic acid and homocysteine were compared between two
groups. Mean vitamin B12 level in the febrile seizure group was significantly
lower than the control group. The febrile seizure patients with 3 or more had
significantly lower serum folic acid than the subgroups with two or one episode
only. Serum concentrations of folic acid were significantly lower in the febrile
seizure subgroup with body temperature 37.5-39.0C at time of convulsion. Low
serum vitamin B12 may reduce a child's threshold for seizure and may be a risk
factor for febrile seizure. Low serum folic acid level may be predisposed to
recurrent febrile seizure.
PMID- 27186697
TI - Incidence and follow-up outcomes of developmental hip dysplasia of newborns in
the Western Mediterranean Region.
AB - The aim of our study was to determine the incidence of developmental hip
dysplasia (DHD) in the Western Mediterranean Region of Turkey and evaluate follow
up results of physiologically immature hips classified as type 2 according to
Graf classification. Ultrasononographic examinations of the hips were performed
using Graf's technique on 1162 infants (2324 hips) referred to our clinic for hip
ultrasounds between March 2013 and March 2014. DHD was detected in 1.36% of 1162
infants. Among infants who were brought into repetitive follow-ups, 191 out of
type 2a hip displasias of 201 infants were improved to type 1 and 10 type 2a hip
dysplasias worsened. Sonographically worsened tip 2a group consisted of 4 type
2b, 6 type 2c DHDs. Hip ultrasound performed during neonatal period and infancy
in the detection of developmental hip dysplasias and follow-up of hip dysplasias
diagnosed as type 2a convey importance because of sonographically detected
potential deterioration.
PMID- 27186698
TI - Prognostic factors and treatment results of pediatric Hodgkin's lymphoma: A
single center experience.
AB - The aim of this study was to assess the demographic, clinic data, prognostic
factors and treatment/follow-up results of children who were diagnosed with
Hodgkin lymphoma and followed in our center of Pediatric Oncology, Kocaeli
University Medical Faculty, Kocaeli, Turkey, for 10 years. This retrospective
study evaluated 41 patients with Hodgkin lymphoma who were younger than 18 years
old. All patients were treated with risked adapted ABVD (Adriamycin, Bleomycin,
Vincristine, Dacarbazine) chemotherapy and also received involved field
radiotherapy. Thirty-two patients (78%) were males and 9 (22%) were females, with
a mean age of 10.7+/-4.0 years. The histopathological diagnosis was mixed
cellular type in 51.2% of the patients. B symptoms (unexplained fever,
unexplained weight loss, drenching night sweats) were present in 53.7% of the
patients and 36.6% of the patients were at advanced stage at the time of the
diagnosis. The 3-year overall and event-free survival rates were 88% and 5-year
overall and event-free survival rates were 88%, 78%. Age, stage, treatment risk
groups, presence of B symptoms and hematological parameters had no significant
effect on overall and event-free survival in univariate analysis while bulky
disease was the only significant factor on overall survival. Our treatment policy
was succesful regarding the similar survival rates in the treatment risk groups,
however novel treatment strategies adopting the early response with the reduction
of adverse effects are planned in the near future.
PMID- 27186699
TI - Predictive factor for first wheezing episode.
AB - The aim of study was to evaluate various risk in patients who were hospitalized
with moderate to severe virus-induced wheezing. Infants hospitalized with virus
induced wheezing were enrolled in the study. Respiratory viruses were detected in
nasopharyngeal swab and total IgE levels and skin prick tests were performed in
all patients. The mean age of the patients was 11.2+/-9 months. The most common
detected viral agents were Respiratory Syncytial Virus, (33.6%), Influenza virus
(16.3.%). Children with positive family history of atopy had their first virus
induced wheezing at an earlier age (9.0 +/-7.8 months) than the others (14.2+/
10.8 months), (p=0.007). Atopy and viral etiology did not significantly influence
clinical severity of the disease. Although children with positive parental
history of atopy experience first virus-induced wheezing at an earlier age,
personal atopy was not found as a risk factor for predicting the severity of the
first virus-induced wheezy episode.
PMID- 27186700
TI - Is compliance with gluten-free diet sufficient? Diet composition of celiac
patients.
AB - This study was planned to investigate the amount and content of foods consumed by
child patients with celiac disease on a long-term gluten-free diet. Children aged
3-18 years who were diagnosed with celiac disease according to ESPGHAN criteria
and were compliant to the gluten-free diet for at least one year were included.
Age and gender matched healthy children were included as the control group. Food
consumption records including the amount and content of the foods consumed for a
total of three days were obtained. Once the records had been completed on the
food consumption form, quantity analysis was again performed by the same
dietician. Energy and other nutritional elements taken in through foodstuffs
consumed by the patient and control groups were calculated using the Nutrition
Data System for Research Package; these results were shown as mean +/- standard
deviation (x +/-SD) and the values compared. The study consisted of 28 patients
with a mean age of 10.3 +/- 4.6 and 25 healthy controls with a mean age of 9.5 +/
3.4. Average age at diagnosis in the patient group was 6.7 +/- 4.3 and mean
duration of gluten-free diet was 4.0 +/- 3.3 years. Children with celiac disease
on a gluten-free diet had significantly lower daily energy intake levels compared
to the healthy controls (p<0.05). The proportional fat consumption was
significantly higher in the patient group compared to the controls (p<0.05).
Moreover, proportional carbohydrate and protein, vitamin E and vitamin B1, and
microelements such as magnesium, phosphorus and zinc consumptions were
significantly lower in celiac group with respect to v-control group. Solely
determining compliance to the gluten free diet might be inadequate in the follow
up of children with celiac disease, adequacy of the nutritional content in terms
of macro and micronutrients of celiac disease patients is also important.
PMID- 27186701
TI - Clinical features and long-term outcomes of idiopathic urethrorrhagia.
AB - The aim of this study was to describe the clinical features and long-term outcome
of the patients who were treated at our institution for idiopathic
urethrorrhagia. The data of 10 male patients, who underwent cystoscopy between
October 2010 and March 2013 due to urethrorrhagia, were evaluated
retrospectively. Ten male patients aged between 8 and 16 years at first
submission. Four patients (40%) had low voiding frequency (2-3 per day). Three of
the four patients had abnormal uroflowmetry/EMG findings. Cystoscopy was done in
all patients which revealed bulbar urethral inflammation and hemorrhage in all.
Symptoms were not resolved on three of the patients who were under observation,
having symptoms on average for 29.6+/-10.5 months. Complete resolution developed
in the other seven patients. Six of the patients' symptoms were resolved soon
after cystoscopy. In the patients' with or without normal uroflowmetry/EMG
findings urethrorrhagia resolution rates were 86% and 33%, respectively. In the
evaluation of urethrorrhagia; detailed history taking, basic laboratory
investigation and cystoscopy are enough. The typical patients may be treated
expectantly. In our opinion, it seems that dysfunctional voiding and infrequent
voiding might cause delayed remission and/or recurrence of urethrorrhagia. Even
though, it does not effect the treatment, in the persistent cases, confirmation
of diagnosis by cystoscopy helps to lessen the anxiety of the family and might
decrease the use of many unnecessary diagnostic tools in the long term follow
ups.
PMID- 27186702
TI - Pyloric atresia-junctional epidermolysis bullosa syndrome showing novel c.4505
4508insACTC mutations in integrin b4 gene (ITGB4).
AB - Epidermolysis bullosa (EB) is a group of inherited blistering skin diseases that
vary widely in their pathogenesis and severity. It has been divided into distinct
subtypes depending on the level of tissue separation in the dermal- epidermal
basement membrane zone. There are four main categories of EB: simplex,
junctional, dystrophic and Kindler syndrome. Junctional epidermolysis bullosa
with pyloric atresia (JEB-PA) is a rare autosomal recessive form and
characterized by severe mucocutaneous blisters and gastric outlet obstruction.
Most of the mutations in JEP-PA are associated with the alpha6beta4 integrin
genes (ITGA6, ITGB4,). Herein, we present a female newborn with lethal JEB-PA
caused by a novel beta4 integrin mutation.
PMID- 27186704
TI - Pyridoxine-dependent epilepsy in two Turkish patients in Turkey and review of the
literature.
AB - Pyridoxine-dependent epilepsy (PDE) is a rare autosomal recessive enzyme defect
in the vitamin B6 metabolism characterized by intractable seizures which are
usually resistant to all antiepileptic drugs but respond to pharmacological doses
of pyridoxine. We present the clinical and molecular genetic findings of two
patients with c.1597_1597delG mutations in ALDH7A1 gene. There are different
clinical phenotypes in PDE: patients with complete seizure control with
pyridoxine and normal development (group 1), patients with complete seizure
control with pyridoxine and development delay (group 2), and patients with
persistent seizures despite pyridoxine treatment and with development delay
(group 3). Our two patients have persistant seizure despite pyridoxine treatment
and with development delay. Pyridoxine-dependent epilepsy can be identified in
any neonate with signs of encephalopathy and refractory seizures, with no
evidence of hypoxic-ischemic damage or other underlying metabolic disturbance.
Neurodevelopmental outcomes of patients with PDE is multifactorial; early
diagnosis and treatment of these patients is vital.
PMID- 27186703
TI - Two Turkish siblings with MEGDEL syndrome due to novel SERAC1 gene mutation.
AB - Association of 3-methylglutaconic aciduria with impaired oxidative
phosphorylation, deafness, encephalopathy, leigh-like lesions on brain imaging,
progressive spasticity and dystonia defined as a distinct entity under the name
of MEGDEL syndrome. It is an autosomal recessive disorder due to mutation in the
serine active site-containing protein 1 (SERAC1). SERAC1 is localized at the
interface between the mitochondria and the endoplasmic reticulum in the
mitochondria-associated membrane fraction that is essential for phospholipid
exchange. It was identified as a key player in the phosphatidylglycerol
remodeling that is essential for both mitochondrial function and intracellular
cholesterol trafficking. Here we report two new Turkish sibling patients affected
with MEGDEL syndrome due to SERAC1 gene mutation. The patients were presented
with 3-methylglutaconic acid and 3-methylglutaric aciduria, microcephaly, growth
retardation, dysmorphic features, severe sensorineural deafness, progressive
spasticity, dystonia, seizures, basal ganglia involvement. Metabolic acidosis,
mild hyperammonemia and lactic acidemia were accompanied with clinical findings
in newborn period.
PMID- 27186705
TI - Acute colchicine intoxication complicated with complete AV block.
AB - Colchicine, is an old and well-known drug, used for treatment of rheumatic
diseases. Nausea, vomiting, abdominal pain, and diarrhea are the clinical
symptoms of colchicine poisoning. Cardiotoxicity can lead to mortality. We report
a case of colchicine intoxication complicated with complete heart block. A 9-year
old patient ingesting colchicine 0.4-0.5 mg/kg was transferred because of
elevation of liver enzymes, and deterioration of kidney functions and cytopenia.
History of colchicine ingestion had been unknown at time of admission. After
initial fluid and electrolyte treatment electrolyte imbalance ameliorated but
kidney and liver functions worsened. In the third day of admission (7th day of
ingestion), she confessed taking colchicine pills. Her state of consciousness
became comatose and endotracheal intubation required. She developed complete
heart block requiring temporary transvenous pacemaker implantation in the fifth
day of admission. One day after pacemaker implantation, cardiopulmonary arrest
developed again and remained completely unresponsive to CPR, and died.
Cardiotoxicity of colchicine is leading cause of mortality. Tachycardia and
conduction anomalies are not rare, but complete AV block in pediatric patient has
never been reported. Although underlying mechanism is not known colchicine may
have a direct toxic effect on conduction.
PMID- 27186706
TI - Optic neuritis as a presenting symptom of Mycoplasma pneumoniae infection.
AB - A broad range of neurologic disorders has been described in children infected
with Mycoplasma pneumoniae, of which encephalitis is among the most common. In
contrast, the association between optic neuritis and Mycoplasma pneumoniae
infection has been rarely described in children. We report a case of a 12-year
old girl who was seropositive for antibodies against Mycoplasma pneumoniae and
presented with optic neuritis without respiratory symptoms or other neurologic
findings.
PMID- 27186707
TI - Cetirizine and albendazole induced dystonia in a child.
AB - Drug-induced dystonic reactions are a common presentation to the Pediatric
Emergency Department frequently with antiemetics, antidepressants,
dopamineblocking agents and antipyschotics. We report a case of generalized form
of dystonia after taking albendazole and cetirizine. There is only one case with
albendazole induced and two cases with cetirizine induced dystonia in the
literature.
PMID- 27186708
TI - A case of xanthogranulomatous pyelonephritis mimicking Wilms tumor.
AB - Xanthogranulomatous pyelonephritis (XGPN) is a very rare, unusual variant of
pyelonephritis characterized by destruction of renal parenchyma. It usually
occurs in adults with a history of recurrent urinary tract infections. The
condition is rare in children and the disease can imitate renal tumors. Here, we
describe a 12-year-old boy who presented with abdominal pain. He did not have any
history of urinary tract infection. Computed tomography and magnetic resonance
imaging showed a cystic lesion in the left upper kidney. The patient underwent
radical nephrectomy with a provisional diagnosis of Wilms tumor however
histopathological examination of specimen revealed XGPN. Xanthogranulomatous
pyelonephritis should be kept in mind in the differential diagnosis of renal
lesions in childhood, during surgery if any suspicion from the diagnosis, a
frozen biopsy should have been taken.
PMID- 27186709
TI - Embolization of a complex pulmonary arteriovenous fistula and coarctation
treatment with covered stent at the same session.
AB - Pulmonary arteriovenous fistula (PAVF) are rare malformations that may cause
serious complications such as paradoxical embolism, stroke, pulmonary hemorrhage
and hemoptysis. Accompanying cardiac malformations such as aortic coarctation
were not reported previously. Here we present a case of complex PAVF associated
with aortic coarctation. The patient was treated successfully by transcatheter
embolization of PAVF with amplatzer vascular plug I and II and implantation of a
covered CP stent for coarctation at the same session.
PMID- 27186710
TI - A neonate with intestinal volvulus without malrotation exhibiting early jaundice
with a suspected fetal onset.
AB - Intestinal volvulus without malrotation is a rare disease that causes volvulus of
the small intestine despite normal intestinal rotation and fixation. We
encountered a neonate with this disease who developed early jaundice and was
suspected to have a fetal onset. This patient was characterized by early jaundice
complicating intestinal volvulus without malrotation and is considered to have
exhibited reduced fetal movement and early jaundice as a result of volvulus,
necrosis, and hemorrhage of the small intestine in the fetal period. If abdominal
distention accompanied by early jaundice is noted in a neonate, intestinal
volvulus without malrotation and associated intraabdominal hemorrhage should be
suspected and promptly treated.
PMID- 27186711
TI - Early rehabilitation of a child with intensive care unit acquired weakness
secondary to membranoproliferative glomerulonephritis: A case report.
AB - Intensive care unit acquired weakness presents with flaccid paralysis of the
extremities and difficulty of weaning from the ventilator and it has occasionally
been reported in children. We report the early rehabilitation of a 12-year-old
girl with membranoproliferative glomerulonephritis who developed intensive care
unit acquired weakness. She underwent an intensive rehabilitation procedure which
included assistive range of motion, bed mobility, airway clearance and breathing
techniques, neuromuscular electrical stimulation (NMES) to the quadriceps muscles
and resistive therapeutic band exercises. Following the rehabilitation program,
muscle strength (Medical Research Council sum score), ambulation (Functional
Ambulation Category) and activity (Wee FIM) scores increased significantly.
PMID- 27186712
TI - A tale of 2 ADFs: differences in the effectiveness of abuse-deterrent
formulations of oxymorphone and oxycodone extended-release drugs.
AB - The introduction of extended-release opioid analgesics helped initiate an
epidemic of prescription opioid abuse in the United States. To make access to the
drug by crushing or dissolution more difficult, abuse-deterrent formulations
(ADFs) of OxyContin (Purdue Pharma, Stamford, CT) and Opana ER (Endo
Pharmaceuticals Inc., Malvern, PA), which use the same foundation technology
(Intac, Grunenthal, Aachen, Germany), were introduced in 2010 and 2012,
respectively. To examine their relative effectiveness, we used a structured
survey of 12,124 individuals entering treatment for opioid use disorder followed
by a more focused online survey with a subset of these patients (N = 129) using
both structured and open-ended questions. Data showed that the OxyContin ADF was
highly effective in reducing nonoral abuse (91.4% before the ADF, 47.9%
afterwards), particularly with insufflation (78%-28.8%) and intravenous injection
of the active drug (42.7%-21.4%). However, although the Opana ER ADF was
effective in reducing insufflation (80%-37.1%), injection (60.0%-51.4%), and
overall nonoral abuse (94.3%-77.1%), it showed no significant decrease over time.
Bearing in mind that the Opana ER sample was smaller in size than that for
OxyContin, our results nonetheless suggest disparate outcomes resulting from the
introduction of the ADFs, which could indicate that an ADF's effectiveness may be
drug-specific. Given the public health impact of prescription opioids and the
considerable effort being expended to develop ADFs as a partial solution to the
problem, our preliminary studies suggest that each ADF must be evaluated on its
own merits even if the same proprietary technology is used.
PMID- 27186714
TI - When and how to start prophylaxis in boys with severe hemophilia without
inhibitors: communication from the SSC of the ISTH.
PMID- 27186713
TI - Dissociation between the relief of skeletal pain behaviors and skin
hypersensitivity in a model of bone cancer pain.
AB - Recent studies have suggested that in humans and animals with significant
skeletal pain, changes in the mechanical hypersensitivity of the skin can be
detected. However, whether measuring changes in skin hypersensitivity can be a
reliable surrogate for measuring skeletal pain itself remains unclear. To explore
this question, we generated skeletal pain by injecting and confining GFP
transfected NCTC 2472 osteosarcoma cells unilaterally to the femur of C3H male
mice. Beginning at day 7 post-tumor injection, animals were administered vehicle,
an antibody to the P2X3 receptor (anti-P2X3) or anti-NGF antibody. Pain and
analgesic efficacy were then measured on days 21, 28, and 35 post-tumor injection
using a battery of skeletal pain-related behaviors and von Frey assessment of
mechanical hypersensitivity on the plantar surface of the hind paw. Animals with
bone cancer pain treated with anti-P2X3 showed a reduction in skin
hypersensitivity but no attenuation of skeletal pain behaviors, whereas animals
with bone cancer pain treated with anti-NGF showed a reduction in both skin
hypersensitivity and skeletal pain behaviors. These results suggest that although
bone cancer can induce significant skeletal pain-related behaviors and
hypersensitivity of the skin, relief of hypersensitivity of the skin is not
always accompanied by attenuation of skeletal pain. Understanding the
relationship between skeletal and skin pain may provide insight into how pain is
processed and integrated and help define the preclinical measures of skeletal
pain that are predictive end points for clinical trials.
PMID- 27186716
TI - Divide and conquer: Salmonella move into both daughter cells during mitosis.
PMID- 27186717
TI - Neurochemical biomarkers in the diagnosis of frontotemporal lobar degeneration:
an update.
AB - Frontotemporal lobar degeneration (FTLD) is a spectrum of rare neurodegenerative
diseases with overlapping symptoms and neuropathology. It includes the behavioral
variant of frontotemporal dementia (bvFTD), the semantic and non-fluent variant
of primary progressive aphasia (svPPA and nfvPPA), FTD with motor neuron disease
(FTD-MND), progressive supranuclear palsy, and corticobasal syndrome. The
diagnosis of the FTLD spectrum of diseases is based on clinical symptoms which
hampers the differentiation of the diseases among each other and with other
disorders that show a similar clinical appearance resulting in a high rate of
misdiagnoses. This highlights the need for objective and selective measures in
the diagnostic criteria and there is extensive research on neurochemical
biomarkers in FTLD as one option to address this unmet clinical need. Here, we
review the advances in CSF biomarker research in FTLD in the last 2 years with
regard to the validation of previously suggested and identification of new
biomarker candidates for the differential diagnosis of FTLD. New biomarkers for
frontotemporal lobar degeneration (FTLD) are urgently needed to support
differential diagnosis within the disease spectrum and with related
neurodegenerative diseases such as Alzheimer disease (AD). Here, we review the
advances in cerebrospinal fluid biomarker research in FTLD and provide a list of
promising candidate markers.
PMID- 27186718
TI - Facile Cell Sheet Harvest and Translocation Mediated by a Thermally Expandable
Hydrogel with Controlled Cell Adhesion.
AB - Facile cell sheet translocation system is developed based on a thermally
expandable hydrogel with modular cell adhesion favorable for both robust cell
sheet formation and harvest. Efficient translocation is achieved at moderate cell
substrate interaction, which can be tuned by two-step reactions of mussel
inspired coating.
PMID- 27186715
TI - The impact of prescribed opioids on CD4 cell count recovery among HIV-infected
patients newly initiating antiretroviral therapy.
AB - OBJECTIVES: Certain prescribed opioids have immunosuppressive properties, yet
their impact on clinically relevant outcomes, including antiretroviral therapy
(ART) response among HIV-infected patients, remains understudied. METHODS: Using
the Veterans Aging Cohort Study data, we conducted a longitudinal analysis of
4358 HIV-infected patients initiating ART between 2002 and 2010 and then followed
them for 24 months. The primary independent variable was prescribed opioid
duration, categorized using pharmacy data as none prescribed, short-term (< 90
days) and long-term (>= 90 days). Outcomes included CD4 cell count over time.
Analyses adjusted for demographics, comorbid conditions, ART type and year of
initiation, and overall disease severity [ascertained with the Veterans Aging
Cohort Study (VACS) Index]. Sensitivity analyses examined whether effects varied
according to baseline CD4 cell count, achievement of viral load suppression, and
opioid properties (i.e. dose and known immunosuppressive properties). RESULTS:
Compared to those with none, patients with short-term opioids had a similar
increase in CD4 cell count (mean rise per year: 74 vs. 68 cells/MUL; P = 0.11),
as did those with long-term prescribed opioids (mean rise per year: 74 vs. 75
cells/MUL; P = 0.98). In sensitivity analysis, compared with no opioids, the
effects of short-term prescribed opioids were statistically significant among
those with a baseline CD4 cell count >= 500 cells/MUL (mean rise per year: 52
cells/MUL for no opioids vs. 20 cells/MUL for short-term opioids; P = 0.04);
findings were otherwise unchanged. CONCLUSIONS: Despite immunosuppressive
properties intrinsic to opioids, prescribed opioids appeared to have no effect on
CD4 cell counts over 24 months among HIV-infected patients initiating ART.
PMID- 27186720
TI - Re: Partial vs Radical Nephrectomy for T1 Renal Tumours: An Analysis from the
British Association of Urological Surgeons Nephrectomy Audit.
PMID- 27186719
TI - Nicotinamide phosphoribosyltransferase (Nampt) in carcinogenesis: new clinical
opportunities.
AB - INTRODUCTION: Nicotinamide phosphoribosyltransferase (Nampt) is the rate-limiting
enzyme that catalyzes the first step in the mammalian nicotinamide adenine
dinucleotide (NAD) salvage pathway. Aberrant NAD metabolism was associated with
oncogenic signal transduction, suggesting the critical roles of Nampt in
tumorigenesis and metastasis. Additionally, Nampt can be secreted out of the
cell, and this extracellular form of Nampt (eNampt) was shown to induce
inflammation and angiogenesis due to its cytokine activity, which may also be
involved in carcinogenesis. AREAS COVERED: This article reviews recent advances
in the studies of Nampt in carcinogenesis, with a special highlight on Nampt
inhibitors and future clinical application, including cancer diagnosis, prognosis
and therapy. Expert commentary: Nampt not only maintains the balance of cellular
metabolism, but also has a profound influence on multiple aspects of
carcinogenesis. Therefore, elucidation of these mechanisms opens the door for
future clinical applications targeting this protein. Additional studies are
needed to address important questions including the relationship between
extracellular Nampt and carcinogenesis.
PMID- 27186721
TI - Re: Renal Tumor Biopsy for Small Renal Masses: A Single-Center 13-Year
Experience.
PMID- 27186722
TI - Re: Recurrence Patterns after Open and Robot-Assisted Radical Cystectomy for
Bladder Cancer.
PMID- 27186723
TI - Re: Radiofrequency Ablation of Functioning Adrenal Adenomas: Preliminary Clinical
and Laboratory Findings.
PMID- 27186724
TI - Re: Small (< 4 cm) Renal Masses: Differentiation of Angiomyolipoma without
Visible Fat from Renal Cell Carcinoma Using Unenhanced and Contrast-Enhanced CT.
PMID- 27186725
TI - Re: Solid Renal Cell Carcinoma Measuring Water Attenuation (-10 to 20 HU) on
Unenhanced CT.
PMID- 27186726
TI - Re: Oncologic Outcomes following Robot-Assisted Radical Cystectomy with Minimum 5
Year Follow-up: The Roswell Park Cancer Institute Experience.
PMID- 27186727
TI - Re: Prognostic Factors and Risk Groups in T1G3 Non-Muscle-Invasive Bladder Cancer
Patients Initially Treated with bacillus Calmette-Guerin: Results of a
Retrospective Multicenter Study of 2451 Patients.
PMID- 27186728
TI - Re: Long-Term Follow-up of a Randomized Trial of Radiation with or without
Androgen Deprivation Therapy for Localized Prostate Cancer.
PMID- 27186729
TI - Re: Androgen Receptor Splice Variant 7 and Efficacy of Taxane Chemotherapy in
Patients with Metastatic Castration-Resistant Prostate Cancer.
PMID- 27186730
TI - Re: Impact of a Genomic Classifier of Metastatic Risk on Postprostatectomy
Treatment Recommendations by Radiation Oncologists and Urologists.
PMID- 27186731
TI - Re: Trends in Prostate-Specific Antigen Screening, Prostate Biopsies, Urology
Visits, and Prostate Cancer Treatments from 2000 to 2012.
PMID- 27186732
TI - Re: Diclofenac Suppository as a Preemptive Analgesia in Ultrasound-Guided Biopsy
of Prostate: Randomized Controlled Trial.
PMID- 27186733
TI - Re: Role of Surgical Resection for Refractory Germ Cell Tumors.
PMID- 27186734
TI - Re: EAU Guidelines on Penile Cancer: 2014 Update.
PMID- 27186735
TI - Re: Modular Flexible Ureteroscopy and Holmium Laser Lithotripsy for the Treatment
of Renal and Proximal Ureteral Calculi: A Single-Surgeon Experience of 382 Cases.
PMID- 27186736
TI - Re: Impact of Retrograde Flexible Ureteroscopy and Intracorporeal Lithotripsy on
Kidney Functional Outcomes.
PMID- 27186737
TI - Re: The Efficacy of Peritubal Analgesic Infiltration in Postoperative Pain
following Percutaneous Nephrolithotomy-A Prospective Randomized Controlled Study.
PMID- 27186738
TI - Re: Age-Related Delay in Urinary Stone Clearance in Elderly Patients with
Solitary Proximal Ureteral Calculi Treated by Extracorporeal Shock Wave
Lithotripsy.
PMID- 27186739
TI - Re: Tubeless versus Standard PCNL in Geriatric Population.
PMID- 27186741
TI - Re: Outcomes of the Endoscopic Treatment of Bladder Neck Contractures in the
Orthotopic Neobladder.
PMID- 27186740
TI - Re: The Surgical Learning Curve for One-Stage Anterior Urethroplasty: A
Prospective Single-Surgeon Study.
PMID- 27186742
TI - Re: Long-Term Artificial Urinary Sphincter Outcomes following a Prior
Rectourethral Fistula Repair.
PMID- 27186743
TI - Re: The Prevention and Management of Noninfectious Complications of Penile
Implants.
PMID- 27186744
TI - Re: Penile Amputation: Cosmetic and Functional Results.
PMID- 27186745
TI - Re: How Slow is Too Slow? Correlation of Operative Time to Complications: An
Analysis from the Tennessee Surgical Quality Collaborative.
PMID- 27186746
TI - Re: Penile Prosthesis First and Replacement Surgeries: Analysis of Patient and
Partner Satisfaction.
PMID- 27186747
TI - Re: Evaluation of the Treatment of Congenital Penile Curvature including
Psychosexual Assessment.
PMID- 27186748
TI - Re: Long-Term Changes in Renal Function Outcomes following Radical Cystectomy and
Urinary Diversion.
PMID- 27186749
TI - Re: Management of Complications of Mesh Surgery.
PMID- 27186750
TI - Re: Health-Related Quality of Life and Outcomes after Surgical Treatment of
Complications from Vaginally Placed Mesh.
PMID- 27186751
TI - Re: Long-Term Functional Outcomes after Artificial Urinary Sphincter Implantation
in Men with Stress Urinary Incontinence.
PMID- 27186752
TI - Re: Creating a Gold Standard Surgical Procedure: The Development and
Implementation of TVT: Ulf Ulmsten Memorial Lecture 2014.
PMID- 27186753
TI - Re: Involvement of beta3-Adrenoceptors in the Inhibitory Control of Cholinergic
Activity in Human Bladder: Direct Evidence by [3H]-Acetylcholine Release
Experiments in the Isolated Detrusor.
PMID- 27186754
TI - Re: Conversion of Urodynamic Pressures Measured Simultaneously by Air-Charged and
Water-Filled Catheter Systems.
PMID- 27186755
TI - Re: Risk Factors for Recurrence after Le Fort Colpocleisis for Severe Pelvic
Organ Prolapse in Elderly Women.
PMID- 27186756
TI - Re: Underweight Body Mass Index is a Risk Factor of Mortality in Outpatients with
Nocturia in Japan.
PMID- 27186757
TI - Re: The Risk of Fall and Fracture with the Initiation of a Prostate-Selective
alpha Antagonist: A Population Based Cohort Study.
PMID- 27186759
TI - Re: Analyzing why Men Seek Treatment for Lower Urinary Tract Symptoms and Factors
Associated with Nonimprovement.
PMID- 27186758
TI - Re: Impact of Metabolic Syndrome on Response to Medical Treatment of Benign
Prostatic Hyperplasia.
PMID- 27186760
TI - Re: Treatment of Benign Prostatic Hyperplasia Using Transurethral Split of the
Prostate with a Columnar Balloon Catheter.
PMID- 27186761
TI - Re: When One Becomes More: Minimum Renal Artery Length in Laparoscopic Live Donor
Nephrectomy.
PMID- 27186763
TI - Re: Therapeutic Hypothermia in Deceased Organ Donors and Kidney-Graft Function.
PMID- 27186762
TI - Re: Unintended Consequences of the New National Kidney Allocation Policy in the
United States.
PMID- 27186764
TI - Re: Comparative Analysis of Tunical Plication vs. Intralesional Injection Therapy
for Ventral Peyronie's Disease.
PMID- 27186765
TI - Re: Topical Treatment for Acute Phase Peyronie's Disease Utilizing a New Gel, H
100: A Randomized, Prospective, Placebo-Controlled Pilot Study.
PMID- 27186766
TI - Re: An Analysis of Case Logs from American Urologists in the Treatment of
Peyronie's Disease.
PMID- 27186767
TI - Re: Psychological Treatment Trials for Hypoactive Sexual Desire Disorder: A
Sexual Medicine Critique and Perspective.
PMID- 27186768
TI - Re: Women's Endorsement of Models of Sexual Response: Correlates and Predictors.
PMID- 27186769
TI - Re: Comparison of Microdissection Testicular Sperm Extraction, Conventional
Testicular Sperm Extraction, and Testicular Sperm Aspiration for Nonobstructive
Azoospermia: A Systematic Review and Meta-Analysis.
PMID- 27186770
TI - Re: Salvage Micro-Dissection Testicular Sperm Extraction; Outcome in Men with Non
Obstructive Azoospermia with Previous Failed Sperm Retrievals.
PMID- 27186771
TI - Re: Outcomes of Microdissection Testicular Sperm Extraction in Men with
Nonobstructive Azoospermia Due to Maturation Arrest.
PMID- 27186772
TI - Re: Single Human Sperm Cryopreservation Method Using Hollow-Core Agarose
Capsules.
PMID- 27186773
TI - Re: Fourteen Babies Born after Round Spermatid Injection into Human Oocytes.
PMID- 27186774
TI - Re: Tracking Trends in Provider Reimbursements and Patient Obligations.
PMID- 27186775
TI - Re: Trends in National Institutes of Health Funding for Clinical Trials
Registered in ClinicalTrials.gov.
PMID- 27186776
TI - Re: Changes in Burnout and Satisfaction with Work-Life Balance in Physicians and
the General US Working Population between 2011 and 2014.
PMID- 27186777
TI - Re: Utility of the Distal Ureteral Diameter on VCUG for Grading VUR.
PMID- 27186778
TI - Re: Predictive Value of Specific Ultrasound Findings when Used as a Screening
Test for Abnormalities on VCUG.
PMID- 27186779
TI - Re: Imaging and Surgical Utilization for Pediatric Cystinuria Patients: A Single
Institution Cohort Study.
PMID- 27186780
TI - Re: Safety and Efficacy of Staged Pelvic Osteotomies in the Modern Treatment of
Cloacal Exstrophy.
PMID- 27186781
TI - Re: Abdominal Wall Dysfunction in Adult Bladder Exstrophy: A Treatable but Under
Recognized Problem.
PMID- 27186782
TI - Re: RNA-Seq of Single Prostate CTCs Implicates Noncanonical Wnt Signaling in
Antiandrogen Resistance.
PMID- 27186783
TI - Re: AKAP3 Degradation in Sperm Capacitation is Regulated by its Tyrosine
Phosphorylation.
PMID- 27186784
TI - Re: Tumour-Suppressive MicroRNA-29s Directly Regulate LOXL2 Expression and
Inhibit Cancer Cell Migration and Invasion in Renal Cell Carcinoma.
PMID- 27186786
TI - N-acetylcysteine eradicates Pseudomonas aeruginosa biofilms in bone cement.
AB - Biofilm is an example of bacterial group behavior. We investigated the effect of
N-acetylcysteine (NAC) alone and in combination with ciprofloxacin on Pseudomonas
aeruginosa biofilm formation. Four groups (each contains six molds) of
standardized bone cement molds were infected. NAC, ciprofloxacin each alone, and
NAC/ciprofloxacin combination were evaluated in point of inhibiting and
eradicating biofilm capacity using microbiological and electron microscopical
evaluation techniques. Microbial counts and electron microscopical observations
showed that the effect of NAC and ciprofloxacin combination on biofilm formation
in bone cement is valuable. NAC enhances the beneficial effect of ciprofloxacin
when used in combination with it in bone cement. SCANNING 38:766-770, 2016. (c)
2016 Wiley Periodicals, Inc.
PMID- 27186787
TI - Controlled Permeability in Porous Polymer Nanocapsules Enabling Size- and Charge
Selective SERS Nanoprobes.
AB - Nanoprobes for surface-enhanced Raman scattering (SERS) were prepared by creating
nanorattles, or yolk-shell structures, containing gold or silver nanoparticles
entrapped in porous hollow polymer nanocapsules. Controlled permeability of the
shells of nanocapsules, achieved by controlling the pore size and/or shell
surface functionalization, resulted in size- and charge-selective SERS analyses.
For example, a trace amount of phenanthroline, a model analyte, was detected in
human blood plasma without preprocessing of plasma samples. Comparison with
commercially available nanoparticles showed superior performance of the newly
prepared nanorattle structures.
PMID- 27186788
TI - Potential role for psychological skills training in emergency medicine: Part 1 -
Introduction and background.
AB - Psychological skills training (PST) is the systematic acquisition and practice of
different psychological techniques to improve cognitive and technical
performance. This training consists of three phases: education, skills
acquisition and practice. Some of the psychological skills developed in this
training include relaxation techniques, focusing and concentration skills,
positive 'self-suggestion' and visualisation exercises. Since the middle of the
20th century, PST has been successfully applied by athletes, performing artists,
business executives, military personnel and other professionals in high-risk
occupations. Research in these areas has demonstrated the breadth and depth of
the training's effectiveness. Despite the benefits realised in other professions,
medicine has only recently begun to explore certain elements of PST. The present
paper reviews the history and evidence behind the concept of PST. In addition, it
presents some aspects of PST that have already been incorporated into medical
training as well as implications for developing more comprehensive programmes to
improve delivery of emergency medical care.
PMID- 27186789
TI - Atomized Human Amniotic Mesenchymal Stromal Cells for Direct Delivery to the
Airway for Treatment of Lung Injury.
AB - BACKGROUND: Current treatment regimens for inhalation injury are mainly
supportive and rely on self-regeneration processes for recovery. Cell therapy
with mesenchymal stromal cells (MSCs) is increasingly being investigated for the
treatment of inhalation injury. Human amniotic MSCs (hAMSCs) were used in this
study due to their potential use in inflammatory and fibrotic conditions of the
lung. This study aimed at demonstrating that hAMSCs can be atomized with high
viability, for the purpose of achieving a more uniform distribution of cells
throughout the lung. Another aim of this study was to set ground for future
application to healthy and diseased lungs by demonstrating that hAMSCs were able
to survive after being sprayed onto substrates with different stiffness. METHODS:
Two methods of atomization were evaluated, and the LMA MAD780 device was selected
for atomizing hAMSCs for optimized delivery. To mimic the stiffness of healthy
and diseased lungs, gelatin gel (10% w/v) and tissue culture plastic were used as
preliminary models. Poly-l-lysine (PLL) and collagen I coatings were used as
substrates on which the hAMSCs were cultured after being sprayed. RESULTS: The
feasibility of atomizing hAMSCs was demonstrated with high cell viability (81 +/-
3.1% and 79 +/- 11.6% for cells sprayed onto plastic and gelatin, respectively,
compared with 85 +/- 4.8% for control/nonsprayed cells) that was unaffected by
the different stiffness of substrates. The presence of the collagen I coating on
which the sprayed cells were cultured yielded higher cell proliferation compared
with both PLL and no coating. The morphology of sprayed cells was minimally
compromised in the presence of the collagen I coating. CONCLUSIONS: This study
demonstrated that hAMSCs are able to survive after being sprayed onto substrates
with different stiffness, especially in the presence of collagen I. Further
studies may advance the effectiveness of cell therapy for lung regeneration.
PMID- 27186790
TI - In Situ Catalyst Modification in Atom Transfer Radical Reactions with Ruthenium
Benzylidene Complexes.
AB - Ruthenium benzylidene complexes are well-known as olefin metathesis catalysts.
Several reports have demonstrated the ability of these catalysts to also
facilitate atom transfer radical (ATR) reactions, such as atom transfer radical
addition (ATRA) and atom transfer radical polymerization (ATRP). However, while
the mechanism of olefin metathesis with ruthenium benzylidenes has been well
studied, the mechanism by which ruthenium benzylidenes promote ATR reactions
remains unknown. To probe this question, we have analyzed seven different
ruthenium benzylidene complexes for ATR reactivity. Kinetic studies by (1)H NMR
revealed that ruthenium benzylidene complexes are rapidly converted into new ATRA
active, metathesis-inactive species under typical ATRA conditions. When ruthenium
benzylidene complexes were activated prior to substrate addition, the resulting
activated species exhibited enhanced kinetic reactivity in ATRA with no
significant difference in overall product yield compared to the original
complexes. Even at low temperature, where the original intact complexes did not
catalyze the reaction, preactivated catalysts successfully reacted. Only the
ruthenium benzylidene complexes that could be rapidly transformed into ATRA
active species could successfully catalyze ATRP, whereas other complexes
preferred redox-initiated free radical polymerization. Kinetic measurements along
with additional mechanistic and computational studies show that a metathesis
inactive ruthenium species, generated in situ from the ruthenium benzylidene
complexes, is the active catalyst in ATR reactions. Based on data from (1) H,
(13)C, and (31)P NMR spectroscopy and X-ray crystallography, we suspect that this
ATRA-active species is a RuxCly(PCy3)z complex.
PMID- 27186791
TI - Arsenic Speciation in Bituminous Coal Fly Ash and Transformations in Response to
Redox Conditions.
AB - The risk of the mobilization of coal ash into the environment has highlighted the
need for the assessment of the environmental behavior of coal ash, particularly
with respect to toxic trace elements such as arsenic (As). Here, we examined As
speciation in coal fly ash samples and transformations in response to aquatic
redox conditions. X-ray absorption spectroscopy indicated that 92-97% of total As
occurred as As(V), with the remainder present as As(III). Major As-bearing hosts
in unamended ashes were glass, iron (oxyhydr)oxides, and calcium arsenate. Oxic
leaching resulted in immediate As mobilization to the aqueous phase,
reprecipitation of As-iron ferrihydrite, and As adsorption to mineral surfaces.
Under anoxic conditions, the (reductive) dissolution of As-bearing phases such as
iron ferrihydrite resulted in increased dissolved As compared to oxic conditions
and reprecipitation of iron arsenate. Overall, As in coal ash is not
environmentally stable and can participate in local biogeochemical cycles.
PMID- 27186792
TI - Terlipressin given by continuous intravenous infusion or by intravenous boluses:
Is there already an answer?
PMID- 27186794
TI - Imaging of Arthroplasties: Improved Image Quality and Lesion Detection With
Iterative Metal Artifact Reduction, a New CT Metal Artifact Reduction Technique.
AB - OBJECTIVE: The purpose of this study was to compare iterative metal artifact
reduction (iMAR), a new single-energy metal artifact reduction technique, with
filtered back projection (FBP) in terms of attenuation values, qualitative image
quality, and streak artifacts near shoulder and hip arthroplasties and observer
ability with these techniques to detect pathologic lesions near an arthroplasty
in a phantom model. MATERIALS AND METHODS: Preoperative and postoperative CT
scans of 40 shoulder and 21 hip arthroplasties were reviewed. All postoperative
scans were obtained using the same technique (140 kVp, 300 quality reference mAs,
128 * 0.6 mm detector collimation) on one of three CT scanners and reconstructed
with FBP and iMAR. The attenuation differences in bones and soft tissues between
preoperative and postoperative scans at the same location were compared; image
quality and streak artifact for both reconstructions were qualitatively graded by
two blinded readers. Observer ability and confidence to detect lesions near an
arthroplasty in a phantom model were graded. RESULTS: For both readers, iMAR had
more accurate attenuation values (p < 0.001), qualitatively better image quality
(p < 0.001), and less streak artifact (p < 0.001) in all locations near
arthroplasties compared with FBP. Both readers detected more lesions (p <= 0.04)
with higher confidence (p <= 0.01) with iMAR than with FBP in the phantom model.
CONCLUSION: The iMAR technique provided more accurate attenuation values, better
image quality, and less streak artifact near hip and shoulder arthroplasties than
FBP; iMAR also increased observer ability and confidence to detect pathologic
lesions near arthroplasties in a phantom model.
PMID- 27186793
TI - Fishing for Fetal Alcohol Spectrum Disorders: Zebrafish as a Model for Ethanol
Teratogenesis.
AB - Fetal Alcohol Spectrum Disorders (FASD) describes a wide array of ethanol-induced
developmental defects, including craniofacial dysmorphology and cognitive
impairments. It affects ~1 in 100 children born in the United States each year.
Due to the pleiotropic effects of ethanol, animal models have proven critical in
characterizing the mechanisms of ethanol teratogenesis. In this review, we focus
on the utility of zebrafish in characterizing ethanol-induced developmental
defects. A growing number of laboratories have focused on using zebrafish to
examine ethanol-induced defects in craniofacial, cardiac, ocular, and neural
development, as well as cognitive and behavioral impairments. Growing evidence
supports that genetic predisposition plays a role in these ethanol-induced
defects, yet little is understood about these gene-ethanol interactions. With a
high degree of genetic amenability, zebrafish is at the forefront of identifying
and characterizing the gene-ethanol interactions that underlie FASD. Because of
the conservation of gene function between zebrafish and humans, these studies
will directly translate to studies of candidate genes in human populations and
allow for better diagnosis and treatment of FASD.
PMID- 27186795
TI - Oral versus vaginal prostaglandin for labor induction.
AB - OBJECTIVE: To compare the efficacy and safety of oral prostaglandin (PG) in
solution versus vaginal PG gel for labor induction. DESIGN: A retrospective
study. METHODS: Data from original obstetric records at a university hospital in
Sweden 2012-2013. RESULTS: In all women, oral PG resulted in vaginal birth (VB) <
24 h in 66% compared to 80% with vaginal PG (p < 0.001), and cesarean section
(CS) in 19% versus 32% (p = 0.02). In primiparous women, oral PG was followed by
VB <24 h in 54% compared to 71% (p = 0.01), and CS in 25% versus 41% (p = 0.03).
In women with an unripe cervix, oral PG lead to VB <24 h in 66% compared to 79%
(p = 0.01), and CS in 21% versus 33% (p = 0.04). Despite a longer induction to
vaginal delivery interval with oral PG, the rates of obstetric bleeding,
chorioamnionitis, and neonatal asphyxia were not increased. CONCLUSIONS: Oral PG
in solution was less effective than vaginal PG gel in achieving VB <24 h.
However, oral PG was safer, since it resulted in fewer CSs without increasing
maternal morbidity or neonatal asphyxia.
PMID- 27186796
TI - Metabolic disposition and biological significance of simple phenols of dietary
origin: hydroxytyrosol and tyrosol.
AB - Hydroxytyrosol and tyrosol are dietary phenolic compounds present in virgin olive
oil and wine. Both compounds are also endogenously synthesized in our body as
byproducts of dopamine and tyramine metabolisms, respectively. Over the last
decades, research into hydroxytyrosol and tyrosol has experienced an increasing
interest due to the role that these compounds may play in the prevention of
certain pathologies (e.g. cardiovascular, metabolic, neurodegenerative diseases
and cancer). The translation of promising in vitro and in vivo biological effects
from preclinical studies to the context of human disease prevention initially
depends on whether the dose ingested becomes available at the site of action. In
this regard, information regarding the bioavailability and metabolic disposition
of hydroxytyrosol and tyrosol is of most importance to evaluate the impact they
may have on human health. In this review, we discuss and summarize the state of
the art of the scientific evidence regarding the processes of absorption,
distribution, metabolism and excretion of both hydroxytyrosol and tyrosol. We
also examine the impact of these compounds and their metabolites on biological
activity in terms of beneficial health effects. Finally, we evaluate the
different analytical approaches that have been developed to measure the plasma
and urinary levels of hydroxytyrosol, tyrosol and their metabolites.
PMID- 27186797
TI - Reactions of Atmospheric Particulate Stabilized Criegee Intermediates Lead to
High-Molecular-Weight Aerosol Components.
AB - Aging of organic aerosol particles is one of the most poorly understood topics in
atmospheric aerosol research. Here, we used an aerosol flow tube together with an
iodide-adduct high-resolution time-of-flight chemical-ionization mass
spectrometer equipped with a Filter Inlet for Gases and AEROsols (FIGAERO-HRToF
CIMS) to investigate heterogeneous ozonolysis of oleic acid (OL), developing a
comprehensive oxidation mechanism with observed products. In addition to the well
known first-generation C9 products including nonanal, nonanoic acid, azelaic
acid, and 9-oxononanoic acid, the iodide-adduct chemical ionization permitted
unambiguous determination of a large number of high-molecular-weight particulate
products up to 670 Da with minimum amounts of fragmentation. These high-molecular
weight products are characterized by a fairly uniform carbon oxidation state but
stepwise addition of a carbon backbone moiety, and hence continuous decrease in
the volatility. Our results demonstrate that heterogeneous oxidation of organic
aerosols has a significant effect on the physiochemical properties of organic
aerosols and that reactions of particulate SCIs from ozonolysis of an unsaturated
particulate species represent a previously underappreciated mechanism that lead
to formation of high-molecular-weight particulate products that are stable under
typical atmospheric conditions.
PMID- 27186798
TI - Association between asthma and dysphonia: A population-based study.
AB - OBJECTIVE: We investigated whether asthma predisposes patients to organic
laryngeal lesions or increases dysphonia in those without organic laryngeal
lesions. METHODS: We performed a cross-sectional study with data from the Korea
National Health and Nutrition Examination Survey; 19,330 subjects from 2008
through 2011 were included. The associations of asthma with organic laryngeal
lesions and dysphonia were analyzed using a simple/multiple logistic regression
analysis with complex sampling while adjusting for confounding factors (age, sex,
smoking status, stress level, and body mass index) that could contribute to
dysphonia. RESULTS: Compared with non-asthma participants, the asthma patients
tended to be older and female and to have higher stress levels. These factors
were associated with dysphonia (Age, AOR = 1.20, 95% CI = 1.14 = 1.23, P < 0.001;
female, AOR = 1.70, 95% CI = 1.33-2.17, P < 0.001; higher stress, AOR = 1.44, 95%
CI = 1.23-1.69, P < 0.001). Asthma itself was also associated with dysphonia.
Compared with non-asthma participants, asthma patients who had not taken asthma
medication recently showed a higher AOR (1.62; 95% CI = 1.0-2.42) for dysphonia,
and asthma patients who had taken asthma medication recently showed the highest
adjusted odds ratio for dysphonia (AOR = 1.97; 95% confidence interval, CI = 1.28
3.02, P = 0.001). On multiple logistic regression analysis, vocal nodules,
laryngeal polyps, and laryngitis were not associated with asthma (all P > 0.05).
CONCLUSIONS: Asthma patients are predisposed to subjective dysphonia due to
demographic and clinical characteristics (older age, female, and higher stress
level) as well as to asthma itself. However, asthma was not associated with
organic laryngeal lesions in this study.
PMID- 27186800
TI - Strong and Tunable Spin-Orbit Coupling in a Two-Dimensional Hole Gas in Ionic
Liquid Gated Diamond Devices.
AB - Hydrogen-terminated diamond possesses due to transfer doping a quasi-two
dimensional (2D) hole accumulation layer at the surface with a strong, Rashba
type spin-orbit coupling that arises from the highly asymmetric confinement
potential. By modulating the hole concentration and thus the potential using an
electrostatic gate with an ionic-liquid dielectric architecture the spin-orbit
splitting can be tuned from 4.6-24.5 meV with a concurrent spin relaxation length
of 33-16 nm and hole sheet densities of up to 7.23 * 10(13) cm(-2). This
demonstrates a spin-orbit interaction of unprecedented strength and tunability
for a 2D hole system at the surface of a wide band gap semiconductor. With a spin
relaxation length that is experimentally accessible using existing
nanofabrication techniques, this result suggests that hydrogen-terminated diamond
has great potential for the study and application of spin transport phenomena.
PMID- 27186799
TI - Greazy: Open-Source Software for Automated Phospholipid Tandem Mass Spectrometry
Identification.
AB - Lipid identification from data produced with high-throughput technologies is
essential to the elucidation of the roles played by lipids in cellular function
and disease. Software tools for identifying lipids from tandem mass (MS/MS)
spectra have been developed, but they are often costly or lack the sophistication
of their proteomics counterparts. We have developed Greazy, an open source tool
for the automated identification of phospholipids from MS/MS spectra, that
utilizes methods similar to those developed for proteomics. From user-supplied
parameters, Greazy builds a phospholipid search space and associated theoretical
MS/MS spectra. Experimental spectra are scored against search space lipids with
similar precursor masses using a peak score based on the hypergeometric
distribution and an intensity score utilizing the percentage of total ion
intensity residing in matching peaks. The LipidLama component filters the results
via mixture modeling and density estimation. We assess Greazy's performance
against the NIST 2014 metabolomics library, observing high accuracy in a search
of multiple lipid classes. We compare Greazy/LipidLama against the commercial
lipid identification software LipidSearch and show that the two platforms differ
considerably in the sets of identified spectra while showing good agreement on
those spectra identified by both. Lastly, we demonstrate the utility of
Greazy/LipidLama with different instruments. We searched data from replicates of
alveolar type 2 epithelial cells obtained with an Orbitrap and from human serum
replicates generated on a quadrupole-time-of-flight (Q-TOF). These findings
substantiate the application of proteomics derived methods to the identification
of lipids. The software is available from the ProteoWizard repository:
http://tiny.cc/bumbershoot-vc12-bin64 .
PMID- 27186801
TI - Piceatannol increases the expression of hepatocyte growth factor and IL-10
thereby protecting hepatocytes in thioacetamide-induced liver fibrosis.
AB - Piceatannol is a polyphenolic analog of resveratrol that selectively inhibits the
non-receptor tyrosine kinase-Syk. This study investigates the potential ability
of piceatannol to attenuate liver fibrosis and protect hepatocytes from injury.
Thioacetamide was injected in adult male mice (100 mg/kg, i.p., 3 times/week) for
8 weeks. Piceatannol (1 or 5 mg/kg per day) was administered by oral gavage
during the last 4 weeks. Liver function biomarkers, tissue malondialdehyde (MDA),
cytokeratin-18 (CK18), hepatocyte growth factor (HGF), and interleukin-10 (IL-10)
were measured. Necroinflammation, fibrosis, expression of transforming growth
factor (TGF)-beta1, and alpha-smooth muscle actin (SMA) were scored by
histopathological examination and immunohistochemistry. Obtained results showed
ability of piceatannol (1 mg/kg) to restore liver function and reduce
inflammation. It significantly (p < 0.001) reduced MDA, CK18, TGF-beta1, and
alpha-SMA expression, and increased HGF and IL-10. It can be concluded that
piceatannol at low dose can inhibit TGF-beta1 induced hepatocytes apoptosis and
exerts an anti-inflammatory effect attenuating fibrosis progression.
PMID- 27186802
TI - Switching of Slow Magnetic Relaxation Dynamics in Mononuclear Dysprosium(III)
Compounds with Charge Density.
AB - The symmetry around a Dy ion is recognized to be a crucial parameter dictating
magnetization relaxation dynamics. We prepared two similar square-antiprismatic
complexes, [Dy(LOMe)2(H2O)2](PF6) (1) and Dy(LOMe)2(NO3) (2), where LOMe =
[CpCo{P(O)(O(CH3))2}3], including either two neutral water molecules (1) or an
anionic nitrate ligand (2). We demonstrated that in this case relaxation dynamics
is dramatically affected by the introduction of a charged ligand, stabilizing the
easy axis of magnetization along the nitrate direction. We also showed that the
application of either a direct-current field or chemical dilution effectively
stops quantum tunneling in the ground state of 2, thereby increasing the
relaxation time by over 3 orders of magnitude at 3.5 K.
PMID- 27186803
TI - Microvascular fluid cup: sturdy in the healthy, but bottomless in septic shock.
PMID- 27186805
TI - Electric-Field-Induced Nanoscale Surface Patterning in Mexylaminotriazine
Functionalized Molecular Glass Derivatives.
AB - Nanoscale surface patterns were observed in thin films of mexylaminotriazine
functionalized glasses containing polar groups upon the application of an
electric field at temperatures over their glass transition temperatures (Tg).
This phenomenon occurred due to the surface deformation process initiated by
external electric field instabilities on the films. The minimal surface
deformation temperature (Tdewet) relative to Tg was found to increase as a
function of the polarity of the substituents and the surface pattern roughness
was observed to increase linearly with temperature for a fixed electric field and
exposure time. Reversal of the electrical field polarity and the use of both
hydrophilic and hydrophobic substrates did not significantly change the surface
deformation behavior of the films, which is due to the deposition of charges at
the free interface. The application of a mask between the electric field
electrodes allowed to selectively pattern areas that are exposed. Furthermore, it
was observed that this surface deformation behavior was reversible, since heating
the films to a temperature above Tg in the absence of an electric field caused
the erasure of all surface patterns.
PMID- 27186806
TI - Tetrahydroquinolines via Stereospecific [3 + 3]-Annulation of Donor-Acceptor
Cyclopropanes with Nitrosoarenes.
AB - A stereospecific [3 + 3]-annulation of donor-acceptor cyclopropanes with
nitrosoarenes under the influence of MgBr2 as a stoichiometric Lewis acid and
reagent offers a novel approach to various structurally diverse C-8-brominated
tetrahydroquinolines. In these cascades C-C, C-N, and C-Br bonds are formed. The
reactions are easy to conduct and proceed under mild conditions, and the products
can readily be further functionalized, rendering the method highly valuable.
PMID- 27186807
TI - Anti-Food Allergic Activity of Sulfated Polysaccharide from Gracilaria
lemaneiformis is Dependent on Immunosuppression and Inhibition of p38 MAPK.
AB - Polysaccharides from Gracilaria lemaneiformis in particular possess various
bioactive functions, but their antiallergic activity remains incompletely
defined. Sulfated polysaccharide from Gracilaria lemaneiformis (GLSP) was
obtained by water extraction and ethanol precipitation followed by column
chromatography. BALB/c mice, RBL-2H3, and KU812 cells were used for verifying the
anti food allergic activity of GLSP. According to the results of mice experiment,
GLSP was able to alleviate allergy symptoms, to reduce TM-specific IgE and IgG1,
to suppress Th2 cell polarization, and to promote the function of regulatory T
(Treg) cells. In addition, GLSP had the ability to inhibit the function of RBL
2H3 cells. Furthermore, GLSP inhibited the activation of KU812 via suppression of
p38 mitogen-activated protein kinase (MAPK). In conclusion, immunosuppression as
well as the reduction in the level of p38 MAPK may contribute to GLSP's putative
activity against food allergy. GLSP may be used as a functional food component
for allergic patients.
PMID- 27186809
TI - The impact of updated NICE guidelines on biologic treatment of ulcerative
colitis: reflections on past practices, the changing present and implications for
the future.
PMID- 27186808
TI - Peptoid Library Agar Diffusion (PLAD) Assay for the High-Throughput
Identification of Antimicrobial Peptoids.
AB - Rapid emergence of antimicrobial resistant organisms necessitates equally rapid
methods for the development of new antimicrobial compounds. Of recent interest
have been mimics of antimicrobial peptides known as antimicrobial peptoids, which
exhibit similar potency to the former but with improved proteolytic stability.
Presented herein is a high-throughput method to screen libraries of antimicrobial
peptoids immobilized on beads embedded into solid media. Termed the peptoid
library agar diffusion (PLAD) assay, this assay allows for individual chemical
manipulation of two identical peptoid strands. One strand can be released to
diffuse out from a solid support bead and interact with the microorganism during
screening. The other strand can be cleaved after screening from beads showing
strong antimicrobial activity and analyzed by mass spectrometry to deconvolute
the structure of the peptoid. This method was applied to a small library of
peptoids to identify an antimicrobial peptoid with modest efficacy against the
ESKAPE pathogens.
PMID- 27186804
TI - Modeling Molecular Interactions in Water: From Pairwise to Many-Body Potential
Energy Functions.
AB - Almost 50 years have passed from the first computer simulations of water, and a
large number of molecular models have been proposed since then to elucidate the
unique behavior of water across different phases. In this article, we review the
recent progress in the development of analytical potential energy functions that
aim at correctly representing many-body effects. Starting from the many-body
expansion of the interaction energy, specific focus is on different classes of
potential energy functions built upon a hierarchy of approximations and on their
ability to accurately reproduce reference data obtained from state-of-the-art
electronic structure calculations and experimental measurements. We show that
most recent potential energy functions, which include explicit short-range
representations of two-body and three-body effects along with a physically
correct description of many-body effects at all distances, predict the properties
of water from the gas to the condensed phase with unprecedented accuracy, thus
opening the door to the long-sought "universal model" capable of describing the
behavior of water under different conditions and in different environments.
PMID- 27186810
TI - The Raman-Derived Carbonization Continuum: A Tool to Select the Best Preserved
Molecular Structures in Archean Kerogens.
AB - The search for indisputable traces of life in Archean cherts is of prime
importance. However, their great age and metamorphic history pose constraints on
the study of molecular biomarkers. We propose a quantitative criterion to
document the thermal maturity of organic matter in rocks in general, and Archean
rocks in particular. This is definitively required to select the best candidates
for seeking non-altered sample remnants of life. Analysis of chemical (Raman
spectroscopy, (13)C NMR, elemental analysis) and structural (HRTEM) features of
Archean and non-Archean carbonaceous matter (CM) that was submitted to
metamorphic grades lower than, or equal to, that of greenschist facies showed
that these features had all undergone carbonization but not graphitization. Raman
derived quantitative parameters from the present study and from literature
spectra, namely, R1 ratio and FWHM-D1, were used to draw a carbonization
continuum diagram showing two carbonization stages. While non-Archean samples can
be seen to dominate the first stage, the second stage mostly consists of the
Archean samples. In this diagram, some Archean samples fall at the boundary with
non-Archean samples, which thus demonstrates a low degree of carbonization when
compared to most Archean CM. As a result, these samples constitute candidates
that may contain preserved molecular signatures of Archean CM. Therefore, with
regard to the search for the oldest molecular traces of life on Earth, we propose
the use of this carbonization continuum diagram to select the Archean CM samples.
KEY WORDS: Archean-Early life-Kerogen-Raman spectroscopy-Carbonization.
Astrobiology 16, 407-417.
PMID- 27186821
TI - Usnic Acid Derivatives with Cytotoxic and Antifungal Activities from the Lichen
Usnea longissima.
AB - Eight usnic acid derivatives, that is, usenamines A-F (1-6), usone (7), and
isousone (8), together with the known (+)-usnic acid (9), were isolated from the
lichen Usnea longissima. Their structures were elucidated using 1D and 2D NMR and
MS data, and the absolute configurations of compounds 1 and 2 were defined by
single-crystal X-ray diffraction analyses. Compounds 1, 2, and 8 showed
inhibitory effects on the growth of human hepatoma HepG2 cells with IC50 values
of 6.0-53.3 MUM compared with methotrexate as the positive control, which had an
IC50 value of 15.8 MUM. Furthermore, 1 induced apoptosis of HepG2 cells in a dose
dependent manner at concentrations of 0-15.0 MUM. The isolated compounds were
also evaluated for their antifungal and antibacterial activities, with 7 and 8
exhibiting weak inhibitory effects on fungal Trichophyton rubrum spp. with an MIC
value of 41.0 MUM.
PMID- 27186822
TI - Clinical Outcomes of 1 kHz Subperception Spinal Cord Stimulation in Implanted
Patients With Failed Paresthesia-Based Stimulation: Results of a Prospective
Randomized Controlled Trial.
AB - BACKGROUND: Pain relief via spinal cord stimulation (SCS) has historically
revolved around producing paresthesia to replace pain, with success measured by
the extent of paresthesia-pain overlap. In a recent murine study, by Shechter et
al., showed the superior efficacy of high frequency SCS (1 kHz and 10 kHz) at
inhibiting the effects of mechanical hypersensitivity compared to sham or 50 Hz
stimulation. In the same study, authors report there were no differences in
efficacy between 1 kHz and 10 kHz delivered at subperception stimulation strength
(80% of motor threshold). Therefore, we designed a randomized, 2 * 2 crossover
study of low frequency supra-perception SCS vs. subperception SCS at 1 kHz
frequency in order to test whether subperception stimulation at 1 kHz was
sufficient to provide effective pain relief in human subjects. METHODS: Twenty
two subjects with SCS, and inadequate pain relief based on numeric pain rating
scale (NPRS) scores (>5) were enrolled, and observed for total of seven weeks
(three weeks of treatment, one week wash off, and another three weeks of
treatment). Subjects were asked to rate their pain on NPRS as a primary efficacy
variable, and complete the Oswestry Disability Index (ODI) and Patient's Global
Impression of Change (PGIC) as secondary outcome measures. RESULTS: Out of 22
subjects that completed the study, 21 subjects (95%) reported improvements in
average, best, and worst pain NPRS scores. All NPRS scores were significantly
lower with subperception stimulation compared to paresthesia-based stimulation (p
< 0.01, p < 0.05, and p < 0.05, respectively). As with NPRS scores, the treatment
effect of subperception stimulation was significantly greater than that of
paresthesia based stimulation on ODI scores (p = 3.9737 * 10-5 ) and PGIC scores
(p = 3.0396 * 10-5 ).
PMID- 27186824
TI - College Students' Drinking and Posting About Alcohol: Forwarding a Model of
Motivations, Behaviors, and Consequences.
AB - College drinking continues to remain a public health problem that has been
exacerbated by alcohol-related posts on social networking sites (SNSs). Although
existing research has linked alcohol consumption, alcohol posts, and adverse
consequences to one another, comprehensive explanations for these associations
have been largely unexplored. Thus, we reasoned that students' personal
motivations (i.e., espousing an alcohol identity, needing entertainment, and
adhering to social norms) influence their behaviors (i.e., alcohol consumption
and alcohol-related posting on SNSs), which can lead to alcohol problems. Using
structural equation modeling, we analyzed data from 364 undergraduate students
and found general support for our model. In particular, espousing an alcohol
identity predicted alcohol consumption and alcohol-related SNS posting, needing
entertainment predicted alcohol consumption but not alcohol-related SNS posting,
and adhering to social norms predicted alcohol-related SNS posting but not
alcohol consumption. In turn, alcohol consumption and alcohol-related SNS posting
predicted alcohol problems. It is surprising that alcohol-related SNS posting was
a stronger predictor of alcohol problems than alcohol consumption. We discuss the
findings within their applied applications for college student health.
PMID- 27186823
TI - Neutrophil maturation rate determines the effects of dipeptidyl peptidase 1
inhibition on neutrophil serine protease activity.
AB - BACKGROUND AND PURPOSE: Neutrophil serine proteases (NSPs) are activated by
dipeptidyl peptidase 1 (DPP1) during neutrophil maturation. The effects of
neutrophil turnover rate on NSP activity following DPP1 inhibition was studied in
a rat pharmacokinetic/pharmacodynamic model. EXPERIMENTAL APPROACH: Rats were
treated with a DPP1 inhibitor twice daily for up to 14 days; NSP activity was
measured in onset or recovery studies, and an indirect response model was fitted
to the data to estimate the turnover rate of the response. KEY RESULTS: Maximum
NSP inhibition was achieved after 8 days of treatment and a reduction of around
75% NSP activity was achieved at 75% in vitro DPP1 inhibition. Both the rate of
inhibition and recovery of NSP activity were consistent with a neutrophil
turnover rate of between 4-6 days. Using human neutrophil turnover rate, it is
predicted that maximum NSP inhibition following DPP1 inhibition takes around 20
days in human. CONCLUSIONS AND IMPLICATIONS: Following inhibition of DPP1 in the
rat, the NSP activity was determined by the amount of DPP1 inhibition and the
turnover of neutrophils and is thus supportive of the role of neutrophil
maturation in the activation of NSPs. Clinical trials to monitor the effect of a
DPP1 inhibitor on NSPs should take into account the delay in maximal response on
the one hand as well as the potential delay in a return to baseline NSP levels
following cessation of treatment.
PMID- 27186825
TI - Open framework metal chalcogenides as efficient photocatalysts for reduction of
CO2 into renewable hydrocarbon fuel.
AB - Open framework metal chalcogenides are a family of porous semiconducting
materials with diverse chemical compositions. Here we show that these materials
containing covalent three-dimensional superlattices of nanosized supertetrahedral
clusters can function as efficient photocatalysts for the reduction of CO2 to
CH4. Unlike dense semiconductors, metal cations are successfully incorporated
into the channels of the porous semiconducting materials to further tune the
physical properties of the materials such as electrical conductivity and band
gaps. In terms of the photocatalytic properties, the metal-incorporated porous
chalcogenides demonstrated enhanced solar energy absorption and higher electrical
conductivity and improved photocatalytic activity.
PMID- 27186827
TI - Report from the 42(nd) congress of the Neurootological and Equilibriometric
Society.
PMID- 27186826
TI - Recurrence of Solid Pseudopapillary Neoplasms of the Pancreas: Results of a
Nationwide Study of Risk Factors and Treatment Modalities.
AB - BACKGROUND: Solid pseudopapillary neoplasms of the pancreas (SPPN) can relapse
very late, but little is known about risk factors for recurrence and optimal
treatment. We aimed to identify risk factors for recurrence and to analyze
treatment modalities in all French pediatric cases of SPPN over the past 20
years. MATERIAL AND METHODS: Data were collected from pediatric oncologists and
surgeons, and also from adult pancreatic surgeons in order to identify late
recurrences. RESULTS: Fifty-one patients (41 girls) were identified. Median age
at diagnosis was 13.1 years [8.7-17.9]. Abdominal pain was the commonest
presenting symptom (32/49, 65%). The tumor was located in the pancreatic head in
24 patients (47%). Preoperative biopsy or cytology was performed in 14 cases
(28%). All patients were operated with a median of 23 days [0-163] after
diagnosis. The rate of postoperative morbidity was 29%. With a median follow-up
of 65 months [0.3-221], the overall and event-free survival was 100% and 71%,
respectively. Seven patients (13.7%) relapsed with a median of 43 months [33-94]
after initial surgery. Six were treated surgically, either alone (n = 3) or with
perioperative chemotherapy (n = 2) or hyperthermic intraperitoneal chemotherapy
(n = 1). One patient in whom further treatment was not feasible was still alive
at last news. Risk factors for recurrence were positive surgical margins (P =
0.03) and age less than 13.5 years at diagnosis (P = 0.03). CONCLUSIONS: SPPN
recurrence in this pediatric series was a rare and late event that did not
undermine overall survival. Complete surgical removal of recurrent tumors appears
to be the best option.
PMID- 27186828
TI - Usefulness of ultrasound-computer-craniocorpography in different vestibular
disorders.
AB - INTRODUCTION: Vertigo is one of the most unpleasant symptoms. Two of the main
points of the examinations are the vestibulospinal tests (Romberg test and
Unterberger- Fukuda test) can be measured objectively by the ultrasound-computer
craniocorpography. OBJECTIVES: Using ultrasound-markers on head and shoulders,
the ultrasound-computer-craniocorpography can measure and analyze the numerical
data of statokinetic tests. The study is comparing the parameters of the
vestibulospinal tests in different vestibular disorders. PATIENTS AND METHODS: 84
patients were examined. The main parameters in the standing test are the
longitudinal and sway, the torticollis angle, and the forehead covering. In the
stepping test the main parameters were the longitudinal deviation, the lateral
sway, the angular deviation, and the self-spin degree. The patients were divided
on normal, unilateral and bilateral peripheral lesion and central lesion groups.
RESULTS: The data of the longitudinal and lateral sway and the forehead covering
in Romberg test are quite different in the normal and dizzy patients.
CONCLUSIONS: Ultrasound-computer-craniocorpography is a useful method in the
examination of the balance system disorders. The differential diagnostic value is
not sufficient alone, we needs other investigations for the topical diagnosis of
the vestibular system.
PMID- 27186829
TI - Electrophysiology quantitative electroencephalography/low resolution brain
electromagnetic tomography functional brain imaging (QEEG LORETA): Case report:
Subjective idiopathic tinnitus - predominantly central type severe disabling
tinnitus.
AB - The clinical significance of QEEG LORETA data analysis performed sequentially
within 6 months is presented in a case report of a predominantly central type
severe disabling subjective idiopathic tinnitus (SIT) before and following
treatment. The QEEG LORETA data is reported as Z-scores of z = +/- 2.54, p <
0.013. The focus is on demonstration of patterns of brain wave oscillations
reflecting multiple brain functions in multiple ROIs in the presence of the
tinnitus signal (SIT). The patterns of brain activity both high, middle and low
frequencies are hypothesized to reflect connectivities within and between
multiple neuronal networks in brain. The Loreta source localization non auditory
ROI Images at the maximal abnormality in the very narrow band frequency spectra
(24.21 Hz), showed the mathematically most probable underlying sources of the
scalp recorded data to be greatest in the mid-cingulate, bilateral precuneus,
cingulate and the bilateral caudate nucleus. Clinical correlation of the data
with the history and course of the SIT is considered an objective demonstration
of the affect, behavioral, and emotional component of the SIT. The correlation of
the caudate activity, SIT as the traumatic event with the clinical course of
PTSD, and the clinical diagnosis of PTSD is discussed. The clinical translation
for patient care is highlighted in a SIT patient with multiple comorbidities by
translation of QEEG/LORETA electrophysiologic data, as an adjunct to: provide an
objectivity of patterns of brain wave activity in multiple regions of interest
(ROIs) reflecting multiple brain functions, in response to and in the presence of
the tinnitus signal, recorded from the scalp and analyzed with the metrics of
absolute power, relative power, asymmetry, and coherence, for the subjective
tinnitus complaint (SIT); 2) provide an increase in the accuracy of the tinnitus
diagnosis; 3) assess/monitor treatment efficacy; 4) provide a rationale for
selection of a combined tinnitus targeted therapy of behavioral, pharmacologic,
sound therapy modalities of treatment attempting tinnitus relief; 5) provide
insight into the medical significance of the SIT; 6) attempt discriminant
function analysis for identification of a particular diagnostic clinical category
of CNS neuropsychiatric disease; and 7) attempt to translate what is known of the
neuroscience of sensation, brain function, QEEG/LORETA source localization, for
the etiology and prognosis of the individual SIT patient.
PMID- 27186830
TI - The effect of tinnitus on some psychoacoustical abilities in individuals with
normal hearing sensitivity.
AB - INTRODUCTION: Tinnitus is the perception of a sound without an external source.
It can affect auditory perception abilities in individuals with normal hearing
sensitivity. PURPOSE: The aim of the study was to determine the effect of
tinnitus on psychoacoustic abilities in individuals with normal hearing
sensitivity. MATERIALS AND METHODS: The study was conducted on twenty subjects
with tinnitus and twenty subjects without tinnitus. Tinnitus group was again
divided into mild and moderate tinnitus based on the tinnitus handicap inventory.
DATA COLLECTION AND ANALYSIS: Differential limen of intensity, differential limen
of frequency, gap detection test, modulation detection thresholds were done
through the mlp toolbox in Matlab and speech in noise test was done with the help
of Quick SIN in Kannada. RESULTS: RESULTS of the study showed that the clinical
group performed poorly in all the tests except for differential limen of
intensity. CONCLUSIONS: Tinnitus affects aspects of auditory perception like
temporal resolution, speech perception in noise and frequency discrimination in
individuals with normal hearing. This could be due to subtle changes in the
central auditory system which is not reflected in the pure tone audiogram.
PMID- 27186831
TI - Development and standardization of Morningness-Eveningness Questionnaire (MEQ) in
the Indian language Kannada.
AB - INTRODUCTION: A circadian rhythm is any biological process that displays an
endogenous, entrainable, oscillation of about 24 hours; the rhythms driven by a
circadian clock and sleep have been widely observed in plants, animals, fungi and
cyanobacteria. The main aim of the current study was to translate and validate
the Morningness-Eveningness Questionnaire (MEQ) to Kannada (MEQ-K). MATERIALS AND
METHODS: The English version of MEQ was translated to Kannada using translation
back-translation method. Further it was given to 30 native Kannada speakers for
content validation. The final MEQ-Kannada version was then administered on 93
normal native Kannada speakers. Statistical analysis was carried out using SPSS
17.0 software. Statistics such as mean, standard deviation and variance and
reliability statistics such as Cronbach's alpha score as well as item-total
correlation were obtained. RESULTS: RESULTS showed that, MEQ-K has a Cronbach's
alpha score of 0.870 which is considered to be of good reliability. It was also
observed that, even after deletion of any one item from the MEQ-K, the Cronbach's
alpha score remained the same. CONCLUSION: From the current results it can be
stated that, MEQ-K is a reliable tool that can categorize Kannada speaking
population into various circadian types.
PMID- 27186832
TI - The effect of circadian rhythm on the perceived tinnitus severity: A preliminary
study.
AB - INTRODUCTION: Hearing sound that does not originate in the world outside the body
is an experience every human has at one time or another in life. Circadian rhythm
is common for all living organisms and nearly all physiological functions,
especially sleep-wake cycles, exhibit circadian rhythmicity. A short survey
conducted in the study center revealed that majority of the tinnitus subjects
reported a difference in tinnitus severity across the day. So the current study
focused on finding out the influence of circadian rhythm on tinnitus severity.
MATERIALS & METHODS: Study was conducted on 20 tinnitus subjects irrespective of
age, gender, hearing status and type of tinnitus. Kannada version of MEQ was
given to all the subjects to classify them to various circadian types. A visual
analog scale was also given to rate the severity of the tinnitus in the morning
and evening. RESULTS: The result of the current study states that, there was a
significant difference in the tinnitus severity for moderate morning type
individuals in the morning and evening and not for the intermediate group.
CONCLUSION: So we can conclude that, circadian rhythm has a strong association
with the severity of the tinnitus.
PMID- 27186833
TI - Comparative analysis of vocabulary in children with cochlear implants.
AB - INTRODUCTION: The use of tests assessing the speech of patients who underwent
cochlear implant (CI) surgery is warranted to increase knowledge on the
development of these patients' language skills. The ABFW Child Language Test
evaluates vocabulary, phonology, fluency, and pragmatics and can be administered
to these children. OBJECTIVE: To evaluate the vocabulary of children using CI.
METHOD: This study included 16 children who underwent CI surgery between 1-4
years and 11 months old. Patients were divided into five groups according to age
upon CI activation. RESULTS: In comparison with the age of brain's auditory
development vs. chronological age of hearing children, all children using CI
performed better. The comparison between children using unilateral CI and
bilateral CI showed that those using bilateral CI had better results. When we
compared children's performance considering the chronological age of deaf and
hearing children, hearing children performed better. However, there were similar
results when patients effectively used their CI and attended auditory
rehabilitation sessions. CONCLUSION: In our study, the vocabulary of children
using CI is similar to the vocabulary of hearing children.
PMID- 27186834
TI - Diagnostic utility of Stenger test: reappraisal of its value.
AB - OBJECTIVE: Nonorganic hearing loss (NOHL) is a lack of consistency in
audiological testing. Although NOHL is relatively rare, it is important to
identify suspicious patients. This study was designed to evaluate the
effectiveness of Stenger test and acoustic reflexes test (ART) in the evaluation
of patients with suspicious of unilateral NOHL. STUDY DESIGN: A prospective study
Methods: In this study, 474 adult patients with suspicious unilateral profound or
total hearing loss were included. Pure tone audiometry (PTA), speech audiometry,
Stenger test, ART and click-evoked auditory brainstem response (ABR) measurements
were performed. The sensitivity, specificity and predictive values of the Stenger
test and ART for unilateral, profound to total NOHL were assessed by comparing
these with the results of ABR. RESULTS: The sensitivity and specificity of the
Stenger test in verifying unilateral, profound hearing loss were 99% and 57%,
respectively. The positive and negative predictive values of the test were 88%
and 97%, respectively. The sensitivity and specificity of the ART in verifying
unilateral, profound hearing loss were 96% and 60% at 1000 Hz and 98% and 60% at
2000 Hz, respectively. The positive and negative predictive values of the ART
were 50% and 97% at 1000 Hz, and 75% and 97% at 2000 Hz, respectively.
CONCLUSION: The Stenger test and ART are widely used for the evaluation of
unilateral or asymmetrical NOHL. In our opinion, these tests are significantly
powerful. More difficult cases require ABR to verify nonorganic hearing loss and
to exclude specific diagnoses that may imitate NOHL.
PMID- 27186835
TI - Effectiveness of residual inhibition therapy.
AB - INTRODUCTION: Tinnitus is considered as one of the major symptom associated with
many pathologies along with its presence in individuals with normal hearing. With
varied cause and mechanism of its generation and increase in number of
individuals with complaint of tinnitus, rehabilitation becomes crucial for
Audiologists. OBJECTIVE: Study was undertaken to find the efficacy of Residual
Inhibition Therapy as treatment procedure for unilateral tinnitus in individuals
with normal hearing by comparing pre and post Residual Inhibition Therapy, Contra
lateral Acoustic Reflexes and Tinnitus Handicap Inventory. MATERIALS AND METHODS:
Ten subjects between the age range of 20-45 years were included for the study.
Tinnitus pitch and intensity were matched and Residual Inhibition Therapy was
provided. The Pre Residual Inhibition Therapy, contra-lateral acoustic reflexes
and Tinnitus Handicap Inventory scores were compared to post Residual Inhibition
Therapy, contra-lateral acoustic reflexes and Tinnitus Handicap Inventory scores.
RESULTS AND CONCLUSION: Statistical analysis revealed no significant difference,
however, elevated contra-lateral acoustics reflexes post Residual Inhibition
Therapy were seen. Even though small sample size made it hard to conclude on the
effectiveness of Residual Inhibition Therapy as treatment of tinnitus, elevated
contralateral acoustic reflexes post Residual Inhibition Therapy pay way for
further advanced studies on the same.
PMID- 27186836
TI - Prevalence of hearing loss in newborns of mothers who had malaria and were
treated with antimalaric drugs in pregnancy.
AB - INTRODUCTION: Gestational malaria is related to very bad perinatal outcomes and
antimalarial drugs used during pregnancy can be ototoxic to the fetus. OBJECTIVE:
To determine the prevalence of hearing loss in newborns of mothers who had
malaria and treated with antimalarial drugs during pregnancy. MATERIALS AND
METHODS: Cross-sectional study which involved 35 newborns. All underwent neonatal
hearing screening with otoacoustic emissions combined with automatic auditory
brainstem response. Those who failed were referred to audiological diagnosis with
evoked otoacoustic emissions and brainstem auditory evoked potential associated
with tympanometry (1000 Hz). Data were obtained through interviews with the
mothers' and analyzing the patient chart and the newborn, and underwent to
statistical tests analysis of variance, equality of two proportions and Chi
square test (p = 0.05). RESULTS: The infection by Plasmodium vivax and
chloroquine treatment with the first quarter prevailed; 88.6% (n = 31) newborns
passed the hearing screening and 11.4% (n = 4) failed, of these, 50% (n = 2)
attended for diagnosis, being diagnosed with hearing loss. CONCLUSION: The
prevalence of hearing loss in newborns of mothers who had vivax malaria and used
chloroquine during pregnancy was 3%.
PMID- 27186837
TI - Caffeine effect in vestibular system.
AB - INTRODUCTION: Caffeine benefits and harms in health is a very controversial
subject for a scientific research. METHODS: This is a systematic literature using
PubMed database linking caffeine with the following keywords: 'vestibular',
'vertigo', 'vestibular function', 'caloric tests' e 'electronystagmography".
RESULTS: Thirty articles were found and ten were analyzed for fulfill the
inclusion criteria. These ten articles were grouped and then a separated in four
groups, according to the approach. CONCLUSION: There is a need of further
randomized and controlled studies to understand the vestibular system.
PMID- 27186838
TI - Vestibular evoked myogenic potential: its use in Sudden Sensorineural Hearing
Loss.
AB - INTRODUCTION: Sudden sensorineural hearing loss (SHL) has uncertain origin and
evolution. Vestibular evoked myogenic potential (VEMP) estimates of the
vestibular pathway that can not be analyzed by the other entrance examinations,
which can be helpful in diagnosing the extent of hearing damage in these
patients. OBJECTIVES: To investigate the clinical applicability of VEMP in
patients with SHL. METHODS: This is a systematic review. Searches were conducted
in the databases PubMed/Medline, SciELO and LILACS. Data were tabulated. RESULTS:
We found 45 articles, 15 of these made up the study by fitting either the
inclusion factors. The objective of 60% of the studies was to determine whether
the VEMP can be used as predictive hearing recovery Conclusion: VEMP may be
useful as hearing recovery predictor in patients with sudden sensorineural
hearing loss, justifying the implementation of such examination in this
population.
PMID- 27186839
TI - Hyperproduction of gamma-glutamyl transpeptidase from Bacillus licheniformis ER15
in the presence of high salt concentration.
AB - BACKGROUND: Microbial gamma-glutamyl transpeptidases (GGTs) have been exploited
in biotechnological, pharmaceutical, and food sectors for the synthesis of
various gamma-glutamyl compounds. But, till date, no bacterial GGTs are
commercially available in the market because of lower levels of production from
various sources. In the current study, production of GGT from Bacillus
licheniformis ER15 was investigated to achieve high GGT titers. RESULTS:
Hyperproduction of GGT from B. licheniformis ER15 was achieved with 6.4-fold
enhancement (7921.2 +/- 198.7 U/L) by optimization of culture medium following
one-variable-at-a-time strategy and statistical approaches. Medium consisting of
Na2HPO4: 0.32% (w/v); KH2PO4: 0.15% (w/v); starch: 0.1% (w/v); soybean meal: 0.5%
(w/v); NaCl: 4.0% (w/v), and MgCl2: 5 mM was found to be optimal for maximum GGT
titers. Maximum GGT titers were obtained, in the optimized medium at 37 degrees C
and 200 rpm, after 40 h. It was noteworthy that GGT production was a linear
function of sodium chloride concentration, as observed during response surface
methodology. While investigating the role of NaCl on GGT production, it was found
that NaCl drastically decreased subtilisin concentration and indirectly
increasing GGT recovery. CONCLUSION: B. licheniformis ER15 is proved to be a
potential candidate for large-scale production of GGT enzyme and its
commercialization.
PMID- 27186844
TI - Chemical-Bonding-Directed Hierarchical Assembly of Nanoribbon-Shaped
Nanocomposites of Gold Nanorods and Poly(3-hexylthiophene).
AB - Nanoribbon-shaped nanocomposites composed of conjugated polymer poly(3
hexylthiophene) (P3HT) nanoribbons and plasmonic gold nanorods (AuNRs) were
crafted by a co-assembly of thiol-terminated P3HT (P3HT-SH) nanofibers with
dodecanethiol-coated AuNRs (AuNRs-DDT). First, P3HT-SH nanofibers were formed due
to interchain pi-pi stacking. Upon the addition of AuNRs-DDT, P3HT-SH nanofibers
were transformed into nanoribbons decorated with the aligned AuNRs on the surface
(i.e., nanoribbon-like P3HT/AuNRs nanocomposites). Depending on the surface
coverage of the P3HT nanoribbons by AuNRs, these hierarchically assembled
nanocomposites exhibited broadened and red-shifted absorption bands of AuNRs in
nIR region due to the plasmon coupling of adjacent aligned AuNRs and displayed
quenched photoluminescence of P3HT. Such conjugated polymer/plasmonic nanorod
nanocomposites may find applications in fields, such as building blocks for
complex superstructures, optical biosensors, and optoelectronic devices.
PMID- 27186840
TI - Microbial Community Metabolic Modeling: A Community Data-Driven Network
Reconstruction.
AB - Metabolic network modeling of microbial communities provides an in-depth
understanding of community-wide metabolic and regulatory processes. Compared to
single organism analyses, community metabolic network modeling is more complex
because it needs to account for interspecies interactions. To date, most
approaches focus on reconstruction of high-quality individual networks so that,
when combined, they can predict community behaviors as a result of interspecies
interactions. However, this conventional method becomes ineffective for
communities whose members are not well characterized and cannot be experimentally
interrogated in isolation. Here, we tested a new approach that uses community
level data as a critical input for the network reconstruction process. This
method focuses on directly predicting interspecies metabolic interactions in a
community, when axenic information is insufficient. We validated our method
through the case study of a bacterial photoautotroph-heterotroph consortium that
was used to provide data needed for a community-level metabolic network
reconstruction. Resulting simulations provided experimentally validated
predictions of how a photoautotrophic cyanobacterium supports the growth of an
obligate heterotrophic species by providing organic carbon and nitrogen sources.
J. Cell. Physiol. 231: 2339-2345, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27186845
TI - T2* Measurement bias due to concomitant gradient fields.
AB - PURPOSE: To demonstrate that concomitant magnetic fields can cause significant
spatially dependent biases in T2* relaxometry measurements with implications for
clinical applications such as BOLD and dynamic susceptibility contrast-enhanced
MRI. THEORY AND METHODS: After developing a theoretical framework for intravoxel
dephasing and signal loss from concomitant magnetic fields, this framework and
the effect of concomitant fields on T2* are validated with phantom experiments
and numerical simulation. In lower leg and renal T2* mapping, we quantify
measurement bias for imaging protocols with high gradient amplitude multiecho
readouts, comparable to those used in clinical applications. RESULTS: Concordance
between phantom experiment and numerical simulation validate the theoretical
framework. Changes in T2* measured in the lower leg and kidney varied by up to
15% and 35%, respectively, as a result of concomitant gradient effects when
compared with the control measurements. CONCLUSION: Concomitant magnetic fields
produced by imaging gradient coils can cause clinically significant T2* mapping
errors when high amplitude, long duration gradient waveforms are used. While we
have shown that measurement biases can be quite large, modification of imaging
parameters can potentially reduce concomitant field-induced measurement errors to
acceptable levels. Magn Reson Med 77:1562-1572, 2017. (c) 2016 International
Society for Magnetic Resonance in Medicine.
PMID- 27186846
TI - Bone engineering in dog mandible: Coculturing mesenchymal stem cells with
endothelial progenitor cells in a composite scaffold containing vascular
endothelial growth factor.
AB - We sought to assess the effects of coculturing mesenchymal stem cells (MSCs) and
endothelial progenitor cells (EPCs) in the repair of dog mandible bone defects.
The cells were delivered in beta-tricalcium phosphate scaffolds coated with poly
lactic co-glycolic acid microspheres that gradually release vascular endothelial
growth factor (VEGF). The complete scaffold and five partial scaffolds were
implanted in bilateral mandibular body defects in eight beagles. The scaffolds
were examined histologically and morphometrically 8 weeks after implantation.
Histologic staining of the decalcified scaffolds demonstrated that bone formation
was greatest in the VEGF/MSC scaffold (63.42 +/- 1.67), followed by the
VEGF/MSC/EPC (47.8 +/- 1.87) and MSC/EPC (45.21 +/- 1.6) scaffolds, the MSC
scaffold (34.59 +/- 1.49), the VEGF scaffold (20.03 +/- 1.29), and the untreated
scaffold (7.24 +/- 0.08). Hence, the rate of new bone regeneration was highest in
scaffolds containing MSC, either mixed with EPC or incorporating VEGF. Adding
both EPC and VEGF with the MSC was not necessary. (c) 2016 Wiley Periodicals,
Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 1767-1777, 2017.
PMID- 27186847
TI - Disrupted trabecular bone micro-architecture in middle-aged male HIV-infected
treated patients.
AB - OBJECTIVES: HIV-infected individuals are at increased risk of incident fractures.
Evaluation of trabecular bone micro-architecture is an important tool to assess
bone strength, but its use has not yet been reported in middle-aged HIV-infected
male individuals. The aim of the study was to compare bone micro-architecture
between HIV-infected and HIV-uninfected men. METHODS: In this cross-sectional
study, 53 HIV-infected male individuals with a mean (+/- standard deviation) age
of 49 +/- 9 years who had been receiving antiretroviral therapy including
tenofovir disoproxil fumarate (DF) for at least 60 months were compared with 50
HIV-uninfected male controls, matched for age and ethnic origin. We studied the
volumetric bone density and micro-architecture of the radius and tibia using high
resolution peripheral quantitative computed tomography (HR-p QCT). RESULTS:
Volumetric trabecular bone density was 17% lower in the tibia (P < 10(-4) ) and
16% lower in the radius (P < 10(-3) ) in HIV-infected patients compared with
controls. By contrast, the cortical bone density was normal at both sites. The
tibial trabecular micro-architecture differed markedly between patients and
controls: bone volume/total volume (BV/TV) and trabecular number were each 13%
lower (P < 10(-4) for both). Trabecular separation and inhomogeneity of the
network were 18% and 24% higher in HIV-infected patients than in controls,
respectively. The radial BV/TV and trabecular thickness were each 13% lower (P <
10(-3) and 10(-2) , respectively). Cortical thickness was not different between
the two groups. CONCLUSIONS: The findings of lower volumetric trabecular bone
density and disrupted trabecular micro-architectural parameters in middle-aged
male HIV-infected treated patients help to explain bone frailty in these
patients.
PMID- 27186848
TI - Intuition: A Concept Analysis.
AB - PURPOSE: The purpose of this article is to conceptually examine intuition;
identify the importance of intuition in nursing education, clinical practice, and
patient care; encourage acceptance of the use of intuition; and add to the body
of nursing knowledge. BACKGROUND: Nurses often report using intuition when making
clinical decisions. Intuition is a rapid, unconscious process based in global
knowledge that views the patient holistically while synthesizing information to
improve patient outcomes. However, with the advent of evidence-based practice
(EBP), the use of intuition has become undervalued in nursing. REVIEW METHODS:
Walker and Avant's framework was used to analyze intuition. A literature search
from 1987 to 2014 was conducted using the following keywords: intuition,
intuition and nursing, clinical decision making, clinical decision making and
intuition, patient outcomes, EBP, and analytical thinking. RESULTS: The use of
intuition is reported by nurses, but is not legitimized within the nursing
profession. Defining attributes of intuition are an unconscious, holistic
knowledge gathered without using an analytical process and knowledge derived
through synthesis, not analysis. Consequences include verification of intuition
through an analytical process and translating that knowledge into a course of
action. CONCLUSION: This article supports the use of intuition in nursing by
offering clarity to the concept, adds to the nursing knowledge base, encourages a
holistic view of the patient during clinical decision making, and encourages
nurse educators to promote the use of intuition.
PMID- 27186849
TI - Stereotactic radiosurgery for intracranial metastases: linac-based and gamma
dedicated unit approach.
AB - INTRODUCTION: For intracranial metastases, the role of stereotactic radiosurgery
(SRS) or fractionated stereotactic radiotherapy is well recognized. AREAS
COVERED: Historically, the first technology, for stereotactic device able to
irradiate a brain tumor volume, was Gamma Knife(r) (GK). Due to the technological
advancement of linear accelerator (Linac), there was a continuous increasing
interest in SRS Linac-based applications. In those decades, it was assumed a
superiority of GK compared to SRS Linac-based for brain tumor in terms of dose
conformity and rapid fall-off dose close to the target. Expert commentary:
Recently, due to the Linac technologic advancement, the choice of SRS GK-based is
not necessarily so exclusive. The current review discussed in details the
technical and clinical aspects comparing the two approaches for brain metastases.
PMID- 27186850
TI - Demonstration of Trophozoites of G. Lamblia in Ileal Mucosal Biopsy Specimens May
Reveal Giardiasis in Patients With Significantly Inflamed Parasite-free Duodenal
Mucosa.
AB - In the majority of individuals, infestation with trophozoites of Giardia lamblia
(synonymous G. duodenalis or G. intestinalis) leads to a self-limited disease.
Whereas most duodenal biopsies with chronic giardiasis show little or no
inflammatory reaction, some patients may develop a severe disease with
significant mucosal inflammation and various degrees of villous blunting.
Occasionally, the histologic changes may resemble those of celiac disease. In
this paper, we describe 11 patients, 5 of them female, with chronic giardiasis
and demonstrable G. lamblia in ileal biopsies. The median age was 45 years (35 to
62 y), with male patients being at least 10 years younger than female patients.
All of the duodenal biopsies showed at least mild villous blunting (grading:
mild, marked, or total). In the mucosa an increased number of plasma cells and
lymphocytes was observed. Furthermore, varying numbers of granulocytes were found
in the lamina propria and in the epithelial layer. In 1 case only, the number of
intraepithelial lymphocytes was >40/100 epithelial cells thus mirroring the
histologic picture of celiac disease with a flat mucosa (with negative celiac
disease-specific serological findings). Interestingly enough, all mucosal biopsy
specimens from the duodenum were parasite free. Therefore, giardiasis could only
be revealed by the demonstration of trophozoites of G. lamblia in biopsy
specimens from the terminal ileum, which had been taken simultaneously or several
weeks later. In contrast to duodenal biopsies, the ileal mucosa appeared either
normal or only mildly inflamed in this setting. All patients but 1 were
symptomatic, with chronic diarrhea being the leading symptom. Symptoms resolved
after antibiotic therapy. This study demonstrates that giardiasis may be
associated with a significant duodenal pathology in biopsy specimens without
discernible parasites. In the cases described here infestation with G. lamblia
was only proven histologically by examination of mucosal biopsy specimens taken
from the terminal ileum.
PMID- 27186852
TI - Anatomic Invasive Depth Predicts Delayed Cervical Lymph Node Metastasis of Tongue
Squamous Cell Carcinoma.
AB - Delayed cervical lymph node metastasis (CLNM) is the most negative prognostic
factor of tongue squamous cell carcinoma (SCC). This study analyzed the
relationship between clinicopathologic factors, including anatomic invasive depth
(AID), and CLNM. A total of 212 patients with clinically node-negative (cN0)
tongue SCC who had undergone partial glossectomy through the mouth were eligible
for this retrospective study. The deepest portions where tongue SCC cells invaded
as determined by microscopic analyses were classified into 5 categories,
including epithelial and submucosal tissue, lateral extrinsic tongue muscle
(ETM), intrinsic tongue muscles (ITM), paralingual and sublingual spaces, and
medial ETM according to AID. We examined the relationship between
clinicopathologic factors including AID and delayed CLNM. Multivariate analysis
demonstrated that AID was an independent predictive factor for delayed CLNM
(P=0.0022; odds ratio=7.1). Deeper invasion than ITM, including ITM, paralingual
and sublingual spaces, and medial ETM, had high sensitivity and negative
predictive value for delayed CLNM (94.4% and 95.7%, respectively). Precise
elucidation of AID may be useful for the preoperative decision for performing
elective neck dissection. None of 11 patients in whom the deepest portion where
tumor invaded to lateral ETM (according to AID) showed delayed CLNM, although
tongue SCC T4a tumor is defined by the presence of invasion of cancer cells to
ETM. Tumors with invasion to lateral ETM might have to be excluded from the
pathologic T4a category.
PMID- 27186853
TI - Unusual Mismatch Repair Immunohistochemical Patterns in Endometrial Carcinoma.
AB - Universal screening for Lynch syndrome through mismatch repair (MMR)
immunohistochemistry (IHC) on tumor samples has brought to light several
heterogenous MMR staining patterns. At our institution, a prospective study of
universal Lynch syndrome screening using MMR IHC on 125 endometrial cancers (EC)
led to the identification of subclonal loss of MMR protein expression within the
tumor (n=9). We also interrogated the MMR staining patterns in MMR-deficient EC
with concurrent endometrial intraepithelial neoplasia (EIN; n=14) and all mixed
type ECs (n=14) to look for concordant or discordant profiles between the various
components. MLH1 promoter methylation and microsatellite instability testing was
performed on discordant subclones. Abrupt and complete subclonal loss of MMR
expression was identified in 9 cases (7.2%; 7 subclonal MLH1/PMS2 loss, 1
subclonal loss of MLH1 and complete loss of PMS2, and 1 subclonal MSH6 loss). All
subclonal MLH1 losses were associated with epigenetic silencing. In cases with
concomitant EIN (n=14), 7 cases showed concordant MMR IHC between EC and EIN, and
4 cases showed MMR protein loss confined to the EC. The remaining 3 cases
demonstrated subclonal staining in the EIN. In mixed tumors (n=14), subclonal or
total MMR IHC deficiency was confined to endometrioid components. In summary,
discrete subclonal loss of MMR protein expression occurs in up to 7.2% of EC and,
in our experience, only in endometrioid components. Importantly, subclonal MLH1
MMR defects appear to be a biological phenomenon that can be explained by
methylation and somatic events, without evidence of underlying germline
alterations.
PMID- 27186851
TI - Assessing p16 Status of Oropharyngeal Squamous Cell Carcinoma by Combined
Assessment of the Number of Cells Stained and the Confluence of p16 Staining: A
Validation by Clinical Outcomes.
AB - Human papillomavirus-related oropharyngeal squamous cell carcinoma (OPSCC) has
favorable prognosis relative to other head and neck squamous cell carcinomas.
Criteria for predicting human papillomavirus status based upon p16 staining,
including difficult cases with partial staining patterns, have been developed;
however, clinical validation of these criteria and the clinical significance of
partial p16 staining have not been reported. Eighty-one archival OPSCC cases were
initially stained for p16 by immunohistochemistry with clone G175-405. The
percentage of p16 cells and percentage of confluence of p16 cells were
categorized as 25%, 26% to 75%, or >75%. Of all cases, 16 (20%) had partial p16
expression, with 26% to 75% p16 cells. Applying previously developed criteria of
>75% p16 cells or >50% positive cells with >25% confluence, 48 (59%) patients
were categorized p16 and demonstrated expected clinical characteristics and
superior disease-free survival and overall survival (P<0.001) compared with p16
patients. By themselves, the partial staining patients had intermediate outcomes;
however, separating the partial staining cases by degree of confluence showed
that those with >75% confluence had superior disease-free survival (P=0.042).
When the 16 original partial staining cases were re-stained with the alternative
anti-p16 E6H4 clone, p16 status remained concordant for all cases, but only 3 of
the 16 were interpreted as demonstrating partial staining. This report shows that
the prevalence of partial p16 staining varies with the antibody utilized and
clinically validates the application of a graded evaluation of both the number as
well as confluence of positive cells for risk stratification of patients with
OPSCC.
PMID- 27186854
TI - INTERFACING INFANT MENTAL HEALTH KNOWLEDGE SYSTEMS: REFLECTIONS ON THE NARRATIVES
OF LAY HOME VISITORS' EXPERIENCES OF LEARNING AND APPLYING RELATIONAL CONCEPTS OF
DEVELOPMENT IN A SOUTH AFRICAN INTERVENTION PROGRAM.
AB - The question of interfacing research and clinically generated knowledge in the
field of infant mental health (IMH) with local cultural knowledge and belief
systems has provoked extended discussion in recent years. This article explores
convergences and divergences between current research-based, relational IMH
mental health models and "community" knowledge held by a group of South African
lay home visitors from a socioeconomically deprived township. These women were
trained in a psychoanalytic and attachment-informed infant mental health program
that promotes a relational model of infant development. They provide an
intervention that supports high risk mother-infant relationships in the same
locality. A two-tiered approach was taken to the analysis of the home visitor
interviews and focused on the home visitors' constructed narratives of infant
development posttraining as well as the personal impact of the training and work
on the home visitors themselves. The study found that psychoanalytic and
attachment-informed thinking about development makes sense to those operating
within the local South African cultural context, but that the accommodation of
this knowledge is a complex and challenging process.
PMID- 27186855
TI - Subtle Interplay between Localized Magnetic Moments and Itinerant Electrons in
LaAlO3/SrTiO3 Heterostructures.
AB - Clarification of the role of magnetic ordering and scattering in two-dimensional
electron gas has become increasingly important to understand the transport and
magnetic behavior in the LaAlO3 (LAO)/SrTiO3 (STO) heterostructures. In this
work, we report the sheet resistance of the LAO/STO heterostructures as functions
of temperature, magnetic field, and field orientation. An unexpected resistance
minimum was discovered at ~10 K under a sufficiently high in-plane magnetic
field. An anisotropic magnetoresistance (MR) is clearly identified, indicating
the presence of magnetic scattering which may be related to the interaction
between itinerant electrons and localized magnetic moments in the LaAlO3/SrTiO3
heterostructures. It is believed that the high concentration of oxygen vacancies
induced by the ultralow oxygen partial pressure during the deposition process
plays a predominant role in the occurrence of the anisotropic MR.
PMID- 27186857
TI - Factors limiting romantic relationship formation for individuals with early
psychosis.
AB - BACKGROUND: Although many young adults with early psychosis (EP) desire to engage
in a romantic relationship, many report having difficulties in engaging in such a
relationship. However, almost no research has been conducted on factors
potentially explaining impairments in their ability to form romantic
relationships. AIM: To compare an EP single young adult sample with single
students or students in stable romantic relationships on factors that can cause
difficulties in romantic relationship initiation processes METHODS: Cross
sectional study comparing these three groups (n = 83) on self-esteem, attachment,
social functioning and perceived difficulties in dating. RESULTS: No significant
group differences were found on self-esteem, although lack of confidence was the
second most frequent reason evoked by EP participants when asked why they were
single. EP participants had greater attachment preoccupation than students
involved in a relationship. Single EP individuals rated their social interaction
abilities higher compared with single students, but did not engage in social
interactions more often. Both single EP participants and single students had more
negative perceptions of their intimacy abilities and fewer intimacy behaviours
compared with participants involved in a relationship. CONCLUSIONS: Potential
interventions to improve EP young adult's capacity to engage in romantic
relationships could target perception of their interaction skills, preoccupation
about being loved, negative perception of their intimacy abilities, frequency of
intimacy related behaviours and lack of confidence.
PMID- 27186856
TI - Visible-Light-Induced Olefin Activation Using 3D Aromatic Boron-Rich Cluster
Photooxidants.
AB - We report a discovery that perfunctionalized icosahedral dodecaborate clusters of
the type B12(OCH2Ar)12 (Ar = Ph or C6F5) can undergo photo-excitation with
visible light, leading to a new class of metal-free photooxidants. Excitation in
these species occurs as a result of the charge transfer between low-lying
orbitals located on the benzyl substituents and an unoccupied orbital delocalized
throughout the boron cluster core. Here we show how these species, photo-excited
with a benchtop blue LED source, can exhibit excited-state reduction potentials
as high as 3 V and can participate in electron-transfer processes with a broad
range of styrene monomers, initiating their polymerization. Initiation is
observed in cases of both electron-rich and electron-deficient styrene monomers
at cluster loadings as low as 0.005 mol%. Furthermore, photo-excitation of
B12(OCH2C6F5)12 in the presence of a less activated olefin such as isobutylene
results in the production of highly branched poly(isobutylene). This work
introduces a new class of air-stable, metal-free photo-redox reagents capable of
mediating chemical transformations.
PMID- 27186858
TI - Association Between HbA1c Variability and Risk of Microvascular Complications in
Adolescents With Type 1 Diabetes.
AB - CONTEXT: There is a paucity of data regarding the association between
glycosylated hemoglobin (HbA1c) variability and risk of microvascular
complications in adolescents with type 1 diabetes (T1D). OBJECTIVE: To
investigate the association between HbA1c variability and risk of microvascular
complications in adolescents with T1D. DESIGN: Prospective cohort study from 1990
to 2014 (median follow-up, 8.1 y). SETTING: Tertiary pediatric hospital.
PARTICIPANTS: A total of 1706 adolescents (aged 12-20 minimum diabetes duration 5
y) with median age of 15.9 years (interquartile range, 14.3-17.5) and diabetes
duration of 8.1 years (6.3-10.8). MAIN OUTCOME MEASURES: Glycemic variability was
computed as the SD of all HbA1c measurements (SD-HbA1c) after diagnosis.
Retinopathy was detected using 7-field fundal photography, renal function
assessed using albumin excretion rate, peripheral neuropathy detected using
thermal and vibration threshold testing, and cardiac autonomic neuropathy (CAN)
detected using time- and frequency-domain analyses of electrocardiogram
recordings. Generalized estimating equations were used to examine the
relationship between complications outcomes and HbA1c variability, after
adjusting for known risk factors, including HbA1c, diabetes duration, blood
pressure, and lipids. RESULTS: In multivariable analysis, SD-HbA1c was associated
with early retinopathy (odds ratio [OR] 1.32; 95% confidence interval, 1.00
1.73), albuminuria (OR 1.81; 1.04-3.14), increased log10 albumin excretion rate
(OR 1.10; 1.05-1.15) and CAN (OR 2.28; 1.23-4.21) but not peripheral neuropathy.
CONCLUSIONS: Greater HbA1c variability predicts retinopathy, early nephropathy,
and CAN, in addition to established risk factors, in adolescents with T1D.
Minimizing long term fluctuations in glycemia may provide additional protection
against the development of microvascular complications.
PMID- 27186860
TI - Risk Stratification of Neck Lesions Detected Sonographically During the Follow-Up
of Differentiated Thyroid Cancer.
AB - CONTEXT: The European Thyroid Association (ETA) has classified posttreatment
cervical ultrasound findings in thyroid cancer patients based on their
association with disease persistence/recurrence. OBJECTIVE: The objective of the
study was to assess this classification's ability to predict the growth and
persistence of such lesions during active posttreatment surveillance of patients
with differentiated thyroid cancer (DTC). DESIGN: This was a retrospective,
observational study. SETTING: The study was conducted at a thyroid cancer center
in a large Italian teaching hospital. PATIENTS: Center referrals (2005-2014) were
reviewed and patients selected with pathologically-confirmed DTC; total
thyroidectomy, with or without neck dissection and/or radioiodine remnant
ablation; abnormal findings on two or more consecutive posttreatment neck
sonograms; and subsequent follow-up consisting of active surveillance. Baseline
ultrasound abnormalities (thyroid bed masses, lymph nodes) were classified
according to the ETA system. Patients were divided into group S (those with one
or more lesions classified as suspicious) and group I (indeterminate lesions
only). We recorded baseline and follow-up clinical data through June 30, 2015.
MAIN OUTCOMES: The main outcomes were patients with growth (>3 mm, largest
diameter) of one or more lesions during follow-up and patients with one or more
persistent lesions at the final visit. RESULTS: The cohort included 58 of the 637
DTC cases screened (9%). A total of 113 lesions were followed up (18 thyroid bed
masses, 95 lymph nodes). During surveillance (median 3.7 y), group I had
significantly lower rates than group S of lesion growth (8% vs 36%, P = .01) and
persistence (64% vs 97%, P = .014). The median time to scan normalization was 2.9
years. CONCLUSIONS: The ETA's evidence-based classification of sonographically
detected neck abnormalities can help identify papillary thyroid cancer patients
eligible for more relaxed follow-up.
PMID- 27186861
TI - Epidemiology and Health-Related Quality of Life in Hypoparathyroidism in Norway.
AB - OBJECTIVE: The epidemiology of hypoparathyroidism (HP) is largely unknown. We
aimed to determine prevalence, etiologies, health related quality of life (HRQOL)
and treatment pattern of HP. METHODS: Patients with HP and 22q11 deletion
syndrome (DiGeorge syndrome) were identified in electronic hospital registries.
All identified patients were invited to participate in a survey. Among patients
who responded, HRQOL was determined by Short Form 36 and Hospital Anxiety and
Depression scale. Autoantibodies were measured and candidate genes (CaSR, AIRE,
GATA3, and 22q11-deletion) were sequenced for classification of etiology.
RESULTS: We identified 522 patients (511 alive) and estimated overall prevalence
at 102 per million divided among postsurgical HP (64 per million), nonsurgical HP
(30 per million), and pseudo-HP (8 per million). Nonsurgical HP comprised
autosomal dominant hypocalcemia (21%), autoimmune polyendocrine syndrome type 1
(17%), DiGeorge/22q11 deletion syndrome (15%), idiopathic HP (44%), and others
(4%). Among the 283 respondents (median age, 53 years [range, 9-89], 75%
females), seven formerly classified as idiopathic were reclassified after genetic
and immunological analyses, whereas 26 (37% of nonsurgical HP) remained
idiopathic. Most were treated with vitamin D (94%) and calcium (70%), and 10
received PTH. HP patients scored significantly worse than the normative
population on Short Form 36 and Hospital Anxiety and Depression scale; patients
with postsurgical scored worse than those with nonsurgical HP and pseudo-HP,
especially on physical health. CONCLUSIONS: We found higher prevalence of
nonsurgical HP in Norway than reported elsewhere. Genetic testing and
autoimmunity screening of idiopathic HP identified a specific cause in 21%.
Further research is necessary to unravel the causes of idiopathic HP and to
improve the reduced HRQOL reported by HP patients.
PMID- 27186863
TI - Reply.
PMID- 27186859
TI - Vitamin D Status Relates to Reproductive Outcome in Women With Polycystic Ovary
Syndrome: Secondary Analysis of a Multicenter Randomized Controlled Trial.
AB - CONTEXT: Experimental evidence supports a relevance of vitamin D (VitD) for
reproduction; however, data in humans are sparse and inconsistent. OBJECTIVE: To
assess the relationship of VitD status with ovulation induction (OI) outcomes in
women with polycystic ovary syndrome (PCOS). DESIGN: A retrospective cohort.
SETTING: Secondary analysis of randomized controlled trial data. PARTICIPANTS:
Participants in the Pregnancy in PCOS I (PPCOS I) randomized controlled trial (n
= 540) met the National Institutes of Health diagnostic criteria for PCOS.
INTERVENTIONS: Serum 25OHD levels were measured in stored sera. MAIN OUTCOME
MEASURES: Primary, live birth (LB); secondary, ovulation and pregnancy loss after
OI. RESULTS: Likelihood for LB was reduced by 44% for women if the 25OHD level
was < 30 ng/mL (<75 nmol/L; odds ratio [OR], 0.58 [0.35-0.92]). Progressive
improvement in the odds for LB was noted at thresholds of >=38 ng/mL (>=95
nmol/L; OR, 1.42 [1.08-1.8]), >=40 ng/mL (>=100 nmol/L; OR, 1.51 [1.05-2.17]),
and >=45 ng/mL (>=112.5 nmol/L; OR, 4.46 [1.27-15.72]). On adjusted analyses,
VitD status was an independent predictor of LB and ovulation after OI.
CONCLUSIONS: In women with PCOS, serum 25OHD was an independent predictor of
measures of reproductive success after OI. Our data identify reproductive
thresholds for serum 25OHD that are higher than recommended for the nonpregnant
population.
PMID- 27186862
TI - Microcystin-LR induces mitotic spindle assembly disorders in Vicia faba by
protein phosphatase inhibition and not reactive oxygen species induction.
AB - We aimed to reveal the mechanisms of mitotic spindle anomalies induced by
microcystin-LR (MCY-LR), a cyanobacterial toxin in Vicia faba, a well-known model
in plant cell and molecular biology. MCY-LR inhibits type 1 and 2A
phosphoserine/threonine specific protein phosphatases (PP1 and PP2A) and induces
reactive oxygen species (ROS) formation. The cytoskeleton is one of the main
targets of the cyanotoxin during cytopathogenesis. Histochemical
immunohistochemical and biochemical methods were used. A significant number of
MCY-LR induced spindle alterations are described for the first time. Disrupted,
multipolar spindles and missing kinetochore fibers were detected both in
metaphase and anaphase cells. Additional polar microtubule (MT) bundles,
hyperbundling of spindle MTs, monopolar spindles, C-S- shaped, additional and
asymmetric spindles were detected in metaphase, while midplane kinetochore fibers
were detected in anaphase cells only. Several spindle anomalies induced mitotic
disorders, i.e. they occurred concomitantly with altered sister chromatid
separation. Alterations were dependent on the MCY-LR dose and exposure time.
Under long-term (2 and mainly 6 days') exposure they were detected in the
concentration range of 0.1-20MUgmL(-1) MCY-LR that inhibited PP1 and PP2A
significantly without significant ROS induction. Elevated peroxidase/catalase
activities indicated that MCY-LR treated V. faba plants showed efficient defense
against oxidative stress. Thus, although the elevation of ROS is known to induce
cytoskeletal aberrations in general, this study shows that long-term protein
phosphatase inhibition is the primary cause of MCY-LR induced spindle disorders.
PMID- 27186864
TI - Dynamics of the Chiral Liquid Crystal 4'-Butyl-4-(S)-(2-methylbutoxy)azoxybenzene
in the Isotropic, Cholesteric, and Solid Phases: A Fast Field-Cycling NMR
Relaxometry Study.
AB - (1)H NMR relaxometry was applied to investigate dynamic processes in the
isotropic liquid, cholesteric, and crystalline phases of the chiral mesogen 4'
butyl-4-(S)-(2-methylbutoxy)azoxybenzene (4ABO5*). To this aim, (1)H longitudinal
relaxation rates were measured as a function of temperature (between 257 and 319
K) and Larmor frequency (from 10 kHz to 35 MHz by a fast field-cycling
relaxometer and at 400 MHz by an NMR spectrometer). The NMR relaxation dispersion
(NMRD) curves so obtained were analyzed in terms of models suitable for the
description of dynamic processes in the different phases, thus quantitatively
determining values of characteristic motional parameters. In particular, internal
and overall rotations/reorientations, molecular translational diffusion, and
collective motions contribute to relaxation in the isotropic and cholesteric
phases, whereas, in the crystalline phase, relaxation is mainly determined by
internal motions and molecular reorientations. The results were discussed and
compared with those previously obtained on the same compound by dielectric
relaxation spectroscopy.
PMID- 27186865
TI - Quantifying the changes in survival inequality for Indigenous people diagnosed
with cancer in Queensland, Australia.
AB - The survival inequality faced by Indigenous Australians after a cancer diagnosis
is well documented; what is less understood is whether this inequality has
changed over time and what this means in terms of the impact a cancer diagnosis
has on Indigenous people. Survival information for all patients identified as
either Indigenous (n=3168) or non-Indigenous (n=211,615) and diagnosed in
Queensland between 1997 and 2012 were obtained from the Queensland Cancer
Registry, with mortality followed up to 31st December, 2013. Flexible parametric
survival models were used to quantify changes in the cause-specific survival
inequalities and the number of lives that might be saved if these inequalities
were removed. Among Indigenous cancer patients, the 5-year cause-specific
survival (adjusted by age, sex and broad cancer type) increased from 52.9% in
1997-2006 to 58.6% in 2007-2012, while it improved from 61.0% to 64.9% among non
Indigenous patients. This meant that the adjusted 5-year comparative survival
ratio (Indigenous: non-Indigenous) increased from 0.87 [0.83-0.88] to 0.89 [0.87
0.93], with similar improvements in the 1-year comparative survival. Using a
simulated cohort corresponding to the number and age-distribution of Indigenous
people diagnosed with cancer in Queensland each year (n=300), based on the 1997
2006 cohort mortality rates, 35 of the 170 deaths due to cancer (21%) expected
within five years of diagnosis were due to the Indigenous: non-Indigenous
survival inequality. This percentage was similar when applying 2007-2012 cohort
mortality rates (19%; 27 out of 140 deaths). Indigenous people diagnosed with
cancer still face a poorer survival outlook than their non-Indigenous
counterparts, particularly in the first year after diagnosis. The improving
survival outcomes among both Indigenous and non-Indigenous cancer patients, and
the decreasing absolute impact of the Indigenous survival disadvantage, should
provide increased motivation to continue and enhance current strategies to
further reduce the impact of the survival inequalities faced by Indigenous people
diagnosed with cancer.
PMID- 27186867
TI - Diagnostic Yield of Triple-Rule-Out CT in an Emergency Setting.
AB - OBJECTIVE: The objective of the present study is to quantify the diagnostic yield
of triple-rule-out (TRO) CT for the evaluation of acute chest pain in emergency
department patients. MATERIALS AND METHODS: All TRO CT studies performed at our
institution from 2006 to 2015 were reviewed. Scans were performed on a 256-MDCT
scanner, with the use of ECG gating and a biphasic contrast injection. Radiology
reports were reviewed to identify diagnoses that could explain chest pain,
including coronary and noncoronary diagnoses, and significant incidental findings
that did not account for the patient's presentation. The total numbers of
coronary and noncoronary diagnoses and incidental findings were calculated.
RESULTS: Four of 1196 total cases that were identified were excluded from the
study because of inadequate image quality. A total of 970 patients (81.4%) had a
negative study result without a significant coronary or noncoronary diagnosis. A
total of 139 patients (11.7%) had significant coronary artery disease (50%
stenosis or greater). One hundred six patients (8.9%) had a noncoronary diagnosis
that could explain chest pain (p < 0.02), most commonly pulmonary embolism (28
patients [2.3%]), aortic aneurysm (24 patients [2.0%]), or pneumonia (20 patients
[1.7%]). Thirty cases (27.3%) of pulmonary embolism and aortic pathologic
findings would not have been detected with coronary CT angiography because of
unopacified right-side circulation or limited z-axis coverage. A total of 528
incidental findings not considered to explain chest pain were noted in 418
patients (35.1%). CONCLUSION: In 8.9% of patients, TRO CT detected a significant
noncoronary diagnosis that could explain acute chest pain, including pathologic
findings that would not be identified on dedicated coronary CT angiography.
PMID- 27186866
TI - Can fetal left ventricular modified myocardial performance index predict adverse
perinatal outcomes in intrahepatic cholestasis of pregnancy?
AB - OBJECTIVE: To investigate fetal left ventricular function using the left
ventricular modified myocardial performance index (mod-MPI) and E wave/A wave
peak velocity (E/A) ratio, and to explore the success of mod-MPI in the
prediction of adverse perinatal outcomes in intrahepatic cholestasis of pregnancy
(ICP). METHODS: Forty-one ICP cases were compared with 41 gestational age-matched
healthy controls. Opening and closing clicks of the mitral and aortic valves were
used to define the three time periods [ejection time (ET), isovolumetric
contraction time (ICT) and isovolumetric relaxation time (IRT)], which were
employed in the calculation of mod-MPI [mod-MPI = (ICT + IRT)/ET]. The E/A ratio
was calculated as well. RESULTS: Fetal left ventricular mod-MPI values were
significantly higher in the ICP group compared to controls (0.56 +/- 0.09 versus
0.37 +/- 0.04, p < 0.001), whereas the E/A ratio was lower (0.62 +/- 0.11 versus
0.69 +/- 0.10, p = 0.011). The optimal cutoff level for mod-MPI in prediction of
adverse perinatal outcomes was >0.48 [sensitivity: 81.8%, specificity: 67.6%,
area under the curve (AUC): 0.750, 95% CI: 0.613-0.887, p = 0.008]. CONCLUSIONS:
Fetuses of ICP cases have significant left ventricular dysfunction. Mod-MPI can
be used in the prediction of adverse perinatal outcomes in ICP.
PMID- 27186868
TI - In clinical care, volume matters.
PMID- 27186869
TI - Surgical Management of Giant Cell Tumors in Temporomandibular Joint Region
Involving Lateral Skull Base: A Multidisciplinary Approach.
AB - PURPOSE: Giant cell tumors (GCTs) in the temporomandibular joint (TMJ) region
invading the lateral skull base are relatively uncommon. The management of these
lesions is still controversial because of their proximity to vital neurovascular
structures. Although sporadically reported, the clinical outcomes of such disease
after surgery are still largely unknown. MATERIALS AND METHODS: We
retrospectively reviewed the records of 28 patients with resectable GCTs in the
TMJ region involving the lateral skull base treated from 1994 to 2013. A
multidisciplinary team, formed by oral and maxillofacial surgeons, neurosurgeons,
and otorhinolaryngologists, had surgically treated all these patients by
craniomaxillofacial resection. Clinical variables, different treatment
modalities, and outcomes are compared. Representative cases also are presented.
RESULTS: Our case series consisted of 15 male and 13 female patients with a
median age of 41 years. The median follow-up duration for our series was 5.4
years (range, 0.8-18.5 years). The average tumor size measured 8.6 cm. Most of
the GCTs (n = 19, 67.9%) extended through the skull base bones into the brain
parenchyma and other surrounding soft tissues. Titanium meshes for cranioplasty
of skull base bones was used in 9 patients (32.1%), whereas temporalis fascia (n
= 5, 17.9%) or free flaps (n = 6, 21.4%) were used more frequently for
duraplasty. A postoperative cerebrospinal fluid leak was found in only 1 patient.
During follow-up, the local control rate reached 85.7%. Thoroughness of tumor
resection (hazard ratio, 15.763; 95% confidence interval, 1.630-152.437; P =
.017) was found to be associated with recurrence-free survival. CONCLUSIONS:
Craniomaxillofacial surgery for GCTs in the TMJ region invading the skull base is
feasible in selected patients. A meticulous plan via a multidisciplinary approach
is mandatory for the success of such treatment.
PMID- 27186870
TI - Is Alloplastic Temporomandibular Joint Reconstruction a Viable Option in the
Surgical Management of Adult Patients With Idiopathic Condylar Resorption?
AB - PURPOSE: Idiopathic condylar resorption (ICR) presents diagnostic and therapeutic
challenges to practitioners because of the rarity of the condition, progressive
deformity, and simultaneous involvement of skeletal, occlusal, and articular
disorders. The objective of this study was to report clinical outcomes after
prosthetic replacement of the temporomandibular joint (TMJ) for the management of
ICR. PATIENTS AND METHODS: A retrospective analysis of patients with ICR managed
by bilateral total TMJ replacement and concomitant mandibular advancement with or
without maxillary surgery was performed using data gathered from medical records.
The primary treatment outcomes of interest were 1) correction of anterior open
bite malocclusion, 2) mandibular advancement, and 3) increase in posterior facial
height. Secondary outcomes included subjective assessment of pain, dietary
restrictions, and functional disability and objective evaluations of TMJ sounds,
occlusal relation, mandibular range of motion, cranial nerve VII injury, and
objectionable scarring. Radiographs were used to measure surgical change and
relapse. RESULTS: Twenty-one patients met the inclusion criteria for this
retrospective study. The average patient age was 25.6 years (range, 22 to 32 yr)
and mean follow-up was 6.2 years (range, 5 to 12 yr). Mean mandibular advancement
at the B point was 24.3 mm and mean change in occlusal plane was -10.2 degrees .
Sixteen patients (76%) underwent maxillary orthognathic surgery for posterior
downgrafting with rigid fixation and grafting. Long-term follow-up showed
excellent stability of surgical movements with a decrease in TMJ and myofascial
pain, headaches, and dietary restrictions. CONCLUSIONS: Patients with ICR can be
effectively treated using total TMJ prostheses with maxillary orthognathic
surgery when indicated for the correction of an associated dentofacial deformity.
Use of alloplastic joint prostheses allows for the execution of large mandibular
advancements in a predictable and accurate manner with a meaningful decrease in
symptoms of TMJ dysfunction.
PMID- 27186871
TI - Piezotome Rhinoplasty Reduces Postsurgical Morbidity and Enhances Patient
Satisfaction: A Multidisciplinary Clinical Study.
AB - PURPOSE: Experimental and clinical studies in various fields of oral and
maxillofacial surgery suggest the use of piezotomes to reduce postsurgical
morbidity and enhance healing. The aim of the study was to investigate if
rhinoplasty surgery with piezotomes might decrease postsurgical morbidity and
increase overall patient satisfaction when compared with rhinoplasty with
traditional instruments and protocols. MATERIALS AND METHODS: In this prospective
clinical study, patients in a cosmetic surgery department, ear-nose-throat (ENT)
department, and the department for maxillofacial surgery, scheduled for cosmetic
reductive rhinoplasty, underwent rhinoplasty with traditional instruments or an
ultrasonic surgical device called a "piezotome." Before rhinoplasty, all patients
were evaluated by the Rhinoplasty Outcome Evaluation (ROE) questionnaire. Staging
for ecchymosis/edema and for pain was performed on the seventh day after surgery,
and at 6 months after surgery, patients were again evaluated by the ROE score.
Female and male patients aged between 24 and 57 years were included; patients had
to be free of functional impairments of nasal breathing. Data were anonymized and
evaluated with SPSS software (version 22.0; IBM, Armonk, NY) (1-way analysis of
variance, Games-Howell post hoc test for primary and secondary outcome
evaluation, Levene test of equal variances, t test, and Pearson correlation of
primary and secondary outcome evaluation). RESULTS: Eighty-four patients were
fully documented by ecchymosis/edema staging, pain staging, and ROE; of these, 51
women and 12 men underwent cosmetic rhinoplasty in a department of plastic and
reconstructive surgery; an ENT department; or a department for maxillofacial
surgery. A piezotome rhinoplasty was performed in 16 female and 5 male patients.
Statistical evaluation verified that there were no significant differences
between the three surgical disciplines when a rhinoplasty was performed with
traditional instruments (P > .7), but showed that the use of ultrasonic surgical
instruments significantly improved immediate postsurgical morbidity in terms of
ecchymosis/edema and pain (P < .05), as well as ROE score after 6 months (P <
.05). Correlation of ecchymosis/edema and pain with ROE score showed a
significant difference (P <= .01) in favor of the piezotome. CONCLUSIONS: The use
of ultrasonic surgical devices in reductive rhinoplasty decreases postsurgical
morbidity and increases overall patient satisfaction significantly. The results
of this study suggest piezotomes to be the surgical instrument of choice not only
for oral surgical procedures, but also for cosmetic surgery on facial bones.
PMID- 27186872
TI - Report of 2 Cases of Oropharyngeal Injury With the Use of Video Laryngoscopy
Techniques.
AB - Although the trend toward video laryngoscopy and away from direct laryngoscopy
might be associated with a greater likelihood of successful intubation,
appropriate training is necessary to prevent injuries. This report describes 2
instances of operator error that resulted in penetrating injury to the soft
palate and presents a review of the literature.
PMID- 27186873
TI - Postoperative Bleeding Following Dental Extractions in Patients Anticoagulated
With Warfarin.
AB - PURPOSE: The practicing dentist must frequently advise on the risks involved with
dental extractions in the patient taking an anticoagulant. This study assessed
the risk of bleeding in a large heterogeneous cohort of patients on warfarin
treated by practitioners in training (dental students and junior staff in a
teaching hospital). MATERIALS AND METHODS: This was a retrospective case-and
control study of 439 patients on warfarin (1,022 extractions) and 439 matched
controls (1,049 extractions). Patients with an international normalized ratio
(INR) lower than 2.2 had no specific measures, those with an INR 2.2 to 4
received suturing and tranexamic acid mouthwash, and those with an INR higher
than 4 did not undergo extraction. Bayesian methods were used to estimate
posterior probabilities of bleeding. RESULTS: Of cases, 63% were men, 25% were
older than 80 years, 40% had an INR lower than 2.2, and 9% had an INR higher than
3. Nine cases bled 0 to 10 days postoperatively, with 1 requiring admission and
transfusion. Significant predictors of bleeding were INR and number of
extractions (P < .001 for the 2 comparisons). There were no events of bleeding in
controls or cases with an INR lower than 2.2 (95% credible interval [CrI] for
difference, -0.7 to 1.6). The posterior mean of bleeding was 1% (CrI, 0.1-2.6)
for an INR lower than 2.2, 2.3% (CrI, 0.9-4.5) for an INR of 2.2 to 3, and 8.4%
(CrI, 3.5-15) for an INR higher than 3. CONCLUSION: Unselected patients taking an
anticoagulant with an INR lower than 2.2 had a similar risk of bleeding as
control patients. The risk was approximately 1 in 40 in those with an INR of 2.2
to 3, whereas the risk in patients with an INR higher than 3 was approximately 1
in 11.
PMID- 27186874
TI - How Many Temporomandibular Joint Total Joint Alloplastic Implants Will Be Placed
in the United States in 2030?
AB - PURPOSE: The purpose of this study is to provide a statistical projection of the
number of alloplastic temporomandibular joint (TMJ) total joint replacements
(TJRs) that will be performed in the United States through the year 2030.
MATERIALS AND METHODS: The program directors of all 101 Commission on Dental
Accreditation-accredited oral and maxillofacial surgery training programs in the
United States were surveyed online by use of a questionnaire developed using
Redcap (Chicago, IL) over a 6-week period (February 2015-March 2015). The
questionnaire included 19 questions related to each program's TMJ disorder and
TMJ TJR curricula, as well as clinical experience. In addition, members of the
American Society of Temporomandibular Joint Surgeons were surveyed online using
Redcap and via direct survey forms. Moreover, requests for the total number of
TMJ TJR devices produced and implanted during the same period were made to the 3
manufacturers of Food and Drug Administration-approved TMJ TJR devices in the
United States. RESULTS: The response rate among program directors was 52.5%, and
the total number of TMJ TJR devices implanted in oral and maxillofacial surgery
programs in 2005 was 412. This total increased by 38% to 572 in 2014.
Statistically, this projects an increase of 58% over the next 16 years to 902 TMJ
TJR operations by 2030 (95% prediction limits, 768 and 1,037). The total number
of TMJ TJR devices distributed by one manufacturer increased from 430 in the year
2000 to 1,004 in 2014 (133%). By use of these data, statistically over the next
16 years, the number of TMJ TJR devices distributed by this company is projected
to be 1,658 (95% prediction limits, 1,380 and 1,935). CONCLUSIONS: The data
presented in this study show an increasing demand for the use of TMJ TJR devices
in the management of end-stage TMJ disorders to the year 2030.
PMID- 27186875
TI - Implementation of a Zebrafish Health Program in a Research Facility: A 4-Year
Retrospective Study.
AB - In the past two decades, zebrafish (Danio rerio)-based research has contributed
to significant scientific advances. Still, husbandry and health programs did not
evolve at the same pace, as evidenced by the absence of general guidelines.
Health monitoring is essential to animal welfare, to permit animal exchanges
across facilities, to contribute to robust experimental results, and for data
reproducibility. In this study, we report a health program implemented in a
zebrafish research facility to prevent, monitor, and control pathogen, and
disease dissemination. This program includes quarantine, routine health screening
of sentinels, and nonroutine screenings of retired animals and sick/moribund
individuals. An extensive list of clinical signs, lesions, and pathogens was
monitored based on: daily observation of fish, necropsy, histology, and bacterial
culture. The results indicate that the combined analysis of sentinels with the
evaluation of sick/moribund animals enables a comprehensive description not only
of pathogen prevalence but also of clinical and histopathologic lesions of
resident animals. The establishment of a quarantine program revealed to be
effective in the reduction of Pseudoloma neurophilia frequency in the main
aquaria room. Finally, characterization of the colony health status based on this
multiapproach program shows a low prevalence of lesions and pathogens in the
facility.
PMID- 27186876
TI - Ethanol and phenanthrene increase the biomass of fungal assemblages and decrease
plant litter decomposition in streams.
AB - Fungi, particularly aquatic hyphomycetes, have been recognized as playing a
dominant role in microbial decomposition of plant litter in streams. In this
study, we used a microcosm experiment with different levels of fungal diversity
(species number and identity) using monocultures and combinations with up to five
aquatic hyphomycete species (Articulospora tetracladia, Tricladium splendens,
Heliscus submersus, Tetrachaetum elegans and Flagellospora curta) to assess the
effects of ethanol and phenanthrene on three functional measures: plant litter
decomposition, fungal biomass accrual and reproduction. Alder leaves were
conditioned by fungi for 7days and then were exposed to phenanthrene (1mgL(-1))
dissolved in ethanol (0.1% final concentration) or ethanol (at the concentration
used to solubilise phenanthrene) for further 24days. Exposure to ethanol alone or
in combination with phenanthrene decreased leaf decomposition and fungal
reproduction, but increased fungal biomass produced. All aspects of fungal
activity varied with species number. Fungal activity in polycultures was
generally higher than that expected from the sum of the weighted performances of
participating species in monoculture, suggesting complementarity between species.
However, the activity of fungi in polycultures did not exceed the activity of the
most productive species either in the absence or presence of ethanol alone or
with phenanthrene.
PMID- 27186877
TI - Phthalates in dormitory and house dust of northern Chinese cities: Occurrence,
human exposure, and risk assessment.
AB - Phthalates are widely used chemicals in household products, which severely affect
human health. However, there were limited studies emphasized on young adults'
exposure to phthalates in dormitories. In this study, seven phthalates were
extracted from indoor dust that collected in university dormitories in Harbin,
Shenyang, and Baoding, in the north of China. Dust samples were also collected in
houses in Harbin for comparison. The total concentrations of phthalates in
dormitory dust in Harbin and Shenyang samples were significantly higher than
those in Baoding samples. The total geometric mean concentration of phthalates in
dormitory dust in Harbin was lower than in house dust. Di-(2-ethylhexyl)
phthalate (DEHP) was the most abundant phthalate in both dormitory and house
dust. The daily intakes of the total phthalates, carcinogenic risk (CR) of DEHP,
hazard index (HI) of di-isobutyl phthalate (DiBP), dibutyl phthalate (DBP), and
DEHP were estimated, the median values for all students in dormitories were lower
than adults who live in the houses. Monte Carlo simulation was applied to predict
the human exposure risk of phthalates. HI of DiBP, DBP, and DEHP was predicted
according to the reference doses (RfD) provided by the United States
Environmental Protection Agency (U.S.EPA) and the reference doses for anti
androgenicity (RfD AA) developed by Kortenkamp and Faust. The results indicated
that the risks of some students had exceeded the limitation, however, the
measured results were not exceeded the limitation. Risk quotients (RQ) of DEHP
were predicted based on China specific No Significant Risk Level (NSRL) and
Maximum Allowable Dose Level (MADL). The predicted results of CR and RQ of DEHP
suggested that DEHP could pose a health risk through intake of indoor dust.
PMID- 27186879
TI - Corrigendum.
PMID- 27186880
TI - Translation, Validation and Cross-Cultural Adaptation of a Simplified-Chinese
Version of the Tegner Activity Score in Chinese Patients with Anterior Cruciate
Ligament Injury.
AB - AIMS: To translate the English version of Tegner Activity Score into a Simplified
Chinese version (Tegner-C) and evaluate its psychometric properties. METHODS:
Tegner-C was cross-culturally adapted according to established guidelines. The
validity and reliability of Tegner-C were assessed in 78 participants, with 19-20
participants in each of the four groups: before anterior cruciate ligament
reconstruction (pre-ACLR) group, 2-3 months after ACLR group, 3-12 months after
ACLR group, and healthy control group. Each participant was asked to complete the
Tegner-C and Chinese version of International Knee Documentation Committee
Subjective Knee Form (IKDC-SKF-C) twice, with an interval of 5+/-2 days. Intra
class correlation coefficient (ICC2, 1) was used to assess the reliability and
Spearman's rank correlation was used for construct validity. RESULTS: The ICC2,1
was higher than 0.90 for all groups except in the pre-ACLR group, for which the
ICC2,1 was 0.71 (0.41, 0.87) (All with p<0.001). The absolute reliability as
evaluated by the smallest detectable change was 0.43, 2.12, 0.89, and 0.44 for
the healthy control group, pre-ACLR group, 2-3 months after ACLR group, and 3-12
months after ACLR group, respectively. Neither a ceiling effect nor a floor
effect was observed for any group. Significant difference was observed for both
Tegner-C and IKDC-SKF-C scores between the control and the other three groups
(all with p<0.001), and between pre-ACLR and the 2-3 months after ACLR group
(p<0.001). CONCLUSIONS: Tegner-C demonstrated comparable psychometric properties
to the original English version and thus is reliable and valid for Chinese
speaking patients with ACL injury.
PMID- 27186881
TI - Magnetic Resonance Imaging-Guided High-Intensity Focused Ultrasound Ablation of
Uterine Fibroids: Effect of Bowel Interposition on Procedure Feasibility and a
Unique Bowel Displacement Technique.
AB - PURPOSE: To evaluate the effect of bowel interposition on assessing procedure
feasibility, and the usefulness and limiting conditions of bowel displacement
techniques in magnetic resonance imaging-guided high-intensity focused ultrasound
(MR-HIFU) ablation of uterine fibroids. MATERIALS AND METHODS: Institutional
review board approved this study. A total of 375 screening MR exams and 206 MR
HIFU ablations for symptomatic uterine fibroids performed between August 2010 and
March 2015 were retrospectively analyzed. The effect of bowel interposition on
procedure feasibility was assessed by comparing pass rates in periods before and
after adopting a unique bowel displacement technique (bladder filling, rectal
filling and subsequent bladder emptying; BRB maneuver). Risk factors for BRB
failure were evaluated using logistic regression analysis. RESULTS: Overall pass
rates of pre- and post-BRB periods were 59.0% (98/166) and 71.7% (150/209), and
in bowel-interposed cases they were 14.6% (7/48) and 76.4% (55/72), respectively.
BRB maneuver was technically successful in 81.7% (49/60). Through-the-bladder
sonication was effective in eight of eleven BRB failure cases, thus MR-HIFU could
be initiated in 95.0% (57/60). A small uterus on treatment day was the only
significant risk factor for BRB failure (B = 0.111, P = 0.017). CONCLUSION: The
BRB maneuver greatly reduces the fraction of patients deemed ineligible for MR
HIFU ablation of uterine fibroids due to interposed bowels, although care is
needed when the uterus is small.
PMID- 27186878
TI - Factors Associated with Halitosis in White-Collar Employees in Shanghai, China.
AB - OBJECTIVE: To investigate the factors associated with halitosis in Chinese white
collar employees. MATERIALS AND METHODS: Subjects in three randomly selected
office buildings in Shanghai, China, were enrolled in this cross-sectional study
using cluster random sampling. Oral malodor was assessed by measuring volatile
sulfur compounds (VSCs) with a portable sulfide monitor. Subjects' oral health,
including dental caries, periodontal status, and tongue coating, was evaluated
clinically. A questionnaire was used to obtain information about participants'
demographic characteristics, oral hygiene habits, and health behaviors. RESULTS:
Of the 805 subjects invited to participate in this study, 720 were enrolled
(89.4% response rate). Data from these subjects were used for statistical
analyses. The prevalence of halitosis was 33.2%. In the final regression model,
halitosis was significantly related to tongue coating thickness, periodontal
pocket depth, no food consumption within 2 hours prior to oral examination, and
less intake frequency of sweet foods. CONCLUSIONS: In this Chinese white-collar
population, tongue coating and periodontal disease were associated with
halitosis. Oral hygiene education should be provided at the population level to
encourage the maintenance of oral health and fresh breath. Consumption of sweet
foods may reduce VSC production, although this finding requires further
investigation.
PMID- 27186884
TI - Forests, Trees, and Micronutrient-Rich Food Consumption in Indonesia.
AB - Micronutrient deficiency remains a serious problem in Indonesia with
approximately 100 million people, or 40% of the population, suffering from one or
more micronutrient deficiencies. In rural areas with poor market access, forests
and trees may provide an essential source of nutritious food. This is especially
important to understand at a time when forests and other tree-based systems in
Indonesia are being lost at unprecedented rates. We use food consumption data
from the 2003 Indonesia Demographic Health Survey for children between the ages
of one and five years and data on vegetation cover from the Indonesian Ministry
of Forestry to examine whether there is a relationship between different tree
dominated land classes and consumption of micronutrient-rich foods across the
archipelago. We run our models on the aggregate sample which includes over 3000
observations from 25 provinces across Indonesia as well as on sub-samples from
different provinces chosen to represent the different land classes. The results
show that different tree-dominated land classes were associated with the dietary
quality of people living within them in the provinces where they were dominant.
Areas of swidden/agroforestry, natural forest, timber and agricultural tree crop
plantations were all associated with more frequent consumption of food groups
rich in micronutrients in the areas where these were important land classes. The
swidden/agroforestry land class was the landscape associated with more frequent
consumption of the largest number of micronutrient rich food groups. Further
research needs to be done to establish what the mechanisms are that underlie
these associations. Swidden cultivation in is often viewed as a backward practice
that is an impediment to food security in Indonesia and destructive of the
environment. If further research corroborates that swidden farming actually
results in better nutrition than the practices that replace it, Indonesian policy
makers may need to reconsider their views on this land use.
PMID- 27186882
TI - Molecular Mechanisms of Malignant Transformation by Low Dose Cadmium in Normal
Human Bronchial Epithelial Cells.
AB - Cadmium is a carcinogenic metal, the mechanisms of which are not fully
understood. In this study, human bronchial epithelial cells were transformed with
sub-toxic doses of cadmium (0.01, 0.05, and 0.1 MUM) and transformed clones were
characterized for gene expression changes using RNA-seq, as well as other
molecular measurements. 440 genes were upregulated and 47 genes were
downregulated in cadmium clones relative to control clones over 1.25-fold.
Upregulated genes were associated mostly with gene ontology terms related to
embryonic development, immune response, and cell movement, while downregulated
genes were associated with RNA metabolism and regulation of transcription.
Several embryonic genes were upregulated, including the transcription regulator
SATB2. SATB2 is critical for normal skeletal development and has roles in gene
expression regulation and chromatin remodeling. Small hairpin RNA knockdown of
SATB2 significantly inhibited growth in soft agar, indicating its potential as a
driver of metal-induced carcinogenesis. An increase in oxidative stress and
autophagy was observed in cadmium clones. In addition, the DNA repair protein O6
methylguanine-DNA-methyltransferase was depleted by transformation with cadmium.
MGMT loss caused significant decrease in cell viability after treatment with the
alkylating agent temozolomide, demonstrating diminished capacity to repair such
damage. Results reveal various mechanisms of cadmium-induced malignant
transformation in BEAS-2B cells including upregulation of SATB2, downregulation
of MGMT, and increased oxidative stress.
PMID- 27186883
TI - Nested Association Mapping of Stem Rust Resistance in Wheat Using Genotyping by
Sequencing.
AB - We combined the recently developed genotyping by sequencing (GBS) method with
joint mapping (also known as nested association mapping) to dissect and
understand the genetic architecture controlling stem rust resistance in wheat
(Triticum aestivum). Ten stem rust resistant wheat varieties were crossed to the
susceptible line LMPG-6 to generate F6 recombinant inbred lines. The recombinant
inbred line populations were phenotyped in Kenya, South Africa, and St. Paul,
Minnesota, USA. By joint mapping of the 10 populations, we identified 59 minor
and medium-effect QTL (explained phenotypic variance range of 1% - 20%) on 20
chromosomes that contributed towards adult plant resistance to North American Pgt
races as well as the highly virulent Ug99 race group. Fifteen of the 59 QTL were
detected in multiple environments. No epistatic relationship was detected among
the QTL. While these numerous small- to medium-effect QTL are shared among the
families, the founder parents were found to have different allelic effects for
the QTL. Fourteen QTL identified by joint mapping were also detected in single
population mapping. As these QTL were mapped using SNP markers with known
locations on the physical chromosomes, the genomic regions identified with QTL
could be explored more in depth to discover candidate genes for stem rust
resistance. The use of GBS-derived de novo SNPs in mapping resistance to stem
rust shown in this study could be used as a model to conduct similar marker-trait
association studies in other plant species.
PMID- 27186885
TI - Cholera Incidence and Mortality in Sub-Saharan African Sites during Multi-country
Surveillance.
AB - BACKGROUND: Cholera burden in Africa remains unknown, often because of weak
national surveillance systems. We analyzed data from the African Cholera
Surveillance Network (www.africhol.org). METHODS/ PRINCIPAL FINDINGS: During June
2011-December 2013, we conducted enhanced surveillance in seven zones and four
outbreak sites in Togo, the Democratic Republic of Congo (DRC), Guinea, Uganda,
Mozambique and Cote d'Ivoire. All health facilities treating cholera cases were
included. Cholera incidences were calculated using culture-confirmed cholera
cases and culture-confirmed cholera cases corrected for lack of culture testing
usually due to overwhelmed health systems and imperfect test sensitivity. Of
13,377 reported suspected cases, 34% occurred in Conakry, Guinea, 47% in Goma,
DRC, and 19% in the remaining sites. From 0-40% of suspected cases were aged
under five years and from 0.3-86% had rice water stools. Within surveillance
zones, 0-37% of suspected cases had confirmed cholera compared to 27-38% during
outbreaks. Annual confirmed incidence per 10,000 population was <0.5 in
surveillance zones, except Goma where it was 4.6. Goma and Conakry had corrected
incidences of 20.2 and 5.8 respectively, while the other zones a median of 0.3.
During outbreaks, corrected incidence varied from 2.6 to 13.0. Case fatality
ratios ranged from 0-10% (median, 1%) by country. CONCLUSIONS/SIGNIFICANCE:
Across different African epidemiological contexts, substantial variation occurred
in cholera incidence, age distribution, clinical presentation, culture
confirmation, and testing frequency. These results can help guide preventive
activities, including vaccine use.
PMID- 27186886
TI - PD-1/CTLA-4 Blockade Inhibits Epstein-Barr Virus-Induced Lymphoma Growth in a
Cord Blood Humanized-Mouse Model.
AB - Epstein-Barr virus (EBV) infection causes B cell lymphomas in humanized mouse
models and contributes to a variety of different types of human lymphomas. T
cells directed against viral antigens play a critical role in controlling EBV
infection, and EBV-positive lymphomas are particularly common in
immunocompromised hosts. We previously showed that EBV induces B cell lymphomas
with high frequency in a cord blood-humanized mouse model in which EBV-infected
human cord blood is injected intraperitoneally into NOD/LtSz-scid/IL2Rgammanull
(NSG) mice. Since our former studies showed that it is possible for T cells to
control the tumors in another NSG mouse model engrafted with both human fetal
CD34+ cells and human thymus and liver, here we investigated whether monoclonal
antibodies that block the T cell inhibitory receptors, PD-1 and CTLA-4, enhance
the ability of cord blood T cells to control the outgrowth of EBV-induced
lymphomas in the cord-blood humanized mouse model. We demonstrate that EBV
infected lymphoma cells in this model express both the PD-L1 and PD-L2 inhibitory
ligands for the PD-1 receptor, and that T cells express the PD-1 and CTLA-4
receptors. Furthermore, we show that the combination of CTLA-4 and PD-1 blockade
strikingly reduces the size of lymphomas induced by a lytic EBV strain (M81) in
this model, and that this anti-tumor effect requires T cells. PD-1/CTLA-4
blockade markedly increases EBV-specific T cell responses, and is associated with
enhanced tumor infiltration by CD4+ and CD8+ T cells. In addition, PD-1/CTLA-4
blockade decreases the number of both latently, and lytically, EBV-infected B
cells. These results indicate that PD-1/CTLA-4 blockade enhances the ability of
cord blood T cells to control outgrowth of EBV-induced lymphomas, and suggest
that PD-1/CTLA-4 blockade might be useful for treating certain EBV-induced
diseases in humans.
PMID- 27186887
TI - PHASTpep: Analysis Software for Discovery of Cell-Selective Peptides via Phage
Display and Next-Generation Sequencing.
AB - Next-generation sequencing has enhanced the phage display process, allowing for
the quantification of millions of sequences resulting from the biopanning
process. In response, many valuable analysis programs focused on specificity and
finding targeted motifs or consensus sequences were developed. For targeted drug
delivery and molecular imaging, it is also necessary to find peptides that are
selective-targeting only the cell type or tissue of interest. We present a new
analysis strategy and accompanying software, PHage Analysis for Selective
Targeted PEPtides (PHASTpep), which identifies highly specific and selective
peptides. Using this process, we discovered and validated, both in vitro and in
vivo in mice, two sequences (HTTIPKV and APPIMSV) targeted to pancreatic cancer
associated fibroblasts that escaped identification using previously existing
software. Our selectivity analysis makes it possible to discover peptides that
target a specific cell type and avoid other cell types, enhancing clinical
translatability by circumventing complications with systemic use.
PMID- 27186889
TI - Regulatory aspects of human radiolabeled mass balance studies in oncology:
concise review.
AB - Human radiolabeled mass balance studies are performed to obtain information about
the absorption, distribution, metabolism, and excretion of a drug in development.
The main goals are to determine the route of elimination and major metabolic
pathways. This review provides an overview of the current regulatory guidelines
concerning human radiolabeled mass balance studies and discusses scientific
trends seen in the last decade with a focus on mass balance studies of anticancer
drugs. This paper also provides an overview of mass balance studies of anticancer
agents that were executed in the last 10 years.
PMID- 27186888
TI - Congruent pattern of accessibility identifies minimal pore gate in a non
symmetric voltage-gated sodium channel.
AB - Opening and closing of the central ion-conducting pore in voltage-dependent ion
channels is gated by changes in membrane potential. Although a gate residue in
the eukaryotic voltage-gated sodium channel has been identified, the minimal
molecular determinants of this gate region remain unknown. Here, by measuring the
closed- and open-state reactivity of MTSET to substituted cysteines in all the
pore-lining helices, we show that the state-dependent accessibility is delineated
by four hydrophobic residues at homologous positions in each domain. Introduced
cysteines above these sites do not react with intracellular MTSET while the
channels are closed and yet are rapidly modified while the channels are open.
These findings, in conjunction with state-dependent metal cross-bridging, support
the notion that the gate residues in each of the four S6 segments of the
eukaryotic sodium channel form an occlusion for ions in the closed state and are
splayed open on activation.
PMID- 27186891
TI - Synthesis and Structure of Alkylzinc 3,5-Diphenylpyrazolates: Dramatic Influence
of Steric and Solvent Effects.
AB - The reaction of R2Zn (R = Et, (t)Bu) with 3,5-diphenylpyrazole results in the
formation of three structurally diverse alkylzinc pyrazolates: a novel dinuclear
tetrahydrofuran solvate, an unprecedented trimeric structure, and a spiro
trinuclear aggregate. Structural analysis of the resulting complexes provides a
new look at the aggregation and stabilization of alkylzinc species.
PMID- 27186890
TI - Physiological constraints to climate warming in fish follow principles of plastic
floors and concrete ceilings.
AB - Understanding the resilience of aquatic ectothermic animals to climate warming
has been hindered by the absence of experimental systems experiencing warming
across relevant timescales (for example, decades). Here, we examine European
perch (Perca fluviatilis, L.) from the Biotest enclosure, a unique coastal
ecosystem that maintains natural thermal fluctuations but has been warmed by 5-10
degrees C by a nuclear power plant for over three decades. We show that Biotest
perch grow faster and display thermally compensated resting cardiorespiratory
functions compared with reference perch living at natural temperatures in
adjacent waters. However, maximum cardiorespiratory capacities and heat tolerance
limits exhibit limited or no thermal compensation when compared with acutely
heated reference perch. We propose that while basal energy requirements and
resting cardiorespiratory functions (floors) are thermally plastic, maximum
capacities and upper critical heat limits (ceilings) are much less flexible and
thus will limit the adaptive capacity of fishes in a warming climate.
PMID- 27186892
TI - Early investigational tubulin inhibitors as novel cancer therapeutics.
AB - INTRODUCTION: Microtubules represent one of the most logical and strategic
molecular targets amongst the current targets for chemotherapy, alongside DNA. In
the past decade, tubulin inhibitors as cancer therapeutics have been an area of
focus due to the improved understanding and biological relevance of microtubules
in cellular functions. Fueled by the objective of developing novel
chemotherapeutics and with the aim of establishing the benefits of tubulin
inhibition, several clinical trials have been conducted with others ongoing. AREA
COVERED: At present, the antitubulin development pipeline contains an armful of
agents under clinical investigation. This review focuses on novel tubulin
inhibitors as cancer therapeutics. The article covers the agents which have
completed the phase II studies along with the agents demonstrating promising
results in phase I studies. EXPERT OPINION: Countless clinical trials evaluating
the efficacy, safety and pharmacokinetics of novel tubulin inhibitors highlights
the scientific efforts being paid to establish their candidature as cancer
therapeutics. Colchicine binding site inhibitors as vascular disrupting agents
(VDAs) and new taxanes appear to be the most likely agents for future clinical
interest. Numerous agents have demonstrated clinical benefits in terms of
efficacy and survival in phase I and II studies. However conclusive benefits can
only be ascertained on the basis of phase III studies.
PMID- 27186893
TI - Multiplexed, Patterned-Paper Immunoassay for Detection of Malaria and Dengue
Fever.
AB - Multiplex assays detect the presence of more than one analyte in a sample. For
diagnostic applications, multiplexed tests save healthcare providers time and
resources by performing many assays in parallel, minimizing the amount of sample
needed and improving the quality of information acquired regarding the health
status of a patient. These advantages are of particular importance for those
diseases that present with general, overlapping symptoms, which makes presumptive
treatments inaccurate and may put the patient at risk. For example, malaria and
dengue fever are febrile illnesses transmitted through mosquito bites, and these
common features make it difficult to obtain an accurate diagnosis by symptoms
alone. In this manuscript, we describe the development of a multiplexed,
patterned paper immunoassay for the detection of biomarkers of malaria and dengue
fever: malaria HRP2, malaria pLDH, and dengue NS1 type 2. In areas coendemic for
malaria and dengue fever, this assay could be used as a rapid, point-of-care
diagnostic to determine the cause of a fever of unknown origin. The reagents
required for each paper-based immunoassay are separated spatially within a three
dimensional device architecture, which allows the experimental conditions to be
adjusted independently for each assay. We demonstrate the analytical performances
of paper-based assays for each biomarker and we show that there is no significant
difference in performance between the multiplexed immunoassay and those
immunoassays performed in singleplex. Additionally, we spiked individual analytes
into lysed human blood to demonstrate specificity in a clinically relevant sample
matrix. Our results suggest multiplex paper-based devices can be an essential
component of diagnostic assays used at the point-of-care.
PMID- 27186894
TI - Mapping the Transmission Functions of Single-Molecule Junctions.
AB - Charge transport phenomena in single-molecule junctions are often dominated by
tunneling, with a transmission function dictating the probability that electrons
or holes tunnel through the junction. Here, we present a new and simple technique
for measuring the transmission functions of molecular junctions in the coherent
tunneling limit, over an energy range of 1.5 eV around the Fermi energy. We
create molecular junctions in an ionic environment with electrodes having
different exposed areas, which results in the formation of electric double layers
of dissimilar density on the two electrodes. This allows us to electrostatically
shift the molecular resonance relative to the junction Fermi levels in a manner
that depends on the sign of the applied bias, enabling us to map out the
junction's transmission function and determine the dominant orbital for charge
transport in the molecular junction. We demonstrate this technique using two
groups of molecules: one group having molecular resonance energies relatively far
from EF and one group having molecular resonance energies within the accessible
bias window. Our results compare well with previous electrochemical gating data
and with transmission functions computed from first principles. Furthermore, with
the second group of molecules, we are able to examine the behavior of a molecular
junction as a resonance shifts into the bias window. This work provides a new,
experimentally simple route for exploring the fundamentals of charge transport at
the nanoscale.
PMID- 27186895
TI - VR Online Dating: The New Safe Sex.
PMID- 27186896
TI - The Virtual Threat Effect: A Test of Competing Explanations for the Effects of
Racial Stereotyping in Video Games on Players' Cognitions.
AB - Past research provides evidence that embodying a racially stereotyped African
American video game character triggers stereotyped thinking among White players.
However, the mechanisms through which virtual racial embodiment of a negatively
stereotyped character in a video game impacts stereotyped thinking are still
unknown. This study expands on past research and utilizes a between-subjects
experimental design to test two possible theoretical explanations: the virtual
threat effect and presence. On the one hand, embodying a negatively stereotyped
African American character may elicit stereotyped thinking among White players
due to the mere exposure to the threatening stereotype. According to this
explanation, negative affective response to the threatening stimulus predicts
stereotyping. On the other hand, the process of embodying, not just observing,
the stereotyped African American character suggests that presence in the game may
determine how impactful the game imagery is on White players' stereotyping of
African Americans. In this case, level of presence would predict stereotyping.
The findings of this study advance research by providing evidence of a
psychological explanation for the negative effects of embodying a racially
stereotyped video game character on players' race-related perceptions. We
conceptualize the "virtual threat effect," which may be applied in additional
contexts to understand how embodying stereotyped representations of outgroups in
virtual environments may negatively affect individuals' perceptions and support
of these groups.
PMID- 27186897
TI - An Examination of Users' Influence in Online HIV/AIDS Communities.
AB - A network perspective was adopted in this study to identify influential users in
an online HIV community in China. Specifically, the indegree centrality,
outdegree centrality, betweenness centrality, eigenvector centrality, and
clustering coefficient of individuals were evaluated to measure the user
influence in such a community. Moreover, this study examined how the digital
divide, which is presently one of the major social equity issues in the
information society, is associated with an individual's influence within the
community. Two networks were formed on the basis of the behavioral data retrieved
from the HIV community: the follower-followee network and the post-reply network.
In the follower-followee network, members from areas with well-developed
technologies demonstrated more connections, received more attention, and secured
more critical positions in the network than their counterparts. However, such
differences were insignificant in the post-reply network.
PMID- 27186898
TI - Self- and Social Motivation to Interact with a Brand on Facebook: The Moderating
Roles of Self-Expression and Brand Engagement in a Student Sample.
AB - This study investigated the roles of self- and social motivation in interacting
with a brand on Facebook. An online survey was conducted using 11 familiar global
brands randomly selected from Interbrand's 100 Best Global Brands. The result
demonstrated that congruence between actual/ideal self and personality of a brand
(i.e., self-motivation) positively influenced users' interaction with a brand on
Facebook. In this relationship, self-expressive motivation and brand engagement
emerged as moderators. Additionally, social identity as a social motivation
positively affected users' interaction with a brand. Although not all components
of social motivation influenced users' interaction with a brand, this study
showed that two exclusive motivations, self and social, positively influenced
users' interaction with a brand on Facebook. Managerial and practical
implications were also proposed for marketing a brand on Facebook.
PMID- 27186899
TI - Examining Perceived Distance and Personal Authenticity as Mediators of the
Effects of Ghost-Tweeting on Parasocial Interaction.
AB - A number of high-profile public figures hire ghost-tweeters to post to their
social media accounts on their behalf, but no research has examined how this
social media practice can affect followers' feelings of connection to the public
figures. College students (n = 132) participated in an online experiment to
examine the effect of ghost-tweeting practices on parasocial interaction (PSI)
with social media figures. Tweet authorship (use of a ghost-tweeter or not) was
manipulated. Ghost-tweeting resulted in reduced PSI. Perceptions of distance, but
not personal authenticity mediated this effect. However, authenticity and
distance did serially mediate the relationship between ghost-tweeting and PSI.
These findings shed light on the process of PSI with celebrities and other media
figures on social network sites.
PMID- 27186902
TI - Optically Active Porphyrin and Phthalocyanine Systems.
AB - This review highlights and summarizes various optically active porphyrin and
phthalocyanine molecules prepared using a wide range of structural modification
methods to improve the design of novel structures and their applications. The
induced chirality of some illustrative achiral bis-porphyrins with a chiral guest
molecule is introduced because these systems are ideal for the identification and
separation of chiral biologically active substrates. In addition, the
relationship between CD signal and the absolute configuration of the molecule is
analyzed through an analysis of the results of molecular modeling calculations.
Possible future research directions are also discussed.
PMID- 27186903
TI - Ag(I)-Catalyzed Indolization/C3-Functionalization Cascade of 2-Ethynylanilines
via Ring Opening of Donor-Acceptor Cyclopropanes.
AB - A AgSbF6-catalyzed cascade involving the ring opening of donor-acceptor
cyclopropanes (DACs) preceded by the cyclization of N-protected 2-ethynylaniline
is described. The method discloses a step-economy route to 2,3-disubstituted
indole, where a Ag catalyst is found to trigger the cascade by activating both
alkyne and DACs. Various functionalities at different ends of both substrates
offer rapid access to 2,3-disubstituted indole derivatives in one pot in good to
excellent yields. Elaboration of the cascade product to useful intermediates is
also depicted.
PMID- 27186904
TI - Using reverse docking for target identification and its applications for drug
discovery.
AB - INTRODUCTION: In contrast to traditional molecular docking, inverse or reverse
docking is used for identifying receptors for a given ligand among a large number
of receptors. Reverse docking can be used to discover new targets for existing
drugs and natural compounds, explain polypharmacology and the molecular mechanism
of a substance, find alternative indications of drugs through drug repositioning,
and detecting adverse drug reactions and drug toxicity. AREAS COVERED: In this
review, the authors examine how reverse docking methods have evolved over the
past fifteen years and how they have been used for target identification and
related applications for drug discovery. They discuss various aspects of target
databases, reverse docking tools and servers. EXPERT OPINION: There are several
issues related to reverse docking methods such as target structure dataset
construction, computational efficiency, how to include receptor flexibility, and
most importantly, how to properly normalize the docking scores. In order for
reverse docking to become a truly useful tool for the drug discovery, these
issues need to be adequately resolved.
PMID- 27186905
TI - Conservative treatment of pediatric thoracic and lumbar spinal fractures:
outcomes in the sagittal plane.
AB - To assess sagittal plane spinopelvic balance and functional outcomes in a
pediatric cohort of patients with a thoracic and/or a lumbar fracture treated
conservatively. A multicentric study retrospectively reviewed radiological and
functional outcomes (mean follow-up 49 months) of 48 patients (mean age 12 years)
with thoracic and/or lumbar spinal fractures that occurred between 1996 and 2014.
Demographic data and radiological spinopelvic parameters were analyzed.
Functional outcome was evaluated by a telephone interview. First, a comparison
between the initial and the last follow-up full-spine radiographs was performed
for the assessment of bone remodeling and sagittal plane balance. Then, patients
were classified into two groups (group 1: Risser<=2 and group 2, Risser>2) to
assess the influence of skeletal maturity on the restoration of a correct
sagittal balance. A total of 62% of the patients were at skeletal maturity at the
final follow-up (Risser 4 and 5). Patients with a Risser grade of 2 or less had a
higher remodeling potential. The mean residual local kyphosis in thoracic and
lumbar fractures was, respectively, 8.2 degrees and 8.7 degrees . The mean
thoracic global kyphosis remains stable at the last follow-up, in contrast to
lumbar lordosis, which increased significantly. Sagittal plane global
measurements on the basis of the C7-plumbline remained unchanged at the last
follow-up. There was no change in the pelvic parameters, except for the sacral
slope in the group 1 for patients with a lumbar fracture. The current study
confirms a greater correction in younger patients (Risser<=2) in spinal fractures
and reported that thoracic fractures have a higher remodeling potential than
lumbar fracture. A local kyphosis of almost 10 degrees remained at the last
follow-up. However, no deterioration in the sagittal plane balance was found.
This suggests compensatory mechanisms in adjacent structures for children and
adolescents and excludes the only hypothesis of bone remodeling.
PMID- 27186906
TI - Morita-Baylis-Hillman Reaction of alpha,beta-Unsaturated Ketones with Allylic
Acetates by the Combination of Transition-Metal Catalysis and Organomediation.
AB - An intermolecular Morita-Baylis-Hillman (MBH) reaction of alpha,beta-unsaturated
ketones with allylic acetates under the catalysis of 10 mol % of
tetrakis(triphenylphosphine)palladium(0) and mediation of tributylphosphine has
been developed in the presence of acetic acid, affording the desired alpha
coupling products. The MBH reaction has the advantages of good tolerance to many
functional groups, excellent regioselectivity and E-stereoselectivity, and
moderate to good yields.
PMID- 27186917
TI - Biochemical Response to a Moderate Running Bout in Participants With or Without a
History of Acute Knee Injury.
AB - CONTEXT: Individuals with an acute knee-injury history are 4 times more likely
to develop knee osteoarthritis than those without a prior knee injury, and it is
unknown why. Individuals with an injury history may exhibit aberrant changes in
tissue turnover after physical activity (eg, running), which could lead to
osteoarthritis, but this has yet to be determined among young, physically active
individuals. OBJECTIVE: To determine collagen degradation and synthesis and
inflammatory biomarker concentration levels before exercise and changes in
response to an acute running bout in injured participants compared with healthy
control participants. DESIGN: Cohort study. SETTING: Research laboratory.
PATIENTS OR OTHER PARTICIPANTS: A total of 22 physically active individuals
between 18 and 25 years of age were recruited for the study: 11 injured
participants (knee injury within 4 years of the study) who were medically cleared
for physical activity and 11 matched healthy control participants. MAIN OUTCOME
MEASURE(S): The independent variable was group (injured or control). Dependent
variables were serum biomarker concentrations for cartilage oligomeric matrix
protein, matrix metalloproteinase-13, proinflammatory marker interleukin-1beta, c
terminal cross-linking telopeptide of type II collagen, and type II collagen
synthesis marker. Each participant provided prerun and postrun blood samples for
biomarker-concentration analysis. RESULTS: No group differences existed in
serum biomarker concentrations before exercise or in serum biomarker changes from
pre-exercise to postexercise. CONCLUSIONS: After an acute bout of moderate
intensity running, young, active individuals in a high-risk postinjury population
had similar biochemical responses as matched healthy controls. However, the
external generalizability of these findings to other exercises and populations
has yet to be determined.
PMID- 27186920
TI - Long noncoding RNA MALAT1 as a potential novel biomarker in digestive system
cancers: a meta-analysis.
AB - BACKGROUND: MALAT1 (Metastasis-associated lung adenocarcinoma transcript 1), a
newly discovered long non-coding RNA (lncRNA), has been reported to be
overexpressed in various cancers. However, the clinical value of MALAT1 in
digestive system cancers is unclear. This study was designed to investigate the
potential value of MALAT1 as a prognostic biomarker in digestive system cancers.
METHODS: We searched the MEDLINE, EMBASE and Cochrane Library databases. All
studies that explored the correlation between lncRNA MALAT1 expression and
survival in digestive system tumors were selected. A quantitative meta-analysis
was performed for the correlation between lncRNA MALAT1 expression and survival
in digestive system tumors. RESULTS: Five studies were eligible for analysis,
which included 547 patients. Meta-analysis showed that high expression of MALAT1
could predict poor overall survival (OS) in digestive system cancers (pooled HR:
1.85, 95% CI: 1.41-2.43, p < 0.0001). For disease-free survival (DFS), elevated
MALAT1 expression was also a significant predictor with a combined HR of 2.28
(95% CI: 1.42-3.67, p = 0.0007). CONCLUSIONS: lncRNA MALAT1 may serve as a
potential novel prognostic biomarker in digestive system cancers.
PMID- 27186918
TI - Comparison of Gastrointestinal and Rectal Temperatures During Recovery After a
Warm-Weather Road Race.
AB - CONTEXT: It has been well established that gastrointestinal temperature (TGI)
tracks closely with rectal temperature (TREC) during exercise. However, the field
use of TGI pills is still being examined, and little is known about how
measurements obtained using these devices compare during recovery after exercise
in warm weather. OBJECTIVE: To compare TGI and TREC in runners who completed an
11.3-km warm-weather road race and determine if runners with higher TGI and TREC
present with greater passive cooling rates during recovery. DESIGN: Cross
sectional study. SETTING: Field. PATIENTS OR OTHER PARTICIPANTS: Thirty
recreationally active runners (15 men, 15 women; age = 39 +/- 11 years, weight =
68.3 +/- 11.7 kg, body fat = 19.2% +/- 5.0%). MAIN OUTCOME MEASURE(S): The TGI
and TREC were obtained immediately after the race and during a 20-minute passive
rest at the 2014 Falmouth Road Race (heat index = 26.2 degrees C +/- 0.9 degrees
C). Temperatures were taken every 2 minutes during passive rest. The main
dependent variables were mean bias and limits of agreement for TGI and TREC,
using Bland-Altman analysis, and the 20-minute passive cooling rates for TGI and
TREC. RESULTS: No differences were evident between TGI and TREC throughout
passive rest (P = .542). The passive cooling rates for TGI and TREC were 0.046 +/
0.031 degrees C.min(-1) and 0.060 +/- 0.036 degrees C.min(-1), respectively.
Runners with higher TGI and TREC at the start of cooling had higher cooling rates
(R = 0.682, P < .001 and R = 0.54, P = .001, respectively). The mean bias of TGI
during the 20-minute passive rest was -0.06 degrees C +/- 0.56 degrees C with 95%
limits of agreement of +/-1.09 degrees C. CONCLUSIONS: After participants
completed a warm-weather road race, TGI provided a valid measure of body
temperature compared with the criterion measure of TREC. Therefore, TGI may be a
viable option for monitoring postexercise-induced hyperthermia, if the pill is
administered prophylactically.
PMID- 27186919
TI - Return to Play After Exertional Rhabdomyolysis.
AB - OBJECTIVE: To outline a 4-phase progressive program that safely and successfully
enabled athletes to return to sport without recurrence of exertional
rhabdomyolysis symptoms. BACKGROUND: In January 2011, a large cluster of National
Collegiate Athletic Association Division I football athletes were evaluated and
treated for exertional rhabdomyolysis. After the athletes were treated, the
athletic trainers and sports medicine providers were challenged to develop a safe
return-to-play program because of the lack of specific reports in the medical
literature to direct such activities. TREATMENT: A progressive 4-phase program
based on existing recommendations, including guidelines for continued clinical
and laboratory monitoring. CONCLUSIONS: Although the actual process of
reintegrating players will differ based on each athlete's unique circumstances,
this program provides a safe and effective foundation that can be modified based
on the response to activity and sport.
PMID- 27186921
TI - Contrast enhancement and elastography in endoscopic ultrasound: an update of
clinical applications in pancreatic diseases.
AB - It is well established that endoscopic ultrasound (EUS) is fundamental in the
characterization of many diseases concerning different organs, i.e.
pancreaticobiliary diseases, gastrointestinal pathologic conditions, and lymph
nodes of unknown origin. It is also well known that many factors can hamper the
accuracy of EUS, i.e. biliary stents, chronic pancreatitis, poor operator's
expertise. These factors can also lead to suboptimal accuracy when cytological
confirmation through EUS-fine needle aspiration (EUS-FNA) is indicated. In recent
years, new technological tools have rapidly increased their clinical impact
improving the diagnostic power of EUS and EUS-FNA. Among these new tools, the
most investigated and useful ones are represented by contrast harmonic-EUS (CH
EUS) and EUS-elastography (EUS-E). The purpose of this paper is to provide,
through a review of the literature, an update of the applications of CH-EUS and
EUS-E in the routine clinical practice in pancreatic diseases. We discussed the
first reports and applications of these techniques in our previous review
published in Minerva Medica. The applications of CH-EUS and EUS-E to the study of
pancreatic diseases appear feasible and safe. The use of both techniques is very
simple and does not require any relevant additional workload for the endoscopic
personnel. CH-EUS is now considered an important and accurate tool in the
diagnosis of solid pancreatic masses and in the differential diagnosis of
pancreatic cystic lesions. CH-EUS targeted FNA is an active field of research.
However the available studies show that CH-EUS increases FNA accuracy by a little
extent, without statistical significance; moreover, CH-EUS FNA showed a trend
toward being more efficient vs. simple EUS FNA (less needle passes and more
abundance in cytological material) but this trend did not reach statistical
significance. On the other hand, the clinical impact of EUS-E in terms of
differential diagnosis of pancreatic masses is still under investigation. Chronic
pancreatitis evaluation represents the most recent field of application of EUS-E
that shows promising results.
PMID- 27186922
TI - Long noncoding RNA H19 can predict a poor prognosis and lymph node metastasis: a
meta-analysis in human cancer.
AB - INTRODUCTION: A long non-coding RNA H19 has been reported to be aberrantly
regulated in a wide range of cancers. This meta-analysis was performed to explore
the potential value of H19 as a biomarker for cancer prognosis. EVIDENCE
ACQUISTION: We searched the electronic databases PubMed and Web of Science (up to
Apr 30, 2016) in attention to collect all relevant researches to identify the
association of lncRNA H19 with overall survival (OS), disease free survival (DFS)
and lymph node metastasis (LNM). EVIDENCE SYNTHESIS: Our findings revealed that
high levels of H19 expression could predict poor OS (pooled HR: 1.19, 95% CI:
1.08-1.32, p=0.001) and LNM (pooled OR: 1.810, 95% CI: 1.27-2.59, p=0.001) in
multiple cancers. CONCLUSIONS: This meta-analysis showed that overexpression of
H19 might potentially serve as a reliable biomarker for poor prognosis in
different types of cancers.
PMID- 27186923
TI - Management of arrhythmogenic right ventricular cardiomyopathy.
AB - Arrhythmogenic right ventricular cardiomyopathy (ARVC) is a genetically
determined heart muscle disorder, predisposing to sudden cardiac death (SCD),
particularly in young patients and athletes. Pathological features include loss
of myocytes and fibrofatty replacement of right ventricular myocardium; a
biventricular involvement is often observed. The diagnosis of ARVC (prevalence
1:5.000 in the general population) does not rely on a single gold standard test
but is achieved using a scoring system, proposed in 2010 by an International Task
Force, which encompasses familial and genetic factors, ECG abnormalities,
arrhythmias, and structural/functional ventricular alterations. The main goal of
treatment is the prevention of SCD. Implantable cardioverter defibrillator (ICD)
is the only proven "lifesaving" therapy; however, it is associated with a
significant morbidity due to device-related complications and inappropriate ICD
interventions. Other treatment options such as life style changes, antiarrhythmic
drugs, beta-blockers and catheter ablation may reduce the arrhythmic burden and
alleviate symptoms, without evident impact on prevention of SCD. Selection of
patient candidates to ICD implantation is the most challenging issue in the
clinical management of ARVC. This article reviews the current perspective on
management of ARVC, focusing on clinical manifestations, diagnostic criteria,
risk stratification and therapeutic strategies of affected patients.
PMID- 27186924
TI - Endothelial monolayers on collagen-coated nanofibrous membranes: cell-cell and
cell-ECM interactions.
AB - Endothelial cells (ECs) form a monolayer lining over the entire vascular wall and
play an important role in maintaining vascular homeostasis and cancer metastasis.
Loss of proper endothelial function can lead to vascular diseases. Therefore, the
endothelial monolayer is particularly important in tissue regeneration and
mimicking vascular tissue in vitro. Numerous studies have described the effects
of ECs on nanofibers made from a variety of synthetic polymer materials designed
to mimic the extracellular matrix (ECM). However, little is known about
maintaining the integrity of ECs in in vitro systems. Here we describe
polycaprolactone nanofibrous membranes coated with collagen gel that overcome
many limitations of conventional nanofibers used for engineering endothelia. We
investigated cell-cell and cell-ECM junctional complexes using collagen-coated
and conventional nanofibrous membranes. Conventional nanofibrous membranes alone
did not form a monolayer with ECs, whereas collagen-coated nanofibrous membranes
did. Several concentrations of collagen in the gel coating promoted the formation
of cell-cell junctional complexes, facilitated the deposition of laminin, and
increased the focal contact organization of ECs. These results suggest the
possible use of collagen-coated nanofibrous membranes for vascular tissue
engineering applications and a vascular platform for organ-on-a-chip systems.
PMID- 27186925
TI - Migrainous vertigo and Meniere's disease: Is there a common genetic background?
PMID- 27186926
TI - AAO HNS Tinnitus Miniseminar "Tinnitus Lessons Learned from Combat and Service".
Summary 2015.
PMID- 27186927
TI - The chemopreventive effect of Ginkgo biloba extract 761 against cisplatin
ototoxicity: a pilot study.
AB - OBJECTIVE: The purpose of this study was to evaluate, by using distortion product
otoacoustic emission test, the action of Ginkgo biloba extract 761, which has a
known antioxidant property, as a possible otoprotective against cisplatin induced
hearing loss. This prospective and double blinded study was conducted on
individuals that were to begin cisplatin treatment in a tertiary university
center. They were randomized and allocated in two groups: control group (CG) (n =
07) receiving placebo and cisplatin and study group (SG) (n = 08) receiving
Ginkgo biloba extract 761 (240 mg/day) and cisplatin. METHODS: This prospective
study was conducted on individuals that were treated by cancer with cisplatin
(CDDP) in a tertiary University center. Both groups were instructed to ingest the
either placebo or Ginkgo biloba extract 761 before the first cisplatin dose. They
were rated and followed up for approximately 90 days. The maximum cumulative
cisplatin dosage was 300 mg/m(2). The ototoxic effect was measured with
distortion product otoacoustic emissions. Distortion product otoacoustic
emissions were recorded before the first cisplatin cycle, 30, 60 and 90 days
after the treatment. The average of the amplitude of the signals were calculated
and used for comparisons between the groups. RESULTS: Comparisons were made
between baseline measurements and those recorded after maximum cumulative CDDP
dose. The control group showed smaller distortion product otoacoustic emissions
mean amplitudes and smaller signal/noise ratio than the study group (p < 0.05).
CONCLUSION: Ginkgo biloba extract 761 probably has antioxidant properties, and
might play otoprotective effect against cisplatin ototoxicity in these patients.
PMID- 27186928
TI - Risk of hearing alterations in newborns of mothers treated for malaria.
AB - INTRODUCTION: The antimalarial drugs can cause irreversible sensorineural hearing
loss, and chloroquine phosphate use can be ototoxic to the fetus. OBJECTIVE: To
compare the results of hearing screening in newborns of mothers treated for
malaria in pregnancy with the results of newborns of mothers untreated and check
for increased risk of hearing alterations in the group exposed to treatment.
METHOD: Retrospective cohort study which involved 284 infants, 30 in the exposed
group to malaria treatment and 254 in the unexposed group, matched for
gestational age, birth weight and risk indicators for hearing. They underwent
hearing screening by means of transient evoked otoacoustic emissions and/or
auditory brainstem response automatic. The variables were collected in an
interview with the parents in the health record and the screening database.
RESULTS: The prevalence of fail in exposed group (6.7%) was significant when
compared with the unexposed group (1.2%). The risk of failing in the hearing
screening in the exposed group was 5.64 (CI 1.17 to 27.3). CONCLUSION: Newborns
of mothers treated for malaria in pregnancy had a prevalence of fail in hearing
screening at 6.7% and 5.64 higher chances to fail than newborns of untreated
mothers.
PMID- 27186929
TI - The impact of tinnitus on adult Nigerians: health related Quality of Life
assessment of sufferers using the Hospital Anxiety and Depression Scale (HADS)
and the RAND-36 item health survey 1.0 questionnaire.
AB - BACKGROUND: Tinnitus is a distressing ailment with limited options for therapy
and affecting the quality of life of sufferers. This study aims to investigate
the impact of tinnitus on the health related quality of life, the psychological
and emotional wellbeing of patients in our environment. PATIENTS AND METHODS:
Consecutive patients with tinnitus presenting to the Otorhinolaryngology
outpatient clinic of the Jos University Teaching Hospital and The Ear, Nose and
Throat Clinic, Jos were assessed and administered the Hospital Anxiety and
Depression Scale (HADS) and the RAND-36 item health survey 1.0 questionnaires.
RESULTS: We studied 49 patients, age range 22- 79 years (mean = 36.8; median =
35.5; SD = +/- 12.7) consisting of 22 (44.9%) males and 27 (55.1%) females, male
to female ratio of 1:1.2. Patients in the age range 31 to 40 were in the majority
(n = 20; 40.4%). Depressive symptoms were recorded in 14 (28.6%) female patients
and 11 (22.4%) male patients. Anxiety symptoms were recorded in 18 (36.7%) female
patients and 16 (32.6%) male patients. 34 (69.4%) of our patients scored low on
all QoL domains except pain levels irrespective of age or gender with
statistically significant positive correlations between all the QoL domains
studied for all patients (P-value 0.5). Univariate analysis shows statistically
significant inverse correlation between emotional distress (anxiety and
depression) scores and each of emotional wellbeing scores. CONCLUSIONS: Our study
demonstrates high prevalence of tinnitus amongst the younger population in our
region especially females with significant reduction in their HRQoL. This should
help in raising the awareness of the impact of tinnitus on the QoL, psychological
and emotional wellbeing of patients in our region with a view to improving
outcome for tinnitus sufferers. We recommend a further study on a larger sample
population to determine the socioeconomic impact of tinnitus on the Nigerian
population.
PMID- 27186930
TI - One-year prevalence and risk factors of tinnitus in children with otological
problems.
AB - INTRODUCTION: The present study was conducted to find one year prevalence and
risk factors of tinnitus in the children (below 18 years) who reported with the
ear or hearing related complaint to All India Institute of Speech and hearing,
Mysore. METHODS: A retrospective case analysis was carried out by reviewing the
case files of those who visited the institute during the above period. RESULTS:
The results shown that the tinnitus prevalence was 5.24%. No gender difference
was found in children with tinnitus. Further, 79.63% of the children with
tinnitus had hearing loss. In addition, 30.23% of the children with tinnitus had
mild degree of hearing loss followed by minimal, and moderate degree of hearing
loss. Most of these children had conductive, and sensorineural type of hearing
loss than mixed type of hearing loss. CONCLUSION: It can be concluded that
hearing loss, gender, giddiness, and headache were found to be major risk
factors.
PMID- 27186932
TI - Prevalence of tinnitus in patients with different temporomandibular disorders
symptoms.
AB - OBJECTIVE: To compare the prevalence of tinnitus in temporomandibular disorder
(TMD) patients affected by either jaw muscle pain, temporomandibular joint (TMJ)
internal derangements, or TMJ arthrosis. METHODS: The presence of self-reported
tinnitus was assessed in 250 consecutive TMD patients and correlation analysis
was performed with clinical TMD signs and symptoms. RESULTS: Tinnitus prevalence
in the TMD population was 30.4%. Any significant patterns of correlation were
shown for the overall study group, despite a higher tinnitus prevalence in
patients with (32.8%) than without masseter pain (24.8%; p = 0.176) as well as in
those with (33.7%) than without TMJ arthrosis (26.1%; p = 0.082). Age-stratified
analysis showed correlations with masseter pain in older patients (48.3% vs 16; p
< 0.05), and with arthrosis in younger patients (57.1% vs 22.2%; p < 0.05).
CONCLUSIONS: Any correlation patterns were shown between tinnitus and TMD. Age
stratified analysis suggested possible hypotheses for a symptom-specific clinical
correlation that should be assessed with future research.
PMID- 27186931
TI - Comparison of otoacoustic emissions in patients with tinnitus having normal
hearing versus mild hearing loss.
AB - INTRODUCTION: Tinnitus is an auditory sensation whose source comes from external
stimulus to the body. All studies that can help people with this disorder are
very imperative. OBJECTIVE: This study investigates the cochlear function in
patients with tinnitus, using Distortion Products Otoacoustic Emissions (DPOAE).
MATERIAL AND METHODS: Ears where the subjects referred to feel the tinnitus were
considered for the study group while other ears without this sensation of
tinnitus acted as a control group. Fifty subjects suffering from unilateral or
bilateral tinnitus with normal hearing sensitivity or mild hearing loss were
recruited. RESULTS AND CONCLUSIONS: Where comparing the control and study group,
the highest percentage of cases of DPOAE detected was in the control group,
although these differences were not statistically significant. When the analyzed
frequency is the same as the tinnitus frequency, the prevalence of detected DPOAE
is in tinnitus ears (50.0 %). In ears where tinnitus was not perceived (73.5 %) a
p value of 0.024 (< 0.05) was found, which means that the undetected DPOAE could
be influenced by tinnitus. Based on the results, tinnitus might not be caused by
changes in the outer hair cells but seems to be affected by that.
PMID- 27186933
TI - Association between suppression of otoacoustic emissions and annoyance levels in
tinnitus patients with normal hearing.
AB - OBJECTIVE: To correlate the annoyance of tinnitus assessed by the Tinnitus
Handicap Inventory and on a visual analogue scale with the evoked otoacoustic
emission test result in tinnitus patients with normal hearing. STUDY DESIGN: Case
control study. SETTING: Public tertiary hospital. SUBJECTS AND METHODS: The
sample was initially based on a population of 80 subjects with tinnitus; 20 of
them had normal hearing and normal evoked otoacoustic emission test results and
comprised the study group. For the purpose of comparison, a control group was
formed, which consisted of 17 subjects with no hearing complaints and normal
hearing. The participants were submitted to hearing tests, immittance testing and
tests for the evaluation of acoustic reflexes, distortion product otoacoustic
emissions, transient evoked otoacoustic emissions (TEOAEs), and suppression of
TEOAEs. The tests were performed in a sound-treated booth using a linear
contralateral noise of 60 dB. The presence of suppression effects was defined
when the response amplitude was 0.5 dB or higher. RESULTS: Abnormal evoked
otoacoustic emission suppression test results were observed in 52.9% of tinnitus
patients and in 32.4% of control subjects (p = 0.086). Suppression effects of
TEOAEs were absent in 38.5% of subjects with minimal or mild discomfort and in
61.9% of subjects with moderate or severe discomfort (p = 0.183). CONCLUSION: It
was not possible to associate the annoyance caused by tinnitus with the TEOAE
suppression test results, although suppression effects were found to decrease
with increasing annoyance.
PMID- 27186934
TI - Access to hearing health service in Curitiba-PR for the elderly with hearing loss
and tinnitus.
AB - OBJECTIVE: To determine the incidence of complaints of hearing loss and tinnitus
in a group of seniors and their access to hearing health services in the city of
Curitiba. METHOD: 578 elderly, attending general practice clinic of two public
hospitals in the city. Three questionnaires were applied: for user
identification, to check for hearing loss/tinnitus and on access to hearing
health services. RESULTS: Of the 578 subjects, 187 (31%) had hearing complaints
and 112 (20%) had tinnitus; of these, 72% have never had an audiological
evaluation, 12% received treatment for tinnitus without success, 16% reported
having hearing aids, 14% had hearing aid devices managed by SUS; 76% of the
sample showed the desire to carry out an assessment and be awarded hearing aids;
37% did not know where to seek help. CONCLUSION: The number of individuals with
hearing complaints in the studied group is significant, as is the number of
people with hearing complaints such as tinnitus who have never had a hearing
examination. The access of people to public programs is deficient in this group.
PMID- 27186935
TI - Clinical aspects of benign paroxysmal positional vertigo associated with
migraine.
AB - INTRODUCTION: Whether clinical features in patients with BPPV and migraine differ
from patients with BPPV without migraine is unknown. OBJECTIVES: The aim of this
study is to compare clinical aspects of patients with or without migraine.
MATERIALS AND METHOD: 263 patients with BPPV were enrolled. Patients were
investigated in terms of age, gender, symptoms, affected side, type of BPPV and
the cure rate. Data were compared in patients with and without migraine. Mean
values and standard deviations (+/- SD) were calculated. One way ANOVA test was
used for the analysis. Significance was set at p < 0.005. RESULTS: 32 patients
had migraine (11.4%). Gender ratio difference between groups was significant (4.2
vs. 1.3). Comparative analysis of average age between groups was not significant
(p = 0.069; 38.50 +/- 11.52, 43.38 +/- 13.83). Majority of patients had symptoms
less than 2 months and the difference was not significant (78.1% vs. 76%).
Comparative analysis of cure rate of the therapeutic maneuvers between 2 groups
was not significant (77% vs. 84%). CONCLUSION: Patients with BPPV may have
associated migraine. However, this study does not indicate that the presence of
migraine is a risk factor for BPPV for the cure since the therapeutic outcome is
similar.
PMID- 27186936
TI - Erratum.
PMID- 27186937
TI - From Nano to Macro through Hierarchical Self-Assembly: The DNA Paradigm.
AB - From atoms to molecules and bio-macromolecules, from organelles to cells,
tissues, to the whole living system, nature shows us that the formation of
complex systems with emergent properties originates from the hierarchical self
assembly of single components in guided bottom-up processes. By using DNA as a
fundamental building block with well-known self-recognition properties,
scientists have developed design rules and physical-chemical approaches for the
fully programmable construction of highly organized structures with nanosized
features. This review highlights the basic principles of hierarchical self
assembly in terms of type and number of distinguishable components and their
interaction energies. Such general concepts are then applied to DNA-based
systems. After a brief overview of the strategies used until now for the
construction of individual DNA units, such as DNA tile motifs and origami
structures, their self-association into assemblies of higher order is discussed.
Particular emphasis is given to the forces involved in the self-assembly process,
understanding and rational combination of which might help to coordinate the
single elements of hierarchical structures both in space and time, thus advancing
our efforts towards the creation of devices that mimic the complexity and
functionality of natural systems.
PMID- 27186938
TI - Clinical and safety outcomes of laparoscopic nephrectomy with renal
autotransplantation for the loin pain-hematuria syndrome: a 14-year longitudinal
cohort study.
AB - OBJECTIVE: The objective of this study is to assess clinical and safety outcomes
after laparoscopic nephrectomy with autotransplantation for loin pain-hematuria
syndrome (LPHS). METHODS: We conducted telephone interviews using structured
questionnaires and retrospectively reviewed data on all patients who underwent
laparoscopic nephrectomy with autotransplantation for LPHS between January 2000
and May 2014. RESULTS: A total of 24 laparoscopic nephrectomies with renal
autotransplantation of 21 patients with LPHS were reviewed. Eighty-three per cent
were female with a mean age of 31 years. Postoperatively, graft loss resulting in
subsequent nephrectomy occurred in two patients; However, no patients died
(median follow-up = 22 months, range 5 to 78 months) or required dialysis (median
follow-up = 10 months, range 0.2 to 178 months). There was no significant
difference in estimated glomerular filtration rate (eGFR) before and after
surgery (100 +/- 22 vs. 97 +/- 23 mL/min/1.73 m2 ; P = 0.37). Among 14 patients
(16 autotransplantation) with telephone follow-up, 14 (88%) resulted in pain
relief and no operation resulted in worsening pain. All procedures resulted in
immediate pain relief; pain recurrence was reported after two procedures.
However, those patients had pain-free period up to 36 months. The quality of life
was better after 15 (94%) autotransplantation with higher employment rate (44%
vs. 69%; P = 0.04). Two patients with graft loss reported better pain control and
quality of life. CONCLUSIONS: Renal autotransplantation is a possible treatment
option for LPHS refractory to conservative medical treatment. It can offer pain
relief and better quality of life. Despite postoperative risk, it seems to be
safe for survival and renal outcomes.
PMID- 27186939
TI - A Pilot Study Assessing the Effects of Pallidal Deep Brain Stimulation on Sleep
Quality and Polysomnography in Parkinson's Patients.
AB - OBJECTIVE: Deep Brain Stimulation (DBS) is an established adjunctive surgical
intervention to treat poorly controlled motor symptoms in Parkinson's disease
(PD). Both surgical targets (the subthalamic nucleus and globus pallidus) have
proven equally efficacious in treating motor symptoms but unique differences may
exist in effects on nonmotor symptoms. Sleep dysfunction, a common disabling
symptom in PD, has only been examined directly in the subthalamic target,
demonstrating some beneficial changes in sleep quality. We aimed to explore sleep
changes after pallidal stimulation; hypothesizing similar benefits would be seen.
METHODS: We performed a prospective nonblinded clinical trial evaluating sleep in
five PD patients already slated for pallidal DBS pre and six months
postimplantation using validated sleep surveys and polysomnograms (PSGs). Surveys
included the Epworth sleepiness scale, PD sleep scale, Insomnia severity index
(ISI), and RLS severity scale. RESULTS: Most patients had notable improvements in
sleep quality as measured by PSG metrics such as sleep efficiency and latency to
sleep but they did not reach statistical significance. Most surveys reflected an
improvement as well with the ISI scale showing the most promising trend post
pallidal DBS (14.4 +/- 7.02 vs. 9.0 +/- 2.55; p = 0.07). CONCLUSION: In this
small pilot trial, pallidal DBS failed to demonstrate statistically significant
improvements in sleep metrics postimplantation but did reveal improving trends in
several PSG measures including sleep efficiency and latency to sleep onset as
well as sleep survey scores. A larger, blinded clinical trial is needed to more
definitively determine whether pallidal DBS may benefit sleep.
PMID- 27186940
TI - KIT polymorphisms were associated with the risk for head and neck squamous
carcinoma in Chinese population.
AB - KITLG/KIT pathway plays a vital role in multiple types of human cancer including
head and neck squamous cell carcinoma (HNSCC). Genetic variations in KITLG and
KIT may affect the expression or function of these genes, thereby modifying
cancer risk. In this study, we evaluated the association of KITLG and KIT
polymorphisms with HNSCC risk among Chinese population. Twenty-two tagging SNPs
in KITLG and KIT genes were genotyped in a case-control study with 576 HNSCC
patients and 1552 healthy controls. Logistic regression analyses revealed that an
upstream SNP rs6554198 [additive model: adjusted odds ratio (OR) = 0.85, 95%
confidence interval (CI) = 0.74-0.97, P = 0.019] and two intron SNPs rs2237025
(additive model: adjusted OR = 0.82, 95%CI = 0.70-0.95, P = 0.007), and
rs17084687 (additive model: adjusted OR = 0.85, 95%CI = 0.73-0.99, P = 0.042) of
KIT were significantly associated with the decreased risk of HNSCC. Combined
analysis of the three SNPs showed that subjects carrying the protective alleles
had decreased risk of HNSCC in a dose-response manner (Ptrend = 0.001).
Furthermore, interaction analyses revealed a significant multiplicative
interaction between rs17084687 and drinking on HNSCC risk (P = 0.012). Luciferase
activity assay indicated that the allele A of potentially functional rs6554198
led to significantly lower transcription activity of KIT compared to the risk
allele G. Summarily, our findings suggested that SNPs in KIT gene may play a role
in genetic susceptibility to HNSCC, which may improve our understanding of the
pathogenic mechanisms of this disease. (c) 2016 Wiley Periodicals, Inc.
PMID- 27186941
TI - Morbidities in non-transfusion-dependent thalassemia.
AB - Patients with non-transfusion-dependent thalassemia (NTDT) experience a wide
array of clinical complications despite their independence from frequent, regular
red blood cell (RBC) transfusions. According to the current understanding of
NTDT, these clinical complications stem from the interaction of multiple
pathophysiological factors: ineffective erythropoiesis, iron overload, and
hypercoagulability. The state of chronic anemia and hypoxia-resulting from
ineffective erythropoiesis and hemolysis-leads to the expansion of the erythroid
marrow and extramedullary hematopoiesis. The chronic ineffective erythropoiesis
also triggers increased intestinal iron absorption and deposition in the liver
and endocrine glands despite the lack of transfusional iron load. Patients with
NTDT also have a higher incidence of thromboembolic disease, pulmonary
hypertension, and silent cerebral ischemia. The treatment of NTDT relies on
occasional or more frequent blood transfusions for certain indications (severe
infection, pregnancy, and surgery), iron chelation therapy, splenectomy, and
hydroxyurea. Splenectomy is no longer routinely performed in all patients with
NTDT in light of its association with increased risk of NTDT-related
complications. This review focuses on the clinical morbidities associated with
NTDT, summarizes the mainstays of treatment, and sheds light on future
therapeutic directions in the field.
PMID- 27186942
TI - Management of iron overload in hemoglobinopathies: what is the appropriate target
iron level?
AB - Patients with thalassemia become iron overloaded from increased absorption of
iron, ineffective erythropoiesis, and chronic transfusion. Before effective iron
chelation became available, thalassemia major patients died of iron-related
cardiac failure in the second decade of life. Initial treatment goals for
chelation therapy were aimed at levels of ferritin and liver iron concentrations
associated with prevention of adverse cardiac outcomes and avoidance of chelator
toxicity. Cardiac deaths were greatly reduced and survival was much longer.
Epidemiological data from the general population draw clear associations between
increased transferrin saturation (and, by inference, labile iron) and early
death, diabetes, and malignant transformation. The rate of cancers now seems to
be significantly higher in thalassemia than in the general population. Reduction
in iron can reverse many of these complications and reduce the risk of
malignancy. As toxicity can result from prolonged exposure to even low levels of
excess iron, and survival in thalassemia patients is now many decades, it would
seem prudent to refocus attention on prevention of long-term complications of
iron overload and to maintain labile iron and total body iron levels within a
normal range, if expertise and resources are available to avoid complications of
overtreatment.
PMID- 27186943
TI - Current recommendations for chelation for transfusion-dependent thalassemia.
AB - Regular red cell transfusions used to treat thalassemia cause iron loading that
must be treated with chelation therapy. Morbidity and mortality in thalassemia
major are closely linked to the adequacy of chelation. Chelation therapy removes
accumulated iron and detoxifies iron, which can prevent and reverse much of the
iron-mediated organ injury. Currently, three chelators are commercially available
-deferoxamine, deferasirox, and deferiprone--and each can be used as monotherapy
or in combination. Close monitoring of hepatic and cardiac iron burden is central
to tailoring chelation. Other factors, including properties of the individual
chelators, ongoing transfusional iron burden, and patient preference, must be
considered. Monotherapy generally is utilized if the iron burden is in an
acceptable or near-acceptable range and the dose is adjusted accordingly.
Combination chelation often is employed for patients with high iron burden, iron
related organ injury, or where adverse effects of chelators preclude
administration of an appropriate chelator dose. The combination of deferoxamine
and deferiprone is the best studied, but increasing data are available on the
safety and efficacy of newer chelator combinations, including deferasirox with
deferoxamine and the oral-only combination of deferasirox with deferiprone. The
expanding chelation repertoire should enable better control of iron burden and
improved outcomes.
PMID- 27186944
TI - Higher all-cause hospitalization among patients with chronic hepatitis C: the
Chronic Hepatitis Cohort Study (CHeCS), 2006-2013.
AB - In the United States, hospitalization among patients with chronic hepatitis C
virus (HCV) infection is high. The healthcare burden associated with
hospitalization is not clearly known. We analysed data from the Chronic Hepatitis
Cohort Study, an observational cohort of patients receiving care at four
integrated healthcare systems, collected from 2006 to 2013 to determine all-cause
hospitalization rates of patients with chronic HCV infection and the other health
system patients. To compare the hospitalization rates, we selected two health
system patients for each chronic HCV patient using their propensity score (PS).
Propensity score matching was conducted by site, gender, race, age and household
income to minimize differences attributable to these characteristics. We also
compared primary reason for hospitalization between chronic HCV patients and the
other health system patients. Overall, 10 131 patients with chronic HCV infection
and 20 262 health system patients were selected from the 1 867 802 health system
patients and were matched by PS. All-cause hospitalization rates were 27.4 (27.0
27.8) and 7.4 (7.2-7.5) per 100 persons-year (PY) for chronic HCV patients and
for the other health system patients, respectively. Compared to health system
patients, hospitalization rates were significantly higher by site, gender, age
group, race and household income among chronic HCV patients (P < 0.001). Compared
to health system patients, chronic HCV patients were more likely to be
hospitalized from liver-related conditions (RR = 24.8, P < 0.001). Hence,
patients with chronic HCV infection had approximately 3.7-fold higher all-cause
hospitalization rate than other health system patients. These findings highlight
the incremental costs and healthcare burden of patients with chronic HCV
infection associated with hospitalization.
PMID- 27186945
TI - Single-Amino Acid Modifications Reveal Additional Controls on the Proton Pathway
of [FeFe]-Hydrogenase.
AB - The proton pathway of [FeFe]-hydrogenase is essential for enzymatic H2 production
and oxidation and is composed of four residues and a water molecule. A
computational analysis of this pathway in the [FeFe]-hydrogenase from Clostridium
pasteurianum revealed that the solvent-exposed residue of the pathway (Glu282)
forms hydrogen bonds to two residues outside of the pathway (Arg286 and Ser320),
implying that these residues could function in regulating proton transfer. In
this study, we show that substituting Arg286 with leucine eliminates hydrogen
bonding with Glu282 and results in an ~3-fold enhancement of H2 production
activity when methyl viologen is used as an electron donor, suggesting that
Arg286 may help control the rate of proton delivery. In contrast, substitution of
Ser320 with alanine reduces the rate ~5-fold, implying that it either acts as a
member of the pathway or influences Glu282 to permit proton transfer.
Interestingly, quantum mechanics/molecular mechanics and molecular dynamics
calculations indicate that Ser320 does not play a structural role or indirectly
influence the barrier for proton movement at the entrance of the channel. Rather,
it may act as an additional proton acceptor for the pathway or serve in a
regulatory role. While further studies are needed to elucidate the role of
Ser320, collectively these data provide insights into the complex proton
transport process.
PMID- 27186947
TI - Detection of Human Papillomavirus Genotypes and Major BRCA Mutations in Familial
Breast Cancer.
AB - Breast cancer is a multistep disease and infection with a DNA virus could play a
role in one or more of the steps in this pathogenic process. High-risk human
papillomaviruses (HPVs) are the causative agents of several cancers. In this
study, we investigated HPV genotypes associated with breast cancer and its
relationship with BRCA mutation for the detection of familial breast cancer. We
analyzed 84 formalin-fixed, paraffin-embedded tissue blocks from 38 familial
breast cancer and 46 nonfamilial breast cancer samples by multiplex polymerase
chain reaction and clinical parameters. Overall prevalence of HPV infection was
27 of 84: 10 (37.03%) HPV-16, 9 (29.62%) HPV-18, 4 (14.81%) HPV-11, 1 (3.7%) HPV
31, 1 (3.7%) HPV-33, and 2 (7.4%) HPV35. Furthermore, 17 mtDNA4977 deletions and
5 5382insC mutations were detected from 38 familial breast cancer samples. Our
results demonstrate that infection with HPV was prevalent among Iranian women
with familial breast cancer and the testing of mtDNA4977 deletions and 5382insC
mutations in combination with clinical parameters as major risk factors can serve
in the identification of familial breast cancer.
PMID- 27186946
TI - Ginkgolide K protects the heart against endoplasmic reticulum stress injury by
activating the inositol-requiring enzyme 1alpha/X box-binding protein-1 pathway.
AB - BACKGROUND AND PURPOSE: Endoplasmic reticulum (ER) stress is increasingly
recognized as an important causal factor of many diseases. Targeting ER stress
has now emerged as a new therapeutic strategy for treating cardiovascular
diseases. Here, we investigated the effects and underlying mechanism of
ginkgolide K (1,10-dihydroxy-3,14-didehydroginkgolide, GK) on cardiac ER stress.
EXPERIMENTAL APPROACH: Cell death, apoptosis and ER stress-related signalling
pathways were measured in cultured neonatal rat cardiomyocytes, treated with the
ER stress inducers tunicamycin, hydrogen peroxide and thapsigargin. Acute
myocardial infarction was established using left coronary artery occlusion in
mice, and infarct size was measured by triphenyltetrazolium chloride staining.
Echocardiography was used to assess heart function and transmission electron
microscopy for evaluating ER expansion. KEY RESULTS: Ginkgolide K (GK)
significantly decreased ER stress-induced cell death in both in vitro and in vivo
models. In ischaemic injured mice, GK treatment reduced infarct size, rescued
heart dysfunction and ameliorated ER dilation. Mechanistic studies revealed that
the beneficial effects of GK occurred through enhancement of inositol-requiring
enzyme 1alpha (IRE1alpha)/X box-binding protein-1 (XBP1) activity, which in turn
led to increased ER-associated degradation-mediated clearance of misfolded
proteins and autophagy. In addition, GK was also able to partly repress the pro
apoptotic action of regulated IRE1-dependent decay and JNK pathway. CONCLUSIONS
AND IMPLICATIONS: In conclusion, GK acts through selective activation of the
IRE1alpha/XBP1 pathway to limit ER stress injury. GK is revealed as a promising
therapeutic agent to ameliorate ER stress for treating cardiovascular diseases.
PMID- 27186953
TI - Anti-Diabetic Agent Sodium Tungstate Induces the Secretion of Pro- and Anti
Inflammatory Cytokines by Human Kidney Cells.
AB - Diabetic kidney disease (DKD) is the major cause of end stage renal disease.
Sodium tungstate (NaW) exerts anti-diabetic and immunomodulatory activities in
diabetic animal models. Here, we used primary cultures of renal proximal tubule
epithelial cells derived from type-2-diabetic (D-RPTEC) and non-diabetic (N
RPTEC) subjects as in vitro models to study the effects of NaW on cytokine
secretion, as these factors participate in intercellular regulation of
inflammation, cell growth and death, differentiation, angiogenesis, development,
and repair, all processes that are dysregulated during DKD. In basal conditions,
D-RPTEC cells secreted higher levels of prototypical pro-inflammatory IL-6, IL-8,
and MCP-1 than N-RPTEC cells, in agreement with their diabetic phenotype.
Unexpectedly, NaW further induced IL-6, IL-8, and MCP-1 secretion in both N- and
D-RPTEC, together with lower levels of IL-1 RA, IL-4, IL-10, and GM-CSF,
suggesting that it may contribute to the extent of renal damage/repair during
DKD. Besides, NaW induced the accumulation of IkappaBalpha, the main inhibitor
protein of one major pathway involved in cytokine production, suggesting further
anti-inflammatory effect in the long-term. A better understanding of the
mechanisms involved in the interplay between the anti-diabetic and
immunomodulatory properties of NaW will facilitate future studies about its
clinical relevance. J. Cell. Physiol. 232: 355-362, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27186954
TI - Mitomycin-C+fluoropyrimidines in heavily pretreated metastatic colorectal cancer:
a systematic review and evidence synthesis.
AB - Mitomycin-C (MMC) combined with fluoropyrimidines has historically been used for
pretreated patients with some activity in this setting, in particular, as third
line chemotherapy (CT) or beyond. We have evaluated the efficacy and safety of
MMC-based therapy as a further line of CT in advanced colorectal cancer.
Prospective or retrospective studies of MMC-based CT were included in the pooled
analysis. PubMed, EMBASE, SCOPUS, Web of Science, the Cochrane Library database
and CINAHL were searched systematically. The outcomes were progression-free
survival, overall survival, overall response rate and grades 3-4 drug-related
adverse events. Seventeen trials involving 681 patients were included in the
analysis. Overall, the pooled average weighted progression-free survival and
overall survival were 2.84 [95% confidence interval (CI) 2.5-3.1] and 7.47 (95%
CI 6-8.9) months, respectively. The corresponding pooled overall response rate
was 7.2% (95% CI 5.2-9.9%) and the pooled disease control rate was 38.7% (95% CI
31.7-46.3%). The G3-4 neutropenia and anaemia were the most frequent
haematological toxicities (range 0-20%). Nonhaematological G3-4 toxicities were
compatible with the associated agent. MMC with fluoropyrimidines represents a
viable and active combination for pretreated metastatic colorectal cancer
patients. It is thus an option when other agents have failed, or are unavailable
or not indicated.
PMID- 27186955
TI - The Feasibility of Physical Activity Interventions During the Intense Treatment
Phase for Children and Adolescents with Cancer: A Systematic Review.
AB - BACKGROUND: Physical activity may have benefits for children undergoing intense
treatment for cancer, but such programmes are challenging to implement. This
systematic review aimed to investigate the feasibly of physical activity
interventions during intense cancer treatment for children and adolescents.
PROCEDURE: A systematic search of seven electronic databases (Cumulative Index to
Nursing and Allied Health Literature, Medical Literature Analysis and Retrieval
System Online, Public/Publisher MEDLINE, Psychological Information Database,
Sportsdiscuss, Excerpta Medica Database, Allied and Complementary Medicine
Database) from 2005 to August 2015 was completed. The risk of bias was assessed
using the Downs and Black Checklist and The Critical Review Form-Qualitative
Studies. Results were summarised descriptively across eight domains of
feasibility: acceptability, demand, implementation, adaptation, practicality,
integration, expansion and limited efficiency testing (including effectiveness).
RESULTS: Eleven quantitative studies and one qualitative study were identified
for inclusion. Physical activity interventions were typically supervised,
individualised programmes that prescribed a variety of activity types for
hospital inpatients. There was evidence that physical activity interventions
during the intense phase of cancer treatment were acceptable to parents and
children, safe and successfully implemented. A trend of positive effects across
all aspects of functioning was noted. Data were unavailable documenting
feasibility for the domains of integration, adaptation and expansion. CONCLUSION:
There is preliminary evidence that physical activity interventions are feasible,
in that they are acceptable, safe and potentially beneficial for children with
cancer but more work needs to be done to understand the most effective ways to
implement these types of programmes.
PMID- 27186956
TI - Simple and practical screening approach to identify HIV-infected individuals with
depression or at risk of developing depression.
AB - OBJECTIVES: Studies have shown that depression and other mental illnesses are
under-diagnosed among HIV-infected individuals. The aim of this study was to
evaluate the use of mental health history and questionnaire-based screening
instruments to identify HIV-infected individuals at risk of depression. METHODS:
The Beck Depression Inventory II (BDI-II) was used to assess the prevalence and
severity of depressive symptoms among HIV-infected individuals attending two out
patient clinics in Denmark. HIV-infected individuals with a BDI-II score >= 20
were offered a clinical evaluation by a consultant psychiatrist. The BDI-II score
was compared to the outcome of mental health history review, and to results
obtained using the European AIDS Clinical Society (EACS) two-item depression
screening tool. RESULTS: A total of 501 HIV-infected individuals were included in
the study. Symptoms of moderate/major depression (BDI-II score >= 20) were
observed in 111 patients (22%); 65 of these patients consulted a psychiatrist, of
whom 71% were diagnosed with a co-existing disorder. The BDI-II score was
compared to the outcome of a mental health history review, and to results
obtained using the European AIDS Clinical Society (EACS) two-item depression
screening tool. The two questions showed a sensitivity and specificity of 95% and
68%, respectively, for diagnosis of current depression or risk of depression. A
previous psychiatric history and substance abuse were independently associated
with an increased risk of depression. CONCLUSIONS: We suggest that the mental
health of HIV-infected individuals should be reviewed and a "risk-flag" three
step approach should be used (1) to screen routinely with the two verbal
questions suggested by the EACS, (2) to identify whether there is a risk of
depression and then screen with the BDI-II, and (3) to identify whether there is
still a risk and then perform a full evaluation and obtain an accurate
psychiatric diagnosis by a psychiatrist.
PMID- 27186957
TI - Gossypol-Capped Mitoxantrone-Loaded Mesoporous SiO2 NPs for the Cooperative
Controlled Release of Two Anti-Cancer Drugs.
AB - Mesoporous SiO2 nanoparticles, MP-SiO2 NPs, are functionalized with the boronic
acid ligand units. The pores of the MP-SiO2 NPs are loaded with the anticancer
drug mitoxantrone, and the pores are capped with the anticancer drug gossypol.
The resulting two-drug-functionalized MP-SiO2 NPs provide a potential stimuli
responsive anticancer drug carrier for cooperative chemotherapeutic treatment. In
vitro experiments reveal that the MP-SiO2 NPs are unlocked under environmental
conditions present in cancer cells, e.g., acidic pH and lactic acid overexpressed
in cancer cells. The effective unlocking of the capping units under these
conditions is attributed to the acidic hydrolysis of the boronate ester capping
units and to the cooperative separation of the boronate ester bridges by the
lactate ligand. The gossypol-capped mitoxantrone-loaded MP-SiO2 NPs reveals
preferential cytotoxicity toward cancer cells and cooperative chemotherapeutic
activities toward the cancer cells. The MCF-10A epithelial breast cells and the
malignant MDA-MB-231 breast cancer cells treated with the gossypol-capped
mitoxantrone-loaded MP-SiO2 NPs revealed after a time-interval of 5 days a cell
death of ca. 8% and 60%, respectively. Also, the gossypol-capped mitoxantrone
loaded MP-SiO2 NPs revealed superior cancer-cell death (ca. 60%) as compared to
control carriers consisting of beta-cyclodextrin-capped mitoxantrone-loaded (ca.
40%) under similar loading of the mitoxantrone drug. The drugs-loaded MP-SiO2 NPs
reveal impressive long-term stabilities.
PMID- 27186958
TI - Relationship between obesity and hearing loss.
AB - CONCLUSION: Underweight and severe obesity were associated with an increased
prevalence of hearing loss in a Korean population. OBJECTIVE: The relationship
between weight and hearing loss is unclear. This study, therefore, analyzed the
relationship between hearing loss and body mass index (BMI) in a Korean
population. METHODS: The prevalence and severity of hearing loss were assessed in
61 052 subjects who underwent health screening examinations, including hearing
tests. Subjects were divided into five groups according to BMI (underweight,
normal, overweight, obese, and severely obese). The relationships between the
prevalence and severity of hearing loss and BMI were analyzed, after adjusting
for the effects of age. RESULTS: The rates of mild or greater hearing loss in the
underweight, normal, overweight, obese, and severely obese groups were 24.9%,
20.4%, 21.8%, 21.2%, and 24.1%, respectively. Mild severity of hearing loss was
the most common in all five groups, followed by moderate, moderately severe, and
severe hearing loss, in that order. Multivariate analysis, showed that the odds
ratios of hearing loss in the severely obese, and underweight groups, compared
with the normal group, were 1.312 and 1.282, respectively.
PMID- 27186959
TI - External validation of risk stratification strategy in the use of renal
ultrasonography in the evaluation of acute kidney injury.
AB - BACKGROUND: Per the American College of Radiology Appropriateness Criteria, renal
ultrasound is the most appropriate imaging examination to evaluate patients with
acute kidney injury. However, recent studies suggest that renal ultrasound may be
more selectively performed, which could lead to reductions in the use of medical
imaging. OBJECTIVE: Evaluate a published risk stratification prediction model
(the Licurse model) for using renal ultrasound in hospitalized patients with
acute kidney injury. DESIGN: Prospective, observational cohort study. SETTING: A
793-bed, quaternary care, academic hospital. PATIENTS: All adult hospitalized
patients who underwent renal ultrasound for the indication of acute kidney
injury. INTERVENTION/EXPOSURE: None. MEASUREMENTS: Primary outcome was rate of
hydronephrosis diagnosed on ultrasound. Secondary outcome was rate of
hydronephrosis resulting in urologic intervention. RESULTS: Of 778 patients who
underwent renal ultrasonography to evaluate acute kidney injury, hydronephrosis
was present in 106 (13.6%); urologic intervention was performed in 23 patients
(3.0%). The Licurse model had sensitivity of 91.3% (95% confidence interval [CI]:
73.2%-97.6%) for urologic intervention and 93.4% (95% CI: 87.2%-96.8%) for
hydronephrosis, respectively. Specificity was low for urologic intervention
(23.0% [95% CI: 20.2-26.2]) and hydronephrosis (25.1% [95% CI: 22.0-28.6]). We
estimated that for 22.6% of patients, hydronephrosis could be ruled out based on
clinical predictors. CONCLUSIONS: We found that the Licurse renal ultrasonography
risk stratification model was sufficiently accurate in classifying patients at
risk for ureteral obstruction among hospitalized patients with acute kidney
injury. Journal of Hospital Medicine 2016;11:763-767. (c) 2016 Society of
Hospital Medicine.
PMID- 27186961
TI - DFT Study of the Reaction Mechanisms of Carbon Dioxide and its Isoelectronic
Molecules CS2 and OCS Dissolved in Pyrrolidinium and Imidazolium Acetate Ionic
Liquids.
AB - The reaction mechanisms of CO2 and its isoelectronic molecules OCS and CS2
dissolved in N-butyl-N-methylpyrrolidinium acetate and in 1-butyl-3
methylimidazolium acetate were investigated by DFT calculations in "gas phase".
The analysis of predicted multistep pathways allowed calculating energies of
reaction and energy barriers of the processes. The major role played by the
acetate anion in the degradation of the solutes CS2 and OCS as well as in the
capture of OCS and CO2 by the imidazolium ring is highlighted. In both ionic
liquids, this anion governs the conversion of CS2 into OCS and of OCS into CO2
through interatomic S-O exchanges between the anion and the solutes with
formation of thioacetate anions. In imidazolium acetate, the selective capture of
CS2 and OCS by the imidazolium ring competes with the S-O exchanges. From the
calculated values of the energy barriers a basicity scale of the anions is
proposed. The (13)C NMR chemical shifts of the predicted adducts were calculated
and agree well with the experimental observations. It is argued that the scenario
issued from the calculated pathways is shown qualitatively to be independent from
the functionals and basis set used, constitute a valuable tool in the
understanding of chemical reactions taking place in liquid phase.
PMID- 27186962
TI - Youngest case of ductal carcinoma in situ arising within a benign phyllodes
tumour: A case report.
AB - INTRODUCTION: Phyllodes tumour (PT) is a rare tumour of the female breast. The
tumour clinically and radiologically mimics the features of a fibroadenoma.
Ductal carcinoma in situ (DCIS) in the epithelial component of PT is a very rare
finding. PRESENTATION OF CASE: We present youngest ever case of a 23-year-old
nulliparous woman with high-grade ductal carcinoma in situ arising within a
benign phyllodes tumor. Macroscopically, it is a homogeneous tumour with solid
components. Microscopically, it features typical leaf-like pattern with
hypercellular stroma with high-grade ductal carcinoma in situ. DISCUSSION: To
date, eight such rare cases of benign phyllodes tumour with ductal carcinoma in
situ have been documented. We report the youngest case known in literature so
far. CONCLUSION: As this is a very rare presentation, it poses several challenges
in regard to both management and follow-up.
PMID- 27186963
TI - Third trimester abnormal oral glucose tolerance test and adverse perinatal
outcome.
AB - OBJECTIVE: To compare perinatal outcome of women after third trimester oral
glucose tolerance test (GTT) following normal glucose challenge test (GCT)
stratified by test results. STUDY DESIGN: Retrospective cohort study of women
delivered in a tertiary, university affiliated medical center (2007-2012).
Inclusion criteria were women with a normal 50 g GCT (<140 mg/dl) followed by
GTT, who delivered a live-born fetus >28 gestational weeks. Gestational diabetes
mellitus (GDM) was defined as >=2 pathological values on GTT (Carpenter and
Coustan's criteria). Perinatal outcome was stratified by GTT results: normal (if
all 4 values were normal), single pathological value or GDM. Logistic regression
analysis was utilized to adjust outcomes to potential confounders. RESULTS:
Overall, 323 women met inclusion criteria. Of them, 277 (85.8%) had 4 normal
values, 32 (9.9%) had a single pathological value and 14 (4.3%) had late-onset
GDM. Infants of mothers diagnosed and treated as GDM had lower birth weights,
compared to non-diabetics and those with a single pathological value GTT. Mothers
with GTT >=1 pathological values had statistically insignificant higher rates of
cesarean delivery. However, this difference was not significant after adjustment
to potential confounders. CONCLUSION: Treatment of late-onset GDM may lead to
lower birthweights, presumably due to glucose control. No association was found
with cesarean delivery or neonatal outcome.
PMID- 27186960
TI - Leaving Group Ability Observably Affects Transition State Structure in a Single
Enzyme Active Site.
AB - A reaction's transition state (TS) structure plays a critical role in determining
reactivity and has important implications for the design of catalysts, drugs, and
other applications. Here, we explore TS structure in the enzyme alkaline
phosphatase using hybrid Quantum Mechanics/Molecular Mechanics simulations. We
find that minor perturbations to the substrate have major effects on TS structure
and the way the enzyme stabilizes the TS. Substrates with good leaving groups
(LGs) have little cleavage of the phosphorus-LG bond at the TS, while substrates
with poor LGs have substantial cleavage of that bond. The results predict
nonlinear free energy relationships for a single rate-determining step, and
substantial differences in kinetic isotope effects for different substrates; both
trends were observed in previous experimental studies, although the original
interpretations differed from the present model. Moreover, due to different
degrees of phosphorus-LG bond cleavage at the TS for different substrates, the LG
is stabilized by different interactions at the TS: while a poor LG is directly
stabilized by an active site zinc ion, a good LG is mainly stabilized by active
site water molecules. Our results demonstrate the considerable plasticity of TS
structure and stabilization in enzymes. Furthermore, perturbations to reactivity
that probe TS structure experimentally (i.e., substituent effects) may
substantially perturb the TS they aim to probe, and thus classical experimental
approaches such as free energy relations should be interpreted with care.
PMID- 27186964
TI - Development of explicit diffraction corrections for absolute measurements of
acoustic nonlinearity parameters in the quasilinear regime.
AB - In absolute measurements of acoustic nonlinearity parameters, amplitudes of
harmonics must be corrected for diffraction effects. In this study, we develop
explicit multi-Gaussian beam (MGB) model-based diffraction corrections for the
first three harmonics in weakly nonlinear, axisymmetric sound beams. The effects
of making diffraction corrections on nonlinearity parameter estimation are
investigated by defining "total diffraction correction (TDC)". The results
demonstrate that TDC cannot be neglected even for harmonic generation experiments
in the nearfield region.
PMID- 27186965
TI - Spatial perspectives in the redox code-Mass spectrometric proteomics studies of
moonlighting proteins.
AB - The Redox Code involves specific, reversible oxidative changes in proteins that
modulate protein tertiary structure, interactions, trafficking, and activity, and
hence couple the proteome to the metabolic/oxidative state of cells. It is
currently a major focus of study in cell biology. Recent studies of dynamic
cellular spatial reorganization with MS-based subcellular-spatial-razor
proteomics reveal that protein constituents of many subcellular structures,
including mitochondria, the endoplasmic reticulum, the plasma membrane, and the
extracellular matrix, undergo changes in their subcellular abundance/distribution
in response to oxidative stress. These proteins are components of a diverse
variety of functional processes spatially distributed across cells. Many of the
same proteins are involved in response to suppression of DNA replication indicate
that oxidative stress is strongly intertwined with DNA replication/proliferation.
Both are replete with networks of moonlighting proteins that show coordinated
changes in subcellular location and that include primary protein actuators of the
redox code involved in the processing of NAD+ /NADH, NADP+ /NADPH, Cys/CySS, and
GSH/GSSG redox couples. Small groups of key proteins such as {KPNA2, KPNB1, PCNA,
PTMA, SET} constitute "spatial switches" that modulate many nuclear processes.
Much of the functional response involves subcellular protein trafficking,
including nuclear import/export processes, vesicle-mediated trafficking, the
endoplasmic reticulum/Golgi pathway, chaperone-assisted processes, and other
transport systems. This is not visible to measurements of total protein abundance
by transcriptomics or proteomics. Comprehensive pictures of cellular function
will require collection of data on the subcellular transport and local functions
of many moonlighting proteins, especially of those with critical roles in spatial
coordination across cells. The proteome-wide analysis of coordinated changes in
abundance and trafficking of proteins offered by MS-based proteomics has a
unique, crucial role to play in deciphering the complex adaptive systems that
underlie cellular function. (c) 2016 Wiley Periodicals, Inc. Mass Spec Rev.
PMID- 27186966
TI - A Self-Determination Perspective on Online Health Information Seeking: The
Internet vs. Face-to-Face Office Visits With Physicians.
AB - This study elucidates the experiential and motivational aspects of online health
information beyond the theoretically limited instrumental perspective that
dominates the extant literature. Based on a sample of 993 online health
information seekers in India, the survey found that online health information
seeking offers individuals greater autonomy, competence, and relatedness compared
to face-to-face office visits with physicians. According to self-determination
theory, individuals are motivated to act by a sense of volition and experience of
willingness, validation of one's skills and competencies, and feeling of
connection with others who shaped one's decisions. These 3 psychological needs,
which motivate individuals to pursue what they innately seek as human beings,
help explain why individuals turn online for health information. T tests showed
that all 3 self-determination theory constructs -autonomy, competence, and
relatedness-were higher for online health information seeking than for face-to
face office visits with physicians. A regression analysis found that 2 variables,
autonomy and relatedness, explained online health information seeking. Competence
was not a significant factor, likely because of competency issues faced by
individuals in interpreting, understanding, and making use of online health
information. The findings, which do not suggest that online health information
seeking would displace physicians as many have feared, offer promise for an
integrated system of care. Office visits with physicians would necessarily evolve
into an expanded communicative space of health information seeking instead of an
alternative channel for health information.
PMID- 27186967
TI - Interleukin 10 protects primary melanocyte by activation of Stat-3 and
PI3K/Akt/NF-kappaB signaling pathways.
AB - Vitiligo is a common melanocytopenic disorder of the skin, with acquired focal
depigmentation. Normal human skin relies on melanocytes to provide
photoprotection and thermoregulation by producing melanin. Interleukin 10 (IL-10)
is a pleiotropic immunoregulatory cytokine drawing more and more researchers'
attention. The present study was conducted to investigate the effects of IL-10 on
melanocytes and elucidate the underlying mechanisms. We proved that IL-10 play no
role in regulating melanogenesis of normal human foreskin-derived epidermal
melanocytes (NHEM). IL-10 stimulation activated the JAK/Stat-3 and PI3K/Akt
signaling pathways. Moreover, IL-10 treatment increased translocation of p65 NF
kappaB into the nuclear compartment, and up-regulated expression of the pro
survival proteins Bcl-2 and Bcl-xL. IL-10 restored anti-apoptotic proteins
expression and suppressed cytochrome c release in H2O2-induced apoptosis. In
conclusion, IL-10 may provide pro-survival cues to melanocytes and be applied in
the treatment of vitiligo and other depigmenting disorders.
PMID- 27186968
TI - Acute variegate porphyria presenting with reversible cerebral vasoconstriction.
PMID- 27186969
TI - Mediated configural learning in rats.
AB - Three experiments investigated mediated configural learning in male rats. In
Experiment 1, after exposure to audio-visual compounds AX and BY, rats received
trials where X was paired with shock, and Y was not. The idea that conditioning
with X enables the evoked configural representation of AX to be linked to shock
received support from the facts that while AX provoked more fear than BX, there
was no difference between BY and AY. Similarly, Experiment 2 showed that after
exposure to AX and BY, separate pairings of X and Y with shock resulted in more
fear to AX and BY than AY and BX. In Experiment 3, rats in group consistent
received separate exposures to A and X in Context C, and B and Y in D, while
those in group inconsistent received A and X (and B and Y) in both C and D. After
rats had received shocks in both C and D, rats in group consistent showed more
fear to AX and BY than to BX and AY, but this was not the case in group
inconsistent. These results indicate that configural representations, formed
either by presenting auditory and visual stimuli as parts of a compound or in a
shared context, are subject to a process of mediated learning.
PMID- 27186970
TI - A donor-acceptor triptycene-coumarin hybrid dye featuring a charge separated
excited state and AIE properties.
AB - A triptycene-coumarin hybrid dye DCT-1 with a 1,4-dimethoxybenzene group as the
electron donor and a coumarin fluorophore as the acceptor on the separated fins
of a triptycene was synthesized. DCT-1 features a charge separated excited state
with emissions sensitive to solvent polarities. Moreover, DCT-1 also exhibits
aggregation-induced emission properties in water with excellent photostability
and pH-stability for potential cell imaging applications.
PMID- 27186971
TI - Huaiqihuang may protect from proteinuria by resisting MPC5 podocyte damage via
targeting p-ERK/CHOP pathway.
AB - The purpose of this study was to investigate the potential effects of Huaiqihuang
(HQH) granule, a Chinese herbal medicine, in treating proteinuria and to reveal
its possible mechanism. MPC5 podocytes were cultured in vitro at 37 degrees C and
induced with tunicamycin (TM). The TM-induced cells were treated with HQH at
different concentrations. The cell proliferation was detected using the MTT
assay. The optimal effective dose of HQH for MPC5 cells was determined by the MTT
assay and LDH assay respectively. The influences of HQH on the proteinuria
related protein expression and the signaling pathway associated protein
expression were also detected using quantitative reverse transcription PCR and
Western blotting analysis. The results showed that the MPC5 cell model was
successfully constructed in vitro. The HQH application could improve the harmful
effects induced by TM on the MPC5 cells, including promoted cell proliferation
and suppressed cell apoptosis. Furthermore, the protein expression, including
podocin, nephrin, and synaptopodin was down-regulated by the TM treatment in the
MPC5 cells. On contrary, the expression of these proteins was up-regulated after
the HQH application. Also, the effect of TM on integrin alpha3 and integrin beta1
expressions was also reversed by the HQH treatment. Moreover, the HQH application
decreased the expression of p-ERK and DNA-damage-inducible transcript 3 (DDIT3 or
CHOP) in the MPC5 cells, which was opposite to the effect observed in the cells
treated with TM. Taken together, our study suggest that HQH application may
protect podocytes from TM damage by suppressing the p-ERK/CHOP signaling pathway.
PMID- 27186972
TI - Thiol/disulfide homeostasis in patients with ankylosing spondylitis.
AB - Ankylosing spondylitis (AS) is a chronic inflammatory disease. In many
inflammatory diseases, increased production of pro-inflammatory cytokines is
associated with an increase in oxidative stress mediators. Thiol/disulfide
homeostasis is a marker for oxidative stress. The aim of this study was to
examine the dynamic thiol/disulfide homeostasis in AS. Sixty-nine patients with
AS and 60 age- and sex-matched controls were included in the study. The Bath
Ankylosing Spondylitis Disease Activity Index (BASDAI) and visual analogue scale
(VAS) were used to determine the disease activity. Native thiol, total thiol, and
disulfide levels were measured with a novel automated method recently described
by Erel and Neselioglu. The aforementioned method is also optionally manual
spectrophotometric assay. The total thiol levels were significantly lower in the
AS group compared with the control group (p = 0.03). When the patients were
divided into active (n = 35) and inactive (n = 34) subgroups using BASDAI scores,
the native plasma thiol and total thiol levels were significantly lower in the
active AS patients compared to the inactive AS patients (p = 0.02, p = 0.03
respectively). There was a negative correlation between the plasma native thiol
levels and VAS, BASDAI scores. Thiol/disulfide homeostasis may be used for
elucidating the effects of oxidative stress in AS. Understanding the role of
thiol/disulfide homeostasis in AS might provide new therapeutic intervention
strategies for patients.
PMID- 27186973
TI - Glutathione protects against hepatic injury in a murine model of primary
Sjogren's syndrome.
AB - Primary Sjogren's syndrome (pSS) is a systemic autoimmune disease which may cause
complications such as hepatic dysfunction and injury. As an important
antioxidant, reduced glutathione (GSH) has been reported protecting against
hepatic injury induced by some diseases, but the role of GSH in pSS is poorly
understood. This study aims at investigating the role of GSH in hepatic injury
during pSS. A murine model of pSS, non-obese diabetic (NOD) mice, was used for
GSH administration via tail intravenous injection. Enzyme-linked immunosorbent
assay (ELISA) was performed to detect serum levels of aspartate aminotransferase
(AST) and alanine aminotransferase (ALT), as well as the levels of GSH, tumor
necrosis factor, interleukin (IL) 10, integrin alpha M, IL1B, malondialdehyde,
nicotinamide adenine dinucleotide phosphate oxidase 4, and superoxide dismutases
in hepatocyte homogenates. Hematoxylin-eosin staining was performed to observe
hepatic histology. The results showed that serum AST and ALT levels were up
regulated in the NOD mice (p = 0.0021 and 0.0048), but were significantly
recovered after the GSH administration (p = 0.0081 and 0.0263). The NOD mice
exhibited disturbed hepatic tissue structure, which was attenuated by GSH. The
GSH administration could also promote the production of GSH in the hepatocytes (p
= 0.0264), and control the levels of inflammatory factors and oxidative stress
related factors. These results indicate that GSH has significant effects on
protecting against the hepatic injury during pSS, which may be associated with
its regulation of the inflammatory factors and oxidative stress-related factors.
This study suggests that GSH is a promising therapeutic strategy for controlling
hepatic injury during pSS and offers valuable information for further research.
PMID- 27186974
TI - Analysis of Image Gently Abdominal CT Protocol With the Use of Body Phantom
Adapted to the Japanese Size.
AB - OBJECTIVE: The purpose of this study was to analyze in detail the quality of
abdominal CT images obtained using three protocols reported by Image Gently in
2014 (hereafter referred to as Image Gently 2014), with the use of a handmade
body phantom adapted to typical body sizes of the Japanese population. Moreover,
we converted the findings of Image Gently 2014 to match Japanese body sizes and
referred to our converted findings as Image Gently Japan. MATERIALS AND METHODS:
We scanned each phantom in a mechanical isocenter in accordance with the Image
Gently 2014 abdominal imaging protocol. We changed the tube current-exposure time
product per rotation from 25 to 250 mAs. The bowtie filter was set with a minimum
FOV for the phantom size. We then analyzed the volume CT dose index (CTDIvol)
measured CT number curve. We then used this CT number curve to calculate the CT
number recommended by Image Gently Japan for each of the designated patient ages.
RESULTS: The CTDIvol-measured CT number curve showed that, as the CTDIvol
increased with each age, image noise decreased. When we assumed that the CTDIvol
value for adults was 20 mGy, the measured CT number was 12.5 HU. We then
multiplied each reduction coefficient by age (neonate and 1, 5, 10, and 15
years). The measured CT numbers for Image Gently Japan performed to attain
limited dose reduction were 3.0, 3.9, 4.9, 6.0, and 9.0 HU, respectively, whereas
those for Image Gently Japan performed to achieve moderate dose reduction were
3.3, 4.3, 5.3, 6.3, and 9.3 HU, respectively, and those for Image Gently Japan
performed to attain aggressive dose reduction were 4.1, 5.1, 5.8, 6.8, and 9.5
HU, respectively. CONCLUSION: We analyzed the abdominal image quality demanded by
Image Gently 2014, and we were able to adapt the results to the Japanese
population and present them as our own Image Gently Japan recommendations. If the
results of the present study become a foundation for scanning parameters for
Japanese patients, we believe that they will eventually lead to a reduction in
medical radiation exposure for this patient population.
PMID- 27186976
TI - Using Dual Process Models to Examine Impulsivity Throughout Neural Maturation.
AB - The multivariate construct of impulsivity is examined through neural systems and
connections that comprise the executive functioning system. It is proposed that
cognitive and behavioral components of impulsivity can be divided into two
distinct groups, mediated by (1) the cognitive control system: deficits in top
down cognitive control processes referred to as action/cognitive impulsivity and
(2) the socioemotional system: related to bottom-up affective/motivational
processes referred to as affective impulsivity. Examination of impulsivity from a
developmental viewpoint can guide future research, potentially enabling the
selection of more effective interventions for impulsive individuals, based on the
cognitive components requiring improvement.
PMID- 27186975
TI - The Time Course of Deafness and Retinal Degeneration in a Kunming Mouse Model for
Usher Syndrome.
AB - Usher syndrome is a group of autosomal recessive diseases characterized by
congenital deafness and retinitis pigmentosa. In a mouse model for Usher
syndrome, KMush/ush, discovered in our laboratory, we measured the phenotypes,
characterized the architecture and morphology of the retina, and quantified the
level of expression of pde6b and ush2a between postnatal (P) days 7, and 56.
Electroretinograms and auditory brainstem response were used to measure visual
and auditory phenotypes. Fundus photography and light microscopy were used to
measure the architecture and morphology of the retina. Quantitative real-time PCR
was used to measure the expression levels of mRNA. KMush/ush mice had low
amplitudes and no obvious waveforms of Electroretinograms after P14 compared with
controls. Thresholds of auditory brainstem response in our model were higher than
those of controls after P14. By P21, the retinal vessels of KMush/ush mice were
attenuated and their optic discs had a waxy pallor. The retinas of KMush/ush mice
atrophied and the choroidal vessels were clearly visible. Notably, the
architecture of each retinal layer was not different as compared with control
mice at P7, while the outer nuclear layer (ONL) and other retinal layers of
KMush/ush mice were attenuated significantly between P14 and P21. ONL cells were
barely seen in KMush/ush mice at P56. As compared with control mice, the
expression of pde6b and ush2a in KMush/ush mice declined significantly after P7.
This study is a first step toward characterizing the progression of disease in
our mouse model. Future studies using this model may provide insights about the
etiology of the disease and the relationships between genotypes and phenotypes
providing a valuable resource that could contribute to the foundation of
knowledge necessary to develop therapies to prevent the retinal degeneration in
patients with Usher Syndrome.
PMID- 27186978
TI - Cell Type Preference of a Novel Human Derived Cell-Permeable Peptide dNP2 and TAT
in Murine Splenic Immune Cells.
AB - Cell-permeable peptides (CPPs) have been widely studied as an attractive drug
delivery system to deliver therapeutic macromolecules such as DNA, RNA, and
protein into cells. However, its clinical application is still limited and
controversial due to the lack of a complete understanding of delivery efficiency
in target cells. Previously we identified and characterized the novel and
superior CPP, named dNP2, and here we comparatively analyzed intracellular
delivery efficiency of dNP2 and TAT in various immune cells of mouse spleen to
demonstrate their cell type preference. dNP2- or TAT-conjugated fluorescent
proteins were most efficiently taken up by phagocytic cells such as dendritic
cells and macrophages while little protein uptake was seen by lymphocytes
including T cells, B cells, and NK cells. Interestingly CD8+ lymphoid dendritic
cells and CD62LloCD44hi memory like T cell subsets showed significantly better
uptake efficiency in vitro and in vivo relative to other dendritic cells or T
cells, respectively. In addition, activated macrophages, T cells, and B cells
took up the proteins more efficiently relative to when in the resting state.
Importantly, only dNP2, not TAT, shows significant intracellular protein delivery
efficiency in vivo. Collectively, this study provides important information
regarding heterogeneous intracellular delivery efficiency of CPPs such as dNP2
and TAT with cell type preference in the spleen needed for its application in
phagocytic cells or activated immune cells.
PMID- 27186977
TI - TGF-beta Small Molecule Inhibitor SB431542 Reduces Rotator Cuff Muscle Fibrosis
and Fatty Infiltration By Promoting Fibro/Adipogenic Progenitor Apoptosis.
AB - Rotator cuff tears represent a large burden of muscle-tendon injuries in our
aging population. While small tears can be repaired surgically with good
outcomes, critical size tears are marked by muscle atrophy, fibrosis, and fatty
infiltration, which can lead to failed repair, frequent re-injury, and chronic
disability. Previous animal studies have indicated that Transforming Growth
Factor-beta (TGF-beta) signaling may play an important role in the development of
these muscle pathologies after injury. Here, we demonstrated that inhibition of
TGF-beta1 signaling with the small molecule inhibitor SB431542 in a mouse model
of massive rotator cuff tear results in decreased fibrosis, fatty infiltration,
and muscle weight loss. These observed phenotypic changes were accompanied by
decreased fibrotic, adipogenic, and atrophy-related gene expression in the
injured muscle of mice treated with SB431542. We further demonstrated that
treatment with SB431542 reduces the number of fibro/adipogenic progenitor (FAP)
cells-an important cellular origin of rotator cuff muscle fibrosis and fatty
infiltration, in injured muscle by promoting apoptosis of FAPs. Together, these
data indicate that the TGF-beta pathway is a critical regulator of the
degenerative muscle changes seen after massive rotator cuff tears. TGF-beta
promotes rotator cuff muscle fibrosis and fatty infiltration by preventing FAP
apoptosis. TGF-beta regulated FAP apoptosis may serve as an important target
pathway in the future development of novel therapeutics to improve muscle
outcomes following rotator cuff tear.
PMID- 27186980
TI - Negative magnetoresistance without well-defined chirality in the Weyl semimetal
TaP.
AB - Weyl semimetals (WSMs) are topological quantum states wherein the electronic
bands disperse linearly around pairs of nodes with fixed chirality, the Weyl
points. In WSMs, nonorthogonal electric and magnetic fields induce an exotic
phenomenon known as the chiral anomaly, resulting in an unconventional negative
longitudinal magnetoresistance, the chiral-magnetic effect. However, it remains
an open question to which extent this effect survives when chirality is not well
defined. Here, we establish the detailed Fermi-surface topology of the recently
identified WSM TaP via combined angle-resolved quantum-oscillation spectra and
band-structure calculations. The Fermi surface forms banana-shaped electron and
hole pockets surrounding pairs of Weyl points. Although this means that chirality
is ill-defined in TaP, we observe a large negative longitudinal
magnetoresistance. We show that the magnetoresistance can be affected by a
magnetic field-induced inhomogeneous current distribution inside the sample.
PMID- 27186979
TI - Positive Feedbacks Enhance Macroalgal Resilience on Degraded Coral Reefs.
AB - Many reefs have shifted from coral and fish dominated habitats to less productive
macroalgal dominated habitats, and current research is investigating means of
reversing this phase shift. In the tropical Pacific, overfished reefs with
inadequate herbivory can become dominated by the brown alga Sargassum polycystum.
This alga suppresses recruitment and survival of corals and fishes, thus limiting
the potential for reef recovery. Here we investigate the mechanisms that
reinforce S. polycystum dominance and show that in addition to negatively
affecting other species, this species acts in a self-reinforcing manner,
positively promoting survival and growth of conspecifics. We found that survival
and growth of both recruit-sized and mature S. polycystum fronds were higher
within Sargassum beds than outside the beds and these results were found in both
protected and fished reefs. Much of this benefit resulted from reduced herbivory
within the Sargassum beds, but adult fronds also grew ~50% more within the beds
even when herbivory did not appear to be occurring, suggesting some physiological
advantage despite the intraspecific crowding. Thus via positive feedbacks, S.
polycystum enhances its own growth and resistance to herbivores, facilitating its
dominance (perhaps also expansion) and thus its resilience on degraded reefs.
This may be a key feedback mechanism suppressing the recovery of coral
communities in reefs dominated by macroalgal beds.
PMID- 27186981
TI - Lack of Genotype and Phenotype Correlation in a Rice T-DNA Tagged Line Is Likely
Caused by Introgression in the Seed Source.
AB - Rice (Oryza sativa) is one of the most important crops in the world. Several rice
insertional mutant libraries are publicly available for systematic analysis of
gene functions. However, the tagging efficiency of these mutant resources-the
relationship between genotype and phenotype-is very low. We used whole-genome
sequencing to analyze a T-DNA-tagged transformant from the Taiwan Rice
Insertional Mutants (TRIM) resource. The phenomics records for M0028590, one of
the TRIM lines, revealed three phenotypes-wild type, large grains, and tillering
dwarf-in the 12 T1 plants. Using the sequencing data for 7 plants from three
generations of this specific line, we demonstrate that introgression from an
indica rice variety might occur in one generation before the seed was used for
callus generation and transformation of this line. In addition, the large-grain
trait came from the GS3 gene of the introgressed region and the tillering dwarf
phenotype came from a single nucleotide change in the D17 gene that occurred
during the callus induction to regeneration of the transformant. As well, another
regenerant showed completely heterozygous single-nucleotide polymorphisms across
the whole genome. In addition to the known sequence changes such as T-DNA
integration, single nucleotide polymorphism, insertion, deletion, chromosome
rearrangement and doubling, spontaneous outcrossing occurred in the rice field
may also explain some mutated traits in a tagged mutant population. Thus, the co
segregation of an integration event and the phenotype should be checked when
using these mutant populations.
PMID- 27186982
TI - Synthesis of tetra- and octa-aurated heteroaryl complexes towards probing
aromatic indoliums.
AB - Polymetalated aromatic compounds are particularly challenging synthetic goals
because of the limited thermodynamic stability of polyanionic species arising
from strong electrostatic repulsion between adjacent carbanionic sites. Here we
describe a facile synthesis of two polyaurated complexes including a tetra
aurated indole and an octa-aurated benzodipyrrole. The imido trinuclear gold(I)
moiety exhibits nucleophilicity and undergoes an intramolecular attack on a
gold(I)-activated ethynyl to generate polyanionic heteroaryl species. Their
computed magnetic properties reveal the aromatic character in the five-membered
ring. The incorporation of the aurated substituents at the nitrogen atom can
convert non-aromaticity in the parent indolium into aromaticity in the aurated
one because of hyperconjugation. Thus, the concept of hyperconjugative
aromaticity is extended to heterocycles with transition metal substituents. More
importantly, further analysis indicates that the aurated substituents can perform
better than traditional main-group substituents. This work highlights the
difference in aromaticity between polymetalated aryls and their organic
prototypes.
PMID- 27186983
TI - Are There Spillover Effects from the GI Bill? The Mental Health of Wives of
Korean War Veterans.
AB - BACKGROUND: The Korean War GI Bill provided economic benefits for veterans,
thereby potentially improving their health outcomes. However potential spillover
effects on veteran wives have not been evaluated. METHODS: Data from wives of
veterans eligible for the Korean War GI Bill (N = 128) and wives of non-veterans
(N = 224) from the Health and Retirement Study were matched on race and coarsened
birth year and childhood health using coarsened exact matching. Number of
depressive symptoms in 2010 (average age = 78) were assessed using a modified,
validated Center for Epidemiologic Studies-Depression Scale. Regression analyses
were stratified into low (mother < 8 years schooling / missing data, N = 95) or
high (mother >= 8 years schooling, N = 257) childhood socio-economic status
(cSES) groups, and were adjusted for birth year and childhood health, as well as
respondent's educational attainment in a subset of analyses. RESULTS: Husband's
Korean War GI Bill eligibility did not predict depressive symptoms among veteran
wives in pooled analysis or cSES stratified analyses; analyses in the low cSES
subgroup were underpowered (N = 95, beta = -0.50, 95% Confidence Interval: (
1.35, 0.35), p = 0.248, power = 0.28). CONCLUSIONS: We found no evidence of a
relationship between husband's Korean War GI Bill eligibility and wives' mental
health in these data, however there may be a true effect that our analysis was
underpowered to detect.
PMID- 27186985
TI - Fine-Tuning Ligand to Modulate the Magnetic Anisotropy in a Carboxylate-Bridged
Dy2 Single-Molecule Magnet System.
AB - A series of dinuclear Dy(III) compounds with the general formula [Dy2(MU2
anthc)4(anthc)2(L)2] (anthc(-) = 9-anthracenecarboxylate, L = 2,2'-bipyridyl (1),
1,10-phenanthroline (2), and 4,7-dimethyl-1,10-phenanthroline (3)) were
synthesized and magnetically characterized. These compounds exhibit single
molecule magnet (SMM) behavior in the absence of the direct-current field, which
is rarely observed for carboxylate-bridged dinuclear Dy2 system. With the first
coordination sphere of Dy(III) centers being fixed, the energy barrier was
modulated by sequentially modifying the terminal neutral L ligands in this Dy2
system. Theoretical calculations revealed that the symmetry of the charge
distribution surrounding the Dy(III) centers in 1-3 is the decisive factor to
determine the relaxation of the SMMs. The combination of the larger charge
distribution along the magnetic axis and lower charge distribution in the
equatorial plane (hard plane) formed by five coplanar coordination atoms
including two N atoms provided by an L ligand led to a strong easy-axis ligand
field in these compounds. This work presents a rational method to modulate the
dynamic magnetic relaxation of the lanthanide SMMs through fine-tuning
electrostatic potential of the atoms on the hard plane.
PMID- 27186984
TI - Transmission Dynamics of Zika Virus in Island Populations: A Modelling Analysis
of the 2013-14 French Polynesia Outbreak.
AB - Between October 2013 and April 2014, more than 30,000 cases of Zika virus (ZIKV)
disease were estimated to have attended healthcare facilities in French
Polynesia. ZIKV has also been reported in Africa and Asia, and in 2015 the virus
spread to South America and the Caribbean. Infection with ZIKV has been
associated with neurological complications including Guillain-Barre Syndrome
(GBS) and microcephaly, which led the World Health Organization to declare a
Public Health Emergency of International Concern in February 2015. To better
understand the transmission dynamics of ZIKV, we used a mathematical model to
examine the 2013-14 outbreak on the six major archipelagos of French Polynesia.
Our median estimates for the basic reproduction number ranged from 2.6-4.8, with
an estimated 11.5% (95% CI: 7.32-17.9%) of total infections reported. As a
result, we estimated that 94% (95% CI: 91-97%) of the total population of the six
archipelagos were infected during the outbreak. Based on the demography of French
Polynesia, our results imply that if ZIKV infection provides complete protection
against future infection, it would take 12-20 years before there are a sufficient
number of susceptible individuals for ZIKV to re-emerge, which is on the same
timescale as the circulation of dengue virus serotypes in the region. Our
analysis suggests that ZIKV may exhibit similar dynamics to dengue virus in
island populations, with transmission characterized by large, sporadic outbreaks
with a high proportion of asymptomatic or unreported cases.
PMID- 27186988
TI - GreA and GreB Enhance Expression of Escherichia coli RNA Polymerase Promoters in
a Reconstituted Transcription-Translation System.
AB - Cell-free environments are becoming viable alternatives for implementing
biological networks in synthetic biology. The reconstituted cell-free expression
system (PURE) allows characterization of genetic networks under defined
conditions but its applicability to native bacterial promoters and endogenous
genetic networks is limited due to the poor transcription rate of Escherichia
coli RNA polymerase in this minimal system. We found that addition of
transcription elongation factors GreA and GreB to the PURE system increased
transcription rates of E. coli RNA polymerase from sigma factor 70 promoters up
to 6-fold and enhanced the performance of a genetic network. Furthermore, we
reconstituted activation of natural E. coli promoters controlling flagella
biosynthesis by the transcriptional activator FlhDC and sigma factor 28. Addition
of GreA/GreB to the PURE system allows efficient expression from natural and
synthetic E. coli promoters and characterization of their regulation in minimal
and defined reaction conditions, making the PURE system more broadly applicable
to study genetic networks and bottom-up synthetic biology.
PMID- 27186987
TI - Inferring RBP-Mediated Regulation in Lung Squamous Cell Carcinoma.
AB - RNA-binding proteins (RBPs) play key roles in post-transcriptional regulation of
mRNAs. Dysregulations in RBP-mediated mechanisms have been found to be associated
with many steps of cancer initiation and progression. Despite this, previous
studies of gene expression in cancer have ignored the effect of RBPs. To this
end, we developed a lasso regression model that predicts gene expression in
cancer by incorporating RBP-mediated regulation as well as the effects of other
well-studied factors such as copy-number variation, DNA methylation, TFs and
miRNAs. As a case study, we applied our model to Lung squamous cell carcinoma
(LUSC) data as we found that there are several RBPs differentially expressed in
LUSC. Including RBP-mediated regulatory effects in addition to the other features
significantly increased the Spearman rank correlation between predicted and
measured expression of held-out genes. Using a feature selection procedure that
accounts for the adaptive search employed by lasso regularization, we identified
the candidate regulators in LUSC. Remarkably, several of these candidate
regulators are RBPs. Furthermore, majority of the candidate regulators have been
previously found to be associated with lung cancer. To investigate the mechanisms
that are controlled by these regulators, we predicted their target gene sets
based on our model. We validated the target gene sets by comparing against
experimentally verified targets. Our results suggest that the future studies of
gene expression in cancer must consider the effect of RBP-mediated regulation.
PMID- 27186989
TI - Spacers versus nebulizers in treatment of acute asthma - a prospective randomized
study in preschool children.
AB - OBJECTIVE: To compare administration of bronchodilators by nebulizers with
delivery by metered dose inhalers (MDIs) with spacers and to evaluate the
clinical effect of the treatment of acute asthma in preschool children. METHODS:
A prospective randomized clinical trial in a pediatric emergency department
(PED). Preschool children who were admitted for virus induced wheezing or acute
asthma exacerbation were randomly allocated to receive bronchodilator treatment
by nebulizer or by metered dose inhaler. The accompanying parents completed a
questionnaire. RESULTS: The length of stay in the PED and the hospitalization
rate were similar and no difference was seen in the parents' view of ease of use
and device acceptance. Baseline data were similar for both groups apart from the
family history of asthma and atopic disease that was greater in the nebulizer
group. No significant differences were seen in heart rate, respiratory rate and
oxygen saturation at baseline and after the treatment. According to the parents
40% of the participants had asthma diagnosis though up to 66% had some kind of
asthma medication. CONCLUSIONS: Our data suggests that MDIs with spacers are at
least as effective as nebulizers in the delivery of beta agonists to treat
preschool children with virus induced wheezing or acute exacerbations of asthma
in the PED. Parents may underestimate the gravity of their children's asthma. It
is mandatory to provide adequate information to the staff and parents in order to
treat pediatric acute asthma successfully.
PMID- 27186990
TI - Nanoscale Skyrmions in a Nonchiral Metallic Multiferroic: Ni2MnGa.
AB - Magnetic skyrmions belong to a set of topologically nontrivial spin textures at
the nanoscale that have received increased attention due to their emergent
behavior and novel potential spintronic applications. Discovering materials
systems that can host skyrmions at room temperature in the absence of external
magnetic field is of crucial importance not only from a fundamental aspect, but
also from a technological point of view. So far, the observations of skyrmions in
bulk metallic ferromagnets have been limited to low temperatures and to materials
that exhibit strong chiral interactions. Here we show the formation of nanoscale
skyrmions in a nonchiral multiferroic material, which is ferromagnetic and
ferroelastic, Ni2MnGa at room temperature without the presence of external
magnetic fields. By using Lorentz transmission electron microscopy in combination
with micromagnetic simulations, we elucidate their formation, behavior, and
stability under applied magnetic fields at room temperature. The formation of
skyrmions in a multiferroic material with no broken inversion symmetry presents
new exciting opportunities for the exploration of the fundamental physics of
topologically nontrivial spin textures.
PMID- 27186986
TI - Minimal Contribution of APOBEC3-Induced G-to-A Hypermutation to HIV-1
Recombination and Genetic Variation.
AB - Although the predominant effect of host restriction APOBEC3 proteins on HIV-1
infection is to block viral replication, they might inadvertently increase
retroviral genetic variation by inducing G-to-A hypermutation. Numerous studies
have disagreed on the contribution of hypermutation to viral genetic diversity
and evolution. Confounding factors contributing to the debate include the extent
of lethal (stop codon) and sublethal hypermutation induced by different APOBEC3
proteins, the inability to distinguish between G-to-A mutations induced by
APOBEC3 proteins and error-prone viral replication, the potential impact of
hypermutation on the frequency of retroviral recombination, and the extent to
which viral recombination occurs in vivo, which can reassort mutations in
hypermutated genomes. Here, we determined the effects of hypermutation on the HIV
1 recombination rate and its contribution to genetic variation through
recombination to generate progeny genomes containing portions of hypermutated
genomes without lethal mutations. We found that hypermutation did not
significantly affect the rate of recombination, and recombination between
hypermutated and wild-type genomes only increased the viral mutation rate by 3.9
* 10-5 mutations/bp/replication cycle in heterozygous virions, which is similar
to the HIV-1 mutation rate. Since copackaging of hypermutated and wild-type
genomes occurs very rarely in vivo, recombination between hypermutated and wild
type genomes does not significantly contribute to the genetic variation of
replicating HIV-1. We also analyzed previously reported hypermutated sequences
from infected patients and determined that the frequency of sublethal mutagenesis
for A3G and A3F is negligible (4 * 10-21 and1 * 10-11, respectively) and its
contribution to viral mutations is far below mutations generated during error
prone reverse transcription. Taken together, we conclude that the contribution of
APOBEC3-induced hypermutation to HIV-1 genetic variation is substantially lower
than that from mutations during error-prone replication.
PMID- 27186991
TI - Automatic detection of osteoporosis based on hybrid genetic swarm fuzzy
classifier approaches.
AB - OBJECTIVES: This study proposed a new automated screening system based on a
hybrid genetic swarm fuzzy (GSF) classifier using digital dental panoramic
radiographs to diagnose females with a low bone mineral density (BMD) or
osteoporosis. METHODS: The geometrical attributes of both the mandibular cortical
bone and trabecular bone were acquired using previously developed software.
Designing an automated system for osteoporosis screening involved partitioning of
the input attributes to generate an initial membership function (MF) and a rule
set (RS), classification using a fuzzy inference system and optimization of the
generated MF and RS using the genetic swarm algorithm. Fivefold cross-validation
(5-FCV) was used to estimate the classification accuracy of the hybrid GSF
classifier. The performance of the hybrid GSF classifier has been further
compared with that of individual genetic algorithm and particle swarm
optimization fuzzy classifiers. RESULTS: Proposed hybrid GSF classifier in
identifying low BMD or osteoporosis at the lumbar spine and femoral neck BMD was
evaluated. The sensitivity, specificity and accuracy of the hybrid GSF with
optimized MF and RS in identifying females with a low BMD were 95.3%, 94.7% and
96.01%, respectively, at the lumbar spine and 99.1%, 98.4% and 98.9%,
respectively, at the femoral neck BMD. The diagnostic performance of the proposed
system with femoral neck BMD was 0.986 with a confidence interval of 0.942-0.998.
The highest mean accuracy using 5-FCV was 97.9% with femoral neck BMD.
CONCLUSIONS: The combination of high accuracy along with its interpretation
ability makes this proposed automatic system using hybrid GSF classifier capable
of identifying a large proportion of undetected low BMD or osteoporosis at its
early stage.
PMID- 27186992
TI - Water Determines the Structure and Dynamics of Proteins.
AB - Water is an essential participant in the stability, structure, dynamics, and
function of proteins and other biomolecules. Thermodynamically, changes in the
aqueous environment affect the stability of biomolecules. Structurally, water
participates chemically in the catalytic function of proteins and nucleic acids
and physically in the collapse of the protein chain during folding through
hydrophobic collapse and mediates binding through the hydrogen bond in complex
formation. Water is a partner that slaves the dynamics of proteins, and water
interaction with proteins affect their dynamics. Here we provide a review of the
experimental and computational advances over the past decade in understanding the
role of water in the dynamics, structure, and function of proteins. We focus on
the combination of X-ray and neutron crystallography, NMR, terahertz
spectroscopy, mass spectroscopy, thermodynamics, and computer simulations to
reveal how water assist proteins in their function. The recent advances in
computer simulations and the enhanced sensitivity of experimental tools promise
major advances in the understanding of protein dynamics, and water surely will be
a protagonist.
PMID- 27186993
TI - Evaluation of the Toxicity and Antioxidant Activity of Redox Nanoparticles in
Zebrafish (Danio rerio) Embryos.
AB - Recently, we have been developing polymer and nanoparticle-based antioxidative
nanotherapeutics. Our strategy is to eliminate overproduced reactive oxygen
species (ROS), which are strongly related to various diseases. In order to
facilitate the transition of the nanotherapeutics into clinical studies, we
investigated the toxicity and antioxidant activity of our nanoparticles in a
zebrafish model. In this study, zebrafish larvae were exposed to our highly ROS
scavenging nanoparticle (RNP(O)), which was prepared using our original
amphiphilic block copolymer, methoxy-poly(ethylene glycol)-b-poly[4-(2,2,6,6
tetramethylpiperidine-1-oxyl)oxymethylstyrene] (MeO-PEG-b-PMOT). When the larvae
were exposed to 10-30 mM of low-molecular-weight (LMW) nitroxide radical (4
hydroxyl-2,2,6,6-tetramethylpiperidine-1-oxyl; TEMPOL), all were dead after 12 h,
whereas no larva death was observed after exposure to RNP(O) at the same high
concentrations. By staining mitochondria from the larvae, we found that LMW
TEMPOL significantly induced mitochondrial dysfunction. In contrast, RNP(O) did
not cause any significant reduction in the mitochondrial function of zebrafish
larvae. It is important to reaffirm that RNP(O) treatment significantly enhanced
survival of larvae treated with ROS inducers, confirming the antioxidant activity
of RNP(O). Interestingly, RNP(O) exposure induced the expression of Nrf2 target
gene (gstp1) in the larvae's intestines and livers. The results obtained in this
study indicate that the antioxidative nanoparticle RNP(O) has great potential for
clinical trials as it exhibits a potent therapeutic effect and extremely low
toxicity to zebrafish embryos.
PMID- 27186996
TI - [Surgical treatment of acute acromioclavicular dislocation. Preliminary report].
AB - INTRODUCTION: Determining what is the ideal acute management of type III lesions
is controversial. The reconstruction of coracoclavicular ligaments is a
fundamental part of the surgical management of these lesions. MATERIAL AND
METHODS: The records of patients with acute acromioclavicular dislocation treated
with open reduction and fixation with highly resistant sutures were reviewed. The
preliminary results were assessed at the 3-month follow-up. RESULTS: Twelve
patients were included, all of them males; mean age was 28 years. Three were
Rockwood grade III, one grade IV, and 8 grade V. The mean time elapsed between
the injury and the surgery was 9 days. According to the Constant scale 11
patients had excellent results and one had good results. Only one patient
developed a seroma. Radiographic reduction was maintained in all patients.
CONCLUSIONS: The anatomical reconstruction of coracoclavicular ligaments reduces
complications considerably, especially when such reconstruction is made using
materials with a grip strength similar to the one offered by native ligaments.
PMID- 27186995
TI - Generation of the Methoxycarbonyl Radical by Visible-Light Photoredox Catalysis
and Its Conjugate Addition with Electron-Deficient Olefins.
AB - Visible-light photoredox-catalyzed fragmentation of methyl N-phthalimidoyl
oxalate allows the direct construction of a 1,4-dicarbonyl structural motif by a
conjugate addition of the methoxycarbonyl radical to reactive Michael acceptors.
The regioselectivity of the addition of this alkoxyacyl radical species to
electron-deficient olefins is heavily influenced by the electronic nature of the
acceptor, behavior similar to that exhibited by nucleophilic alkyl radicals.
PMID- 27186994
TI - Difference and Influence of Inactive and Active States of Cannabinoid Receptor
Subtype CB2: From Conformation to Drug Discovery.
AB - Cannabinoid receptor 2 (CB2), a G protein-coupled receptor (GPCR), is a promising
target for the treatment of neuropathic pain, osteoporosis, immune system,
cancer, and drug abuse. The lack of an experimental three-dimensional CB2
structure has hindered not only the development of studies of conformational
differences between the inactive and active CB2 but also the rational discovery
of novel functional compounds targeting CB2. In this work, we constructed models
of both inactive and active CB2 by homology modeling. Then we conducted two
comparative 100 ns molecular dynamics (MD) simulations on the two systems-the
active CB2 bound with both the agonist and G protein and the inactive CB2 bound
with inverse agonist-to analyze the conformational difference of CB2 proteins and
the key residues involved in molecular recognition. Our results showed that the
inactive CB2 and the inverse agonist remained stable during the MD simulation.
However, during the MD simulations, we observed dynamical details about the
breakdown of the "ionic lock" between R131(3.50) and D240(6.30) as well as the
outward/inward movements of transmembrane domains of the active CB2 that bind
with G proteins and agonist (TM5, TM6, and TM7). All of these results are
congruent with the experimental data and recent reports. Moreover, our results
indicate that W258(6.48) in TM6 and residues in TM4 (V164(4.56)-L169(4.61))
contribute greatly to the binding of the agonist on the basis of the binding
energy decomposition, while residues S180-F183 in extracellular loop 2 (ECL2) may
be of importance in recognition of the inverse agonist. Furthermore,
pharmacophore modeling and virtual screening were carried out for the inactive
and active CB2 models in parallel. Among all 10 hits, two compounds exhibited
novel scaffolds and can be used as novel chemical probes for future studies of
CB2. Importantly, our studies show that the hits obtained from the inactive CB2
model mainly act as inverse agonist(s) or neutral antagonist(s) at low
concentration. Moreover, the hit from the active CB2 model also behaves as a
neutral antagonist at low concentration. Our studies provide new insight leading
to a better understanding of the structural and conformational differences
between two states of CB2 and illuminate the effects of structure on virtual
screening and drug design.
PMID- 27186997
TI - [Treatment protocol in elderly patients with three- or four-fragment proximal
humerus facture at a referral center located in an emerging economy].
AB - BACKGROUND: Proximal humerus fractures account for 4-5% of the fractures that
occur among elderly people; they represent 45% of humerus fractures, and 13-16%
of them are three- or four-fragment fractures. METHODS: Retrospective,
observational, cross-sectional study of secondary data sources. The records of
patients over 60 years of age with a diagnosis of three- or four-fragment
proximal humerus fractures were analyzed. A non-probabilistic sampling technique
of consecutive cases was used. The following variables were analyzed: age, sex,
fragment number, limb involved and dominant limb, and treatment protocol.
RESULTS: 118 patients were included, most of them females (83.9%), age range was
60-93 years, with a mean age of 73 years. Three-fragment fractures represented
63%, and four-fragment fractures 36%. The left limb was more frequently affected,
accounting for 57%; 43% of cases were treated conservatively. Open reduction and
internal fixation (ORIF) was performed in 39 cases with a tension band, in 22
patients with hemiarthroplasty, ORIF with a 4.5 T-plate in 5 patients, and ORIF
with a LCP LISS plate in one patient. CONCLUSIONS: Female patients over 72 years
of age underwent this kind of treatment more frequently. Surgical treatment
accounted for 57%, unlike what the literature reports (20%).
PMID- 27186998
TI - [Minimal invasive anterolateral ankle stabilization with a modified Hemi-Castaing
technique].
AB - BACKGROUND: The lesions to the lateral ligaments of the ankle are a common sports
related injury. There are multiple surgical technics to address the problem all
with a common goal: achieve a proper stability of the ankle. The improvements in
the minimal invasive technics as well as those in the materials to fixate bone
tissue have also impacted the technics for lateral stabilization of the ankle.
MATERIAL AND METHODS: We included all the patients with a diagnosis of lateral
ankle instability which underwent surgery with a modify Hemi-Casting procedure
with minimal invasive approach during the period between May 2004 and December
2007. We have an average follow-up of 30.3 months. RESULTS: Our patients
presented a good outcome with an improvement in the Karlsson?s scale of 48.7
points (+/- 15.6) in the preoperative to 93.6 points (+/- 9.6) during follow-up.
They returned to their sports activities after an average of 5.72 months (+/-
4.93). We used dynamic X-ray?s taken with the Telos system and achieved 81.9% of
our patients presented an excellent and good personal satisfaction. CONCLUSIONS:
The modifications to the Hemi-Castaing procedure to perform it with a minimal
invasive technic are reproducible and provide us with another tool for the
treatment of this pathology specially in the cases where there is no sufficient
tissue to perform an anatomical repair.
PMID- 27186999
TI - [Exposed distal radio-ulnar dislocation by dog bite. Reconstructive surgical
technique using a soft tissue graft and a syndesmotic fixation implant. Case
report].
AB - The main function of the forearm is the supination, which is achieved largely
through the biomechanical characteristics and stability of the distal radio-ulnar
joint. There are several surgical techniques for the treatment of distal radio
ulnar dislocations isolated or associated with a fracture. We report the case of
a canine trainer who was bitten at the wrist and distal forearm that came to the
emergency department in where distal ulnar dislocation with muscle tendon
exposure was diagnosed. Due to the offending agent and multiple soft tissue
injuries the treatment with standard techniques was impossible. We describe the
technique of treatment of this patient by placing autologous gracilis tendon
graft, platelet rich plasma and two anchoring systems for ankle syndesmosis.
Immobilization was maintained for six weeks with a subsequent rehabilitation and
posterior valuation at 12, 18 and 28 weeks by the scale of MAYO, PRWE and DASH
and finding a good result which implies the return to work and daily activities
of the patient with minimal pain and limitation.
PMID- 27187000
TI - [Total femoral replacement. Three case reports and literature review].
AB - INTRODUCTION: Currently hip and knee joint replacement were performed frequently
at orthopedic centers. However, these surgeries do not last forever and thus
revision procedures are required. The latter usually involve complications like
bone loss that may compromise implant stability. METHODS: Three hip and knee
arthroplasty revisions were performed from 2006 to 2011, which warranted the
total replacement of the femur and the joints involved. The purpose of this paper
is to describe our experience with these implants used in arthroplasty revision
surgery. RESULTS: A standardized postoperative management protocol was used in
all patients. They required debridement and specific antibiotic therapy. They had
a significant improvement in the pain visual analog scale (VAS) (the score went
from 8 to 2.3, p < 0.05) and in function, measured with the WOMAC score (from a
preoperative score of 21.6 to 55, p < 0.05). CONCLUSIONS: Total femoral
replacement is an infrequent, demanding and complex salvage surgery that
represents an alternative to the disarticulation of the pelvic limb at the end
stage of prosthetic disease. This is a feasible option used to improve patient
functionality and decrease residual capacity for performing activities
independently.
PMID- 27187001
TI - [Spinal cord stimulation in teenager with complex regional pain syndrome for
Lyme?s disease. Case report and review of the literature].
AB - Lyme disease is an emerging pathology in Mexico, producer of painful muscle
skeletal either neurotic pain difficult to control. We present the case of a
teenager girl who has complex regional pain type II of pelvic limb secondary to
it, where it established a multidisciplinary management that finally was
controlled with the placement of a spinal cord stimulator. We consider this as an
unusual situation in an adolescent, as well as its evolution by 60 months where
the literature only was reported in a few cases.
PMID- 27187002
TI - [Relationship between angular deformity and primary osteoarthritis of the knee.
Review article].
AB - BACKGROUND: Osteoarthritis of the knee is a common disease and angular
deformities are usually associated to this degenerative affection. Secondary
causes of angular deformities are well known in the scientific literature, but
there are not many articles about the relationship between angular deformities
and primary osteoarthritis. OBJECTIVE: To deepen in the relationship between
angular deformities and primary osteoarthritis of the knee. METHOD: We conducted
a literature review of a total of 300 articles in PubMed, Medline and Hinari
locator information by EndNote, 52 of them were used and selected quotes to do
the review, 47 of them in the last five years, including five books. DEVELOPMENT:
A revision of important biochemical and biomechanics aspects were made in regards
to the relationship between angular deformities and primary osteoarthritis of the
knee. Causes of deformities according to the sagittal, coronal and rotational
axis were taken into account. Factors related to deformities could be osseous or
soft tissues of the knee joint. CONCLUSIONS: Deformities of the knee in patients
suffering from primary osteoarthritis are common and there are osseous and soft
tissues causes to justified the presence of these deformities.
PMID- 27187003
TI - Quantifiable risk-benefit assessment of micronutrients: From theory to practice.
AB - The EU Food Supplements Directive (2002/46/EC) mandates the determination of both
maximum and minimum permitted levels (MPLs) for micronutrients. In order to
determine MPLs which are feasible for particular population groups, a scientific
approach should be used in which risk of high intake, risk of inadequacy and
benefits are assessed in an integrated way taking all available data and severity
and incidence of effect into account. In 2004, Renwick et al. (ILSI Europe)
published a scientifically valid, flexible and pragmatic basis for a risk-benefit
approach, which has been further developed here to make it a practical and
quantifiable approach to be used by risk managers. The applicability of the
approach is demonstrated using demo cases on iron and folate. The proposed
approach has the capacity to utilize all relevant data available, including data
from human studies, bioavailability data showing variability between specific
forms of micronutrients and, in the case of animal studies, data on species
comparability. The approach is therefore both practical and flexible, making it
well suited to risk managers tasked with determining safe intake levels for
micronutrients in different forms and for particular population groups.
PMID- 27187004
TI - In-Phase Assembly of Slim DNA Lattices with Small Circular DNA Motifs via Short
Connections of 11 and 16 Base Pairs.
AB - Two kinds of stable motif were constructed: SAE (semi-crossover, antiparallel,
even half-turns) tile from one small circular DNA molecule (42 or 64 nt) and two
linear oligonucleotides; and DAE (double-crossover, antiparallel, even half
turns) tile from one small circular DNA molecule (42 or 64 nt) and four linear
oligonucleotides. With the SAE tiles, in-phase assembly of SAE-E (SAE tiles with
even half-turns as connections (-E)) with the shortest -E of 11 base pairs (bp)
generated homogeneous nanotubes with an average length of over 14 MUm and a
diameter of 16-20 nm; with the DAE tiles, in-phase assembly of DAE-O (DAE tiles
with odd half-turns as connections (-O)) with the shortest -O of 16 bp produced
slim monolayer nanoyarns (25-30 nm wide), nanoscarfs (100-300 nm wide), and
nanoribbons (~100 nm wide). Interestingly, a phenomenon we term "knitting
nanoyarns" into nanoscarfs was observed. Finally a curvature mechanism according
to the ring rotation directions is suggested to explain the formation of
nanotubes, wavy nanoyarns, nanoscarfs, and nanoribbons.
PMID- 27187005
TI - Calcineurin in a Crowded World.
AB - Calcineurin is a Ser/Thr phosphatase that is important for key biological
processes, including immune system activation. We previously identified a region
in the intrinsically disordered regulatory domain of calcineurin that forms a
critical amphipathic alpha-helix (the "distal helix") that is required for
complete activation of calcineurin. This distal helix was shown to have a Tm
close to that of human body temperature. Because the Tm was determined in dilute
buffer, we hypothesized that other factors inherent to a cellular environment
might modulate the stability of the distal helix. One such factor that
contributes to stability in other proteins is macromolecular crowding. The cell
cytoplasm is comprised of up to 400 g/L protein, lipids, nucleic acids, and other
compounds. We hypothesize that the presence of such crowders could increase the
thermal stability of the distal helix and thus lead to a more robust activation
of calcineurin in vivo. Using biophysical and biochemical approaches, we show
that the distal helix of calcineurin is indeed stabilized when crowded by the
synthetic polymers dextran 70 and ficoll 70, and that this stabilization of the
distal helix increases the activity of calcineurin.
PMID- 27187007
TI - A Monoclonal Antibody That Discriminates Between SNAP-Tagged and CLIP-Tagged
Proteins.
AB - SNAP-tag technology allows recombinant proteins to be covalently labeled to O(6)
benzylguanine (BG)-modified substrates with 1:1 stoichiometry. By attaching
according fluorophores, this method is ideally suited for in vitro and in vivo
imaging, as well as protein interaction analyses. Fluorophores modified with BG
react with the SNAP-tag, whereas those modified with O(2)-benzylcytosine (BC)
conjugate to a more recent derivative known as the CLIP-tag. The orthogonal
substrate specificity of the SNAP- and CLIP-tags extends the range of
applications by allowing double labeling. We previously developed a monoclonal
antibody (mAb) that recognizes both tags. In this study, we describe a new mAb,
which is specific for the SNAP-tag alone. Therefore, this mAb allows
discrimination between SNAP- and CLIP-tags within a broad range of immunological
methods, including enzyme-linked immunosorbent assays, western blotting, flow
cytometry, and immunohistochemistry.
PMID- 27187008
TI - Misoprostol versus ergometrine-oxytocin for preventing postpartum haemorrhage: a
systematic review and meta-analysis of randomized controlled trials.
AB - OBJECTIVE: To compare the effects of misoprostol versus ergometrine-oxytocin for
postpartum haemorrhage (PPH) prevention, and provide important evidence to choose
optimal agents for preventing PPH in developing countries. METHODS: The Cochrane
Central Register of Controlled Trials, PubMed, EMbase, and ClinicalTrails.gov
were searched from inception to 1st January 2016. Two authors independently
extracted data and assessed risk of bias of studies according to Cochrane
Handbook5.1.0. Meta-analysis was performed using RevMan5.2.4 software. RESULTS: A
total of 4034 women from six randomized controlled trials (RCTs) were included.
Meta-analyses showed that the PPH rate (7.6% vs. 4.2%, RR = 1.81, 95%CI (1.40,
2.35), P < 0.01), and the additional uterotonic therapy (19.2% vs. 10.5%, RR =
1.83, 95%CI (1.57, 2.14), P < 0.01) for misoprostol group were significantly
higher than ergometrine-oxytocin group, respectively. But there was no
significant difference of severe PPH rate between two groups (1.2% vs. 0.76%, RR
= 1.55, 95%CI (0.78, 3.07), P = 0.21). The need for manual removal of placenta in
misoprostol was only about one-third of ergometrine-oxytocin (0.5% vs. 1.4%, RR =
0.33, 95%CI (0.15, 0.76), P < 0.01). CONCLUSIONS: Misoprostol can be used in the
third stage of labor for preventing PPH where sterilized syringe and trained
midwife were absent, and ergoetrine-oxytocin could be deemed as alternative agent
in low-resource setting due to recognized effect. As a result of limited evidence
about these uterotonic agents, the more high-quality RCTs are needed to determine
the potentials and harms of various uterotonic agents for preventing PPH in
developing countries.
PMID- 27187009
TI - Effects of walking on body composition in perimenopausal and postmenopausal
women: a systematic review and meta-analysis.
AB - OBJECTIVE: The aim of the study was to conduct a systematic review and meta
analysis of randomized controlled trials that examined the effect of walking on
body weight, body mass index (BMI), and body fat percentage in perimenopausal and
postmenopausal women. METHODS: Two authors identified randomized controlled
trials of interventions at least 4 weeks in duration that included at least one
group with walking as the only treatment and a no-exercise control group.
Participants were inactive at baseline. Weighted mean differences were calculated
using the fixed-effects and random-effects models. Heterogeneity among trials was
examined using the Q statistic and I methods. Potential publication bias was
assessed through funnel plot inspection. RESULTS: Eight studies met the study
inclusion criteria. Meta-analysis results showed statistically significant
reductions in mean differences for BMI (-0.33 kg/m, 95% CI -0.62 to -0.04 kg/m),
body weight (-1.14 kg, 95% CI -1.86 to -0.42 kg), and body fat percentage (
2.36%, 95% CI -3.21% to -1.52%). The results were consistent in showing effects
of walking on BMI (I = 11%), body weight (I = 20%), and body fat percentage (I =
0%). Funnel plots showed asymmetry for body composition. CONCLUSIONS: Walking
interventions improved body composition in perimenopausal and postmenopausal
women, which underscores the central role of walking as a physical activity for
health promotion.
PMID- 27187010
TI - Menopausal women requesting egg/embryo donation: examining health screening
guidelines for assisted reproductive technology.
AB - OBJECTIVE: As more postreproductive women opt to pursue pregnancy with advanced
assisted reproductive technologies (ART), the menopausal practitioner will become
more involved in counseling, screening, and referral of premenopausal,
perimenopausal, and postmenopausal women for these services. This review was
conducted with the aim of (1) evaluating ART screening practices as they pertain
to postreproductive women, and (2) reviewing the outcomes of ART using oocyte
donation in postreproductive women. METHODS: A total of 950 unique records were
found on PubMed, Clinical Key, and Google Scholar. Of these, 252 records were
screened for relevance based on their titles and abstracts. With further review
of these 252 records, 93 full-text articles were assessed for eligibility, and 63
were excluded based on relevance to our study. Finally, 30 studies were included
in our qualitative synthesis. RESULTS: Despite the increasing use of ART in
postreproductive women, there are limited guidelines for determining candidacy
with regard to maternal health, the most comprehensive of which are the
guidelines from the American Society for Reproductive Medicine (ASRM). Although
the American Society for Reproductive Medicine guidelines state that healthy
women over 50 who are prepared for parenthood are candidates for ART through
oocyte donation, they note that older women should be counseled as to the
increased obstetric risk associated with advanced maternal age. With aging,
particularly for those women who are menopausal and postmenopausal, the woman and
her fetus, however, are at risk of increased morbidity and mortality as compared
with younger, healthy pregnant women. Because national trends suggest that women
are delaying childbearing, the cohort of postreproductive women looking toward
ART using donor oocytes as a fertility option will expand and menopausal
practitioners will often participate in the ART counseling of these women.
CONCLUSIONS: Because maternal and fetal morbidity and mortality increase in
postmenopausal women who become pregnant through ART, practitioners caring for
this cohort should provide input into developing standardized, comprehensive
guidelines for this population so that screening is consistent for all older
women seeking this intervention and risks are objectively outlined and
considered.
PMID- 27187012
TI - The response to ospemifene in normal human breast tissue cultures.
PMID- 27187017
TI - Computational model-informed design and bioprinting of cell-patterned constructs
for bone tissue engineering.
AB - Three-dimensional (3D) bioprinting is a rapidly advancing tissue engineering
technology that holds great promise for the regeneration of several tissues,
including bone. However, to generate a successful 3D bone tissue engineering
construct, additional complexities should be taken into account such as nutrient
and oxygen delivery, which is often insufficient after implantation in large bone
defects. We propose that a well-designed tissue engineering construct, that is,
an implant with a specific spatial pattern of cells in a matrix, will improve the
healing outcome. By using a computational model of bone regeneration we show that
particular cell patterns in tissue engineering constructs are able to enhance
bone regeneration compared to uniform ones. We successfully bioprinted one of the
most promising cell-gradient patterns by using cell-laden hydrogels with varying
cell densities and observed a high cell viability for three days following the
bioprinting process. In summary, we present a novel strategy for the
biofabrication of bone tissue engineering constructs by designing cell-gradient
patterns based on a computational model of bone regeneration, and successfully
bioprinting the chosen design. This integrated approach may increase the success
rate of implanted tissue engineering constructs for critical size bone defects
and also can find a wider application in the biofabrication of other types of
tissue engineering constructs.
PMID- 27187011
TI - Estrogen therapy may counterbalance eutrophic remodeling of coronary arteries and
increase bradykinin relaxation in a rat model of menopausal hypertension.
AB - OBJECTIVE: Hypertension causes adverse remodeling and vasomotor alterations in
coronaries. Hormones such as estrogen may help counterbalance some of these
effects. The aim of this study was to analyze the effects of ovariectomy and
estrogen therapy in a rat model of menopausal hypertension induced by angiotensin
II (AII). METHODS: We investigated diameter, tone, and mechanics of intramural
coronaries taken from ovariectomized female rats (n = 11) that received chronic
AII treatment to induce hypertension, and compared the results with those found
in female rats that were also given estrogen therapy (n = 11). The "hypertensive
control" group (n = 11) underwent an abdominal sham operation, and received AII.
After 4 weeks of AII treatment, side branches of left anterior descendent
coronary (approximately 200 MUm in diameter) were isolated, cannulated with
plastic microcannulas at both ends, and studied in vitro in a vessel chamber. The
inner and outer diameter of the arteries were measured by microangiometry, and
spontenuous tone, wall thickness, wall cross-sectional area, tangential stress,
incremental distensibility, circumferential incremental elastic modulus,
thromboxane agonist-induced tone, and bradykinin-induced dilation were
calculated. RESULTS: In hypertension, intramural small coronaries show inward
eutrophic remodeling after ovariectomy comparing with hypertensive controls.
Estrogen therapy had an opposite effect on vessel diameter. Hormone therapy led
to an increase in spontaneous tone, allowing for greater dilatative capacity.
CONCLUSIONS: Estrogen may therefore be considered to counterbalance some of the
adverse changes seen in the wall of intramural coronaries in the early stages of
chronic hypertension.
PMID- 27187018
TI - In-vitro and in-vivo evaluation of the anticancer activity of diruthenium-2, a
new trithiolato arene ruthenium complex [(eta6-p-MeC6H4Pri)2Ru2(MU-S-p
C6H4OH)3]Cl.
AB - In the present study, we investigated the anticancer action of the trithiolato
arene ruthenium complex, [(eta-p-MeC6H4Pr)2Ru2(MU-S-p-C6H4OH)3]Cl, named
diruthenium-2, both in vitro and in vivo. The mechanism of antiproliferative,
cytotoxic, and DNA-damaging activity, and the effect on expressions of cell cycle
regulatory proteins were investigated using a WST-1-based proliferation assay,
lactate dehydrogenase leakage assay, comet assay, flow cytometry, and western
blot analysis. In-vivo anticancer activity was evaluated using Ehrlich tumor
bearing NMRI mice. Diruthenium-2 inhibited the growth of all cancer cell lines
used, the most sensitive being gastric (AGS), breast cancer (BT-549, MCF-7, MDA
MB-231), and leukemic (HL-60, MOLT-4) cells. In MCF-7 cells, it caused a G1/S
cell cycle arrest, along with an increase in the expression of protein p21 and
cyclin B1. We also observed increased levels of MRN complex proteins, which,
together with the results from the comet assay, indicate the formation of DNA
double-strand breaks. In tumor-bearing mice, diruthenium-2 at doses of 3 and 5
mg/kg inhibits the growth of solid Ehrlich tumor, although weaker than cisplatin.
However, it did not prolong the post-therapeutic survival. Our results suggest
the in-vitro potential of diruthenium-2 should be further evaluated in studies
using other in-vivo models.
PMID- 27187020
TI - Parental Grief Following the Death of a Child from Cancer: The Ongoing Odyssey.
AB - BACKGROUND: The death of a child is a devastating event that results in profound
grief and significant psychosocial and physical morbidities in parents. The
parental grief journey is a complex phenomenon necessitating the utilization of
newer models of bereavement with a focus on relationships and exploration of
parents' perceived meanings of the experience. OBJECTIVES: To further
characterize the grief journey of parents whose child died from cancer in order
to better identify parents who can benefit from additional bereavement support
and design strategies to improve bereavement services for these parents. DESIGN:
We conducted focus group sessions with 11 bereaved parents. The parents were
given two prompts to describe their grief journey before and after their child's
death, and their responses in a narrative form were audio-recorded. The responses
were coded and studied independently by semantic content analysis. RESULTS:
Collation and analysis of the coded responses to both prompts results in the
emergence of four concepts from the parental narratives: (1) description of the
grief trajectory and evolution of grief over time, (2) mechanisms of parental
coping throughout the grief journey, (3) factors that exacerbate parental grief,
and (4) sources of parental support throughout the grief journey. CONCLUSIONS:
The narratives highlighted that parents whose child died of cancer experience a
unique and evolving form of grief and they wish to continue their bond with the
deceased child. We recommend that healthcare providers and institutions
incorporate support systems into a comprehensive bereavement program for families
of children who die from cancer.
PMID- 27187019
TI - Suppressive effects on cell proliferation and motility in gastric cancer SGC-7901
cells by introducing ulinastatin in vitro.
AB - Ulinastatin (UTI) is a kind of urinary trypsin inhibitor regulating broad
spectrum proteases and is used widely in the treatment of inflammatory diseases.
Some evidence has suggested that UTI has antitumor functions in human carcinomas,
but its function in gastric cancer (GC) has not been discussed extensively. In
this study, we investigated the effects of UTI on GC SGC-7901 cells in vitro by
preincubating cells with the UTI. The expression of the related molecules,
urokinase-type plasminogen activator (uPA), was investigated at both the mRNA and
the protein stages. Activation of uPA was analyzed and the phosphorylation of
ERK1/2 downstream uPA was detected. According to the results, UTI downregulated
uPA expression and significantly suppressed the activation of uPA and the
phosphorylation of ERK1/2. Furthermore, the SGC-7901 cells treated by UTI showed
a significant decrease in cell proliferation and impairment of invasion and
migration. However, no significant influence was observed on cell apoptosis. By
ectopically expressing uPA in SGC-7901 cells, suppression effects of UTI were
rescued. We suggest that UTI suppresses GC cell proliferation, motility, and at
least partly conducted through uPA. Although the effects of UTI in GC cells need
to be validated further, UTI represents a strong therapeutic strategy that is
worth following up in GC treatment.
PMID- 27187021
TI - Reverse engineering genius: historiometric studies of superlative talent.
AB - Although genius has been defined in the dictionary as requiring an IQ above 140,
this definition depends on an arbitrary methodological decision made by Lewis
Terman for his longitudinal study of more than 1500 intellectually gifted
children, a study that occupies four of the five volumes of Genetic Studies of
Genius. Yet, only the second volume, by Catharine Cox, studied bona fide
geniuses, by applying historiometric methods to 301 highly eminent creators and
leaders. After defining historiometric research, I examine the difference between
historical genius and intellectual giftedness with respect to heterogeneous
intellects, personality differences, and early development and show that the
actual relation between IQ and genius is small and heavily contingent on domain
specific assessment, the operation of traits like persistence and openness to
experience, and the impact of diversifying experiences, including both
developmental adversity and subclinical psychopathology. Hence, the dictionary
definition of "genius" has minimal, if any, justification. If, using
historiometric methods, one works backward from recognized geniuses, such as
those studied by Cox, one might not obtain the kind of sample that Terman
obtained for his longitudinal study. The two methods produce two distinct
subgroups of the larger population.
PMID- 27187006
TI - Targeting vascular (endothelial) dysfunction.
AB - : Cardiovascular diseases are major contributors to global deaths and disability
adjusted life years, with hypertension a significant risk factor for all causes
of death. The endothelium that lines the inner wall of the vasculature regulates
essential haemostatic functions, such as vascular tone, circulation of blood
cells, inflammation and platelet activity. Endothelial dysfunction is an early
predictor of atherosclerosis and future cardiovascular events. We review the
prognostic value of obtaining measurements of endothelial function, the clinical
techniques for its determination, the mechanisms leading to endothelial
dysfunction and the therapeutic treatment of endothelial dysfunction. Since
vascular oxidative stress and inflammation are major determinants of endothelial
function, we have also addressed current antioxidant and anti-inflammatory
therapies. In the light of recent data that dispute the prognostic value of
endothelial function in healthy human cohorts, we also discuss alternative
diagnostic parameters such as vascular stiffness index and intima/media thickness
ratio. We also suggest that assessing vascular function, including that of smooth
muscle and even perivascular adipose tissue, may be an appropriate parameter for
clinical investigations. LINKED ARTICLES: This article is part of a themed
section on Redox Biology and Oxidative Stress in Health and Disease. To view the
other articles in this section visit
http://onlinelibrary.wiley.com/doi/10.1111/bph.v174.12/issuetoc.
PMID- 27187028
TI - Health utility of patients with Crohn's disease and ulcerative colitis: a
systematic review and meta-analysis.
AB - INTRODUCTION: The aim of this systematic review was to collect and summarize the
current data on the utilities of patients with Crohn's disease (CD) and
ulcerative colitis (UC). AREAS COVERED: A meta-analysis of the obtained utilities
was performed using a random-effects model and meta-regression by the disease
type and severity. A bootstrap analysis was performed as it does not require
assumption on distribution of the data. The highest utility among patients with
CD and UC was observed when the diseases were in remission. The meta-regression
analysis showed that both disease severity and an instrument/method/questionnaire
used to obtain utilities were significant predictors of utility. Utility was the
lowest for severe disease and the highest for disease in remission, the
association was more notable in patients with CD compared with UC. Expert
commentary: The issue of patients' utility is important for healthcare decision
makers but it has not been fully investigated and requires further study.
PMID- 27187027
TI - Psychiatric and substance use disorders in HIV/hepatitis C virus (HCV)-coinfected
patients: does HCV clearance matter? [Agence Nationale de Recherche sur le SIDA
et les Hepatites Virales (ANRS) HEPAVIH CO13 cohort].
AB - OBJECTIVES: The objective of this nested study was to assess the prevalence of
psychiatric disorders in a sample of HIV/hepatitis C virus (HCV)-coinfected
patients according to their HCV status. METHODS: The nested cross-sectional
study, untitled HEPAVIH-Psy survey, was performed in a subset of HIV/HCV
coinfected patients enrolled in the French Agence Nationale de Recherche sur le
SIDA et les Hepatites Virales (ANRS) CO13 HEPAVIH cohort. Psychiatric disorders
were screened for using the Mini International Neuropsychiatric Interview (MINI
5.0.0). RESULTS: Among the 286 patients enrolled in the study, 68 (24%) had never
received HCV treatment, 87 (30%) were treatment nonresponders, 44 (15%) were
currently being treated and 87 (30%) had a sustained virological response (SVR).
Of the 286 patients enrolled, 121 patients (42%) screened positive for a
psychiatric disorder other than suicidality and alcohol/drug abuse/dependence, 40
(14%) screened positive for alcohol abuse/dependence, 50 (18%) screened positive
for drug abuse/dependence, 50 (17.5%) were receiving an antidepressant treatment
and 69 (24%) were receiving an anxiolytic. Patients with an SVR did not
significantly differ from the other groups in terms of psychiatric disorders.
Patients receiving HCV treatment screened positive less often for an anxiety
disorder. The highest rate of drug dependence/abuse was among HCV treatment-naive
patients. CONCLUSIONS: Psychiatric disorders were frequent in HIV/HCV-coinfected
patients and their rates were comparable between groups, even for patients
achieving an SVR. Our results emphasize the need for continuous assessment and
care of coinfected patients, even after HCV clearance. Drug addiction remains an
obstacle to access to HCV treatment. Despite the recent advent and continued
development of directly acting antiviral agents (DAAs), it is still crucial to
offer screening and comprehensive care for psychiatric and addictive disorders.
PMID- 27187029
TI - GLOBAL PATTERNS OF LEPTOSPIRA PREVALENCE IN VERTEBRATE RESERVOIR HOSTS.
AB - Leptospirosis is a widespread emerging bacterial zoonosis. As the transmission is
believed to be predominantly waterborne, human incidence is expected to increase
in conjunction with global climate change and associated extreme weather events.
Providing more accurate predictions of human leptospirosis requires more detailed
information on animal reservoirs that are the source of human infection. We
evaluated the prevalence of Leptospira in vertebrates worldwide and its
association with taxonomy, geographic region, host biology, ambient temperature,
and precipitation patterns. A multivariate regression analysis with a meta
analysis-like approach was used to analyze compiled data extracted from 300
Leptospira-related peer reviewed papers. A fairly uniform Leptospira infection
prevalence of about 15% was found in the majority of mammalian families. Higher
prevalence was frequently associated with species occupying urban habitats, and
this may explain why climatic factors were not significantly correlated with
prevalence as consistently as expected. Across different approaches of the
multiple regression analyses, the variables most frequently correlated with
Leptospira infection prevalence were the host's ability to swim, minimum ambient
temperature, and methodologic quality of the study. Prevalence in carnivores was
not associated with any climatic variable, and the importance of environmental
risk factors were indicated to be of lesser consequence in nonhuman mammals. The
dataset is made available for further analysis.
PMID- 27187030
TI - MORTALITY DURING TREATMENT: FACTORS AFFECTING THE SURVIVAL OF OILED,
REHABILITATED COMMON MURRES (URIA AALGE).
AB - After major oil spills, hundreds to thousands of live stranded birds enter
rehabilitative care. To target aspects of rehabilitative efforts for improvement
and to evaluate which initial physical examination and biomedical parameters most
effectively predict survival to release, medical records were examined from 913
Common Murres ( Uria aalge ; COMUs) oiled during the November 2001-January 2003
oil spill associated with the sunken S.S. Jacob Luckenbach off San Francisco,
California, US. Results showed that 52% of all deaths occurred during the first 2
days of treatment. Birds stranding closest to the wreck had greater amounts of
oil on their bodies than birds stranding farther away. More heavily oiled birds
were in better clinical condition than birds with lesser amounts of oil, as shown
by higher body mass (BM), packed cell volumes (PCV), total plasma protein (TP),
and higher survival proportions. Additionally, BM, PCV, TP, and body temperature
were positively correlated. For comparison, medical records from all nonoiled
COMUs admitted for rehabilitation at the same facility during 2007-09 (n=468)
were examined, and these variables were also found to be positively correlated.
Oiled birds with BM under 750 g had approximately 5% lower PCV than BM-matched
nonoiled COMUs. More heavily oiled COMUs may be in better condition than less
oiled birds because heavily oiled birds must beach themselves immediately to
avoid drowning and hypothermia, whereas lightly oiled birds may postpone beaching
until exhausted due to extreme body catabolism. The strong relationship of PCV to
BM regardless of oiling provides evidence that anemia commonly encountered in
oiled seabirds may be a sequela to overall loss of body condition rather than
solely due to toxic effects of oiling. Clinical information garnered in this
study provides guidance for triage decisions during oil spills.
PMID- 27187031
TI - WIND TURBINES CAUSE CHRONIC STRESS IN BADGERS (MELES MELES) IN GREAT BRITAIN.
AB - A paucity of data exists with which to assess the effects of wind turbines noise
on terrestrial wildlife, despite growing concern about the impact of infrasound
from wind farms on human health and well-being. In 2013, we assessed whether the
presence of turbines in Great Britain impacted the stress levels of badgers (
Meles meles ) in nearby setts. Hair cortisol levels were used to determine if the
badgers were physiologically stressed. Hair of badgers living <1 km from a wind
farm had a 264% higher cortisol level than badgers >10 km from a wind farm. This
demonstrates that affected badgers suffer from enhanced hypothalamo-pituitary
adrenal activity and are physiologically stressed. No differences were found
between the cortisol levels of badgers living near wind farms operational since
2009 and 2012, indicating that the animals do not become habituated to turbine
disturbance. Cortisol levels in the affected badgers did not vary in relation to
the distance from turbines within 1 km, wind farm annual power output, or number
of turbines. We suggest that the higher cortisol levels in affected badgers is
caused by the turbines' sound and that these high levels may affect badgers'
immune systems, which could result in increased risk of infection and disease in
the badger population.
PMID- 27187032
TI - EFFECT OF STORAGE TIME AND STORAGE CONDITIONS ON ANTIBODY DETECTION IN BLOOD
SAMPLES COLLECTED ON FILTER PAPER.
AB - Using filter paper to collect blood from wildlife for antibody analysis can be a
powerful technique to simplify the collection, transport, and storage of blood
samples. Despite these advantages, there are limited data that detail how long
these samples can be stored and how storage conditions affect antibody longevity.
We used blood samples collected on filter paper from coyotes experimentally
infected with Yersinia pestis to determine optimum sample storage conditions over
time. Blood samples collected on filter paper were stored for 454 d or more in
four groups: 1) at ambient temperature and at ambient relative humidity, 2) at
ambient temperature with desiccant, 3) at 4 C with desiccant, and 4) at -20 C
with desiccant. Samples stored at 4 C or -20 C with desiccant had detectable
antibody for a longer period of time than the samples stored at room temperature.
PMID- 27187033
TI - ECOLOGIC DRIVERS AND POPULATION IMPACTS OF AVIAN TRICHOMONOSIS MORTALITY EVENTS
IN BAND-TAILED PIGEONS (PATAGIOENAS FASCIATA) IN CALIFORNIA, USA.
AB - : Avian trichomonosis, a disease typically caused by the protozoan parasite
Trichomonas gallinae , is a well recognized cause of death in many avian species.
In California, US, trichomonosis has caused periodic epidemics in Pacific Coast
Band-tailed Pigeons ( Patagioenas fasciata monilis). We summarize reported
mortality events and investigate ecologic drivers and population impacts
associated with epidemic mortality due to trichomonosis in Band-tailed Pigeons.
Between 1945 and 2014, 59 mortality events involving Band-tailed Pigeons were
reported in California with the number of reported events increasing over time.
Estimated mortality for these events was variable, ranging between 10 and 10,000
pigeons. Events were most-frequently reported in Monterey (19%; 11/59) and San
Luis Obispo (8%; 5/59) counties. Events often started in January (32%; 9/28) and
February (50%; 14/28) and lasted 5-68 d. Impacts of mortality events on pigeon
populations were indicated by Breeding Bird Survey and Christmas Bird Count
abundance indices, which showed a decline in outbreak years compared to
nonoutbreak years. Environmental conditions most associated with outbreak years
included higher average temperatures between January and March, the period most
associated with mortality events, and lower average precipitation in December
just prior to mortality events. In Monterey County, events tended to occur in
winters following higher acorn production of coast live oaks ( Quercus agrifolia
) in the fall. Weather and food abundance could be related to increased
transmission or enhanced viability of Trichomonas spp. Although estimated
mortality due to avian trichomonosis was highly variable across years, cumulative
losses were substantial and likely to have a negative impact on population size.
PMID- 27187034
TI - MORTALITY OF SELECTED AVIAN ORDERS SUBMITTED TO A WILDLIFE DIAGNOSTIC LABORATORY
(SOUTHEASTERN COOPERATIVE WILDLIFE DISEASE STUDY, USA): A 36-YEAR RETROSPECTIVE
ANALYSIS.
AB - To determine the relative importance of mortality factors for birds and to assess
for patterns in avian mortality over time, we retrospectively examined data of
birds submitted to the Southeastern Cooperative Wildlife Disease Study (SCWDS;
http://vet.uga.edu/scwds ), US, from 1976 to 2012. During this period, SCWDS, a
wildlife diagnostic laboratory, received 2,583 wild bird specimens, from the
taxonomic orders Apodiformes, Caprimulgiformes, Cuculiformes, Passeriformes, and
Piciformes, originating from 22 states. Data from 2,001 of these birds were
analyzed using log-linear models to explore correlations between causes of
mortality, taxonomic family, demography, geographic location, and seasonality.
Toxicosis was the major cause of mortality, followed by trauma, bacterial
infection, physiologic stress, viral infection, and other (mortality causes with
low sample numbers and etiologies inconsistent with established categories).
Birds submitted during fall and winter had a higher frequency of parasitic
infections, trauma, and toxicoses, whereas birds submitted during the spring and
summer were more likely to die of an infectious disease, physiologic stress, or
trauma. We noted a decrease in toxicoses concurrent with an increase in bacterial
infections and trauma diagnoses after the mid-1990s. Toxicosis was the most
commonly diagnosed cause of death among adult birds; the majority of juveniles
died from physiologic stress, trauma, or viral infections. Infectious agents were
diagnosed more often within the families Cardinalidae and Fringilidae, whereas
noninfectious etiologies were the primary diagnoses in the Bombycillidae,
Parulidae, Sturnidae, Turdidae, and Icteridae. There are important inherent
limitations in the examination of data from diagnostic labs, as submission of
cases varies in timing, frequency, location, and species and is often influenced
by several factors, including media coverage of high-profile mortality events.
Notwithstanding, our data provide a rare opportunity to examine long-term,
regional, and temporal patterns in causes of avian mortality, and they allow for
the analysis of novel and rare mortality factors.
PMID- 27187035
TI - Volumetric measurements of the inner ear in patients with Meniere's disease using
three-dimensional magnetic resonance imaging.
AB - CONCLUSION: This study described the lateralities of axial length of inner ear
(ALIE), of the volume of inner ear (VIE) and age-related differences of the
volume of inner ear components in patients with chronic rhinosinusitis (CRS). Age
related differences were found in ALIE and the positive correlation in ALIE and
the volume of the cochlea (VC) of the affected ear in patients with Meniere's
disease (MD). OBJECTIVE: To identify side or sex-related differences in the ALIE,
the length of the spiral canal of cochlea (LSCC), and the volume of components of
the inner ear in MD and CRS. METHODS: Thirty-two with unilateral MD and 14 with
CRS were included. Images were acquired with a 3.0-tesla unit using SPACE
sequences. The ALIE was measured and the VIE, VC, the volume of the vestibule
(VV), and of the semi-circular canals (VSC) were also measured. RESULTS: In CRS,
ALIE of the right ear in males was significantly longer than in females. Patients
younger than 60 years old with CRS had a significantly larger VIE, VC, and VSC
than older than 60. In MD, the ALIE in older than 60 was longer than below 60.
PMID- 27187036
TI - Physician predictions of length of stay of patients admitted with heart failure.
AB - Physicians' ability to predict length of stay is understudied, particularly for
patients with heart failure (HF) admissions. The objective of this prospective,
observational cohort study was to measure the accuracy of inpatient physicians'
predictions of length of stay at the time of admission of patients admitted to an
academic tertiary care hospital with HF and to determine whether level of
experience improves accuracy. The patients included 165 adults consecutively
admitted with heart failure, about whom 415 predictions were made within 24 hours
of admission. Mean and median lengths of stay were 10.9 and 8 days, respectively.
The mean difference between predicted and actual length of stay was statistically
significant for all groups: interns, -5.9 days (95% confidence interval [CI]:
8.2 to -3.6, P < 0.0001); residents, -4.3 days (95% CI: -6.0 to -2.7, P =
0.0001); attending cardiologists, -3.5 days (95% CI: -5.1 to -2.0, P < 0.0001).
There were no differences in accuracy by level of experience (P = 0.61).
Physicians, regardless of experience, underestimate length of stay of patients
admitted with HF. Journal of Hospital Medicine 2016;11:642-645. (c) 2016 Society
of Hospital Medicine.
PMID- 27187037
TI - Effect of Simvastatin, Coenzyme Q10, Resveratrol, Acetylcysteine and
Acetylcarnitine on Mitochondrial Respiration.
AB - Some therapeutic and/or adverse effects of drugs may be related to their effects
on mitochondrial function. The effects of simvastatin, resveratrol, coenzyme Q10,
acetylcysteine, and acetylcarnitine on Complex I-, Complex II-, or Complex IV
linked respiratory rate were determined in isolated brain mitochondria. The
protective effects of these biologically active compounds on the calcium-induced
decrease of the respiratory rate were also studied. We observed a significant
inhibitory effect of simvastatin on mitochondrial respiration (IC50 = 24.0 MUM
for Complex I-linked respiration, IC50 = 31.3 MUM for Complex II-linked
respiration, and IC50 = 42.9 MUM for Complex IV-linked respiration); the
inhibitory effect of resveratrol was found at very high concentrations (IC50 =
162 MUM for Complex I-linked respiration, IC50 = 564 MUM for Complex II-linked
respiration, and IC50 = 1454 MUM for Complex IV-linked respiration).
Concentrations required for effective simvastatin- or resveratrol-induced
inhibition of mitochondrial respiration were found much higher than
concentrations achieved under standard dosing of these drugs. Acetylcysteine and
acetylcarnitine did not affect the oxygen consumption rate of mitochondria.
Coenzyme Q10 induced an increase of Complex I-linked respiration. The increase of
free calcium ions induced partial inhibition of the Complex I+II-linked
mitochondrial respiration, and all tested drugs counteracted this inhibition.
None of the tested drugs showed mitochondrial toxicity (characterized by
respiratory rate inhibition) at drug concentrations achieved at therapeutic drug
intake. Resveratrol, simvastatin, and acetylcarnitine had the greatest
neuroprotective potential (characterized by protective effects against calcium
induced reduction of the respiratory rate).
PMID- 27187038
TI - Novel CDKL5 Mutations in Czech Patients with Phenotypes of Atypical Rett Syndrome
and Early-Onset Epileptic Encephalopathy.
AB - The X-linked CDKL5 gene, which encodes cyclin-dependent kinase-like 5 protein,
has been implicated in early-onset encephalopathy and atypical Rett syndrome with
early-onset seizures. The CDKL5 protein is a kinase required for neuronal
development and morphogenesis, but its precise functions are still largely
unexplored. Individuals with CDKL5 mutations present with severe global
developmental delay, intractable epilepsy, and Rett-like features. A clear
genotype-phenotype correlation has not been established due to an insufficient
number of reported cases. The aim of this study was to analyse the CDKL5 gene in
Czech patients with early-onset seizures and Rett-like features. We performed
mutation screening in a cohort of 83 individuals using high-resolution melting
analysis, DNA sequencing and multiplex ligation- dependent probe amplification.
Molecular analyses revealed heterozygous pathogenic mutations in three girls with
severe intellectual disability and intractable epilepsy starting at the age of
two months. All three identified mutations, c.637G>A, c.902_977+29del105, and
c.1757_1758delCT, are novel, thus significantly extending the growing spectrum of
known pathogenic CDKL5 sequence variants. Our results support the importance of
genetic testing of the CDKL5 gene in patients with early-onset epileptic
encephalopathy and Rett-like features with early-onset seizures. This is the
first study referring to molecular defects of CDKL5 in Czech cases.
PMID- 27187040
TI - X-Chromosome Inactivation Analysis in Different Cell Types and Induced
Pluripotent Stem Cells Elucidates the Disease Mechanism in a Rare Case of
Mucopolysaccharidosis Type II in a Female.
AB - Mucopolysaccharidosis type II (MPS II) is an X-linked lysosomal storage disorder
resulting from deficiency of iduronate-2-sulphatase activity. The disease
manifests almost exclusively in males; only 16 symptomatic heterozygote girls
have been reported so far. We describe the results of X-chromosome inactivation
analysis in a 5-year-old girl with clinically severe disease and heterozygous
mutation p.Arg468Gln in the IDS gene. X inactivation analysed at three X
chromosome loci showed extreme skewing (96/4 to 99/1) in two patient's cell
types. This finding correlated with exclusive expression of the mutated allele.
Induced pluripotent stem cells (iPSC) generated from the patient's peripheral
blood demonstrated characteristic pluripotency markers, deficiency of enzyme
activity, and mutation in the IDS gene. These cells were capable of
differentiation into other cell types (cardiomyocytes, neurons). In MPS II iPSC
clones, the X inactivation ratio remained highly skewed in culture conditions
that led to partial X inactivation reset in Fabry disease iPSC clones. Our data,
in accordance with the literature, suggest that extremely skewed X inactivation
favouring the mutated allele is a crucial condition for manifestation of MPS II
in females. This suggests that the X inactivation status and enzyme activity have
a prognostic value and should be used to evaluate MPS II in females. For the
first time, we show generation of iPSC from a symptomatic MPS II female patient
that can serve as a cellular model for further research of the pathogenesis and
treatment of this disease.
PMID- 27187041
TI - Intracellular Delivery of Synthetic dsRNA to Leukemic Cells Induces Apoptotic and
Necrotic Cell Death.
AB - The type of tumour cell death dictates the type of adaptive immune response
mounted against the tumours. In haematological malignancies such as acute myeloid
leukaemia (AML), immune evasion due to the poor immunogenicity of leukemic cells
is a major hurdle in generating an effective immune response. Transfection of
synthetic dsRNA, poly I:C, into leukemic cells to trigger tumour cell death and
enhance immunogenicity of the tumour is a promising immunotherapeutic approach.
However, the temporal cell death kinetics of poly I:C-electroporated AML cells
has not been thoroughly investigated. Electroporation of U937 cells, a human AML
cell line, with a high dose of poly I:C resulted in cytotoxicity as early as 1 h
post-transfection. Flow cytometric analysis revealed the temporal switch from
early apoptosis to late apoptosis/secondary necrosis in poly I:C-electroporated
cells in which the nuclear morphology at later time points was consistent with
necrotic cell death. Our brief findings demonstrated the temporal cell death
kinetics of dsRNA-transfected leukemic cells. This finding is an important
development in the field of dsRNA immunotherapy for leukaemia as understanding
the type of cell death elicited by transfected dsRNA will dictate the type of
immune response to be directed against leukemic cells.
PMID- 27187039
TI - TIMP4 Modulates ER-alpha Signalling in MCF7 Breast Cancer Cells.
AB - Tissue inhibitor of metalloprotease 4 (TIMP4) contributes to poor prognosis in
breast and other tumours. However, the mechanisms of how TIMP4 influences breast
cancer cell behaviour are unknown. Our aim was to explore the signalling pathways
modulated by TIMP4 in breast cancer cells. Human recombinant TIMP4 was added to
MCF7 breast cancer cells and RNASeq was performed. TIMP4 RNASeq results were
validated by RT-PCR. Network analyses of TIMP4-exposed cells showed that ER
alpha, HIF1A and TGF-beta signalling were activated, whereas FOXO3 signalling was
downregulated. ER-alpha protein levels were increased and concordantly, promoters
of TIMP4-upregulated genes were significantly enriched in oestrogen-binding
sites. We concluded that TIMP4 modulates multiple signalling pathways relevant in
cancer in MCF7 cells, including the ER-alpha cascade.
PMID- 27187042
TI - IgE anti-Haemophilus influenzae type b (Hib) antibodies detected in serum of Hib
vaccinated asthmatic and non-asthmatic pediatric patients.
AB - BACKGROUND: Haemophilus influenzae type b (Hib) bacterium causes severe illness
in infants and children, but has largely been eliminated by introducing a
universal Hib conjugate vaccine. While effects of certain vaccinations on atopic
disease have been studied, little is known about the relationship between Hib
vaccination and diseases of altered immunoglobulin E (IgE) regulation (asthma or
atopy). As such, it is necessary to provide more evidence concerning Hib
vaccination as a possible risk factor for atopic disease. METHODS: Total serum
IgE and IgE-and IgG-anti-Hib antibody responses were studied in Hib vaccinated
asthmatic (N.=14) and non-asthmatic children (N=26) (VaccZymeTM Human Anti Hib
Enzyme Immunoassay Kit). Data are reported as mean optical density (OD) values.
RESULTS: We found that: 1) total serum IgE levels were higher in asthmatic
compared with non-asthmatic subjects (389+/-125 vs. 125+/-129, P<0.001); 2) IgE
and IgG anti-Hib antibody responses were similar in both asthmatic and non
asthmatic subjects (0.722+/-0.279 and 0.681+/-0.280, respectively; P=0.65;
0.450+/-0.505 and 0.573+/-0.779, respectively; P=0.580). CONCLUSIONS: The
universal Hib vaccine antigen did not result in either increased IgE, or IgG anti
Hib antibody responses in asthmatic or non-asthmatics subjects. Thus, in this
cohort, no association between Hib vaccination and asthma status was identified.
PMID- 27187043
TI - DNA Strand Replacement Mechanism in Molecular Beacons Encoded for the Detection
of Cancer Biomarkers.
AB - Signaling properties of a fluorescent hairpin oligonucleotide molecular beacon
(MB) encoded to recognize protein survivin (Sur) mRNA have been investigated. The
process of complementary target binding to SurMB with 20-mer loop sequence is
spontaneous, as expected, and characterized by a high affinity constant (K = 2.51
* 10(16) M(-1)). However, the slow kinetics at room temperature makes it highly
irreversible. To understand the intricacies of target binding to MB, a detailed
kinetic study has been performed to determine the rate constants and activation
energy Ea for the reaction at physiological temperature (37 degrees C). Special
attention has been paid to assess the value of Ea in view of reports of negative
activation enthalpy for some nucleic acid reactions that would make the target
binding even slower at increasing temperatures in a non-Arrhenius process. The
target-binding rate constant determined is k = 3.99 * 10(3) M(-1) s(-1) at 37
degrees C with Ea = 28.7 +/- 2.3 kcal/mol (120.2 +/- 9.6 kJ/mol) for the
temperature range of 23 to 55 degrees C. The positive high value of Ea is
consistent with a kinetically controlled classical Arrhenius process. We
hypothesize that the likely contribution to the activation energy barrier comes
from the SurMB stem melting (tm = 53.7 +/- 0.2 degrees C), which is a necessary
step in the completion of target strand hybridization with the SurMB loop. A low
limit of detection (LOD = 2 nM) for target tDNA has been achieved. Small effects
of conformational polymorphs of SurMB have been observed on melting curves.
Although these polymorphs could potentially cause a negative Ea, their effect on
kinetic transients for target binding is negligible. No toehold preceding steps
in the mechanism of target binding were identified.
PMID- 27187045
TI - Three-Dimensional CAIPIRINHA SPACE TSE for 5-Minute High-Resolution MRI of the
Knee.
AB - OBJECTIVE: The aim of this study was to prospectively test the hypothesis that a
2-dimensional (2D) CAIPIRINHA (controlled aliasing in parallel imaging results in
higher acceleration) sampling pattern facilitates 5-minute high spatial
resolution 3-dimensional (3D) sampling perfection with application optimized
contrast using different flip angle evolutions (SPACE) magnetic resonance imaging
(MRI) of the knee with image quality similar or better than current 2D turbo spin
echo (TSE) and 3D SPACE standards. MATERIALS AND METHODS: The study was approved
by our institutional review board. Twenty asymptomatic subjects (12 men, 8 women;
mean age, 42 years; age range, 24-65 years) underwent 3 T MRI of the knee. A 4
fold accelerated 3D SPACE TSE prototype with 2D CAIPIRINHA sampling pattern and 5
minute acquisition time was compared with commercially available 2-fold and 4
fold accelerated 3D SPACE and 2D TSE pulse sequences with acquisition times of 11
minutes and 15 seconds, 6 minutes and 30 seconds, as well as 9 minutes and 48
seconds, respectively. Outcome variables included image quality, anatomic
visibility, signal-to-noise ratio (SNR), and contrast-to-noise ratio (CNR).
Statistical analysis included Friedman, repeated measures analysis of variances,
and Cohen's weighted kappa tests. Bonferroni-corrected P values of 0.005 and less
were considered statistically significant. RESULTS: Overall, image quality,
visibility of anatomic structures, SNR, and CNR of 3D CAIPIRINHA SPACE were
statistically similar to 2-fold accelerated 3D SPACE and significantly better
than 4-fold accelerated 3D SPACE, which exhibited degrading parallel imaging
artifacts. Compared with 2.5-mm 2D TSE images, 0.5-mm 3D CAIPIRINHA SPACE images
showed statistically similar good edge sharpness and very good contrast
resolution, and significantly less partial volume as well as absent chemical
shift and pulsatile flow artifacts. Visibility of menisci, anterior cruciate
ligament, posterior cruciate ligament, medial collateral ligament, and lateral
collateral ligament was good to very good on 0.5-mm 3D CAIPIRINHA SPACE images as
compared with good on 2.5-mm 2D TSE image (P < 0.005). The SNR of fat, fluid, and
cartilage as well as CNR between cartilage, fluid, fat, posterior cruciate
ligament, and menisci were minimally higher on 2.5-mm 2D TSE image (P < 0.005).
Image quality, visibility of anatomic structures, SNR, and CNR of 2.5-mm 3D
CAIPIRINHA SPACE and 2.5-mm 2D TSE images were good to very good without
significant differences. CONCLUSIONS: Three-dimensional SPACE with 2D CAIPIRINHA
sampling pattern enables high-quality 3D TSE MRI of the knee at an acquisition
time of 5 minutes and image quality, visibility of anatomic structures, SNR, and
CNR similar to conventional 3D SPACE and 2D TSE, both of which require
approximately 10-minute acquisition times.
PMID- 27187047
TI - Effects of closure versus non-closure of the visceral and parietal peritoneum at
cesarean section: does it have any effect on postoperative vital signs? A
prospective randomized study.
AB - OBJECTIVE: To investigate the effect of peritonization at cesarean section on
postoperative vital signs which was thought to be an indirect finding secondary
to increased sympathetic activity originated from pain caused by stretched
peritoneum. METHODS: One hundred and thirty-three pregnant women were randomized
to four groups; Closure of parietal peritoneum only (group 1; n = 32), closure of
visceral and parietal peritoneums (group 2; n = 32), no closure of peritoneums
(group 3; n = 32) and closure of the visceral peritoneum only (group 4; n = 32).
All participants were monitored for blood pressure, pulse activity and hourly
urinary output during the first postoperative 24 h. Postoperative pain was
measured using a Visual Analogue Scale 6th and 24th hours after surgery. Return
of bowel function was measured from the end of the operation to the first passage
of flatus. Operating time, pre- and postoperative hemoglobin, postoperative
complications, length of hospital stay and postoperative urine osmolarity were
noted. RESULTS: The mean surgery duration was significantly longer in group 2.
Diuresis was found significantly decreased in group 2. Pulse rate and systolic
and diastolic blood pressure were significantly higher in group 2. Closure of
both peritoneums was associated with higher post-operative pain as assessed using
Visual analogue scale score analyses in group 2. CONCLUSION: Both visceral and
parietal membrane closure in cesarean section should be avoided in women with
hypertensive disorders, renal function abnormalities and autonomic dysfunction
because of increased postoperative pain and associated sympathetic overactivity.
PMID- 27187046
TI - Dual-Energy Computed Tomography Angiography of the Head and Neck With Single
Source Computed Tomography: A New Technical (Split Filter) Approach for Bone
Removal.
AB - OBJECTIVES: Dual-energy computed tomographic angiography (DE-CTA) has been
demonstrated to improve the visualization of the head and neck vessels. The aim
of this study was to test the potential of split-filter single-source dual-energy
CT to automatically remove bone from the final CTA data set. MATERIALS AND
METHODS: Dual-energy CTA was performed in 50 consecutive patients to evaluate the
supra-aortic arteries, either to grade carotid artery stenosis or to rule out
traumatic dissections. Dual-energy CTA was performed on a 128-slice single-source
CT system equipped with a special filter array to separate the 120-kV spectrum
into a high- and a low-energy spectrum for DE-based automated bone removal. Image
quality of fully automated bone suppression and subsequent manual optimization
was evaluated by 2 radiologists on maximum intensity projections using a 4-grade
scoring system. The effect of image reconstruction with an iterative metal
artifact reduction algorithm on DE postprocessing was tested using a 3-grade
scoring system, and the time demand for each postprocessing step was measured.
RESULTS: Two patients were excluded due to insufficient arterial contrast
enhancement; in the remaining 48 patients, automated bone removal could be
performed successfully. The addition of iterative metal artifact reduction
algorithm improved image quality in 58.3% of the cases. After manual
optimization, DE-CTA image quality was rated excellent in 7, good in 29, and
moderate in 10 patients. Interobserver agreement was high (kappa = 0.85).
Stenosis grading was not influenced using DE-CTA with bone removal as compared
with the original CTA. The time demand for DE image reconstruction was
significantly higher than for single-energy reconstruction (42.1 vs 20.9
seconds). CONCLUSIONS: Our results suggest that bone removal in DE-CTA of the
head and neck vessels with a single-source CT is feasible and can be performed
within acceptable time and moderate user interaction.
PMID- 27187048
TI - Intricate Functions of Matrix Metalloproteinases in Physiological and
Pathological Conditions.
AB - Matrix metalloproteinases (MMPs) are a diverse group of proteolytic enzymes and
play an important role in the degradation and remodeling of the extracellular
matrix (ECM). In normal physiological conditions, MMPs are usually minimally
expressed. Despite their low expression, MMPs have been implicated in many
cellular processes ranging from embryological development to apoptosis. The
activity of MMPs is controlled at three different stages: (1) transcription; (2)
zymogen activation; and (3) inhibition of active forms by tissue inhibitor
metalloproteinases (TIMPs). They can collectively degrade any component of ECM
and basement membrane, and their excessive activity has been linked to numerous
pathologies mainly including, but not limited to, tumor invasion and metastasis.
The lack of information about several MMPs and the steady stream of new
discoveries suggest that there is much more to be studied in this field. In
particular, there is a need for controlling their expression in disease states.
Various studies over the past 30 years have found that each MMP has a specific
mode of activation, action, and inhibition. Drugs specifically targeting
individual MMPs could revolutionize the treatment of a great number of health
conditions and tremendously reduce their burden. In this review article, we have
summarized the recent advances in understanding the role of MMPs in physiological
and pathological conditions. J. Cell. Physiol. 231: 2599-2621, 2016. (c) 2016
Wiley Periodicals, Inc.
PMID- 27187049
TI - Validation of a plant-wide phosphorus modelling approach with minerals
precipitation in a full-scale WWTP.
AB - The focus of modelling in wastewater treatment is shifting from single unit to
plant-wide scale. Plant-wide modelling approaches provide opportunities to study
the dynamics and interactions of different transformations in water and sludge
streams. Towards developing more general and robust simulation tools applicable
to a broad range of wastewater engineering problems, this paper evaluates a plant
wide model built with sub-models from the Benchmark Simulation Model No. 2-P
(BSM2-P) with an improved/expanded physico-chemical framework (PCF). The PCF
includes a simple and validated equilibrium approach describing ion speciation
and ion pairing with kinetic multiple minerals precipitation. Model performance
is evaluated against data sets from a full-scale wastewater treatment plant,
assessing capability to describe water and sludge lines across the treatment
process under steady-state operation. With default rate kinetic and
stoichiometric parameters, a good general agreement is observed between the full
scale datasets and the simulated results under steady-state conditions.
Simulation results show differences between measured and modelled phosphorus as
little as 4-15% (relative) throughout the entire plant. Dynamic influent profiles
were generated using a calibrated influent generator and were used to study the
effect of long-term influent dynamics on plant performance. Model-based analysis
shows that minerals precipitation strongly influences composition in the
anaerobic digesters, but also impacts on nutrient loading across the entire
plant. A forecasted implementation of nutrient recovery by struvite
crystallization (model scenario only), reduced the phosphorus content in the
treatment plant influent (via centrate recycling) considerably and thus decreased
phosphorus in the treated outflow by up to 43%. Overall, the evaluated plant-wide
model is able to jointly describe the physico-chemical and biological processes,
and is advocated for future use as a tool for design, performance evaluation and
optimization of whole wastewater treatment plants.
PMID- 27187050
TI - Sulfur-based denitrification: Effect of biofilm development on denitrification
fluxes.
AB - Elemental sulfur (S(o)) can serve as an electron donor for denitrification.
However, the mechanisms and rates of S(o)-based denitrification, which depend on
a biofilm development on a solid S(o) surface, are not well understood. We used
completely-mixed reactors packed with S(o) chips to systematically explore the
behavior of S(o)-based denitrification as a function of the bulk nitrate (NO3(-))
concentration and biofilm development. High-purity (99.5%) and agricultural-grade
(90% purity) S(o) chips were tested to explore differences in performance. NO3(-)
fluxes followed a Monod-type relationship with the bulk NO3(-) concentration. For
high-purity S(o), the maximum NO3(-) flux increased from 0.4 gN/m(2)-d at 21 days
to 0.9 g N/m(2)-d at around 100 days, but then decreased to 0.65 gN/m(2)-d at 161
days. The apparent (extant) half-saturation constant for NO3(-) KSapp, based on
the bulk NO3(-) concentration and NO3(-) fluxes into the biofilm, increased from
0.1 mgN/L at 21 days to 0.8 mgN/L at 161 days, reflecting the increasing mass
transfer resistance as the biofilm thickness increased. Nitrite (NO2(-))
accumulation became significant at bulk NO3(-) concentration above 0.2 mgN/L. The
behavior of the agricultural-grade S(o) was very similar to the high-purity S(o).
The kinetic behavior of S(o)-based denitrification was consistent with substrate
counter-diffusion, where the soluble sulfur species diffuse from the S(o)
particle into the base of the biofilm, while NO3(-) diffuses into the biofilm
from the bulk. Initially, the fluxes were low due to biomass limitation (thin
biofilms). As the biofilm thickness increased with time, the fluxes first
increased, stabilized, and then decreased. The decrease was probably due to
increasing diffusional resistance in the thick biofilm. Results suggest that
fluxes comparable to heterotrophic biofilm processes can be achieved, but careful
management of biofilm accumulation is important to maintain high fluxes.
PMID- 27187051
TI - Assisted reproductive technology and perinatal outcomes: conventional versus
discordant-sibling design.
AB - OBJECTIVE: To compare risks of adverse perinatal outcomes between assisted
reproductive technology (ART) and naturally conceived singleton births using a
dual design approach. DESIGN: Discordant-sibling and conventional cross-sectional
general population comparison. SETTING: Not applicable. PATIENT(S): All singleton
live births, conceived naturally or via ART. INTERVENTION(S): None. MAIN OUTCOME
MEASURE(S): Birth weight, gestational age, low birth weight, preterm delivery,
small for gestational age (SGA), low Apgar score. RESULT(S): A total of 32,762
(0.8%) of 3,896,242 singleton live births in the three states were conceived via
ART. In 6,458 sibling pairs, ART-conceived singletons were 33 g lighter (adjusted
beta = -33.40, 95% confidence interval [CI], -48.60, -18.21) and born half a day
sooner (beta = -0.58, 95% CI, -1.02, -0.14) than singletons conceived naturally.
The absolute risk of low birth weight and preterm birth was 6.8% and 9.7%,
respectively, in the ART group and 4.9% and 7.9%, respectively, in the non-ART
group. The odds of low birth weight were 33% higher (adjusted odds ratio [aOR] =
1.33; 95% CI, 1.13, 1.56) and 20% higher for preterm birth (aOR = 1.20; 95% CI,
1.07, 1.34). The odds of SGA and low Apgar score were not significantly different
in both groups (aOR = 1.22; 95% CI, 0.88, 1.68; and aOR = 0.75; 95% CI, 0.54,
1.05, respectively). Results of conventional analyses were similar, although the
magnitude of risk was higher for preterm birth (aOR, 1.51; 95% CI 1.46, 1.56).
CONCLUSION(S): Despite some inflated risks in the general population comparison,
ART remained associated with an increased likelihood of low birth weight and
preterm birth when underlying maternal factors were kept constant using
discordant-sibling comparison.
PMID- 27187052
TI - The application of absolute quantitative (1)H NMR spectroscopy in drug discovery
and development.
AB - INTRODUCTION: The identification of a drug candidate and its structural
determination is the most important step in the process of the drug discovery and
for this, nuclear magnetic resonance (NMR) is one of the most selective
analytical techniques. AREA COVERED: The present review illustrates the various
perspectives of absolute quantitative (1)H NMR spectroscopy in drug discovery and
development. It deals with the fundamentals of quantitative NMR (qNMR), the
physiochemical properties affecting qNMR, and the latest referencing techniques
used for quantification. The precise application of qNMR during various stages of
drug discovery and development, namely natural product research, drug
quantitation in dosage forms, drug metabolism studies, impurity profiling and
solubility measurements is elaborated. To achieve this, the authors explore the
literature of NMR in drug discovery and development between 1963 and 2015. It
also takes into account several other reviews on the subject. EXPERT OPINION:
qNMR experiments are used for drug discovery and development processes as it is a
non-destructive, versatile and robust technique with high intra and interpersonal
variability. However, there are several limitations also. qNMR of complex
biological samples is incorporated with peak overlap and a low limit of
quantification and this can be overcome by using hyphenated chromatographic
techniques in addition to NMR.
PMID- 27187053
TI - Influence of Complex Loading Conditions on Intervertebral Disc Failure.
AB - STUDY DESIGN: High resolution imaging investigation of the failure of ovine
lumbar intervertebral discs under complex loading. OBJECTIVE: To investigate how
different loading combinations influence the mechanism and extent of
intervertebral disc failure. SUMMARY OF BACKGROUND DATA: Even though there has
been extensive research on how an intervertebral disc fails under various
conditions, failure mechanisms remain unclear. In addition, the influence of
different loading directions on the mode and extent of failure under complex
loading was never systematically investigated. METHODS: Thirty ovine lumbar
spinal segments were loaded in a newly developed, dynamic, 6-degree-of-freedom (6
DOF) disc loading simulator under five combinations of the following loading
parameters: 0 degrees -13 degrees flexion, 0 degrees -10 degrees lateral
bending, 0 degrees -4 degrees axial rotation, 0-800 N axial compression. A total
of 1000 cycles at 2 Hz were done. After testing, imaging of the discs was
performed in an ultra-high field magnetic resonance imaging (11.7 T) scanner and
with a micro-computed tomography scanner. RESULTS: A total of 13 large endplate
junction failures (EPJFs) occurred, of which all but one maintained an intact
cartilaginous endplate. Ten out of 13 EPJFs occurred caudally. Four solely
annulus failures occurred affecting only the outer posterior annulus. A
herniation was not observed. The maximum moments measured in any group (median)
were 52.5 N . m flexion, 16.5 N . m lateral bending, and 14.0 N . m axial
rotation. CONCLUSION: Complex loading protocols could lead to EPJFs (76%) and
annulus failures (24%) in vitro. The combination of flexion, lateral bending,
axial rotation, and axial compression bears the highest risk for caudal EPJF.
Flexion without lateral bending and vice versa has the lowest risk for failure.
Both axial compression and axial rotation seem to have a smaller influence than
flexion and lateral bending. It seems that a herniation requires an additional
failure of the cartilaginous endplate, likely initiated by further axial
compressive load. LEVEL OF EVIDENCE: 4.
PMID- 27187054
TI - Maternal total T4 during the first half of pregnancy: physiologic aspects and the
risk of adverse outcomes in comparison with free T4.
AB - AIM: We aimed to investigate TT4 physiological aspects and associations with
clinical end-points. BACKGROUND: Total T4 (TT4) has been suggested as a marker
for maternal thyroid function during pregnancy because as compared to FT4 (i) TT4
measurement is not affected by binding protein interference, (ii) TT4 is
considered to be more stable from the second trimester onwards, and (iii) TT4
better reflects changes in the hypothalamic-pituitary-thyroid axis. However, this
is based on data from small studies, and, more importantly, it is unknown whether
TT4 is associated with adverse pregnancy or child outcomes. METHODS: We selected
5647 mother-child pairs from a large population-based prospective cohort with
data on maternal TSH, FT4 and TT4 during early pregnancy (median 13.2 weeks, 95%
range 9.8-17.6). We used multivariable (non)linear and logistic regression models
to study the association of maternal TT4 with pre-eclampsia, premature delivery,
birthweight and offspring IQ and compare the results with previously obtained
results for FT4. RESULTS: The change of mean TT4 levels was 27.5% compared to
20.2% for FT4. There was a log-linear association of TT4 and FT4 with TSH, but
the explained variability of TSH was much lower for TT4 than for FT4 (R-squared
TT4: 2.5% vs 8.0% for FT4). In contrast to FT4, there was no independent
association of maternal TT4 with pre-eclampsia, premature delivery, birthweight
or offspring IQ. CONCLUSION: Maternal TT4 levels are highly variable in the first
half of pregnancy and are poorly related to maternal TSH. This study shows that
maternal TT4 levels are either not associated, or not better associated as
compared to FT4, with adverse pregnancy or child outcomes. This suggests that the
maternal TT4 is inferior to FT4 in the assessment of maternal thyroid function
during the first half of pregnancy.
PMID- 27187055
TI - Inactivation of indicator organisms in wastewater treated by a high rate algal
pond system.
AB - AIMS: High rate algal ponds (HRAPs) have been suggested as replacements for waste
stabilization ponds (WSPs) in Community Wastewater Management Systems (CWMS) used
in rural South Australian (SA) towns. Treated effluent from CWMS is reused for
irrigation. To manage the risk of human exposure to pathogens, the disinfection
performance of HRAPs was validated using the common indicators Escherichia coli
and F-RNA bacteriophage; aerobic spore-forming bacteria (ASFB) were used as
indicators for protozoa. METHODS AND RESULTS: Using standard culture methods, the
removal of the indicator organisms F-RNA bacteriophage, E. coli and ASFB by a
HRAP at Kingston-on-Murray, SA, was measured. The mean log10 reduction values
achieved for the F-RNA bacteriophage was 1.59 +/- 0.82 log10 PFU 100 ml(-1) and
for E. coli it was 2.13 +/- 0.55 log10 E. coli MPN 100 ml(-1) . ASFB numbers in
the treated pond effluent often exceeded those of the influent. CONCLUSIONS: The
results show that HRAPs are able to remove viral and bacterial indicators to
levels acceptable for subsurface irrigation of nonfood crops. Additionally, it
was concluded that alternate environmental sources and the potential for regrowth
made ASFB unsuitable indicators for pathogenic protozoa in natural pond systems.
SIGNIFICANCE AND IMPACT OF THE STUDY: This study shows HRAPs would be suitable
replacements for WSPs in CWMS schemes in regard to pathogen disinfection. Such
demonstration of the treatment efficacy of HRAPs, together with their smaller
land area requirements relative to WSPs, should facilitate their wider adoption
in rural and remote communities.
PMID- 27187056
TI - Motor Cortex Reorganization and Repetitive Transcranial Magnetic Stimulation for
Pain-A Methodological Study.
AB - OBJECTIVES: Somatotopic reorganization of primary motor cortex (M1) has been
described in several neurological conditions associated with chronic pain. We
hypothesized that such reorganization impacts on the mechanisms of M1 stimulation
induced analgesia and may either compromise the treatment effect of or provide an
alternative target site for repetitive transcranial magnetic stimulation (rTMS).
The aim of the study was to compare pain relief following rTMS of the standard
motor "hotspot" with that of the reorganized area. MATERIAL AND METHODS: We used
TMS motor mapping in 30 patients to establish the location of the standard motor
"hotspot" (site A) and an alternative site located in the reorganized area (site
B), both within M1. Where TMS mapping was not possible (N = 8) we determined the
location of the two sites using task-related fMRI. We compared the analgesic
effect on neuropathic pain of 5 sessions of navigated rTMS applied over (i) site
A, (ii) site B, and (iii) occipital fissure (SHAM stimulation site). Total Pain
Relief (TOTPAR) was determined as the difference in average weekly pain scores
between baseline and following each rTMS cycle, over three weeks. RESULTS: Data
from 27 patients was analyzed. rTMS of sites A and B resulted in greater TOTPAR
than that of SHAM. No difference was seen between sites A and B. Responders
(>=15% pain relief) were seen in both groups, with partial overlap only. Addition
of stimulation over site B improved the responder rate by 58% compared with site
A. In an open-label extension study of five sessions of rTMS aimed at the
optimized target site, 8/11 responders and 1/12 nonresponders reported pain
relief. CONCLUSIONS: Cortical reorganization may provide a more effective
stimulation target for rTMS in some individuals with neuropathic pain.
PMID- 27187058
TI - Trophic transfer of silver nanoparticles from earthworms disrupts the locomotion
of springtails (Collembola).
AB - Understanding how nanomaterials are transferred through food chains and
evaluating their resulting toxicity is important. However, limited research has
been conducted on the toxic consequences of trophically transferred nanomaterials
in terrestrial ecosystems. In this study, we documented the adverse effects of
trophically transferred silver nanoparticles (AgNPs) in a soil-earthworm (Eisenia
andrei)-Collembola (Lobella sokamensis) food chain. We exposed E. andrei to soil
with AgNPs at concentrations of 50, 200, and 500MUg AgNPs/g soil dry weight and
assessed their survival after 7days. Trophic-transfer containers were then
prepared and E. andrei that survived the 7days test period were washed, killed in
boiling water, and added to the containers with L. sokamensis. We noted
negligible effects and low bioaccumulation at the lowest AgNP concentration
(50MUg AgNPs/g soil dry weight) in earthworms and the L. sokamensis that fed on
them. The highest concentration of AgNPs (500MUg AgNPs/g soil dry weight)
resulted in juvenile earthworm mortality and increased transfer of AgNPs to
Collembola, which subsequently inhibited their locomotion. To our knowledge, this
is the first study to document the trophic transfer and adverse effects of AgNPs
in a soil-earthworm-Collembola food chain, a common prey-decomposer interaction
in soil ecosystems.
PMID- 27187057
TI - Does Context Matter? Examining PRISM as a Guiding Framework for Context-Specific
Health Risk Information Seeking Among Young Adults.
AB - Research indicates that when people seek health information, they typically look
for information about a specific symptom, preventive measure, disease, or
treatment. It is unclear, however, whether general or disease-specific
theoretical models best predict how people search for health information. We
surveyed undergraduates (N = 963) at a large public southeastern university to
examine health information seeking in two incongruent health contexts (sexual
health and cancer) to test whether a general model would hold for specific topics
that differed in their immediate personal relevance for the target population. We
found that the planned risk information seeking model was statistically a good
fit for the data. Yet multiple predicted paths were not supported in either data
set. Certain variables, such as attitudes, norms, and affect, appear to be strong
predictors of intentions to seek information across health contexts. Implications
for theory building, research methodology, and applied work in health-related
risk information seeking are discussed.
PMID- 27187059
TI - Variations and factors that influence the formation of polychlorinated
naphthalenes in cement kilns co-processing solid waste.
AB - Pilot studies of unintentionally produced pollutants should be performed before
waste being co-processed in cement kilns. Polychlorinated naphthalene (PCN)
formation and emission from cement kilns co-processing sorted municipal solid
waste, sewage sludge, and waste acid, however, have not previously been studied.
Here, PCNs were analyzed in stack gas samples and solid samples from different
stages of three cement production runs. PCN destruction efficiencies were higher
when waste was co-processed (93.1% and 88.7% in two tests) than when waste was
not co-processed (39.1%), so co-processing waste would not increase PCN outputs.
The PCN concentrations were higher in particle samples from the C1 preheater and
stages at back end of kiln than in particle samples from other stages, suggesting
that cyclone preheater and back end of kiln should be focused for controlling PCN
emissions. Besides that, based on the variation of PCN concentrations and
corresponding operating conditions in different stages, the temperature, feeding
materials, and chlorine content were suggested as the main factors influencing
PCN formation. The PCN homologue and congener profiles suggested chlorination and
dechlorination were the main PCN formation and decomposition pathways, and
congeners CN-23, CN-46, and CN-59 appear to be appropriate indicators of PCNs
emitted from coal-burning sources.
PMID- 27187060
TI - A link between poor quality antimalarials and malaria drug resistance?
PMID- 27187062
TI - New RESOLVE-Based Diffusional Kurtosis Imaging in MRI-Visible Prostate Cancer:
Effect of Reduced b Value on Image Quality and Diagnostic Effectiveness.
AB - OBJECTIVE: The purpose of this article was to investigate whether a new readout
segmentation of long variable echo-trains (RESOLVE)-based diffusional kurtosis
imaging (DKI) with reduced b value technique can affect image quality and
diagnostic effectiveness in MRI-visible prostate cancer (PCA). SUBJECTS AND
METHODS: Prostatic RESOLVE DKI (0-1400 s/mm2) was prospectively performed for 12
volunteers. The optimal protocol was then performed in 108 MRI-visible PCAs to
determine whether it can compete against a preferred b-value set (0-2000 s/mm(2))
regarding image quality and diagnostic effectiveness. Images were interpreted by
two independent radiologists using the prostate imaging reporting and data system
(PI-RADS). Readers' concordance and diagnostic effectiveness were tested with the
Fleiss kappa and area under the ROC curve (Az) analyses. RESULTS: A b value of
1400 s/mm(2) generated a larger apparent diffusion coefficient of gaussian
distribution (Dapp) (1.35 +/- 0.31 vs 1.30 +/- 0.30 mm(2)/s; p < 0.001) and
apparent kurtosis coefficient (Kapp) (1.11 +/- 0.26 vs 1.00 +/- 0.21; p < 0.001)
in PCA than did a b value of 2000 s/mm(2). Interreader agreement using PI-RADS
was relatively low when Dapp and Kapp maps were excluded from image
interpretations (kappa = 0.39-0.41 vs kappa = 0.66-0.68 with Dapp and Kapp maps).
Interreader agreement in staging PCA was relatively high (kappa > 0.80) and was
not influenced by reducing the b value. The power of Dapp and Kapp to
differentiate PCA from normal tissue (Az = 0.97-0.98), tissue with a Gleason
score less than or equal to 3 + 4 from tissue with a Gleason score greater than 3
+ 4 (Az = 0.77-0.82), and PCA stage lower than pT3 from stage pT3 and higher PCA
(Az = 0.70-0.75) was not significantly degraded by reducing the b value.
CONCLUSION: We found that b values significantly influenced image quality, PI
RADS score, and DKI outputs but did not degrade the diagnostic effectiveness of
DKI parameters to detect and classify PCA.
PMID- 27187063
TI - Multipole moments for embedding potentials: Exploring different atomic allocation
algorithms.
AB - Polarizable quantum mechanical (QM)/molecular mechanics (MM)-embedding methods
are currently among the most promising methods for computationally feasible, yet
reliable, production calculations of localized excitations and molecular response
properties of large molecular complexes, such as proteins and RNA/DNA, and of
molecules in solution. Our aim is to develop a computational methodology for
distributed multipole moments and their associated multipole polarizabilities
which is accurate, computationally efficient, and with smooth convergence with
respect to multipole order. As the first step toward this goal, we herein
investigate different ways of obtaining distributed atom-centered multipole
moments that are used in the construction of the electrostatic part of the
embedding potential. Our objective is methods that not only are accurate and
computationally efficient, but which can be consistently extended with site
polarizabilities including internal charge transfer terms. We present a new way
of dealing with well-known problems in relation to the use of basis sets with
diffuse functions in conventional atomic allocation algorithms, avoiding
numerical integration schemes. Using this approach, we show that the classical
embedding potential can be systematically improved, also when using basis sets
with diffuse functions, and that very accurate embedding potentials suitable for
QM/MM embedding calculations can be acquired. (c) 2016 Wiley Periodicals, Inc.
PMID- 27187061
TI - Serum vitamin D levels correlate to coronary artery disease severity: a
retrospective chart analysis.
AB - BACKGROUND: The pro-atherosclerotic nature of vitamin D deficiency has been shown
to increase cardiovascular events. We further emphasized and evaluated the
severity of coronary artery disease (CAD) with varying levels of vitamin D in
relation to age, gender, ethnicity and baseline confounders. METHODS: A
retrospective, single-center study of 9,399 patients admitted between 2005 and
2014 for chest pain who underwent coronary angiography. Patients without a
vitamin D level, measured as 25-dihydroxyvitamin D (25[OH]D) were excluded from
our study. 25(OH)D deficiency and insufficiency were defined by having serum
concentration levels of less than 20 ng/ml and 20 to 29.9 ng/ml, respectively,
while normal levels were defined as greater than or equal to 30 ng/ml. We
assessed levels of 25(OH)D and extent of coronary disease with coronary
angiography as obstructive CAD (left main stenosis of >=50% or any stenosis of
>=70%), non-obstructive CAD (>=1 stenosis >=20% but no stenosis >=70%) and normal
coronaries (no stenosis >20%). RESULTS: Among 9,399 patients, 1,311 qualified, of
which 308 patients (23%) had normal 25(OH)D levels, 552 patients (42%) had
25(OH)D deficiency and 451 patients (35%) had 25(OH)D insufficiency. In an
analysis of the extent of coronary disease, we identified 20% of patients having
normal coronaries, 55% having obstructive CAD and 25% having non-obstructive CAD.
Baseline clinical risk factors and co-morbidities did not differ between the
groups. Patients with normal 25(OH)D levels were found to have normal coronaries
compared to patients with 25(OH)D deficiency or insufficiency (OR: 7, 95% CI: 5.2
- 9.5, p < 0.0001). Comparing patients with normal 25(OH)D levels, patients with
25(OH)D deficiency or insufficiency (<29 ng/ml), 62% were found to have
obstructive CAD (n = 624, OR: 2.9, 95% CI: 2.3-3.7, p < 0.0001) and 25% had non
obstructive CAD (n = 249, OR: 1.5, 95% CI: 1.1-2, p = 0.02). CONCLUSION: Normal
coronaries and CAD were shown to correlate with normal and low levels of 25(OH)D,
respectively. There is an inverse relationship between the percentage of coronary
artery occlusion and serum 25(OH)D concentrations. Vitamin D may provide benefits
in risk stratification of patients with CAD and serve as a possible risk factor.
PMID- 27187065
TI - Prevalence of Bovine Mastitis Pathogens in Bulk Tank Milk in China.
AB - The objectives of this study were to estimate the herd prevalence of major
mastitis pathogens in bulk tank milk (BTM) in China dairy herds, to determine the
relationship between the presence of mastitis pathogens and bulk tank milk
somatic cell counts (BTSCC), and to investigate the impact of different dairy
cattle farming modes and region on bacterial species. BTM samples collected from
894 dairy herds in China were examined for the presence of mastitis pathogens.
The Flinders Technology Associates (FTA) cards were used for BTM sample
collection, storage, and transportation and bacterial DNA amplification by real
time PCR. Among contagious pathogens, Staphylococcus aureus, Streptococcus
agalactiae, and Streptococcus dysgalactiae were detected in 50.1, 92.2, and 72.3%
of the 894 BTM samples, respectively. Among environmental pathogens, E. coli,
Streptococcus uberis, Enterococcus spp., Klebsiella spp., Serratia marcescens,
Corynebacterium bovis, and Arcanobacterium pyogenes were detected in 28.6, 8.9,
35.7, 20.0, 1.3, 17.0, and 67.2% of the BTM samples, respectively. Staphylococcal
beta-lactamase gene was detected in 61.7% of the BTM samples. The presence of
Staphylococcus aureus and Arcanobacterium pyogenes were significantly associated
with high BTSCC, respectively. Significant differences were found in presence of
Staphylococcus aureus, Streptococcus agalactiae, and Streptococcus dysgalactiae
in BTM sampled from the small household farms, dairy-farming communities, and
large-scaled dairy farms. There were significant differences in the presence of
Streptococcus agalactiae, Streptococcus dysgalactiae, Arcanobacterium pyogenes,
staphylococcal beta-lactamase gene, Staphylococcus spp., Klebsiella spp.,
Enterococcus spp., and Streptococcus uberis in BTM among Inner Mongolia,
Heilongjiang, and Hebei province. In conclusion, contagious mammary pathogens are
predominated among pathogens in BTM samples in China.
PMID- 27187064
TI - Corpus luteum as a novel target of weight changes that contribute to impaired
female reproductive physiology and function.
AB - Obesity and malnutrition are associated with decreased fecundity in women.
Impaired reproductive capacity in obese women is often attributed to anovulation.
However, obese women with ovulatory cycles also have reduced fertility, but the
etiology of their impaired reproduction is only partially understood.
Accumulating evidence suggests that obesity directly impairs oocyte and embryo
quality as well as endometrial receptivity. In obese women, urinary progesterone
metabolite excretion is decreased, but in excess of what can be explained by
suppressed gonadotropin secretion, suggesting that apart from its central effect
obesity may directly affect progesterone (P4) production. These observations have
led to the novel hypothesis that obesity directly affects corpus luteum (CL)
function. Similarly, we hypothesize that weight loss may contribute to luteal
dysfunction. Here, we propose a non-human primate model, the vervet monkey, to
examine the effect of weight gain and loss on menstrual cycle parameters and CL
gene expression. In this model, weight gain and loss did not significantly alter
menstrual cyclicity; however, both induced alterations in the CL transcriptome.
In the weight gain monkey, we observed that impaired mid-luteal P4 secretion was
associated with downregulation of steroidogenic pathways in CL. Collectively,
these preliminary findings support our hypothesis that weight gain and loss may
contribute to CL dysfunction. The vervet model described and preliminary
observations provide a basis for a larger study to address this important
question. Understanding the mechanisms by which weight gain and loss contribute
to reproductive dysfunction can assist in the development of targeted treatments
to enhance women's reproductive capability when it is desired. ABBREVIATIONS: CL:
corpus luteum; P4: progesterone; E2: estradiol; PDG: pregnanediol 3-glucoronide;
LH: luteinizing hormone; FSH: follicle-stimulating hormone; GnRH: gonadotropin
releasing hormone; BMI: body mass index; qrtPCR: quantitative real-time PCR; PGR:
progesterone receptor; ART: assisted reproductive technology; IVF: in vitro
fertilization; HPO: hypothalamic-pituitary-ovarian axis; MMPs: matrix
metalloproteinases Gene symbols: LH receptor (LHGCR); cholesterol side-chain
cleavage enzyme (CYP11A1); 3 beta-hydroxysteroid dehydrogenase type II (HSD3B2);
steroidogenic acute regulatory protein (STAR); LDL receptor (LDLR); scavenger
receptor B1 (SCARB1); ATP-binding cassette sub-family A member 1 (ABCA1); ATP
binding cassette sub-family G member 1 (ABCG1); apolipoprotein A (APOA1); 24
dehydrocholesterol reductase (DHCR24); 3-hydroxy-3-methylglytaryl-CoA reductase
(HMGCR); vascular endothelial growth factor A (VEGFA); vascular endothelial
growth factor C (VEGFC); vascular endothelial growth factor receptor 1 (VEGFR1);
and TIMP metallopeptidase inhibitor 1 (TIMP1); amphiregulin (AREG); epiregulin
(EREG); CCAAT/enhancer binding protein alpha (CEBPBA); cAMP responsive element
binding protein 3-like 1 (CREB3L1); ADAM metallopeptidase with thrombospodin type
1 motif 1 (ADAMTS1); matrix metallopeptidase 9 (MMP9); cytochrome b-245 beta
polypeptide (CYBB or NOX2); NADH oxidase (NCF2 or NOXA2); Fc fragment of IgG
receptor IIb (FCGR2B); Fc fragment of IgG receptor IIb (FCGR2C); ectonucleotide
pyrophosphatase/phosphodiesterase 1 (ENPP1); RAB27A member RAS oncofamily
(RAB27A); hydroxyprostaglandin dehydrogenase (HPGD); prostaglandin-endoperoxidase
synthase 1 (PTGS1); integrin B2 (ITGB2); leukotriene A4 hydrolase (LTA4H);
radixin (RDX); ezrin (EZR); nuclear receptor subfamily 5 group A member 2
(NR5A2).
PMID- 27187066
TI - Activation of RhoA, but Not Rac1, Mediates Early Stages of S1P-Induced
Endothelial Barrier Enhancement.
AB - Compromised endothelial barrier function is a hallmark of inflammation. Rho
family GTPases are critical in regulating endothelial barrier function, yet their
precise roles, particularly in sphingosine-1-phosphate (S1P)-induced endothelial
barrier enhancement, remain elusive. Confluent cultures of human umbilical vein
endothelial cells (HUVEC) or human dermal microvascular endothelial cells (HDMEC)
were used to model the endothelial barrier. Barrier function was assessed by
determining the transendothelial electrical resistance (TER) using an electrical
cell-substrate impedance sensor (ECIS). The roles of Rac1 and RhoA were tested in
S1P-induced barrier enhancement. The results show that pharmacologic inhibition
of Rac1 with Z62954982 failed to block S1P-induced barrier enhancement. Likewise,
expression of a dominant negative form of Rac1, or knockdown of native Rac1 with
siRNA, failed to block S1P-induced elevations in TER. In contrast, blockade of
RhoA with the combination of the inhibitors Rhosin and Y16 significantly reduced
S1P-induced increases in TER. Assessment of RhoA activation in real time using a
fluorescence resonance energy transfer (FRET) biosensor showed that S1P increased
RhoA activation primarily at the edges of cells, near junctions. This was
complemented by myosin light chain-2 phosphorylation at cell edges, and increased
F-actin and vinculin near intercellular junctions, which could all be blocked
with pharmacologic inhibition of RhoA. The results suggest that S1P causes
activation of RhoA at the cell periphery, stimulating local activation of the
actin cytoskeleton and focal adhesions, and resulting in endothelial barrier
enhancement. S1P-induced Rac1 activation, however, does not appear to have a
significant role in this process.
PMID- 27187067
TI - Engineering electrocatalytic activity in nanosized perovskite cobaltite through
surface spin-state transition.
AB - The activity of electrocatalysts exhibits a strongly dependence on their
electronic structures. Specifically, for perovskite oxides, Shao-Horn and co
workers have reported a correlation between the oxygen evolution reaction
activity and the eg orbital occupation of transition-metal ions, which provides
guidelines for the design of highly active catalysts. Here we demonstrate a
facile method to engineer the eg filling of perovskite cobaltite LaCoO3 for
improving the oxygen evolution reaction activity. By reducing the particle size
to ~80 nm, the eg filling of cobalt ions is successfully increased from unity to
near the optimal configuration of 1.2 expected by Shao-Horn's principle.
Consequently, the activity is significantly enhanced, comparable to those of
recently reported cobalt oxides with eg(~1.2) configurations. This enhancement is
ascribed to the emergence of spin-state transition from low-spin to high-spin
states for cobalt ions at the surface of the nanoparticles, leading to more
active sites with increased reactivity.
PMID- 27187068
TI - Simulating Flying Insects Using Dynamics and Data-Driven Noise Modeling to
Generate Diverse Collective Behaviors.
AB - We present a biologically plausible dynamics model to simulate swarms of flying
insects. Our formulation, which is based on biological conclusions and
experimental observations, is designed to simulate large insect swarms of varying
densities. We use a force-based model that captures different interactions
between the insects and the environment and computes collision-free trajectories
for each individual insect. Furthermore, we model the noise as a constructive
force at the collective level and present a technique to generate noise-induced
insect movements in a large swarm that are similar to those observed in real
world trajectories. We use a data-driven formulation that is based on pre
recorded insect trajectories. We also present a novel evaluation metric and a
statistical validation approach that takes into account various characteristics
of insect motions. In practice, the combination of Curl noise function with our
dynamics model is used to generate realistic swarm simulations and emergent
behaviors. We highlight its performance for simulating large flying swarms of
midges, fruit fly, locusts and moths and demonstrate many collective behaviors,
including aggregation, migration, phase transition, and escape responses.
PMID- 27187069
TI - Neuronal Allocation to a Hippocampal Engram.
AB - The dentate gyrus (DG) is important for encoding contextual memories, but little
is known about how a population of DG neurons comes to encode and support a
particular memory. One possibility is that recruitment into an engram depends on
a neuron's excitability. Here, we manipulated excitability by overexpressing CREB
in a random population of DG neurons and examined whether this biased their
recruitment to an engram supporting a contextual fear memory. To directly assess
whether neurons overexpressing CREB at the time of training became critical
components of the engram, we examined memory expression while the activity of
these neurons was silenced. Chemogenetically (hM4Di, an inhibitory DREADD
receptor) or optogenetically (iC++, a light-activated chloride channel) silencing
the small number of CREB-overexpressing DG neurons attenuated memory expression,
whereas silencing a similar number of random neurons not overexpressing CREB at
the time of training did not. As post-encoding reactivation of the activity
patterns present during initial experience is thought to be important in memory
consolidation, we investigated whether post-training silencing of neurons
allocated to an engram disrupted subsequent memory expression. We found that
silencing neurons 5 min (but not 24 h) following training disrupted memory
expression. Together these results indicate that the rules of neuronal allocation
to an engram originally described in the lateral amygdala are followed in
different brain regions including DG, and moreover, that disrupting the post
training activity pattern of these neurons prevents memory consolidation.
PMID- 27187072
TI - Direct Observation of Pressure-Driven Valence Electron Transfer in Ba3BiRu2O9,
Ba3BiIr2O9, and Ba4BiIr3O12.
AB - The hexagonal perovskites Ba3BiIr2O9, Ba3BiRu2O9, and Ba4BiIr3O12 all undergo
pressure-induced 1% volume collapses above 5 GPa. These first-order transitions
have been ascribed to internal transfer of valence electrons between bismuth and
iridium/ruthenium, which is driven by external applied pressure because the
reduction in volume achieved by emptying the 6s shell of bismuth upon oxidation
to Bi(5+) is greater in magnitude than the increase in volume by reducing iridium
or ruthenium. Here, we report direct observation of these valence transfers for
the first time, using high-pressure X-ray absorption near-edge spectroscopy
(XANES) measurements. Our data also support the highly unusual "4+" nominal
oxidation state of bismuth in these compounds, although the possibility of local
disproportionation into Bi(3+)/Bi(5+) cannot be definitively ruled out. Ab initio
calculations reproduce the transition, support its interpretation as a valence
electron transfer from Bi to Ir/Ru, and suggest that the high-pressure phase may
show metallic behavior (in contrast to the insulating ambient-pressure phase).
PMID- 27187070
TI - Phenome-Wide Association Study for Alcohol and Nicotine Risk Alleles in 26394
Women.
AB - To identify novel traits associated with alleles known to predispose to alcohol
and nicotine use, we conducted a phenome-wide association study (PheWAS) in a
large multi-population cohort. We investigated 7688 African-Americans, 1133 Asian
Americans, 14 081 European-Americans, and 3492 Hispanic-Americans from the
Women's Health Initiative, analyzing alleles at the CHRNA3-CHRNA5 locus, ADH1B,
and ALDH2 with respect to phenotypic traits related to anthropometric
characteristics, dietary habits, social status, psychological traits,
reproductive history, health conditions, and nicotine/alcohol use. In ADH1B trans
population meta-analysis and population-specific analysis, we replicated prior
associations with drinking behaviors and identified multiple novel phenome-wide
significant and suggestive findings related to psychological traits,
socioeconomic status, vascular/metabolic conditions, and reproductive health. We
then applied Bayesian network learning algorithms to provide insight into the
causative relationships of the novel ADH1B associations: ADH1B appears to affect
phenotypic traits via both alcohol-mediated and alcohol-independent effects. In
an independent sample of 2379 subjects, we also replicated the novel ADH1B
associations related to socioeconomic status (household gross income and highest
grade finished in school). For CHRNA3-CHRNA5 risk alleles, we replicated
association with smoking behaviors, lung cancer, and asthma. There were also
novel suggestive CHRNA3-CHRNA5 findings with respect to high-cholesterol
medication use and distrustful attitude. In conclusion, the genetics of alcohol
and tobacco use potentially has broader implications on physical and mental
health than is currently recognized. In particular, ADH1B may be a gene relevant
for the human phenome via both alcohol metabolism-related mechanisms and other
alcohol metabolism-independent mechanisms.
PMID- 27187071
TI - Bacterial Diversity and Community Structure in Korean Ginseng Field Soil Are
Shifted by Cultivation Time.
AB - Traditional molecular methods have been used to examine bacterial communities in
ginseng-cultivated soil samples in a time-dependent manner. Despite these
efforts, our understanding of the bacterial community is still inadequate.
Therefore, in this study, a high-throughput sequencing approach was employed to
investigate bacterial diversity in various ginseng field soil samples over
cultivation times of 2, 4, and 6 years in the first and second rounds of
cultivation. We used non-cultivated soil samples to perform a comparative study.
Moreover, this study assessed changes in the bacterial community associated with
soil depth and the health state of the ginseng. Bacterial richness decreased
through years of cultivation. This study detected differences in relative
abundance of bacterial populations between the first and second rounds of
cultivation, years of cultivation, and health states of ginseng. These bacterial
populations were mainly distributed in the classes Acidobacteria,
Alphaproteobacteria, Deltaproteobacteria, Gammaproteobacteria, and
Sphingobacteria. In addition, we found that pH, available phosphorus, and
exchangeable Ca+ seemed to have high correlations with bacterial class in ginseng
cultivated soil.
PMID- 27187074
TI - Artificial Intelligence Procedures for Tree Taper Estimation within a Complex
Vegetation Mosaic in Brazil.
AB - Tree stem form in native tropical forests is very irregular, posing a challenge
to establishing taper equations that can accurately predict the diameter at any
height along the stem and subsequently merchantable volume. Artificial
intelligence approaches can be useful techniques in minimizing estimation errors
within complex variations of vegetation. We evaluated the performance of Random
Forest(r) regression tree and Artificial Neural Network procedures in modelling
stem taper. Diameters and volume outside bark were compared to a traditional
taper-based equation across a tropical Brazilian savanna, a seasonal semi
deciduous forest and a rainforest. Neural network models were found to be more
accurate than the traditional taper equation. Random forest showed trends in the
residuals from the diameter prediction and provided the least precise and
accurate estimations for all forest types. This study provides insights into the
superiority of a neural network, which provided advantages regarding the handling
of local effects.
PMID- 27187075
TI - When Wavelengths Collide: Bias in Cell Abundance Measurements Due to Expressed
Fluorescent Proteins.
AB - The abundance of bacteria in liquid culture is commonly inferred by measuring
optical density at 600 nm. Red fluorescent proteins (RFPs) can strongly absorb
light at 600 nm. Increasing RFP expression can falsely inflate apparent cell
density and lead to underestimations of mean per-cell fluorescence by up to 10%.
Measuring optical density at 700 nm would allow estimation of cell abundance
unaffected by the presence of nearly all fluorescent proteins.
PMID- 27187073
TI - Use of Extended Characteristics of Locomotion and Feeding Behavior for Automated
Identification of Lame Dairy Cows.
AB - This study was carried out to detect differences in locomotion and feeding
behavior in lame (group L; n = 41; gait score >= 2.5) and non-lame (group C; n =
12; gait score <= 2) multiparous Holstein cows in a cross-sectional study design.
A model for automatic lameness detection was created, using data from
accelerometers attached to the hind limbs and noseband sensors attached to the
head. Each cow's gait was videotaped and scored on a 5-point scale before and
after a period of 3 consecutive days of behavioral data recording. The mean value
of 3 independent experienced observers was taken as a definite gait score and
considered to be the gold standard. For statistical analysis, data from the
noseband sensor and one of two accelerometers per cow (randomly selected) of 2
out of 3 randomly selected days was used. For comparison between group L and
group C, the T-test, the Aspin-Welch Test and the Wilcoxon Test were used. The
sensitivity and specificity for lameness detection was determined with logistic
regression and ROC-analysis. Group L compared to group C had significantly lower
eating and ruminating time, fewer eating chews, ruminating chews and ruminating
boluses, longer lying time and lying bout duration, lower standing time, fewer
standing and walking bouts, fewer, slower and shorter strides and a lower walking
speed. The model considering the number of standing bouts and walking speed was
the best predictor of cows being lame with a sensitivity of 90.2% and specificity
of 91.7%. Sensitivity and specificity of the lameness detection model were
considered to be very high, even without the use of halter data. It was concluded
that under the conditions of the study farm, accelerometer data were suitable for
accurately distinguishing between lame and non-lame dairy cows, even in cases of
slight lameness with a gait score of 2.5.
PMID- 27187076
TI - Correction: First Evidence of Intraclonal Genetic Exchange in Trypanosomatids
Using Two Leishmania infantum Fluorescent Transgenic Clones.
AB - [This corrects the article DOI: 10.1371/journal.pntd.0003075.].
PMID- 27187078
TI - Topochemistry of Bowtie- and Star-Shaped Metal Dichalcogenide Nanoisland
Formation.
AB - A large number of experimental studies over the past few years observed the
formation of unusual highly symmetric polycrystalline twinned nanoislands of
transition metal dichalcogenides, resembling bowties or stars. Here, we analyze
their morphology in terms of equilibrium and growth shapes. We propose a
mechanism for these complex shapes' formation via collision of concurrently
growing islands and validate the theory with phase-field simulations that
demonstrate how highly symmetric structures can actually emerge from arbitrary
starting conditions. Finally, we use first-principles calculations to propose an
explanation of the predominance of high-symmetry polycrystals with 60 degrees
lattice misorientation angles.
PMID- 27187077
TI - Hippocampal volume in patients with asthma: Results from the Dallas Heart Study.
AB - INTRODUCTION: Asthma is associated with an increased risk of mild cognitive
impairment and dementia. Depression and oral corticosteroid use are associated
with atrophy of the hippocampus and are common in asthma. However, minimal
neuroimaging data are available in asthma patients. METHODS: We conducted a
retrospective analysis of 1,287 adult participants from the Dallas Heart Study,
an epidemiological sample of Dallas County residents. Study outcome variables
were hippocampal volumes measured by FreeSurfer. ANOVA was used to examine a
gender difference in hippocampal volumes. General Linear Models (GLM) were
conducted to examine asthma diagnosis association with hippocampal volumes.
RESULTS: The prevalence rate of asthma among our study sample was 10.8% with 9.6%
in males and 11.7% in females. After controlling for demographic characteristics,
participants with asthma had significantly smaller total, right, and left
hippocampal volumes than those without asthma. The association of asthma with
smaller hippocampal volume was significant among males but not among females.
CONCLUSION: Hippocampal volume in a large and diverse sample of adults was
significantly smaller in people with asthma as compared to those without asthma.
These findings suggest that asthma may be associated with structural brain
differences. Thus, medical illnesses without obvious direct neurodegenerative or
even vascular involvement can be associated with brain changes. Because the
hippocampus is a brain region involved in memory formation, these findings may
have implications for treatment adherence that could have important implications
for asthma treatment. Study limitations are the reliance on a self-reported
asthma diagnosis and lack of additional asthma clinical information.
PMID- 27187081
TI - PCSK1 Mutations and Human Endocrinopathies: From Obesity to Gastrointestinal
Disorders.
AB - Prohormone convertase 1/3, encoded by the PCSK1 gene, is a serine endoprotease
that is involved in the processing of a variety of proneuropeptides and
prohormones. Humans who are homozygous or compound heterozygous for loss-of
function mutations in PCSK1 exhibit a variable and pleiotropic syndrome
consisting of some or all of the following: obesity, malabsorptive diarrhea,
hypogonadotropic hypogonadism, altered thyroid and adrenal function, and impaired
regulation of plasma glucose levels in association with elevated circulating
proinsulin-to-insulin ratio. Recently, more common variants in the PCSK1 gene
have been found to be associated with alterations in body mass index, increased
circulating proinsulin levels, and defects in glucose homeostasis. This review
provides an overview of the endocrinopathies and other disorders observed in
prohormone convertase 1/3-deficient patients, discusses the possible biochemical
basis for these manifestations of the disease, and proposes a model whereby
certain missense mutations in PCSK1 may result in proteins with a dominant
negative action.
PMID- 27187079
TI - An Oncogenic Virus Promotes Cell Survival and Cellular Transformation by
Suppressing Glycolysis.
AB - Aerobic glycolysis is essential for supporting the fast growth of a variety of
cancers. However, its role in the survival of cancer cells under stress
conditions is unclear. We have previously reported an efficient model of
gammaherpesvirus Kaposi's sarcoma-associated herpesvirus (KSHV)-induced cellular
transformation of rat primary mesenchymal stem cells. KSHV-transformed cells
efficiently induce tumors in nude mice with pathological features reminiscent of
Kaposi's sarcoma tumors. Here, we report that KSHV promotes cell survival and
cellular transformation by suppressing aerobic glycolysis and oxidative
phosphorylation under nutrient stress. Specifically, KSHV microRNAs and vFLIP
suppress glycolysis by activating the NF-kappaB pathway to downregulate glucose
transporters GLUT1 and GLUT3. While overexpression of the transporters rescues
the glycolytic activity, it induces apoptosis and reduces colony formation
efficiency in softagar under glucose deprivation. Mechanistically, GLUT1 and
GLUT3 inhibit constitutive activation of the AKT and NF-kappaB pro-survival
pathways. Strikingly, GLUT1 and GLUT3 are significantly downregulated in KSHV
infected cells in human KS tumors. Furthermore, we have detected reduced levels
of aerobic glycolysis in several KSHV-infected primary effusion lymphoma cell
lines compared to a Burkitt's lymphoma cell line BJAB, and KSHV infection of BJAB
cells reduced aerobic glycolysis. These results reveal a novel mechanism by which
an oncogenic virus regulates a key metabolic pathway to adapt to stress in tumor
microenvironment, and illustrate the importance of fine-tuning the metabolic
pathways for sustaining the proliferation and survival of cancer cells,
particularly under stress conditions.
PMID- 27187082
TI - DNA Transposition at Work.
AB - DNA transposons are defined segments of DNA that are able to move from one
genomic location to another. Movement is facilitated by one or more proteins,
called the transposase, typically encoded by the mobile element itself. Here, we
first provide an overview of the classification of such mobile elements in a
variety of organisms. From a mechanistic perspective, we have focused on one
particular group of DNA transposons that encode a transposase with a DD(E/D)
catalytic domain that is topologically similar to RNase H. For these, a number of
three-dimensional structures of transpososomes (transposase-nucleic acid
complexes) are available, and we use these to describe the basics of their
mechanisms. The DD(E/D) group, in addition to being the largest and most common
among all DNA transposases, is the one whose members have been used for a wide
variety of genomic applications. Therefore, a second focus of the article is to
provide a nonexhaustive overview of transposon applications. Although several non
transposon-based approaches to site-directed genome modifications have emerged in
the past decade, transposon-based applications are highly relevant when
integration specificity is not sought. In fact, for many applications, the almost
perfect randomness and high frequency of integration make transposon-based
approaches indispensable.
PMID- 27187083
TI - Targeting and Treatment of Tumor Hypoxia by Newly Designed Prodrug Possessing
High Permeability in Solid Tumors.
AB - Tumor hypoxia, which is associated with poor prognosis in cancer, is known to
lead to resistance to radiotherapy and anticancer chemotherapy. Impaired drug
penetration in hypoxic regions has been recognized as an essential barrier to
drug development in solid tumors. Here, we propose novel hypoxia-activated
prodrugs, which drastically improved the penetration property of commonly used
anticancer drugs in the hypoxic region. In this design, conventional anticancer
drugs were modified with 2-nitroimidazole derivatives. The most important point
of this study was that the prodrug designed formed a 6-membered cyclic structure
to allow liberation of the active drug in the hypoxic region. This design
markedly increased the selectivity of the hypoxia-targeted prodrug, resulting in
significant reduction of adverse effects in the normoxic region. In vitro studies
confirmed the selective activation under hypoxic conditions. In vivo studies
showed drastic reduction of adverse effects associated with conventional
anticancer drugs and improvement of the survival rate of mice. Immunofluorescence
analyses confirmed that the designed prodrug had a tendency to localize at the
hypoxic region, in contrast to conventional anticancer drugs, which localize only
at the normoxic region.
PMID- 27187080
TI - Maternal Hyperleptinemia Is Associated with Male Offspring's Altered Vascular
Function and Structure in Mice.
AB - Children of mothers with gestational diabetes have greater risk of developing
hypertension but little is known about the mechanisms by which this occurs. The
objective of this study was to test the hypothesis that high maternal
concentrations of leptin during pregnancy, which are present in mothers with
gestational diabetes and/or obesity, alter blood pressure, vascular structure and
vascular function in offspring. Wildtype (WT) offspring of hyperleptinemic,
normoglycemic, Leprdb/+ dams were compared to genotype matched offspring of WT
control dams. Vascular function was assessed in male offspring at 6, and at 31
weeks of age after half the offspring had been fed a high fat, high sucrose diet
(HFD) for 6 weeks. Blood pressure was increased by HFD but not affected by
maternal hyperleptinemia. On a standard diet, offspring of hyperleptinemic dams
had outwardly remodeled mesenteric arteries and an enhanced vasodilatory response
to insulin. In offspring of WT but not Leprdb/+ dams, HFD induced vessel
hypertrophy and enhanced vasodilatory responses to acetylcholine, while HFD
reduced insulin responsiveness in offspring of hyperleptinemic dams. Offspring of
hyperleptinemic dams had stiffer arteries regardless of diet. Therefore, while
maternal hyperleptinemia was largely beneficial to offspring vascular health
under a standard diet, it had detrimental effects in offspring fed HFD. These
results suggest that circulating maternal leptin concentrations may interact with
other factors in the pre- and post -natal environments to contribute to altered
vascular function in offspring of diabetic pregnancies.
PMID- 27187085
TI - Correction to Synthesis of Omphadiol and Pyxidatol C.
PMID- 27187084
TI - Enhancing the Enrichment of Pharmacophore-Based Target Prediction for the
Polypharmacological Profiles of Drugs.
AB - PharmMapper is a web server for drug target identification by reversed
pharmacophore matching the query compound against an annotated pharmacophore
model database, which provides a computational polypharmacology prediction
approach for drug repurposing and side effect risk evaluation. But due to the
inherent nondiscriminative feature of the simple fit scores used for prediction
results ranking, the signal/noise ratio of the prediction results is high, posing
a challenge for predictive reliability. In this paper, we improved the predictive
accuracy of PharmMapper by generating a ligand-target pairwise fit score matrix
from profiling all the annotated pharmacophore models against corresponding
ligands in the original complex structures that were used to extract these
pharmacophore models. The matrix reflects the noise baseline of fit score
distribution of the background database, thus enabling estimation of the
probability of finding a given target randomly with the calculated ligand
pharmacophore fit score. Two retrospective tests were performed which confirmed
that the probability-based ranking score outperformed the simple fit score in
terms of identification of both known drug targets and adverse drug reaction
related off-targets.
PMID- 27187086
TI - Disparities in cancer treatment among patients infected with the human
immunodeficiency virus.
AB - BACKGROUND: Patients with cancer who are infected with the human immunodeficiency
virus (HIV) are less likely to receive cancer treatment compared with HIV
uninfected individuals. However, to the authors' knowledge, the impact of
insurance status and comorbidities is unknown. METHODS: Data from the National
Cancer Data Base were used to study nonelderly adults diagnosed with several
common cancers from 2003 to 2011. Cancer treatment was defined as chemotherapy,
surgery, radiotherapy, or any combination during the first course of treatment.
Multivariate logistic regression was used to examine associations between HIV
status and lack of cancer treatment, and identify predictors for lack of
treatment among HIV-infected patients. RESULTS: A total of 10,265 HIV-infected
and 2,219,232 HIV-uninfected cases were included. In multivariate analysis, HIV
infected patients with cancer were found to be more likely to lack cancer
treatment for cancers of the head and neck (adjusted odds ratio [aOR], 1.48; 95%
confidence interval [95% CI], 1.09-2.01), upper gastrointestinal tract (aOR,
2.62; 95% CI, 2.04-3.37), colorectum (aOR, 1.70; 95% CI, 1.17-2.48), lung (aOR,
2.46; 95% CI, 2.19-2.76), breast (aOR, 2.14; 95% CI, 1.16-3.98), cervix (aOR,
2.81; 95% CI, 1.77-4.45), prostate (aOR, 2.16; 95% CI, 1.69-2.76), Hodgkin
lymphoma (aOR, 1.92; 95% CI, 1.66-2.22), and diffuse large B-cell lymphoma (aOR,
1.82; 95% CI, 1.65-2.00). Predictors of a lack of cancer treatment among HIV
infected individuals varied by tumor type (solid tumor vs lymphoma), but black
race and a lack of private insurance were found to be predictors for both groups.
CONCLUSIONS: In the United States, HIV-infected patients with cancer appear to be
less likely to receive cancer treatment regardless of insurance and
comorbidities. To the authors' knowledge, the current study is the largest study
of cancer treatment in HIV-infected patients with cancer in the United States and
provides evidence of cancer treatment disparities even after controlling for
differences with regard to insurance status and comorbidities. Further work
should focus on addressing differential cancer treatment. Cancer 2016;122:2399
2407. (c) 2016 American Cancer Society.
PMID- 27187087
TI - Construct and diagnostic validities of the Free and Cued Selective Reminding Test
in the Alzheimer's disease spectrum.
AB - INTRODUCTION: The Free and Cued Selective Reminding Test (FCSRT) is a memory test
that controls attention and acquisition, by providing category cues in the
learning process. Because it enables an assessment of memory not confounded by
normal age-related changes in cognition and a high accuracy on Alzheimer's
disease (AD) evaluation, it has been suggested by the International Working Group
on AD. Our aim was to assess the construct related validity of the FCSRT in the
AD spectrum disorders. METHOD: Patients were classified in two groups according
to standard criteria: amnestic mild cognitive impairment (n = 100) and AD (n =
70). A matched control group (n = 101) of cognitively healthy subjects was
included. The factorial structure of two models and respective construct and
diagnostic validities were analyzed. RESULTS: Both models revealed adequate fit
values. The appropriated convergent validity and the lack of discriminant
validity support the two factors as measuring the same construct (memory
ability). The recalls of the FCSRT enabled high classification accuracy and
diagnostic validity for both pathological groups. CONCLUSIONS: This study
represents a novel contribution regarding the adequacy of the FCSRT in terms of
construct and diagnostic validities and shows the interest of including both
immediate (learning) and delayed (retention) recalls. It gives also new
possibilities regarding the use of the FCSRT in the memory assessment of AD
spectrum disorders.
PMID- 27187092
TI - A Randomized Trial on the Effect of Exercise Mode on Breast Cancer-Related
Lymphedema.
AB - PURPOSE: Breast cancer-related lymphedema is a common and debilitating side
effect of cancer treatment. This randomized trial compared the effect of
progressive resistance- or aerobic-based exercise on breast cancer-related
lymphedema extent and severity, as well as participants' muscular strength and
endurance, aerobic fitness, body composition, upper-body function, and quality of
life. METHODS: Women with a clinical diagnosis of stable unilateral, upper-limb
lymphedema secondary to breast cancer were randomly allocated to a resistance
based (n = 21) or aerobic-based (n = 20) exercise group (12-wk intervention).
Women were assessed preintervention, postintervention, and 12 wk
postintervention, with generalized estimating equation models used to compare
over time changes in each group's lymphedema (two-tailed P < 0.05). RESULTS:
Lymphedema remained stable in both groups (as measured by bioimpedance
spectroscopy and circumferences), with no significant differences between groups
noted in lymphedema status. There was a significant (P < 0.01) time-group effect
for upper-body strength (assessed using four to six repetition maximum bench
press), with the resistance-based exercise group increasing strength by 4.2 kg
(95% confidence interval [CI] = 3.2-5.2) postintervention compared with 1.2 kg
(95% CI = -0.1 to 2.5) in the aerobic-based exercise group. Although not
supported statistically, the aerobic-based exercise group reported a clinically
relevant decline in number of symptoms postintervention (-1.5, 95% CI = -2.6 to
0.3), and women in both exercise groups experienced clinically meaningful
improvements in lower-body endurance, aerobic fitness, and quality of life by 12
wk follow-up. DISCUSSION: Participating in resistance- or aerobic-based exercise
did not change lymphedema status but led to clinically relevant improvements in
function and quality of life, with findings suggesting that neither mode is
superior with respect to lymphedema effect. As such, personal preferences,
survivorship concerns, and functional needs are important and relevant
considerations when prescribing exercise mode to those with secondary lymphedema.
PMID- 27187094
TI - Strategic Priorities for Physical Activity Surveillance in the United States.
AB - PURPOSE: Develop strategic priorities to guide future physical activity
surveillance in the United States. METHODS: The Centers for Disease Control and
Prevention and the American College of Sports Medicine convened a scientific
roundtable of physical activity and measurement experts. Participants summarized
the current state of aerobic physical activity surveillance for adults, focusing
on practice and research needs in three areas: 1) behavior, 2) human movement,
and 3) community supports. Needs and challenges for each area were identified. At
the conclusion of the meeting, experts identified one overarching strategy and
five strategic priorities to guide future surveillance. RESULTS: The identified
overarching strategy was to develop a national plan for physical activity
surveillance similar to the U.S. National Physical Activity Plan for promotion.
The purpose of the plan would be to enhance coordination and collaboration within
and between sectors, such as transportation and public health, and to address
specific strategic priorities identified at the roundtable. These strategic
priorities were used 1) to identify and prioritize physical activity constructs;
2) to assess the psychometric properties of instruments for physical activity
surveillance; 3) to provide training and technical assistance for those
collecting, analyzing, or interpreting surveillance data; 4) to explore accessing
data from alternative sources; and 5) to improve communication, translation, and
dissemination about estimates of physical activity from surveillance systems.
CONCLUSION: This roundtable provided strategic priorities for physical activity
surveillance in the United States. A first step is to develop a national plan for
physical activity surveillance that would provide an operating framework from
which to execute these priorities.
PMID- 27187093
TI - Effect of Acute Exercise on Fatigue in People with ME/CFS/SEID: A Meta-analysis.
AB - PURPOSE: A prominent symptom of myalgic encephalomyelitis, chronic fatigue
syndrome, or systemic exertion intolerance disease (ME/CFS/SEID) is persistent
fatigue that is worsened by physical exertion. Here the population effect of a
single bout of exercise on fatigue symptoms in people with ME/CFS/SEID was
estimated and effect moderators were identified. METHODS: Google Scholar was
systematically searched for peer-reviewed articles published between February
1991 and May 2015. Studies were included where people diagnosed with ME/CFS/SEID
and matched control participants completed a single bout of exercise and fatigue
self-reports were obtained before and after exercise. Fatigue means, standard
deviations, and sample sizes were extracted to calculate effect sizes and the 95%
confidence interval. Effects were pooled using a random-effects model and
corrected for small sample bias to generate mean Delta. Multilevel regression
modeling adjusted for nesting of effects within studies. Moderators identified a
priori were diagnostic criteria, fibromyalgia comorbidity, exercise factors
(intensity, duration, and type), and measurement factors. RESULTS: Seven studies
examining 159 people with ME/CFS/SEID met inclusion criteria, and 47 fatigue
effects were derived. The mean fatigue effect was Delta = 0.73 (95% confidence
interval = 0.24-1.23). Fatigue increases were larger for people with ME/CFS/SEID
when fatigue was measured 4 h or more after exercise ended rather than during or
immediately after exercise ceased. CONCLUSIONS: This preliminary evidence
indicates that acute exercise increases fatigue in people with ME/CFS/SEID more
than that in control groups, but effects were heterogeneous between studies.
Future studies with no-exercise control groups of people with ME/CFS/SEID are
needed to obtain a more precise estimate of the effect of exercise on fatigue in
this population.
PMID- 27187096
TI - Contralateral Repeated Bout Effect of Eccentric Exercise of the Elbow Flexors.
AB - PURPOSE: This study compared the magnitude of the repeated bout effect (RBE) for
different time intervals between two bouts of eccentric exercise of the elbow
flexors to better understand the contralateral RBE (CL-RBE). METHODS: Untrained
young men (22.0 +/- 1.8 yr) were allocated to either a control or one of seven CL
RBE groups (n = 13 per group). The CL-RBE groups performed exercise consisting of
30 maximal isokinetic (30 degrees .s) eccentric contractions of the elbow flexors
(ECC1) with either dominant or nondominant arm followed 0.5 h, 6 h, 12 h, 24 h (1
d), 7 d (1 wk), 28 d (4 wk), or 56 d (8 wk) by the same exercise (ECC2) using the
opposite arm. The control group used the nondominant arm for ECC1 and ECC2
separated by 2 wk. RESULTS: Maximal voluntary concentric contraction torque, peak
torque angle, range of motion, upper arm circumference, muscle soreness,
ultrasound echo intensity, and plasma creatine kinase activity and myoglobin
concentration changed (P < 0.05) after ECC1, without significant difference among
the groups. Changes in all variables after ECC2 were smaller (P < 0.05) than
those after ECC1 for the control, 1 d, 1 wk, and 4 wk groups, indicating the RBE.
However, the changes were not significantly different between ECC1 and ECC2 for
the 0.5 h, 6 h, 12 h, and 8 wk groups. The difference in the changes in all
variables between ECC1 and ECC2 was smaller for the 1 d (70%), 1 wk (55%), and 4
wk (36%) than the control group (91%), and the magnitude of the CL-RBE was
reduced with increasing the time between bouts from 1 d to 4 wk (P < 0.05).
CONCLUSION: These results suggest that the CL-RBE lasts shorter than the
ipsilateral RBE (>8 wk) and requires a day to be conferred.
PMID- 27187097
TI - High-Intensity Interval Training for Cognitive and Mental Health in Adolescents.
AB - PURPOSE: Emerging literature suggests that physical activity and fitness may have
a positive effect on cognitive and mental health in adolescents. The purpose of
the current study was to evaluate the efficacy of two high-intensity interval
training (HIIT) protocols for improving cognitive and mental health outcomes
(executive function, psychological well-being, psychological distress, and
physical self-concept) in adolescents. METHODS: Participants (n = 65; mean age =
15.8 +/- 0.6 yr) were randomized to three conditions: aerobic exercise program
(AEP; n = 21), resistance and aerobic program (RAP; n = 22), and control (n =
22). HIIT sessions (8-10 min per session) were delivered during physical
education lessons or at lunchtime three times per week for 8 wk. Assessments were
conducted at baseline and immediately postintervention to detect changes in
executive function (trail making test), psychological well-being, psychological
distress, and physical self-description by researchers blinded to treatment
allocation. Intervention effects were examined using linear mixed models. Cohen's
d effect sizes and clinical inference were also calculated. RESULTS: While
results were not significant, small improvements in executive function (mean
change (95% CI) -6.69 (-22.03, 8.64), d = -0.32) and psychological well-being
(mean change (95% CI) 2.81 (-2.06, 7.68), d = 0.34) were evident in the AEP
group; and moderate improvements in executive function (mean change (95% CI)
10.73 (-26.22, 4.76), d = -0.51), and small improvements in well-being (mean
change (95% CI) 2.96 (-1.82, 7.75), d = 0.36) and perceived appearance (mean
change (95% CI) 0.32 (-0.25, 0.86), d = 0.35), were observed for the RAP group.
Mean feeling state scores improved from preworkout to postworkout in both HIIT
conditions, with significant results for the AEP (P = 0.001). CONCLUSIONS: This
study highlights the potential of embedding HIIT within the school day for
improving cognitive and mental health among adolescents.
PMID- 27187099
TI - Neutrophil Depletion Attenuates Muscle Injury after Exhaustive Exercise.
AB - PURPOSE: The infiltration of macrophages in skeletal muscle during exhaustive
exercise promotes inflammation, myofiber lesion, and muscle injury. Although
neutrophils upregulate macrophage infiltration in skeletal muscles during
exercise, the role of neutrophils in promoting muscle injury after exhaustive
exercise remains unclear. In this study, we investigated the effects of
preexercise neutrophil depletion with antineutrophil antibody treatment on muscle
injury, inflammation, and macrophage infiltration after exhaustive exercise.
METHODS: Male C57BL/6J mice were randomly assigned to four groups, namely,
sedentary with control antibody (n = 10), sedentary with antineutrophil antibody
(n = 10), exhaustive exercise with control antibody (n = 10), and exhaustive
exercise with antineutrophil antibody (n = 10). The mice were given
intraperitoneal injection of the antineutrophil antibody (anti-Ly-6G, clone 1A8)
or the control antibody (anti-Ly-6G, clone 2A3), and remained inactive or
performed exhaustive exercise on a treadmill 48 h after the injection. Twenty
four hours after the exhaustive exercise, the gastrocnemius muscles were removed
for histological and polymerase chain reaction (PCR) analyses. Infiltration of
neutrophils and macrophages was evaluated with Ly-6G and F4/80
immunohistochemistry staining procedures. Muscle fiber injury was detected based
on the number of IgG staining fiber. The mRNA expression levels of
proinflammatory cytokines and chemokines were evaluated with real-time reverse
transcription PCR. RESULTS: Exhaustive exercise increased neutrophil infiltration
into the gastrocnemius muscle substantially by 3.1-fold and caused muscle injury,
but these effects were markedly suppressed by preexercise treatment with
antineutrophil antibody (neutrophil infiltration, 0.42-fold, and muscle injury,
0.18-fold). Treatment with antineutrophil antibody also decreased macrophage
infiltration (0.44-fold) and mRNA expression of tumor necrosis factor-alpha (0.55
fold) and interleukin-6 (0.51-fold) in the skeletal muscle after exhaustive
exercise. CONCLUSION: These results suggest that neutrophils contribute to
exacerbating muscle injury by regulating inflammation through the induction of
macrophage infiltration.
PMID- 27187100
TI - Fitness during Breast Cancer Treatment and Recovery in an Athlete: A Case Study.
AB - PURPOSE: This is a case study of an aerobically trained, multisport, female
athlete (age = 39) diagnosed with stage IIIc human epidermal growth factor
receptor 2 positive breast cancer. The focus of the study is on measures of
cardiorespiratory fitness (VO2peak) through the course of cancer therapy.
METHODS: A symptom-limited cardiopulmonary exercise tolerance test was performed
to determine VO2peak. The tests were performed at five different time points: 1)
at diagnosis of breast cancer and before initiating chemotherapy, 2) after
completion of chemotherapy (5 months postdiagnosis), 3) 2.5 months after
bilateral mastectomy surgery (9 months postdiagnosis), 4) immediately after
radiation therapy (11 months postdiagnosis), and 5) recovery (32 months
postdiagnosis). RESULTS: At diagnosis and before initiating chemotherapy, VO2peak
was 50.1 mL O2.min.kg. The most precipitous decline in fitness, approximately
14%, was observed from initial diagnosis through the completion of chemotherapy.
The subject regained 9% of her fitness after chemotherapy, despite an intervening
mastectomy surgery. Radiation therapy was associated with an approximately 4%
decline in fitness from her postmastectomy surgery value. Ultimately, 32 months
after diagnosis and 22 months after the completion of radiation therapy, the
subject was able to regain pretreatment fitness levels. CONCLUSION: The results
of the case study describe the effects of undergoing extensive breast cancer
therapy on measures of VO2peak for a highly aerobically trained, multisport
athlete. In this case, exercise training reversed the decrement in measured
VO2peak that occurred during cancer therapy.
PMID- 27187101
TI - Intensity-Dependent Contribution of Neuromuscular Fatigue after Constant-Load
Cycling.
AB - PURPOSE: We tested the hypothesis that central and peripheral fatigue after
constant-load cycling exercise would vary with exercise intensity and duration.
METHODS: Twelve well-trained male cyclists (VO2max, 4.49 +/- 0.35 L.min)
completed three constant-load cycling trials to the limit of tolerance in a
randomized crossover design. Exercise intensities were set according to the
respiratory responses to a preliminary ramp test to elicit cardiorespiratory and
metabolic responses consistent with exercise in the severe and heavy exercise
domains: 1) at power at VO2max (S+, 379 +/- 31 W), 2) at 60% of the difference
between gas exchange threshold and VO2max (S-, 305 +/- 23 W), and 3) at the
respiratory compensation point (RCP, 254 +/- 26 W). Pre- and postexercise twitch
responses from the quadriceps to the electrical stimulation of the femoral nerve
and magnetic stimulation of the motor cortex were recorded to assess
neuromuscular and corticospinal function, respectively. RESULTS: Exercise time
was 3.14 +/- 0.59, 11.11 +/- 1.86, and 42.14 +/- 9.09 min for S+, S-, and RCP,
respectively. All trials resulted in similar reductions in maximum voluntary
force (P = 0.61). However, the degree of peripheral fatigue varied in an
intensity-dependent manner, with greater reductions in potentiated twitch force
after S+ (-33% +/- 9%) compared with both S- (-16% +/- 9%, P < 0.001) and RCP
trials (-11% +/- 9%, P < 0.001) and greater after S- compared with RCP (P <
0.05). For central fatigue, this trend was reversed, with smaller reductions in
voluntary activation after S+ compared with RCP (-2.7% +/- 2.2% vs -9.0% +/-
4.7%, P < 0.01). CONCLUSION: These data suggest the magnitude of peripheral and
central fatigue after locomotor cycling exercise is exacerbated with exercise
intensity and duration, respectively.
PMID- 27187102
TI - Head Impact Biomechanics in Women's College Soccer.
AB - INTRODUCTION: There are limited nonlaboratory soccer head impact biomechanics
data. This is surprising given soccer's global popularity. Epidemiological data
suggest that female college soccer players are at a greater concussion injury
risk than their male counterparts. Therefore, the purposes of our study were to
quantify head impact frequency and magnitude during women's soccer practices and
games in the National Collegiate Athletic Association and to characterize these
data across event type, playing position, year on the team, and segment of game
(first and second halves). METHODS: Head impact biomechanics were collected from
female college soccer players (n = 22; mean +/- SD age = 19.1 +/- 0.1 yr, height
= 168.0 +/- 3.5 cm, mass = 63.7 +/- 6.0 kg). We employed a helmetless head impact
measurement device (X2 Biosystems xPatch) before each competition and practice
across a single season. Peak linear and rotational accelerations were categorized
based on impact magnitude and subsequently analyzed using appropriate
nonparametric analyses. RESULTS: Overall, women's college soccer players
experience approximately seven impacts per 90 min of game play. The overwhelming
majority (~90%) of all head impacts were categorized into our mildest linear
acceleration impact classification (10g-20g). Interestingly, a higher percentage
of practice impacts in the 20g-40g range compared with games (11% vs 7%) was
observed. CONCLUSION: Head impact biomechanics studies have provided valuable
insights into understanding collision sports and for informing evidence-based
rule and policy changes. These have included changing the football kickoff, ice
hockey body checking ages, and head-to-head hits in both sports. Given soccer's
global popularity, and the growing public concern for the potential long-term
neurological implications of collision and contact sports, studying soccer has
the potential to impact many athletes and the sports medicine professionals
caring for them.
PMID- 27187103
TI - Leisure-Time Physical Activity and the Risk of Suspected Bacterial Infections.
AB - INTRODUCTION: The risk of upper respiratory tract viral infections is reduced
with increased physical activity, but little information is available regarding
bacterial infections. We examined the relationship between leisure-time physical
activity and suspected bacterial infections. METHODS: Information on leisure-time
physical activity was obtained from the 2007 and 2010 North Denmark Region Health
Surveys of 18,874 Danes and linked to data from nationwide administrative
registries. Suspected bacterial infections were determined based on filled
prescriptions for antibiotics. Adjusted estimates were calculated using logistic
regression models. RESULTS: During a 1-yr follow-up, 5368 participants filled at
least one antibiotic prescription. There was a statistically significant
difference between physical activity level and filling any antibiotic
prescriptions among women (P = 0.003) but not among men (P = 0.191). Logistic
regression analysis showed that compared with sedentary behavior, all levels of
leisure-time physical activities lowered the likelihood of filling an antibiotic
prescription. However, after multivariable adjustments, only estimates of low
physical activity were significant (odds ratio [OR] = 0.90, 95% confidence
interval [CI] = 0.82; 0.99). Multivariable adjusted subgroup analyses of
suspected cystitis showed a decreased likelihood of engaging in low (OR = 0.79,
95% CI = 0.65-0.95) and moderate (OR = 0.68, 95% CI = 0.54-0.87) physical
activity. CONCLUSION: Low leisure-time physical activity is associated with a
statistically significant 10% lower risk of suspected bacterial infections during
a 1-yr follow-up compared with sedentary behavior. Further, low and moderate
levels of physical activity were associated with the statistically significant
reduction of suspected cystitis. No reduction in suspected respiratory tract
infections was statistically significant and associated with physical activity
compared with sedentary behavior.
PMID- 27187104
TI - The Relation of Arm Exercise Peak Heart Rate to Stress Test Results and Outcome.
AB - PURPOSE: Arm exercise is an alternative to pharmacologic stress testing for >50%
of patients unable to perform treadmill exercise, but no data exist regarding the
effect of attained peak arm exercise heart rate on test sensitivity. Thus, the
purpose of this investigation was to characterize the relationship of peak arm
exercise heart rate responses to abnormal stress test findings, coronary
revascularization, and mortality in patients unable to perform leg exercise.
METHODS: From 1997 until 2002, arm cycle ergometer stress tests were performed in
443 consecutive veterans age 64.1 yr (11.0 yr) (mean (SD)), of whom 253 also
underwent myocardial perfusion imaging (MPI). Patients were categorized by
frequency distributions of quartiles of percentage age-predicted peak heart rate
(APPHR), heart rate reserve (HRR), and peak heart rate-systolic blood pressure
product (PRPP). Exercise-induced ST-segment depression, abnormal MPI findings,
coronary revascularization, and 12.0-yr (1.3 yr) Kaplan-Meier all-cause and
cardiovascular mortality plots were then characterized by quartiles of APPHR,
HRR, and PRPP. RESULTS: A reduced frequency of abnormal arm exercise ECG results
was associated only with the lowest quartile of APPHR (<=69%) and HRR (<=43%),
whereas higher frequency of abnormal MPI findings exhibited an inverse
relationship trend with lower APPHR (P = 0.10) and HRR (P = 0.12). There was a
strong inverse association of APPHR, HRR, and PRPP with all-cause (all P <= 0.01)
and cardiovascular (P < 0.05) mortality. The frequency of coronary
revascularization was unrelated to APPHR or HRR. CONCLUSIONS: Arm exercise ECG
stress test sensitivity is only reduced at <=69% APPHR or <=43% HRR, whereas arm
exercise MPI sensitivity and referral for coronary revascularization after arm
exercise stress testing are not adversely affected by even a severely blunted
peak heart rate. However, both all-cause mortality and cardiovascular mortality
are strongly and inversely related to APPHR and HRR.
PMID- 27187106
TI - Synthesis of Organized Layered Carbon by Self-Templating of Dithiooxamide.
AB - An unusual small molecule, dithiooxamide is used to produce nanostructured carbon
via direct pyrolysis. The carbon shows a unique 2D-layer assembled structure and
is in situ dual-heteroatom (N and S)-doped, meanwhile having relatively high
surface area. These carbon materials can be further decorated with inorganic
parts via a precomplexing approach. The functionalized carbon and the hybrid
nanomaterials demonstrate remarkable performance for lithium-ion storage.
PMID- 27187105
TI - Ischemic Preconditioning and Repeated Sprint Swimming: A Placebo and Nocebo
Study.
AB - PURPOSE: Ischemic preconditioning (IPC) has been shown to improve performance of
exercises lasting 10-90 s (anaerobic) and more than 90 s (aerobic). However, its
effect on repeated sprint performance has been controversial, placebo effect has
not been adequately controlled, and nocebo effect has not been avoided. Thus, the
IPC effect on repeated sprint performance was investigated using a swimming task
and controlling placebo/nocebo effects. METHODS: Short-distance university
swimmers were randomized to two groups. One group (n = 15, 24 +/- 1 yr [mean +/-
SEM]) was exposed to IPC (ischemia cycles lasted 5 min) and control (CT) (no
ischemia); another (n = 15, 24 +/- 1 yr) to a placebo intervention (SHAM)
(ischemia cycles lasted 1 min) and CT. Seven subjects crossed over groups.
Subjects were informed IPC and SHAM would improve performance compared with CT
and would be harmless despite circulatory occlusion sensations. The swimming task
consisted of six 50-m all-out efforts repeated every 3 min. RESULTS: IPC, in
contrast with SHAM, reduced worst sprint time (IPC, 35.21 +/- 0.73 vs CT, 36.53
+/- 0.72 s; P = 0.04) and total sprints time (IPC, 203.7 +/- 4.60 vs CT, 206.03
+/- 4.57 s; P = 0.02), moreover augmented swimming velocity (IPC, 1.45 +/- 0.03
vs CT, 1.44 +/- 0.03 m.s; P = 0.049). Six of seven subjects who crossed over
groups reduced total sprints time with IPC versus SHAM (delta = -3.95 +/- 1.49 s,
P = 0.09). Both IPC and SHAM did not change blood lactate concentration (P =
0.20) and perceived effort (P = 0.22). CONCLUSION: IPC enhanced repeated sprint
swimming performance in university swimmers, whereas a placebo intervention did
not.
PMID- 27187107
TI - Searching for the philosopher's stone: promising links between meditation and
brain preservation.
AB - In the context of an aging population and increased prevalence of dementia and
other neurodegenerative diseases, developing strategies to decrease the negative
effects of aging is imperative. The scientific study of meditation as a potential
tool to downregulate processes implicated in brain aging is an emerging field,
and a growing body of research suggests that mindfulness practices are beneficial
for cerebral resilience. Adding further evidence to this notion, an increasing
number of imaging studies report effects of meditation on brain structure that
are consistent with our understanding of neuroprotection. Here, we review the
published findings in this field of research addressing the question of whether
meditation diminishes age-related brain degeneration. Altogether, although
analyses are still sparse and based on cross-sectional data, study outcomes
suggest that meditation might be beneficial for brain preservation-both with
respect to gray and white matter-possibly by slowing down the natural (age
related) decrease of brain tissue. Nevertheless, it should also be recognized
that, until robust longitudinal data become available, there is no evidence for
causation between meditation and brain preservation. This review includes a
comprehensive commentary on limitations of the existing research and concludes
with implications and directions for future studies.
PMID- 27187109
TI - Corrigendum.
PMID- 27187108
TI - Chronic pudendal neuromodulation using an implantable microstimulator improves
voiding function in diabetic rats.
AB - Objective: Few studies have investigated the feasibility of using chronic
pudendal neuromodulation for improving voiding function in patients with diabetes
who are also experiencing urinary retention. The present study investigated the
effects of chronic electrical stimulation (ES) of the sensory branch of the
pudendal nerve on voiding function in diabetic rats. Approach: A custom-made
implantable microstimulation system was designed and manufactured for chronic
implantation in normal control (NC) and diabetic rats. After three or six weeks
of pudendal neuromodulation, the intravesical pressure, external urethral
sphincter electromyograms (EUS-EMGs), and urine flow rate (UFR) of all rats were
simultaneously recorded to assess the effects of chronic pudendal ES on voiding
function. Morphological changes in pudendal axons were assessed through
hematoxylin and eosin (H&E) staining. Significance: This study demonstrated the
feasibility of using chronic pudendal neuromodulation for improving voiding
function in diabetic rats. These results may facilitate the development of an
advanced neural prosthesis for restoring bladder function in clinical settings.
PMID- 27187110
TI - Molecular-Based Theory for Electron-Transfer Reorganization Energy in Solvent
Mixtures.
AB - Using statistical-field techniques, we develop a molecular-based dipolar self
consistent-field theory (DSCFT) for charge solvation in liquid mixtures under
equilibrium and nonequilibrium conditions, and apply it to compute the solvent
reorganization energy of electron-transfer reactions. In addition to the
nonequilibrium orientational polarization, the reorganization energy in liquid
mixtures is also determined by the out-of-equilibrium solvent composition around
the reacting species due to preferential solvation. Using molecular parameters
that are readily available, the DSCFT naturally accounts for the dielectric
saturation effect and the spatially varying solvent composition in the vicinity
of the reacting species. We identify three general categories of binary solvent
mixtures, classified by the relative optical and static dielectric permittivities
of the solvent components. Each category of mixture is shown to produce a
characteristic local solvent composition profile in the vicinity of the reacting
species, which gives rise to the distinctive composition dependence of the
reorganization energy that cannot be predicted using the dielectric
permittivities of the homogeneous solvent mixtures.
PMID- 27187111
TI - Usefulness of radiological findings for predicting cochlear implantation outcomes
in children with cochlear nerve deficiency: a pilot study.
AB - CONCLUSION: Children with CND received limited benefits from CIs and their
results varied. The size of the vestibulocochlear nerve relative to the facial
nerve could potentially be used as a predicator for CI outcomes in children with
CND. OBJECTIVE: This study aimed to (1) retrospectively review the outcomes of
cochlear implants (CIs) in children with cochlear nerve deficiency (CND) and (2)
evaluate the clinical usefulness of radiological findings as predictors for post
implantation outcomes. METHODS: Study participants included 10 children with
bilateral CND and profound sensorineural hearing loss. The preoperative magnetic
resonance imaging and temporal bone computed tomography scans were evaluated.
Auditory processing capability and speech perception performance were measured
with Categories of Auditory Performance (CAP) and Speech Intelligibility Rating
(SIR) scales. Aided hearing thresholds with CI were measured. The relationships
between CI outcomes and the sizes of vestibulocochlear nerve and cochlear nerve
canal (CNC) were analysed. RESULTS: Although post-operative CAP scores and
hearing thresholds significantly improved in children with CND, their results
were worse than those measured in implanted children with normal cochlear nerve.
No significant correlation was found between the CI outcomes and the
vestibulocochlear nerve diameters or the CNC diameters in children with CND.
However, children with larger vestibulocochlear-nerve-to-facial-nerve-ratios got
better results.
PMID- 27187113
TI - Severe Radiation Necrosis Successfully Treated With Bevacizumab in an Infant with
Low-Grade Glioma and Tumor-Associated Intractable Trigeminal Neuralgia.
AB - We present a unique case of radiation necrosis in a child with brain stem low
grade glioma (LGG) presenting with trigeminal neuralgia. Despite extensive
therapies, severe pain persisted. She received proton beam radiation with
significant improvement. However, she developed radiation necrosis and
hydrocephalus. Despite surgical correction of hydrocephalus, the patient remained
critically ill. She was treated with dexamethasone and bevacizumab with rapid
clinical improvement. Subsequent MRIs revealed almost complete resolution of the
necrosis. This case illustrates the successful treatment of trigeminal neuralgia
with radiation and a rare case of radiation necrosis in an LGG successfully
treated with bevacizumab and dexamethasone.
PMID- 27187114
TI - Hospital characteristics and 30-day all-cause readmission rates.
AB - BACKGROUND: The Centers for Medicare and Medicaid Services (CMS) publicly reports
hospital-wide all-cause readmission rates, which are key indicators of quality
and waste. Understanding hospital characteristics that are associated with lower
readmission rates is important. OBJECTIVES: The main objective of this article is
to identify hospital characteristics associated with lower readmission rates.
Specifically, we focus on the relationship between hospitalist staffing levels,
the level of physician integration, and physician ownership with hospital-wide
all-cause readmissions. METHODS: We rely on data from CMS, American Hospital
Association Annual Survey Database, and Area Health Resource File. We use
ordinary least square regression to assess the association between readmission
rates and hospitalist staffing levels, physician integration, physician
ownership, and the presence of a medical home model, while controlling for key
organizational and market factors such as registered nurse (RN) staffing levels
and competition. RESULTS: Higher hospitalist staffing levels, the fully
integrated physician model, and physician ownership were associated with lower
readmission rates. The addition of 1 hospitalist per general and surgical bed was
associated with a 0.77 percentage-points decrease in adjusted 30-day all-cause
readmission rates. Fully integrated hospitals had adjusted 30-day all-cause
readmission rates 0.09 percentage points lower than non-fully integrated
hospitals, and hospitals partially or fully owned by physicians had adjusted
readmission rates 0.36 percentage points lower than non-physician-owned
hospitals. CONCLUSIONS: Hospitals should focus on modifiable organizational
factors that influence patient outcomes such as hospitalist and RN staffing
levels and explore hospital-physician arrangements that result in the greatest
alignment between hospital and physician incentives. Journal of Hospital Medicine
2016;11:682-687. (c) 2016 Society of Hospital Medicine.
PMID- 27187112
TI - Nuclear Magnetic Resonance Structure of a Major Lens Protein, Human gammaC
Crystallin: Role of the Dipole Moment in Protein Solubility.
AB - A hallmark of the crystallin proteins is their exceptionally high solubility,
which is vital for maintaining the high refractive index of the eye lens. Human
gammaC-crystallin is a major gamma-crystallin whose mutant forms are associated
with congenital cataracts but whose three-dimensional structure is not known. An
earlier study of a homology model concluded that human gammaC-crystallin has low
intrinsic solubility, mainly because of the atypical magnitude and fluctuations
of its dipole moment. On the contrary, the high-resolution tertiary structure of
human gammaC-crystallin determined here shows unequivocally that it is a highly
soluble, monomeric molecule in solution. Notable differences between the
orientations and interactions of several side chains are observed upon comparison
to those in the model. No evidence of the pivotal role ascribed to the effect of
dipole moment on protein solubility was found. The nuclear magnetic resonance
structure should facilitate a comprehensive understanding of the deleterious
effects of cataract-associated mutations in human gammaC-crystallin.
PMID- 27187117
TI - Hibernating Squirrels: SIRTin Clues for Organ Protection after Ischemia
Reperfusion.
PMID- 27187120
TI - Is the "Triple Low" Association with Death Statistically Valid or Reflective of
Clinical Practice?
PMID- 27187119
TI - Proteomic Profiling Reveals Adaptive Responses to Surgical Myocardial Ischemia
Reperfusion in Hibernating Arctic Ground Squirrels Compared to Rats.
AB - BACKGROUND: Hibernation is an adaptation to extreme environments known to provide
organ protection against ischemia-reperfusion (I/R) injury. An unbiased systems
approach was utilized to investigate hibernation-induced changes that are
characteristic of the hibernator cardioprotective phenotype, by comparing the
myocardial proteome of winter hibernating arctic ground squirrels (AGS), summer
active AGS, and rats subjected to I/R, and further correlating with targeted
metabolic changes. METHODS: In a well-defined rodent model of I/R by deep
hypothermic circulatory arrest followed by 3 or 24 h of reperfusion or sham,
myocardial protein abundance in AGS (hibernating summer active) and rats (n = 4
to 5/group) was quantified by label-free proteomics (n = 4 to 5/group) and
correlated with metabolic changes. RESULTS: Compared to rats, hibernating AGS
displayed markedly reduced plasma levels of troponin I, myocardial apoptosis, and
left ventricular contractile dysfunction. Of the 1,320 rat and 1,478 AGS proteins
identified, 545 were differentially expressed between hibernating AGS and rat
hearts (47% up-regulated and 53% down-regulated). Gene ontology analysis revealed
down-regulation in hibernating AGS hearts of most proteins involved in
mitochondrial energy transduction, including electron transport chain complexes,
acetyl CoA biosynthesis, Krebs cycle, glycolysis, and ketogenesis. Conversely,
fatty acid oxidation enzymes and sirtuin-3 were up-regulated in hibernating AGS,
with preserved peroxisome proliferator-activated receptor-alpha activity and
reduced tissue levels of acylcarnitines and ceramides after I/R. CONCLUSIONS:
Natural cardioprotective adaptations in hibernators involve extensive metabolic
remodeling, featuring increased expression of fatty acid metabolic proteins and
reduced levels of toxic lipid metabolites. Robust up-regulation of sirtuin-3
suggests that posttranslational modifications may underlie organ protection in
hibernating mammals.
PMID- 27187121
TI - Not Really Triple Low?
PMID- 27187122
TI - In Reply.
PMID- 27187123
TI - All Work Hours Are Not Equal.
PMID- 27187124
TI - In Reply.
PMID- 27187125
TI - Specific or Nonspecific? There Is Very Little Light at the End of the Tunnel.
PMID- 27187127
TI - Ultrasonographic Appearance of the Cricothyroid Membrane.
PMID- 27187126
TI - In Reply.
PMID- 27187128
TI - In Reply.
PMID- 27187130
TI - In Reply.
PMID- 27187129
TI - Effectiveness versus Efficacy of Calabadion and Sugammadex for Nondepolarizing
Neuromuscular Blocking Agent Reversal.
PMID- 27187131
TI - Should Deidentified Case Data Be Treated as Independent Data Points?
PMID- 27187132
TI - In Reply.
PMID- 27187143
TI - The effect of clinical setting on the unstimulated salivary flow rate.
AB - OBJECTIVE: Unstimulated whole saliva (UWS) sialometry uses the spitting method to
assess occurrence of hyposalivation. This study compares the UWS flow rates in
volunteers sitting in a laboratory or in a clinical setting, in order to evaluate
the influence of environment on salivary secretion. DESIGN: 25 healthy volunteers
were recruited and divided into two groups to perform UWS sialometry under the
two different settings (T1). Eleven weeks later, the participants repeated the
same test (T2). At a unique time point and under the clinical setting, 18
patients complaining of xerostomia also performed the UWS sialometry; these
values were used as control to corroborate findings. RESULTS: Different scenarios
- laboratory one vs. clinical one - did not affect measurements of mean UWS flow
rates. Both intra- and inter-individual variabilities, reported as standard error
of the mean (SEM) and within-subject variance (WSV), resulted below the threshold
of 0.1g/min. A significant difference was found between UWS flow rates from
healthy volunteers and those from patients with xerostomia (p<0.05). Test/retest
reliability showed a moderate correlation of datasets collected at the two time
points from healthy volunteers (T1 vs. T2, 11 weeks later): under laboratory and
clinical settings, Pearson's coefficients of correlation were r=0.62 and r=0.32,
respectively. CONCLUSIONS: Type of environment did not influence UWS sialometry
via spitting method, which appeared reliable for intra-day analysis of the
salivary flow rate, although prone to physiological variations over time.
PMID- 27187145
TI - Proteomic interrogation of the gut microbiota: potential clinical impact.
PMID- 27187146
TI - Extension of the stability of anticancer drugs in pharmaceutical practice.
PMID- 27187147
TI - Forget me not.
AB - An enzyme called PKM zeta may have a role in long-term memory after all.
PMID- 27187151
TI - The Flint Water Crisis Confirms That U.S. Drinking Water Needs Improved Risk
Management.
PMID- 27187148
TI - Ca(2+) entry into neurons is facilitated by cooperative gating of clustered
CaV1.3 channels.
AB - CaV1.3 channels regulate excitability in many neurons. As is the case for all
voltage-gated channels, it is widely assumed that individual CaV1.3 channels
behave independently with respect to voltage-activation, open probability, and
facilitation. Here, we report the results of super-resolution imaging,
optogenetic, and electrophysiological measurements that refute this long-held
view. We found that the short channel isoform (CaV1.3S), but not the long
(CaV1.3L), associates in functional clusters of two or more channels that open
cooperatively, facilitating Ca(2+) influx. CaV1.3S channels are coupled via a C
terminus-to-C-terminus interaction that requires binding of the incoming Ca(2+)
to calmodulin (CaM) and subsequent binding of CaM to the pre-IQ domain of the
channels. Physically-coupled channels facilitate Ca(2+) currents as a consequence
of their higher open probabilities, leading to increased firing rates in rat
hippocampal neurons. We propose that cooperative gating of CaV1.3S channels
represents a mechanism for the regulation of Ca(2+) signaling and electrical
activity.
PMID- 27187152
TI - The interaction of personality profile and lactation differs between mothers of
late preterm and term neonates.
AB - Late preterm birth, a rapidly growing segment of premature deliveries, has the
potential to cause deleterious effects on women's psycho-emotional experience of
labor and the establishment of successful lactation. We compared personality
traits by the Luscher Color Test, the mother-to-infant bonding attitudes by the
Mother-to-Infant Bonding Scale (MIBS) and lactation outcome, between mothers of
late preterm and at term infants. Our results indicated that mothers idealize
their condition and wish to enjoy this magic and extraordinary time in spite of
feeling stressed. However, late preterm mothers feel too sad and distressed to
relax in their own space after the premature birth event. In addition, their
total MIBS score (mean +/- SD) was significantly higher (1.364 versus 0.581; p
0.026), as related subscales: Dislike (p 0.005) and Disappointed (p 0.012).
Finally, they significantly reduced breastfeeding rates from discharge (p <
0.0001), to the first (p < 0.001), the third (p = 0.002) and sixth postnatal
month (p = 0.0002). We concluded that there is a relation between unconscious
deep stress along with bonding limits of late preterm new mothers and impaired
breastfeeding initiation and duration.
PMID- 27187149
TI - A feedback amplification loop between stem cells and their progeny promotes
tissue regeneration and tumorigenesis.
AB - Homeostatic renewal of many adult tissues requires balanced self-renewal and
differentiation of local stem cells, but the underlying mechanisms are poorly
understood. Here we identified a novel feedback mechanism in controlling
intestinal regeneration and tumorigenesis in Drosophila. Sox21a, a group B Sox
protein, is preferentially expressed in the committed progenitor named
enteroblast (EB) to promote enterocyte differentiation. In Sox21a mutants, EBs do
not divide, but cannot differentiate properly and have increased expression of
mitogens, which then act as paracrine signals to promote intestinal stem cell
(ISC) proliferation. This leads to a feedback amplification loop for rapid
production of differentiation-defective EBs and tumorigenesis. Notably, in normal
intestine following damage, Sox21a is temporally downregulated in EBs to allow
the activation of the ISC-EB amplification loop for epithelial repair. We propose
that executing a feedback amplification loop between stem cells and their progeny
could be a common mechanism underlying tissue regeneration and tumorigenesis.
PMID- 27187153
TI - First evaluation of neustonic microplastics in Black Sea waters.
AB - The Black Sea has a high risk of plastic pollution given the high river discharge
of several industrialized countries into this semi-enclosed sea. Here, for the
first time, the occurrence and distribution of microplastics are reported for the
Black Sea. Microplastics were assessed from zooplankton samples taken during two
cruises along the south eastern coast of the Black Sea in the November of 2014
and February of 2015. In each cruise neuston samples were collected at 12
stations using a WP2 net with 200 MUm mesh. Microplastics (0.2-5 mm) were found
in 92% of the samples. The primary shapes were fibres (49.4%) followed by plastic
films (30.6%) and fragments (20%), and no micro beads were found. Average
microplastic concentration in November (1.2 +/- 1.1 * 10(3) par. m(-3)) was
higher than in February (0.6 +/- 0.55 * 10(3) par. m(-3)). Reduced concentrations
in February were possibly caused by increased mixing. The highest concentrations
of microplastics were observed in offshore stations during November sampling. The
heterogeneous spatial distribution (0.2 * 10(3)-3.3 * 10(3) par. m(-3) for all
samples) and accumulation in some stations could be associated to transport and
retention mechanisms linked with wind and the dynamics of the rim current, as
well by different sources of plastic. There were no statistically significant
differences in MP concentration between sampling stations and sampling periods (t
test, p < 0.05).The relatively high microplastic concentrations suggest that
Black Sea is a hotspot for microplastic pollution and there is an urgency to
understand their origins, transportation and effects on marine life.
PMID- 27187150
TI - Compensation for PKMzeta in long-term potentiation and spatial long-term memory
in mutant mice.
AB - PKMzeta is a persistently active PKC isoform proposed to maintain late-LTP and
long-term memory. But late-LTP and memory are maintained without PKMzeta in
PKMzeta-null mice. Two hypotheses can account for these findings. First, PKMzeta
is unimportant for LTP or memory. Second, PKMzeta is essential for late-LTP and
long-term memory in wild-type mice, and PKMzeta-null mice recruit compensatory
mechanisms. We find that whereas PKMzeta persistently increases in LTP
maintenance in wild-type mice, PKCiota/lambda, a gene-product closely related to
PKMzeta, persistently increases in LTP maintenance in PKMzeta-null mice. Using a
pharmacogenetic approach, we find PKMzeta-antisense in hippocampus blocks late
LTP and spatial long-term memory in wild-type mice, but not in PKMzeta-null mice
without the target mRNA. Conversely, a PKCiota/lambda-antagonist disrupts late
LTP and spatial memory in PKMzeta-null mice but not in wild-type mice. Thus,
whereas PKMzeta is essential for wild-type LTP and long-term memory, persistent
PKCiota/lambda activation compensates for PKMzeta loss in PKMzeta-null mice.
PMID- 27187156
TI - Editorial: Genomic selection with numerically small reference populations.
PMID- 27187154
TI - AR-12 Inhibits Multiple Chaperones Concomitant With Stimulating Autophagosome
Formation Collectively Preventing Virus Replication.
AB - We have recently demonstrated that AR-12 (OSU-03012) reduces the function and
ATPase activities of multiple HSP90 and HSP70 family chaperones. Combined knock
down of chaperones or AR-12 treatment acted to reduce the expression of virus
receptors and essential glucosidase proteins. Combined knock down of chaperones
or AR-12 treatment inactivated mTOR and elevated ATG13 S318 phosphorylation
concomitant with inducing an endoplasmic reticulum stress response that in an
eIF2alpha-dependent fashion increased Beclin1 and LC3 expression and
autophagosome formation. Over-expression of chaperones prevented the reduction in
receptor/glucosidase expression, mTOR inactivation, the ER stress response, and
autophagosome formation. AR-12 reduced the reproduction of viruses including
Mumps, Influenza, Measles, Junin, Rubella, HIV (wild type and protease
resistant), and Ebola, an effect replicated by knock down of multiple chaperone
proteins. AR-12-stimulated the co-localization of Influenza, EBV and HIV virus
proteins with LC3 in autophagosomes and reduced viral protein association with
the chaperones HSP90, HSP70, and GRP78. Knock down of Beclin1 suppressed drug
induced autophagosome formation and reduced the anti-viral protection afforded by
AR-12. In an animal model of hemorrhagic fever virus, a transient exposure of
animals to low doses of AR-12 doubled animal survival from ~30% to ~60% and
suppressed liver damage as measured by ATL, GGT and LDH release. Thus through
inhibition of chaperone protein functions; reducing the production, stability and
processing of viral proteins; and stimulating autophagosome formation/viral
protein degradation, AR-12 acts as a broad-specificity anti-viral drug in vitro
and in vivo. We argue future patient studies with AR-12 are warranted. J. Cell.
Physiol. 231: 2286-2302, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27187155
TI - Identification and measurement of staphylococcal enterotoxin-like protein I
(SEll) secretion from Staphylococcus aureus clinical isolate.
AB - AIMS: Staphylococcus aureus (Staph. aureus) produces a wide variety of
staphylococcal enterotoxins (SEs) and staphylococcal enterotoxin-like (SEl)
proteins, which are the most causative agents of staphylococcal food poisoning.
In contrast to classical SEs (SEA to SEE), the relationship between the novel
SEs/SEls (SEG to SElX) and staphylococcal food poisoning is not elucidated. This
study is aimed to establish a system to detect staphylococcal enterotoxin-like
protein I (SElI) for analysis of staphylococcal food poisoning. METHODS AND
RESULTS: SElI was characterized in a Staph. aureus clinical isolate associated
with food poisoning; there was an amino acid substitution Thr145Ala compared to
previously identified SEI from Staph. aureus 04-02981. Subsequently, SElI was
expressed, purified, and the poly- and monoclonal antibodies against it were
prepared. Using these antibodies, a highly sensitive sandwich enzyme-linked
immunosorbent assay (ELISA) that specifically detected and measured SElI
secretion from the Staph. aureus clinical isolate in LB medium, milk and
bloodstream was developed. CONCLUSIONS: The ELISA system has been successfully
applied for analysing SElI secretion in vivo and in vitro. SIGNIFICANCE AND
IMPACT OF THE STUDY: The highly sensitive ELISA should make it attractive for
quantifying SElI in food hygiene supervision and clinical diagnosis in near
future.
PMID- 27187157
TI - Chronic kidney disease in Asia: Protocol for a collaborative overview.
AB - AIM: The burden of chronic kidney disease (CKD) is growing rapidly around the
world. However, there is limited information on the overall regional prevalence
of CKD, as well as the prognostic implications and treatment patterns in Asian
region. We have established the Asian Renal Collaboration (ARC) with the goal of
consolidating region-wide data regarding CKD. METHODS: This collaborative project
will synthesize data and perform meta-analyses of observational studies conducted
in Asia. Studies will be identified through a systematic literature search
including abstracts, proceedings of meetings, electronic databases such as
MEDLINE and EMBASE. Personal enquiry among collaborators and experts in the
region will identify additional studies, or other data sources such as
registries. Both cross-sectional and longitudinal studies that describe the
prevalence of CKD and its complications will be included, as will longitudinal
studies that describe important clinical outcomes for people with CKD. Individual
participant data will be sought, where possible, from each of the studies
included in the collaboration for baseline parameters and subsequent outcomes, in
order to maximize flexibility and consistency of data analyses. CONCLUSIONS: This
study is an initiative offering a unique opportunity to obtain information about
the prevalence and manifestations of CKD in Asia, as well as its risk factors.
The ARC will also provide insights into important outcomes including progression
of CKD, CKD complications, cardiovascular disease and death. These findings will
improve our understanding of kidney disease in Asia, and thus help inform service
provision, preventive care and further research across the region.
PMID- 27187158
TI - Pyrazinetetracarboxamide: A Duplex Ligand for Palladium(II).
AB - Tetraethylpyrazine-2,3,5,6-tetracarboxamide forms a dipalladium(II) complex with
acetates occupying the fourth coordination sites of the two bound metal ions.
Crystallographic results indicate that the "duplex" dipincer has captured two
protons that serve as the counterions. The protons lie between adjacent amide
carbonyl groups with very short O...O distances of 2.435(5) A. In the free base,
the adjacent carbonyl groups are farther apart, averaging 3.196(3) A. While the
dipalladium(II) complexes stack in an ordered stepwise fashion along the a axis,
the free base molecules stack on top of each other, with each pincer rotated by
about 60 degrees from the one below.
PMID- 27187159
TI - Diagnosis and management of symptoms associated with vulvovaginal atrophy: expert
opinion on behalf of the Italian VVA study group.
AB - Vulvovaginal atrophy (VVA) is a chronic disorder that commonly occurs in
postmenopausal women, whose symptoms are recognized among the most frequent and
bothersome symptoms associated with menopause. The principal therapeutic goal in
managing VVA is to relieve symptoms as well as to restore the vaginal environment
to a healthy state. However, despite its high prevalence and negative impact on
quality of life, VVA is underreported by women, underrecognized by gynecologists,
and therefore, undertreated. In the light of the new development of treatment
options for VVA, we here provide an updated expert opinion on the management of
VVA. In particular, we strongly recommend that HCPs proactively start an open
discussion with their postmenopausal patients about urogenital symptoms.
Treatment should be started as early as the first symptoms of VVA occur and
should be maintained over time, due to the chronicity of the conditions. Many
treatment options are now available and therapy should be individualized, taking
the woman's preference in consideration.
PMID- 27187162
TI - Role of MRI in the Risk Assessment of Primary Prostate Cancer.
AB - A successful paradigm shift toward personalized management strategies for
patients with prostate cancer (PCa) is heavily dependent on the availability of
noninvasive diagnostic tools capable of accurately establishing the true extent
of disease at the time of diagnosis and estimating the risk of subsequent disease
progression and related mortality. Although there is still considerable scope for
improvement in its diagnostic, predictive, and prognostic capabilities,
multiparametric prostate magnetic resonance imaging (MRI) is currently regarded
as the imaging modality of choice for local staging of PCa. A negative MRI, that
is, the absence of any MRI-visible intraprostatic lesion, has a high negative
predictive value for the presence of clinically significant PCa and can
substantiate the consideration of active surveillance as a preferred initial
management approach. MRI-derived quantitative and semi-quantitative parameters
can be utilized to noninvasively characterize MRI-visible prostate lesions and
identify those patients who are most likely to benefit from radical treatment,
and differentiate them from patients with benign or indolent prostate pathology
that may also be visible on MRI. This literature review summarizes current
strategies how MRI can be used to determine a tailored management strategy for an
individual patient.
PMID- 27187160
TI - Platinum Concentration and Pathologic Response to Cisplatin-Based Neoadjuvant
Chemotherapy in Muscle-Invasive Bladder Cancer.
AB - BACKGROUND: Platinum (Pt)-based chemotherapy is the standard of care for muscle
invasive bladder cancer (MIBC). However, resistance is a major limitation.
Reduced intratumoral drug accumulation is an important mechanism of platinum
resistance. Our group previously demonstrated a significant correlation between
tissue Pt concentration and tumor response to Pt-based neoadjuvant chemotherapy
(NAC) in lung cancer. We hypothesized that increased Pt concentration in radical
cystectomy (RC) specimens would correlate with improved pathologic response to Pt
based NAC in MIBC. METHODS: A cohort of 19 clinically annotated, archived, fresh
frozen RC specimens from patients with MIBC treated with Pt-based NAC was
identified [ypT0 (pathologic complete response, pCR), N = 4; <=ypT1N0M0
(pathologic partial response, pPR), N = 6; >=ypT2 (minimal pathologic
response/progression), N = 9)]. RC specimens from 2 patients with MIBC who did
not receive NAC and 1 treated with a non-Pt containing NAC regimen were used as
negative controls. Total Pt concentration in normal adjacent urothelial tissue
and bladder tumors from RC specimens was measured by flameless atomic absorption
spectrophotometry. RESULTS: Total Pt concentration in normal urothelium differed
by tumor pathologic response (P = 0.011). Specimens with pCR had the highest Pt
concentrations compared to those with pPR (P = 0.0095) or no response/progression
(P = 0.020). There was no significant difference in Pt levels in normal
urothelium and tumor between pPR and no response/progression groups (P = 0.37; P
= 0.25, respectively). CONCLUSIONS: Our finding of increased intracellular Pt in
RC specimens with pCR following NAC for MIBC compared to those with residual
disease suggests that enhanced Pt accumulation may be an important determinant of
Pt sensitivity. Factors that modulate intracellular Pt concentration, such as
expression of Pt transporters, warrant further investigation as predictive
biomarkers of response to Pt-based NAC in MIBC.
PMID- 27187163
TI - MR-TRUS Fusion Biopsy.
AB - The leading application of multiparametric magnetic resonance imaging (mpMRI) of
the prostate is for lesion detection with the intention of tissue sampling
(biopsy). Although direct in-bore magnetic resonance (MR)-guided biopsy allows
for confirmation of the biopsy site, this can be expensive, time-consuming, and
most importantly limited in availability. MR-transrectal ultrasound (MR-TRUS)
image fusion targeted biopsy (TBx) allows for lesions identified on MRI to be
targeted with the ease, efficiency, and availability of ultrasound.The learning
objectives are optimized mpMRI protocol and reporting for image fusion targeted
biopsy; methods of TRUS TBx; performance and limitations of MR-TRUS TBx; future
improvements and applications.
PMID- 27187161
TI - Methylmercury Concentration in Fish and Risk-Benefit Assessment of Fish Intake
among Pregnant versus Infertile Women in Taiwan.
AB - This study examined methylmercury (MeHg) concentrations in fish, the daily MeHg
exposure dose, and the risk-benefit of MeHg, omega-3 polyunsaturated fatty acid
(omega-3 PUFA), docosahexaenoic acid (DHA), and eicosapentaenoic acid (EPA)
related to fish intake among pregnant and infertile women in Taiwan. The measured
MeHg concentrations in fish did not exceed the Codex guideline level of 1 mg/kg.
Swordfish (0.28 +/- 0.23 mg/kg) and tuna (0.14 +/- 0.13 mg/kg) had the highest
MeHg concentrations. The MeHg concentration in the hair of infertile women (1.82
+/- 0.14 mg/kg) was significantly greater than that of pregnant women (1.24 +/-
0.18 mg/kg). In addition, 80% of infertile women and 68% of pregnant women had
MeHg concentrations in hair that exceeded the USEPA reference dose (1 mg/kg). The
MeHg concentrations in hair were significantly and positively correlated with the
estimated daily MeHg exposure dose. Based on the risk-benefit evaluation results,
this paper recommends consumption of fish species with a low MeHg concentration
and high concentrations of DHA + EPA and omega-3 PUFA (e.g., salmon, mackerel,
and greater amberjack).
PMID- 27187165
TI - Multiparametric MR Imaging for Detection and Locoregional Staging of Prostate
Cancer.
AB - Detection and staging of prostate cancer (PCa) based on digital rectal
examination, prostate-specific antigen levels, and systematic transrectal
ultrasound-guided biopsies show notorious limitations in light of the current
needs of PCa management. Multiparametric magnetic resonance imaging (mpMRI) has
emerged as a useful noninvasive imaging technique for detection, staging,
assessment of aggressiveness, and treatment monitoring of PCa, combining anatomic
high-resolution T2-weighted images with functional techniques, such as diffusion
weighted imaging and dynamic contrast enhancement evaluation. In this article,
the authors review the technical aspects and the current clinical role of mpMRI
for detection and locoregional staging of PCa.
PMID- 27187166
TI - MRI of Prostate Cancer: Update.
PMID- 27187167
TI - Clinical Perspective of Prostate Cancer.
AB - Prostate cancer is the most common noncutaneous cancer affecting men today. It
largely affects men in the fifth and sixth decade of life. Screening for prostate
cancer, though controversial, is still the only way to detect early prostate
cancer. Multiple newer options such as blood tests and genetic markers are being
used in the clinical domain today to improve cancer detection and avoid
unnecessary biopsies. To date, biopsy of the prostate remains the only modality
to stratify the grade of cancer. Significant improvements in the imaging
technology have improved localizing and detecting the disease. Treatment of
prostate cancer is stratified on the basis of the grade and volume of the
disease. There are multiple treatment options involved in the management of
prostate cancer. Treatment of localized prostate cancer still continues to have
very high cure rates and long-term cancer-specific survival rates.
PMID- 27187164
TI - Multiparametric Magnetic Resonance Imaging of Recurrent Prostate Cancer.
AB - There is growing consensus that multiparametric magnetic resonance imaging
(mpMRI) is an effective modality in the detection of locally recurrent prostate
cancer after prostatectomy and radiation therapy. The emergence of magnetic
resonance (MR)-guided focal therapies, such as cryoablation, high-intensity
focused ultrasound, and laser ablation, have made the use of mpMRI even more
important, as the normal anatomy is inevitably altered and the detection of
recurrence is made more difficult. The aim of this article is to review the
utility of mpMRI in detecting recurrent prostate cancer in patients following
radical prostatectomy, radiation therapy, and focal therapy and to discuss
expected post-treatment mpMRI findings, the varied appearance of recurrent
tumors, and their mimics.
PMID- 27187168
TI - In-Bore MR-Guided Biopsy Systems and Utility of PI-RADS.
AB - A diagnostic dilemma exists in cases wherein a patient with clinical suspicion
for prostate cancer has a negative transrectal ultrasound-guided biopsy session.
Although transrectal ultrasound-guided biopsy is the standard of care, a paradigm
shift is being observed. In biopsy-naive patients and patients with at least 1
negative biopsy session, multiparametric magnetic resonance imaging (MRI) is
being utilized for tumor detection and subsequent targeting. Several commercial
devices are now available for targeted prostate biopsy ranging from transrectal
ultrasound-MR fusion biopsy to in bore MR-guided biopsy. In this review, we will
give an update on the current status of in-bore MRI-guided biopsy systems and
discuss value of prostate imaging-reporting and data system (PIRADS).
PMID- 27187170
TI - Autism and psychosis expressions diametrically modulate the right temporoparietal
junction.
AB - The mentalizing network is atypically activated in autism and schizophrenia
spectrum disorders. While these disorders are considered diagnostically
independent, expressions of both can co-occur in the same individual. We examined
the concurrent effect of autism traits and psychosis proneness on the activity of
the mentalizing network in 24 neurotypical adults while performing a social
competitive game. Activations were observed in the paracingulate cortex and the
right temporoparietal junction (rTPJ). Autism traits and psychosis proneness did
not modulate activity within the paracingulate or the dorsal component of the
rTPJ. However, diametric modulations of autism traits and psychosis proneness
were observed in the posterior (rvpTPJ) and anterior (rvaTPJ) subdivisions of the
ventral rTPJ, which respectively constitute core regions within the mentalizing
and attention-reorienting networks. Within the rvpTPJ, increasing autism
tendencies decreased activity, and increasing psychosis proneness increased
activity. This effect was reversed within the rvaTPJ. We suggest that this
results from an interaction between regions responsible for higher level social
cognitive processing (rvpTPJ) and regions responsible for domain-general
attentional processes (rvaTPJ). The observed diametric modulation of autism
tendencies and psychosis proneness of neuronal activity within the mentalizing
network highlights the importance of assessing both autism and psychosis
expressions within the individual.
PMID- 27187172
TI - Beliefs about inhaled corticosteroids: Comparison of community pharmacists,
pharmacy technicians and patients with asthma.
AB - OBJECTIVES: To compare pharmacists' and pharmacy technicians' perceptions of
patients' beliefs regarding inhaled corticosteroids (ICS) with those of patients
and to compare the ICS beliefs of pharmacists and technicians with those of
patients with asthma. METHODS: 1269 community pharmacies were approached to fill
out an online questionnaire; 1952 patients were sent a questionnaire by post.
Beliefs (i.e., necessity and concerns) regarding ICS were measured using (an
adapted version of) the Beliefs about Medicines Questionnaire (BMQ-specific).
Pharmacists and technicians were instructed to fill out the BMQ for themselves,
and to fill it out in the way they thought most of their patients would complete
it. RESULTS: 136 pharmacists, 90 pharmacy technicians and 161 patients with
asthma completed the questionnaire. Pharmacists and technicians thought patients
had more concerns about ICS than patients themselves reported (p < 0.0001). They
also thought that patients had stronger beliefs in their personal need for ICS
than patients reported (p < 0.01). Pharmacists reported lower levels of concerns
than patients (p < 0.05) and both providers attributed a higher level of
necessity to ICS than patients did (p < 0.0001). CONCLUSION: Pharmacists and
technicians overestimate the personal need for treatment as well as the concerns
patients with asthma have regarding ICS. They also have, to some extent, stronger
positive beliefs about ICS than patients. If pharmacists and technicians expect
that patients share their positive views about ICS, they might be less likely to
elicit and address patients' doubts and concerns about ICS, which might be
relevant for effective ICS treatment and subsequent patient outcomes.
PMID- 27187171
TI - Impact of Genetic Polymorphism of methylenetetrahydrofolate reductase C677T on
Development of Hyperhomocysteinemia and Related Oxidative Changes in Egyptian
beta-Thalassemia Major Patients.
AB - BACKGROUND: beta-thalasemia major (beta-TM) patients often suffer from various
vascular complications together with increased oxidative stress.
Hyperhomocysteinemia (Hhcy) has been defined as a risk factor for these
complications. Genetic polymorphism of methylenetetrahydrofolate reductase
(MTHFR) C677T has been shown to cause Hhcy particularly in individuals with low B
vitamins. However, the status of homocysteine (hcy) in beta-TM has not yet been
adequately defined. AIM: To evaluate the genetic polymorphism of MTHFR C677T
among beta-TM patients and its prospective contribution to Hhcy and related
oxidative changes. SUBJECTS AND METHODS: Genotyping for MTHFR C677T was done by
PCR-RFLP technique. Plasma hcy, vitamin B12, folate, malondialdehyde (MDA), total
antioxidant capacity (TAC), oxidized low density lipoprotein (oxLDL), total
nitric oxide (NOx) and lipid profile were determined in 66 beta-TM patients and
66 control subjects of matched age and sex. RESULTS: The prevalence of MTHFR
677TT genotype was significant among beta-TM patients (12%) compared to (3%)
controls (OR = 4.9, 95%CI:1.2-24.2,P = 0.03). A strong association between Hhcy
and MTHFR TT genotype was observed (OR = 7.7, 95%CI:2.8-20.9) where all beta-TM
patients with TT genotype were hyperhomocystienemic (>= 15 MUmol/l) and having
sub-optimal folate level than those with CT or CC genotypes. Hyperhomocystienemic
patients have suffered from increased oxidative stress characterized by
significant increase in plasma MDA and oxLDL, and a significant reduction of
plasma TAC and total NOx. Lipid profile of those patients was severely affected
indicated by reduction in HDL and HDL/LDL and elevation in atherogenic index as
compared with CC genotype. Other measured parameters were not significantly
different among beta-TM patients with different MTHFR genotypes. CONCLUSION: This
study suggests that Egyptian beta-TM patients with MTHFR 677TT genotype could be
at increasing risk of developing Hhcy particularly with folate deficiency. This
state of Hhcy may account potentially for most oxidative changes and atherogenic
vascular complications frequently reported in beta-TM patients.
PMID- 27187173
TI - Vertically Oriented Arrays of ReS2 Nanosheets for Electrochemical Energy Storage
and Electrocatalysis.
AB - Transition-metal dichalcogenide (TMD) nanolayers show potential as high
performance catalysts in energy conversion and storage devices. Synthetic TMDs
produced by chemical-vapor deposition (CVD) methods tend to grow parallel to the
growth substrate. Here, we show that with the right precursors and appropriate
tuning of the CVD growth conditions, ReS2 nanosheets can be made to orient
perpendicular to the growth substrate. This accomplishes two important
objectives; first, it drastically increases the wetted or exposed surface area of
the ReS2 sheets, and second, it exposes the sharp edges and corners of the ReS2
sheets. We show that these structural features of the vertically grown ReS2
sheets can be exploited to significantly improve their performance as polysulfide
immobilizers and electrochemical catalysts in lithium-sulfur (Li-S) batteries and
in hydrogen evolution reactions (HER). After 300 cycles, the specific capacity of
the Li-S battery with vertical ReS2 catalyst is retained above 750 mA h g(-1),
with only ~0.063% capacity decay per cycle, much better than the baseline battery
(without ReS2), which shows ~0.184% capacity decay per cycle under the same test
conditions. As a HER catalyst, the vertical ReS2 provides very small onset
overpotential (<100 mV) and an exceptional exchange-current density (~67.6
MUA/cm(2)), which is vastly superior to the baseline electrode without ReS2.
PMID- 27187174
TI - Comparative Effectiveness of Biosimilar, Reference Product and Other
Erythropoiesis-Stimulating Agents (ESAs) Still Covered by Patent in Chronic
Kidney Disease and Cancer Patients: An Italian Population-Based Study.
AB - BACKGROUND: Since 2007 biosimilars of erythropoiesis-stimulating agents (ESAs)
are available on the Italian market. Very limited post-marketing data exist on
the comparative effectiveness of biosimilar and originator ESAs. AIM: This
population-based study was aimed to compare the effects of biosimilars, reference
product and other ESAs still covered by patent on hemoglobinemia in chronic
kidney disease (CKD) and cancer patients in a Local Health Unit (LHU) from
Northern Italy. METHODS: A retrospective cohort study was conducted during the
years 2009-2014 using data from Treviso LHU administrative database. Incident ESA
users (no ESA dispensing within 6 months prior to treatment start, i.e. index
date (ID)) with at least one hemoglobin measurement within one month prior to ID
(baseline Hb value) and another measurement between 2nd and 3rd month after ID
(follow-up Hb value) were identified. The strength of the consumption (as total
number of defined daily dose (DDD) dispensed during the follow-up divided by days
of follow-up) and the difference between follow-up and baseline Hb values [delta
Hb (DeltaHb)] were evaluated. Based on Hb changes, ESA users were classified as
non-responders (DeltaHb<=0 g/dl), responders (02 g/dl). A multivariate ordinal logistic regression model to
identify predictors for responsiveness to treatment was performed. All analyses
were stratified by indication for use and type of dispensed ESA at ID. RESULTS:
Overall, 1,003 incident ESA users (reference product: 252, 25.1%; other ESAs
covered by patent: 303, 30.2%; biosimilars: 448, 44.7%) with CKD or cancer were
eligible for the study. No statistically significant difference in the amount of
dose dispensed during the follow-up among biosimilars, reference product and
other ESAs covered by patent was found in both CKD and cancer. After three months
from treatment start, all ESAs increased Hb values on average by 2g/dl. No
differences in DeltaHb as well as in frequency of non-responders, responders and
highly responders among different types of ESAs were observed in both indications
of use. Overall, around 15-20% of ESA users were non-responders. Strength of
treatment, but no type of dispensed ESAs was found to be predictor of
responsiveness to treatment. CONCLUSIONS: No difference on the effects on
hemoglobinemia among users of either biosimilars or reference product or ESAs
covered by patent was observed in a general population from Northern Italy,
despite a comparable dispensed dose of the different ESAs during the first three
months of treatment.
PMID- 27187176
TI - The Role of Hypothalamic NF-kappaB Signaling in the Response of the HPT-Axis to
Acute Inflammation in Female Mice.
AB - A large proportion of critically ill patients have alterations in the
hypothalamus-pituitary-thyroid (HPT) axis, collectively known as the nonthyroidal
illness syndrome. Nonthyroidal illness syndrome is characterized by low serum
thyroid hormone (TH) concentrations accompanied by a suppressed central component
of the HPT axis and persistent low serum TSH. In hypothalamic tanycytes, the
expression of type 2 deiodinase (D2) is increased in several animal models of
inflammation. Because D2 is a major source of T3 in the brain, this response is
thought to suppress TRH expression in the paraventricular nucleus via increased
local bioavailability of T3. The inflammatory pathway component RelA (the p65
subunit of nuclear factor-kappaB) can bind the Dio2 promoter and increases D2
expression after lipopolysaccharide (LPS) stimulation in vitro. We aimed to
determine whether RelA signaling in tanycytes is essential for the LPS-induced D2
increase in vivo by conditional elimination of RelA in tanycytes of mice
(RelA(ASTKO)). Dio2 and Trh mRNA expression were assessed by quantitative in situ
hybridization 8 or 24 hours after saline or LPS injection. At the same time
points, we measured pituitary Tshbeta mRNA expression and serum T3 and T4
concentrations. In RelA(ASTKO) mice the LPS-induced increase in Dio2 and decrease
in Trh mRNA levels in the hypothalamus were reduced compared with the wild-type
littermates, whereas the drop in pituitary Tshbeta expression and in serum TH
concentrations persisted. In conclusion, RelA is essential for the LPS-induced
hypothalamic D2 increase and TRH decrease. The central changes in the HPT axis
are, however, not required for the down-regulation of Tshbeta expression and
serum TH concentrations.
PMID- 27187177
TI - Glucagon-Like Peptide-2 Requires a Full Complement of Bmi-1 for Its Proliferative
Effects in the Murine Small Intestine.
AB - The intestinal hormone, glucagon-like peptide-2 (GLP-2), stimulates growth,
survival, and function of the intestinal epithelium through increased crypt cell
proliferation, and a long-acting analog has recently been approved to enhance
intestinal capacity in patients with short bowel syndrome. The goal of the
present study was to determine whether GLP-2-induced crypt cell proliferation
requires a full complement of B-cell lymphoma Moloney murine leukemia virus
insertion region-1 homolog (Bmi-1), using the Bmi-1(eGFP/+) mouse model in
comparison with age- and sex-matched Bmi-1(+/+) littermates. Bmi-1 is a member of
the polycomb-repressive complex family that promotes stem cell proliferation and
self-renewal and is expressed by both stem cells and transit-amplifying (TA)
cells in the crypt. The acute (6 h) and chronic (11 d) proliferative responses to
long-acting human (Gly(2))GLP-2 in the crypt TA zone, but not in the active or
reserve stem cell zones, were both impaired by Bmi-1 haploinsufficiency.
Similarly, GLP-2-induced crypt regeneration after 10-Gy irradiation was reduced
in the Bmi-1(eGFP/+) animals. Despite these findings, chronic GLP-2 treatment
enhanced overall intestinal growth in the Bmi-1(eGFP/+) mice, as demonstrated by
increases in small intestinal weight per body weight and in the length of the
crypt-villus axis, in association with decreased apoptosis and an adaptive
increase in crypt epithelial cell migration rate. The results of these studies
therefore demonstrate that a full complement of Bmi-1 is required for the
intestinal proliferative effects of GLP-2 in both the physiological and
pathological setting, and mediates, at least in part, the proliferation kinetics
of cells in the TA zone.
PMID- 27187175
TI - Adiponectin Exerts Neurotrophic Effects on Dendritic Arborization, Spinogenesis,
and Neurogenesis of the Dentate Gyrus of Male Mice.
AB - The hippocampus, a brain region critical for learning, memory and emotional
processing, maintains its capacity to undergo structural plasticity throughout
life. Hippocampal structural plasticity can be modulated by a number of intrinsic
and extrinsic factors. This study investigated the effects of adiponectin, an
adipocyte-derived hormone, on dendritic growth, arborization, and spinogenesis in
mature granule neurons of the hippocampal dentate gyrus generated during
embryonic (early-born) or early postnatal (late-born) stages. We found that
adiponectin deficiency reduced dendritic length, branching and spine density of
granule neurons. The reduction was more evident in early-born granule neurons
than in late-born granule neurons. Intracerebroventricular infusion of
adiponectin for 1 week increased of dendritic spines and arbor complexity in late
born granule neurons. Moreover, adiponectin deficiency decreased the production
of adult-born new granule neurons through suppressing neural progenitor cell
proliferation and differentiation, whereas intracerebroventricular adiponectin
infusion increased the proliferation of neural progenitor cells in adult dentate
gyrus. These results suggest that adiponectin plays an important role in
dendritic spine remodeling and neurogenesis in the dentate gyrus.
PMID- 27187179
TI - Correction: The Diversity and Geographical Structure of Orientia tsutsugamushi
Strains from Scrub Typhus Patients in Laos.
AB - [This corrects the article DOI: 10.1371/journal.pntd.0004024.].
PMID- 27187178
TI - A Novel Method to Verify Multilevel Computational Models of Biological Systems
Using Multiscale Spatio-Temporal Meta Model Checking.
AB - Insights gained from multilevel computational models of biological systems can be
translated into real-life applications only if the model correctness has been
verified first. One of the most frequently employed in silico techniques for
computational model verification is model checking. Traditional model checking
approaches only consider the evolution of numeric values, such as concentrations,
over time and are appropriate for computational models of small scale systems
(e.g. intracellular networks). However for gaining a systems level understanding
of how biological organisms function it is essential to consider more complex
large scale biological systems (e.g. organs). Verifying computational models of
such systems requires capturing both how numeric values and properties of
(emergent) spatial structures (e.g. area of multicellular population) change over
time and across multiple levels of organization, which are not considered by
existing model checking approaches. To address this limitation we have developed
a novel approximate probabilistic multiscale spatio-temporal meta model checking
methodology for verifying multilevel computational models relative to
specifications describing the desired/expected system behaviour. The methodology
is generic and supports computational models encoded using various high-level
modelling formalisms because it is defined relative to time series data and not
the models used to generate it. In addition, the methodology can be automatically
adapted to case study specific types of spatial structures and properties using
the spatio-temporal meta model checking concept. To automate the computational
model verification process we have implemented the model checking approach in the
software tool Mule (http://mule.modelchecking.org). Its applicability is
illustrated against four systems biology computational models previously
published in the literature encoding the rat cardiovascular system dynamics, the
uterine contractions of labour, the Xenopus laevis cell cycle and the acute
inflammation of the gut and lung. Our methodology and software will enable
computational biologists to efficiently develop reliable multilevel computational
models of biological systems.
PMID- 27187181
TI - Fetal brain imaging in isolated congenital heart defects - a systematic review
and meta-analysis.
AB - Congenital heart defects (CHDs) are associated with neurodevelopmental (ND)
delay. This study aims to assess evidence for impaired prenatal brain
development, in fetuses with CHD. A systematical search was performed, and 34
studies evaluating the fetal brain [magnetic resonance imaging (MRI) or
ultrasound] in isolated CHD were included (1990-2015). Data regarding cerebral
abnormalities, head circumference growth and middle cerebral artery flow were
extracted. Prenatal MRI was studied in ten articles (445 fetuses), resulting in a
pooled prevalence of 18% (95%CI -6%; 42%) for combined structural and acquired
cerebral abnormalities. Prenatal head circumference was studied in 13 articles
(753 fetuses), resulting in a pooled z-score of -0.51 (95%CI -0.84; -0.18).
Doppler was studied in 21 articles (1412 fetuses), resulting in a lower middle
cerebral artery pulsatility index (z-score -0.70 95%CI -0.99; -0.41) in left
sided CHD only. We conclude that prenatal MRI and ultrasound demonstrate brain
abnormalities, delay in head growth and brainsparing in subgroups of CHD.
However, large MRI studies are scarce, and ultrasound data are biased towards
severe and left-sided CHD. Long-term follow-up studies correlating prenatal
findings with postnatal ND outcome are limited, and data are lacking to support
counseling families regarding ND outcome based on prenatal findings suggestive of
altered brain development. (c) 2016 John Wiley & Sons, Ltd.
PMID- 27187180
TI - A Miniaturized Screen of a Schistosoma mansoni Serotonergic G Protein-Coupled
Receptor Identifies Novel Classes of Parasite-Selective Inhibitors.
AB - Schistosomiasis is a tropical parasitic disease afflicting ~200 million people
worldwide and current therapy depends on a single drug (praziquantel) which
exhibits several non-optimal features. These shortcomings underpin the need for
next generation anthelmintics, but the process of validating physiologically
relevant targets ('target selection') and pharmacologically profiling them is
challenging. Remarkably, even though over a quarter of current human therapeutics
target rhodopsin-like G protein coupled receptors (GPCRs), no library screen of a
flatworm GPCR has yet been reported. Here, we have pharmacologically profiled a
schistosome serotonergic GPCR (Sm.5HTR) implicated as a downstream modulator of
PZQ efficacy, in a miniaturized screening assay compatible with high content
screening. This approach employs a split luciferase based biosensor sensitive to
cellular cAMP levels that resolves the proximal kinetics of GPCR modulation in
intact cells. Data evidence a divergent pharmacological signature between the
parasitic serotonergic receptor and the closest human GPCR homolog (Hs.5HTR7),
supporting the feasibility of optimizing parasitic selective pharmacophores. New
ligands, and chemical series, with potency and selectivity for Sm.5HTR over
Hs.5HTR7 are identified in vitro and validated for in vivo efficacy against
schistosomules and adult worms. Sm.5HTR also displayed a property resembling
irreversible inactivation, a phenomenon discovered at Hs.5HTR7, which enhances
the appeal of this abundantly expressed parasite GPCR as a target for
anthelmintic ligand design. Overall, these data underscore the feasibility of
profiling flatworm GPCRs in a high throughput screening format competent to
resolve different classes of GPCR modulators. Further, these data underscore the
promise of Sm.5HTR as a chemotherapeutically vulnerable node for development of
next generation anthelmintics.
PMID- 27187183
TI - Penile ulcers complicating systemic AL amyloidosis: a case report.
PMID- 27187182
TI - A High Phosphorus Diet Affects Lipid Metabolism in Rat Liver: A DNA Microarray
Analysis.
AB - A high phosphorus (HP) diet causes disorders of renal function, bone metabolism,
and vascular function. We previously demonstrated that DNA microarray analysis is
an appropriate method to comprehensively evaluate the effects of a HP diet on
kidney dysfunction such as calcification, fibrillization, and inflammation. We
reported that type IIb sodium-dependent phosphate transporter is significantly up
regulated in this context. In the present study, we performed DNA microarray
analysis to investigate the effects of a HP diet on the liver, which plays a
pivotal role in energy metabolism. DNA microarray analysis was performed with
total RNA isolated from the livers of rats fed a control diet (containing 0.3%
phosphorus) or a HP diet (containing 1.2% phosphorus). Gene Ontology analysis of
differentially expressed genes (DEGs) revealed that the HP diet induced down
regulation of genes involved in hepatic amino acid catabolism and lipogenesis,
while genes related to fatty acid beta-oxidation process were up-regulated.
Although genes related to fatty acid biosynthesis were down-regulated in HP diet
fed rats, genes important for the elongation and desaturation reactions of omega
3 and -6 fatty acids were up-regulated. Concentrations of hepatic arachidonic
acid and eicosapentaenoic acid were increased in HP diet-fed rats. These
essential fatty acids activate peroxisome proliferator-activated receptor alpha
(PPARalpha), a transcription factor for fatty acid beta-oxidation. Evaluation of
the upstream regulators of DEGs using Ingenuity Pathway Analysis indicated that
PPARalpha was activated in the livers of HP diet-fed rats. Furthermore, the serum
concentration of fibroblast growth factor 21, a hormone secreted from the liver
that promotes fatty acid utilization in adipose tissue as a PPARalpha target
gene, was higher (p = 0.054) in HP diet-fed rats than in control diet-fed rats.
These data suggest that a HP diet enhances energy expenditure through the
utilization of free fatty acids released via lipolysis of white adipose tissue.
PMID- 27187185
TI - Exploration of the Independent and Joint Influences of Social Norms and Drinking
Motives on Korean College Students' Alcohol Consumption.
AB - Understanding what types of social norms are more or less influential and how
they operate with respect to other psychological variables can provide valuable
insights into the design of effective social norm campaigns. To this end, this
study explores the roles of two types of social norms (injunctive norms and
descriptive norms) and two types of drinking motives (social motives and
conformity motives) in collegiate drinking behavior in South Korea. A survey of
Korean college students (N = 569) revealed significant positive effects of
injunctive norms, descriptive norms, and social motives on students' drinking
frequency and quantity. Conformity motives were positively related to the
frequency of drinking but negatively related to the quantity of drinking.
Furthermore, the results revealed significant effects of the interactions between
descriptive norms and social motives (on both the frequency and quantity of
alcohol consumption), such that the positive effect of descriptive norms was more
pronounced among individuals with stronger social motives. A similar pattern was
observed in the interaction between injunctive norms and conformity motives (on
frequency). Theoretical and practical implications of the findings for social
norm campaigns seeking to curb drinking among college students are discussed.
PMID- 27187184
TI - Hyperoxia-mediated LC3B activation contributes to the impaired
transdifferentiation of type II alveolar epithelial cells (AECIIs) to type I
cells (AECIs).
AB - Life-saving mechanical ventilation can also cause lung injury through the
overproduction of reactive oxygen species (ROS), leading to bronchopulmonary
dysplasia (BPD)-like symptoms in preterm infants. It is reported that the
autophagic protein microtubule-associated protein-1 light chain (LC)-3B can
confer protection against hyperoxia-induced DNA damage in lung alveolar
epithelium. However, its role in the transdifferentiation of type II alveolar
epithelial cells (AECIIs) to type I cells (AECIs) is unclear and requires further
investigation. In this study, newborn Sprague-Dawley rats were exposed to 90%
oxygen for up to 14 days to mimic BPD in human infants, with neonatal pups
exposed to room air (21% oxygen) as controls. Primary rat AECIIs were cultured
under hyperoxic conditions for up to 24 hours to further investigate the
underlying mechanisms. This study found that hyperoxia promoted a significant and
time-dependent increase of AECII marker surfactant protein (SP)-C in the lung.
The increase of AECI marker T1alpha was repressed by hyperoxia during lung
development. These results indicated an impaired AECII transdifferentiation.
Pulmonary ROS concentration and expression of autophagic protein LC-3B were
increased gradually in response to hyperoxia exposure. Furthermore, AECIIs
produced more ROS when cultured under hyperoxic conditions in vitro. Both the
LC3B expression and the conversion from LC3BI to LC3BII were enhanced in
hyperoxic AECs. Interestingly, inhibition of LC3B either by ROS inhibitor N
acetyl-l-cysteine (NAC) or adenovirus-mediated LC3B shRNA could partly restore
AECII transdifferentiation under hyperoxia condition. In summary, the current
study reveals a novel role of activated LC3B induced by hyperoxia in AECII
transdifferentiation.
PMID- 27187186
TI - A bioengineered drug-Eluting scaffold accelerated cutaneous wound healing In
diabetic mice.
AB - Hyperglycemia in diabetic patients can greatly hinder the wound healing process.
In this study we investigated if the engagement of F4/80(+) murine macrophages
could accelerate the cutaneous wound healing in streptozotocin induced diabetic
mice. To facilitate the engagement of macrophages, we engineered a drug-eluting
electrospun scaffold with a payload of monocyte chemoattractant protein-1 (MCP
1). MCP-1 could be readily released from the scaffold within 3 days. The
electrospun scaffold showed no cytotoxic effects on human keratinocytes in vitro.
Full-thickness excisional cutaneous wound was created in diabetic mice. The wound
fully recovered within 10 days in mice treated with the drug-eluting scaffold. In
contrast, the wound took 14 days to fully recover in control groups. The use of
drug-eluting scaffold also improved the re-epithelialization. Furthermore, we
observed a larger population of F4/80(+) macrophages in the wound bed of mice
treated with drug-eluting scaffolds on day 3. This marked increase of macrophages
in the wound bed could have contributed to the accelerated wound healing. Our
study shed new light on an immuno-engineering solution for wound healing
management in diabetic patients.
PMID- 27187187
TI - Amphipathic silica nanoparticles induce cytotoxicity through oxidative stress
mediated and p53 dependent apoptosis pathway in human liver cell line HL-7702 and
rat liver cell line BRL-3A.
AB - The aim of this study was to evaluate the potential cytotoxicity and the
underlying mechanism of amphipathic silica nanoparticles (SiO2 NPs) exposure to
human normal liver HL-7702 cells and rat normal liver BRL-3A cells. Prior to the
cellular studies, transmission electron microscopy (TEM), dynamic light
scattering (DLS), and X ray diffraction (XRD) were used to characterize SiO2 NPs,
which proved the amorphous nature of SiO2 NPs with TEM diameter of 19.8+/-2.7nm.
Further studies proved that exposure to SiO2 NPs dose-dependently induced
cytotoxicity as revealed by cell counting kit (CCK-8) and lactate dehydrogenase
(LDH) assays, with more severe cytotoxicity in HL-7702 cells than BRL-3A cells.
Reactive oxygen species (ROS) and glutathione (GSH) assays showed elevated
oxidative stress in both cells. Morphological studies by microscopic observation,
Hochest 33258 and AO/EB staining indicated significant apoptotic changes after
the cells being exposed to SiO2 NPs. Further studies by western blot indicated
that SiO2 NPs exposure to both cells up-regulated p53, Bax and cleaved caspase-3
expression and down-regulated Bcl-2 and caspase-3 levels. Activated caspase-3
activity detected by colorimetric assay kit and caspase-3/7 activity detected by
fluorescent real-time detection kit were significantly increased by SiO2 NPs
exposure. In addition, antioxidant vitamin C significantly attenuated SiO2 NPs
induced caspase-3 activation, which indicated that SiO2 NPs-induced oxidative
stress was involved in the process of HL-7702 and BRL-3A cell apoptosis. Taken
together, these results suggested that SiO2 NPs-induced cytotoxicity in HL-7702
and BRL-3A cells was through oxidative stress mediated and p53, caspase-3 and
Bax/Bcl-2 dependent pathway and HL-7702 cells were more sensitive to SiO2 NPs
induced cytotoxicity than BRL-3A cells.
PMID- 27187188
TI - PEGylated PLGA nanospheres optimized by design of experiments for ocular
administration of dexibuprofen-in vitro, ex vivo and in vivo characterization.
AB - Dexibuprofen-loaded PEGylated PLGA nanospheres have been developed to improve the
biopharmaceutical profile of the anti-inflammatory drug for ocular
administration. Dexibuprofen is the active enantiomer of ibuprofen and therefore
lower doses may be applied to achieve the same therapeutic level. According to
this, two batches of nanospheres of different drug concentrations, 0.5 and
1.0mg/ml respectively, have been developed (the latter corresponding to the
therapeutic ibuprofen concentration for inflammatory eye diseases). Both batches
were composed of negatively charged nanospheres (--14.1 and --15.9mV), with a
mean particle size below 200nm, and a high encapsulation efficiency (99%). X-ray,
FTIR, and DSC analyses confirmed that the drug was dispersed inside the matrix of
the nanospheres. While the in vitro release profile was sustained up to 12h, the
ex vivo corneal and scleral permeation profile demonstrated higher drug retention
and permeation in the corneal tissue rather than in the sclera. These results
were also confirmed by the quantification of dexibuprofen in ocular tissues after
the in vivo administration of drug-loaded nanospheres. Cell viability studies
confirmed that PEGylated-PLGA nanospheres were less cytotoxic than free
dexibuprofen in the majority of the tested concentrations. Ocular in vitro (HET
CAM test) and in vivo (Draize test) tolerance assays demonstrated the non
irritant character of both nanosphere batches. In vivo anti-inflammatory effects
were evaluated in albino rabbits before and after inflammation induction. Both
batches confirmed to be effective to treat and prevent ocular inflammation.
PMID- 27187189
TI - Crossing the blood-brain-barrier with transferrin conjugated carbon dots: A
zebrafish model study.
AB - Drug delivery to the central nervous system (CNS) in biological systems remains a
major medical challenge due to the tight junctions between endothelial cells
known as the blood-brain-barrier (BBB). Here we use a zebrafish model to explore
the possibility of using transferrin-conjugated carbon dots (C-Dots) to ferry
compounds across the BBB. C-Dots have previously been reported to inhibit protein
fibrillation, and they are also used to deliver drugs for disease treatment. In
terms of the potential medical application of C-Dots for the treatment of CNS
diseases, one of the most formidable challenges is how to deliver them inside the
CNS. To achieve this in this study, human transferrin was covalently conjugated
to C-Dots. The conjugates were then injected into the vasculature of zebrafish to
examine the possibility of crossing the BBB in vivo via transferrin receptor
mediated endocytosis. The experimental observations suggest that the transferrin
C-Dots can enter the CNS while C-Dots alone cannot.
PMID- 27187190
TI - Synthesis of amino-rich silica-coated magnetic nanoparticles for the efficient
capture of DNA for PCR.
AB - Magnetic separation has great advantages over traditional bio-separation methods
and has become popular in the development of methods for the detection of
bacterial pathogens, viruses, and transgenic crops. Functionalization of magnetic
nanoparticles is a key factor for efficient capture of the target analytes. In
this paper, we report the synthesis of amino-rich silica-coated magnetic
nanoparticles using a one-pot method. This type of magnetic nanoparticle has a
rough surface and a higher density of amino groups than the nanoparticles
prepared by a post-modification method. Furthermore, the results of hydrochloric
acid treatment indicated that the magnetic nanoparticles were stably coated. The
developed amino-rich silica-coated magnetic nanoparticles were used to directly
adsorb DNA. After magnetic separation and blocking, the magnetic nanoparticles
and DNA complexes were used directly for the polymerase chain reaction (PCR),
without onerous and time-consuming purification and elution steps. The results of
real-time quantitative PCR showed that the nanoparticles with higher amino group
density resulted in improved DNA capture efficiency. The results suggest that
amino-rich silica-coated magnetic nanoparticles are of great potential for
efficient bio-separation of DNA prior to detection by PCR.
PMID- 27187191
TI - Development and characterization of a pseudo multiple reaction monitoring method
for the quantification of human uromodulin in urine.
AB - BACKGROUND: Uromodulin is the most abundant protein in healthy human urine.
Recently it has been suggested as a specific biomarker of renal tubular damage.
We have developed a novel pseudo multiple reaction monitoring (pseudo MRM) for
the protein's quantification in human urine. RESULTS: Selection of two peptides
allowed quantification of uromodulin in human urine. The pseudo MRM quantified
uromodulin in healthy individuals between 21 and 1344 nM and in autosomal
dominant tubulointerstitial kidney disease-UMOD patients between 2 and 25 nM.
CONCLUSION: The pseudo MRM allows greater confidence in assay specificity than
traditional MRM methods and quantified uromodulin at concentrations higher than
achievable by ELISA. Differences in urinary uromodulin concentration related to
the rs4293393 promoter variant in the UMOD gene was confirmed. This method will
be used to further investigate uromodulin as a biomarker of renal injury.
PMID- 27187192
TI - Seasonal Succession of Fungi Associated with Ips typographus Beetles and Their
Phoretic Mites in an Outbreak Region of Finland.
AB - The ophiostomatoid fungi (Microascales and Ophiostomatales, Ascomycota) are
common associates of Ips typographus, and include tree pathogens and species
responsible for blue-stain of timber. Fungal assemblages associated with I.
typographus have varied considerably between studies but few investigations have
attempted to explain this variation. For this reason, we assessed the overall
cultivable fungal diversity associated with I. typographus in a storm-felled
spruce forest in south-eastern Finland. Fungi were isolated from the individually
collected beetles as well as their phoretic mites in spring, summer and autumn,
including different life stages of the beetle (hibernation, dispersal flight and
first generation). The internal transcribed spacer (ITS) gene region was used to
identify the fungi. A total of 32 operational taxonomic units (OTUs) were found
and these resided in four fungal phyla/subphyla (24 Ascomycota, 2 Basidiomycota,
5 Mucoromycotina, 1 Mortierellomycotina) in association with adult bark beetles.
Ophiostomatoid species were the most commonly detected fungal associates. A
generalized linear model analysis showed a clear association between fungal
communities and season, indicating seasonal succession among I. typographus
associated fungi. The season of sampling appears to be an important factor that
has resulted in inconsistencies between results in previous studies. Many of
these fungi were also found on phoretic mites and their presence or absence could
have influenced variation in patterns of association.
PMID- 27187193
TI - CD59: A long-known complement inhibitor has advanced to a blood group system.
AB - The blood group system number 35 is based on CD59, a 20-kDa membrane glycoprotein
present on a large number of different cells, including erythrocytes. The major
function of CD59 is to protect cells from complement attack. CD59 binds to
complement components CS and C9 and prevents the polymerization of C9, which is
required for the formation of the membrane attack complex (MAC). Other functions
of CD59 in cellular immunity are less well defined. CD59 is inserted into the
membrane by a glycosylphosphatidylinositol (GPI) anchor. A defect of this anchor
causes lack of this protein from the cell membrane, which leads to an enhanced
sensitivity towards complement attack. Patients with paroxysmal nocturnal
hemoglobinuria (PNH) harbor a varying percentage of red blood cell clones with a
defect in GPI-anchored proteins, including CD59. The most characteristic symptoms
of this disease are episodes of hemolysis and thromboses. Although CD59 has been
classified as a membrane protein for more than 25 years, an alloantibody directed
against CD59 was found only recently. So far, the first and sole alloantibody
described was detected in a CD59-deficient child. In 2014, CD59 received the
status of a blood group system by the International Society for Blood Transfusion
Red Cell Immunogenetics and Blood Group Terminology Working Party. Among a
variety of almost 20 synonyms, the designation CD59 was chosen for the blood
group system and CD59.l for the wild-type protein. The only three alleles
published to date are null alleles. All CD59-deficient individuals recognized so
far were severely ill, two of whom have died. Most of the reported cases present
with a typical clinical picture within the first year of life that includes
neuropathy, strokes, and mild Coombs-negative hemolysis. In one published case,
the application of the complement inhibitor eculizumab caused a pronounced
improvement of the clinical situation.
PMID- 27187194
TI - Recovery of autologous reticulocytes by microhematocrit cell separation.
AB - Reticulocytes can be separated from more mature red blood cells based on
differences in density. A method for obtaining autologous reticulocytes in
ethylenediaminetetraacetic acid (EDTA) whole blood samples containing both
autologous and transfused cells uses a microhematocrit centrifuge. The less dense
reticulocytes harvested from the top 5 mm of microhematocrit tubes can be used to
determine the patient's phenotype or assess whether a transfusion reaction is
taking place. This method can be performed using equipment, reagents, and
supplies readily available in most laboratories.
PMID- 27187195
TI - Transfusion-related acute lung injury in an era of TRALI risk mitigation.
AB - Transfusion-related acute lung injury (TRALI) is a rare complication of
transfusion, for which the true incidence remains obscure, since there are a
number of factors that may lead to misdiagnosis. Despite this, it continues to be
the leading cause of transfusion-associated mortality. Here we present a
historical case of TRALI in an elderly female who received group AB plasma and
discuss how current mitigation strategies would likely have prevented its
occurrence. It is important to remember that both immune and non-immune factors
play a role in TRALI pathogenesis, and although current preventative strategies
may decrease TRALI's incidence, they likely will not eliminate it.
PMID- 27187196
TI - Weak D type 67 in four related Canadian blood donors.
AB - Correct donor D typing is critical to prevent recipient alloimmunization. No
method can detect all variants, and the immunogenicity of many variants is
unknown. Routine ABO and D serologic typings are performed in our laboratory by
automated microplate testing. Until 2011, routine confirmation of D- status of
first-time donors was performed by the manual tube indirect antiglobulin test
(IAT); this was replaced by automated solid-phase testing including weak D
testing by IAT. Selected donors are investigated by other methods. We describe
four weak D type 67 (RHD*01W.67) donors whose samples tested as D- by automated
microplate and manual methods but were later determined to be D+ by automated
solid-phase and RHD gene analysis. Solid-phase serologic and molecular typing
results of all four donors were identical. It was identified that the donors are
of English-Irish descent; two are brothers and the others are cousins.
Transfusion of blood from one of these donors likely resulted in alloimmunization
to D in one of three recipients tested since no other documented exposures were
identified. Lookback studies determined that two other D- recipients were not
alloimmunized.
PMID- 27187197
TI - Suspected acute hemolytic transfusion reaction mediated by anti-Di(a).
AB - Anti-Di(a) can mediate hemolytic disease of the fetus and newborn, but it is
unclear if it can cause hemolytic transfusion reactions (HTRs). To date, there
has only been one report of a possible immediate HTR attributed to anti-Di(a).
Our case report details an immediate HTR due to anti-Di(a) in a patient with pre
existing liver failure. This reaction triggered multi-organ failure, and the
patient subsequently died. This case also highlights the importance of
considering HTRs even when routine antibody screening has been unremarkable,
particularly when electronic crossmatch is used, because of the potential for an
alloantibody against a low-prevalence antigen.
PMID- 27187198
TI - Red cell antigen prevalence predicted by molecular testing in ethnic groups of
South Texas blood donors.
AB - Alloimmunization to red blood cell antigens is seen in patients receiving chronic
blood transfusion. Knowing the prevalence of blood group antigens of the
different ethnicities of South Texas donors can provide better management of rare
blood inventory for patients in this geographical area. A total of 4369 blood
donors were tested and analyzed for various antigens in the following blood group
systems: ABO, Rh, Kell, Duffy, Kidd, MNS, Lutheran, Dombrock, Landsteiner-Wiener,
Diego, Colton, and Scianna. Donors tested to be group 0 or A were serologically
tested for the Rh (C, E, c, e) antigens. Those that tested as presumably R1R1,
R2R2, or Ror were then genotyped. Donors constituted three major ethnicities:
black (18.3%), Hispanic (36.3%), and Caucasian (41.1%); ethnicities comprised of
Asian, American Indian, multiracial, and other accounted for the remaining donors
(4.3%). The most likely common Rh phenotype for each ethnicity is as follows:
black -Ror (44.4%), Hispanic -R1R1 (59.0%), and Caucasian -R1R1 (38.9%). The
prevalence of Kell, Duffy, and Kidd blood group system antigens in black and
Caucasian donors is comparable with published reports for the entire U.S. The
black South Texas donor population had an 8.8 percent increase in prevalence of
the Fy(a+b-) phenotype as compared with these published reports; the Hispanic
South Texas donor population had a prevalence of 36.1 percent of the Fy(a+b-)
phenotype. Regarding the Diego blood group system, the Hispanic donor population
in South Texas had a prevalence of 93.5 percent for the Di(a-b+) phenotype as
compared with published reports for the entire U.S. (>99.9%). The Hispanic
population had a prevalence of 7.9 percent of donors testing as M-N+S-s+ as
compared with 20.2 percent and 15.6 percent for black and Caucasian donors,
respectively. This study helped us determine the prevalence of each of the blood
group antigens in the South Texas donor population to establish and maintain
adequate rare inventory of each. Molecular red blood cell genotyping allows
transfusion services to increase their availability of rare phenotypes for
chronically transfused patients.
PMID- 27187199
TI - Pioneering surgery for retinal detachment in Australasia: a review.
AB - In the 1930s there was excitement worldwide with the realization that Jules
Gonin's observation that closing the retinal break was the key to successful
retinal re-attachment surgery. Australians and New Zealanders kept pace with
these developments despite the limitations of travel and communication in the
1930s. Dr J. Bruce Hamilton of Tasmania was training at Moorfields Eye Hospital
in 1930 and was exposed to the surgical technique espoused by Gonin in its first
year of being adopted in Britain. Walter Lockhart Gibson was the first in
Australasia to report a successful retinal re-attachment operation in 1931. In
the 1960s Australians made two significant contributions to retinal surgery: the
Schultz-Crock portable indirect ophthalmoscope and the first instrument for
closed pars plana vitrectomy. The latter was developed at the Royal Victorian Eye
and Ear Hospital by Dr Jean-Marie Parel and Professor Gerard Crock, in
association with Dr Robert Machemer, and it was used by Machemer when he carried
out the world's first closed pars plana vitrectomy in 1971.
PMID- 27187201
TI - Top-down analysis of protein samples by de novo sequencing techniques.
AB - MOTIVATION: Recent technological advances have made high-resolution mass
spectrometers affordable to many laboratories, thus boosting rapid development of
top-down mass spectrometry, and implying a need in efficient methods for
analyzing this kind of data. RESULTS: We describe a method for analysis of
protein samples from top-down tandem mass spectrometry data, which capitalizes on
de novo sequencing of fragments of the proteins present in the sample. Our
algorithm takes as input a set of de novo amino acid strings derived from the
given mass spectra using the recently proposed Twister approach, and combines
them into aggregated strings endowed with offsets. The former typically
constitute accurate sequence fragments of sufficiently well-represented proteins
from the sample being analyzed, while the latter indicate their location in the
protein sequence, and also bear information on post-translational modifications
and fragmentation patterns. AVAILABILITY AND IMPLEMENTATION: Freely available on
the web at http://bioinf.spbau.ru/en/twister CONTACT: vyatkina@spbau.ru or
ppevzner@ucsd.edu SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 27187202
TI - Calculating and scoring high quality multiple flexible protein structure
alignments.
AB - MOTIVATION: Calculating multiple protein structure alignments (MSAs) is important
for understanding functional and evolutionary relationships between protein
families, and for modeling protein structures by homology. While incorporating
backbone flexibility promises to circumvent many of the limitations of rigid MSA
algorithms, very few flexible MSA algorithms exist today. This article describes
several novel improvements to the Kpax algorithm which allow high quality
flexible MSAs to be calculated. This article also introduces a new Gaussian-based
MSA quality measure called 'M-score', which circumvents the pitfalls of RMSD
based quality measures. RESULTS: As well as calculating flexible MSAs, the new
version of Kpax can also score MSAs from other aligners and from previously
aligned reference datasets. Results are presented for a large-scale evaluation of
the Homstrad, SABmark and SISY benchmark sets using Kpax and Matt as examples of
state-of-the-art flexible aligners and 3DCOMB as an example of a state-of-the-art
rigid aligner. These results demonstrate the utility of the M-score as a measure
of MSA quality and show that high quality MSAs may be achieved when structural
flexibility is properly taken into account. AVAILABILITY AND IMPLEMENTATION: Kpax
5.0 may be downloaded for academic use at http://kpax.loria.fr/ CONTACT:
dave.ritchie@inria.fr SUPPLEMENTARY INFORMATION: Supplementary data are available
at Bioinformatics online.
PMID- 27187200
TI - A two-part mixed-effects model for analyzing longitudinal microbiome
compositional data.
AB - MOTIVATION: The human microbial communities are associated with many human
diseases such as obesity, diabetes and inflammatory bowel disease. High
throughput sequencing technology has been widely used to quantify the microbial
composition in order to understand its impacts on human health. Longitudinal
measurements of microbial communities are commonly obtained in many microbiome
studies. A key question in such microbiome studies is to identify the microbes
that are associated with clinical outcomes or environmental factors. However,
microbiome compositional data are highly skewed, bounded in [0,1), and often
sparse with many zeros. In addition, the observations from repeated measures in
longitudinal studies are correlated. A method that takes into account these
features is needed for association analysis in longitudinal microbiome data.
RESULTS: In this paper, we propose a two-part zero-inflated Beta regression model
with random effects (ZIBR) for testing the association between microbial
abundance and clinical covariates for longitudinal microbiome data. The model
includes a logistic regression component to model presence/absence of a microbe
in the samples and a Beta regression component to model non-zero microbial
abundance, where each component includes a random effect to account for the
correlations among the repeated measurements on the same subject. Both simulation
studies and the application to real microbiome data have shown that ZIBR model
outperformed the previously used methods. The method provides a useful tool for
identifying the relevant taxa based on longitudinal or repeated measures in
microbiome research. AVAILABILITY AND IMPLEMENTATION:
https://github.com/chvlyl/ZIBR CONTACT: hongzhe@upenn.edu.
PMID- 27187203
TI - A simple yet accurate correction for winner's curse can predict signals
discovered in much larger genome scans.
AB - MOTIVATION: For genetic studies, statistically significant variants explain far
less trait variance than 'sub-threshold' association signals. To dimension follow
up studies, researchers need to accurately estimate 'true' effect sizes at each
SNP, e.g. the true mean of odds ratios (ORs)/regression coefficients (RRs) or Z
score noncentralities. Naive estimates of effect sizes incur winner's curse
biases, which are reduced only by laborious winner's curse adjustments (WCAs).
Given that Z-scores estimates can be theoretically translated on other scales, we
propose a simple method to compute WCA for Z-scores, i.e. their true
means/noncentralities. RESULTS: WCA of Z-scores shrinks these towards zero while,
on P-value scale, multiple testing adjustment (MTA) shrinks P-values toward one,
which corresponds to the zero Z-score value. Thus, WCA on Z-scores scale is a
proxy for MTA on P-value scale. Therefore, to estimate Z-score noncentralities
for all SNPs in genome scans, we propose F: DR I: nverse Q: uantile T:
ransformation (FIQT). It (i) performs the simpler MTA of P-values using FDR and
(ii) obtains noncentralities by back-transforming MTA P-values on Z-score scale.
When compared to competitors, realistic simulations suggest that FIQT is more (i)
accurate and (ii) computationally efficient by orders of magnitude. Practical
application of FIQT to Psychiatric Genetic Consortium schizophrenia cohort
predicts a non-trivial fraction of sub-threshold signals which become significant
in much larger supersamples. CONCLUSIONS: FIQT is a simple, yet accurate, WCA
method for Z-scores (and ORs/RRs, via simple transformations). AVAILABILITY AND
IMPLEMENTATION: A 10 lines R function implementation is available at
https://github.com/bacanusa/FIQT CONTACT: sabacanu@vcu.edu SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 27187204
TI - seqlm: an MDL based method for identifying differentially methylated regions in
high density methylation array data.
AB - MOTIVATION: One of the main goals of large scale methylation studies is to detect
differentially methylated loci. One way is to approach this problem sitewise,
i.e. to find differentially methylated positions (DMPs). However, it has been
shown that methylation is regulated in longer genomic regions. So it is more
desirable to identify differentially methylated regions (DMRs) instead of DMPs.
The new high coverage arrays, like Illuminas 450k platform, make it possible at a
reasonable cost. Few tools exist for DMR identification from this type of data,
but there is no standard approach. RESULTS: We propose a novel method for DMR
identification that detects the region boundaries according to the minimum
description length (MDL) principle, essentially solving the problem of model
selection. The significance of the regions is established using linear mixed
models. Using both simulated and large publicly available methylation datasets,
we compare seqlm performance to alternative approaches. We demonstrate that it is
both more sensitive and specific than competing methods. This is achieved with
minimal parameter tuning and, surprisingly, quickest running time of all the
tried methods. Finally, we show that the regional differential methylation
patterns identified on sparse array data are confirmed by higher resolution
sequencing approaches. AVAILABILITY AND IMPLEMENTATION: The methods have been
implemented in R package seqlm that is available through Github:
https://github.com/raivokolde/seqlm CONTACT: rkolde@gmail.com SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 27187205
TI - PRESS: PRotEin S-Sulfenylation server.
AB - MOTIVATION: Transient S-sulfenylation of cysteine thiols mediated by reactive
oxygen species plays a critical role in pathology, physiology and cell signaling.
Therefore, discovery of new S-sulfenylated sites in proteins is of great
importance towards understanding how protein function is regulated upon redox
conditions. RESULTS: We developed PRESS (PRotEin S-Sulfenylation) web server, a
server which can effectively predict the cysteine thiols of a protein that could
undergo S-sulfenylation under redox conditions. We envisage that this server will
boost and facilitate the discovery of new and currently unknown functions of
proteins triggered upon redox conditions, signal regulation and transduction,
thus uncovering the role of S-sulfenylation in human health and disease.
AVAILABILITY AND IMPLEMENTATION: The PRESS web server is freely available at
http://press-sulfenylation.cse.uoi.gr/ CONTACTS: agtzakos@gmail.com or
gtzortzi@cs.uoi.gr SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 27187206
TI - SoFIA: a data integration framework for annotating high-throughput datasets.
AB - MOTIVATION: Integrating heterogeneous datasets from several sources is a common
bioinformatics task that often requires implementing a complex workflow
intermixing database access, data filtering, format conversions, identifier
mapping, among further diverse operations. Data integration is especially
important when annotating next generation sequencing data, where a multitude of
diverse tools and heterogeneous databases can be used to provide a large variety
of annotation for genomic locations, such a single nucleotide variants or genes.
Each tool and data source is potentially useful for a given project and often
more than one are used in parallel for the same purpose. However, software that
always produces all available data is difficult to maintain and quickly leads to
an excess of data, creating an information overload rather than the desired goal
oriented and integrated result. RESULTS: We present SoFIA, a framework for
workflow-driven data integration with a focus on genomic annotation. SoFIA
conceptualizes workflow templates as comprehensive workflows that cover as many
data integration operations as possible in a given domain. However, these
templates are not intended to be executed as a whole; instead, when given an
integration task consisting of a set of input data and a set of desired output
data, SoFIA derives a minimal workflow that completes the task. These workflows
are typically fast and create exactly the information a user wants without
requiring them to do any implementation work. Using a comprehensive genome
annotation template, we highlight the flexibility, extensibility and power of the
framework using real-life case studies. AVAILABILITY AND IMPLEMENTATION:
https://github.com/childsish/sofia/releases/latest under the GNU General Public
License CONTACT: liam.childs@hu-berlin.de SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 27187207
TI - Sequential Photo-oxidative [3 + 2] Cycloaddition/Oxidative Aromatization
Reactions for the Synthesis of Pyrrolo[2,1-a]isoquinolines Using Molecular Oxygen
as the Terminal Oxidant.
AB - We report an efficient method for the synthesis of pyrrolo[2,1-a]isoquinoline
derivatives using sequential [3 + 2] cycloaddition/oxidative aromatization
reactions catalyzed by methylene blue with fluorescent light irradiation under an
oxygen atmosphere. The products were obtained in moderate to good yields.
PMID- 27187208
TI - A First-Principles Approach to the Dynamics and Electronic Properties of p
Nitroaniline in Water.
AB - Born-Oppenheimer molecular dynamics of p-nitroaniline (PNA) in water was carried
out and the electronic structure was investigated by time-dependent density
functional theory. Hydrogen bonding involving the PNA nitro and amine groups and
the water molecules leads to an ~160 cm(-1) red shift of the nu(N-O) and nu(N-H)
stretching frequencies relative to the gas phase species. Our estimate for the
peak position of the charge transfer (CT) band in the absorption spectrum of PNA
in water (~3.5 eV) is in good agreement with experimental data (3.3 eV). We have
investigated the specific role played by local hydrogen bonding and electrostatic
interactions on the electronic absorption spectrum. It is shown that although
electrostatic interactions play a major role for explaining the structure of the
PNA CT band in water, the theoretical prediction of the observed red shift is
improved by the explicit consideration of local hydrogen bonding of PNA to water.
For isolated PNA, we predict that the dipole moment of the second excited state
(S2) is 9.6 D greater than ground state (S0) dipole, which is in good agreement
with experimental information (8.2-9.3 D). Calculation of charge transfer indexes
for the two first excitations of PNA in water indicates that despite the feature
that a small fraction of S1 states (<5%) may exhibit some CT character, CT states
in solution are mainly associated with S2 <- S0 transitions.
PMID- 27187210
TI - Modifier cation effects on (29)Si nuclear shielding anisotropies in silicate
glasses.
AB - We have examined variations in the (29)Si nuclear shielding tensor parameters of
SiO4 tetrahedra in a series of seven alkali and alkaline earth silicate glass
compositions, Cs2O.4.81 SiO2, Rb2O.3.96 SiO2, Rb2O.2.25 SiO2, K2O.4.48 SiO2,
Na2O.4.74 SiO2, BaO.2.64 SiO2, and SrO.2.36 SiO2, using natural abundance (29)Si
two-dimensional magic-angle flipping (MAF) experiments. Our analyses of these 2D
spectra reveal a linear dependence of the (29)Si nuclear shielding anisotropy of
Q((3)) sites on the Si-non-bridging oxygen bond length, which in turn depends on
the cation potential and coordination of modifier cations to the non-bridging
oxygen. We also demonstrate how a combination of Cu(2+) as a paramagnetic dopant
combined with echo train acquisition can reduce the total experiment time of
(29)Si 2D NMR measurements by two orders of magnitude, enabling higher throughput
2D NMR studies of glass structure.
PMID- 27187209
TI - Multicenter Survey of Current Practice Patterns in Perfusion MRI in
Neuroradiology: Why, When, and How Is It Performed?
AB - OBJECTIVE: Perfusion MRI is an advanced imaging technique with a number of
potential neuroradiologic applications. However, there are few guidelines about
the application of perfusion MRI in clinical practice. The purpose of this study
was to assess when and how perfusion MRI is performed across national and
international practices. MATERIALS AND METHODS: An international survey was
conducted through the American Society of Neuroradiology e-mail list. The survey
included questions relating to demographics, whether perfusion MRI was offered,
technical details, reporting, and reimbursement. RESULTS: Most (81.0%) of the
practices responding offered perfusion MRI; this proportion increases to 94.3%
among academic and 95.3% among large practices. Intraaxial tumor, stroke, and
arterial stenosis are the most frequent reasons for offering perfusion imaging.
Most practices offer perfusion imaging on the basis of the judgment of the
referring physician or person writing the protocol for the study, or they offer
it for all intraaxial tumors. The most frequent method is dynamic susceptibility
contrast-enhanced MRI (86.8%) followed by dynamic contrast-enhanced MRI (40.7%)
and arterial spin-labeling MRI (34.8%). A minority (22.7%) of practices seek
reimbursement for perfusion MRI. Most of the practices provide quantitative
findings in radiology reports, most frequently cerebral blood volume (92.7%),
cerebral blood (62.9%), and mean transit time (51.0%). CONCLUSION: Despite the
paucity of high-quality trials and guidelines for specific clinical applications,
perfusion MRI is widely used by both academic and private practices. A minority
of practices attempt to obtain reimbursement. This widespread adoption of
perfusion imaging beyond its apparent financial footprint suggests that
practicing radiologists and referring clinicians find value in the technique and
underscores the need for more high-quality trials to solidify understanding of
the role of perfusion MRI.
PMID- 27187211
TI - Revisiting spin-lattice relaxation time measurements for dilute spins in high
resolution solid-state NMR spectroscopy.
AB - Numerous nuclear magnetic resonance (NMR) measurements of spin-lattice relaxation
times (T1S) for dilute spins such as (13)C have led to investigations of the
motional dynamics of individual functional groups in solid materials. In this
work, we revisit the Solomon equations and analyze how the heteronuclear cross
relaxation between the dilute S (e.g. (13)C) and abundant I (e.g. (1)H) spins
affects the measured T1S values in solid-state NMR in the absence of (1)H
saturation during the recovery time. It is found theoretically that at the
beginning of the S spin magnetization recovery, the existence of non-equilibrium
I magnetization introduces the heteronuclear cross relaxation effect onto the
recovery of the S spin magnetization and confirmed experimentally that such a
heteronuclear cross relaxation effect results in the recovery overshoot phenomena
for the dilute spins when T1S is on the same order of T1H, leading to inaccurate
measurements of the T1S values. Even when T1S is ten times larger than T1H, the
heteronuclear cross relaxation effect on the measured T1S values is still
noticeable. Furthermore, this cross relaxation effect on recovery trajectory of
the S spins can be manipulated and even suppressed by preparing the initial I and
S magnetization, so as to obtain the accurate T1S values. A sample of natural
abundance l-isoleucine powder has been used to demonstrate the T1S measurements
and their corresponding measured T1C values under various experimental
conditions.
PMID- 27187212
TI - Shadowing the wandering mind: how understanding the mind-wandering state can
inform our appreciation of conscious experience.
AB - The mind-wandering state illustrates two fundamental aspects of consciousness:
its generative nature, which is reflected by the stimulus-independent content of
thought that occurs when our minds wander; and metacognition, the unique capacity
of the mind to reflect and understand itself. Self-generated thought, which
allows us to consider people and events that are not present in the immediate
environment, and metacognition, allowing us to introspect and report our inner
experiences, are both essential to the scientific study of mind-wandering.
Nevertheless, they also inevitably lead to specific issues that mirror more
general problems in the field of consciousness research. The generative nature of
consciousness makes it difficult to have direct control on the phenomenon, and
the act of introspecting on inner experience has the potential to influence the
state itself. We illustrate how the field of mind-wandering research can overcome
these problems. Its generative nature can be understood by triangulating the
objective measures (such as neural function) with subjective measures of
experience and it can be manipulated indirectly by varying the demands of the
external environment. Furthermore, we describe candidate covert markers for the
mind-wandering state, which allow the phenomenon to be observed without direct
interference, minimizing the concern that instructions to introspect necessarily
change conscious experience. WIREs Cogn Sci 2016, 7:233-246. doi:
10.1002/wcs.1392 For further resources related to this article, please visit the
WIREs website.
PMID- 27187215
TI - Culture, Courage, Competence.
PMID- 27187216
TI - Supporting Our Patients in Understanding the Insurance Conundrum.
PMID- 27187214
TI - Prediction of isometric motor tasks and effort levels based on high-density EMG
in patients with incomplete spinal cord injury.
AB - OBJECTIVE: The development of modern assistive and rehabilitation devices
requires reliable and easy-to-use methods to extract neural information for
control of devices. Group-specific pattern recognition identifiers are influenced
by inter-subject variability. Based on high-density EMG (HD-EMG) maps, our
research group has already shown that inter-subject muscle activation patterns
exist in a population of healthy subjects. The aim of this paper is to analyze
muscle activation patterns associated with four tasks (flexion/extension of the
elbow, and supination/pronation of the forearm) at three different effort levels
in a group of patients with incomplete Spinal Cord Injury (iSCI). APPROACH:
Muscle activation patterns were evaluated by the automatic identification of
these four isometric tasks along with the identification of levels of voluntary
contractions. Two types of classifiers were considered in the identification:
linear discriminant analysis and support vector machine. MAIN RESULTS: Results
show that performance of classification increases when combining features
extracted from intensity and spatial information of HD-EMG maps (accuracy =
97.5%). Moreover, when compared to a population with injuries at different
levels, a lower variability between activation maps was obtained within a group
of patients with similar injury suggesting stronger task-specific and effort
level-specific co-activation patterns, which enable better prediction results.
SIGNIFICANCE: Despite the challenge of identifying both the four tasks and the
three effort levels in patients with iSCI, promising results were obtained which
support the use of HD-EMG features for providing useful information regarding
motion and force intention.
PMID- 27187217
TI - The Role of Shared Decision Making in Patient-Centered Care and Orthopaedics.
AB - Shared decision making (SDM) is gaining momentum within the delivery of patient
centered care. Shared decision making takes into account the findings from
current evidence-based practice, in addition to the patient's values, desires,
and preferences. This article reviews the phases of SDM: patient engagement,
discussion, and decision, in addition to the role SDM plays in the specialty of
orthopaedics.
PMID- 27187213
TI - Giraffe genome sequence reveals clues to its unique morphology and physiology.
AB - The origins of giraffe's imposing stature and associated cardiovascular
adaptations are unknown. Okapi, which lacks these unique features, is giraffe's
closest relative and provides a useful comparison, to identify genetic variation
underlying giraffe's long neck and cardiovascular system. The genomes of giraffe
and okapi were sequenced, and through comparative analyses genes and pathways
were identified that exhibit unique genetic changes and likely contribute to
giraffe's unique features. Some of these genes are in the HOX, NOTCH and FGF
signalling pathways, which regulate both skeletal and cardiovascular development,
suggesting that giraffe's stature and cardiovascular adaptations evolved in
parallel through changes in a small number of genes. Mitochondrial metabolism and
volatile fatty acids transport genes are also evolutionarily diverged in giraffe
and may be related to its unusual diet that includes toxic plants. Unexpectedly,
substantial evolutionary changes have occurred in giraffe and okapi in double
strand break repair and centrosome functions.
PMID- 27187218
TI - The Role of Shared Decision Making in Patient-Centered Care and Orthopaedics.
PMID- 27187220
TI - Management of Acute Lumbar Injuries in the Workplace.
PMID- 27187219
TI - Management of Acute Lumbar Injuries in the Workplace.
AB - Occupational acute lumbar injuries are a common injury. One intervention that is
unique to occupational health is the determination of the amount of physical
activity that an injured worker can perform without increasing the risk of
further injury. Clinical recommendations suggest that workers continue to stay
active; however, it is still the clinician's responsibility to determine the
level of activity. The level of work activity is determined on a case-to-case
basis and is done by evaluating the physical capacity of an injured worker and
the job description. Current evidence-based guidelines suggest that staying
active may actually reduce pain levels. The purpose of this evidence-based
literature review is to outline the proper assessment and management of workers
who have sustained a work-related low back injury. The related literature has
been reviewed as well as red flags for more severe neurological conditions that
require more in-depth evaluation. Determining the safe level of activity and
guided return to work have been discussed.
PMID- 27187221
TI - Establishment and Evaluation of an Anterior Cruciate Ligament Injury Prevention
Program.
AB - BACKGROUND: In female high school athletes, soccer continues to be a high-risk
sport for sustaining an anterior cruciate ligament injury. Literature reviews
indicate the best injury prevention programs (IPPs) focus on increasing core
strength and proprioception in this age group. PURPOSE: An IPP was created for a
small Midwestern high school girls' soccer team. The goal of the study was to
evaluate the effectiveness of the IPP on core strength and proprioception.
METHODS: The IPP incorporated functional movement applied science and exercises.
Students were tested pre- and postseason. Results were analyzed using paired
samples t tests. RESULTS: Statistically significant results in improved core
measures were noted. CONCLUSION: This IPP, which utilized functional movement and
training, could be utilized by other teams for increasing core strength and
proprioception of players, as well as adding to the body of literature utilizing
functional movement.
PMID- 27187222
TI - Establishment and Evaluation of an Anterior Cruciate Ligament Injury Prevention
Program.
PMID- 27187223
TI - Information and Control Preferences and Their Relationship With the Knowledge
Received Among European Joint Arthroplasty Patients.
AB - BACKGROUND: The prevalence of joint arthroplasties is increasing internationally,
putting increased emphasis on patient education. PURPOSE: This study describes
information and control preferences of patients with joint arthroplasty in seven
European countries, and explores their relationships with patients' received
knowledge. METHODS: The data (n = 1,446) were collected during 2009-2012 with the
Krantz Health Opinion Survey and the Received Knowledge of Hospital Patient
scale. RESULTS: European patients with joint arthroplasty had low preferences.
Older patients had less information preferences than younger patients (p =
.0001). In control preferences there were significant relationships with age (p =
.021), employment in healthcare/social services (p = .033), chronic illness (p =
.002), and country (p = .0001). Received knowledge of the patients did not have
any relationships with information preferences. Instead, higher control
preferences were associated with less received knowledge. CONCLUSION: The
relationship between European joint arthroplasty patients' preferences and the
knowledge they have received requires further research.
PMID- 27187224
TI - Blood Transfusion Practices in Patients Undergoing Total Joint Replacement: A
Research Study.
AB - BACKGROUND: Many studies show it is not necessary to transfuse according to a
single hemoglobin level, but rather it is necessary to assess the combination of
signs, symptoms, and laboratory measures. PURPOSE: The purpose of this study was
to evaluate the use of red blood cell (RBC) transfusions in patients who
underwent total hip or knee replacement. METHODS: The study comprised 2
retrospective medical record reviews: Phase 1 included data prior to the RBC
transfusion order set and elimination of autologous blood. Phase 2 included
postimplementation data. RESULTS: There were a decreased number of patients
receiving blood transfusions after implementing the RBC transfusion order set and
a decreased hemoglobin trigger value. The strongest predictor of receiving a
blood transfusion was autologous blood donation. CONCLUSION: Use of the order set
will decrease unnecessary transfusions hospital-wide.
PMID- 27187225
TI - Enhanced Rehabilitation and Care Models for Adults With Dementia Following Hip
Fracture Surgery.
PMID- 27187226
TI - Greater Tuberosity Fracture of the Humerus: A Case Report.
PMID- 27187227
TI - Greater Tuberosity Fracture of the Humerus: A Case Report.
PMID- 27187230
TI - Disentangling the initiation from the response in joint attention: an eye
tracking study in toddlers with autism spectrum disorders.
AB - Joint attention (JA), whose deficit is an early risk marker for autism spectrum
disorder (ASD), has two dimensions: (1) responding to JA and (2) initiating JA.
Eye-tracking technology has largely been used to investigate responding JA, but
rarely to study initiating JA especially in young children with ASD. The aim of
this study was to describe the differences in the visual patterns of toddlers
with ASD and those with typical development (TD) during both responding JA and
initiating JA tasks. Eye-tracking technology was used to monitor the gaze of 17
children with ASD and 15 age-matched children with TD during the presentation of
short video sequences involving one responding JA and two initiating JA tasks
(initiating JA-1 and initiating JA-2). Gaze accuracy, transitions and fixations
were analyzed. No differences were found in the responding JA task between
children with ASD and those with TD, whereas, in the initiating JA tasks,
different patterns of fixation and transitions were shown between the groups.
These results suggest that children with ASD and those with TD show different
visual patterns when they are expected to initiate joint attention but not when
they respond to joint attention. We hypothesized that differences in transitions
and fixations are linked to ASD impairments in visual disengagement from face, in
global scanning of the scene and in the ability to anticipate object's action.
PMID- 27187231
TI - Glucagon-like peptide 1 receptor activation regulates cocaine actions and
dopamine homeostasis in the lateral septum by decreasing arachidonic acid levels.
AB - Agonism of the glucagon-like peptide 1 (GLP-1) receptor (GLP-1R) has been
effective at treating aspects of addictive behavior for a number of abused
substances, including cocaine. However, the molecular mechanisms and brain
circuits underlying the therapeutic effects of GLP-1R signaling on cocaine
actions remain elusive. Recent evidence has revealed that endogenous signaling at
the GLP-1R within the forebrain lateral septum (LS) acts to reduce cocaine
induced locomotion and cocaine conditioned place preference, both considered
dopamine (DA)-associated behaviors. DA terminals project from the ventral
tegmental area to the LS and express the DA transporter (DAT). Cocaine acts by
altering DA bioavailability by targeting the DAT. Therefore, GLP-1R signaling
might exert effects on DAT to account for its regulation of cocaine-induced
behaviors. We show that the GLP-1R is highly expressed within the LS. GLP-1, in
LS slices, significantly enhances DAT surface expression and DAT function.
Exenatide (Ex-4), a long-lasting synthetic analog of GLP-1 abolished cocaine
induced elevation of DA. Interestingly, acute administration of Ex-4 reduces
septal expression of the retrograde messenger 2-arachidonylglycerol (2-AG), as
well as a product of its presynaptic degradation, arachidonic acid (AA). Notably,
AA reduces septal DAT function pointing to AA as a novel regulator of central DA
homeostasis. We further show that AA oxidation product gamma-ketoaldehyde (gamma
KA) forms adducts with the DAT and reduces DAT plasma membrane expression and
function. These results support a mechanism in which postsynaptic septal GLP-1R
activation regulates 2-AG levels to alter presynaptic DA homeostasis and cocaine
actions through AA.
PMID- 27187232
TI - Anhedonia and general distress show dissociable ventromedial prefrontal cortex
connectivity in major depressive disorder.
AB - Anhedonia, the reduced ability to experience pleasure in response to otherwise
rewarding stimuli, is a core symptom of major depressive disorder (MDD). Although
the posterior ventromedial prefrontal cortex (pVMPFC) and its functional
connections have been consistently implicated in MDD, their roles in anhedonia
remain poorly understood. Furthermore, it is unknown whether anhedonia is
primarily associated with intrinsic 'resting-state' pVMPFC functional
connectivity or an inability to modulate connectivity in a context-specific
manner. To address these gaps, a pVMPFC region of interest was first identified
using activation likelihood estimation meta-analysis. pVMPFC connectivity was
then examined in relation to anhedonia and general distress symptoms of
depression, using both resting-state and task-based functional magnetic resonance
imaging involving pleasant music, in current MDD and healthy control groups. In
MDD, pVMPFC connectivity was negatively correlated with anhedonia but not general
distress during music listening in key reward- and emotion-processing regions,
including nucleus accumbens, ventral tegmental area/substantia nigra,
orbitofrontal cortex and insula, as well as fronto-temporal regions involved in
tracking complex sound sequences, including middle temporal gyrus and inferior
frontal gyrus. No such dissociations were observed in the healthy controls, and
resting-state pVMPFC connectivity did not dissociate anhedonia from general
distress in either group. Our findings demonstrate that anhedonia in MDD is
associated with context-specific deficits in pVMPFC connectivity with the
mesolimbic reward system when encountering pleasurable stimuli, rather than a
static deficit in intrinsic resting-state connectivity. Critically,
identification of functional circuits associated with anhedonia better
characterizes MDD heterogeneity and may help track of one of its core symptoms.
PMID- 27187234
TI - The potential role of nitrous oxide in the etiology of autism spectrum disorder.
PMID- 27187233
TI - Cognitive and oculomotor performance in subjects with low and high schizotypy:
implications for translational drug development studies.
AB - The development of drugs to improve cognition in patients with schizophrenia is a
major unmet clinical need. A number of promising compounds failed in recent
clinical trials, a pattern linked to poor translation between preclinical and
clinical stages of drug development. Seeking proof of efficacy in early Phase 1
studies in surrogate patient populations (for example, high schizotypy
individuals where subtle cognitive impairment is present) has been suggested as a
strategy to reduce attrition in the later stages of drug development. However,
there is little agreement regarding the pattern of distribution of schizotypal
features in the general population, creating uncertainty regarding the optimal
control group that should be included in prospective trials. We aimed to address
this question by comparing the performance of groups derived from the general
population with low, average and high schizotypy scores over a range of cognitive
and oculomotor tasks. We found that tasks dependent on frontal inhibitory
mechanisms (N-Back working memory and anti-saccade oculomotor tasks), as well as
a smooth-pursuit oculomotor task were sensitive to differences in the schizotypy
phenotype. In these tasks the cognitive performance of 'low schizotypes' was
significantly different from 'high schizotypes' with 'average schizotypes' having
an intermediate performance. These results indicate that for evaluating putative
cognition enhancers for treating schizophrenia in early-drug development studies
the maximum schizotypy effect would be achieved using a design that compares low
and high schizotypes.
PMID- 27187235
TI - The NADPH oxidase NOX2 as a novel biomarker for suicidality: evidence from human
post mortem brain samples.
AB - Recent evidence points towards a role of oxidative stress in suicidality.
However, few studies were carried out on the sources of reactive oxygen species
(ROS) in subjects with suicidal behaviour. We have previously demonstrated that
the NADPH oxidase NOX2-derived oxidative stress has a major role in the
development of neuropathological alterations observed in an animal model of
psychosis. Here, we investigated the possible increase in NOX2 in post mortem
brain samples of subjects who died by asphyctic suicide (AS) compared with
controls (CTRL) and subjects who died by non-suicidal asphyxia (NSA). We found
that NOX2 expression was significantly higher in the cortex of AS subjects than
in the other two experimental groups. NOX2 immunostaining was mainly detected in
GABAergic neurons, with a minor presence of NOX2-positive-stained cells in
glutamatergic and dopaminergic neurons, as well as astrocytes and microglia. A
sustained increase in the expression of 8-hydroxy-2'-deoxyguanosine, an indirect
marker of oxidative stress, was also detected in the cortex of AS subjects,
compared with CTRL and NSA subjects. A significant elevation in cortical
interleukin-6 immunoreactivity in AS subjects suggested an involvement of
cytokine-associated molecular pathways in NOX2 elevations. Our results suggest
that the increase in NOX2-derived oxidative stress in the brain might be involved
in the neuropathological pathways leading to suicidal behaviour. These results
may open innovative insights in the identification of new pathogenetic and
necroscopic biomarkers, predictive for suicidality and potentially useful for
suicide prevention.
PMID- 27187238
TI - Persistent State-of-Charge Heterogeneity in Relaxed, Partially Charged Li1- x
Ni1/3 Co1/3 Mn1/3 O2 Secondary Particles.
AB - Ex situ transmission X-ray microscopy reveals micrometer-scale state-of-charge
heterogeneity in solid-solution Li1- x Ni1/3 Co1/3 Mn1/3 O2 secondary particles
even after extensive relaxation. The heterogeneity generates overcharged domains
at the cutoff voltage, which may accelerate capacity fading and increase
impedance with extended cycling. It is proposed that optimized secondary
structures can minimize the state-of-charge heterogeneity by mitigating the
buildup of nonuniform internal stresses associated with volume changes during
charge.
PMID- 27187236
TI - Effects of acute aerobic exercise on neural correlates of attention and
inhibition in adolescents with bipolar disorder.
AB - Executive dysfunction is common during and between mood episodes in bipolar
disorder (BD), causing social and functional impairment. This study investigated
the effect of acute exercise on adolescents with BD and healthy control subjects
(HC) to test for positive or negative consequences on neural response during an
executive task. Fifty adolescents (mean age 16.54+/-1.47 years, 56% female, 30
with BD) completed an attention and response inhibition task before and after 20
min of recumbent cycling at ~70% of age-predicted maximum heart rate. 3 T
functional magnetic resonance imaging data were analyzed in a whole brain voxel
wise analysis and as regions of interest (ROI), examining Go and NoGo response
events. In the whole brain analysis of Go trials, exercise had larger effect in
BD vs HC throughout ventral prefrontal cortex, amygdala and hippocampus; the
profile of these effects was of greater disengagement after exercise. Pre
exercise ROI analysis confirmed this 'deficit in deactivation' for BDs in rostral
ACC and found an activation deficit on NoGo errors in accumbens. Pre-exercise
accumbens NoGo error activity correlated with depression symptoms and Go activity
with mania symptoms; no correlations were present after exercise. Performance was
matched to controls and results survived a series of covariate analyses. This
study provides evidence that acute aerobic exercise transiently changes neural
response during an executive task among adolescents with BD, and that pre
exercise relationships between symptoms and neural response are absent after
exercise. Acute aerobic exercise constitutes a biological probe that may provide
insights regarding pathophysiology and treatment of BD.
PMID- 27187246
TI - Insights into the Mechanism and Kinetics of Thermo-Oxidative Degradation of HFPE
High Performance Polymer.
AB - The growing requisite for materials having high thermo-oxidative stability makes
the design and development of high performance materials an active area of
research. Fluorination of the polymer backbone is a widely applied strategy to
improve various properties of the polymer, most importantly the thermo-oxidative
stability. Many of these fluorinated polymers are known to have thermo-oxidative
stability up to 700 K. However, for space and aerospace applications, it is
important to improve its thermo-oxidative stability beyond 700 K. Molecular-level
details of the thermo-oxidative degradation of such polymers can provide vital
information to improve the polymer. In this spirit, we have applied quantum
mechanical and microkinetic analysis to scrutinize the mechanism and kinetics of
the thermo-oxidative degradation of a fluorinated polymer with phenylethenyl end
cap, HFPE. This study gives an insight into the thermo-oxidative degradation of
HFPE and explains most of the experimental observations on the thermo-oxidative
degradation of this polymer. Thermolysis of C-CF3 bond in the dianhydride
component (6FDA) of HFPE is found to be the rate-determining step of the
degradation. Reaction pathways that are responsible for the experimentally
observed weight loss of the polymer is also scrutinized. On the basis of these
results, we propose a modification of HFPE polymer to improve its thermo
oxidative stability.
PMID- 27187245
TI - Accumulation of sugars in the xylem apoplast observed under water stress
conditions is controlled by xylem pH.
AB - Severe water stress constrains, or even stops, water transport in the xylem due
to embolism formation. Previously, the xylem of poplar trees was shown to respond
to embolism formation by accumulating carbohydrates in the xylem apoplast and
dropping xylem sap pH. We hypothesize that these two processes may be
functionally linked as lower pH activates acidic invertases degrading sucrose and
inducing accumulation of monosaccharides in xylem apoplast. Using a novel in vivo
method to measure xylem apoplast pH, we show that pH drops from ~6.2 to ~5.6 in
stems of severely stressed plants and rises following recovery of stem water
status. We also show that in a lower pH environment, sugars are continuously
accumulating in the xylem apoplast. Apoplastic carbohydrate accumulation was
reduced significantly in the presence of a proton pump blocker (orthovanadate).
These observations suggest that a balance in sugar concentrations exists between
the xylem apoplast and symplast that can be controlled by xylem pH and sugar
concentration. We conclude that lower pH is related to loss of xylem transport
function, eventually resulting in accumulation of sugars that primes stems for
recovery from embolism when water stress is relieved.
PMID- 27187247
TI - Perception of hand movement by mirror reflection evokes brain activation in the
motor cortex contralateral to a non-moving hand.
AB - We investigated whether perception of hand movement via mirror reflection evokes
activation in the motor cortex (M1) contralateral to the non-moving hand (the M1
ipsilateral to the moving hand). Continuous electroencephalography (EEG) was
recorded from 14 participants while they performed unimanual extension-flexion
hand movements in direct view and mirror view conditions. We measured the
lateralized readiness potential (LRP) as a marker of M1 activation in both
conditions. Both the direct and mirror view conditions produced LRPs, with the
mirror view conditions revealing clear activation in M1 contralateral to the non
moving hand (ipsilateral to the moving hand) during both flexion and extension
phases. This unambiguous demonstration of M1 activation in association with a non
moving hand (which is visually-perceived as moving), suggests that perception of
movement can directly lead to M1 activation.
PMID- 27187248
TI - Evaluation of Sidestream Darkfield Microscopy for Real-Time Imaging Acellular
Dermal Matrix Revascularization.
AB - BACKGROUND: Acellular dermal matrices (ADMs) serve as a regenerative framework
for host cell integration and collagen deposition to augment the soft tissue
envelope in ADM-assisted breast reconstruction-a process dependent on vascular
ingrowth. To date noninvasive intra-operative imaging techniques have been
inadequate to evaluate the revascularization of ADM. METHODS: We investigated the
safety, feasibility, and efficacy of sidestream darkfield (SDF) microscopy to
assess the status of ADM microvascular architecture in 8 patients at the time of
tissue expander to permanent implant exchange during 2-stage ADM-assisted breast
reconstruction. The SDF microscopy is a handheld device, which can be used
intraoperatively for the real-time assessment of ADM blood flow, vessel density,
vessel size, and branching pattern. The SDF microscopy was used to assess the
microvascular architecture in the center and border zone of the ADM and to
compare the native, non-ADM-associated capsule in each patient as a within
subject control. RESULTS: No incidences of periprosthetic infection,
explantation, or adverse events were reported after SDF image acquisition. Native
capsules demonstrate a complex, layered architecture with an average vessel area
density of 14.9 mm/mm and total vessel length density of 12.3 mm/mm. In contrast
to native periprosthetic capsules, ADM-associated capsules are not uniformly
vascularized structures and demonstrate 2 zones of microvascular architecture.
The ADM and native capsule border zone demonstrates palisading peripheral
vascular arcades with continuous antegrade flow. The central zone of the ADM
demonstrates punctate perforating vascular plexi with intermittent, sluggish
flow, and intervening 2- to 3-cm watershed zones. CONCLUSIONS: Sidestream
darkfield microscopy allows for real-time intraoperative assessment of ADM
revascularization and serves as a potential methodology to compare
revascularization parameters among commercially available ADMs. Thr SDF
microscopy demonstrates that the periprosthetic capsule in ADM-assisted implant
based breast reconstruction is not a uniformly vascularized structure.
PMID- 27187249
TI - Abdominoplasty With Scarpa Fascia Preservation.
AB - The plane of dissection used during a full abdominoplasty has been implicated on
the seroma rate. Avoiding the classic plane of dissection on top of the rectus
fascia and using a more superficial plane of dissection has been suggested as a
strategy to improve recovery and lower the complication rate. The authors have
been applying this principle in their practice for more than a decade, and they
performed 2 prospective comparative studies to evaluate the clinical effects of
using a more superficial plane of dissection (with Scarpa fascia preservation)
during a full abdominoplasty.The technique is presented and explained along with
the results of both comparative studies.The results of both studies are discussed
particularly the effects on drain volume (total and daily), the duration of drain
usage and the avoidance of "long drainers." These are very relevant advantages of
the technique that have not been discussed in the literature. The results and
surgical strategies used by other authors which apply a more superficial plane of
dissection are presented.Controversy still exits on the manipulation of the deep
fat compartment by liposuction or direct fat excision. No manipulation is another
option which should be considered but it has been questioned due to the risk of
aesthetic compromise. A morphometric study performed on the surgical specimens of
41 female patients submitted to a full abdominoplasty validates that option.Based
on this evidence, the authors recommend that surgeons consider performing
abdominoplasties using a more superficial plane of dissection in the
infraumbilical area with total preservation of Scarpa fascia and the deep fat
compartment. The classic plane of dissection, on top of the deep fascia, should
be avoided in the lower abdomen.
PMID- 27187237
TI - TLR4 signaling in VTA dopaminergic neurons regulates impulsivity through tyrosine
hydroxylase modulation.
AB - Alcohol dependence is a complex disorder that initiates with episodes of
excessive alcohol drinking known as binge drinking, and has a 50-60% risk
contribution from inherited susceptibility genes. Cognitive impulsivity is a
heritable trait that may set the stage for transition to alcohol dependence but
its role in the ethanol-seeking behavior and the involved genes are still poorly
understood. We have previously shown that alcohol-preferring P rats have innately
elevated levels of a neuronal Toll-like receptor 4 (TLR4) signal in the ventral
tegmental area (VTA) that controls the initiation of excessive alcohol drinking.
Here we report that TLR4 is localized in dopaminergic (TH+) neurons and it
upregulates the expression of tyrosine hydroxylase (TH) through a cAMP-dependent
protein kinase (PKA)/cyclic AMP response element binding protein (CREB) signal. P
rats have higher impulsivity than wild-type (WT) rats and VTA infusion of a non
replicating Herpes simplex virus (HSV) vector for TLR4-specific small interfering
RNA (siRNA; pHSVsiTLR4) inhibits both impulsivity and TLR4/TH expression. A
scrambled siRNA vector does not affect gene expression or impulsivity. The data
suggest that TLR4 signaling in VTA dopaminergic neurons controls impulsivity
related to the regulation of TH expression, likely contributing to the initiation
of alcohol drinking and its transition to alcohol dependence.
PMID- 27187250
TI - In Situ Venous Bypass for Chronic Hand Ischemia: A Review of 25 Cases in 23
Patients.
AB - BACKGROUND: Chronic ischemia of the hand in the setting of atherosclerotic
disease is a challenging problem that leads to serial amputations and significant
morbidity. Salvage using an in situ venous bypass has been described. In selected
cases, leaving the vein in situ for bypass allows a good size match for
anastomosis at the wrist or palmar arch. Due to the rarity of the condition,
there is a paucity of data regarding the efficacy of this technique. METHODS:
Outcomes in 23 consecutive patients that underwent a total of 25 in situ vein
grafts over a 16-year period were retrospectively reviewed. RESULTS: Eighteen
were men and 5 were women with a mean age of 61 years. Target vessels at the
wrist or palmar arch were identified on preoperative vascular imaging. The
cephalic vein (n = 19, 76%) was most commonly used followed by the basilic vein
(n = 6, 24%). Overall patency rate at a mean follow-up period of 12.1 months was
92%. Success as determined by both symptomatic improvement and resolution of the
ischemic changes or toleration of revision amputation was achieved in 16 (64%)
cases. Postoperative complications occurred in ten cases (40 %). Progression of
ischemia occurred in 7 cases (28 %) and 3 (12 %) of these cases required a hand
amputation. CONCLUSIONS: In situ vein grafts in the upper extremity offer good
short-term patency rates and can be used for salvage of chronic hand ischemia.
PMID- 27187251
TI - Vertical Mastectomy Incision in Implant Breast Reconstruction After Skin Sparing
Mastectomy: Advantages and Outcomes.
AB - BACKGROUND: The type of since skin-sparing mastectomy (SSM) incision directly
impacts the final aesthetic and functional results of reconstruction. Different
incisions are used for SSM depending on tumor location, previous biopsy scars,
breast weight, and ptosis degree. A vertical scar is less visible to the patient,
reminiscent of a mastopexy, and patients may not have the stigma of mastectomy.
OBJECTIVE: This study investigates complication rates, patient demographics,
patient reported outcomes, and plastic surgeon evaluations to compare vertical
incision mastectomy to other incisions. METHODS: After institutional review board
approval, a retrospective chart review was performed. A total population of 167
patients that underwent mastectomy with tissue expander reconstruction was
separated into vertical incision and nonvertical incision mastectomy groups
consisting of 38 and 129 patients, respectively. Patient demographics,
complications, tumor margins, staging, breast weight, and breast implant volume
were compared. BREASTQ Survey analysis was conducted using patient reported
outcomes from the patient's perspective. Aesthetic evaluations of postoperative
photos were systematically scored by plastic surgeons to obtain data from the
plastic surgeon's perspective. RESULTS: Vertical incision orientation did not
increase surgical complication rates or mastectomy skin necrosis (P = 0.142).
Vertical incisions did not interfere with obtaining adequate tumor margins (P =
0.907). Vertical incisions did not have a significantly different breast weight
or implant volume. There was no statistical difference for patient satisfaction
or plastic surgeon aesthetic evaluation. CONCLUSIONS: The use of vertical
incision does not increase complication rates; does not interfere with tumor
margins; and can be applied to all age, BMI, breast weight, and breast implant
volume groups.
PMID- 27187252
TI - Comparison of Reconstructive Outcomes in Breast Cancer Patients With Preexisting
Subpectoral Implants: Implant-Sparing Mastectomy With Delayed Implant Exchange
Versus Immediate Tissue Expander Reconstruction.
AB - BACKGROUND: Women who have undergone prior augmentation mammoplasty represent a
unique subset of breast cancer patients with several options available for breast
reconstruction. We performed a single institution review of surgical outcomes of
breast reconstruction performed in patients with breast cancer with prior history
of subpectoral breast augmentation. METHODS: Institutional review board-approved
retrospective review was conducted among patients with previously mentioned
criteria treated at our institution between 2000 and 2014. Reconstructions were
grouped into 2 categories as follows: (1) removal of preexisting subpectoral
implant during mastectomy with immediate tissue expander placement and (2)
implant-sparing mastectomy followed by delayed exchange to a larger implant. We
reviewed demographics, tumor features, and reconstruction outcomes of these
groups. RESULTS: Fifty-three patients had preexisting subpectoral implants. Of
the 63 breast reconstructions performed, 18 (28.6%) had immediate tissue expander
placed and 45 (71.4%) had implant-sparing mastectomy followed by delayed implant
exchange. The groups were comparable based on age, body mass index, cancer type,
tumor grade, TNM stage at presentation, and hormonal receptor status. No
significant difference was noted between tumor margins or subsequent recurrence,
mastectomy specimen weight, removed implant volume, volume of implant placed
during reconstruction, or time from mastectomy to final implant placement. Rates
of complications were significantly higher in the tissue expander group compared
to the implant-sparing mastectomy group 7 (38.9%) versus 4 (8.9%) (P = 0.005).
CONCLUSIONS: Implant-sparing mastectomy with delayed implant exchange in patients
with preexisting subpectoral implants is safe and has fewer complications
compared to tissue expander placement. There was no difference noted in the final
volume of implant placed, time interval for final implant placement, or tumor
margins.
PMID- 27187253
TI - Procedural Portfolio Planning in Plastic Surgery, Part 1: Strategic Changes in
Clinical Practice to Increase Physician Revenue, Improve Operative Throughput,
and Maintain Patient Satisfaction.
AB - PURPOSE: Portfolio planning in health care represents the strategic
prioritization of services that permits an organization to better achieve its
goals of margin and mission. Because of recent volatility in the economy,
declining reimbursement, and rising costs of providing care, such strategic
planning has become increasingly important if physicians want to remain leaders
in health care. This project assesses the financial impact of procedural
portfolio planning on an academic plastic surgery practice from the physician's
perspective. METHODS: We tracked the top 50 procedures, defined as total charges
per CPT code, that were performed in our baseline year, for 6 providers in a
stable plastic surgery practice. At the end of the first year, we implemented 3
types of strategic changes: growth of areas with high contribution margin (laser
resurfacing of burn scars), curtailment of high-risk procedures with negative
contribution margin (panniculectomy in smokers), and improved efficiency of
mission-critical services with high resource consumption (free-flap breast
reconstruction). During the 2-year study period, we had no turnover in faculty,
did not pursue any formal marketing, did not change our surgical fees or billing
system, provided care independent of payer mix, and maintained our commitment to
indigent care. Outcome measures included procedural charges and revenue,
collection rates, work relative value units, operating room times, idle times
(room time less case time), receipts/minute in operating room, uncompensated
charity care, and patient satisfaction (Press-Gainey scores). Before the study
period, annual incremental growth in our practice was 1% to 2%, in terms of
charges and receipts. RESULTS: After implementation of the portfolio planning
project, the financial position of our division improved significantly, with
patient satisfaction rates increasing from 85.5% to 94.1% and charity care
remaining constant at US $400,000 per year. Encounters, work relative value
units, charges, and receipts all increased by 16% to 27%, with receipts/minute
increasing from US $5.60 per minute to US $7.28 per minute. Interestingly, but
not surprisingly, highest margin cases did not correspond with highest volume or
highest revenue cases; portfolio analysis helped us to align these parameters,
without sacrificing patient satisfaction or commitment to indigent care. The
highest receipt/minute procedure was laser ablation of vascular lesions (US
$23.87), whereas one of the lowest receipt/minute cases was muscle free flap (US
$3.07). CONCLUSIONS: Procedural portfolio analysis is a powerful tool that can
guide strategy and positively impact the financial position and clinical value of
the services provided by an academic plastic surgery practice. Identifying high
margin procedures allows the surgeon to focus marketing efforts, target areas of
future growth, and optimize the blend of margin and mission.
PMID- 27187254
TI - Procedural Portfolio Planning in Plastic Surgery, Part 2: Collaboration Between
Surgeons and Hospital Administrators to Develop a Funds Flow Model for Procedures
Performed at an Academic Medical Center.
AB - INTRODUCTION: Although plastic surgeons make important contributions to the
clinical, educational, and research missions of academic medical centers (AMCs),
determining the financial value of a plastic surgery service can be difficult,
due to complex cost accounting systems. We analyzed the financial impact of
plastic surgery on an AMC, by examining the contribution margins and operating
income of surgical procedures. METHODS: We collaborated with hospital
administrators to implement 3 types of strategic changes: (1) growth of areas
with high contribution margin, (2) curtailment of high-risk procedures with
negative contribution margin, (3) improved efficiency of mission-critical
services with high resource consumption. Outcome measures included: facility
charges, hospital collections, contribution margin, operating margin, and
operating room times. We also studied the top 50 Current Procedural Terminology
codes (total case number * charge/case), ranking procedures for profitability, as
determined by operating margin. During the 2-year study period, we had no
turnover in faculty; did not pursue any formal marketing; did not change our
surgical fees, billing system, or payer mix; and maintained our commitment to
indigent care. RESULTS: After rebalancing our case mix, through procedural
portfolio planning, average hospital operating income/procedure increased from $
79 to $+816. Volume and diversity of cases increased, with no change in payer
mix. Although charges/case decreased, both contribution margin and operating
margin increased, due to improved throughput and decreased operating room times.
The 5 most profitable procedures for the hospital were hernia repair, mandibular
osteotomy, hand skin graft, free fibula flap, and head and neck flap, whereas the
5 least profitable were latissimus breast reconstruction, craniosynostosis
repair, free-flap breast reconstruction, trunk skin graft, and cutaneous free
flap. Total operating income for the hospital, from plastic surgery procedures,
increased from $-115,103 to $+1,277,040, of which $350,000 (25%) was returned to
the practice plan as enterprise funds to support program development.
CONCLUSIONS: Through focused strategic initiatives, plastic surgeons and hospital
administrators can work together to unlock the latent value of a plastic surgery
service to an AMC. Specific financial benefits to the hospital include increased
contribution margin and operating income, the latter of which can be reinvested
in the plastic surgery service through a gain-sharing model.
PMID- 27187255
TI - Implementation and Analysis of a Lean Six Sigma Program in Microsurgery to
Improve Operative Throughput in Perforator Flap Breast Reconstruction.
AB - PURPOSE: Perforator flaps have become a preferred method of breast reconstruction
but can consume considerable resources. We examined the impact of a Six Sigma
program on microsurgical breast reconstruction at an academic medical center.
METHODS: Using methods developed by Motorola and General Electric, we applied
critical pathway planning, workflow analysis, lean manufacturing, continuous
quality improvement, and defect reduction to microsurgical breast reconstruction.
Primary goals were to decrease preoperative-to-cut time and total operative time,
through reduced variability and improved efficiency. Secondary goals were to
reduce length of stay, complications, and reoperation. The project was divided
into 3 phases: (1) Pre-Six Sigma (24 months), (2) Six Sigma (10 months), (3) and
Post-Six Sigma (24 months). These periods (baseline, intervention, control) were
compared by Student t test and chi analysis. RESULTS: Over a 5-year period, 112
patients underwent 168 perforator flaps for breast reconstructions, by
experienced microsurgeons. Total operative time decreased from 714 to 607 minutes
(P < 0.01), across the study period, with the greatest drop occurring in
unilateral cases, from 672 to 498 minutes (P < 0.01). Length of stay decreased
from 6.3 to 5.2 days (P = 0.01). Overall complication rates (35.9% vs 30%, not
significant) and take-back rates (20.5% vs 23.9%, not significant) remained
similar over the 5-year period. Physician revenue/minute increased from US $6.28
to US $7.59, whereas hospital revenue/minute increased from US $21.84 to US
$25.11. CONCLUSIONS: A Six Sigma program in microsurgical breast reconstruction
was associated with better operational and financial outcomes. These incremental
gains were maintained over the course of the study, suggesting that these
benefits were due, in part, to process improvements. However, continued
reductions in total operative time and length of stay, well after the
intervention period, support the possibility that "learning curve" phenomenon may
have contributed to the improvement in these outcomes.
PMID- 27187266
TI - Transcriptional reprogramming underpins enhanced plant growth promotion by the
biocontrol fungus Trichoderma hamatum GD12 during antagonistic interactions with
Sclerotinia sclerotiorum in soil.
AB - The free-living soil fungus Trichoderma hamatum strain GD12 is notable amongst
Trichoderma strains in both controlling plant diseases and stimulating plant
growth, a property enhanced during its antagonistic interactions with pathogens
in soil. These attributes, alongside its markedly expanded genome and proteome
compared with other biocontrol and plant growth-promoting Trichoderma strains,
imply a rich potential for sustainable alternatives to synthetic pesticides and
fertilizers for the control of plant disease and for increasing yields. The
purpose of this study was to investigate the transcriptional responses of GD12
underpinning its biocontrol and plant growth promotion capabilities during
antagonistic interactions with the pathogen Sclerotinia sclerotiorum in soil.
Using an extensive mRNA-seq study capturing different time points during the
pathogen-antagonist interaction in soil, we show that dynamic and biphasic
signatures in the GD12 transcriptome underpin its biocontrol and plant (lettuce)
growth-promoting activities. Functional predictions of differentially expressed
genes demonstrate the enrichment of transcripts encoding proteins involved in
transportation and oxidation-reduction reactions during both processes and an
over-representation of siderophores. We identify a biphasic response during
biocontrol characterized by a significant induction of transcripts encoding small
secreted cysteine-rich proteins, secondary metabolite-producing gene clusters and
genes unique to GD12. These data support the hypothesis that Sclerotinia
biocontrol is mediated by the synthesis and secretion of antifungal compounds and
that GD12's unique reservoir of uncharacterized genes is actively recruited
during the effective biological control of a plurivorous plant pathogen.
PMID- 27187265
TI - The Role of Leukapheresis in the Current Management of Hyperleukocytosis in Newly
Diagnosed Childhood Acute Lymphoblastic Leukemia.
AB - BACKGROUND: Hyperleukocytosis in children with acute lymphoblastic leukemia (ALL)
has been associated with early morbidity and mortality. The use of leukapheresis
in these children treated with contemporary therapy remains controversial.
PROCEDURE: We analyzed clinical data from patients enrolled onto frontline
protocols for ALL (Total Therapy XV and XVI) between 2003 and 2014. We documented
adverse events within the first 14 days in patients with a white blood cell (WBC)
count >=200 * 10(9) /l and reviewed their management. RESULTS: Fifty-three (7.8%)
of 678 consecutive pediatric patients with newly diagnosed ALL presented with
hyperleukocytosis (median WBC count 393 * 10(9) /l; range 200-1,014). Two deaths
in patients without initial hyperleukocytosis occurred within the first 2 weeks
from diagnosis secondary to bacterial sepsis. A total of 21 (40%) patients with
ALL and hyperleukocytosis developed grade 3 or 4 adverse events regardless of the
use of leukapheresis (P > 0.99 and P = 0.19). Sixteen of 53 (30%) patients with
ALL received low-dose chemotherapy for leukocytoreduction initially. One-third of
patients received urate oxidase, and none of the patients with hyperleukocytosis
required hemodialysis. CONCLUSIONS: The early morbidity and mortality commonly
associated with hyperleukocytosis in children with newly diagnosed ALL can be
avoided with contemporary supportive care and conservative management possibly
obviating the need for costly and potentially dangerous leukapheresis.
PMID- 27187267
TI - Preventing repetition of attempted suicide-III. The Amager Project, 5-year follow
up of a randomized controlled trial.
AB - BACKGROUND: The Amager Project was initiated as a quasi-experimental study in
2005, based on an active outreach suicide preventive intervention inspired by the
Norwegian Baerum Model. A 1-year follow-up study was conducted as a randomized
controlled trial showing that this kind of active outreach to suicide attempters
had a significant preventive effect on the prevalence of suicide attempts and
significantly reduced the number of patients repeating a suicide attempt. AIMS:
In this 5-year RCT follow-up the aim was to investigate the sustainability of the
suicide preventive effect shown in a 1-year follow-up study. METHOD: One hundred
and thirty-three suicide attempters were included at this 5-year follow-up RCT
study at Copenhagen University Hospital, Amager, and randomized to a rapid
outreach suicide preventive intervention (OPAC) or TAU. RESULTS: Offering OPAC
intervention to patients after a suicide attempt has a significant preventive
effect on the total of suicide attempts and significantly reduces the number of
patients repeating a suicide attempt. The suicide preventive effect lasts up to
265 weeks. After 3-4 years the effect on the number of patients repeating a
suicide attempt is no longer sustainable, while the effect on the number of
repetitive events remains significant. CONCLUSION: This study emphasizes the
importance of a rapid and active outreach intervention and points out that the
effect on the number of patients repeating a suicide attempt wears off and is no
longer sustainable after 3-4 years, suggesting the need for a follow-up
intervention.
PMID- 27187270
TI - Mechanism of Rh-Catalyzed Oxidative Cyclizations: Closed versus Open Shell
Pathways.
AB - A conceptual theory for analyzing and understanding oxidative addition reactions
that form the cornerstone of many transition metal mediated catalytic cycles that
activate C-C and C-H bonds, for example, was developed. The cleavage of the sigma
or pi-bond in the organic substrate can be envisioned to follow a closed or an
open shell formalism, which is matched by a corresponding electronic structure at
the metal center of the catalyst. Whereas the assignment of one or the other
mechanistic scenario appears formal and equivalent at first sight, they should be
recognized as different classes of reactions, because they lead to different
reaction optimization and control strategies. The closed-shell mechanism involves
heterolytic bond cleavages, which give rise to highly localized charges to form
at the transition state. In the open-shell pathway, bonds are broken
homolytically avoiding localized charges to accumulate on molecular fragments at
the transition states. As a result, functional groups with inductive effects may
exert a substantial influence on the energies of the intermediate and transition
states, whereas no such effect is expected if the mechanism proceeds through the
open-shell mechanism. If these functional groups are placed in a way that opens
an electronic communication pathway to the molecular sites where charges
accumulate, for example, using hyperconjugation, electron donating groups may
stabilize a positive charge at that site. An instructive example is discussed,
where this stereoelectronic effect allowed for rendering the oxidative addition
diastereoselective. No such control is possible, however, when the open-shell
reaction pathway is followed, because the inductive effects of functional groups
have little to no effect on the stabilities of radical-like substrate states that
are encountered when the bonds are broken in a homolytic fashion. Whether the
closed-shell or open-shell mechanism for oxidative addition is followed is
determined by the ordering of the d-orbital dominated frontier orbitals. If the
highest occupied molecular orbital (HOMO) is oriented in space in such a way that
will give the organic substrate easy access to the valence electron pair, the
closed-shell mechanism can be followed. If the shape and orientation of the HOMO
is not appropriate, however, an alternative pathway involving singlet excited
states of the metal that will invoke the matching radicaloid cleavage of the
organic substrate will dominate the oxidative addition. This novel paradigm for
formally analyzing and understanding oxidative additions provides a new way of
systematically understanding and planning catalytic reactions, as demonstrated by
the in silico design of room-temperature Pauson-Khand reactions.
PMID- 27187268
TI - Comparing three different measures of frailty in medical inpatients: Multicenter
prospective cohort study examining 30-day risk of readmission or death.
AB - BACKGROUND: Multiple tools are used to identify frailty. OBJECTIVE: To compare
the global Clinical Frailty Scale (CFS) with more objective phenotypic tools
(modified Fried score and the Timed Up and Go Test [TUGT]). DESIGN: Prospective
cohort study. SETTING: General medical wards in Edmonton, Canada. PARTICIPANTS:
Adults being discharged back to the community. MEASUREMENTS: All frailty
assessments were done within 24 hours of discharge. Patients were classified as
frail if they scored >=5 on the CFS and/or >=3 on the modified Fried score,
and/or had reduced mobility (>20 seconds on the TUGT). The main outcome was
readmission or death within 30 days. RESULTS: Of 495 patients, 211 (43%) were
frail according to at least 1 assessment, 46 (9%) met all 3 frailty definitions,
and 17% died or were readmitted to the hospital within 30 days. Although patients
classified as frail on the CFS exhibited significantly higher 30-day
readmission/death rates (23% vs 14% for not frail, P = 0.005; 28% vs. 12% in the
elderly, P < 0.001), even after adjusting for age and sex (adjusted odds ratio
[aOR]: 2.02, 95% confidence interval [CI]: 1.19-3.41 for all adults; aOR: 3.20,
95% CI: 1.55-6.60 for the elderly), patients meeting either of the phenotypic
definitions for frailty but not the CFS definition were not at higher risk of 30
day readmission/death (aOR: 0.87, 95% CI: 0.34-2.19 for all adults and aOR: 1.41,
95% CI: 0.72-2.78 for the elderly). CONCLUSIONS: Frailty has a significant impact
on postdischarge outcomes, and the CFS is the most useful of the frequently used
frailty tools for predicting poor outcomes after discharge. Journal of Hospital
Medicine 2016;11:556-562. (c) 2016 Society of Hospital Medicine.
PMID- 27187271
TI - Phased-array ultrasound technology enhances accuracy of dual frequency ultrasound
measurements - towards improved ultrasound bone diagnostics.
AB - Overlying soft tissues attenuate ultrasound backscattered from bone, complicating
diagnostics of osteoporosis at the most important fracture sites. Dual-frequency
ultrasound technique (DFUS) has been proposed to solve this problem through
determination of thickness and composition of overlying soft tissue. This study
applies DFUS technique for the first time with a phased-array transducer to
investigate if the thickness of two interfering layers (oil and water) can be
accurately determined in a variety of configurations. Results indicate that DFUS
may be used with phased-array ultrasound systems, making them a suitable
combination to consider in future development of clinical in vivo ultrasound
methodologies.
PMID- 27187272
TI - Evaluating and Addressing the Leakage Problems of Black Carbon Mitigation in
China's Domestic Sector.
PMID- 27187275
TI - Research toward clinical wisdom.
PMID- 27187274
TI - Neoadjuvant Sequential Docetaxel Followed by High-Dose Epirubicin in Combination
With Cyclophosphamide Administered Concurrently With Trastuzumab. The DECT Trial.
AB - To report the results of the DECT trial, a phase II study of locally advanced or
operable HER2-positive breast cancer (BC) treated with taxanes and concurrent
anthracyclines and trastuzumab. Eligible patients (stage IIA-IIIB HER2-positive
BC, 18-75 years, normal organ functions, ECOG <=1, and left ventricular ejection
fraction (LVEF) >=55%) received four cycles of neoadjuvant docetaxel, 100 mg/m(2)
intravenously, plus trastuzumab 6 mg/kg (loading dose 8 mg/kg) every 3 weeks,
followed by four 3-weekly cycles of epirubicin 120 mg/m(2) and cyclophosphamide,
600 mg/m(2) , plus trastuzumab. Primary objective was pathologic complete
response (pCR) rate, defined as ypT0/is ypN0 at definitive surgery. We enrolled
45 consecutive patients. All but six patients (13.3%) completed chemotherapy and
all underwent surgery. pCR was observed in 28 patients (62.2%) overall and in 6
(66.7%) from the inflammatory subgroup. The classification and regression tree
analysis showed a 100% pCR rate in patients with BMI >=25 and with hormone
negative disease. The median follow up was 46 months (8-78). Four-year recurrence
free survival was 74.7% (95%CI, 58.2-91.2). Seven patients (15.6%) recurred and
one died. Treatment was well tolerated, with limiting toxicity being neutropenia.
No clinical cardiotoxicity was observed. Six patients (13.4%) showed a transient
LVEF decrease (<10%). In one patient we observed a >=10% asymptomatic LVEF
decrease persisting after surgery. Notwithstanding their limited applicability
due to the current guidelines, our findings support the efficacy of the regimen
of interest in the neoadjuvant setting along with a fairly acceptable toxicity
profile, including cardiotoxicity. Results on BMI may invite further assessment
in future studies. J. Cell. Physiol. 231: 2541-2547, 2016. (c) 2016 The Authors.
Journal of Cellular Physiology Published by Wiley Periodicals, Inc.
PMID- 27187276
TI - Are senior nurses on Clinical Commissioning Groups in England inadvertently
supporting the devaluation of their profession?: A critical integrative review of
the literature.
AB - In this study, we discuss the role of senior nurses who sit on clinical
commissioning groups that now plan and procure most health services in England.
These nurses are expected to bring a nursing view to all aspects of clinical
commissioning group business. The role is a senior level appointment and requires
experience of strategic commissioning. However, little is known about how nurses
function in these roles. Following Barrientos' methodology, published policy and
literature were analysed to investigate these roles and National Health Service
England's claim that nursing can influence and advance a nursing perspective in
clinical commissioning groups. Drawing on work by Berg, Barry and Chandler on
'new public management', we discuss how nurses on clinical commissioning groups
work at the alignment of the interests of biomedicine and managerialism. We
propose that the way this nursing role is being implemented might paradoxically
offer further evidence of the devaluing of nursing rather than the emergence of a
strong professional nursing voice at the level of strategic commissioning.
PMID- 27187277
TI - A novel small-molecule PPI inhibitor targeting integrin alphavbeta3-osteopontin
interface blocks bone resorption in vitro and prevents bone loss in mice.
AB - Small molecule-inhibition targeting protein-protein interaction (PPI) is now
recognized as an emerging and challenging area in drug design. We developed a
novel interactive drug discovery methodology known as Protein Chip technology
(ProteoChip) as a cutting-edge PPI assay system applicable for unique PPI
targeting therapeutics integrated with computer-aided drug design (CADD). Here,
we describe a novel small molecular PPI inhibitor, IPS-02001, which the blocks
integrin alphavbeta3-osteopontin interface a novel PPI inhibitor identified by
the interactive methodology of both ProteoChip- and CADD-based PPI assay. IPS
02001 (6,7-Dichloro-2,3,5,8-tetrahydroxy-1,4-naphthoquinone) was screened from
different compound libraries (InterBioScreen, Commercial libraries) using an in
silico structure-based molecular docking simulation method and a protein chip
based protein-protein interaction assay system. Additionally, integrin
alphavbeta3, an adhesion receptor expressed in osteoclasts (OCs), was implicated
in the regulation of OC function via regulation of the cytoskeletal organization
of OCs. IPS-02001 blocked OC maturation from murine bone marrow-derived
macrophages, as well as the resorptive function of OCs. Moreover, treatment with
IPS-02001 impaired downstream signaling of integrin alphavbeta3 linked to Pyk2, c
Src, PLCgamma2, and Vav3 and disrupted the actin cytoskeleton in mature OCs.
Furthermore, IPS-02001 blocked RANKL-induced bone destruction by reducing the
number of OCs and protected against ovariectomy-induced bone loss in mice. Thus,
IPS-02001 may represent a promising new class of anti-resorptive drugs for
treatment of bone diseases associated with increased OC function.
PMID- 27187278
TI - Ferritin-mediated siRNA delivery and gene silencing in human tumor and primary
cells.
AB - We demonstrate a straightforward method to encapsulate siRNA into naturally
available and unmodified human apoferritin. The encapsulation into apoferritin is
independent of the sequence of the siRNA and provides superior protection for
those sensitive molecules. High efficiency in transfection can be achieved in
human tumorigenic cells, human primary mesenchymal stem cells (hMSC) and
peripheral blood mononuclear cells (PBMCs). In contrast to Lipofectamine, highly
effective gene silencing can be achieved with ferritin as the delivery agent in
both tumor cells and PBMCs at low siRNA concentrations (10 nM). As an endogenous
delivery agent, apoferritin does not induce immune activation of T- and B-cells
in human PBMCs. Apoferritin shows intrinsic anti-inflammatory effects and
apoferritin-mediated delivery shows a preference for immune-activated T- and B
cells, a natural selectivity which may turn useful for drug delivery in case of
infections or inflammatory diseases.
PMID- 27187273
TI - Promiscuity in the Enzymatic Catalysis of Phosphate and Sulfate Transfer.
AB - The enzymes that facilitate phosphate and sulfate hydrolysis are among the most
proficient natural catalysts known to date. Interestingly, a large number of
these enzymes are promiscuous catalysts that exhibit both phosphatase and
sulfatase activities in the same active site and, on top of that, have also been
demonstrated to efficiently catalyze the hydrolysis of other additional
substrates with varying degrees of efficiency. Understanding the factors that
underlie such multifunctionality is crucial both for understanding functional
evolution in enzyme superfamilies and for the development of artificial enzymes.
In this Current Topic, we have primarily focused on the structural and
mechanistic basis for catalytic promiscuity among enzymes that facilitate both
phosphoryl and sulfuryl transfer in the same active site, while comparing this to
how catalytic promiscuity manifests in other promiscuous phosphatases. We have
also drawn on the large number of experimental and computational studies of
selected model systems in the literature to explore the different features
driving the catalytic promiscuity of such enzymes. Finally, on the basis of this
comparative analysis, we probe the plausible origins and determinants of
catalytic promiscuity in enzymes that catalyze phosphoryl and sulfuryl transfer.
PMID- 27187279
TI - CD44 alternative splicing in gastric cancer cells is regulated by culture
dimensionality and matrix stiffness.
AB - Two-dimensional (2D) cultures often fail to mimic key architectural and physical
features of the tumor microenvironment. Advances in biomaterial engineering allow
the design of three-dimensional (3D) cultures within hydrogels that mimic
important tumor-like features, unraveling cancer cell behaviors that would not
have been observed in traditional 2D plastic surfaces. This study determined how
3D cultures impact CD44 alternative splicing in gastric cancer (GC) cells. In 3D
cultures, GC cells lost expression of the standard CD44 isoform (CD44s), while
gaining CD44 variant 6 (CD44v6) expression. This splicing switch was reversible,
accelerated by nutrient shortage and delayed at lower initial cell densities,
suggesting an environmental stress-induced response. It was further shown to be
dependent on the hydrogel matrix mechanical properties and accompanied by the
upregulation of genes involved in epithelial-mesenchymal transition (EMT),
metabolism and angiogenesis. The 3D cultures reported here revealed the same CD44
alternative splicing pattern previously observed in human premalignant and
malignant gastric lesions. These findings indicate that fundamental features of
3D cultures - such as soluble factors diffusion and mechanical cues - influence
CD44 expression in GC cells. Moreover, this study provides a new model system to
study CD44 dysfunction, whose role in cancer has been in the spotlight for
decades.
PMID- 27187280
TI - Pentamethylcyclopentadienyl Half-Sandwich Diazoalkane Complexes of Ruthenium:
Preparation and Reactivity.
AB - The diazoalkane complexes [Ru(eta(5)-C5Me5)(N2CAr1Ar2){P(OR)3}L]BPh4 (1-4) [R =
Me, L = P(OMe)3 (1); R = Et, L = P(OEt)3 (2); R = Me, L = PPh3 (3); R = Et, L =
PPh3 (4); Ar1 = Ar2 = Ph (a); Ar1 = Ph, Ar2 = p-tolyl (b); Ar1Ar2 = C12H8 (c);
Ar1 = Ph, Ar2 = PhC(O) (d)] and [Ru(eta(5)
C5Me5){N2C(C12H8)}{PPh(OEt)2}(PPh3)]BPh4 (5c) were prepared by allowing chloro
compounds RuCl(eta(5)-C5Me5)[P(OR)3]L to react with the diazoalkane Ar1Ar2CN2 in
the presence of NaBPh4. Treatment of complexes 1-4 with H2O afforded 1,2-diazene
derivatives [Ru(eta(5)-C5Me5)(eta(2)-NH?NH){P(OR)3}L]BPh4 (6-9) and ketone
Ar1Ar2CO. A reaction path involving nucleophilic attack by H2O on the coordinated
diazoalkane is proposed and supported by density functional theory calculations.
The complexes were characterized spectroscopically (IR and (1)H, (31)P, (13)C,
(15)N NMR) and by X-ray crystal structure determination of [Ru(eta(5)
C5Me5)(N2CC12H8){P(OEt)3}2]BPh4 (2c) and [Ru(eta(5)-C5Me5)(eta(2)
NH?NH){P(OEt)3}2]BPh4 (7).
PMID- 27187285
TI - Covered Wagon: Bror Julius Olsson Nordfeldt.
PMID- 27187282
TI - Changes in Corneal Biomechanical Properties after Long-Term Topical Prostaglandin
Therapy.
AB - OBJECTIVE: To compare corneal biomechanical properties, measured by a newly
developed tonometer (Corneal Visualization Scheimpflug Technology, Corvis ST), in
untreated primary open angle glaucoma (POAG) patients, POAG patients with long
term topical prostaglandin analog (PGA) therapy and in normal controls. Further
is to investigate the potential effects of PGA on corneal biomechanics. METHODS:
In this case-control study, 35 consecutive medication naive eyes with POAG, 34
POAG eyes with at least 2 years treatment by PGA and 19 normal eyes were
included. Intraocular pressure (IOP), central corneal thickness (CCT) and corneal
biomechanical parameters, including deformation amplitude (DA), applanation time
(AT1 and AT2), applanation length (AL1 and AL2), applanation velocity (AV1 and
AV2), and peak distance and radius were measured using Corvis ST. Axial length
and corneal curvature were measured with partial coherence interferometry
(IOLMaster, Zeiss, Germany). General linear model analysis was performed to
investigate the corneal biomechanical property changes among the normal controls,
newly diagnosed POAG patients and POAG patients with long-term PGA treatment, and
among the subgroups of different types of PGA treatment, including bimatoprost,
latanoprost and travoprost. Furthermore, pairwise comparisons using Bonferroni
correction for least squares means were employed. RESULTS: AT1 (p<0.0001), AV1
(p<0.0001), AT2 (p = 0.0001), AV2 (p<0.0001) and DA (p = 0.0004) in newly
diagnosed glaucoma patients were significantly different from those in normal
subjects and in patients underwent at least 2 years topical PGA therapy after
adjusting for age and gender. After adjusting for age, gender, IOP, CCT, axial
length and corneal curvature, a significant difference was detected for DA
between glaucoma patients without PGA treatment and patients with long-term PGA
therapy (p = 0.0387). Furthermore, there were no statistical significant
differences in all of the corneal biomechanical parameters among the 3 types of
PGA therapy subgroups, namely bimatoprost, latanoprost and travoprost.
CONCLUSIONS: Significant changes in corneal deformation parameters were found
among untreated POAG patients, POAG patients with long-term topical PGA therapy
and normal controls. Long-term topical PGA treatment might have a direct effect
on corneal biomechanical properties in addition to the indirect effect owing to
the PGA-induced IOP reduction and CCT decrease on corneal dynamic properties.
PMID- 27187286
TI - Lead in the Water: A Tale of Social and Environmental Injustice.
PMID- 27187294
TI - Evidence-Based, Reality-Driven Colorectal Cancer Screening Guidelines: The
Critical Relationship of Adherence to Effectiveness.
PMID- 27187295
TI - Utility of Cancer Value Frameworks for Patients, Payers, and Physicians.
PMID- 27187296
TI - A PIECE OF MY MIND. My Name Is Not "Interpreter".
PMID- 27187297
TI - Toward a Patient-Centered Value Framework in Oncology.
PMID- 27187298
TI - Communication With Family Caregivers in the Intensive Care Unit: Answers and
Questions.
PMID- 27187299
TI - Turning the Pathogenesis of Acute Peptic Esophagitis Inside Out.
PMID- 27187300
TI - Effect of Early Prophylactic High-Dose Recombinant Human Erythropoietin in Very
Preterm Infants on Neurodevelopmental Outcome at 2 Years: A Randomized Clinical
Trial.
AB - IMPORTANCE: Very preterm infants are at risk of developing encephalopathy of
prematurity and long-term neurodevelopmental delay. Erythropoietin treatment is
neuroprotective in animal experimental and human clinical studies. OBJECTIVE: To
determine whether prophylactic early high-dose recombinant human erythropoietin
(rhEPO) in preterm infants improves neurodevelopmental outcome at 2 years'
corrected age. DESIGN, SETTING, AND PARTICIPANTS: Preterm infants born between 26
weeks 0 days' and 31 weeks 6 days' gestation were enrolled in a randomized,
double-blind, placebo-controlled, multicenter trial in Switzerland between 2005
and 2012. Neurodevelopmental assessments at age 2 years were completed in 2014.
INTERVENTIONS: Participants were randomly assigned to receive either rhEPO (3000
IU/kg) or placebo (isotonic saline, 0.9%) intravenously within 3 hours, at 12 to
18 hours, and at 36 to 42 hours after birth. MAIN OUTCOMES AND MEASURES: Primary
outcome was cognitive development assessed with the Mental Development Index
(MDI; norm, 100 [SD, 15]; higher values indicate better function) of the Bayley
Scales of Infant Development, second edition (BSID-II) at 2 years corrected age.
The minimal clinically important difference between groups was 5 points (0.3 SD).
Secondary outcomes were motor development (assessed with the Psychomotor
Development Index), cerebral palsy, hearing or visual impairment, and
anthropometric growth parameters. RESULTS: Among 448 preterm infants randomized
(mean gestational age, 29.0 [range, 26.0-30.9] weeks; 264 [59%] female; mean
birth weight, 1210 [range, 490-2290] g), 228 were randomized to rhEPO and 220 to
placebo. Neurodevelopmental outcome data were available for 365 (81%) at a mean
age of 23.6 months. In an intention-to-treat analysis, mean MDI was not
statistically significantly different between the rhEPO group (93.5 [SD, 16.0]
[95% CI, 91.2 to 95.8]) and the placebo group (94.5 [SD, 17.8] [95% CI, 90.8 to
98.5]) (difference, -1.0 [95% CI, -4.5 to 2.5]; P = .56). No differences were
found between groups in the secondary outcomes. CONCLUSIONS AND RELEVANCE: Among
very preterm infants who received prophylactic early high-dose rhEPO for
neuroprotection, compared with infants who received placebo, there were no
statistically significant differences in neurodevelopmental outcomes at 2 years.
Follow-up for cognitive and physical problems that may not become evident until
later in life is required. TRIAL REGISTRATION: clinicaltrials.gov Identifier:
NCT00413946.
PMID- 27187301
TI - Prevalence of and Factors Related to Discordance About Prognosis Between
Physicians and Surrogate Decision Makers of Critically Ill Patients.
AB - IMPORTANCE: Misperceptions about prognosis by individuals making decisions for
incapacitated critically ill patients (surrogates) are common and often
attributed to poor comprehension of medical information. OBJECTIVE: To determine
the prevalence of and factors related to physician-surrogate discordance about
prognosis in intensive care units (ICUs). DESIGN, SETTING, AND PARTICIPANTS:
Mixed-methods study comprising quantitative surveys and qualitative interviews
conducted in 4 ICUs at a major US medical center involving surrogate decision
makers and physicians caring for patients at high risk of death from January 4,
2005, to July 10, 2009. MAIN OUTCOMES AND MEASURES: Discordance about prognosis,
defined as a difference between a physician's and a surrogate's prognostic
estimates of at least 20%; misunderstandings by surrogates (defined as any
difference between a physician's prognostic estimate and a surrogate's best guess
of that estimate); differences in belief (any difference between a surrogate's
actual estimate and their best guess of the physician's estimate). RESULTS: Two
hundred twenty-nine surrogate decision makers (median age, 47 [interquartile
range {IQR}, 35-56] years; 68% women) and 99 physicians were involved in the care
of 174 critically ill patients (median age, 60 [IQR, 47-74] years; 44% women).
Physician-surrogate discordance about prognosis occurred in 122 of 229 instances
(53%; 95% CI, 46.8%-59.7%). In 65 instances (28%), discordance was related to
both misunderstandings by surrogates and differences in belief about the
patient's prognosis; 38 (17%) were related to misunderstandings by surrogates
only; 7 (3%) were related to differences in belief only; and data were missing
for 12. Seventy-five patients (43%) died. Surrogates' prognostic estimates were
much more accurate than chance alone, but physicians' prognostic estimates were
statistically significantly more accurate than surrogates' (C statistic, 0.83 vs
0.74; absolute difference, 0.094; 95% CI, 0.024-0.163; P = .008). Among 71
surrogates interviewed who had beliefs about the prognosis that were more
optimistic than that of the physician, the most common reasons for optimism were
a need to maintain hope to benefit the patient (n = 34), a belief that the
patient had unique strengths unknown to the physician (n = 24), and religious
belief (n = 19). CONCLUSIONS AND RELEVANCE: Among critically ill patients,
discordant expectations about prognosis were common between patients' physicians
and surrogate decision makers and were related to misunderstandings by surrogates
about physicians' assessments of patients' prognoses and differences in beliefs
about patients' prognoses.
PMID- 27187302
TI - Association of Hospital Critical Access Status With Surgical Outcomes and
Expenditures Among Medicare Beneficiaries.
AB - IMPORTANCE: Critical access hospitals are a predominant source of care for many
rural populations. Previous reports suggest these centers provide lower quality
of care for common medical admissions. Little is known about the outcomes and
costs of patients admitted for surgical procedures. OBJECTIVE: To compare the
surgical outcomes and associated Medicare payments at critical access hospitals
vs non-critical access hospitals. DESIGN, SETTING, AND PARTICIPANTS: Cross
sectional retrospective review of 1,631,904 Medicare beneficiary admissions to
critical access hospitals (n = 828) and non-critical access hospitals (n = 3676)
for 1 of 4 common types of surgical procedures-appendectomy, 3467 for critical
access and 151,867 for non-critical access; cholecystectomy, 10,556 for critical
access and 573,435 for non-critical access; colectomy, 10,198 for critical access
and 577,680 for non-critical access; hernia repair, 4291 for critical access and
300,410 for non-critical access-between 2009 and 2013. We compared risk-adjusted
outcomes using a multivariable logistical regression that adjusted for patient
factors (age, sex, race, Elixhauser comorbidities), admission type (elective,
urgent, emergency), and type of operation. EXPOSURES: Undergoing surgical
procedures at critical access vs non-critical access hospitals. MAIN OUTCOMES AND
MEASURES: Thirty-day mortality, postoperative serious complications (eg,
myocardial infarction, pneumonia, or acute renal failure and a length of stay
>75th percentile). Hospital costs were assessed using price-standardized Medicare
payments during hospitalization. RESULTS: Patients (mean age, 76.5 years; 56.2%
women) undergoing surgery at critical access hospitals were less likely to have
chronic medical problems, and they had lower rates of heart failure (7.7% vs
10.7%, P < .0001), diabetes (20.2% vs 21.7%, P < .001), obesity (6.5% vs 10.6%, P
< .001), or multiple comorbid diseases (% of patients with >=2 comorbidities;
60.4% vs 70.2%, P < .001). After adjustment for patient factors, critical access
and non-critical access hospitals had no statistically significant differences in
30-day mortality rates (5.4% vs 5.6%; adjusted odds ratio [OR], 0.96; 95%
confidence interval [CI], 0.89-1.03; P = .28). However, critical access vs non
critical access hospitals had significantly lower rates of serious complications
(6.4% vs 13.9%; OR, 0.35; 95% CI, 0.32-0.39; P < .001). Medicare expenditures
adjusted for patient factors and procedure type were lower at critical access
hospitals than non-critical access hospitals ($14,450 vs $15,845; difference,
$1395, P < .001). CONCLUSIONS AND RELEVANCE: Among Medicare beneficiaries
undergoing common surgical procedures, patients admitted to critical access
hospitals compared with non-critical access hospitals had no significant
difference in 30-day mortality rates, decreased risk-adjusted serious
complication rates, and lower-adjusted Medicare expenditures, but were less
medically complex.
PMID- 27187304
TI - Accurately Measuring Hospital Venous Thromboembolism Prevention Efforts.
PMID- 27187303
TI - Association of Acute Gastroesophageal Reflux Disease With Esophageal Histologic
Changes.
AB - IMPORTANCE: The histologic changes associated with acute gastroesophageal reflux
disease (GERD) have not been studied prospectively in humans. Recent studies in
animals have challenged the traditional notion that reflux esophagitis develops
when esophageal surface epithelial cells are exposed to lethal chemical injury
from refluxed acid. OBJECTIVE: To evaluate histologic features of esophageal
inflammation in acute GERD to study its pathogenesis. DESIGN, SETTING, AND
PARTICIPANTS: Patients from the Dallas Veterans Affairs Medical Center who had
reflux esophagitis successfully treated with proton pump inhibitors (PPIs) began
24-hour esophageal pH and impedance monitoring and esophagoscopy (including
confocal laser endomicroscopy [CLE]) with biopsies from noneroded areas of distal
esophagus at baseline (taking PPIs) and at 1 week and 2 weeks after stopping the
PPI medication. Enrollment began May 2013 and follow-up ended July 2015.
INTERVENTIONS: PPIs stopped for 2 weeks. MAIN OUTCOMES AND MEASURES: Twelve
patients (men, 11; mean age, 57.6 year [SD, 13.1]) completed the study. Primary
outcome was change in esophageal inflammation 2 weeks after stopping the PPI
medication, determined by comparing lymphocyte, eosinophil, and neutrophil
infiltrates (each scored on a 0-3 scale) in esophageal biopsies. Also evaluated
were changes in epithelial basal cell and papillary hyperplasia, surface
erosions, intercellular space width, endoscopic grade of esophagitis, esophageal
acid exposure, and mucosal impedance (an index of mucosal integrity). RESULTS: At
1 week and 2 weeks after discontinuation of PPIs, biopsies showed significant
increases in intraepithelial lymphocytes, which were predominantly T cells
(median [range]: 0 (0-2) at baseline vs 1 (1-2) at both 1 week [P = .005] and 2
weeks [P = .002]); neutrophils and eosinophils were few or absent. Biopsies also
showed widening of intercellular spaces (confirmed by CLE), and basal cell and
papillary hyperplasia developed without surface erosions. Two weeks after
stopping the PPI medication, esophageal acid exposure increased (median: 1.2% at
baseline to 17.8% at 2 weeks; Delta, 16.2% [95% CI, 4.4%-26.5%], P = .005),
mucosal impedance decreased (mean: 2671.3 Omega at baseline to 1508.4 Omega at 2
weeks; Delta, 1162.9 Omega [95% CI, 629.9-1695.9], P = .001), and all patients
had evidence of esophagitis. CONCLUSIONS AND RELEVANCE: In this preliminary study
of 12 patients with severe reflux esophagitis successfully treated with PPI
therapy, stopping PPI medication was associated with T lymphocyte-predominant
esophageal inflammation and basal cell and papillary hyperplasia without loss of
surface cells. If replicated, these findings suggest that the pathogenesis of
reflux esophagitis may be cytokine-mediated rather than the result of chemical
injury. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT01733810.
PMID- 27187305
TI - Surveillance Imaging in Patients in Remission From Hodgkin and Diffuse Large B
Cell Lymphoma.
PMID- 27187306
TI - Which Oral Anticoagulant for Atrial Fibrillation?
PMID- 27187308
TI - Early Azithromycin Treatment to Prevent Severe Lower Respiratory Tract Illnesses
in Children.
PMID- 27187307
TI - Sexual Harassment and Discrimination Experiences of Academic Medical Faculty.
PMID- 27187309
TI - Early Azithromycin Treatment to Prevent Severe Lower Respiratory Tract Illnesses
in Children--Reply.
PMID- 27187310
TI - Leprosy as a Cause of Distal Symmetric Polyneuropathy.
PMID- 27187311
TI - Leprosy as a Cause of Distal Symmetric Polyneuropathy--Reply.
PMID- 27187312
TI - Firearm Access and Risk of Suicide.
PMID- 27187313
TI - Firearm Access and Risk of Suicide--Reply.
PMID- 27187314
TI - Error in Text.
PMID- 27187315
TI - Error in Collaborator Name.
PMID- 27187317
TI - Tests in the Diagnosis of Cancer.
PMID- 27187318
TI - JAMA PATIENT PAGE. Preventing Venous Thromboembolism After Surgery.
PMID- 27187319
TI - Using Bayesian Nonparametric Hidden Semi-Markov Models to Disentangle Affect
Processes during Marital Interaction.
AB - Sequential affect dynamics generated during the interaction of intimate dyads,
such as married couples, are associated with a cascade of effects-some good and
some bad-on each partner, close family members, and other social contacts.
Although the effects are well documented, the probabilistic structures associated
with micro-social processes connected to the varied outcomes remain enigmatic.
Using extant data we developed a method of classifying and subsequently
generating couple dynamics using a Hierarchical Dirichlet Process Hidden semi
Markov Model (HDP-HSMM). Our findings indicate that several key aspects of
existing models of marital interaction are inadequate: affect state emissions and
their durations, along with the expected variability differences between
distressed and nondistressed couples are present but highly nuanced; and most
surprisingly, heterogeneity among highly satisfied couples necessitate that they
be divided into subgroups. We review how this unsupervised learning technique
generates plausible dyadic sequences that are sensitive to relationship quality
and provide a natural mechanism for computational models of behavioral and
affective micro-social processes.
PMID- 27187320
TI - Improvement of quality of life and menopausal symptoms in climacteric women
treated with low-dose monthly parenteral formulations of non-polymeric
microspheres of 17beta-estradiol/progesterone.
AB - OBJECTIVE: To evaluate the short term effect over menopausal symptoms and quality
of life (QoL) of monthly parenteral formulations of 17beta-estradiol
(E)/progesterone (P) non-polymeric microspheres. METHODS: This is a secondary
analysis of a multicenter, randomized, single-blinded study that included peri-
and post-menopausal symptomatic women assigned to receive a monthly intramuscular
injection of 0.5 mg E + 15 mg P (Group A, n = 34), 1 mg E + 20 mg P (Group B, n =
24), or 1 mg E + 30 mg P (Group C, n = 26) for 6 months. Intensity of menopausal
symptoms was assessed before and after treatment with the Greene Climacteric
Scale (GCS) and QoL with the Utian Quality of Life Scale (UQoLS). RESULTS:
Menopausal symptoms improved for all groups at six months evidenced by lower
cluster/sub-cluster GCS scores. Equally, there was an overall trend for QoL
improvement for all groups evidenced by higher domain UQoLS scores at six months;
but only significant for the emotional (Groups A and B) and occupational domains
(Groups A and C). CONCLUSION: The three low-dose continuous sequential
intramuscular monthly formulations of E/P microspheres exerted a positive effect
over menopausal symptoms and QoL. Long-term research is warranted with these
formulations. CLINICAL TRIAL REGISTRATION: Clinicaltrials.gov Identifiers NCT
00775242.
PMID- 27187322
TI - Identification and Synthesis of (Z,Z)-8,11-Heptadecadienyl Formate and (Z)-8
Heptadecenyl Formate: Unsaturated Aliphatic Formates Found in the Unidentified
Astigmatid Mite, Sancassania sp. Sasagawa (Acari: Acaridae).
AB - We identified two aliphatic formates, (Z,Z)-8,11-heptadecadienyl formate and (Z)
8-heptadecenyl formate in the opisthonotal gland secretions of an unidentified
acarid species, namely Sancassania sp. Sasagawa. Both compounds were isolated
using silica gel column chromatography and the structures were elucidated by 1H
NMR and GC/FT-IR. Further information on the double bond positions was obtained
by GC-MS analysis of the corresponding dimethyl disulfide derivatives. Based on
the estimated structures of the two formates and using linoleic and oleic acids
as the respective starting materials, a simple four-step synthesis was achieved
via Barton decarboxylation as the key step. The aliphatic formates identified in
acarids thus far are neryl formate ((Z)-3,7-dimethylocta-2,6-dienyl formate) and
lardolure (1,3,5,7-tetramethyldecyl formate), and both have been reported to have
pheromone functions. The biological function of the two formates isolated in this
study is currently being investigated. Although we can speculate that the two
compounds were biosynthesized from linoleic and oleic acid, there is a
possibility that the synthetic processes featured a novel chain shortening and
formic acid esterification mechanism.
PMID- 27187321
TI - Synthesis and Biological Testing of Novel Glucosylated Epigallocatechin Gallate
(EGCG) Derivatives.
AB - Epigallocatechin gallate (EGCG) is the most abundant component of green tea
catechins and has strong physiological activities. In this study, two novel EGCG
glycosides (EGCG-G1 and EGCG-G2) were chemoselectively synthesized by a chemical
modification strategy. Each of these EGCG glycosides underwent structure
identification, and the structures were assigned as follows: epigallocatechin
gallate-4''-O-beta-d-glucopyranoside (EGCG-G1, 2) and epigallocatechin gallate
4',4''-O-beta-d-gluco-pyranoside (EGCG-G2, 3). The EGCG glycosides were evaluated
for their anticancer activity in vitro against two human breast cell lines (MCF-7
and MDA-MB-231) using MTT assays. The inhibition rate of EGCG glycosides (EGCG-G1
and EGCG-G2) is not obvious. The EGCG glycosides are more stable than EGCG in
aqueous solutions, but exhibited decreasing antioxidant activity in the DPPH
radical-scavenging assay (EGCG > EGCG-G2 > EGCG-G1). Additionally, the EGCG
glycosides exhibited increased water solubility: EGCG-G2 and EGCG-G1 were 15 and
31 times as soluble EGCG, respectively. The EGCG glycosides appear to be useful,
and further studies regarding their biological activity are in progress.
PMID- 27187323
TI - Inhibitors of the Hydrolytic Enzyme Dimethylarginine Dimethylaminohydrolase
(DDAH): Discovery, Synthesis and Development.
AB - Dimethylarginine dimethylaminohydrolase (DDAH) is a highly conserved hydrolytic
enzyme found in numerous species, including bacteria, rodents, and humans. In
humans, the DDAH-1 isoform is known to metabolize endogenous asymmetric
dimethylarginine (ADMA) and monomethyl arginine (l-NMMA), with ADMA proposed to
be a putative marker of cardiovascular disease. Current literature reports
identify the DDAH family of enzymes as a potential therapeutic target in the
regulation of nitric oxide (NO) production, mediated via its biochemical
interaction with the nitric oxide synthase (NOS) family of enzymes. Increased
DDAH expression and NO production have been linked to multiple pathological
conditions, specifically, cancer, neurodegenerative disorders, and septic shock.
As such, the discovery, chemical synthesis, and development of DDAH inhibitors as
potential drug candidates represent a growing field of interest. This review
article summarizes the current knowledge on DDAH inhibition and the derived
pharmacokinetic parameters of the main DDAH inhibitors reported in the
literature. Furthermore, current methods of development and chemical synthetic
pathways are discussed.
PMID- 27187324
TI - Efficient Synthesis of the Lewis A Tandem Repeat.
AB - The convergent synthesis of the Lewis A (Le(a)) tandem repeat is described. The
Le(a) tandem repeat is a carbohydrate ligand for a mannose binding protein that
shows potent inhibitory activity against carcinoma growth. The Le(a) unit, {beta
d-Gal-(1->3)-[alpha-l-Fuc-(1->4)]-beta-d-GlcNAc}, was synthesized by
stereoselective nitrile-assisted beta-galactosylation with the phenyl 3-O-allyl
2,4,6-tri-O-benzyl-1-thio-beta-galactoside, and ether-assisted alpha-fucosylation
with fucosyl (N-phenyl)trifluoroacetimidate. This common Le(a) unit was easily
converted to an acceptor and donor in high yields, and the stereoselective
assembly of the hexasaccharide and dodecasaccharide as the Le(a) tandem repeat
framework was achieved by 2-trichloroacetamido-assisted beta-glycosylation and
the (N-phenyl)trifluoroacetimidate method.
PMID- 27187325
TI - The Effect of Phenazine-1-Carboxylic Acid on the Morphological, Physiological,
and Molecular Characteristics of Phellinus noxius.
AB - In this study, the effect of phenazine-1-carboxylic acid (PCA) on morphological,
physiological, and molecular characteristics of Phellinus noxius has been
investigated, and the potential antifungal mechanism of PCA against P. noxius was
also explored. The results revealed that PCA showed in vitro antifungal potential
against P. noxius and completely inhibited P. noxius hyphae at concentrations >40
MUg/mL. PCA inhibited both mycelial growth and the loss of mycelial biomass in
vitro in a dose-dependent manner. Morphological changes in PCA-treated P. noxius
hyphae, such as irregularly swollen mycelia as well as short hyphae with
increased septation and less branching, were observed by optical microscopy. The
intracellular reactive oxygen species (ROS) levels were significantly increased
in PCA-treated P. noxius cells as compared to control groups. Induced
hyperpolarization of the mitochondrial membrane potential (MMP), repressed
superoxide dismutase (SOD) activity and up-regulated gene expression of seven
tested genes were also found in PCA-treated P. noxius groups. Thus, the present
results suggested that the mechanism of action of PCA against P. noxius might be
attributed to direct damage of mycelium and high intracellular ROS production,
and indirect induction of genes involved in cell detoxification, oxidation
reduction process, and electron transport of the respiratory chain.
PMID- 27187327
TI - Preparation of Pd/Bacterial Cellulose Hybrid Nanofibers for Dopamine Detection.
AB - Palladium nanoparticle-bacterial cellulose (PdBC) hybrid nanofibers were
synthesized by in-situ chemical reduction method. The obtained PdBC nanofibers
were characterized by a series of analytical techniques. The results revealed
that Pd nanoparticles were evenly dispersed on the surfaces of BC nanofibers.
Then, the as-prepared PdBC nanofibers were mixed with laccase (Lac) and Nafion to
obtain mixture suspension, which was further modified on electrode surface to
construct novel biosensing platform. Finally, the prepared electrochemical
biosensor was employed to detect dopamine. The analysis result was satisfactory,
the sensor showed excellent electrocatalysis towards dopamine with high
sensitivity (38.4 uA.mM(-1)), low detection limit (1.26 uM), and wide linear
range (5-167 uM). Moreover, the biosensor also showed good repeatability,
reproducibility, selectivity and stability and was successfully used in the
detection of dopamine in human urine, thus providing a promising method for
dopamine analysis in clinical application.
PMID- 27187326
TI - Discovery of Potent c-MET Inhibitors with New Scaffold Having Different
Quinazoline, Pyridine and Tetrahydro-Pyridothienopyrimidine Headgroups.
AB - Cellular mesenchymal-epithelial transition factor (c-MET) is closely linked to
human malignancies, which makes it an important target for treatment of cancer.
In this study, a series of 3-methoxy-N-phenylbenzamide derivatives, N-(3-(tert
butyl)-1-phenyl-1H-pyrazol-5-yl) benzamide derivatives and N1-(3-fluoro-4
methoxyphenyl)-N3-(4-fluorophenyl) malonamide derivatives were designed and
synthesized, some of them were identified as c-MET inhibitors. Among these
compounds with new scaffolds having different quinazoline, pyridine and
tetrahydro-pyridothienopyrimidine head groups, compound 11c, 11i, 13b, 13h
exhibited both potent inhibitory activities against c-MET and high anticancer
activity against tested cancer cell lines in vitro. In addition, kinase
selectivity assay further demonstrated that both 13b and 13h are potent and
selective c-MET inhibitors. Molecular docking supported that they bound well to c
MET and VEGFR2, which demonstrates that they are potential c-MET RTK inhibitors
for cancer therapy.
PMID- 27187328
TI - Anti-Inflammatory Applications of Melittin, a Major Component of Bee Venom:
Detailed Mechanism of Action and Adverse Effects.
AB - Inflammation is a pervasive phenomenon triggered by the innate and adaptive
immune systems to maintain homeostasis. The phenomenon normally leads to recovery
from infection and healing, but when not properly phased, inflammation may cause
immune disorders. Bee venom is a toxin that bees use for their protection from
enemies. However, for centuries it has been used in the Orient as an anti
inflammatory medicine for the treatment of chronic inflammatory diseases. Bee
venom and its major component, melittin, are potential means of reducing
excessive immune responses and provide new alternatives for the control of
inflammatory diseases. Recent experimental studies show that the biological
functions of melittin could be applied for therapeutic use in vitro and in vivo.
Reports verifying the therapeutic effects of melittin are accumulating in the
literature, but the cellular mechanism(s) of the anti-inflammatory effects of
melittin are not fully elucidated. In the present study, we review the current
knowledge on the therapeutic effects of melittin and its detailed mechanisms of
action against several inflammatory diseases including skin inflammation,
neuroinflammation, atherosclerosis, arthritis and liver inflammation, its adverse
effects as well as future prospects regarding the use of melittin.
PMID- 27187329
TI - Antimicrobial Activity of Xanthohumol and Its Selected Structural Analogues.
AB - The objective of this study was to evaluate the antimicrobial activity of
structural analogues of xanthohumol 1, a flavonoid compound found in hops
(Humulus lupulus). The agar-diffusion method using filter paper disks was
applied. Biological tests performed for selected strains of Gram-positive
(Staphylococcus aureus) and Gram-negative (Escherichia coli) bacteria, fungi
(Alternaria sp.), and yeasts (Rhodotorula rubra, Candida albicans) revealed that
compounds with at least one hydroxyl group-all of them have it at the C-4
position-demonstrated good activity. Our research showed that the strain S.
aureus was more sensitive to chalcones than to the isomers in which the
heterocyclic ring C is closed (flavanones). The strain R. rubra was moderately
sensitive to only one compound: 4-hydroxy-4'-methoxychalcone 8. Loss of the
hydroxyl group in the B-ring of 4'-methoxychalcones or its replacement by a
halogen atom (-Cl, -Br), nitro group (-NO2), ethoxy group (-OCH2CH3), or
aliphatic substituent (-CH3, -CH2CH3) resulted in the loss of antimicrobial
activity towards both R. rubra yeast and S. aureus bacteria. Xanthohumol 1,
naringenin 5, and chalconaringenin 7 inhibited growth of S. aureus, whereas 4
hydroxy-4'-methoxychalcone 8 was active towards two strains: S. aureus and R.
rubra.
PMID- 27187330
TI - Identification of New Epididymal Luminal Fluid Proteins Involved in Sperm
Maturation in Infertile Rats Treated by Dutasteride Using iTRAQ.
AB - BACKGROUND: Spermatozoa become mature and acquire fertilizing capacity during
their passage through the epididymal lumen. In this study, we identified new
epididymal luminal fluid proteins involved in sperm maturation in infertile rats
by dutasteride, a dual 5alpha-reductase inhibitor, in order to provide potential
epididymal targets for new contraceptives and infertility treatment. METHODS:
Male rats were treated with dutasteride for 28 consecutive days. We observed the
protein expression profiles in the epididymal luminal fluids in infertile and
normal rats using isobaric tags for relative and absolute quantitation (iTRAQ)
technique. The confidence of proteome data was validated by enzyme-linked
immunosorbent assays. RESULTS: 1045 proteins were tested, and 23 of them
presented different expression profiling in the infertile and normal rats. The
seven proteins were down-regulated, and 16 proteins were up-regulated. Among the
seven proteins which were significantly down-regulated by dutasteride in the
epididymal luminal fluids, there were three beta-defensins (Defb2, Defb18 and
Defb39), which maybe the key proteins involved in epididymal sperm maturation and
male fertility. CONCLUSIONS: We report for the first time that dutasteride
influences the protein expression profiling in the epididymal luminal fluids of
rats, and this result provides some new epididymal targets for male contraception
and infertility therapy.
PMID- 27187331
TI - Thermoregulated Coacervation, Metal-Encapsulation and Nanoparticle Synthesis in
Novel Triazine Dendrimers.
AB - The synthesis and solubility behaviors of four generation five (G5) triazine
dendrimers are studied. While the underivatized cationic dendrimer is soluble in
water, the acetylated and propanoylated derivatives undergo coacervation in water
upon increasing temperature. Occurring around room temperature, this behavior is
related to a liquid-liquid phase transition with a lower critical solution
temperature (LCST) and is explained by differences in composition, notably, the
hydrophobic nature of the terminal groups. Interestingly, the water solubility of
the acetylated dendrimer is affected by the addition of selected metal ions.
Titrating solutions of acetylated dendrimer at temperatures below the LCST with
gold or palladium ions promoted precipitation, but platinum, iridium, and copper
did not. Gold nanoparticles having diameters of 2.5 +/- 0.8 nm can be obtained
from solutions of the acetylated dendrimer at concentrations of gold less than
that required to induce precipitation by treating the solution with sodium
borohydride.
PMID- 27187332
TI - Effects of Brassicaceae Isothiocyanates on Prostate Cancer.
AB - Despite the major progress made in the field of cancer biology, cancer is still
one of the leading causes of mortality, and prostate cancer (PCa) is one of the
most encountered malignancies among men. The effective management of this disease
requires developing better anticancer agents with greater efficacy and fewer side
effects. Nature is a large source for the development of chemotherapeutic agents,
with more than 50% of current anticancer drugs being of natural origin.
Isothiocyanates (ITCs) are degradation products from glucosinolates that are
present in members of the family Brassicaceae. Although they are known for a
variety of therapeutic effects, including antioxidant, immunostimulatory, anti
inflammatory, antiviral and antibacterial properties, nowadays, cell line and
animal studies have additionally indicated the chemopreventive action without
causing toxic side effects of ITCs. In this way, they can induce cell cycle
arrest, activate apoptosis pathways, increase the sensitivity of resistant PCa to
available chemodrugs, modulate epigenetic changes and downregulate activated
signaling pathways, resulting in the inhibition of cell proliferation,
progression and invasion-metastasis. The present review summarizes the
chemopreventive role of ITCs with a particular emphasis on specific molecular
targets and epigenetic alterations in in vitro and in vivo cancer animal models.
PMID- 27187333
TI - Quercetin and Its Anti-Allergic Immune Response.
AB - Quercetin is the great representative of polyphenols, flavonoids subgroup,
flavonols. Its main natural sources in foods are vegetables such as onions, the
most studied quercetin containing foods, and broccoli; fruits (apples, berry
crops, and grapes); some herbs; tea; and wine. Quercetin is known for its
antioxidant activity in radical scavenging and anti-allergic properties
characterized by stimulation of immune system, antiviral activity, inhibition of
histamine release, decrease in pro-inflammatory cytokines, leukotrienes creation,
and suppresses interleukin IL-4 production. It can improve the Th1/Th2 balance,
and restrain antigen-specific IgE antibody formation. It is also effective in the
inhibition of enzymes such as lipoxygenase, eosinophil and peroxidase and the
suppression of inflammatory mediators. All mentioned mechanisms of action
contribute to the anti-inflammatory and immunomodulating properties of quercetin
that can be effectively utilized in treatment of late-phase, and late-late-phase
bronchial asthma responses, allergic rhinitis and restricted peanut-induced
anaphylactic reactions. Plant extract of quercetin is the main ingredient of many
potential anti-allergic drugs, supplements and enriched products, which is more
competent in inhibiting of IL-8 than cromolyn (anti-allergic drug disodium
cromoglycate) and suppresses IL-6 and cytosolic calcium level increase.
PMID- 27187334
TI - What Characteristics Confer Proteins the Ability to Induce Allergic Responses?
IgE Epitope Mapping and Comparison of the Structure of Soybean 2S Albumins and
Ara h 2.
AB - Ara h 2, a peanut 2S albumin, is associated with severe allergic reactions, but a
homologous protein, soybean 2S albumin, is not recognized as an important
allergen. Structural difference between these proteins might explain this
clinical discrepancy. Therefore, we mapped sequential epitopes and compared the
structure of Ara h 2, Soy Al 1, and Soy Al 3 (Gly m 8) to confirm whether
structural differences account for the discrepancy in clinical responses to these
two proteins. Commercially synthesized peptides covering the full length of Ara h
2 and two soybean 2S albumins were analyzed by peptide microarray. Sera from 10
patients with peanut and soybean allergies and seven non-atopic controls were
examined. The majority of epitopes in Ara h 2 identified by microarray are
consistent with those identified previously. Several regions in the 2S albumins
are weakly recognized by individual sera from different patients. A comparison of
allergenic epitopes on peanut and soybean proteins suggests that loop-helix type
secondary structures and some amino acids with a large side chain including lone
electron pair, such as arginine, glutamine, and tyrosine, makes the peptides
highly recognizable by the immune system. By utilizing the peptide microarray
assay, we mapped IgE epitopes of Ara h 2 and two soybean 2S albumins. The use of
peptide microarray mapping and analysis of the epitope characteristics may
provide critical information to access the allergenicity of food proteins.
PMID- 27187335
TI - Antifungal Activity of Eucalyptus Oil against Rice Blast Fungi and the Possible
Mechanism of Gene Expression Pattern.
AB - Eucalyptus oil possesses a wide spectrum of biological activity, including anti
microbial, fungicidal, herbicidal, acaricidal and nematicidal properties. We
studied anti-fungal activities of the leaf oil extracted from Eucalyptus. grandis
* E. urophylla. Eleven plant pathogenic fungi were tested based on the mycelium
growth rates with negative control. The results showed that Eucalyptus oil has
broad-spectrum inhibitory effects toward these fungi. Remarkable morphological
and structural alterations of hypha have been observed for Magnaporthe grisea
after the treatment. The mRNA genome array of M. grisea was used to detect genes
that were differentially expressed in the test strains treated by the Eucalyptus
oil than the normal strains. The results showed 1919 genes were significantly
affected, among which 1109 were down-regulated and 810 were up-regulated (p <
0.05, absolute fold change >2). According to gene ontology annotation analysis,
these differentially expressed genes may cause abnormal structures and
physiological function disorders, which may reduce the fungus growth. These
results show the oil has potential for use in the biological control of plant
disease as a green biopesticide.
PMID- 27187337
TI - In Vivo Anti-Cancer Mechanism of Low-Molecular-Weight Fucosylated Chondroitin
Sulfate (LFCS) from Sea Cucumber Cucumaria frondosa.
AB - The low-molecular-weight fucosylated chondroitin sulfate (LFCS) was prepared from
native fucosylated chondroitin sulfate (FCS), which was extracted and isolated
from sea cucumber Cucumaria frondosa, and the anti-cancer mechanism of LFCS on
mouse Lewis lung carcinoma (LLC) was investigated. The results showed that LFCS
remarkably inhibited LLC growth and metastasis in a dose-dependent manner. LFCS
induced cell cycle arrest by increasing p53/p21 expression and apoptosis through
activation of caspase-3 activity in LLC cells. Meanwhile, LFCS suppressed the
expression of vascular endothelial growth factor (VEGF), increased the expression
of tissue inhibitor of metalloproteinase-1 (TIMP-1) and downregulated the matrix
metalloproteinases (MMPs) level. Furthermore, LFCS significantly suppressed the
activation of ERK1/2/p38 MAPK/NF-kappaB pathway, which played a prime role in
expression of MMPs. All of these data indicate LFCS may be used as anti-cancer
drug candidates and deserve further study.
PMID- 27187338
TI - Adsorption of Emerging Ionizable Contaminants on Carbon Nanotubes: Advancements
and Challenges.
AB - The superior adsorption capacity of carbon nanotubes has been well recognized and
there is a wealth of information in the literature concerning the adsorption of
unionized organic pollutants on carbon nanotubes. Recently, the adsorption of
emerging environmental pollutants, most of which are ionizable, has attracted
increasing attention due to the heightened concerns about the accumulation of
these emerging contaminants in the environment. These recent studies suggest that
the adsorption of emerging ionizable contaminants on carbon nanotubes exhibit
different characteristics than unionized ones. For example, a new charge-assisted
intermolecular force has been proposed for ionizable compounds because some
adsorption phenomenon cannot be easily explained by the conventional force
theory. The adsorption of ionizable compounds also displayed much stronger
dependence on solution pH and ionic strength than unionized compounds. This
article aims to present a brief review on the current understanding of the
adsorption of emerging ionizable contaminants to carbon nanotubes and discuss
further research needs required to advance the mechanistic understanding of the
interactions between ionizable contaminants and carbon nanotubes.
PMID- 27187336
TI - Synthesis and in Vitro Antiproliferative Evaluation of C-13 Epimers of Triazolyl
d-Secoestrone Alcohols: The First Potent 13alpha-d-Secoestrone Derivative.
AB - The syntheses of C-13 epimeric 3-[(1-benzyl-1,2,3-triazol-4-yl)methoxy]-d
secoestrones are reported. Triazoles were prepared from 3-(prop-2-inyloxy)-d
secoalcohols and p-substituted benzyl azides via Cu(I)-catalyzed azide-alkyne
cycloaddition (CuAAC). The antiproliferative activities of the products and their
precursors were determined in vitro against a panel of human adherent cervical
(HeLa, SiHa and C33A), breast (MCF-7, MDA-MB-231, MDA-MB-361 and T47D) and
ovarian (A2780) cell lines by means of MTT assays. The orientation of the angular
methyl group and the substitution pattern of the benzyl group of the azide
greatly influenced the cell growth-inhibitory potential of the compounds. The
13beta derivatives generally proved to be more potent than their 13alpha
counterparts. Introduction of a benzyltriazolylmethyl group onto the 3-OH
position seemed to be advantageous. One 13alpha compound containing an
unsubstituted benzyltriazolyl function displayed outstanding antiproliferative
activities against three cell lines.
PMID- 27187339
TI - Research on the Composition and Distribution of Organic Sulfur in Coal.
AB - The structure and distribution of organic sulfur in coals of different rank and
different sulfur content were studied by combining mild organic solvent
extraction with XPS technology. The XPS results have shown that the distribution
of organic sulfur in coal is related to the degree of metamorphism of coal.
Namely, thiophenic sulfur content is reduced with decreasing metamorphic degree;
sulfonic acid content rises with decreasing metamorphic degree; the contents of
sulfate sulfur, sulfoxide and sulfone are rarely related with metamorphic degree.
The solvent extraction and GC/MS test results have also shown that the
composition and structure of free and soluble organic sulfur small molecules in
coal is closely related to the metamorphic degree of coal. The free organic
sulfur small molecules in coal of low metamorphic degree are mainly composed of
aliphatic sulfides, while those in coal of medium and high metamorphic degree are
mainly composed of thiophenes. Besides, the degree of aromatization of organic
sulfur small molecules rises with increasing degree of coalification.
PMID- 27187340
TI - Fusarium Toxins in Cereals: Occurrence, Legislation, Factors Promoting the
Appearance and Their Management.
AB - Fusarium diseases of small grain cereals and maize cause significant yield losses
worldwide. Fusarium infections result in reduced grain yield and contamination
with mycotoxins, some of which have a notable impact on human and animal health.
Regulations on maximum limits have been established in various countries to
protect consumers from the harmful effects of these mycotoxins. Several factors
are involved in Fusarium disease and mycotoxin occurrence and among them
environmental factors and the agronomic practices have been shown to deeply
affect mycotoxin contamination in the field. In the present review particular
emphasis will be placed on how environmental conditions and stress factors for
the crops can affect Fusarium infection and mycotoxin production, with the aim to
provide useful knowledge to develop strategies to prevent mycotoxin accumulation
in cereals.
PMID- 27187341
TI - The Mechanism by Which Amentoflavone Improves Insulin Resistance in HepG2 Cells.
AB - BACKGROUND: The aim of this study was to explore the mechanism by which
amentoflavone (AME) improves insulin resistance in a human hepatocellular liver
carcinoma cell line (HepG2). METHODS: A model of insulin resistant cells was
established in HepG2 by treatment with high glucose and insulin. The glucose
oxidase method was used to detect the glucose consumption in each group. To
determine the mechanism by which AME improves insulin resistance in HepG2 cells,
enzyme-linked immunosorbent assay (ELISA) and western blotting were used to
detect the expression of phosphatidyl inositol 3-kinase (PI3K), Akt, and pAkt;
the activity of the enzymes involved in glucose metabolism; and the levels of
inflammatory cytokines. RESULTS: Insulin resistance was successfully induced in
HepG2 cells. After treatment with AME, the glucose consumption increased
significantly in HepG2 cells compared with the model group (MG). The expression
of PI3K, Akt, and pAkt and the activity of 6-phosphofructokinas (PFK-1),
glucokinase (GCK), and pyruvate kinase (PK) increased, while the activity of
glycogen synthase kinase-3 (GSK-3), phosphoenolpyruvate carboxylase kinase
(PEPCK), and glucose-6-phosphatase (G-6-Pase) as well as the levels of
interleukin-6 (IL-6), interleukin-8 (IL-8), tumor necrosis factor-alpha (TNF
alpha), and C reactive protein (CRP) decreased. CONCLUSIONS: The mechanism by
which treatment with AME improves insulin resistance in HepG2 cells may involve
the PI3K-Akt signaling pathway, the processes of glucose oxygenolysis, glycogen
synthesis, gluconeogenesis and inflammatory cytokine expression.
PMID- 27187342
TI - Multivalent Carbohydrate-Lectin Interactions: How Synthetic Chemistry Enables
Insights into Nanometric Recognition.
AB - Glycan recognition by sugar receptors (lectins) is intimately involved in many
aspects of cell physiology. However, the factors explaining the exquisite
selectivity of their functional pairing are not yet fully understood. Studies
toward this aim will also help appraise the potential for lectin-directed drug
design. With the network of adhesion/growth-regulatory galectins as therapeutic
targets, the strategy to recruit synthetic chemistry to systematically elucidate
structure-activity relationships is outlined, from monovalent compounds to glyco
clusters and glycodendrimers to biomimetic surfaces. The versatility of the
synthetic procedures enables to take examining structural and spatial parameters,
alone and in combination, to its limits, for example with the aim to produce
inhibitors for distinct galectin(s) that exhibit minimal reactivity to other
members of this group. Shaping spatial architectures similar to glycoconjugate
aggregates, microdomains or vesicles provides attractive tools to disclose the
often still hidden significance of nanometric aspects of the different modes of
lectin design (sequence divergence at the lectin site, differences of spatial
type of lectin-site presentation). Of note, testing the effectors alone or in
combination simulating (patho)physiological conditions, is sure to bring about
new insights into the cooperation between lectins and the regulation of their
activity.
PMID- 27187343
TI - Mechanism of the Zn(II)Phthalocyanines' Photochemical Reactions Depending on the
Number of Substituents and Geometry.
AB - In this work, the synthesis and the nonlinear absorption and population dynamics
investigation of a series of zinc phthalocyanines (ZnPcs) dissolved in chloroform
are reported. In order to determine the relevant spectroscopic parameters, such
as absorption cross-sections of singlet and triplet excited states, fluorescence
relaxation times, intersystem crossing, radiative decay and internal conversion,
different optical and spectroscopic techniques were used. By single pulse and
pulse train Z-scan techniques, respectively, singlet and triplet excited states'
absorption cross-section were determined at 532 nm. Furthermore, the intersystem
crossing time was obtained by using both techniques combined with the
fluorescence lifetime determined by time-resolved fluorescence. The radiative and
internal conversion rates were determined from the fluorescence quantum yield of
the samples. Such spectroscopy parameters are fundamental for selecting
photosensitizers used in photodynamic therapy, as well as for many other
applications.
PMID- 27187344
TI - Imidazolium Ionic Liquid Functionalized Carbon Nanotubes for Improved Interfacial
Charge Transfer and Simultaneous Determination of Dihydroxybenzene Isomers.
AB - In this paper; an imidazolium ionic liquid (IL) is used to functionalize multi
walled carbon nanotubes (MWNTs) by covalent bonding on the MWNT surface. The
functionalization not only provides a hydrophilic surface for ion accessibility
but also prevents the aggregation of MWNTs. The IL-functionalized MWNTs were then
applied for the electrochemical determination of the dihydroxybenzene isomers
hydroquinone (HQ); catechol (CC); and resorcinol (RC), exhibiting excellent
recognition ability towards the three compounds. The linear calibration ranges
for HQ; CC and RC are 0.9-150 MUM; 0.9-150 MUM and 1.9-145 MUM and the detection
limits are found to be 0.15 MUM for HQ; 0.10 MUM for CC and 0.38 MUM for RC based
on S/N of 3. The proposed electrochemical sensor was also found to be useful for
the determination of the dihydroxybenzene isomers in Yellow River water with
reliable recovery.
PMID- 27187345
TI - Characterization of the Principal Constituents of Danning Tablets, a Chinese
Formula Consisting of Seven Herbs, by an UPLC-DAD-MS/MS Approach.
AB - Danning Tablets are a traditional Chinese formula showing broad clinical
applications in hepatobiliary diseases and containing a diversity of bioactive
chemicals. However, the chemical profiling of the formula, which serves as the
material foundation of its efficacy, is really a big challenge as Danning Tablets
consist of seven herbs from different origins. An ultra-performance liquid
chromatography coupled to diode array detection and electrospray ionization mass
spectrometry (UPLC-DAD-ESI-MS/MS) approach was developed to characterize the
principal polyphenol constituents in the formula. As a result, a total of 32
constituents, including 14 anthraquinones and their glucosides, four anthrones,
two naphthalene glycosides, two stilbenes and 10 flavonoids were identified based
on their retention time, UV absorption and MS/MS fragmentation patterns. The
sources of these compounds were also illustrated. Most of the bioactive
anthraquinone derivatives were found in Rhei Radix et Rhizoma or Polygoni
Cuspidati Rhizoma et Radix, which are the Emperor drugs in the formula for its
clinic usage. These findings indicate the merit of using this integrated UPLC-DAD
ESI-MS/MS approach to rapidly illustrate the chemical foundation of complex
formulas. The present study will facilitate the quality control of Danning Tablet
formulas as well as the individual herbs.
PMID- 27187347
TI - Recent Developments in Solid-Phase Extraction for Near and Attenuated Total
Reflection Infrared Spectroscopic Analysis.
AB - A review with more than 100 references on the principles and recent developments
in the solid-phase extraction (SPE) prior and for in situ near and attenuated
total reflection (ATR) infrared spectroscopic analysis is presented. New
materials, chromatographic modalities, experimental setups and configurations are
described. Their advantages for fast sample preparation for distinct classes of
compounds containing different functional groups in order to enhance selectivity
and sensitivity are discussed and compared. This is the first review highlighting
both the fundamentals of SPE, near and ATR spectroscopy with a view to real
sample applicability and routine analysis. Most of real sample analyses examples
are found in environmental research, followed by food- and bioanalysis. In this
contribution a comprehensive overview of the most potent SPE-NIR and SPE-ATR
approaches is summarized and provided.
PMID- 27187346
TI - Antitumor Effect of the Mannich Base(1,3-bis-((3-Hydroxynaphthalen-2
yl)phenylmethyl)urea) on Hepatocellular Carcinoma.
AB - The present study was designed to evaluate the antitumor effects of the synthetic
Mannich base 1,3-bis-((3-hydroxynaphthalen-2-yl)phenylmethyl)urea (1,3-BPMU)
against HEP-G2 hepatoma cells and diethylnitrosamine (DEN)-induced
hepatocarcinoma (HCC) in albino rats. In vitro analysis results revealed that 1,3
BPMU showed significant cytotoxicity and cell growth inhibition in HEP-G2
hepatoma cells in a concentration-dependent manner. Furthermore, flow cytometry
results indicated that 1,3-BPMU enhanced early and late apoptosis. The maximum
apoptosis was exhibited at a concentration of 100 MUg/mL of 1,3-BPMU. In in vivo
analysis, DEN treatment increased the content of nucleic acids, LPO and the
activities of AST, ALT, ALP, LDH, gammaGT and 5'NT with decreased antioxidant
activity as compared to control rats. However, 1,3-BPMU treatment to DEN-induced
rats decreased the content of nucleic acids, LPO and the activities of AST, ALT,
ALP, LDH, gammaGT and 5'NT and increased the activities of SOD, CAT, GPx, GST and
GR (p < 0.05). Furthermore, 1,3-BPMU enhanced the apoptosis via upregulation of
caspase-3 and caspase-9 and the downregulation of Bcl-2 and Bcl-XL mRNA
expression as compared to DEN-induced rats. Histological and ultrastructural
investigation showed that 1,3-BPMU treatment renovated the internal architecture
of the liver in DEN-induced rats. In this study, the molecular and pre-clinical
results obtained by treatment of DEN-induced rats with 1,3-BPMU suggested that
1,3-BPMU might be considered as an antitumor compound in the future.
PMID- 27187348
TI - Synthesis and Biological Evaluation of Benzochromenopyrimidinones as
Cholinesterase Inhibitors and Potent Antioxidant, Non-Hepatotoxic Agents for
Alzheimer's Disease.
AB - We report herein the straightforward two-step synthesis and biological assessment
of novel racemic benzochromenopyrimidinones as non-hepatotoxic,
acetylcholinesterase inhibitors with antioxidative properties. Among them,
compound 3Bb displayed a mixed-type inhibition of human acetylcholinesterase
(IC50 = 1.28 +/- 0.03 MUM), good antioxidant activity, and also proved to be non
hepatotoxic on human HepG2 cell line.
PMID- 27187349
TI - The Suppression of Columnar pi-Stacking in 3-Adamantyl-1-phenyl-1,4
dihydrobenzo[e][1,2,4]triazin-4-yl.
AB - 3-Adamantyl-1-phenyl-1,4-dihydrobenzo[e][1,2,4]triazin-4-yl (4) crystallizes as
chains of radicals where the spin bearing benzotriazinyl moieties are isolated
from each other. Magnetic susceptibility studies in the 5-300 K temperature
region indicate that radical 4 demonstrates typical paramagnetic behavior
stemming from non-interacting S = 1/2 spins.
PMID- 27187350
TI - Broadband Two-Photon Absorption Characteristics of Highly Photostable Fluorenyl
Dicyanoethylenylated [60]Fullerene Dyads.
AB - We synthesized four C60-(light-harvesting antenna) dyads C60 (>CPAF-Cn) (n = 4,
9, 12, or 18) 1-Cn for the investigation of their broadband nonlinear absorption
effect. Since we have previously demonstrated their high function as two-photon
absorption (2PA) materials at 1000 nm, a different 2PA wavelength of 780 nm was
applied in the study. The combined data taken at two different wavelength ranges
substantiated the broadband characteristics of 1-Cn. We proposed that the
observed broadband absorptions may be attributed by a partial pi-conjugation
between the C60 > cage and CPAF-Cn moieties, via endinitrile tautomeric
resonance, giving a resonance state with enhanced molecular conjugation. This
transient state could increase its 2PA and excited-state absorption at 800 nm. In
addition, a trend of concentration-dependent 2PA cross-section (sigma2 ) and
excited-state absorption magnitude was detected showing a higher sigma value at a
lower concentration that was correlated to increasing molecular separation with
less aggregation for dyads C60(>CPAF-C18) and C60(>CPAF-C9), as better 2PA and
excited-state absorbers.
PMID- 27187351
TI - Chronic Heat Stress Induces Immune Response, Oxidative Stress Response, and
Apoptosis of Finishing Pig Liver: A Proteomic Approach.
AB - Heat stress (HS) negatively affects human health, animal welfare, and livestock
production. We analyzed the hepatic proteomes of finishing pigs subjected to
chronic heat stress (HS), thermal neutral (TN), and restricted feed intake
conditions, identifying differences between direct and indirect (via reduced feed
intake) HS. Twenty-four castrated male pigs were randomly allocated to three
treatments for three weeks: (1) thermal neutral (TN) (22 degrees C) with ad
libitum feeding; (2) chronic HS (30 degrees C) with ad libitum feeding; and (3)
TN, pair-fed to HS intake (PF). Hepatic proteome analysis was conducted using two
dimensional gel electrophoresis and mass spectrometry. Both HS and PF
significantly reduced liver weight (p < 0.05). Forty-five hepatic proteins were
differentially abundant when comparing HS with TN (37), PF with TN (29), and HS
with PF (16). These proteins are involved in heat shock response and immune
defense, oxidative stress response, cellular apoptosis, metabolism, signal
transduction, and cytoskeleton. We also observed increased abundance of proteins
and enzymes associated with heat shock response and immune defense, reduced the
redox state, enhanced multiple antioxidant abilities, and increased apoptosis in
HS liver. Heat-load, independent of reduced feed intake, induced an innate immune
response, while food restriction caused stress and cellular apoptosis. Our
results provide novel insights into the effects of chronic HS on liver.
PMID- 27187352
TI - Exploratory Characterization of Phenolic Compounds with Demonstrated Anti
Diabetic Activity in Guava Leaves at Different Oxidation States.
AB - Psidium guajava L. is widely used like food and in folk medicine all around the
world. Many studies have demonstrated that guava leaves have anti-hyperglycemic
and anti-hyperlipidemic activities, among others, and that these activities
belong mainly to phenolic compounds, although it is known that phenolic
composition in guava tree varies throughout seasonal changes. Andalusia is one of
the regions in Europe where guava is grown, thus, the aim of this work was to
study the phenolic compounds present in Andalusian guava leaves at different
oxidation states (low, medium, and high). The phenolic compounds in guava leaves
were determined by HPLC-DAD-ESI-QTOF-MS. The results obtained by chromatographic
analysis reported that guava leaves with low degree of oxidation had a higher
content of flavonols, gallic, and ellagic derivatives compared to the other two
guava leaf samples. Contrary, high oxidation state guava leaves reported the
highest content of cyanidin-glucoside that was 2.6 and 15 times higher than guava
leaves with medium and low oxidation state, respectively. The QTOF platform
permitted the determination of several phenolic compounds with anti-diabetic
properties and provided new information about guava leaf phenolic composition
that could be useful for nutraceutical production.
PMID- 27187353
TI - Involvement of CmWRKY10 in Drought Tolerance of Chrysanthemum through the ABA
Signaling Pathway.
AB - Drought is one of the important abiotic factors that adversely affects plant
growth and production. The WRKY transcription factor plays a pivotal role in
plant growth and development, as well as in the elevation of many abiotic
stresses. Among three major groups of the WRKY family, the group IIe WRKY has
been the least studied in floral crops. Here, we report functional aspects of
group IIe WRKY member, i.e., CmWRKY10 in chrysanthemum involved in drought
tolerance. The transactivation assay showed that CmWRKY10 had transcriptional
activity in yeast cells and subcellular localization demonstrated that it was
localized in nucleus. Our previous study showed that CmWRKY10 could be induced by
drought in chrysanthemum. Moreover, the overexpression of CmWRKY10 in transgenic
chrysanthemum plants improved tolerance to drought stress compared to wild-type
(WT). High expression of DREB1A, DREB2A, CuZnSOD, NCED3A, and NCED3B transcripts
in overexpressed plants provided strong evidence that drought tolerance mechanism
was associated with abscisic acid (ABA) pathway. In addition, lower accumulation
of reactive oxygen species (ROS) and higher enzymatic activity of peroxidase,
superoxide dismutase and catalase in CmWRKY10 overexpressed lines than that of WT
demonstrates its role in drought tolerance. Together, these findings reveal that
CmWRKY10 works as a positive regulator in drought stress by regulating stress
related genes.
PMID- 27187354
TI - Hairpin RNA Targeting Multiple Viral Genes Confers Strong Resistance to Rice
Black-Streaked Dwarf Virus.
AB - Rice black-streaked dwarf virus (RBSDV) belongs to the genus Fijivirus in the
family of Reoviridae and causes severe yield loss in rice-producing areas in
Asia. RNA silencing, as a natural defence mechanism against plant viruses, has
been successfully exploited for engineering virus resistance in plants, including
rice. In this study, we generated transgenic rice lines harbouring a hairpin RNA
(hpRNA) construct targeting four RBSDV genes, S1, S2, S6 and S10, encoding the
RNA-dependent RNA polymerase, the putative core protein, the RNA silencing
suppressor and the outer capsid protein, respectively. Both field nursery and
artificial inoculation assays of three generations of the transgenic lines showed
that they had strong resistance to RBSDV infection. The RBSDV resistance in the
segregating transgenic populations correlated perfectly with the presence of the
hpRNA transgene. Furthermore, the hpRNA transgene was expressed in the highly
resistant transgenic lines, giving rise to abundant levels of 21-24 nt small
interfering RNA (siRNA). By small RNA deep sequencing, the RBSDV-resistant
transgenic lines detected siRNAs from all four viral gene sequences in the hpRNA
transgene, indicating that the whole chimeric fusion sequence can be efficiently
processed by Dicer into siRNAs. Taken together, our results suggest that long
hpRNA targeting multiple viral genes can be used to generate stable and durable
virus resistance in rice, as well as other plant species.
PMID- 27187355
TI - Cell and Signal Components of the Microenvironment of Bone Metastasis Are
Affected by Hypoxia.
AB - Bone metastatic cells release bone microenvironment proteins, such as the
matricellular protein SPARC (secreted protein acidic and rich in cysteine), and
share a cell signaling typical of the bone metabolism controlled by Runx2. The
megakaryocytes in the bone marrow engrafted by the metastases seem to be one of
the principal microenvironment sources of the biological stimuli, implicated in
the formation of an osteoblastic niche, and affecting metastasis phenotype and
colonization. Educated platelets in the circulation might derive from
megakaryocytes in bone metastasis. The evaluation of predictive markers in the
circulating platelets might be useful for the stratification of patients for
therapeutic purposes. The hypoxic environment in bone metastasis is one of the
key regulators of the network of the biological soluble and structural components
of the matrix. In bone metastatic cells under hypoxia, similar patterns of Runx2
and SPARC are observed, both showing downregulation. Conversely, hypoxia induces
Endothelin 1, which upregulates SPARC, and these biological stimuli may be
considered prognostic markers of bone metastasis in breast carcinoma patients.
PMID- 27187356
TI - Rapid Diminution in the Level and Activity of DNA-Dependent Protein Kinase in
Cancer Cells by a Reactive Nitro-Benzoxadiazole Compound.
AB - The expression and activity of DNA-dependent protein kinase (DNA-PK) is related
to DNA repair status in the response of cells to exogenous and endogenous
factors. Recent studies indicate that Epidermal Growth Factor Receptor (EGFR) is
involved in modulating DNA-PK. It has been shown that a compound 4-nitro-7-[(1
oxidopyridin-2-yl)sulfanyl]-2,1,3-benzoxadiazole (NSC), bearing a nitro
benzoxadiazole (NBD) scaffold, enhances tyrosine phosphorylation of EGFR and
triggers downstream signaling pathways. Here, we studied the behavior of DNA-PK
and other DNA repair proteins in prostate cancer cells exposed to compound NSC.
We showed that both the expression and activity of DNA-PKcs (catalytic subunit of
DNA-PK) rapidly decreased upon exposure of cells to the compound. The decline in
DNA-PKcs was associated with enhanced protein ubiquitination, indicating the
activation of cellular proteasome. However, pretreatment of cells with
thioglycerol abolished the action of compound NSC and restored the level of DNA
PKcs. Moreover, the decreased level of DNA-PKcs was associated with the
production of intracellular hydrogen peroxide by stable dimeric forms of Cu/Zn
SOD1 induced by NSC. Our findings indicate that reactive oxygen species and
electrophilic intermediates, generated and accumulated during the redox
transformation of NBD compounds, are primarily responsible for the rapid
modulation of DNA-PKcs functions in cancer cells.
PMID- 27187357
TI - Design and Application of Antimicrobial Peptide Conjugates.
AB - Antimicrobial peptides (AMPs) are an interesting class of antibiotics
characterized by their unique antibiotic activity and lower propensity for
developing resistance compared to common antibiotics. They belong to the class of
membrane-active peptides and usually act selectively against bacteria, fungi and
protozoans. AMPs, but also peptide conjugates containing AMPs, have come more and
more into the focus of research during the last few years. Within this article,
recent work on AMP conjugates is reviewed. Different aspects will be highlighted
as a combination of AMPs with antibiotics or organometallic compounds aiming to
increase antibacterial activity or target selectivity, conjugation with
photosensitizers for improving photodynamic therapy (PDT) or the attachment to
particles, to name only a few. Owing to the enormous resonance of antimicrobial
conjugates in the literature so far, this research topic seems to be very
attractive to different scientific fields, like medicine, biology, biochemistry
or chemistry.
PMID- 27187358
TI - The Growing Complexity of Cancer Cell Response to DNA-Damaging Agents: Caspase 3
Mediates Cell Death or Survival?
AB - It is widely stated that wild-type p53 either mediates the activation of cell
cycle checkpoints to facilitate DNA repair and promote cell survival, or
orchestrates apoptotic cell death following exposure to cancer therapeutic
agents. This reigning paradigm has been challenged by numerous discoveries with
different human cell types, including solid tumor-derived cell lines. Thus,
activation of the p53 signaling pathway by ionizing radiation and other DNA
damaging agents hinders apoptosis and triggers growth arrest (e.g., through
premature senescence) in some genetic backgrounds; such growth arrested cells
remain viable, secrete growth-promoting factors, and give rise to progeny with
stem cell-like properties. In addition, caspase 3, which is best known for its
role in the execution phase of apoptosis, has been recently reported to
facilitate (rather than suppress) DNA damage-induced genomic instability and
carcinogenesis. This observation is consistent with an earlier report
demonstrating that caspase 3 mediates secretion of the pro-survival factor
prostaglandin E2, which in turn promotes enrichment of tumor repopulating cells.
In this article, we review these and related discoveries and point out novel
cancer therapeutic strategies. One of our objectives is to demonstrate the
growing complexity of the DNA damage response beyond the conventional "repair and
survive, or die" hypothesis.
PMID- 27187359
TI - Expression and Function of miR-155 in Diseases of the Gastrointestinal Tract.
AB - MicroRNAs (miRNAs) are a type of small noncoding RNA that can regulate the
expression of target genes under physiological and pathophysiological conditions.
miR-155 is a multifunctional miRNA with inflammation-related and oncogenic roles.
In particular, the dysregulation of miR-155 has been strongly implicated in
Helicobacter pylori-related gastric disease, inflammatory bowel disease, and
colorectal cancer in addition to being involved in molecular changes of important
targets and signaling pathways. This review focuses on the expression and
function of miR-155 during inflammation and carcinogenesis and its potential use
as an effective therapeutic target for certain gastrointestinal diseases.
PMID- 27187361
TI - Mitochondria in Ageing and Diseases: The Super Trouper of the Cell.
AB - The past decade has witnessed an explosion of knowledge regarding how
mitochondrial dysfunction may translate into ageing and disease phenotypes, as
well as how it is modulated by genetic and lifestyle factors.[...].
PMID- 27187360
TI - G Protein-Coupled Receptor Signaling in Stem Cells and Cancer.
AB - G protein-coupled receptors (GPCRs) are a large superfamily of cell-surface
signaling proteins that bind extracellular ligands and transduce signals into
cells via heterotrimeric G proteins. GPCRs are highly tractable drug targets.
Aberrant expression of GPCRs and G proteins has been observed in various cancers
and their importance in cancer stem cells has begun to be appreciated. We have
recently reported essential roles for G protein-coupled receptor 84 (GPR84) and G
protein subunit Galphaq in the maintenance of cancer stem cells in acute myeloid
leukemia. This review will discuss how GPCRs and G proteins regulate stem cells
with a focus on cancer stem cells, as well as their implications for the
development of novel targeted cancer therapies.
PMID- 27187362
TI - Evidence for the Induction of Key Components of the NOTCH Signaling Pathway via
Deltamethrin and Azamethiphos Treatment in the Sea Louse Caligus rogercresseyi.
AB - The extensive use of organophosphates and pyrethroids in the aquaculture industry
has negatively impacted parasite sensitivity to the delousing effects of these
antiparasitics, especially among sea lice species. The NOTCH signaling pathway is
a positive regulator of ABC transporter subfamily C expression and plays a key
role in the generation and modulation of pesticide resistance. However, little is
known about the molecular mechanisms behind pesticide resistance, partly due to
the lack of genomic and molecular information on the processes involved in the
resistance mechanism of sea lice. Next-generation sequencing technologies provide
an opportunity for rapid and cost-effective generation of genome-scale data. The
present study, through RNA-seq analysis, determined that the sea louse Caligus
rogercresseyi (C. rogercresseyi) specifically responds to the delousing drugs
azamethiphos and deltamethrin at the transcriptomic level by differentially
activating mRNA of the NOTCH signaling pathway and of ABC genes. These results
suggest that frequent antiparasitic application may increase the activity of
inhibitory mRNA components, thereby promoting inhibitory NOTCH output and
conditions for increased resistance to delousing drugs. Moreover, data analysis
underscored that key functions of NOTCH/ABC components were regulated during
distinct phases of the drug response, thus indicating resistance modifications in
C. rogercresseyi resulting from the frequent use of organophosphates and
pyrethroids.
PMID- 27187363
TI - Case Characterization, Clinical Features and Risk Factors in Drug-Induced Liver
Injury.
AB - Idiosyncratic drug-induced liver injury (DILI) caused by xenobiotics (drugs,
herbals and dietary supplements) presents with a range of both phenotypes and
severity, from acute hepatitis indistinguishable of viral hepatitis to autoimmune
syndromes, steatosis or rare chronic vascular syndromes, and from asymptomatic
liver test abnormalities to acute liver failure. DILI pathogenesis is complex,
depending on the interaction of drug physicochemical properties and host factors.
The awareness of risk factors for DILI is arising from the analysis of large
databases of DILI cases included in Registries and Consortia networks around the
world. These networks are also enabling in-depth phenotyping with the
identification of predictors for severe outcome, including acute liver failure
and mortality/liver transplantation. Genome wide association studies taking
advantage of these large cohorts have identified several alleles from the major
histocompatibility complex system indicating a fundamental role of the adaptive
immune system in DILI pathogenesis. Correct case definition and characterization
is crucial for appropriate phenotyping, which in turn will strengthen sample
collection for genotypic and future biomarkers studies.
PMID- 27187364
TI - MicroRNA in United Airway Diseases.
AB - The concept of united airway diseases (UAD) has received increasing attention in
recent years. Sustained and increased inflammation is a common feature of UAD,
which is inevitably accompanied with marked gene modification and tight gene
regulation. However, gene regulation in the common inflammatory processes in UAD
remains unclear. MicroRNA (miRNA), a novel regulator of gene expression, has been
considered to be involved in many inflammatory diseases. Although there are an
increasing number of studies of miRNAs in inflammatory upper and lower airway
diseases, few miRNAs have been identified that directly link the upper and lower
airways. In this article, therefore, we reviewed the relevant studies available
in order to improve the understanding of the roles of miRNAs in the interaction
and pathogenesis of UAD.
PMID- 27187366
TI - Hepcidin-Induced Iron Deficiency Is Related to Transient Anemia and Hypoferremia
in Kawasaki Disease Patients.
AB - Kawasaki disease (KD) is a type of systemic vasculitis that primarily affects
children under the age of five years old. For sufferers of KD, intravenous
immunoglobulin (IVIG) has been found to successfully diminish the occurrence of
coronary artery lesions. Anemia is commonly found in KD patients, and we have
shown that in appropriately elevated hepcidin levels are related to decreased
hemoglobin levels in these patients. In this study, we investigated the time
period of anemia and iron metabolism during different stages of KD. A total of
100 patients with KD and 20 control subjects were enrolled in this study for red
blood cell and hemoglobin analysis. Furthermore, plasma, urine hepcidin, and
plasma IL-6 levels were evaluated using enzyme-linked immunosorbent assay in 20
KD patients and controls. Changes in hemoglobin, plasma iron levels, and total
iron binding capacity (TIBC) were also measured in patients with KD. Hemoglobin,
iron levels, and TIBC were lower (p < 0.001, p = 0.009, and p < 0.001,
respectively) while plasma IL-6 and hepcidin levels (both p < 0.001) were higher
in patients with KD than in the controls prior to IVIG administration. Moreover,
plasma hepcidin levels were positively and significantly correlated with urine
hepcidin levels (p < 0.001) prior to IVIG administration. After IVIG treatment,
plasma hepcidin and hemoglobin levels significantly decreased (both p < 0.001).
Of particular note was a subsequent gradual increase in hemoglobin levels during
the three weeks after IVIG treatment; nevertheless, the hemoglobin levels stayed
lower in KD patients than in the controls (p = 0.045). These findings provide a
longitudinal study of hemoglobin changes and among the first evidence that
hepcidin induces transient anemia and hypoferremia during KD's acute inflammatory
phase.
PMID- 27187367
TI - Is the Efficiency of RNA Silencing Evolutionarily Regulated?
AB - Small interfering RNAs (siRNAs) and microRNAs (miRNAs) regulate gene expression
in a sequence-specific manner. Genes with partial complementarity to siRNA/miRNA
sequences in their 3'-untranslated regions (UTRs) are suppressed by a mechanism
referred to as the siRNA off-target effect or miRNA-mediated RNA silencing.
However, the determinants of such RNA silencing efficiency are poorly understood.
Previously, I and co-workers reported that the efficiency of RNA silencing is
strongly correlated with the thermodynamic stability of base pairing in the
duplex formed within an siRNA/miRNA and between the seed region and its target
mRNA. In this review, I first summarize our previous studies that identified the
thermodynamic parameter to estimate the silencing efficiency using the calculated
base pairing stability: siRNAs downregulate the expression of off-target genes
depending on the stability of binding between the siRNA seed region (nucleotides
2-8) and off-target mRNAs, and miRNAs downregulate target mRNA expression
depending on the stability of the duplex formed between the 5' terminus of the
miRNA and its target mRNA. I further discuss the possibility that such
thermodynamic features of silencing efficiency may have arisen during evolution
with increasing body temperature in various organisms.
PMID- 27187365
TI - Non-Alcoholic Fatty Liver Disease and Extra-Hepatic Cancers.
AB - Non-alcoholic fatty liver disease (NAFLD) is a leading cause of chronic liver
disease but the second cause of death among NAFLD patients are attributed to
malignancies at both gastrointestinal (liver, colon, esophagus, stomach, and
pancreas) and extra-intestinal sites (kidney in men, and breast in women).
Obesity and related metabolic abnormalities are associated with increased
incidence or mortality for a number of cancers. NAFLD has an intertwined
relationship with metabolic syndrome and significantly contributes to the risk of
hepatocellular carcinoma (HCC), but recent evidence have fuelled concerns that
NAFLD may be a new, and added, risk factor for extra-hepatic cancers,
particularly in the gastrointestinal tract. In this review we critically appraise
key studies on NAFLD-associated extra-hepatic cancers and speculate on how NAFLD
may influence carcinogenesis at these sites.
PMID- 27187368
TI - The Effect of Minimally Invasive Hematoma Aspiration on the JNK Signal
Transduction Pathway after Experimental Intracerebral Hemorrhage in Rats.
AB - OBJECTIVE: To explore the effect of minimally invasive hematoma aspiration (MIHA)
on the c-Jun NH2-terminal kinase (JNK) signal transduction pathway after
intracerebral hemorrhage (ICH). METHODS: In this experiment, 300 adult male
Wistar rats were randomly and averagely divided into sham-operated group, ICH
group and MIHA group. In each group, 60 rats were used in the detection of
indexes in this experiment, while the other 40 rats were used to replace rats
which reached the exclusion criteria (accidental death or operation failure). In
ICH group and MIHA group, ICH was induced by injection of 70 uL of autologous
arterial blood into rat brain, while only the rats in MIHA group were treated by
MIHA 6 h after ICH. Rats in sham-operated group were injected nothing into
brains, and they were not treated either, like rats in ICH group. In each group,
six rats were randomly selected to observe their Bederson's scales persistently
(6, 24, 48, 72, 96, 120 h after ICH). According to the time they were sacrificed,
the remaining rats in each group were divided into 3 subgroups (24, 72, 120 h).
The change of brain water content (BWC) was measured by the wet weight to dry
weight ratio method. The morphology of neurons in cortex was observed by the
hematoxylin-eosin (HE) staining. The expressions of phospho-c-Jun NH2-terminal
kinase (pJNK) and JNK in peri-hematomal brain tissue were determined by the
immunohistochemistry (IHC) and Western blotting (WB). RESULTS: At all time
points, compared with the ICH groups, the expression of pJNK decreased obviously
in MIHA groups (p < 0.05), while their Bederson's scales and BWC declined, and
neuron injury in the cortex was relieved. The expression level of JNK was not
altered at different groups. The data obtained by IHC and WB indicated a high
level of consistency, which provided a certain dependability of the test results.
CONCLUSION: The JNK signal transduction pathway could be activated after
intracerebral hemorrhage, with the expressions of pJNK increasing. MIHA could
relieve the histo-pathological damage of nerve cells, reducing brain edema and
neurological deficits, and these neuroprotective effects might be associated with
suppression of JNK signal transduction pathway.
PMID- 27187369
TI - Hybrid Cells Derived from Human Breast Cancer Cells and Human Breast Epithelial
Cells Exhibit Differential TLR4 and TLR9 Signaling.
AB - TLRs are important receptors of cells of the innate immune system since they
recognize various structurally conserved molecular patterns of different
pathogens as well as endogenous ligands. In cancer, the role of TLRs is still
controversial due to findings that both regression and progression of tumors
could depend on TLR signaling. In the present study, M13SV1-EGFP-Neo human breast
epithelial cells, MDA-MB-435-Hyg human breast cancer cells and two hybrids
M13MDA435-1 and -3 were investigated for TLR4 and TLR9 expression and signaling.
RT-PCR data revealed that LPS and CpG-ODN induced the expression of pro
inflammatory cytokines, like IFN-beta, TNF-alpha, IL-1beta and IL-6 in hybrid
cells, but not parental cells. Interestingly, validation of RT-PCR data by
Western blot showed detectable protein levels solely after LPS stimulation,
suggesting that regulatory mechanisms are also controlled by TLR signaling.
Analysis of pAKT and pERK1/2 levels upon LPS and CpG-ODN stimulation revealed a
differential phosphorylation pattern in all cells. Finally, the migratory
behavior of the cells was investigated showing that both LPS and CpG-ODN potently
blocked the locomotory activity of the hybrid cells in a dose-dependent manner.
In summary, hybrid cells exhibit differential TLR4 and TLR9 signaling.
PMID- 27187370
TI - Design of Acceptors with Suitable Frontier Molecular Orbitals to Match Donors via
Substitutions on Perylene Diimide for Organic Solar Cells.
AB - A series of perylene diimide (PDI) derivatives have been investigated at the CAM
B3LYP/6-31G(d) and the TD-B3LYP/6-31+G(d,p) levels to design solar cell acceptors
with high performance in areas such as suitable frontier molecular orbital (FMO)
energies to match oligo(thienylenevinylene) derivatives and improved charge
transfer properties. The calculated results reveal that the substituents slightly
affect the distribution patterns of FMOs for PDI-BI. The electron withdrawing
group substituents decrease the FMO energies of PDI-BI, and the electron donating
group substituents slightly affect the FMO energies of PDI-BI. The di-electron
withdrawing group substituents can tune the FMOs of PDI-BI to be more suitable
for the oligo(thienylenevinylene) derivatives. The electron withdrawing group
substituents result in red shifts of absorption spectra and electron donating
group substituents result in blue shifts for PDI-BI. The -CN substituent can
improve the electron transport properties of PDI-BI. The -CH3 group in different
positions slightly affects the electron transport properties of PDI-BI.
PMID- 27187372
TI - Gene-Silencing-Induced Changes in Carbohydrate Conformation in Relation to
Bioenergy Value and Carbohydrate Subfractions in Modeled Plant (Medicago sativa)
with Down-Regulation of HB12 and TT8 Transcription Factors.
AB - Gene silencing with RNA interference (RNAi) technology may be capable of
modifying internal structure at a molecular level. This structural modification
could affect biofunctions in terms of biodegradation, biochemical metabolism, and
bioactive compound availability. The objectives of this study were to (1) Detect
gene silencing-induced changes in carbohydrate molecular structure in an alfalfa
forage (Medicago sativa spp. sativa: alfalfa) with down-regulation of genes that
encode transcription factors TT8 and HB12; (2) Determine gene silencing-induced
changes in nutrient bioutilization and bioavailability in the alfalfa forage
(Medicago sativa); and (3) Quantify the correlation between gene silencing
induced molecular structure changes and the nutrient bioutilization and
bioavailability in animals of ruminants. The experimental treatments included: T1
= Non-transgenic and no-gene silenced alfalfa forage (code "NT"); T2 = HB12-RNAi
forage with HB12 gene down regulation (code "HB12"); T3 = TT8-RNAi forage with
TT8 gene down regulation (code "TT8"). The HB12 and TT8 gene silencing-induced
molecular structure changes were determined by non-invasive and non-destructive
advanced molecular spectroscopy in a middle infrared radiation region that
focused on structural, non-structural and total carbohydrate compounds. The
nutrient bioutilization and bioavailability of the modified forage were
determined using NRC-2001 system in terms of total digestive nutrient (TDN),
truly digestible fiber (tdNDF), non-fiber carbohydrate (tdNDF), fatty acid
(tdFA), crude protein (tdCP) and bioenergy profiles (digestible energy,
metabolizable energy, net energy) for ruminants. The carbohydrate subfractions
were evaluated using the updated CNCPS 6.0 system. The results showed that gene
silencing significantly affected tdNFC (42.3 (NT) vs. 38.7 (HB12) vs. 37.4% Dry
Matter (TT8); p = 0.016) and tdCP (20.8 (NT) vs. 19.4 (HB12) vs. 22.3% DM (TT8);
p = 0.009). The gene-silencing also affected carbohydrate CA4 (7.4 (NT) vs. 4.2
(HB12) and 4.4% carbohydrate (CHO) (TT8), p = 0.063) and CB1 fractions (5.3 (NT)
vs. 2.0 (HB12) and 2.6% CHO (TT8), p = 0.006). The correlation study showed that
the structural CHO functional group peak area intensity at ca. 1315 cm(-1) was
significantly correlated to the TDN1x (r = -0.83, p = 0.042) and the tdNFC (r =
0.83, p = 0.042), the structural CHO functional group height intensity at ca.
1370 cm(-1) was significantly correlated to the tdNDF (r = -0.87, p = 0.025). The
A_Non-stCHO to A_StCHO ratio and A_Non-stCHO to A_CHO ratio were significantly
correlated to the tdFA (r = 0.83-0.91, p < 0.05). As to carbohydrate fractions,
both CA4 and CB1 correlated with carbohydrate spectral intensity of the H_1415
and the H_1315 (p = 0.039; p = 0.059, respectively), CB3 tended to correlate with
the H_1150, H_1100 and H_1025 (p < 0.10). In conclusion, RNAi-mediated silencing
of HB12 and TT8 modified not only inherent CHO molecular structure but also the
biofunctions. The CHO molecular structure changes induced by RNAi gene silencing
were associated with biofunctions in terms of the carbohydrate subfractions and
nutrient digestion.
PMID- 27187371
TI - Managing Pancreatic Adenocarcinoma: A Special Focus in MicroRNA Gene Therapy.
AB - Pancreatic cancer is an aggressive disease and the fourth most lethal cancer in
developed countries. Despite all progress in medicine and in understanding the
molecular mechanisms of carcinogenesis, pancreatic cancer still has a poor
prognosis, the median survival after diagnosis being around 3 to 6 months and the
survival rate of 5 years being less than 4%. For pancreatic ductal adenocarcinoma
(PDAC), which represents more than 90% of new pancreatic cancer cases, the
prognosis is worse than for the other cancers with a patient mortality of
approximately 99%. Therefore, there is a pressing need for developing new and
efficient therapeutic strategies for pancreatic cancer. In this regard, microRNAs
not only have been seen as potential diagnostic and prognostic molecular markers
but also as promising therapeutic agents. In this context, this review provides
an examination of the most frequently deregulated microRNAs (miRNAs) in PDAC and
their putative molecular targets involved in the signaling pathways of
pancreatic?carcinogenesis. Additionally, it is presented a summary of gene
therapy clinical trials involving miRNAs and it is illustrated the therapeutic
potential associated to these small non-coding RNAs, for PDAC treatment. The
facts presented here constitute a strong evidence of the remarkable opportunity
associated to the application of microRNA-based therapeutic strategies as a novel
approach for cancer therapy.
PMID- 27187373
TI - Site-Specific Integration of Exogenous Genes Using Genome Editing Technologies in
Zebrafish.
AB - The zebrafish (Danio rerio) is an ideal vertebrate model to investigate the
developmental molecular mechanism of organogenesis and regeneration. Recent
innovation in genome editing technologies, such as zinc finger nucleases (ZFNs),
transcription activator-like effector nucleases (TALENs) and the clustered
regularly interspaced short palindromic repeats (CRISPR)/CRISPR associated
protein 9 (Cas9) system, have allowed researchers to generate diverse genomic
modifications in whole animals and in cultured cells. The CRISPR/Cas9 and TALEN
techniques frequently induce DNA double-strand breaks (DSBs) at the targeted
gene, resulting in frameshift-mediated gene disruption. As a useful application
of genome editing technology, several groups have recently reported efficient
site-specific integration of exogenous genes into targeted genomic loci. In this
review, we provide an overview of TALEN- and CRISPR/Cas9-mediated site-specific
integration of exogenous genes in zebrafish.
PMID- 27187374
TI - A Comparison of Fresh Frozen vs. Formalin-Fixed, Paraffin-Embedded Specimens of
Canine Mammary Tumors via Branched-DNA Assay.
AB - Mammary neoplasms are the tumors most affecting female dogs and women. Formalin
fixed, paraffin-embedded (FFPE) tissues are an invaluable source of archived
biological material. Fresh frozen (FF) tissue is considered ideal for gene
expression analysis. However, strategies based on FFPE material offer several
advantages. Branched-DNA assays permit a reliable and fast workflow when
analyzing gene expression. The aim of this study was to assess the comparability
of the branched-DNA assay when analyzing certain gene expression patterns between
FF and FFPE samples in canine mammary tumors. RNA was isolated from 109 FFPE
samples and from 93 FF samples of different canine mammary tissues. Sixteen (16)
target genes (Tp53; Myc; HMGA1; Pik3ca; Mcl1; MAPK3; FOXO3; PTEN; GATA4; PFDN5;
HMGB1; MAPK1; BRCA2; BRCA1; HMGA2; and Her2) were analyzed via branched-DNA assay
(b-DNA). ACTB, GAPDH, and HPRT1 were used as data normalizers. Overall, the
relative gene expression of the two different origins of samples showed an
agreement of 63%. Still, care should be taken, as FFPE specimens showed lower
expression of the analyzed targets when compared to FF samples. The fact that the
gene expression in FFPE proved to be lower than in FF specimens is likely to have
been caused by the effect of storage time. ACTB had the best performance as a
data normalizer.
PMID- 27187375
TI - The Use of 1alpha,25-Dihydroxyvitamin D3 as an Anticancer Agent.
AB - The notion that vitamin D can influence the incidence of cancer arose from
epidemiological studies. The major source of vitamin D in the organism is skin
production upon exposure to ultra violet-B. The very first observation of an
inverse correlation between exposure of individuals to the sun and the likelihood
of cancer was reported as early as 1941. In 1980, Garland and Garland
hypothesised, from findings from epidemiological studies of patients in the US
with colon cancer, that vitamin D produced in response to sun exposure is
protective against cancer as opposed to sunlight per se. Later studies revealed
inverse correlations between sun exposure and the occurrence of prostate and
breast cancers. These observations prompted laboratory investigation of whether
or not vitamin D had an effect on cancer cells. Vitamin D is not active against
cancer cells, but the most active metabolite 1alpha,25-dihydroxyvitamin D3
(1,25D) has profound biological effects. Here, we review the anticancer action of
1,25D, clinical trials of 1,25D to date and the prospects of the future
therapeutic use of new and low calcaemic analogues.
PMID- 27187376
TI - Nrf2 Expressions Correlate with WHO Grades in Gliomas and Meningiomas.
AB - BACKGROUND: Nuclear factor erythroid 2-related factor 2 (NFE2L2, also known as
Nrf2) is associated with cellular progression and chemotherapeutic resistance in
some human cancers. We tested the relationship between Nrf2 expression and
survival of patients with primary brain tumors (PBTs). METHODS: In order to
realize Nrf2 protein expression in gliomas, Western blot analysis was performed
in normal brain tissue and U87MG, LN229, GBM8401 and U118MG glioma cell lines
protein lysates. Then, U87MG, LN229, and GBM8401 mRNA were applied to performed
quantitative RT-PCR for detect Nrf2 gene expression in glioma cell lines. At
last, immunohistochemical analysis was used to determine the expression of Nrf2
in samples from 178 PBTs and 10 non-neoplastic brain tissues. RESULTS: In these
included in vitro studies, both Nrf2 protein and mRNA expression in all human
glioma cell lines were higher than normal brain tissue. Similarly, on the
viewpoint of immunohistochemistry, Nrf2 expression in gliomas were positively
correlated with World Health Organization (WHO) grades. Additionally, compared
with the expression of Nrf2 in non-neoplastic brain tissue, expression in
meningiomas was of a stronger intensity and was present in a higher percentage of
cells. Furthermore, scores were significantly higher in WHO grade II than in WHO
grade I meningiomas. Finally, overall survival tended to be shorter in patients
whose PBTs had higher expression of Nrf2, although the correlation was not
statistically significant. CONCLUSIONS: Nrf2 overexpression positively correlated
with WHO grade in gliomas and meningiomas. On the other hand, Nrf2
immunohistochemical stain could help pathologists to differentiate atypical
meningiomas from benign tumors. Therefore, Nrf2 expression may be a useful
biomarker to predict WHO grade and cellular behavior of PBTs.
PMID- 27187377
TI - Pigment Epithelium-Derived Factor (PEDF) Protects Osteoblastic Cell Line from
Glucocorticoid-Induced Apoptosis via PEDF-R.
AB - Pigment epithelial-derived factor (PEDF) is known as a widely expressed
multifunctional secreted glycoprotein whose biological actions are cell-type
dependent. Recent studies demonstrated that PEDF displays cytoprotective activity
in several cell types. However, it remains unknown whether PEDF is involved in
glucocorticoid-induced osteoblast death. The aim of this study was to examine the
role of PEDF in osteoblast survival in response to dexamethasone, an active
glucocorticoid analogue, and explore the underlying mechanism. In the present
study, dexamethasone (DEX) was used to induce MC3T3-E1 pre-osteoblast apoptosis.
PEDF mRNA and protein levels and cell apoptosis were determined respectively.
Then PEDF receptor (PEDF-R)- and lysophosphatidic acid (LPA)-related signal
transductions were assessed. Here we show that DEX down-regulates PEDF
expression, which contributes to osteoblast apoptosis. As a result, exogenous
recombinant PEDF (rPEDF) inhibited DEX-induced cell apoptosis. We confirmed that
PEDF-R was expressed on MC3T3-E1 pre-osteoblast membrane and could bind to PEDF
which increased the level of LPA and activated the phosphorylation of Akt. Our
results suggest that PEDF attenuated DEX-induced apoptosis in MC3T3-E1 pre
osteoblasts through LPA-dependent Akt activation via PEDF-R.
PMID- 27187378
TI - The Relationship between NALP3 and Autoinflammatory Syndromes.
AB - The nucleotide-binding domain, leucine-rich repeat/pyrin domain-containing-3
(NALP3) inflammasome, which is required for synthesis of interleukin-1beta, has
been implicated in the pathogenesis of several autoinflammatory syndromes. This
review of the literature summarizes the interconnectedness of NALP3 inflammasome
with some of these disorders. Familial Mediterranean fever results from a
mutation in the Mediterranean fever (MEFV) gene, which encodes the pyrin protein.
Previous study results suggest that pyrin suppresses caspase-1 activation,
perhaps by competing for the adaptor protein, termed, pyrin domain of
apoptosis/speck-like protein containing a caspase-recruitment domain (ACS) which
therefore interferes with NALP3 inflammasome activation. The nucleotide-binding
domain, leucine-rich repeat/pyrin domain-containing-3 (NALP3) inflammasome is
constitutively activated in cryopyrin-associated periodic syndromes due to gain
of-function mutations resulting from point mutations within the neuronal
apoptosis inhibitor protein/class 2 transcription factor/heterokaryon
incompatibility/telomerase-associated protein-1 (NACHT) domain of the NALP3
protein. Pyogenic arthritis, pyoderma gangrenosum and acne (PAPA) syndrome is
caused by mutations in the genes encoding proline-serine-threonine phosphatase
interacting protein 1 (PSTPIP1). These PSTPIP1 mutants are thought to bind to
pyrin causing an increase in the pyrin domain of apoptosis/speck-like protein
containing a caspase-recruitment domain (ASC) pyroptosome assembly leading to
procaspase-1 recruitment and therefore its activation. Hyperimmunoglublinemia D
syndrome is caused by mevalonate kinase (MVK) deficiency, which may be affected
by protein accumulation that leads to NALP3 inflammasome activation. Tumor
necrosis factor receptor-associated periodic syndrome is associated with
mutations in the tumor necrosis factor receptor superfamily, member 1A (TNFRSF1A)
gene which decreases the level of soluble tumor necrosis factor receptor-1
(TNFR1) leading to neutralization of tumor necrosis factor (TNF)-alpha. In
general, these autoinflammatory disorders have shown a clinical response to
interleukin-1 (IL-1) antagonists, suggesting that the NALP3 inflammasome serves a
critical role in their pathogenesis.
PMID- 27187380
TI - Thrombin Receptor-Activating Protein (TRAP)-Activated Akt Is Involved in the
Release of Phosphorylated-HSP27 (HSPB1) from Platelets in DM Patients.
AB - It is generally known that heat shock protein 27 (HSP27) is phosphorylated
through p38 mitogen-activated protein (MAP) kinase. We have previously reported
that HSP27 is released from human platelets associated with collagen-induced
phosphorylation. In the present study, we conducted an investigation into the
effect of thrombin receptor-activating protein (TRAP) on the release of HSP27 in
platelets in type 2 diabetes mellitus (DM) patients. The phosphorylated-HSP27
levels induced by TRAP were directly proportional to the aggregation of
platelets. The levels of phosphorylated-HSP27 (Ser-78) were correlated with the
levels of phosphorylated-p38 MAP kinase and phosphorylated-Akt in the platelets
stimulated by 10 uM TRAP but not with those of phosphorylated-p44/p42 MAP kinase.
The levels of HSP27 released from the TRAP (10 uM)-stimulated platelets were
correlated with the levels of phosphorylated-HSP27 in the platelets. The released
platelet-derived growth factor-AB (PDGF-AB) levels were in parallel with the
HSP27 levels released from the platelets stimulated by 10 uM TRAP. Although the
area under the curve (AUC) of small aggregates (9-25 um) induced by 10 uM TRAP
showed no significant correlation with the released HSP27 levels, AUC of medium
aggregates (25-50 um), large aggregates (50-70 um) and light transmittance were
significantly correlated with the released HSP27 levels. TRAP-induced
phosphorylation of HSP27 was truly suppressed by deguelin, an inhibitor of Akt,
in the platelets from a healthy subject. These results strongly suggest that TRAP
induced activation of Akt in addition to p38 MAP kinase positively regulates the
release of phosphorylated-HSP27 from human platelets, which is closely related to
the platelet hyper-aggregation in type 2 DM patients.
PMID- 27187379
TI - Advances of Proteomic Sciences in Dentistry.
AB - Applications of proteomics tools revolutionized various biomedical disciplines
such as genetics, molecular biology, medicine, and dentistry. The aim of this
review is to highlight the major milestones in proteomics in dentistry during the
last fifteen years. Human oral cavity contains hard and soft tissues and various
biofluids including saliva and crevicular fluid. Proteomics has brought
revolution in dentistry by helping in the early diagnosis of various diseases
identified by the detection of numerous biomarkers present in the oral fluids.
This paper covers the role of proteomics tools for the analysis of oral tissues.
In addition, dental materials proteomics and their future directions are
discussed.
PMID- 27187385
TI - Bootstrapping Security Policies for Wearable Apps Using Attributed Structural
Graphs.
AB - We address the problem of bootstrapping security and privacy policies for newly
deployed apps in wireless body area networks (WBAN) composed of smartphones,
sensors and other wearable devices. We introduce a framework to model such a WBAN
as an undirected graph whose vertices correspond to devices, apps and app
resources, while edges model structural relationships among them. This graph is
then augmented with attributes capturing the features of each entity together
with user-defined tags. We then adapt available graph-based similarity metrics to
find the closest app to a new one to be deployed, with the aim of reusing, and
possibly adapting, its security policy. We illustrate our approach through a
detailed smartphone ecosystem case study. Our results suggest that the scheme can
provide users with a reasonably good policy that is consistent with the user's
security preferences implicitly captured by policies already in place.
PMID- 27187383
TI - A Tumor-Specific Neo-Antigen Caused by a Frameshift Mutation in BAP1 Is a
Potential Personalized Biomarker in Malignant Peritoneal Mesothelioma.
AB - Malignant peritoneal mesothelioma (MPM) is an aggressive rare malignancy
associated with asbestos exposure. A better understanding of the molecular
pathogenesis of MPM will help develop a targeted therapy strategy. Oncogene
targeted depth sequencing was performed on a tumor sample and paired peripheral
blood DNA from a patient with malignant mesothelioma of the peritoneum. Four
somatic base-substitutions in NOTCH2, NSD1, PDE4DIP, and ATP10B and 1 insert
frameshift mutation in BAP1 were validated by the Sanger method at the
transcriptional level. A 13-amino acids neo-peptide of the truncated Bap1
protein, which was produced as a result of this novel frameshift mutation, was
predicted to be presented by this patient's HLA-B protein. The polyclonal
antibody of the synthesized 13-mer neo-peptide was produced in rabbits. Western
blotting results showed a good antibody-neoantigen specificity, and
Immunohistochemistry (IHC) staining with the antibody of the neo-peptide clearly
differentiated neoplastic cells from normal cells. A search of the Catalogue of
Somatic Mutations in Cancer (COSMIC) database also revealed that 53.2% of
mutations in BAP1 were frameshift indels with neo-peptide formation. An
identified tumor-specific neo-antigen could be the potential molecular biomarker
for personalized diagnosis to precisely subtype rare malignancies such as MPM.
PMID- 27187384
TI - Effect of AQP9 Expression in Androgen-Independent Prostate Cancer Cell PC3.
AB - It is known that aquaporin 9 (AQP9) in the prostate was strictly upregulated by
androgen and may represent a novel therapeutic target for several cancers, but
whether AQP9 plays a role in the regulation of androgen-independent prostate
cancer still remains unclear. In the present study, AQP9 was determined in
prostate cancer and adjacent cancer tissues; AQP9-siRNA was applied to silencing
AQP9 in androgen-independent prostate cancer cell PC3 cell line. Western blot and
flow cytometry analysis were employed to detect changes in related-function of
control and AQP9-siRNA groups. The results showed that AQP9 is significantly
induced in cancer tissues than that in adjacent cancer tissues. Moreover,
knockdown of AQP9 in PC3 androgen-independent prostate cancer cell prostate
cancer cells increased inhibition rates of proliferation. In addition, knockdown
of AQP9 resulted in a significant decrease in the expression of the Bcl-2 and
with a notable increase in the expression of Bax and cleaved caspase 3, indicated
that AQP9 knockdown promoted apoptosis in prostate cancer cells. From wound
healing assay and matrigel invasion, we suggested that AQP9 expression affects
the motility and invasiveness of prostate cancer cells. Moreover, In order to
explore the pathway may be involved in AQP9-mediated motility and invasion of
prostate cancer cells, the phosphorylation of ERK1/2 was significant suppressed
in AQP9 siRNA-transfected cells compared with that in control cells, suggesting
that AQP9 is involved in the activation of the ERK pathway in androgen
independent prostate cancer cells.
PMID- 27187381
TI - Pathogenetic and Therapeutic Applications of Tumor Necrosis Factor-alpha (TNF
alpha) in Major Depressive Disorder: A Systematic Review.
AB - Major depressive disorder (MDD) is characterized by mood, vegetative, cognitive,
and even psychotic symptoms and signs that can cause substantial impairments in
quality of life and functioning. Up to now, the exact pathogenesis of MDD remains
poorly understood. Recent research has begun to reveal that the pro-inflammatory
cytokines, particularly, tumor necrosis factor-alpha (TNF-alpha), play an
integral role in the pathophysiology of depressive disorders and the mechanism of
antidepressant treatment. On the base of several observations: it is found that
subsets of MDD patients have enhanced plasma levels TNF-alpha; antidepressant
treatments had linked with the decline of TNF-alpha; central administration of
TNF-alpha gives rise to sickness behavior which shares features with depression;
and a blockade of it can ameliorate depressive symptomatology in animal models
and clinical trials. In this review article, we focus on recent evidence linking
TNF-alpha and MDD looking at data from animal and clinical studies, illustrating
the pathophysiological role, susceptibility and its therapeutic application in
depression. We conclude by discussing future directions for research, in
particular the opportunities for the development of novel therapeutics that
target TNF-alpha. This will be very important for designing preventative
strategies and for the identification of new drug targets and preventative
strategies.
PMID- 27187386
TI - Modeling of a Single-Notch Microfiber Coupler for High-Sensitivity and Low
Detection-Limit Refractive Index Sensing.
AB - A highly sensitive refractive index sensor with low detection limit based on an
asymmetric optical microfiber coupler is proposed. It is composed of a silica
optical microfiber and an As2Se3 optical microfiber. Due to the asymmetry of the
microfiber materials, a single-notch transmission spectrum is demonstrated by the
large refractive index difference between the two optical microfibers. Compared
with the symmetric coupler, the bandwidth of the asymmetric structure is over one
order of magnitude narrower than that of the former. Therefore, the asymmetric
optical microfiber coupler based sensor can reach over one order of magnitude
smaller detection limit, which is defined as the minimal detectable refractive
index change caused by the surrounding analyte. With the advantage of large
evanescent field, the results also show that a sensitivity of up to 3212 nm per
refractive index unit with a bandwidth of 12 nm is achieved with the asymmetric
optical microfiber coupler. Furthermore, a maximum sensitivity of 4549 nm per
refractive index unit can be reached while the radii of the silica optical
microfiber and As2Se3 optical microfiber are 0.5 MUm and a 0.128 MUm,
respectively. This sensor component may have important potential for low
detection-limit physical and biochemical sensing applications.
PMID- 27187387
TI - Spectrum and Image Texture Features Analysis for Early Blight Disease Detection
on Eggplant Leaves.
AB - This study investigated both spectrum and texture features for detecting early
blight disease on eggplant leaves. Hyperspectral images for healthy and diseased
samples were acquired covering the wavelengths from 380 to 1023 nm. Four gray
images were identified according to the effective wavelengths (408, 535, 624 and
703 nm). Hyperspectral images were then converted into RGB, HSV and HLS images.
Finally, eight texture features (mean, variance, homogeneity, contrast,
dissimilarity, entropy, second moment and correlation) based on gray level co
occurrence matrix (GLCM) were extracted from gray images, RGB, HSV and HLS
images, respectively. The dependent variables for healthy and diseased samples
were set as 0 and 1. K-Nearest Neighbor (KNN) and AdaBoost classification models
were established for detecting healthy and infected samples. All models obtained
good results with the classification rates (CRs) over 88.46% in the testing sets.
The results demonstrated that spectrum and texture features were effective for
early blight disease detection on eggplant leaves.
PMID- 27187382
TI - Sirolimus and Everolimus Pathway: Reviewing Candidate Genes Influencing Their
Intracellular Effects.
AB - Sirolimus (SRL) and everolimus (EVR) are mammalian targets of rapamycin
inhibitors (mTOR-I) largely employed in renal transplantation and oncology as
immunosuppressive/antiproliferative agents. SRL was the first mTOR-I produced by
the bacterium Streptomyces hygroscopicus and approved for several medical
purposes. EVR, derived from SRL, contains a 2-hydroxy-ethyl chain in the 40th
position that makes the drug more hydrophilic than SRL and increases oral
bioavailability. Their main mechanism of action is the inhibition of the mTOR
complex 1 and the regulation of factors involved in a several crucial cellular
functions including: protein synthesis, regulation of angiogenesis, lipid
biosynthesis, mitochondrial biogenesis and function, cell cycle, and autophagy.
Most of the proteins/enzymes belonging to the aforementioned biological processes
are encoded by numerous and tightly regulated genes. However, at the moment, the
polygenic influence on SRL/EVR cellular effects is still not completely defined,
and its comprehension represents a key challenge for researchers. Therefore, to
obtain a complete picture of the cellular network connected to SRL/EVR, we
decided to review major evidences available in the literature regarding the
genetic influence on mTOR-I biology/pharmacology and to build, for the first
time, a useful and specific "SRL/EVR genes-focused pathway", possibly employable
as a starting point for future in-depth research projects.
PMID- 27187388
TI - A Dual-Field Sensing Scheme for a Guidance System for the Blind.
AB - An electronic guidance system is very helpful in improving blind people's
perceptions in a local environment. In our previous work "Lin, Q.; Han, Y. A
Context-Aware-Based Audio Guidance System for Blind People Using a Multimodal
Profile Model. Sensors 2014, 14, 18670-18700", a context-aware guidance system
using a combination of a laser scanner and a camera was proposed. By using a near
field graphical model, the proposed system could interpret a near-field scene in
very high resolution. In this paper, our work is extended by adding a far-field
graphical model. The integration of the near-field and the far-field models
constitutes a dual-field sensing scheme. In the near-field range, reliable
inference of the ground and object status is obtained by fusing range data and
image data using the near-field graphical model. In the far-field range, which
only the camera can cover, the far-field graphical model is proposed to interpret
far-field image data based on appearance and spatial prototypes built using the
near-field interpreted data. The dual-field sensing scheme provides a solution
for the guidance systems to optimise their scene interpretation capability using
simple sensor configurations. Experiments under various local conditions were
conducted to show the efficiency of the proposed scheme in improving blind
people's perceptions in urban environments.
PMID- 27187390
TI - Image Quality Analysis and Optical Performance Requirement for Micromirror-Based
Lissajous Scanning Displays.
AB - Micromirror-based scanning displays have been the focus of a variety of
applications. Lissajous scanning displays have advantages in terms of power
consumption; however, the image quality is not good enough. The main reason for
this is the varying size and the contrast ratio of pixels at different positions
of the image. In this paper, the Lissajous scanning trajectory is analyzed and a
new method based on the diamond pixel is introduced to Lissajous displays. The
optical performance of micromirrors is discussed. A display system demonstrator
is built, and tests of resolution and contrast ratio are conducted. The test
results show that the new Lissajous scanning method can be used in displays by
using diamond pixels and image quality remains stable at different positions.
PMID- 27187389
TI - Wearable Multi-Frequency and Multi-Segment Bioelectrical Impedance Spectroscopy
for Unobtrusively Tracking Body Fluid Shifts during Physical Activity in Real
Field Applications: A Preliminary Study.
AB - Bioelectrical Impedance Spectroscopy (BIS) allows assessing the composition of
body districts noninvasively and quickly, potentially providing important
physiological/clinical information. However, neither portable commercial
instruments nor more advanced wearable prototypes simultaneously satisfy the
demanding needs of unobtrusively tracking body fluid shifts in different segments
simultaneously, over a broad frequency range, for long periods and with high
measurements rate. These needs are often required to evaluate exercise tests in
sports or rehabilitation medicine, or to assess gravitational stresses in
aerospace medicine. Therefore, the aim of this work is to present a new wearable
prototype for monitoring multi-segment and multi-frequency BIS unobtrusively over
long periods. Our prototype guarantees low weight, small size and low power
consumption. An analog board with current-injecting and voltage-sensing
electrodes across three body segments interfaces a digital board that generates
square-wave current stimuli and computes impedance at 10 frequencies from 1 to
796 kHz. To evaluate the information derivable from our device, we monitored the
BIS of three body segments in a volunteer before, during and after physical
exercise and postural shift. We show that it can describe the dynamics of
exercise-induced changes and the effect of a sit-to-stand maneuver in active and
inactive muscular districts separately and simultaneously.
PMID- 27187391
TI - Carrying Position Independent User Heading Estimation for Indoor Pedestrian
Navigation with Smartphones.
AB - This paper proposes a novel heading estimation approach for indoor pedestrian
navigation using the built-in inertial sensors on a smartphone. Unlike previous
approaches constraining the carrying position of a smartphone on the user's body,
our approach gives the user a larger freedom by implementing automatic
recognition of the device carrying position and subsequent selection of an
optimal strategy for heading estimation. We firstly predetermine the motion state
by a decision tree using an accelerometer and a barometer. Then, to enable
accurate and computational lightweight carrying position recognition, we combine
a position classifier with a novel position transition detection algorithm, which
may also be used to avoid the confusion between position transition and user turn
during pedestrian walking. For a device placed in the trouser pockets or held in
a swinging hand, the heading estimation is achieved by deploying a principal
component analysis (PCA)-based approach. For a device held in the hand or against
the ear during a phone call, user heading is directly estimated by adding the yaw
angle of the device to the related heading offset. Experimental results show that
our approach can automatically detect carrying positions with high accuracy, and
outperforms previous heading estimation approaches in terms of accuracy and
applicability.
PMID- 27187392
TI - Assessment of Aliasing Errors in Low-Degree Coefficients Inferred from GPS Data.
AB - With sparse and uneven site distribution, Global Positioning System (GPS) data is
just barely able to infer low-degree coefficients in the surface mass field. The
unresolved higher-degree coefficients turn out to introduce aliasing errors into
the estimates of low-degree coefficients. To reduce the aliasing errors, the
optimal truncation degree should be employed. Using surface displacements
simulated from loading models, we theoretically prove that the optimal truncation
degree should be degree 6-7 for a GPS inversion and degree 20 for combing GPS and
Ocean Bottom Pressure (OBP) with no additional regularization. The optimal
truncation degree should be decreased to degree 4-5 for real GPS data.
Additionally, we prove that a Scaled Sensitivity Matrix (SSM) approach can be
used to quantify the aliasing errors due to any one or any combination of
unresolved higher degrees, which is beneficial to identify the major error source
from among all the unresolved higher degrees. Results show that the unresolved
higher degrees lower than degree 20 are the major error source for global
inversion. We also theoretically prove that the SSM approach can be used to
mitigate the aliasing errors in a GPS inversion, if the neglected higher degrees
are well known from other sources.
PMID- 27187393
TI - Absolute Position Sensing Based on a Robust Differential Capacitive Sensor with a
Grounded Shield Window.
AB - A simple differential capacitive sensor is provided in this paper to measure the
absolute positions of length measuring systems. By utilizing a shield window
inside the differential capacitor, the measurement range and linearity range of
the sensor can reach several millimeters. What is more interesting is that this
differential capacitive sensor is only sensitive to one translational degree of
freedom (DOF) movement, and immune to the vibration along the other two
translational DOFs. In the experiment, we used a novel circuit based on an AC
capacitance bridge to directly measure the differential capacitance value. The
experimental result shows that this differential capacitive sensor has a
sensitivity of 2 * 10(-4) pF/MUm with 0.08 MUm resolution. The measurement range
of this differential capacitive sensor is 6 mm, and the linearity error are less
than 0.01% over the whole absolute position measurement range.
PMID- 27187395
TI - An in-Depth Survey of Visible Light Communication Based Positioning Systems.
AB - While visible light communication (VLC) has become the candidate for the wireless
technology of the 21st century due to its inherent advantages, VLC based
positioning also has a great chance of becoming the standard approach to
positioning. Within the last few years, many studies on VLC based positioning
have been published, but there are not many survey works in this field. In this
paper, an in-depth survey of VLC based positioning systems is provided. More than
100 papers ranging from pioneering papers to the state-of-the-art in the field
were collected and classified based on the positioning algorithms, the types of
receivers, and the multiplexing techniques. In addition, current issues and
research trends in VLC based positioning are discussed.
PMID- 27187394
TI - Model-Driven Approach for Body Area Network Application Development.
AB - This paper introduces the sensor-networked IoT model as a prototype to support
the design of Body Area Network (BAN) applications for healthcare. Using the
model, we analyze the synergistic effect of the functional requirements (data
collection from the human body and transferring it to the top level) and non
functional requirements (trade-offs between energy-security-environmental
factors, treated as Quality-of-Service (QoS)). We use feature models to represent
the requirements at the earliest stage for the analysis and describe a model
driven methodology to design the possible BAN applications. Firstly, we specify
the requirements as the problem domain (PD) variability model for the BAN
applications. Next, we introduce the generative technology (meta-programming as
the solution domain (SD)) and the mapping procedure to map the PD feature-based
variability model onto the SD feature model. Finally, we create an executable
meta-specification that represents the BAN functionality to describe the
variability of the problem domain though transformations. The meta-specification
(along with the meta-language processor) is a software generator for multiple BAN
oriented applications. We validate the methodology with experiments and a case
study to generate a family of programs for the BAN sensor controllers. This
enables to obtain the adequate measure of QoS efficiently through the interactive
adjustment of the meta-parameter values and re-generation process for the
concrete BAN application.
PMID- 27187397
TI - Communication Range Dynamics and Performance Analysis for a Self-Adaptive
Transmission Power Controller.
AB - The deployment of the nodes in a Wireless Sensor and Actuator Network (WSAN) is
typically restricted by the sensing and acting coverage. This implies that the
locations of the nodes may be, and usually are, not optimal from the point of
view of the radio communication. Additionally, when the transmission power is
tuned for those locations, there are other unpredictable factors that can cause
connectivity failures, like interferences, signal fading due to passing objects
and, of course, radio irregularities. A control-based self-adaptive system is a
typical solution to improve the energy consumption while keeping good
connectivity. In this paper, we explore how the communication range for each node
evolves along the iterations of an energy saving self-adaptive transmission power
controller when using different parameter sets in an outdoor scenario, providing
a WSAN that automatically adapts to surrounding changes keeping good
connectivity. The results obtained in this paper show how the parameters with the
best performance keep a k-connected network, where k is in the range of the
desired node degree plus or minus a specified tolerance value.
PMID- 27187396
TI - Self-Mixing Demodulation for Coherent Phase-Sensitive OTDR System.
AB - Phase-sensitive optical time domain reflectometry (F-OTDR) attracts much
attention due to its capability of telling the type and position of an intrusion
simultaneously. In recent decades, coherent F-OTDR has been demonstrated to
realize long-distance detection. For coherent F-OTDR, there are three typical
demodulation schemes in the reported studies. However, they still cannot realize
real-time monitoring to satisfy practical demands. A simple and effective
demodulation method based on self-mixing has been put forward to demodulate the
beat signal in coherent F-OTDR. It not only saves a local electrical oscillator
and frequency locked loop, but also demodulates the beat signal without residual
frequency. Several vibrations with different frequency were separately applied at
the same location of a 42.5 km fiber. The spatial resolution of 10 m and
frequency response range from 8 Hz to 980 Hz have been achieved. The precise
location with signal-to-noise ratio of 21.4 dB and broadband measurement
demonstrate the self-mixing scheme can demodulate the coherent F-OTDR signal
effectively.
PMID- 27187398
TI - Particle and Photon Detection: Counting and Energy Measurement.
AB - Fundamental limits for photon counting and photon energy measurement are reviewed
for CCD and CMOS imagers. The challenges to extend photon counting into the
visible/nIR wavelengths and achieve energy measurement in the UV with specific
read noise requirements are discussed. Pixel flicker and random telegraph noise
sources are highlighted along with various methods used in reducing their
contribution on the sensor's read noise floor. Practical requirements for quantum
efficiency, charge collection efficiency, and charge transfer efficiency that
interfere with photon counting performance are discussed. Lastly we will review
current efforts in reducing flicker noise head-on, in hopes to drive read noise
substantially below 1 carrier rms.
PMID- 27187399
TI - Coupled Integration of CSAC, MIMU, and GNSS for Improved PNT Performance.
AB - Positioning, navigation, and timing (PNT) is a strategic key technology widely
used in military and civilian applications. Global navigation satellite systems
(GNSS) are the most important PNT techniques. However, the vulnerability of GNSS
threatens PNT service quality, and integrations with other information are
necessary. A chip scale atomic clock (CSAC) provides high-precision frequency and
high-accuracy time information in a short time. A micro inertial measurement unit
(MIMU) provides a strap-down inertial navigation system (SINS) with rich
navigation information, better real-time feed, anti-jamming, and error
accumulation. This study explores the coupled integration of CSAC, MIMU, and GNSS
to enhance PNT performance. The architecture of coupled integration is designed
and degraded when any subsystem fails. A mathematical model for a precise time
aiding navigation filter is derived rigorously. The CSAC aids positioning by
weighted linear optimization when the visible satellite number is four or larger.
By contrast, CSAC converts the GNSS observations to range measurements by "clock
coasting" when the visible satellite number is less than four, thereby
constraining the error divergence of micro inertial navigation and improving the
availability of GNSS signals and the positioning accuracy of the integration.
Field vehicle experiments, both in open-sky area and in a harsh environment, show
that the integration can improve the positioning probability and accuracy.
PMID- 27187400
TI - Mobile Laser Scanning Systems for Measuring the Clearance Gauge of Railways:
State of Play, Testing and Outlook.
AB - The paper contains a survey of mobile scanning systems for measuring the railway
clearance gauge. The research was completed as part of the project carried out
for the PKP (PKP Polish Railway Lines S.A., Warsaw, Poland) in 2011-2013. The
authors conducted experiments, including a search for the latest solutions
relating to mobile measurement systems that meet the basic requirement. At the
very least, these solutions needed to be accurate and have the ability for quick
retrieval of data. In the paper, specifications and the characteristics of the
component devices of the scanning systems are described. Based on experiments,
the authors did some examination of the selected mobile systems to be applied for
measuring the clearance gauge. The Riegl (VMX-250) and Z+F (Zoller + Frohlich)
Solution were tested. Additional test measurements were carried out within a 30
kilometer section of the Warsaw-Krakow route. These measurements were designed so
as to provide various elements of the railway infrastructure, the track geometry
and the installed geodetic control network. This ultimately made it possible to
reduce the time for the preparation of geodetic reference measurements for the
testing of the accuracy of the selected systems. Reference measurements included
the use of the polar method to select profiles perpendicular to the axis of the
track. In addition, the coordinates selected were well defined as measuring
points of the objects of the infrastructure of the clearance gauge. All of the
tested systems meet the accuracy requirements initially established (within the
range of 2 cm as required by the PKP). The tested systems have shown their
advantages and disadvantages.
PMID- 27187401
TI - Wind Tunnel Analysis of the Airflow through Insect-Proof Screens and Comparison
of Their Effect When Installed in a Mediterranean Greenhouse.
AB - The present work studies the effect of three insect-proof screens with different
geometrical and aerodynamic characteristics on the air velocity and temperature
inside a Mediterranean multi-span greenhouse with three roof vents and without
crops, divided into two independent sectors. First, the insect-proof screens were
characterised geometrically by analysing digital images and testing in a low
velocity wind tunnel. The wind tunnel tests gave screen discharge coefficient
values of Cd,phi of 0.207 for screen 1 (10 * 20 threads.cm(-2); porosity phi =
35.0%), 0.151 for screen 2 (13 * 30 threads.cm(-2); phi = 26.3%) and 0.325 for
screen 3 (10 * 20 threads.cm(-2); porosity phi = 36.0%), at an air velocity of
0.25 m.s(-1). Secondly, when screens were installed in the greenhouse, we
observed a statistical proportionality between the discharge coefficient at the
openings and the air velocity ui measured in the centre of the greenhouse, ui =
0.856 Cd + 0.062 (R2 = 0.68 and p-value = 0.012). The inside-outside temperature
difference DeltaTio diminishes when the inside velocity increases following the
statistically significant relationship DeltaTio = (-135.85 + 57.88/ui)(0.5) (R2 =
0.85 and p-value = 0.0011). Different thread diameters and tension affects the
screen thickness, and means that similar porosities may well be associated with
very different aerodynamic characteristics. Screens must be characterised by a
theoretical function Cd,phi = [(2eMU/Kprho).(1/us) + (2eY/Kp(0.5))](-0.5) that
relates the discharge coefficient of the screen Cd,phi with the air velocity us.
This relationship depends on the three parameters that define the aerodynamic
behaviour of porous medium: permeability Kp, inertial factor Y and screen
thickness e (and on air temperature that determine its density rho and viscosity
MU). However, for a determined temperature of air, the pressure drop-velocity
relationship can be characterised only with two parameters: DeltaP = aus2 + bus.
PMID- 27187402
TI - An Energy Saving System for a Beam Pumping Unit.
AB - Beam pumping units are widely used in the oil production industry, but the energy
efficiency of this artificial lift machinery is generally low, especially for the
low-production well and high-production well in the later stage. There are a
number of ways for energy savings in pumping units, with the periodic adjustment
of stroke speed and rectification of balance deviation being two important
methods. In the paper, an energy saving system for a beam pumping unit (ESS-BPU)
based on the Internet of Things (IoT) was proposed. A total of four types of
sensors, including load sensor, angle sensor, voltage sensor, and current sensor,
were used to detect the operating conditions of the pumping unit. Data from these
sensors was fed into a controller installed in an oilfield to adjust the stroke
speed automatically and estimate the degree of balance in real-time.
Additionally, remote supervision could be fulfilled using a browser on a computer
or smartphone. Furthermore, the data from a practical application was recorded
and analyzed, and it can be seen that ESS-BPU is helpful in reducing energy loss
caused by unnecessarily high stroke speed and a poor degree of balance.
PMID- 27187403
TI - Comprehensive Comparisons of Satellite Data, Signals, and Measurements between
the BeiDou Navigation Satellite System and the Global Positioning System.
AB - The Chinese BeiDou navigation satellite system (BDS) aims to provide global
positioning service by 2020. The combined use of BDS and Global Positioning
System (GPS) is proposed to provide navigation service with more stringent
requirements. Actual satellite data, signals and measurements were collected for
more than one month to analyze the positioning service qualities from both BDS
and GPS. In addition to the conversions of coordinate and timing system, five
data quality analysis (DQA) methods, three signal quality analysis (SQA) methods,
and four measurement quality analysis (MQA) methods are proposed in this paper to
improve the integrated positioning performance of BDS and GPS. As shown in the
experiment results, issues related to BDS and GPS are resolved by the above
proposed quality analysis methods. Thus, the anomalies in satellite data, signals
and measurements can be detected by following the suggested resolutions to
enhance the positioning performance of the combined use of BDS and GPS in the
Asia Pacific region.
PMID- 27187404
TI - Planar Position Sensor Based on Mono Sensing Electrode and Hybrid-Frequency
Excitation.
AB - A new way of measuring planar position for micrometric and sub-micrometric
applications is presented with a mono sensing electrode and hybrid-frequency
excitation. The sensing theory and operation principle are described and
summarized, and a printed circuit board (PCB) sensor prototype is built and
tested. It is shown by the experimental results that a very simple structure and
geometric relationship are achieved. Meanwhile, displacement sensitivity on an
order of 1.50 mV per micron and measurement repeatability better than 0.002 mm
are easily fulfilled for a square zone of 256 mm2, making it a valuable
alternative measurement device candidate for flexible and low-cost planar
position detection.
PMID- 27187405
TI - A Nonlinear Framework of Delayed Particle Smoothing Method for Vehicle
Localization under Non-Gaussian Environment.
AB - In this paper, a novel nonlinear framework of smoothing method, non-Gaussian
delayed particle smoother (nGDPS), is proposed, which enables vehicle state
estimation (VSE) with high accuracy taking into account the non-Gaussianity of
the measurement and process noises. Within the proposed method, the multivariate
Student's t-distribution is adopted in order to compute the probability
distribution function (PDF) related to the process and measurement noises, which
are assumed to be non-Gaussian distributed. A computation approach based on
Ensemble Kalman Filter (EnKF) is designed to cope with the mean and the
covariance matrix of the proposal non-Gaussian distribution. A delayed Gibbs
sampling algorithm, which incorporates smoothing of the sampled trajectories over
a fixed-delay, is proposed to deal with the sample degeneracy of particles. The
performance is investigated based on the real-world data, which is collected by
low-cost on-board vehicle sensors. The comparison study based on the real-world
experiments and the statistical analysis demonstrates that the proposed nGDPS has
significant improvement on the vehicle state accuracy and outperforms the
existing filtering and smoothing methods.
PMID- 27187406
TI - Current Developments on Optical Feedback Interferometry as an All-Optical Sensor
for Biomedical Applications.
AB - Optical feedback interferometry (OFI) sensors are experiencing a consistent
increase in their applications to biosensing due to their contactless nature, low
cost and compactness, features that fit very well with current biophotonics
research and market trends. The present paper is a review of the work in progress
at UPC-CD6 and LAAS-CNRS related to the application of OFI to different aspects
of biosensing, both in vivo and ex vivo. This work is intended to present the
variety of opportunities and potential applications related to OFI that are
available in the field. The activities presented are divided into two main
sensing strategies: The measurement of optical path changes and the monitoring of
flows, which correspond to sensing strategies linked to the reconstruction of
changes of amplitude from the interferometric signal, and to classical Doppler
frequency measurements, respectively. For optical path change measurements,
measurements of transient pulses, usual in biosensing, together with the
measurement of large displacements applied to designing palliative care
instrumentation for Parkinson disease are discussed. Regarding the Doppler-based
approach, progress in flow-related signal processing and applications in real
time monitoring of non-steady flows, human blood flow monitoring and OFI pressure
myograph sensing will be presented. In all cases, experimental setups are
discussed and results presented, showing the versatility of the technique. The
described applications show the wide capabilities in biosensing of the OFI
sensor, showing it as an enabler of low-cost, all-optical, high accuracy
biomedical applications.
PMID- 27187408
TI - Retrieving Land Surface Temperature from Hyperspectral Thermal Infrared Data
Using a Multi-Channel Method.
AB - Land Surface Temperature (LST) is a key parameter in climate systems. The methods
for retrieving LST from hyperspectral thermal infrared data either require
accurate atmospheric profile data or require thousands of continuous channels. We
aim to retrieve LST for natural land surfaces from hyperspectral thermal infrared
data using an adapted multi-channel method taking Land Surface Emissivity (LSE)
properly into consideration. In the adapted method, LST can be retrieved by a
linear function of 36 brightness temperatures at Top of Atmosphere (TOA) using
channels where LSE has high values. We evaluated the adapted method using
simulation data at nadir and satellite data near nadir. The Root Mean Square
Error (RMSE) of the LST retrieved from the simulation data is 0.90 K. Compared
with an LST product from the Spinning Enhanced Visible and Infrared Imager
(SEVIRI) on Meteosat, the error in the LST retrieved from the Infared Atmospheric
Sounding Interferometer (IASI) is approximately 1.6 K. The adapted method can be
used for the near-real-time production of an LST product and to provide the
physical method to simultaneously retrieve atmospheric profiles, LST, and LSE
with a first-guess LST value. The limitations of the adapted method are that it
requires the minimum LSE in the spectral interval of 800-950 cm(-1) larger than
0.95 and it has not been extended for off-nadir measurements.
PMID- 27187407
TI - Determination of Ignitable Liquids in Fire Debris: Direct Analysis by Electronic
Nose.
AB - Arsonists usually use an accelerant in order to start or accelerate a fire. The
most widely used analytical method to determine the presence of such accelerants
consists of a pre-concentration step of the ignitable liquid residues followed by
chromatographic analysis. A rapid analytical method based on headspace-mass
spectrometry electronic nose (E-Nose) has been developed for the analysis of
Ignitable Liquid Residues (ILRs). The working conditions for the E-Nose
analytical procedure were optimized by studying different fire debris samples.
The optimized experimental variables were related to headspace generation,
specifically, incubation temperature and incubation time. The optimal conditions
were 115 degrees C and 10 min for these two parameters. Chemometric tools such
as hierarchical cluster analysis (HCA) and linear discriminant analysis (LDA)
were applied to the MS data (45-200 m/z) to establish the most suitable
spectroscopic signals for the discrimination of several ignitable liquids. The
optimized method was applied to a set of fire debris samples. In order to
simulate post-burn samples several ignitable liquids (gasoline, diesel,
citronella, kerosene, paraffin) were used to ignite different substrates (wood,
cotton, cork, paper and paperboard). A full discrimination was obtained on using
discriminant analysis. This method reported here can be considered as a green
technique for fire debris analyses.
PMID- 27187409
TI - The Real-Valued Sparse Direction of Arrival (DOA) Estimation Based on the Khatri
Rao Product.
AB - There is a problem that complex operation which leads to a heavy calculation
burden is required when the direction of arrival (DOA) of a sparse signal is
estimated by using the array covariance matrix. The solution of the multiple
measurement vectors (MMV) model is difficult. In this paper, a real-valued sparse
DOA estimation algorithm based on the Khatri-Rao (KR) product called the L1-RVSKR
is proposed. The proposed algorithm is based on the sparse representation of the
array covariance matrix. The array covariance matrix is transformed to a real
valued matrix via a unitary transformation so that a real-valued sparse model is
achieved. The real-valued sparse model is vectorized for transforming to a single
measurement vector (SMV) model, and a new virtual overcomplete dictionary is
constructed according to the KR product's property. Finally, the sparse DOA
estimation is solved by utilizing the idea of a sparse representation of array
covariance vectors (SRACV). The simulation results demonstrate the superior
performance and the low computational complexity of the proposed algorithm.
PMID- 27187410
TI - Measurement of Moisture in Wood for Application in the Restoration of Old
Buildings.
AB - There are many historic buildings whose construction is based on timber frame
walls. Most buildings built during the nineteenth and early twentieth centuries
were based on timber frame walls with vertical support elements. These timber
frame elements are affected by their moisture content and by the passage of time.
If the interaction of the timber frame walls with hygrothermal fluctuations were
known, the maintenance of these buildings could be improved significantly. To
determine the moisture content of wood there are two types of meters on the
market: on the one hand, capacitance meters which consist of two side ends and
where the moisture content is measured locally between two peaks. On the other
hand, there are meters based on the variation of electromagnetic transmittance of
timber, which depends on the moisture of timber. The second ones are very
expensive and difficult to handle. This work presents a new non-intrusive
capacitive sensor that measures the global moisture content in a section of the
timber frame walls and therefore its accuracy is similar to the accuracy that can
be obtained with electromagnetic transmittance meters. Additionally, as it is a
capacitive sensor, it is low cost and easy to operate.
PMID- 27187411
TI - Erratum: Sutapun, B. et al. Development and Beam-Shape Analysis of an Integrated
Fiber-Optic Confocal Probe for High-Precision Central Thickness Measurement of
Small-Radius Lenses. Sensors, 2015, 15, 8512-8526.
PMID- 27187413
TI - Extracting Objects for Aerial Manipulation on UAVs Using Low Cost Stereo Sensors.
AB - Giving unmanned aerial vehicles (UAVs) the possibility to manipulate objects
vastly extends the range of possible applications. This applies to rotary wing
UAVs in particular, where their capability of hovering enables a suitable
position for in-flight manipulation. Their manipulation skills must be suitable
for primarily natural, partially known environments, where UAVs mostly operate.
We have developed an on-board object extraction method that calculates
information necessary for autonomous grasping of objects, without the need to
provide the model of the object's shape. A local map of the work-zone is
generated using depth information, where object candidates are extracted by
detecting areas different to our floor model. Their image projections are then
evaluated using support vector machine (SVM) classification to recognize specific
objects or reject bad candidates. Our method builds a sparse cloud representation
of each object and calculates the object's centroid and the dominant axis. This
information is then passed to a grasping module. Our method works under the
assumption that objects are static and not clustered, have visual features and
the floor shape of the work-zone area is known. We used low cost cameras for
creating depth information that cause noisy point clouds, but our method has
proved robust enough to process this data and return accurate results.
PMID- 27187412
TI - Influences of Probe's Morphology for Metal Ion Detection Based on Light
Addressable Potentiometric Sensors.
AB - The sensing mechanism of binding Hg(2+) into thymine-thymine (T-T) mismatched
base pairs was introduced into a light-addressable potentiometric sensor (LAPS)
with anti-Hg(2+) aptamer as the sensing units. Three kinds of T-rich single
strand DNA (ssDNA) chains with different spacer lengths, from 0 to 12 -CH2
groups, were designed to investigate surface charge and morphological effects on
the LAPS' output. First, by comparing the responding of LAPS modified with three
kinds of ssDNA, it was found that the best performance for Hg(2+) sensing was
exhibited by the probe without -CH2 groups. The detection limit of Hg(2+) ion was
1 ppt under the optimal condition. Second, the cooperative effects of surface
charge and morphology on the output were observed by the controlled experiments.
The two effects were the negative charge balanced by metal cations and the
morphological changing caused by the formation of T-Hg(2+)-T structure. In
conclusion, not only the influences of the aptamer probe's morphology and surface
charge was investigated on the platform of LAPS, but also sensing Hg(2+) ions was
achieved for the first time by the presented aptamer LAPS.
PMID- 27187414
TI - Stability-Aware Geographic Routing in Energy Harvesting Wireless Sensor Networks.
AB - A new generation of wireless sensor networks that harvest energy from
environmental sources such as solar, vibration, and thermoelectric to power
sensor nodes is emerging to solve the problem of energy limitation. Based on the
photo-voltaic model, this research proposes a stability-aware geographic routing
for reliable data transmissions in energy-harvesting wireless sensor networks (EH
WSNs) to provide a reliable routes selection method and potentially achieve an
unlimited network lifetime. Specifically, the influences of link quality,
represented by the estimated packet reception rate, on network performance is
investigated. Simulation results show that the proposed method outperforms an
energy-harvesting-aware method in terms of energy consumption, the average number
of hops, and the packet delivery ratio.
PMID- 27187417
TI - Anti-Oxidative Activity of Mytiloxanthin, a Metabolite of Fucoxanthin in
Shellfish and Tunicates.
AB - Anti-oxidative activities of mytiloxanthin, a metabolite of fucoxanthin in
shellfish and tunicates, were investigated. Mytiloxanthin showed almost the same
activities for quenching singlet oxygen and the inhibition of lipid peroxidation
as those of astaxanthin, which is a well-known singlet oxygen quencher.
Furthermore, mytiloxanthin showed excellent scavenging activity for hydroxyl
radicals and this activity was markedly higher than that of astaxanthin.
PMID- 27187416
TI - Effect of Chitosan Properties on Immunoreactivity.
AB - Chitosan is a widely investigated biopolymer in drug and gene delivery, tissue
engineering and vaccine development. However, the immune response to chitosan is
not clearly understood due to contradicting results in literature regarding its
immunoreactivity. Thus, in this study, we analyzed effects of various biochemical
properties, namely degree of deacetylation (DDA), viscosity/polymer length and
endotoxin levels, on immune responses by antigen presenting cells (APCs).
Chitosan solutions from various sources were treated with mouse and human APCs
(macrophages and/or dendritic cells) and the amount of tumor necrosis factor
alpha (TNF-alpha) released by the cells was used as an indicator of
immunoreactivity. Our results indicate that only endotoxin content and not DDA or
viscosity influenced chitosan-induced immune responses. Our data also indicate
that low endotoxin chitosan (<0.01 EU/mg) ranging from 20 to 600 cP and 80% to
97% DDA is essentially inert. This study emphasizes the need for more complete
characterization and purification of chitosan in preclinical studies in order for
this valuable biomaterial to achieve widespread clinical application.
PMID- 27187415
TI - Chemical Variations on the p53 Reactivation Theme.
AB - Among the tumor suppressor genes, p53 is one of the most studied. It is widely
regarded as the "guardian of the genome", playing a major role in carcinogenesis.
In fact, direct inactivation of the TP53 gene occurs in more than 50% of
malignancies, and in tumors that retain wild-type p53 status, its function is
usually inactivated by overexpression of negative regulators (e.g., MDM2 and
MDMX). Hence, restoring p53 function in cancer cells represents a valuable
anticancer approach. In this review, we will present an updated overview of the
most relevant small molecules developed to restore p53 function in cancer cells
through inhibition of the p53-MDMs interaction, or direct targeting of wild-type
p53 or mutated p53. In addition, optimization approaches used for the development
of small molecules that have entered clinical trials will be presented.
PMID- 27187418
TI - Effect of Experimental Parameters on Alginate/Chitosan Microparticles for BCG
Encapsulation.
AB - The aim of the present study was to develop novel Mycobacterium bovis bacille
Calmette-Guerin (BCG)-loaded polymeric microparticles with optimized particle
surface characteristics and biocompatibility, so that whole live attenuated
bacteria could be further used for pre-exposure vaccination against Mycobacterium
tuberculosis by the intranasal route. BCG was encapsulated in chitosan and
alginate microparticles through three different polyionic complexation methods by
high speed stirring. For comparison purposes, similar formulations were prepared
with high shear homogenization and sonication. Additional optimization studies
were conducted with polymers of different quality specifications in a wide range
of pH values, and with three different cryoprotectors. Particle morphology, size
distribution, encapsulation efficiency, surface charge, physicochemical
properties and biocompatibility were assessed. Particles exhibited a micrometer
size and a spherical morphology. Chitosan addition to BCG shifted the bacilli
surface charge from negative zeta potential values to strongly positive ones.
Chitosan of low molecular weight produced particle suspensions of lower size
distribution and higher stability, allowing efficient BCG encapsulation and
biocompatibility. Particle formulation consistency was improved when the
availability of functional groups from alginate and chitosan was close to
stoichiometric proportion. Thus, the herein described microparticulate system
constitutes a promising strategy to deliver BCG vaccine by the intranasal route.
PMID- 27187419
TI - cDNA and Gene Structure of MytiLec-1, A Bacteriostatic R-Type Lectin from the
Mediterranean Mussel (Mytilus galloprovincialis).
AB - MytiLec is an alpha-d-galactose-binding lectin with a unique primary structure
isolated from the Mediterranean mussel (Mytilus galloprovincialis). The lectin
adopts a beta-trefoil fold that is also found in the B-sub-unit of ricin and
other ricin-type (R-type) lectins. We are introducing MytiLec(-1) and its two
variants (MytiLec-2 and -3), which both possess an additional pore-forming
aerolysin-like domain, as members of a novel multi-genic "mytilectin family" in
bivalve mollusks. Based on the full length mRNA sequence (911 bps), it was
possible to elucidate the coding sequence of MytiLec-1, which displays an
extended open reading frame (ORF) at the 5' end of the sequence, confirmed both
at the mRNA and at the genomic DNA sequence level. While this extension could
potentially produce a polypeptide significantly longer than previously reported,
this has not been confirmed yet at the protein level. MytiLec-1 was revealed to
be encoded by a gene consisting of two exons and a single intron. The first exon
comprised the 5'UTR and the initial ATG codon and it was possible to detect a
putative promoter region immediately ahead of the transcription start site in the
MytiLec-1 genomic locus. The remaining part of the MytiLec-1 coding sequence
(including the three sub-domains, the 3'UTR and the poly-A signal) was included
in the second exon. The bacteriostatic activity of MytiLec-1 was determined by
the agglutination of both Gram-positive and Gram-negative bacteria, which was
reversed by the co-presence of alpha-galactoside. Altogether, these data support
the classification of MytiLec-1 as a member of the novel mytilectin family and
suggest that this lectin may play an important role as a pattern recognition
receptor in the innate immunity of mussels.
PMID- 27187420
TI - Bacterial Long-Chain Polyunsaturated Fatty Acids: Their Biosynthetic Genes,
Functions, and Practical Use.
AB - The nutritional and pharmaceutical values of long-chain polyunsaturated fatty
acids (LC-PUFAs) such as arachidonic, eicosapentaenoic and docosahexaenoic acids
have been well recognized. These LC-PUFAs are physiologically important compounds
in bacteria and eukaryotes. Although little is known about the biosynthetic
mechanisms and functions of LC-PUFAs in bacteria compared to those in higher
organisms, a combination of genetic, bioinformatic, and molecular biological
approaches to LC-PUFA-producing bacteria and some eukaryotes have revealed the
notably diverse organization of the pfa genes encoding a polyunsaturated fatty
acid synthase complex (PUFA synthase), the LC-PUFA biosynthetic processes, and
tertiary structures of the domains of this enzyme. In bacteria, LC-PUFAs appear
to take part in specific functions facilitating individual membrane proteins
rather than in the adjustment of the physical fluidity of the whole cell
membrane. Very long chain polyunsaturated hydrocarbons (LC-HCs) such as
hentriacontanonaene are considered to be closely related to LC-PUFAs in their
biosynthesis and function. The possible role of LC-HCs in strictly anaerobic
bacteria under aerobic and anaerobic environments and the evolutionary
relationships of anaerobic and aerobic bacteria carrying pfa-like genes are also
discussed.
PMID- 27187421
TI - The Effect of the Molecular Architecture on the Antioxidant Properties of
Chitosan Gallate.
AB - To elucidate the structure-antioxidant activity relationships of chitosan gallate
(CG), a series of CG derivatives with different degrees of substitution (DS's)
and molecular weights (MWs) were synthesized from chitosan (CS) and gallic acid
(GA) via a free radical graft reaction. A higher MW led to a lower DS of CG. The
structures of CG were characterized by FT-IR and 1H NMR, and results showed that
GA was mainly conjugated to the C-2 and C-6 positions of the CS chain. The
antioxidant activity (the DPPH radical scavenging activity and reducing power)
were enhanced with an increased DS and a decreased MW of CG. A correlation
between antioxidant activities and the DS and MW of CG was also established. In
addition, a suitable concentration (0~250 MUg/mL) of CG with different MWs
(32.78~489.32 kDa) and DS's (0~92.89 mg.GAE/g CG) has no cytotoxicity. These
results should provide a guideline to the application of CG derivatives in food
or pharmacology industries.
PMID- 27187422
TI - A Mouse Model for Studying Nutritional Programming: Effects of Early Life
Exposure to Soy Isoflavones on Bone and Reproductive Health.
AB - Over the past decade, our research group has characterized and used a mouse model
to demonstrate that "nutritional programming" of bone development occurs when
mice receive soy isoflavones (ISO) during the first days of life. Nutritional
programming of bone development can be defined as the ability for diet during
early life to set a trajectory for better or compromised bone health at
adulthood. We have shown that CD-1 mice exposed to soy ISO during early neonatal
life have higher bone mineral density (BMD) and greater trabecular inter
connectivity in long bones and lumbar spine at young adulthood. These skeletal
sites also withstand greater forces before fracture. Because the chemical
structure of ISO resembles that of 17-beta-estradiol and can bind to estrogen
receptors in reproductive tissues, it was prudent to expand analyses to include
measures of reproductive health. This review highlights aspects of our studies in
CD-1 mice to understand the early life programming effects of soy ISO on bone and
reproductive health. Preclinical mouse models can provide useful data to help
develop and guide the design of studies in human cohorts, which may, depending on
findings and considerations of safety, lead to dietary interventions that
optimize bone health.
PMID- 27187423
TI - Migrant Sexual Health Help-Seeking and Experiences of Stigmatization and
Discrimination in Perth, Western Australia: Exploring Barriers and Enablers.
AB - Increasing HIV notifications amongst migrant and mobile populations to Australia
is a significant public health issue. Generalizations about migrant health needs
and delayed or deterred help-seeking behaviors can result from disregarding the
variation between and within cultures including factors, such as drivers for
migration and country of birth. This study explored barriers and enablers to
accessing sexual health services, including experiences of stigma and
discrimination, within a purposive sample of sub-Saharan African, Southeast
Asian, and East Asian migrants. A qualitative design was employed using key
informant interviews and focus group discussions. A total of 45 people with ages
ranging from 18 to 50 years, participated in focus group discussions. Common
barriers and enablers to help seeking behaviors were sociocultural and religious
influence, financial constraints, and knowledge dissemination to reduce stigma.
Additionally, common experiences of stigma and discrimination were related to
employment and the social and self-isolation of people living with HIV.
Overcoming barriers to accessing sexual health services, imparting sexual health
knowledge, recognizing variations within cultures, and a reduction in stigma and
discrimination will simultaneously accelerate help-seeking and result in better
sexual health outcomes in migrant populations.
PMID- 27187425
TI - Identifying Ethical Issues in Mental Health Research with Minors Adolescents:
Results of a Delphi Study.
AB - Research with minors, especially for preventive purposes, e.g., suicide
prevention, investigating risk or self-destructive behaviors such as deviance,
drug abuse, or suicidal behavior, is ethically sensitive. We present a Delphi
study exploring the ethical implications of the needs formulated by researchers
in an international pre-conference who would benefit from ethics support and
guidance in conducting Mental Health Research with minors. The resulting List of
Ethical Issues (LEI) was submitted to a 2-rounds Delphi process via the Internet,
including 34 multidisciplinary experts. In the first round, the experts reviewed
the LEI and completed a questionnaire. Results from this round were analyzed and
grouped in nine categories comprising 40 items. In the second round, the experts
had to agree/disagree with the needs expressed in the LEI leading to a final list
of 25 ethical issues considered relevant for Mental Health Research with minors
such as: confidentiality of the sensitive data, competence for consenting alone
and risk of harm and stigma related to the methodology used in research. It was
shown that studies like SEYLE (Saving and Empowering Young Lives in Europe)
trigger among researchers wishes to obtain specific recommendations helping to
comply with standards for good practice in conducting research with minors.
PMID- 27187424
TI - Attitude, Acceptability and Knowledge of HPV Vaccination among Local University
Students in Hong Kong.
AB - The Human Papillomavirus (HPV) vaccine has the great potential to prevent HPV
related infections for millions of women and men worldwide. However, the success
of the vaccine is highly dependent on the vaccination rate. Factors influencing
the attitudes of undergraduate students towards HPV vaccination should be
studied. This is a cross-sectional survey that was conducted to estimate the HPV
vaccination rate among undergraduate students in Hong Kong, and to identify the
predictors of their attitude towards HPV vaccination. The results showed that the
HPV vaccination rate was 13.3%. Factors related to knowledge of vaccination were
the main predictors of the students' attitude towards vaccination (there were
seven predictors, with B = 1.36 to 2.30; p < 0.05), followed by gender (B =
1.40; p < 0.05), acceptable maximum price (B = 0.35; p < 0.05), and willingness
to receive the HPV vaccine if it can protect against cervical/anal cancer and
genital warts (B = -1.90; p < 0.001). The regression model that was developed
based on the predictors had a moderate effect size (adj-R2 = 0.33). To conclude,
the HPV vaccination rate among undergraduate students in Hong Kong was low. They
should be provided with more active education and activities to promote HPV
vaccination to improve their knowledge on the subject.
PMID- 27187426
TI - The Risk of Breast Cancer in Women Using Menopausal Hormone Replacement Therapy
in Taiwan.
AB - Menopausal hormone replacement therapy (HRT) increases the risk of breast cancer
in Western countries; however, there are fewer reports from the Asian population,
which has a lower incidence of breast cancer. A population-based retrospective
cohort study was conducted by analyzing longitudinal National Health Insurance
claim data of a 200,000-person national representative cohort. A total of 22,929
women aged >=45 years in 1997 without previous diagnosis of breast cancer were
enrolled and stratified into two birth cohorts born before or after 1933. HRT
prescriptions were traced in outpatient data files and incident breast cancer
cases were identified from 1997 to 2004. The Cox proportional hazards model was
used to analyze breast cancer hazard ratio (HR). HRT users were censored after
they discontinued HRT. The results showed that women born during 1933-1952 had a
twofold increased risk of breast cancer (HR = 2.10, 95% CI = 1.47-3.00) compared
with women born before 1933, when adjusted for HRT use. When adjusted for the
birth-cohort difference, HRT users had significantly increased breast cancer HR
versus non-users after four years of use (adjusted HR = 1.48, 95% CI = 1.03
2.13); the HR further increased to 1.95 (95% CI = 1.34-2.84) after eight years of
use. In conclusion, a longer duration of current HRT use was associated with a
higher risk of breast cancer independent of the birth-cohort difference.
PMID- 27187427
TI - Preliminary Assessment of Health Risks of Potentially Toxic Elements in Settled
Dust over Beijing Urban Area.
AB - To examine levels, health risks, sources, and spatial distributions of
potentially toxic elements in settled dust over Beijing urban area, 62 samples
were collected mostly from residential building outdoor surfaces, and their <63
MUm fractions were measured for 12 potentially toxic elements. The results show
that V, Cr, Mn, Co, Ni, and Ba in dust are from predominantly natural sources,
whereas Cu, Zn, As, Cd, Sb, and Pb mostly originate from anthropogenic sources.
Exposure to these elements in dust has significant non-cancer risks to children
but insignificant to adults. Cancer risks of Cr, Co, Ni, As, and Cd via
inhalation and dermal contact are below the threshold of 10(-6)-10(-4) but As via
dust ingestion shows a tolerable risk. The non-cancer risks to children are
contributed mainly (75%) by As, Pb, and Sb, and dominantly (92%) via dust
ingestion, with relatively higher risks mainly occurring in the eastern and
northeastern Beijing urban areas. Although Cd, Zn, and Cu in dust are heavily
affected by anthropogenic sources, their health risks are insignificant. Source
appointments suggest that coal burning emissions, the dominant source of As, are
likely the largest contributors to the health risk, and traffic-related and
industrial emissions are also important because they contribute most of the Pb
and Sb in dust.
PMID- 27187429
TI - Analysis of Sampling Methodologies for Noise Pollution Assessment and the Impact
on the Population.
AB - Today, noise pollution is an increasing environmental stressor. Noise maps are
recognised as the main tool for assessing and managing environmental noise, but
their accuracy largely depends on the sampling method used. The sampling methods
most commonly used by different researchers (grid, legislative road types and
categorisation methods) were analysed and compared using the city of Talca
(Chile) as a test case. The results show that the stratification of sound values
in road categories has a significantly lower prediction error and a higher
capacity for discrimination and prediction than in the legislative road types
used by the Ministry of Transport and Telecommunications in Chile. Also, the use
of one or another method implies significant differences in the assessment of
population exposure to noise pollution. Thus, the selection of a suitable method
for performing noise maps through measurements is essential to achieve an
accurate assessment of the impact of noise pollution on the population.
PMID- 27187428
TI - A Primrose Path? Moderating Effects of Age and Gender in the Association between
Green Space and Mental Health.
AB - This paper explored whether the association between green space and mental health
is moderated by age and gender. Questionnaires on psychopathology and quality of
life were filled out by 4924 individuals from the general Dutch population and
regressed on greenness levels. Green space was associated with better mental
health, but only in specific age and gender groups, and only in a 3 km, not a 1
km buffer. The moderating effects of age and gender may be explained by whether
or not people have the opportunity to make use of their green living environment.
PMID- 27187430
TI - A Combination of Geographically Weighted Regression, Particle Swarm Optimization
and Support Vector Machine for Landslide Susceptibility Mapping: A Case Study at
Wanzhou in the Three Gorges Area, China.
AB - In this study, a novel coupling model for landslide susceptibility mapping is
presented. In practice, environmental factors may have different impacts at a
local scale in study areas. To provide better predictions, a geographically
weighted regression (GWR) technique is firstly used in our method to segment
study areas into a series of prediction regions with appropriate sizes.
Meanwhile, a support vector machine (SVM) classifier is exploited in each
prediction region for landslide susceptibility mapping. To further improve the
prediction performance, the particle swarm optimization (PSO) algorithm is used
in the prediction regions to obtain optimal parameters for the SVM classifier. To
evaluate the prediction performance of our model, several SVM-based prediction
models are utilized for comparison on a study area of the Wanzhou district in the
Three Gorges Reservoir. Experimental results, based on three objective
quantitative measures and visual qualitative evaluation, indicate that our model
can achieve better prediction accuracies and is more effective for landslide
susceptibility mapping. For instance, our model can achieve an overall prediction
accuracy of 91.10%, which is 7.8%-19.1% higher than the traditional SVM-based
models. In addition, the obtained landslide susceptibility map by our model can
demonstrate an intensive correlation between the classified very high
susceptibility zone and the previously investigated landslides.
PMID- 27187432
TI - Factors Affecting Parent's Perception on Air Quality-From the Individual to the
Community Level.
AB - The perception of air quality significantly affects the acceptance of the public
of the government's environmental policies. The aim of this research is to
explore the relationship between the perception of the air quality of parents and
scientific monitoring data and to analyze the factors that affect parents'
perceptions. Scientific data of air quality were obtained from Wuhan's
environmental condition reports. One thousand parents were investigated for their
knowledge and perception of air quality. Scientific data show that the air
quality of Wuhan follows an improving trend in general, while most participants
believed that the air quality of Wuhan has deteriorated, which indicates a
significant difference between public perception and reality. On the individual
level, respondents with an age of 40 or above (40 or above: OR = 3.252; 95% CI:
1.170-9.040), a higher educational level (college and above: OR = 7.598; 95% CI:
2.244-25.732) or children with poor healthy conditions (poor: OR = 6.864; 95% CI:
2.212-21.302) have much more negative perception of air quality. On the community
level, industrial facilities, vehicles and city construction have major effects
on parents' perception of air quality. Our investigation provides baseline
information for environmental policy researchers and makers regarding the
public's perception and expectation of air quality and the benefits to the
environmental policy completing and enforcing.
PMID- 27187431
TI - Effects of Fine Particulate Matter (PM2.5) on Systemic Oxidative Stress and
Cardiac Function in ApoE(-/-) Mice.
AB - AIM: In this study, we aimed to explore the toxic mechanisms of cardiovascular
injuries induced by ambient fine particulate matter (PM2.5) in atherosclerotic
susceptible ApoE(-/-) mice. An acute toxicological animal experiment was designed
with PM2.5 exposure once a day, every other day, for three days. METHODS: ApoE(-/
) and C57BL/6 mice were randomly categorized into four groups, respectively (n =
6): one control group, three groups exposed to PM2.5 alone at low-, mid-, and
high-dose (3, 10, or 30 mg/kg b.w.). Heart rate (HR) and electrocardiogram (ECG)
were monitored before instillation of PM2.5 and 24 h after the last instillation,
respectively. Cardiac function was monitored by echocardiography (Echo) after the
last instillation. Biomarkers of systemic oxidative injuries (MDA, SOD), heart
oxidative stress (MDA, SOD), and NAD(P)H oxidase subunits (p22phox, p47phox) mRNA
and protein expression were analyzed in mice. The results showed that PM2.5
exposure could trigger the significant increase of MDA, and induce the decrease
of heart rate variability (HRV), a marker of cardiac autonomic nervous system
(ANS) function with a dose-response manner. Meanwhile, abnormal ECG types were
monitored in mice after exposure to PM2.5. The expression of cytokines related
with oxidative injuries, and mRNA and protein expression of NADPH, increased
significantly in ApoE(-/-) mice in the high-dose group when compared with the
dose-matched C57BL6 mice, but no significant difference was observed at Echo. In
conclusion, PM2.5 exposure could cause oxidative and ANS injuries, and ApoE(-/-)
mice displayed more severe oxidative effects induced by PM2.5.
PMID- 27187433
TI - Prevention of Asbestos-Related Disease in Countries Currently Using Asbestos.
AB - More than 40 years of evaluation have consistently confirmed the carcinogenicity
of asbestos in all of its forms. This notwithstanding, according to recent
figures, the annual world production of asbestos is approximatively 2,000,000
tons. Currently, about 90% of world asbestos comes from four countries: Russia,
China, Brazil and Kazakhstan; and the wide use of asbestos worldwide represents a
global threat. The purpose of this paper is to present a review of the asbestos
health impact and to discuss the role of epidemiological investigations in
countries where asbestos is still used. In these contexts, new, "local" studies
can stimulate awareness of the size of the problem by public opinion and other
stakeholders and provide important information on the circumstances of exposure,
as well as local asbestos-related health impacts. This paper suggests an agenda
for an international cooperation framework dedicated to foster a public health
response to asbestos, including: new epidemiological studies for assessing the
health impact of asbestos in specific contexts; socio-cultural and economic
analyses for contributing to identifying stakeholders and to address both the
local and global implications of asbestos diffusion; public awareness on the
health and socio-economic impact of asbestos use and banning.
PMID- 27187435
TI - Brazilian Green Propolis Improves Antioxidant Function in Patients with Type 2
Diabetes Mellitus.
AB - Propolis contains a variety of bioactive components and possesses many biological
properties. This study was designed to evaluate potential effects of Brazilian
green propolis on glucose metabolism and antioxidant function in patients with
type 2 diabetes mellitus (T2DM). In the 18-week randomized controlled study,
enrolled patients with T2DM were randomly assigned to Brazilian green propolis
group (900 mg/day) (n = 32) and control group (n = 33). At the end of the study,
no significant difference was found in serum glucose, glycosylated hemoglobin,
insulin, aldose reductase or adiponectin between the two groups. However, serum
GSH and total polyphenols were significantly increased, and serum carbonyls and
lactate dehydrogenase activity were significantly reduced in the Brazilian green
propolis group. Serum TNF-alpha was significantly decreased, whereas serum IL
1beta and IL-6 were significantly increased in the Brazilian green propolis
group. It is concluded that Brazilian green propolis is effective in improving
antioxidant function in T2DM patients.
PMID- 27187434
TI - Combined Effects of Prenatal Exposures to Environmental Chemicals on Birth
Weight.
AB - Prenatal chemical exposure has been frequently associated with reduced fetal
growth by single pollutant regression models although inconsistent results have
been obtained. Our study estimated the effects of exposure to single pollutants
and mixtures on birth weight in 248 mother-child pairs. Arsenic, copper, lead,
manganese and thallium were measured in cord blood, cadmium in maternal blood,
methylmercury in maternal hair, and five organochlorines, two perfluorinated
compounds and diethylhexyl phthalate metabolites in cord plasma. Daily exposure
to particulate matter was modeled and averaged over the duration of gestation. In
single pollutant models, arsenic was significantly associated with reduced birth
weight. The effect estimate increased when including cadmium, and mono-(2-ethyl-5
carboxypentyl) phthalate (MECPP) co-exposure. Combining exposures by principal
component analysis generated an exposure factor loaded by cadmium and arsenic
that was associated with reduced birth weight. MECPP induced gender specific
effects. In girls, the effect estimate was doubled with co-exposure of thallium,
PFOS, lead, cadmium, manganese, and mercury, while in boys, the mixture of MECPP
with cadmium showed the strongest association with birth weight. In conclusion,
birth weight was consistently inversely associated with exposure to pollutant
mixtures. Chemicals not showing significant associations at single pollutant
level contributed to stronger effects when analyzed as mixtures.
PMID- 27187436
TI - Fever and Pain Management in Childhood: Healthcare Providers' and Parents'
Adherence to Current Recommendations.
AB - In order to evaluate the adherence of healthcare providers and parents to the
current recommendations concerning fever and pain management, randomized samples
of 500 healthcare providers caring for children and 500 families were asked to
complete an anonymous questionnaire. The 378 health care providers (HCPs)
responding to the survey (75.6%) included 144 primary care pediatricians (38.1%),
98 hospital pediatricians (25.9%), 62 pediatric residents (16.4%), and 71
pediatric nurses (19.6%); the 464 responding parents (92.8%) included 175 whose
youngest (or only) child was <=5 years old (37.7%), 175 whose youngest (or only)
child was aged 6-10 years (37.7%), and 114 whose youngest (or only) child was
aged 11-14 years (24.6%). There were gaps in the knowledge of both healthcare
providers and parents. Global adherence to the guidelines was lower among the
pediatric nurses than the other healthcare providers (odds ratio 0.875; 95%
confidence interval 0.795-0.964). Among the parents, those of children aged 6-10
and 11-14 years old, those who were older, and those without a degree answered
the questions correctly significantly less frequently than the others. These
findings suggest that there is an urgent need to improve the dissemination of the
current recommendations concerning fever and pain management among healthcare
providers and parents in order to avoid mistaken and sometimes risky attitudes,
common therapeutic errors, and the unnecessary overloading of emergency
department resources. Pediatric nurses and parents with older children, those who
are older, and those with a lower educational level should be the priority
targets of educational programmes.
PMID- 27187437
TI - Expelling Stress for Primary School Teachers: Self-Affirmation Increases Positive
Emotions in Teaching and Emotion Reappraisal.
AB - The aim of the present pilot study was to assess the effect of a brief work
related self-affirming implementation intention (WS-AII) on the well-being of
primary school teachers. Participants were randomly allocated to one of two
conditions: one in which they were asked to create a WS-AII or one in which they
were asked to create a control implementation intention (C-II). State anxiety was
measured pre- and post-manipulation, self-efficacy at post-manipulation only, and
emotions in teaching and emotion regulation at baseline and at a two-week follow
up. There were statistically significant differences between the WS-AII condition
and the control. Teachers who created work-related self-affirming implementation
intentions reported an immediate reduction in state anxiety. Positive effects
extended over the two-week period, with teachers in the WS-AII condition also
reporting more positive emotions in teaching and the use of reappraisal emotion
regulation strategies rather than emotion suppression. Results suggest that the
integration of the WS-AII into existing organisational practice may be of benefit
to the well-being of teachers and other highly stressed workers.
PMID- 27187438
TI - Opportunities for Environmental Noise Mapping in Saudi Arabia: A Case of Traffic
Noise Annoyance in an Urban Area in Jeddah City.
AB - As the traffic and other environmental noise generating activities are growing in
The Kingdom of Saudi Arabia (KSA), adverse health and other impacts are expected
to develop. The management of such problem involves many actions, of which noise
mapping has been proven to be a helpful approach. The objective of the current
study was to test the adequacy of the available data in KSA municipalities for
generating urban noise maps and to verify the applicability of available
environmental noise mapping and noise annoyance models for KSA. Therefore, noise
maps were produced for Al-Fayha District in Jeddah City, KSA using commercially
available noise mapping software and applying the French national computation
method "NMPB" for traffic noise. Most of the data required for traffic noise
prediction and annoyance analysis were available, either in the Municipality GIS
department or in other governmental authorities. The predicted noise levels
during the three time periods, i.e., daytime, evening, and nighttime, were found
higher than the maximum recommended levels established in KSA environmental noise
standards. Annoyance analysis revealed that high percentages of the District
inhabitants were highly annoyed, depending on the type of planning zone and
period of interest. These results reflect the urgent need to consider
environmental noise reduction in KSA national plans. The accuracy of the
predicted noise levels and the availability of most of the necessary data should
encourage further studies on the use of noise mapping as part of noise reduction
plans.
PMID- 27187439
TI - Nonylphenol Toxicity Evaluation and Discovery of Biomarkers in Rat Urine by a
Metabolomics Strategy through HPLC-QTOF-MS.
AB - Nonylphenol (NP) was quantified using liquid chromatography tandem mass
spectrometry (LC-MS/MS) in the urine and plasma of rats treated with 0, 50, and
250 mg/kg/day of NP for four consecutive days. A urinary metabolomic strategy was
originally implemented by high performance liquid chromatography time of flight
mass spectrometry (HPLC-QTOF-MS) to explore the toxicological effects of NP and
determine the overall alterations in the metabolite profiles so as to find
potential biomarkers. It is essential to point out that from the observation, the
metabolic data were clearly clustered and separated for the three groups. To
further identify differentiated metabolites, multivariate analysis, including
principal component analysis (PCA), orthogonal partial least-squares discriminant
analysis (OPLS-DA), high-resolution MS/MS analysis, as well as searches of Metlin
and Massbank databases, were conducted on a series of metabolites between the
control and dose groups. Finally, five metabolites, including glycine,
glycerophosphocholine, 5-hydroxytryptamine, malonaldehyde (showing an upward
trend), and tryptophan (showing a downward trend), were identified as the
potential urinary biomarkers of NP-induced toxicity. In order to validate the
reliability of these potential biomarkers, an independent validation was
performed by using the multiple reaction monitoring (MRM)-based targeted
approach. The oxidative stress reflected by urinary 8-oxo-deoxyguanosine (8
oxodG) levels was elevated in individuals highly exposed to NP, supporting the
hypothesis that mitochondrial dysfunction was a result of xenoestrogen
accumulation. This study reveals a promising approach to find biomarkers to
assist researchers in monitoring NP.
PMID- 27187440
TI - Physiological and Molecular Response of Prorocentrum minimum to Tannic Acid: An
Experimental Study to Evaluate the Feasibility of Using Tannic Acid in Controling
the Red Tide in a Eutrophic Coastal Water.
AB - Bioassay and gene expression experiments were conducted in order to evaluate the
growth and physiology of Prorocentrum minimum isolated from a eutrophic coastal
water in response to tannic acid. In the bioassay experiments, variations in
abundance, chlorophyll (chl) a concentration, maximum fluorescence (in vivo Fm),
and photosynthetic efficiency (Fv/Fm) were measured over the course of a seven
day incubation. Moreover, stress-related gene expression in both the control and
an experimental (2.5 ppm TA treatment) group was observed for 24 h and 48 h. The
molecular markers used in this study were the heat shock proteins (Hsp70 and
Hsp90) and cyclophilin (CYP). The findings show that P. minimum can thrive and
grow at low concentrations (<2.5 ppm) of tannic acid, and, above this
concentration, cells begin to slow down development. In addition, TA
concentration of 10 ppm halted photosynthetic activity. At the molecular level,
treatment with tannic acid increased the expression of Hsp70, Hsp90, and CYP, and
heat shock proteins are more upregulated than the cyclophilin gene. Exposure to
tannic acid increased the expression of stress factors over time (48 h) by 10- to
27-fold the expression level of the control group. These results suggest that
tannic acid can be used to control harmful algal blooms such as those containing
P. minimum in eutrophic coastal waters.
PMID- 27187442
TI - Distinct Morphology of Human T-Cell Leukemia Virus Type 1-Like Particles.
AB - The Gag polyprotein is the main retroviral structural protein and is essential
for the assembly and release of virus particles. In this study, we have analyzed
the morphology and Gag stoichiometry of human T-cell leukemia virus type 1 (HTLV
1)-like particles and authentic, mature HTLV-1 particles by using cryogenic
transmission electron microscopy (cryo-TEM) and scanning transmission electron
microscopy (STEM). HTLV-1-like particles mimicked the morphology of immature
authentic HTLV-1 virions. Importantly, we have observed for the first time that
the morphology of these virus-like particles (VLPs) has the unique local feature
of a flat Gag lattice that does not follow the curvature of the viral membrane,
resulting in an enlarged distance between the Gag lattice and the viral membrane.
Other morphological features that have been previously observed with other
retroviruses include: (1) a Gag lattice with multiple discontinuities; (2)
membrane regions associated with the Gag lattice that exhibited a string of bead
like densities at the inner leaflet; and (3) an arrangement of the Gag lattice
resembling a railroad track. Measurement of the average size and mass of VLPs and
authentic HTLV-1 particles suggested a consistent range of size and Gag copy
numbers in these two groups of particles. The unique local flat Gag lattice
morphological feature observed suggests that HTLV-1 Gag could be arranged in a
lattice structure that is distinct from that of other retroviruses characterized
to date.
PMID- 27187441
TI - Epigenetic Effect of Environmental Factors on Autism Spectrum Disorders.
AB - Both environmental factors and genetic factors are involved in the pathogenesis
of autism spectrum disorders (ASDs). Epigenetics, an essential mechanism for gene
regulation based on chemical modifications of DNA and histone proteins, is also
involved in congenital ASDs. It was recently demonstrated that environmental
factors, such as endocrine disrupting chemicals and mental stress in early life,
can change epigenetic status and gene expression, and can cause ASDs. Moreover,
environmentally induced epigenetic changes are not erased during gametogenesis
and are transmitted to subsequent generations, leading to changes in behavior
phenotypes. However, epigenetics has a reversible nature since it is based on the
addition or removal of chemical residues, and thus the original epigenetic status
may be restored. Indeed, several antidepressants and anticonvulsants used for
mental disorders including ASDs restore the epigenetic state and gene expression.
Therefore, further epigenetic understanding of ASDs is important for the
development of new drugs that take advantages of epigenetic reversibility.
PMID- 27187443
TI - Correspondence of Neutralizing Humoral Immunity and CD4 T Cell Responses in Long
Recovered Sudan Virus Survivors.
AB - Robust humoral and cellular immunity are critical for survival in humans during
an ebolavirus infection. However, the interplay between these two arms of
immunity is poorly understood. To address this, we examined residual immune
responses in survivors of the Sudan virus (SUDV) outbreak in Gulu, Uganda (2000
2001). Cytokine and chemokine expression levels in SUDV stimulated whole blood
cultures were assessed by multiplex ELISA and flow cytometry. Antibody and
corresponding neutralization titers were also determined. Flow cytometry and
multiplex ELISA results demonstrated significantly higher levels of cytokine and
chemokine responses in survivors with serological neutralizing activity. This
correspondence was not detected in survivors with serum reactivity to SUDV but
without neutralization activity. This previously undefined relationship between
memory CD4 T cell responses and serological neutralizing capacity in SUDV
survivors is key for understanding long lasting immunity in survivors of
filovirus infections.
PMID- 27187444
TI - Protein 2B of Coxsackievirus B3 Induces Autophagy Relying on Its Transmembrane
Hydrophobic Sequences.
AB - Coxsackievirus B (CVB) belongs to Enterovirus genus within the Picornaviridae
family, and it is one of the most common causative pathogens of viral myocarditis
in young adults. The pathogenesis of myocarditis caused by CVB has not been
completely elucidated. In CVB infection, autophagy is manipulated to facilitate
viral replication. Here we report that protein 2B, one of the non-structural
proteins of CVB3, possesses autophagy-inducing capability. The autophagy-inducing
motif of protein 2B was identified by the generation of truncated 2B and site
directed mutagenesis. The expression of 2B alone was sufficient to induce the
formation of autophagosomes in HeLa cells, while truncated 2B containing the two
hydrophobic regions of the protein also induced autophagy. In addition, we
demonstrated that a single amino acid substitution (56V->A) in the stem loop in
between the two hydrophobic regions of protein 2B abolished the formation of
autophagosomes. Moreover, we found that 2B and truncated 2B with autophagy
inducting capability were co-localized with LC3-II. This study indicates that
protein 2B relies on its transmembrane hydrophobic regions to induce the
formation of autophagosomes, while 56 valine residue in the stem loop of protein
2B might exert critical structural influence on its two hydrophobic regions.
These results may provide new insight for understanding the molecular mechanism
of autophagy triggered by CVB infection.
PMID- 27187446
TI - Making Bunyaviruses Talk: Interrogation Tactics to Identify Host Factors Required
for Infection.
AB - The identification of host cellular genes that act as either proviral or
antiviral factors has been aided by the development of an increasingly large
number of high-throughput screening approaches. Here, we review recent advances
in which these new technologies have been used to interrogate host genes for the
ability to impact bunyavirus infection, both in terms of technical advances as
well as a summary of biological insights gained from these studies.
PMID- 27187445
TI - Respiratory Syncytial Virus and Cellular Stress Responses: Impact on Replication
and Physiopathology.
AB - Human respiratory syncytial virus (RSV), a member of the Paramyxoviridae family,
is a major cause of severe acute lower respiratory tract infection in infants,
elderly and immunocompromised adults. Despite decades of research, a complete
integrated picture of RSV-host interaction is still missing. Several cellular
responses to stress are involved in the host-response to many virus infections.
The endoplasmic reticulum stress induced by altered endoplasmic reticulum (ER)
function leads to activation of the unfolded-protein response (UPR) to restore
homeostasis. Formation of cytoplasmic stress granules containing translationally
stalled mRNAs is a means to control protein translation. Production of reactive
oxygen species is balanced by an antioxidant response to prevent oxidative stress
and the resulting damages. In recent years, ongoing research has started to
unveil specific regulatory interactions of RSV with these host cellular stress
responses. Here, we discuss the latest findings regarding the mechanisms evolved
by RSV to induce, subvert or manipulate the ER stress, the stress granule and
oxidative stress responses. We summarize the evidence linking these stress
responses with the regulation of RSV replication and the associated pathogenesis.
PMID- 27187447
TI - A Mixed Flavonoid-Fish Oil Supplement Induces Immune-Enhancing and Anti
Inflammatory Transcriptomic Changes in Adult Obese and Overweight Women-A
Randomized Controlled Trial.
AB - Flavonoids and fish oils have anti-inflammatory and immune-modulating influences.
The purpose of this study was to determine if a mixed flavonoid-fish oil
supplement (Q-Mix; 1000 mg quercetin, 400 mg isoquercetin, 120 mg
epigallocatechin (EGCG) from green tea extract, 400 mg n3-PUFAs (omega-3
polyunsaturated fatty acid) (220 mg eicosapentaenoic acid (EPA) and 180 mg
docosahexaenoic acid (DHA)) from fish oil, 1000 mg vitamin C, 40 mg niacinamide,
and 800 ug folic acid) would reduce complications associated with obesity; that
is, reduce inflammatory and oxidative stress markers and alter genomic profiles
in overweight women. Overweight and obese women (n = 48; age = 40-70 years) were
assigned to Q-Mix or placebo groups using randomized double-blinded placebo
controlled procedures. Overnight fasted blood samples were collected at 0 and 10
weeks and analyzed for cytokines, C-reactive protein (CRP), F2-isoprostanes, and
whole-blood-derived mRNA, which was assessed using Affymetrix HuGene-1_1 ST
arrays. Statistical analysis included two-way ANOVA models for blood analytes and
gene expression and pathway and network enrichment methods for gene expression.
Plasma levels increased with Q-Mix supplementation by 388% for quercetin, 95% for
EPA, 18% for DHA, and 20% for docosapentaenoic acid (DPA). Q-Mix did not alter
plasma levels for CRP (p = 0.268), F2-isoprostanes (p = 0.273), and cytokines (p
> 0.05). Gene set enrichment analysis revealed upregulation of pathways in Q-Mix
vs. placebo related to interferon-induced antiviral mechanism (false discovery
rate, FDR < 0.001). Overrepresentation analysis further disclosed an inhibition
of phagocytosis-related inflammatory pathways in Q-Mix vs. placebo. Thus, a 10
week Q-Mix supplementation elicited a significant rise in plasma quercetin, EPA,
DHA, and DPA, as well as stimulated an antiviral and inflammation whole-blood
transcriptomic response in overweight women.
PMID- 27187448
TI - Resveratrol Interferes with IL1-beta-Induced Pro-Inflammatory Paracrine
Interaction between Primary Chondrocytes and Macrophages.
AB - State of the art. Osteoarthritis (OA) is a chronic articular disease
characterized by cartilage degradation and osteophyte formation. OA
physiopathology is multifactorial and involves mechanical and hereditary factors.
So far, there is neither preventive medicine to delay cartilage breakdown nor
curative treatment. Objectives. To investigate pro-inflammatory paracrine
interactions between human primary chondrocytes and macrophages following
interleukin-1-beta (IL-1beta) treatment; to evaluate the molecular mechanism
responsible for the inhibitory effect of resveratrol. Results. The activation of
NF-kappaB in chondrocytes by IL-1beta induced IL-6 secretion. The latter will
then activate STAT3 protein in macrophages. Moreover, STAT3 was able to
positively regulate IL-6 secretion, as confirmed by the doubling level of IL-6 in
the coculture compared to macrophage monoculture. These experiments confirm the
usefulness of the coculture model in the inflammatory arthritis-linked process as
a closer biological situation to the synovial joint than separated chondrocytes
and macrophages. Il also demonstrated the presence of an inflammatory
amplification loop induced by IL-1beta. Resveratrol showed a strong inhibitory
effect on the pro-inflammatory marker secretion. The decrease of IL-6 secretion
is dependent on the NFkappaB inhibition in the chondrocytes. Such reduction of
the IL-6 level can limit STAT3 activation in the macrophages, leading to the
interruption of the inflammatory amplification loop. Conclusion. These results
increase our understanding of the anti-inflammatory actions of resveratrol and
open new potential approaches to prevent and treat osteoarthritis.
PMID- 27187449
TI - Nutraceutical with Resveratrol and Omega-3 Fatty Acids Induces Autophagy in ARPE
19 Cells.
AB - Impaired autophagic and proteasomal cleansing have been documented in aged
retinal pigment epithelial (RPE) cells and age-related macular degeneration
(AMD). Omega-3 fatty acids and resveratrol have many positive homeostatic effects
in RPE cells. In this work, ARPE-19 cells were treated with 288 ng of Resvega,
containing 30 mg of trans resveratrol and 665 mg of omega-3 fatty acids, among
other nutrients, with proteasome inhibitor MG-132 or autophagy inhibitor
bafilomycin A1 up to 48 h. Autophagy markers p62/SQSTM1 (p62) and LC3
(microtubule-associated protein 1A/1B-light chain 3) were analyzed by Western
blotting. Fluorescence microscopy with mCherry-GFP-LC3 plasmid was applied to
study the autophagy flux, and cytoprotective effects were investigated with
colorimetric MTT and LDH assays. Resvega induced autophagy by showing increased
autolysosome formation and autophagy flux, and the change in the p62 and LC3
protein levels further confirmed the fluorescent microscopy results. Moreover,
Resvega provided a clear cytoprotection under proteasome inhibition. These
findings highlight the potential of the nutraceuticals containing resveratrol,
omega-3 fatty acids and other nutrients in the prevention of ARPE-19 cell damage.
PMID- 27187450
TI - Review of Infant Feeding: Key Features of Breast Milk and Infant Formula.
AB - Mothers' own milk is the best source of nutrition for nearly all infants. Beyond
somatic growth, breast milk as a biologic fluid has a variety of other benefits,
including modulation of postnatal intestinal function, immune ontogeny, and brain
development. Although breastfeeding is highly recommended, breastfeeding may not
always be possible, suitable or solely adequate. Infant formula is an
industrially produced substitute for infant consumption. Infant formula attempts
to mimic the nutritional composition of breast milk as closely as possible, and
is based on cow's milk or soymilk. A number of alternatives to cow's milk-based
formula also exist. In this article, we review the nutritional information of
breast milk and infant formulas for better understanding of the importance of
breastfeeding and the uses of infant formula from birth to 12 months of age when
a substitute form of nutrition is required.
PMID- 27187451
TI - Protein-Pacing from Food or Supplementation Improves Physical Performance in
Overweight Men and Women: The PRISE 2 Study.
AB - We recently reported that protein-pacing (P; six meals/day @ 1.4 g/kg body weight
(BW), three of which included whey protein (WP) supplementation) combined with a
multi-mode fitness program consisting of resistance, interval sprint, stretching,
and endurance exercise training (RISE) improves body composition in overweight
individuals. The purpose of this study was to extend these findings and determine
whether protein-pacing with only food protein (FP) is comparable to WP
supplementation during RISE training on physical performance outcomes in
overweight/obese individuals. Thirty weight-matched volunteers were prescribed
RISE training and a P diet derived from either whey protein supplementation (WP,
n = 15) or food protein sources (FP, n = 15) for 16 weeks. Twenty-one
participants completed the intervention (WP, n = 9; FP, n = 12). Measures of body
composition and physical performance were significantly improved in both groups
(p < 0.05), with no effect of protein source. Likewise, markers of
cardiometabolic disease risk (e.g., LDL (low-density lipoprotein) cholesterol,
glucose, insulin, adiponectin, systolic blood pressure) were significantly
improved (p < 0.05) to a similar extent in both groups. These results demonstrate
that both whey protein and food protein sources combined with multimodal RISE
training are equally effective at improving physical performance and
cardiometabolic health in obese individuals.
PMID- 27187452
TI - Gut Microbiota and Metabolic Health: The Potential Beneficial Effects of a Medium
Chain Triglyceride Diet in Obese Individuals.
AB - Obesity and associated metabolic complications, such as non-alcoholic fatty liver
disease (NAFLD) and type 2 diabetes (T2D), are in constant increase around the
world. While most obese patients show several metabolic and biometric
abnormalities and comorbidities, a subgroup of patients representing 3% to 57% of
obese adults, depending on the diagnosis criteria, remains metabolically healthy.
Among many other factors, the gut microbiota is now identified as a determining
factor in the pathogenesis of metabolically unhealthy obese (MUHO) individuals
and in obesity-related diseases such as endotoxemia, intestinal and systemic
inflammation, as well as insulin resistance. Interestingly, recent studies
suggest that an optimal healthy-like gut microbiota structure may contribute to
the metabolically healthy obese (MHO) phenotype. Here, we describe how dietary
medium chain triglycerides (MCT), previously found to promote lipid catabolism,
energy expenditure and weight loss, can ameliorate metabolic health via their
capacity to improve both intestinal ecosystem and permeability. MCT-enriched
diets could therefore be used to manage metabolic diseases through modification
of gut microbiota.
PMID- 27187453
TI - Quercetin Impacts Expression of Metabolism- and Obesity-Associated Genes in SGBS
Adipocytes.
AB - Obesity is characterized by the rapid expansion of visceral adipose tissue,
resulting in a hypoxic environment in adipose tissue which leads to a profound
change of gene expression in adipocytes. As a consequence, there is a
dysregulation of metabolism and adipokine secretion in adipose tissue leading to
the development of systemic inflammation and finally resulting in the onset of
metabolic diseases. The flavonoid quercetin as well as other secondary plant
metabolites also referred to as phytochemicals have anti-oxidant, anti
inflammatory, and anti-diabetic effects known to be protective in view of obesity
related-diseases. Nevertheless, its underlying molecular mechanism is still
obscure and thus the focus of this study was to explore the influence of
quercetin on human SGBS (Simpson Golabi Behmel Syndrome) adipocytes' gene
expression. We revealed for the first time that quercetin significantly changed
expression of adipokine (Angptl4, adipsin, irisin and PAI-1) and glycolysis
involved (ENO2, PFKP and PFKFB4) genes, and that this effect not only antagonized
but in part even overcompensated the effect mediated by hypoxia in adipocytes.
Thus, these results are explained by the recently proposed hypothesis that the
protective effect of quercetin is not solely due to its free radical-scavenging
activity but also to a direct effect on mitochondrial processes, and they
demonstrate that quercetin might have the potential to counteract the development
of obesity-associated complications.
PMID- 27187455
TI - Walnut Polyphenol Extract Attenuates Immunotoxicity Induced by 4-Pentylphenol and
3-methyl-4-nitrophenol in Murine Splenic Lymphocyte.
AB - 4-pentylphenol (PP) and 3-methyl-4-nitrophenol (PNMC), two important components
of vehicle emissions, have been shown to confer toxicity in splenocytes. Certain
natural products, such as those derived from walnuts, exhibit a range of
antioxidative, antitumor, and anti-inflammatory properties. Here, we investigated
the effects of walnut polyphenol extract (WPE) on immunotoxicity induced by PP
and PNMC in murine splenic lymphocytes. Treatment with WPE was shown to
significantly enhance proliferation of splenocytes exposed to PP or PNMC,
characterized by increases in the percentages of splenic T lymphocytes (CD3+ T
cells) and T cell subsets (CD4+ and CD8+ T cells), as well as the production of T
cell-related cytokines and granzymes (interleukin-2, interleukin-4, and granzyme
B) in cells exposed to PP or PNMC. These effects were associated with a decrease
in oxidative stress, as evidenced by changes in OH, SOD, GSH-Px, and MDA levels.
The total phenolic content of WPE was 34,800 +/- 200 mg gallic acid
equivalents/100 g, consisting of at least 16 unique phenols, including
ellagitannins, quercetin, valoneic acid dilactone, and gallic acid. Taken
together, these results suggest that walnut polyphenols significantly attenuated
PP and PNMC-mediated immunotoxicity and improved immune function by inhibiting
oxidative stress.
PMID- 27187454
TI - Inositol Hexaphosphate and Inositol Inhibit Colorectal Cancer Metastasis to the
Liver in BALB/c Mice.
AB - Inositol hexaphosphate (IP6) and inositol (Ins), naturally occurring
carbohydrates present in most mammals and plants, inhibit the growth of numerous
cancers both in vitro and in vivo. In this study, we first examined the anti
metastatic effects of IP6 and Ins using a liver metastasis model of colorectal
cancer (CRC) in BALB/c mice. CT-26 cells were injected into the splenic capsule
of 48 BALB/c mice. The mice were then randomly divided into four groups: IP6,
Ins, IP6 + Ins and normal saline control (n = 12 per group). IP6 and/or Ins (80
mg/kg each, 0.2 mL/day) were injected into the gastrointestinal tracts of the
mice on the second day after surgery. All mice were sacrificed after 20 days, and
the tumor inhibition rates were determined. The results demonstrated that the
tumor weights of liver metastases and the tumor inhibition rates were reduced in
the experimental groups compared to the control group and that treatment with the
combination of IP6 and Ins resulted in greater inhibition of tumor growth than
treatment with either compound alone. These findings suggest that IP6 and Ins
prevent the development and metastatic progression of colorectal cancer to the
liver in mice by altering expression of the extracellular matrix proteins
collagen IV, fibronectin and laminin; the adhesion factor receptor integrin
beta1; the proteolytic enzyme matrix metalloproteinase 9; and the angiogenic
factors vascular endothelial growth factor, basic fibroblast growth factor, and
transforming growth factor beta in the tumor metastasis microenvironment. In
conclusion, IP6 and Ins inhibited the development and metastatic progression of
colorectal cancer to the liver in BALB/c mice, and the effect of their combined
application was significantly greater than the effect of either compound alone.
This evidence supports further testing of the combined application of IP6 and Ins
for the prevention of colorectal cancer metastasis to the liver in clinical
studies.
PMID- 27187456
TI - High Prevalence of Vitamin D Deficiency in Cambodian Women: A Common Deficiency
in a Sunny Country.
AB - Recent studies have shown that in spite of being generally close to the equator;
vitamin D deficiency is common in South East Asian countries. In order to
quantify micronutrient status for women and children in Cambodia; a nationally
representative survey was conducted in 2014 linked to the Cambodian Demographic
Health Survey. The countrywide median of 25(OH)D was, respectively, 64.9 and 91.1
nmol/L for mothers and children. Based on The Endocrine Society cutoffs (>50<75
nmol/L = insufficiency; <=50 nmol/L = deficiency); 64.6% of mothers and 34.8% of
their children had plasma vitamin D concentrations indicating insufficiency or
deficiency. For deficiency alone, 29% of the mothers were found to be vitamin D
deficient, but only 13.4% of children. Children who live in urban areas had a 43%
higher rate of vitamin D insufficiency versus those who live in rural areas (OR;
1.434; 95% CI: 1.007; 2.041). However, such differences were not observed in
their mothers. The high prevalence of vitamin D deficiency is likely in part due
to lifestyle choices, including sun avoidance, increasingly predominant indoor
work, and covered transport. These survey findings support the need for a broader
national Cambodian study incorporating testing of adult men, adolescents and the
elderly, and encompassing other parameters such as skeletal health. However, the
data presented in this study already show significant deficiencies which need to
be addressed and we discuss the benefit of establishing nationally-mandated food
fortification programs to enhance the intake of vitamin D.
PMID- 27187457
TI - Effects of Low-Fat Diets Differing in Protein and Carbohydrate Content on
Cardiometabolic Risk Factors during Weight Loss and Weight Maintenance in Obese
Adults with Type 2 Diabetes.
AB - Despite evidence for the benefits of higher-protein (HP) diets in weight loss,
their role in type 2 diabetes mellitus (T2DM) management and weight maintenance
is not clear. This randomised study compared the effects of a HP diet (38%
carbohydrate, 30% protein, 29% fat) to a isocaloric higher-carbohydrate diet (HC:
53%:21%:23%) on cardiometabolic risk factors for 12 weeks in energy restriction
(~30% reduction) followed by 12 weeks of energy balance whilst performing regular
exercise. Outcomes were measured at baseline and the end of each phase. Sixty-one
overweight/obese adults (BMI (body mass index) 34.3 +/- 5.1 kg/m2, aged 55 +/- 8
years) with T2DM who commenced the study were included in the intention-to-treat
analysis including the 17 participants (HP n = 9, HC n = 8) who withdrew.
Following weight loss (M +/- SEM: -7.8 +/- 0.6 kg), there were significant
reductions in HbA1c (-1.4% +/- 0.1%, p < 0.001) and several cardiometabolic
health risk factors. Improvements were sustained for 12 weeks when weight was
stabilised and weight loss maintained. Both the HP and HC dietary patterns with
concurrent exercise may be effective strategies for weight loss and weight
maintenance in T2DM although further studies are needed to determine the longer
term effects of weight maintenance.
PMID- 27187458
TI - Hawthorn Fruit Extract Elevates Expression of Nrf2/HO-1 and Improves Lipid
Profiles in Ovariectomized Rats.
AB - The purpose of this study was to investigate the effects of hawthorn (Crataegus
pinnatifida Bunge) extract on the lipid profiles and antioxidant properties in
ovariectomized (OVX) rats. After ovariectomy, the rats were randomly divided into
four groups: the non-OVX control (Sham), the OVX-control (OVX), the OVX + 100
mg/kg b.w. of hawthorn extract (OL), and the OVX + 200 mg/kg b.w. of hawthorn
extract (OH). The final body weights of the OVX group were significantly
increased, but the increment was significantly decreased in hawthorn groups (p <
0.05). The serum total and low-density lipoprotein (LDL) cholesterol levels were
significantly elevated in the OVX group, whereas the hawthorn groups showed a
significant decrease in these levels (p < 0.05). The hepatic triglyceride (TG)
and malondialdehyde (MDA) levels were significantly reduced in the hawthorn
groups compared with the OVX group (p < 0.05). The mRNA expression of nuclear
factor erythroid 2-related factor (Nrf2), heme oxygenase-1 (HO-1), and
glutathione peroxidase (GPx) were significantly decreased in the OVX group,
whereas the hawthorn groups exhibited a significant increase in expression (p <
0.05). The protein expressions of Nrf2, HO-1, and GPx were lower in the OVX group
than the Sham group (p < 0.05). The oral administration of hawthorn extract
reversed the suppression of protein levels. These results suggest that hawthorn
extract could have protective effects in OVX rats by improving lipid profiles,
decreasing oxidative stress, and improving the antioxidant defense system.
PMID- 27187459
TI - Dietary Intake of the Urban Black Population of Cape Town: The Cardiovascular
Risk in Black South Africans (CRIBSA) Study.
AB - INTRODUCTION: To determine dietary intake of 19 to 64 years old urban Africans in
Cape Town in 2009 and examine the changes between 1990 and 2009. METHODS: A
representative cross-sectional sample (n = 544), stratified by gender and age was
randomly selected in 2009 from the same areas sampled in 1990. Socio-demographic
data and a 24-h dietary recall were obtained by trained field workers. The
associations of dietary data with an asset index and degree of urbanization were
assessed. RESULTS: Fat intakes were higher in 19-44-year-old men (32% energy (E))
and women (33.4%E) in 2009 compared with 1990 (men: 25.9%E, women: 27.0%E) while
carbohydrate intakes were lower in 2009 (men 53.2%E, women: 55.5%E) than in 1990
(men: 61.3%E; women: 62%E) while sugar intake increased significantly (p < 0.01)
in women. There were significant positive correlations between urbanization and
total fat (p = 0.016), saturated fat (p = 0.001), monounsaturated fat (p = 0.002)
and fat as a %E intake (p = 0.046). Urbanization was inversely associated with
intake of carbohydrate %E (p < 0.001). Overall micronutrient intakes improved
significantly compared with 1990. It should also be noted that energy and
macronutrient intakes were all significant in a linear regression model using
mean adequacy ratio (MAR) as a measure of dietary quality in 2009, as was
duration of urbanization. DISCUSSION: The higher fat and lower carbohydrate %E
intakes in this population demonstrate a transition to a more urbanized diet over
last two decades. These dietary changes reflect the nutrition transitions that
typically occur as a longer time is spent in urban centers.
PMID- 27187460
TI - Role of Vitamin D in Cognitive Function in Chronic Kidney Disease.
AB - Both vitamin D deficiency and cognitive impairment are common in patients with
chronic kidney disease (CKD). Vitamin D exerts neuroprotective and regulatory
roles in the central nervous system. Hypovitaminosis D has been associated with
muscle weakness and bone loss, cardiovascular diseases (hypertension, diabetes
and hyperlipidemia), inflammation, oxidative stress, immune suppression and
neurocognitive impairment. The combination of hypovitaminosis D and CKD can be
even more debilitating, as cognitive impairment can develop and progress through
vitamin D-associated and CKD-dependent/independent processes, leading to
significant morbidity and mortality. Although an increasingly recognized
comorbidity in CKD, cognitive impairment remains underdiagnosed and often
undermanaged. Given the association of cognitive decline and hypovitaminosis D
and their deleterious effects in CKD patients, determination of vitamin D status
and when appropriate, supplementation, in conjunction with neuropsychological
screening, should be considered integral to the clinical care of the CKD
population.
PMID- 27187461
TI - Infant Feeding Practices in a Multi-Ethnic Asian Cohort: The GUSTO Study.
AB - The optimal introduction of complementary foods provides infants with
nutritionally balanced diets and establishes healthy eating habits. The
documentation of infant feeding practices in multi-ethnic Asian populations is
limited. In a Singapore cohort study (GUSTO), 842 mother-infant dyads were
interviewed regarding their feeding practices when the infants were aged 9 and 12
months. In the first year, 20.5% of infants were given dietary supplements, while
5.7% took probiotics and 15.7% homeopathic preparations. At age 9 months, 45.8%
of infants had seasonings added to their foods, increasing to 56.3% at 12 months.
At age 12 months, 32.7% of infants were given blended food, although 92.3% had
begun some form of self-feeding. Additionally, 87.4% of infants were fed milk via
a bottle, while a third of them had food items added into their bottles. At both
time points, more than a third of infants were provided sweetened drinks via the
bottle. Infants of Indian ethnicity were more likely to be given dietary
supplements, have oil and seasonings added to their foods and consumed sweetened
drinks from the bottle (p < 0.001). These findings provide a better understanding
of variations in infant feeding practices, so that healthcare professionals can
offer more targeted and culturally-appropriate advice.
PMID- 27187462
TI - The Economic Burden of Malnutrition in Pregnant Women and Children under 5 Years
of Age in Cambodia.
AB - Malnutrition is locked in a vicious cycle of increased mortality, poor health,
impaired cognitive development, slow physical growth, reduced learning capacity,
inferior performance, and ultimately lower adult work performance and
productivity. The consensus of global scientific evidence indicates that lowering
the rates of malnutrition will be an indispensable component of any successful
program to raise the quality of human capital and resources. This study used a
"consequence model" to apply the coefficient risk-deficit on economic losses,
established in the global scientific literature, to Cambodian health,
demographic, and economic data to develop a national estimate of the value of
economic losses due to malnutrition. The impact of the indicators of malnutrition
analyzed represent a burden to the national economy of Cambodia estimated at 266
million USD annually (1.7% of GDP). Stunting is reducing the Cambodian economic
output by more than 120 million USD, and iodine deficiency disorders alone by 57
million USD. This economic burden is too high in view of Cambodia's efforts to
drive economic development. The government should rapidly expand a range of low
cost effective nutrition interventions to break the current cycle of increased
mortality, poor health and ultimately lower work performance, productivity, and
earnings.
PMID- 27187464
TI - Diet Quality and Adequacy of Nutrients in Preschool Children: Should Rice
Fortified with Micronutrients Be Included in School Meals?
AB - Feeding is indicative of the nutritional status of children, however
micronutrient deficiency is common in this age group. We evaluated the impact of
inclusion of rice (Ultra Rice((r)) (UR((r)))) fortified with iron, zinc, thiamin
and folic acid on laboratory measurements and the nutrient intake of children.
Ninety-nine preschoolers (2-6 years; 42.6% male) from two preschools
participated, one of which received UR((r)) added to polished rice as part of
school meals (test preschool) and the other received only polished rice (control
preschool). Biochemical evaluations were performed before and after four months
of intervention. Feeding was assessed by direct weighing of food, complemented by
24-h recalls, and the diet was assessed by the Healthy Eating Index (HEI) adapted
to the Brazilian reality. The fortified rice improved the levels of zinc (p <
0.001), thiamine (p < 0.001), folic acid (p = 0.003), mean corpuscular hemoglobin
(p < 0.001) and mean corpuscular hemoglobin concentration (p < 0.001). The
inadequacy percentages of thiamine, folic acid and iron were lower among
preschoolers from the test preschool. This study demonstrated the effectiveness
of using UR((r)) on laboratory measurements of children. The inadequate intake of
thiamine, folic acid and iron was also reduced, making the fortified rice an
interesting strategy in school feeding programs.
PMID- 27187463
TI - Correlation between Nutrition and Symptoms: Nutritional Survey of Children with
Autism Spectrum Disorder in Chongqing, China.
AB - Restricted diets and inadequate nutrient intake of children with autism spectrum
disorder (ASD) have been reported. This study examined the nutritional statuses
of children with ASD and the relationships between their behaviors and
nutritional intake. A total of 154 children with ASD (age = 5.21 +/- 1.83 years)
and 73 typically-developing (TD) children (age = 4.83 +/- 0.84 years) from
Chongqing, China, were enrolled. The severity of ASD was evaluated using the
Childhood Autism Rating Scale (CARS). The serum ferritin, folate, vitamin B12,
25(OH) vitamin D, and vitamin A concentrations in the children with ASD were
determined. All participants underwent anthropometric examinations, dietary
assessments, and questionnaire assessments about their feeding behaviors, and
gastrointestinal symptoms. The ZHA, ZWA, and ZBMIA were found to be significantly
lower in the children with ASD compared with those without ASD. In addition, the
percentages of children exhibiting severe picky eating and severe resistance to
new foods, as well as those with a reported general impression of severe eating
problems and constipation, were higher among the children with ASD. These
children consumed significantly fewer macronutrients compared with the children
without ASD. In addition, the children with ASD had the highest rate of vitamin A
deficiency, followed by iron deficiency. After adjusting for sex, the vitamin A
concentration was found to be negatively correlated with the CARS score (rs =
0.222, p = 0.021). No correlation between the ferritin, folate, vitamin D, or
vitamin B12 concentration and the CARS score was found. These results suggest
that reduced macronutrient intakes, severe feeding behavior issues, constipation,
and vitamin A deficiency are quite common among children with ASD. Further, a low
serum vitamin A level may be a risk factor for symptoms of ASD. However, the
underlying mechanism should be further studied.
PMID- 27187465
TI - Protein Intake and Muscle Health in Old Age: From Biological Plausibility to
Clinical Evidence.
AB - The provision of sufficient amounts of dietary proteins is central to muscle
health as it ensures the supply of essential amino acids and stimulates protein
synthesis. Older persons, in particular, are at high risk of insufficient protein
ingestion. Furthermore, the current recommended dietary allowance for protein
(0.8 g/kg/day) might be inadequate for maintaining muscle health in older adults,
probably as a consequence of "anabolic resistance" in aged muscle. Older
individuals therefore need to ingest a greater quantity of protein to maintain
muscle function. The quality of protein ingested is also essential to promoting
muscle health. Given the role of leucine as the master dietary regulator of
muscle protein turnover, the ingestion of protein sources enriched with this
essential amino acid, or its metabolite beta-hydroxy beta-methylbutyrate, is
thought to offer the greatest benefit in terms of preservation of muscle mass and
function in old age.
PMID- 27187466
TI - The Draft Genome Sequence of the Yersinia entomophaga Entomopathogenic Type
Strain MH96T.
AB - Here we report the draft genome of Yersinia entomophaga type strain MH96T. The
genome shows 93.8% nucleotide sequence identity to that of Yersinia nurmii type
strain APN3a-cT, and comprises a single chromosome of approximately 4,275,531 bp.
In silico analysis identified that, in addition to the previously documented Y.
entomophaga Yen-TC gene cluster, the genome encodes a diverse array of toxins,
including two type III secretion systems, and five rhs-associated gene clusters.
As well as these multicomponent systems, several orthologs of known insect
toxins, such as VIP2 toxin and the binary toxin PirAB, and distant orthologs of
some mammalian toxins, including repeats-in-toxin, a cytolethal distending toxin,
hemolysin-like genes and an adenylate cyclase were identified. The genome also
contains a large number of hypothetical proteins and orthologs of known effector
proteins, such as LopT, as well as genes encoding a wide range of proteolytic
determinants, including metalloproteases and pathogen fitness determinants, such
as genes involved in iron metabolism. The bioinformatic data derived from the
current in silico analysis, along with previous information on the pathobiology
of Y. entomophaga against its insect hosts, suggests that a number of these
virulence systems are required for survival in the hemocoel and incapacitation of
the insect host.
PMID- 27187468
TI - Report from the 5th International Symposium on Mycotoxins and Toxigenic Moulds:
Challenges and Perspectives (MYTOX) Held in Ghent, Belgium, May 2016.
AB - The association research platform MYTOX "Mycotoxins and Toxigenic Moulds" held
the 5th meetingofitsInternationalSymposiuminGhent,Belgiumon11May2016.[...].
PMID- 27187467
TI - Two Novel Dermaseptin-Like Antimicrobial Peptides with Anticancer Activities from
the Skin Secretion of Pachymedusa dacnicolor.
AB - The dermaseptin antimicrobial peptide family contains members of 27-34 amino
acids in length that have been predominantly isolated from the skins/skin
secretions of phyllomedusine leaf frogs. By use of a degenerate primer in Rapid
amplification of cDNA ends (RACE) PCR designed to a common conserved domain
within the 5'-untranslated regions of previously-characterized dermaseptin
encoding cDNAs, two novel members of this peptide family, named dermaseptin-PD-1
and dermaseptin-PD-2, were identified in the skin secretion of the phyllomedusine
frog, Pachymedusa dacnicolor. The primary structures of both peptides were
predicted from cloned cDNAs, as well as being confirmed by mass spectral analysis
of crude skin secretion fractions resulted from reversed-phase high-performance
liquid chromatography. Chemically-synthesized replicates of dermaseptin-PD-1 and
dermaseptin-PD-2 were investigated for antimicrobial activity using standard
model microorganisms (Gram-positive bacteria, Gram-negative bacteria and a yeast)
and for cytotoxicity using mammalian red blood cells. The possibility of
synergistic effects between the two peptides and their anti-cancer cell
proliferation activities were assessed. The peptides exhibited moderate to high
inhibition against the growth of the tested microorganisms and cancer cell lines
with low haemolytic activity. Synergistic interaction between the two peptides in
inhibiting the proliferation of Escherichia coli and human neuronal glioblastoma
cell line, U251MG was also manifested.
PMID- 27187470
TI - Monoclonal IgA Antibodies for Aflatoxin Immunoassays.
AB - Antibody based techniques are widely used for the detection of aflatoxins which
are potent toxins with a high rate of occurrence in many crops. We developed a
murine monoclonal antibody of immunoglobulin A (IgA) isotype with a strong
binding affinity to aflatoxin B1 (AFB1), aflatoxin B2 (AFB2), aflatoxin G1
(AFG1), aflatoxin G2 (AFG2) and aflatoxin M1 (AFM1). The antibody was effectively
used in immunoaffinity column (IAC) and ELISA kit development. The performance of
the IACs was compatible with AOAC performance standards for affinity columns
(Test Method: AOAC 991.31). The total binding capacity of the IACs containing our
antibody was 111 ng, 70 ng, 114 ng and 73 ng for AFB1, AFB2, and AFG1 andAFG2,
respectively. Furthermore, the recovery rates of 5 ng of each AF derivative
loaded to the IACs were determined as 104.9%, 82.4%, 85.5% and 70.7% for AFB1,
AFB2, AFG1 and AFG2, respectively. As for the ELISA kit developed using non
oriented, purified IgA antibody, we observed a detection range of 2-50 ug/L with
40 min total test time. The monoclonal antibody developed in this research is
hitherto the first presentation of quadruple antigen binding IgA monoclonal
antibodies in mycotoxin analysis and also the first study of their utilization in
ELISA and IACs. IgA antibodies are valuable alternatives for immunoassay
development, in terms of both sensitivity and ease of preparation, since they do
not require any orientation effort.
PMID- 27187469
TI - Withania somnifera Induces Cytotoxic and Cytostatic Effects on Human T Leukemia
Cells.
AB - Cancer chemotherapy is characterized by an elevated intrinsic toxicity and the
development of drug resistance. Thus, there is a compelling need for new
intervention strategies with an improved therapeutic profile. Immunogenic cell
death (ICD) represents an innovative anticancer strategy where dying cancer cells
release damage-associated molecular patterns promoting tumor-specific immune
responses. The roots of Withania somnifera (W. somnifera) are used in the Indian
traditional medicine for their anti-inflammatory, immunomodulating,
neuroprotective, and anticancer activities. The present study is designed to
explore the antileukemic activity of the dimethyl sulfoxide extract obtained from
the roots of W. somnifera (WE). We studied its cytostatic and cytotoxic activity,
its ability to induce ICD, and its genotoxic potential on a human T
lymphoblastoid cell line by using different flow cytometric assays. Our results
show that WE has a significant cytotoxic and cytostatic potential, and induces
ICD. Its proapoptotic mechanism involves intracellular Ca(2+) accumulation and
the generation of reactive oxygen species. In our experimental conditions, the
extract possesses a genotoxic potential. Since the use of Withania is suggested
in different contexts including anti-infertility and osteoarthritis care, its
genotoxicity should be carefully considered for an accurate assessment of its
risk-benefit profile.
PMID- 27187471
TI - Determination of Asymmetric and Symmetric Dimethylarginine in Serum from Patients
with Chronic Kidney Disease: UPLC-MS/MS versus ELISA.
AB - Asymmetric dimethylarginine (ADMA), an endogenous inhibitor of nitric oxide (NO)
synthesis, and its structural isomer symmetric dimethylarginine (SDMA) are uremic
toxins accumulating in chronic kidney disease (CKD) patients. The objective of
this study was to develop and validate a robust UPLC-MS/MS method for the
simultaneous determination of ADMA and SDMA in human serum. Chromatographic
separation after butyl ester derivatization was achieved on an Acquity UPLC BEH
C18 column, followed by tandem mass spectrometric detection. After validation,
the applicability of the method was evaluated by the analysis of serum samples
from 10 healthy controls and 77 CKD patients on hemodialysis (CKD5HD). Both ADMA
(0.84 +/- 0.19 uM vs. 0.52 +/- 0.07 uM) and SDMA concentrations (2.06 +/- 0.82 uM
vs. 0.59 +/- 0.13 uM) were significantly (p < 0.001) elevated in CKD5HD patients
compared to healthy controls. In general, low degrees of protein binding were
found for both ADMA and SDMA. In addition, an established commercially available
ELISA kit was utilized on the same samples (n = 87) to compare values obtained
both with ELISA and UPLC-MS/MS. Regression analysis between these two methods was
significant (p < 0.0001) but moderate for both ADMA (R = 0.78) and SDMA (R =
0.72).
PMID- 27187473
TI - New Insights into VacA Intoxication Mediated through Its Cell Surface Receptors.
AB - Helicobacter pylori (H. pylori), a major cause of gastroduodenal diseases,
produces VacA, a vacuolating cytotoxin associated with gastric inflammation and
ulceration. The C-terminal domain of VacA plays a crucial role in receptor
recognition on target cells. We have previously identified three proteins (i.e.,
RPTPalpha, RPTPbeta, and LRP1) that serve as VacA receptors. These receptors
contribute to the internalization of VacA into epithelial cells, activate signal
transduction pathways, and contribute to cell death and gastric ulceration. In
addition, other factors (e.g., CD18, sphingomyelin) have also been identified as
cell-surface, VacA-binding proteins. Since we believe that, following
interactions with its host cell receptors, VacA participates in events leading to
disease, a better understanding of the cellular function of VacA receptors may
provide valuable information regarding the mechanisms underlying the pleiotropic
actions of VacA and the pathogenesis of H. pylori-mediated disease. In this
review, we focus on VacA receptors and their role in events leading to cell
damage.
PMID- 27187474
TI - Sensitive, Rapid, Quantitative and in Vitro Method for the Detection of
Biologically Active Staphylococcal Enterotoxin Type E.
AB - Staphylococcus aureus is a major bacterial cause of clinical infections and
foodborne illnesses through its production of a group of enterotoxins (SEs) which
cause gastroenteritis and also function as superantigens to massively activate T
cells. In the present study, we tested Staphylococcal enterotoxin type E (SEE),
which was detected in 17 of the 38 suspected staphylococcal food poisoning
incidents in a British study and was the causative agent in outbreaks in France,
UK and USA. The current method for detection of enterotoxin activity is an in
vivo monkey or kitten bioassay; however, this expensive procedure has low
sensitivity and poor reproducibility, requires many animals, is impractical to
test on a large number of samples, and raises ethical concerns with regard to the
use of experimental animals. The purpose of this study is to develop rapid
sensitive and quantitative bioassays for detection of active SEE. We apply a
genetically engineered T cell-line expressing the luciferase reporter gene under
the regulation of nuclear factor of activated T-cells response element (NFAT-RE),
combined with a Raji B-cell line that presents the SEE-MHC (major
histocompatibility complex) class II to the engineered T cell line. Exposure of
the above mixed culture to SEE induces differential expression of the luciferase
gene and bioluminescence is read out in a dose dependent manner over a 6-log
range. The limit of detection of biologically active SEE is 1 fg/mL which is 108
times more sensitive than the monkey and kitten bioassay.
PMID- 27187472
TI - Ellagitannins in Cancer Chemoprevention and Therapy.
AB - It is universally accepted that diets rich in fruit and vegetables lead to
reduction in the risk of common forms of cancer and are useful in cancer
prevention. Indeed edible vegetables and fruits contain a wide variety of
phytochemicals with proven antioxidant, anti-carcinogenic, and chemopreventive
activity; moreover, some of these phytochemicals also display direct
antiproliferative activity towards tumor cells, with the additional advantage of
high tolerability and low toxicity. The most important dietary phytochemicals are
isothiocyanates, ellagitannins (ET), polyphenols, indoles, flavonoids, retinoids,
tocopherols. Among this very wide panel of compounds, ET represent an important
class of phytochemicals which are being increasingly investigated for their
chemopreventive and anticancer activities. This article reviews the chemistry,
the dietary sources, the pharmacokinetics, the evidence on chemopreventive
efficacy and the anticancer activity of ET with regard to the most sensitive
tumors, as well as the mechanisms underlying their clinically-valuable
properties.
PMID- 27187476
TI - The Wnt Target Gene L1 in Colon Cancer Invasion and Metastasis.
AB - The Wnt-beta-catenin signaling pathway is highly conserved during evolution and
determines normal tissue homeostasis. Hyperactivation of Wnt-beta-catenin
signaling is a characteristic feature of colorectal cancer (CRC) development.
beta-catenin is a major transducer of the Wnt signal from the cytoplasm into the
nucleus where it acts as a co-transcriptional activator of beta-catenin-TCF
target genes. beta-catenin is also required for linking cadherin type cell-cell
adhesion receptors to the cytoskeleton, and consequently Wnt-beta-catenin
signaling is an attractive system for investigating the role of adhesion-mediated
signaling in both normal intestinal tissue homeostasis and CRC development. In
this review, we summarize our studies on one Wnt-beta-catenin target gene, L1, a
member of the immunoglobulin-like cell adhesion transmembrane receptor family. We
describe the mechanisms of L1-mediated signaling in CRC cells, its exclusive
localization in invasive areas of CRC tissue, and its ability to increase cell
motility and confer metastasis to the liver. We discuss the activation (by L1) of
genes via an ezrin-NF-kappaB pathway and the induction of genes also found in the
intestinal stem cell signature. By studying L1 (adhesion)-mediated signaling, we
expect to learn about mechanisms regulating both normal intestinal homeostasis
and CRC development.
PMID- 27187477
TI - In Hyperthermia Increased ERK and WNT Signaling Suppress Colorectal Cancer Cell
Growth.
AB - Although neoplastic cells exhibit relatively higher sensitivity to hyperthermia
than normal cells, hyperthermia has had variable success as an anti-cancer
therapy. This variable outcome might be due to the fact that cancer cells
themselves have differential degrees of sensitivity to high temperature. We
hypothesized that the varying sensitivity of colorectal cancer (CRC) cells to
hyperthermia depends upon the differential induction of survival pathways.
Screening of such pathways revealed that Extracellular Signal-Regulated Kinase
(ERK) signaling is augmented by hyperthermia, and the extent of this modulation
correlates with the mutation status of V-Ki-ras2 Kirsten rat sarcoma viral
oncogene homolog (KRAS). Through clonal growth assays, apoptotic analyses and
transcription reporter assays of CRC cells that differ only in KRAS mutation
status we established that mutant KRAS cells are more sensitive to hyperthermia,
as they exhibit sustained ERK signaling hyperactivation and increased
Wingless/Integrated (WNT)/beta-catenin signaling. We propose that whereas
increased levels of WNT and ERK signaling and a positive feedback between the two
pathways is a major obstacle in anti-cancer therapy today, under hyperthermia the
hyperinduction of the pathways and their positive crosstalk contribute to CRC
cell death. Ascertaining the causative association between types of mutations and
hyperthermia sensitivity may allow for a mutation profile-guided application of
hyperthermia as an anti-cancer therapy. Since KRAS and WNT signaling mutations
are prevalent in CRC, our results suggest that hyperthermia-based therapy might
benefit a significant number, but not all, CRC patients.
PMID- 27187475
TI - The Regulatory Networks That Control Clostridium difficile Toxin Synthesis.
AB - The pathogenic clostridia cause many human and animal diseases, which typically
arise as a consequence of the production of potent exotoxins. Among the
enterotoxic clostridia, Clostridium difficile is the main causative agent of
nosocomial intestinal infections in adults with a compromised gut microbiota
caused by antibiotic treatment. The symptoms of C. difficile infection are
essentially caused by the production of two exotoxins: TcdA and TcdB. Moreover,
for severe forms of disease, the spectrum of diseases caused by C. difficile has
also been correlated to the levels of toxins that are produced during host
infection. This observation strengthened the idea that the regulation of toxin
synthesis is an important part of C. difficile pathogenesis. This review
summarizes our current knowledge about the regulators and sigma factors that have
been reported to control toxin gene expression in response to several
environmental signals and stresses, including the availability of certain carbon
sources and amino acids, or to signaling molecules, such as the autoinducing
peptides of quorum sensing systems. The overlapping regulation of key metabolic
pathways and toxin synthesis strongly suggests that toxin production is a complex
response that is triggered by bacteria in response to particular states of
nutrient availability during infection.
PMID- 27187481
TI - Hans Georg Truper (1936-2016) and His Contributions to Halophile Research.
AB - Prof. Hans Georg Truper, one of the most important scientists in the field of
halophile research, passed away on 9 March 2016 at the age of 79. I here present
a brief obituary with special emphasis on Prof. Truper's contributions to our
understanding of the halophilic prokaryotes and their adaptations to life in
hypersaline environments. He has pioneered the study of the halophilic anoxygenic
phototrophic sulfur bacteria of the Ectothiorhodospira-Halorhodospira group. Some
of the species he and his group isolated from hypersaline and haloalkaline
environments have become model organisms for the study of the mechanisms of
haloadaptation: the functions of three major organic compounds - glycine betaine,
ectoine, and trehalose - known to serve as "compatible solutes" in halophilic
members of the Bacteria domain, were discovered during studies of these
anoxygenic phototrophs. Prof. Truper's studies of hypersaline alkaline
environments in Egypt also led to the isolation of the first known extremely
halophilic archaeon (Natronomonas pharaonis). The guest editors dedicate this
special volume of Life to the memory of Prof. Hans Georg Truper.
PMID- 27187480
TI - The Complete Chloroplast Genome of the Hare's Ear Root, Bupleurum falcatum: Its
Molecular Features.
AB - Bupleurum falcatum, which belongs to the family Apiaceae, has long been applied
for curative treatments, especially as a liver tonic, in herbal medicine. The
chloroplast (cp) genome has been an ideal model to perform the evolutionary and
comparative studies because of its highly conserved features and simple
structure. The Apiaceae family is taxonomically close to the Araliaceae family
and there have been numerous complete chloroplast genome sequences reported in
the Araliaceae family, while little is known about the Apiaceae family. In this
study, the complete sequence of the B. falcatum chloroplast genome was obtained.
The full-length of the cp genome is 155,989 nucleotides with a 37.66% overall
guanine-cytosine (GC) content and shows a quadripartite structure composed of
three nomenclatural regions: a large single-copy (LSC) region, a small single
copy (SSC) region, and a pair of inverted repeat (IR) regions. The genome
occupancy is 85,912-bp, 17,517-bp, and 26,280-bp for LSC, SSC, and IR,
respectively. B. falcatum was shown to contain 111 unique genes (78 for protein
coding, 29 for tRNAs, and four for rRNAs, respectively) on its chloroplast
genome. Genic comparison found that B. falcatum has no pseudogenes and has two
gene losses, accD in the LSC and ycf15 in the IRs. A total of 55 unique tandem
repeat sequences were detected in the B. falcatum cp genome. This report is the
first to describe the complete chloroplast genome sequence in B. falcatum and
will open up further avenues of research to understand the evolutionary panorama
and the chloroplast genome conformation in related plant species.
PMID- 27187482
TI - (18)F-FDG PET/CT Findings in Acute Epstein-Barr Virus Infection Mimicking
Malignant Lymphoma.
AB - We present a case demonstrating the diagnostic work-up and follow-up of a patient
with acute Epstein-Barr virus (EBV) infection in which the clinical picture and
imaging on (18)F-FDG PET/CT mimicked malignant lymphoma. Follow-up (18)F-FDG
PET/CT scan in the patient performed 7 weeks after the abnormal scan revealed
complete resolution of the metabolically active disease in the neck, axillas,
lung hili, and spleen. This case highlights inflammation as one of the most well
established false positives when interpreting (18)F-FDG PET/CT scans.
PMID- 27187483
TI - Comprehensive Characterization of Reference Standard Lots of HIV-1 Subtype C
Gp120 Proteins for Clinical Trials in Southern African Regions.
AB - Two HIV-1 subtype C gp120 protein candidates were the selected antigens for
several experimental vaccine regimens now under evaluation in HVTN 100 Phase I/II
clinical trial aiming to support the start of the HVTN 702 Phase IIb/III trial in
southern Africa, which is designed to confirm and extend the partial protection
seen against HIV-1 infection in the RV144 Thai trial. Here, we report the
comprehensive physicochemical characterization of the gp120 reference materials
that are representative of the clinical trial materials. Gp120 proteins were
stably expressed in Chinese Hamster Ovary (CHO) cells and subsequently purified
and formulated. A panel of analytical techniques was used to characterize the
physicochemical properties of the two protein molecules. When formulated in the
AS01 Adjuvant System, the bivalent subtype C gp120 antigens elicited 1086.C- and
TV1.C-specific binding antibody and CD4+ T cell responses in mice. All the
characteristics were highly representative of the Clinical Trial Materials (CTM).
Data from this report demonstrate the immunogenicity of the gp120 antigens,
provide comprehensive characterization of the molecules, set the benchmark for
assessment of current and future CTM lots, and lay the physicochemical groundwork
for interpretation of future clinical trial data.
PMID- 27187478
TI - The Ubiquitination of NF-kappaB Subunits in the Control of Transcription.
AB - Nuclear factor (NF)-kappaB has evolved as a latent, inducible family of
transcription factors fundamental in the control of the inflammatory response.
The transcription of hundreds of genes involved in inflammation and immune
homeostasis require NF-kappaB, necessitating the need for its strict control. The
inducible ubiquitination and proteasomal degradation of the cytoplasmic inhibitor
of kappaB (IkappaB) proteins promotes the nuclear translocation and
transcriptional activity of NF-kappaB. More recently, an additional role for
ubiquitination in the regulation of NF-kappaB activity has been identified. In
this case, the ubiquitination and degradation of the NF-kappaB subunits
themselves plays a critical role in the termination of NF-kappaB activity and the
associated transcriptional response. While there is still much to discover, a
number of NF-kappaB ubiquitin ligases and deubiquitinases have now been
identified which coordinate to regulate the NF-kappaB transcriptional response.
This review will focus the regulation of NF-kappaB subunits by ubiquitination,
the key regulatory components and their impact on NF-kappaB directed
transcription.
PMID- 27187484
TI - Linking Findings in Microfluidics to Membrane Emulsification Process Design: The
Importance of Wettability and Component Interactions with Interfaces.
AB - In microfluidics and other microstructured devices, wettability changes, as a
result of component interactions with the solid wall, can have dramatic effects.
In emulsion separation and emulsification applications, the desired behavior can
even be completely lost. Wettability changes also occur in one phase systems, but
the effect is much more far-reaching when using two-phase systems. For
microfluidic emulsification devices, this can be elegantly demonstrated and
quantified for EDGE (Edge-base Droplet GEneration) devices that have a specific
behavior that allows us to distinguish between surfactant and liquid interactions
with the solid surface. Based on these findings, design rules can be defined for
emulsification with any micro-structured emulsification device, such as direct
and premix membrane emulsification. In general, it can be concluded that mostly
surface interactions increase the contact angle toward 90 degrees , either
through the surfactant, or the oil that is used. This leads to poor process
stability, and very limited pressure ranges at which small droplets can be made
in microfluidic systems, and cross-flow membrane emulsification. In a limited
number of cases, surface interactions can also lead to lower contact angles,
thereby increasing the operational stability. This paper concludes with a
guideline that can be used to come to the appropriate combination of membrane
construction material (or any micro-structured device), surfactants and liquids,
in combination with process conditions.
PMID- 27187479
TI - Advances in Autophagy Regulatory Mechanisms.
AB - Autophagy plays a critical role in cell metabolism by degrading and recycling
internal components when challenged with limited nutrients. This fundamental and
conserved mechanism is based on a membrane trafficking pathway in which nascent
autophagosomes engulf cytoplasmic cargo to form vesicles that transport their
content to the lysosome for degradation. Based on this simple scheme, autophagy
modulates cellular metabolism and cytoplasmic quality control to influence an
unexpectedly wide range of normal mammalian physiology and pathophysiology. In
this review, we summarise recent advancements in three broad areas of autophagy
regulation. We discuss current models on how autophagosomes are initiated from
endogenous membranes. We detail how the uncoordinated 51-like kinase (ULK)
complex becomes activated downstream of mechanistic target of rapamycin complex 1
(MTORC1). Finally, we summarise the upstream signalling mechanisms that can sense
amino acid availability leading to activation of MTORC1.
PMID- 27187485
TI - Managing Status Epilepticus in the Older Adult.
AB - The aim of this systematic review was to describe particularities in
epidemiology, outcome, and management modalities in the older adult population
with status epilepticus. There is a higher incidence of status epilepticus in the
older adult population, and it commonly has a nonconvulsive presentation.
Diagnosis in this population may be difficult and requires an unrestricted use of
EEG. Short and long term associated-mortality are high, and age over 60 years is
an independent factor associated with poor outcome. Stroke (acute or remote
symptomatic), miscellaneous metabolic causes, dementia, infections hypoxemia, and
brain injury are among the main causes of status epilepticus occurrence in this
age category. The use of anticonvulsive agents can be problematic as well. Thus,
it is important to take into account the specific aspects related to the
pharmacokinetic and pharmacodynamic changes in older critically-ill adults.
Beyond these precautions, the management may be identical to that of the younger
adult, including prompt initiation of symptomatic and anticonvulsant therapies,
and a broad and thorough etiological investigation. Such management strategies
may improve the vital and functional prognosis of these patients, while
maintaining a high overall quality of care.
PMID- 27187486
TI - A Flow SPR Immunosensor Based on a Sandwich Direct Method.
AB - In this study, we report the development of an SPR (Surface Plasmon Resonance)
immunosensor for the detection of ampicillin, operating under flow conditions.
SPR sensors based on both direct (with the immobilization of the antibody) and
competitive (with the immobilization of the antigen) methods did not allow the
detection of ampicillin. Therefore, a sandwich-based sensor was developed which
showed a good linear response towards ampicillin between 10(-3) and 10(-1) M, a
measurement time of <=20 min and a high selectivity both towards beta-lactam
antibiotics and antibiotics of different classes.
PMID- 27187487
TI - Role of Myofibrillar Protein Catabolism in Development of Glucocorticoid
Myopathy: Aging and Functional Activity Aspects.
AB - Muscle weakness in corticosteroid myopathy is mainly the result of the
destruction and atrophy of the myofibrillar compartment of fast-twitch muscle
fibers. Decrease of titin and myosin, and the ratio of nebulin and MyHC in
myopathic muscle, shows that these changes of contractile and elastic proteins
are the result of increased catabolism of the abovementioned proteins in skeletal
muscle. Slow regeneration of skeletal muscle is in good correlation with a
decreased number of satellite cells under the basal lamina of muscle fibers.
Aging causes a reduction of AMP-activated protein kinase (AMPK) activity as the
result of the reduced function of the mitochondrial compartment. AMPK activity
increases as a result of increased functional activity. Resistance exercise
causes anabolic and anticatabolic effects in skeletal muscle: muscle fibers
experience hypertrophy while higher myofibrillar proteins turn over. These
changes are leading to the qualitative remodeling of muscle fibers. As a result
of these changes, possible maximal muscle strength is increasing. Endurance
exercise improves capillary blood supply, increases mitochondrial biogenesis and
muscle oxidative capacity, and causes a faster turnover rate of sarcoplasmic
proteins as well as qualitative remodeling of type I and IIA muscle fibers. The
combination of resistance and endurance exercise may be the fastest way to
prevent or decelerate muscle atrophy due to the anabolic and anticatabolic
effects of exercise combined with an increase in oxidative capacity. The aim of
the present short review is to assess the role of myofibrillar protein catabolism
in the development of glucocorticoid-caused myopathy from aging and physical
activity aspects.
PMID- 27187489
TI - Nitrogen Assimilation, Abiotic Stress and Glucose 6-Phosphate Dehydrogenase: The
Full Circle of Reductants.
AB - Glucose 6 phosphate dehydrogenase (G6PDH; EC 1.1.1.49) is well-known as the main
regulatory enzyme of the oxidative pentose phosphate pathway (OPPP) in living
organisms. Namely, in Planta, different G6PDH isoforms may occur, generally
localized in cytosol and plastids/chloroplasts. These enzymes are differently
regulated by distinct mechanisms, still far from being defined in detail. In the
last decades, a pivotal function for plant G6PDHs during the assimilation of
nitrogen, providing reductants for enzymes involved in nitrate reduction and
ammonium assimilation, has been described. More recently, several studies have
suggested a main role of G6PDH to counteract different stress conditions, among
these salinity and drought, with the involvement of an ABA depending signal. In
the last few years, this recognized vision has been greatly widened, due to
studies clearly showing the non-conventional subcellular localization of the
different G6PDHs, and the peculiar regulation of the different isoforms. The
whole body of these considerations suggests a central question: how do the plant
cells distribute the reductants coming from G6PDH and balance their equilibrium?
This review explores the present knowledge about these mechanisms, in order to
propose a scheme of distribution of reductants produced by G6PDH during nitrogen
assimilation and stress.
PMID- 27187491
TI - The recency ratio as an index of cognitive performance and decline in elderly
individuals.
AB - Individuals with Alzheimer's disease have been found to present a typical serial
position curve in immediate recall tests, showing poor primacy performance and
exaggerated recency recall. However, the recency advantage is usually lost after
a delay. On this basis, we examined whether the recency ratio (Rr), calculated by
dividing recency performance in an immediate memory task by recency performance
in a delayed task, was a useful risk marker of cognitive decline. We tested
whether change in Mini-Mental State Examination (MMSE) performance between
baseline and follow-up was predicted by baseline Rr and found this to be the case
(N = 245). From these analyses, we conclude that participants with high Rr
scores, who show disproportionate recency recall in the immediate test compared
to the delayed test, present signs of being at risk for cognitive decline or
dysfunction.
PMID- 27187492
TI - Cluster Plasmonics: Dielectric and Shape Effects on DNA-Stabilized Silver
Clusters.
AB - This work investigates the effects of dielectric environment and cluster shape on
electronic excitations of fluorescent DNA-stabilized silver clusters, AgN-DNA. We
first establish that the longitudinal plasmon wavelengths predicted by classical
Mie-Gans (MG) theory agree with previous quantum calculations for excitation
wavelengths of linear silver atom chains, even for clusters of just a few atoms.
Application of MG theory to AgN-DNA with 400-850 nm cluster excitation
wavelengths indicates that these clusters are characterized by a collective
excitation process and suggests effective cluster thicknesses of ~2 silver atoms
and aspect ratios of 1.5 to 5. To investigate sensitivity to the surrounding
medium, we measure the wavelength shifts produced by addition of glycerol. These
are smaller than reported for much larger gold nanoparticles but easily
detectable due to narrower line widths, suggesting that AgN-DNA may have
potential for fluorescence-reported changes in dielectric environment at length
scales of ~1 nm.
PMID- 27187490
TI - The Multifocal On- and Off-Responses in the Human Diabetic Retina.
AB - The characteristics of the on- and off-responses in the human diabetic retina by
a "long-duration" multifocal electroretinogram (mfERG) paradigm were
investigated. Changes in the retinal antagonistic interaction were also evaluated
in the early stage of diabetes mellitus (DM). Twenty type II diabetic patients
with no or mild non-proliferative diabetic retinopathy (NPDR) and twenty-one age
matched healthy controls were recruited for "long-duration" mfERG measurements. A
61-hexagon mfERG stimulus was displayed under two chromatic conditions
(white/black and blue/black) at matched luminance. The amplitudes and implicit
times of the on-response components (N1, P1 and N2) and off-response (P2)
components were analysed. The blue stimulation generally triggered greater mfERG
amplitudes in P1, N2 and P2 (p<0.05) than those from white stimulation in both
control and diabetic groups. The diabetic group showed significantly greater N2
amplitude than the controls under white stimulation in mid-retinal regions (Rings
2 and 4) (p<0.05). When the stimulus was changed from white to blue, the diabetic
group showed a smaller percentage change in N2 amplitude than the controls in
peripheral retinal region (Ring 5) (p<0.02). When a stimulus is changed from
white (broad-band spectral stimulation) to blue (narrow-band spectral
stimulation), a decrease in the involvement of lateral antagonism would be
expected. The larger amplitude of the on-response component (N2) in the diabetic
patients suggested an imbalance of lateral antagonism, and the lesser percentage
change of N2 amplitude in the diabetic group may indicate an impairment of the
cross-talk at the middle retinal level in early stages of DM.
PMID- 27187493
TI - Is the Hierarchy of Loss in Functional Ability Evident in Midlife? Findings from
a British Birth Cohort.
AB - BACKGROUND: Difficulties performing a range of physical tasks of daily living
have been shown to develop in older populations in a typically observed sequence,
known as the hierarchy of loss. Nearly all previous research has been undertaken
using populations aged over 75. This study aimed to use cross-sectional and
longitudinal data to test for evidence of the hierarchy of loss from midlife
onwards. METHODS: The prevalence of reported difficulty undertaking 16 physical
tasks in the MRC National Survey of Health and Development at age 60-64 were
calculated, with Mokken scaling used to confirm the hierarchical order. Logistic
regression was used to calculate the odds ratios of reporting difficulty
performing tasks at the bottom of the hierarchy (i.e. feeding, washing and/or
toileting) at age 60-64 by reported difficulty at the top of the hierarchy (i.e.
gripping, walking and/or stair climbing) at age 43. RESULTS: At age 60-64, tasks
associated with balance, strength and co-ordination, such as climbing stairs,
were the first tasks participants reported difficulty with and tasks associated
with upper limb mobility, such as feeding yourself, were the last. In a fully
adjusted model, participants who reported difficulty at the top of the hierarchy
at age 43 were 2.85 (95% CI: 1.45-5.60) times more likely to report difficulty
with tasks at the bottom of the hierarchy at age 60-64. CONCLUSION: This study
presents evidence of the hierarchy of loss in a younger population than
previously observed suggesting that targeted interventions to prevent functional
decline should not be delayed until old age.
PMID- 27187495
TI - Effectiveness Modelling and Economic Evaluation of Primary HPV Screening for
Cervical Cancer Prevention in New Zealand.
AB - BACKGROUND: New Zealand (NZ) is considering transitioning from 3-yearly cervical
cytology screening in women 20-69 years (current practice) to primary HPV
screening. We evaluated HPV-based screening in both HPV-unvaccinated women and
cohorts offered HPV vaccination in New Zealand (vaccination coverage ~50%).
METHODS: A complex model of HPV transmission, vaccination, cervical screening,
and invasive cervical cancer was extensively validated against national
population-based datasets. Sixteen potential strategies for HPV screening were
considered. RESULTS: Most primary HPV strategies were more effective than current
practice, for both unvaccinated women and cohorts offered vaccination. The
optimal strategy for both groups was 5-yearly HPV screening in women aged 25-69
years with partial genotyping for HPV 16/18 and referral to colposcopy, and
cytological triage of other oncogenic types. This is predicted to reduce cervical
cancer incidence and mortality by a further 12-16% and to save 4-13% annually in
program costs (excluding overheads). The findings are sensitive to assumptions
about future adherence to initiating screening at 25 years. CONCLUSION: Primary
HPV screening with partial genotyping would be more effective and less costly
than the current cytology-based screening program, in both unvaccinated women and
cohorts offered vaccination. These findings have been considered in a review of
cervical screening in NZ.
PMID- 27187488
TI - The Diversity of Ribonuclease P: Protein and RNA Catalysts with Analogous
Biological Functions.
AB - Ribonuclease P (RNase P) is an essential endonuclease responsible for catalyzing
5' end maturation in precursor transfer RNAs. Since its discovery in the 1970s,
RNase P enzymes have been identified and studied throughout the three domains of
life. Interestingly, RNase P is either RNA-based, with a catalytic RNA subunit,
or a protein-only (PRORP) enzyme with differential evolutionary distribution. The
available structural data, including the active site data, provides insight into
catalysis and substrate recognition. The hydrolytic and kinetic mechanisms of the
two forms of RNase P enzymes are similar, yet features unique to the RNA-based
and PRORP enzymes are consistent with different evolutionary origins. The various
RNase P enzymes, in addition to their primary role in tRNA 5' maturation,
catalyze cleavage of a variety of alternative substrates, indicating a
diversification of RNase P function in vivo. The review concludes with a
discussion of recent advances and interesting research directions in the field.
PMID- 27187494
TI - Case-Only Survival Analysis Reveals Unique Effects of Genotype, Sex, and Coronary
Disease Severity on Survivorship.
AB - Survival bias may unduly impact genetic association with complex diseases; gene
specific survival effects may further complicate such investigations. Coronary
artery disease (CAD) is a complex phenotype for which little is understood about
gene-specific survival effects; yet, such information can offer insight into
refining genetic associations, improving replications, and can provide candidate
genes for both mortality risk and improved survivorship in CAD. Building on our
previous work, the purpose of this current study was to: evaluate LSAMP SNP
specific hazards for all-cause mortality post-catheterization in a larger cohort
of our CAD cases; and, perform additional replication in an independent dataset.
We examined two LSAMP SNPs-rs1462845 and rs6788787-using CAD case-only Cox
proportional hazards regression for additive genetic effects, censored on time-to
all-cause mortality or last follow-up among Caucasian subjects from the
Catheterization Genetics Study (CATHGEN; n = 2,224) and the Intermountain Heart
Collaborative Study (IMHC; n = 3,008). Only after controlling for age, sex, body
mass index, histories of smoking, type 2 diabetes, hyperlipidemia and
hypertension (HR = 1.11, 95%CI = 1.01-1.22, p = 0.032), rs1462845 conferred
significantly increased hazards of all-cause mortality among CAD cases. Even
after controlling for multiple covariates, but in only the primary cohort,
rs6788787 conferred significantly improved survival (HR = 0.80, 95% CI = 0.69
0.92, p = 0.002). Post-hoc analyses further stratifying by sex and disease
severity revealed replicated effects for rs1462845: even after adjusting for
aforementioned covariates and coronary interventional procedures, males with
severe burden of CAD had significantly amplified hazards of death with the minor
variant of rs1462845 in both cohorts (HR = 1.29, 95% CI = 1.08-1.55, p = 0.00456;
replication HR = 1.25, 95% CI = 1.05-1.49, p = 0.013). Kaplan-Meier curves
revealed unique cohort-specific genotype effects on survival. Additional analyses
demonstrated that the homozygous risk genotype ('A/A') fully explained the
increased hazard in both cohorts. None of the post-hoc analyses in control
subjects were significant for any model. This suggests that genetic effects of
rs1462845 on survival are unique to CAD presence. This represents formal,
replicated evidence of genetic contribution of rs1462845 to increased risk for
all-cause mortality; the contribution is unique to CAD case status and specific
to males with severe burden of CAD.
PMID- 27187496
TI - Braille in the Sighted: Teaching Tactile Reading to Sighted Adults.
AB - Blind people are known to have superior perceptual abilities in their remaining
senses. Several studies suggest that these enhancements are dependent on the
specific experience of blind individuals, who use those remaining senses more
than sighted subjects. In line with this view, sighted subjects, when trained,
are able to significantly progress in relatively simple tactile tasks. However,
the case of complex tactile tasks is less obvious, as some studies suggest that
visual deprivation itself could confer large advantages in learning them. It
remains unclear to what extent those complex skills, such as braille reading, can
be learnt by sighted subjects. Here we enrolled twenty-nine sighted adults,
mostly braille teachers and educators, in a 9-month braille reading course. At
the beginning of the course, all subjects were naive in tactile braille reading.
After the course, almost all were able to read whole braille words at a mean
speed of 6 words-per-minute. Subjects with low tactile acuity did not differ
significantly in braille reading speed from the rest of the group, indicating
that low tactile acuity is not a limiting factor for learning braille, at least
at this early stage of learning. Our study shows that most sighted adults can
learn whole-word braille reading, given the right method and a considerable
amount of motivation. The adult sensorimotor system can thus adapt, to some
level, to very complex tactile tasks without visual deprivation. The pace of
learning in our group was comparable to congenitally and early blind children
learning braille in primary school, which suggests that the blind's mastery of
complex tactile tasks can, to a large extent, be explained by experience
dependent mechanisms.
PMID- 27187498
TI - Current problems associated with the microbiological point-of-care testing of
respiratory tract infections in primary care.
PMID- 27187497
TI - Structure and Genetic Variability of the Oceanic Whitetip Shark, Carcharhinus
longimanus, Determined Using Mitochondrial DNA.
AB - Information regarding population structure and genetic connectivity is an
important contribution when establishing conservation strategies to manage
threatened species. The oceanic whitetip shark, Carcharhinus longimanus, is a
highly migratory, large-bodied, pelagic shark listed by the IUCN (International
Union for Conservation of Nature) Red List as "vulnerable" throughout its range
and "critically endangered" in the western north Atlantic. In 2014, the species
was protected globally under Appendix II of CITES (Convention on International
Trade in Endangered Species), limiting and regulating trade. This study used
partial sequences of mitochondrial DNA (mtDNA) control region to determine the
population genetic structure of oceanic whitetip sharks across the Atlantic and
Indian Oceans. 724 base pairs were obtained from 215 individuals that identifed
nine polymorphic sites and defined 12 distinct haplotypes. Total nucleotide
diversity (pi) was 0.0013 and haplotype diversity (h) was 0.5953. The Analysis of
Molecular Variance (AMOVA) evidenced moderate levels of population structure
(phiST = 0.1039) with restricted gene flow between the western and eastern
Atlantic Ocean, and a strong relationship between the latter region and the
Indian Ocean. Even though the oceanic whitetip is a highly migratory animal the
results presented here show that their genetic variability is slightly below
average of other pelagic sharks. Additionally, this study recommends that at
least two populations in the Atlantic Ocean should be considered distinct
(eastern and western Atlantic) and conservation efforts should be focused in
areas with the greatest genetic diversity by environmental managers.
PMID- 27187499
TI - A primary care-based asthma program improves recognition and treatment of
persistent asthma in inner-city children compared to routine care.
AB - OBJECTIVE: To examine whether a primary care-based asthma program that applies
the Expert Panel Report 3 (EPR-3): Guidelines for the Diagnosis and Management of
Asthma-2007 criteria to classify asthma severity increases detection of
persistent asthma in inner-city children and affects "step of care" compared to
routine care. METHODS: A retrospective chart review was conducted of 97
consecutive children referred to the asthma program from 2011-2013. Asthma
severity documented during routine health care maintenance visits was compared to
the asthma severity assessed during the asthma program visit using five
standardized questions and spirometry. Medication plan "step of care" was
compared pre- and post- the asthma program visit. RESULTS: 79 children, ages 5-19
years old (mean = 9.6), had spirometry tracings meeting American Thoracic Society
criteria and were included in this study. 53% were male. The majority of children
were Latino (45.6%) or African American (35.4%). At the asthma program visit,
more children were identified with moderate or severe persistent asthma based
upon clinical questions (47.9%), spirometry (56.9%) or combined criteria (75.3%)
than had been identified during routine care (15.2%); all p < .05. After the
asthma program visit, more children were prescribed controller medications (82.3%
vs 63.3%; p < .05) and 40.6% had their medication plan stepped up. CONCLUSIONS:
In this population of inner-city children, asthma severity was under-recognized
and undertreated during routine care. A primary care based asthma program, which
formalized applying EPR-3 criteria, increased detection of persistent asthma and
led to "step-ups" in treatment plans.
PMID- 27187500
TI - Don't Take It Personal: European Union Legal Aspects of Procuring and Protecting
Environmental Exposure Data in Population Biobanks Through the Use of a Geo
Information-Systems Toolkit.
AB - Under European Union (EU) law, population-based cohort studies have the right to
collect environmental data and to access geospatial data, at street level, on the
web, from a host of public sources. As to geospatial information, they should be
able to avail themselves of Member States' networks of services for geospatial
data sets and services (discovery, viewing, downloading) via the Internet. On the
other hand, linkage of health data of biobank participants to environmental data,
using geospatial data, is limited, as it must satisfy the provisions of the EU
Directive on the Protection of Personal Data, pursuant to which geospatial data
regarding biobank participants are likely to qualify as personal data. Hence, we
submit that the consents of biobank participants be reviewed to assess whether
they cover the generation and linkage of geospatial data. We also submit that
biobanks must have measures in place to prevent the re-identification of
participants by use of their geospatial data. We present a model Geographic
Information-Systems (GIS) Toolkit, as an example of what measures could be taken
to that effect.
PMID- 27187501
TI - Endoscopic ampullectomy: a technical review.
AB - BACKGROUND AND AIM: This article provides a practical review to undertaking safe
endoscopic ampullectomy and highlights some of the common difficulties with this
technique as well as offering strategies to deal with these challenges. METHODS:
We conducted a review of studies regarding endoscopic ampullectomy for ampullary
neoplasms with special focus on techniques. RESULTS: Accurate preoperative
diagnosis and staging of ampullary tumors is imperative for predicting prognosis
and determining the most appropriate therapeutic approach. The optimal technique
for endoscopic ampullectomy is dependent on the lesions size. En bloc resection
is recommended for lesions confined to the papilla. There is no significant
evidence to support the submucosal injection before ampullectomy. There is no
consensus regarding the optimal current and power output for endoscopic
ampulectomy. The benefits of a thermal adjunctive therapy remain controversial. A
prophylactic pancreatic stent reduces the incidence and severity of pancreatitis
post-ampullectomy. CONCLUSIONS: Endoscopic ampullectomy is a safe and efficacious
therapeutic procedure for papillary adenomas in experienced endoscopist and it
can avoid the need for surgical intervention.
PMID- 27187504
TI - Residue level and dissipation pattern of lepimectin in shallots using high
performance liquid chromatography coupled with photodiode array detection.
AB - Lepimectin, as an emulsifiable concentrate, was sprayed on shallots at the
recommended dose rate (10 mL/20 L) to determine its residue levels, dissipation
pattern, pre-harvest residue limits (PHRLs), and health risk. Samples were
randomly collected over 10 days, extracted with acetonitrile, purified using an
amino solid-phase extraction (NH2 -SPE) cartridge and analyzed using a high
performance liquid chromatography-photodiode array detection method. Field
incurred samples were confirmed using ultra-performance liquid chromatography
tandem mass spectrometry. The linearity was excellent, with a determination
coefficient (R2 ) of >=0.9991. The recoveries at two spiking levels (0.2 and 1.0
mg/kg) ranged from 84.49 to 87.64% with relative standard deviations of <=7.04%.
The developed method was applied to field samples grown in separate greenhouses,
one located in Naju and one in Muan, in the Republic of Korea. The dissipation
pattern was described by first-order kinetics with half-lives of 1.9 (Naju) and
1.7 days (Muan). The PHRL curves indicated that, if the lepimectin residues are
<0.18 (Naju) and <0.13 mg/kg (Muan) 5 days before harvest, the residue levels
will be lower than the maximum residue limit (0.05 mg/kg) upon harvesting. The
risk assessment data indicated that lepimectin is safe for use in the cultivation
of shallots, with no risk of detrimental effects to the consumer.
PMID- 27187505
TI - Surfactant-assisted water exposed electrospinning of novel super hydrophilic
polycaprolactone based fibers.
AB - Hybrid scaffolds prepared by blend electrospinning of Polycaprolactone and
Pluronic solution benefit from enhanced fiber hydrophilicity and may offer
satisfactory cell attachment and proliferation. To improve hybrid scaffold
wettability and water swelling ratio, adequate amount of hydrophilic polymer is
required; though this amount is limited by fiber surface enrichment of Pluronic
and cannot be exceeded without affecting the scaffold mechanical properties. To
overcome this problem, a routine blend electrospinning setup was modified by
exposing the blend solution to water in order to attract Pluronic chains toward
the surface of the charged jet. Morphology of scaffolds produced by the routine
blend electrospinning and modified method was studied. A 50 nm thick Pluronic
layer with linty appearance on the surface of the fibers fabricated by the
modified method was detected. Drug-loaded fibers from modified method showed a
moderate initial burst and then a prolonged release period while an abnormal two
stage phased release profile was observed for the routine blend method. The
latter was associated to Pluronic/drug accumulations within the fibers fabricated
by the routine method which resulted in fiber disintegration and a subsequent
second burst release.
PMID- 27187506
TI - Notes on the Psychoanalytic Significance of the Choice of Analyst.
PMID- 27187507
TI - Further Notes on Choosing an Analyst.
PMID- 27187508
TI - What Kind of Therapist for What Kind of Patient Who Has What Type of Problem?
PMID- 27187509
TI - Appreciation of the Importance of the Patient-Analyst "Match".
PMID- 27187510
TI - Conventional Wisdom in Psychiatry and Psychoanalysis.
PMID- 27187511
TI - Why Do Some Therapies Succeed and Why Do Some Fail?
PMID- 27187512
TI - Theory of Mind Impairments in Youth at Clinical High Risk of Psychosis.
AB - OBJECTIVE: The normal maturational processes of theory of mind (ToM) capacity are
ongoing during adolescence and even early adulthood. However, research has shown
that ToM ability also declines among adults suffering from prodromal psychotic
experiences. The goal of this study was to investigate the characteristics of ToM
performance in youth with clinical high risk (CHR) of psychosis. METHODS: The
Reading Mind in Eyes Task (RMET), including own-race and other-race eyes, was
administered to 40 CHR youth; 42 age-, gender-, and education-matched healthy
controls (HCs); and 62 adult patients with schizophrenia (SZ). Nine-month follow
up data were collected from 31 CHR subjects, of whom 7 (22.6%) had made the
transition to psychosis. RESULTS: CHR youth showed significant impairment in RMET
performance compared to HC youth but performed better than did SZ patients.
Moreover, they were significantly slower than were HC youth in responding to the
RMET, with a response time similar to that of SZ patients. In particular, they
had significantly poorer accuracy in interpreting positive and neutral eye
expressions compared to the HC group, but not in interpreting negative eye
expressions. Preliminary follow-up data showed a trend toward significance (p =
0.079) for RMET performance between those who transitioned to psychosis and those
who did not. CONCLUSIONS: Our findings illustrate that deficits in ToM capacity,
specifically the ability to interpret people's mental state from eye expressions,
occur early on in prodromal psychosis in youth. Early interventions for CHR youth
focusing on ToM enhancement may halt progress toward psychosis.
PMID- 27187513
TI - A Pilot Study of Interpersonal Psychotherapy for PTSD in Women Veterans.
AB - OBJECTIVE: This pilot study aimed to determine whether interpersonal
psychotherapy (IPT) for posttraumatic stress disorder (PTSD) would be effective
with a sample of women veterans who experienced military-related PTSD. METHOD:
Women veterans presenting for mental health services through the Trauma Services
Program at the Washington, DC, Veterans Affairs Medical Center (VAMC) were
referred to the study by Veterans Affairs (VA) clinicians if they experienced
trauma during their military service and scored > 35 on the PTSD Checklist
Military Version. A total of 20 women completed a baseline assessment and were
referred to treatment, the first trial of this treatment method with a veteran
sample. Of the 15 women veterans who started treatment, 10 completed a trial of
12 individual sessions. Assessments were conducted posttreatment and at three
months posttreatment follow-up. RESULTS: There was a significant decline in PTSD
symptom severity from baseline to posttreatment, and these gains were maintained
at three-month follow-up. Approximately one-third of the group no longer met full
criteria for PTSD diagnosis, results that are comparable to studies of evidence
based treatments for PTSD (prolonged exposure and cognitive processing therapy)
in military samples. CONCLUSIONS: IPT, a non-trauma-focused intervention that
aims to increase social support and improve interpersonal functioning, shows
promise as another means of addressing PTSD in veterans. It should be tested in a
larger sample to determine if IPT for PTSD might serve as an alternative for
veterans who would prefer a non-trauma-focused intervention to address their
difficulties.
PMID- 27187515
TI - Adolescents on the Front Line: Exposure to Shelling Via Television and the
Parental Role.
AB - OBJECTIVES: Research suggests that exposure to traumatic content via television
inadvertently increases posttraumatic stress symptoms (PTSS) as well as
psychological distress, especially among adolescent viewers. The aim of the
current study was to assess the effect of news consumption on PTSS and general
distress among adolescents who live in a war area, as well as to examine the role
of parents as intermediaries of news broadcasting. METHOD: A total of 65
adolescents who live in a war zone filled out the Child Post Traumatic Stress
Reaction Index, the Brief Symptoms Inventory, and a scale measuring the level of
real-life exposure, news broadcast consumption, and parents as intermediaries of
news broadcasting. RESULTS: A main effect for real-life exposure on both PTSS and
general distress was revealed. Interestingly, a three-way interaction between
real-life exposure, television exposure, and parents as intermediators was found
for general distress. Only under low real-life exposure did parents as
intermediaries buffer the effect of television exposure on general distress.
CONCLUSIONS: Parental intermediation of news broadcasting of traumatic events,
especially in situations of continuous, real-life exposure, is essential.
PMID- 27187514
TI - Posttraumatic Stress Disorder, Hostile Cognitions, and Aggression in
Iraq/Afghanistan Era Veterans.
AB - OBJECTIVE: Most veterans with posttraumatic stress disorder (PTSD) are not
violent, yet research has demonstrated that there is a substantial minority who
are at increased risk. This study tested hypotheses regarding hyperarousal
symptoms and hostile cognitions (i.e., "hostility") as potential mechanisms of
the association between PTSD and physical aggression in a longitudinal sample of
Iraq/Afghanistan era veterans. METHOD: The sample included U.S. veterans between
the ages of 18 and 70 who served in the military after September 11, 2001. At
baseline, 301 veterans were evaluated for PTSD and completed self-report measures
of hostility. At six-month follow-up 275 veterans and their family members or
friends reported on the veterans' physical aggression over the preceding
interval. Regression models were used to evaluate relationships among PTSD
status, hyperarousal cluster symptoms, and hostility at baseline, and physical
aggression at six months. Bootstrapping was used to test for the mediation of
baseline PTSD and six-month aggression by hostility. RESULTS: PTSD significantly
predicted physical aggression over six months, but hyperarousal cluster symptoms
did not account for unique variance among the three clusters in the longitudinal
model. Hostility partially mediated the association of PTSD at baseline and
physical aggression at six months. CONCLUSIONS: Hostility may be a mechanism of
the association of PTSD and physical aggression in veterans, suggesting the
potential utility of targeting hostile cognitions in therapy for anger and
aggression in veterans with PTSD.
PMID- 27187519
TI - Romantic Bonds, Binds, and Ruptures: Couples on the Brink.
PMID- 27187521
TI - Atomic Resolution for the Energy Derivatives on the Reaction Path.
AB - Definite algorithms for calculation of the atomic contributions to the reaction
force Fxi and the reaction force constant kxi (the first and the second
derivatives of the energy over the reaction path step) are presented. The
electronic part in the atomic and group contributions has been separated, and
this opened the way to identification of the reactive molecule fragments on the
consecutive stages of the reaction path. Properties have been studied for the two
canonical test reactions: CO + HF -> HCOF and HONS -> ONSH.
PMID- 27187520
TI - Open compared with laparoscopic complete mesocolic excision with central
lymphadenectomy for colon cancer: a systematic review and meta-analysis.
AB - AIM: Several studies report improved survival in colon cancer with use of
extended lymphadenectomy techniques (ELTs), such as D3 lymphadenectomy or
complete mesocolic excision. The noninferiority of laparoscopic versus open
techniques has already been established in D2 resections. The aim of this study
was to compare the safety and efficacy of open and laparoscopic approaches for
ELTs in colon cancer. METHOD: Major databases, including PubMed, Scopus and the
Cochrane library, were searched using defined inclusion and exclusion criteria,
and relevant data were extracted. The Cochrane and Newcastle-Ottawa tools were
used for critical appraisal and quality assessment. Meta-analysis with various
subgroup analyses were undertaken, and clinical and statistical heterogeneity,
along with publication bias, were also assessed. RESULTS: One randomized and
seven case-control trials were included. All studies were found to be of low
methodological quality with some external validity issues. There was no
difference in short-term mortality [OR = 2.16 (95% CI: 0.73-6.41); P = 0.16],
anastomotic leakage, ileus or deep-sited infection/abscess. There was a trend for
longer operative time [weighted mean difference (WMD) = -30.88 (95% CI: -62.38 to
0.61); P = 0.05] and shorter length of hospital stay [WMD = 2.29 (95% CI: -0.39
to 4.98); P = 0.09] with the laparoscopic approach. Laparoscopic right
hemicolectomy had a lower wound-infection rate [OR = 2.87 (95% CI: 1.38-5.98); P
= 0.005] compared with the relevant open group. No statistically significant
difference was found in overall survival [hazard ratio (HR) = 0.85 (95% CI: 0.69
1.06); P = 0.15], disease-free survival, local recurrence and distant metastases.
CONCLUSION: Based on the current evidence, the laparoscopic technique appears to
be at least as safe as the open technique when used in performing ELTs for
colonic cancer, with similar morbidity and oncological outcomes.
PMID- 27187522
TI - Lipids-based nanostructured lipid carriers (NLCs) for improved oral
bioavailability of sirolimus.
AB - The main purpose of this study was to improve the oral bioavailability of
sirolimus (SRL), a poorly water-soluble immunosuppressant, by encapsulating into
lipids-based nanostructured lipid carriers (NLCs). SRL-loaded NLCs (SRL-NLCs)
were prepared by a high-pressure homogenization method with glycerol distearates
(PRECIROL ATO-5) as the solid lipid, oleic acid as the liquid lipids, and Tween
80 as the emulsifier. The SRL-NLCs prepared under optimum conditions was
spherical in shape with a mean particle size of about 108.3 nm and an entrapment
efficiency of 99.81%. In vitro release of SRL-NLCs was very slow, about 2.15% at
12 h, while in vitro lipolysis test showed fast digestion of the NLCs within 1 h.
Relative oral bioavailability of SRL-NLCs in Beagle dogs was 1.81-folds that of
the commercial nanocrystalline sirolimus tablets Rapamune(r). In conclusion, the
NLCs show potential to improve the oral bioavailability of SRL.
PMID- 27187524
TI - Visible Light Induced Oxydifluoromethylation of Styrenes with
Difluoromethyltriphenylphosphonium Bromide.
AB - A convenient, visible light induced oxidifluoromethylation of styrenes was
developed. This protocol employs the readily prepared
difluoromethyltriphenylphosphonium bromide as the difluoromethylating reagent and
alcohols/water as the nucleophiles, affording difluoromethyl(CF2H)-containing
alcohols and ethers in moderate to excellent yields.
PMID- 27187523
TI - Reliability of Computer-Assisted Breast Density Estimation: Comparison of
Interactive Thresholding, Semiautomated, and Fully Automated Methods.
AB - OBJECTIVE: The purpose of this study was to investigate the reliability of
computer-assisted methods of estimating breast density. MATERIALS AND METHODS:
Craniocaudal mammograms of 100 healthy subjects were collected from a screening
mammography database. Three expert readers independently assessed mammographic
breast density twice in a 1-month period using interactive thresholding and
semiautomated methods. In addition, fully automated breast density estimation
software was used to generate objective breast density estimates. The reliability
of the computer-assisted breast density estimation was assessed in terms of
concordance correlation coefficients, limits of agreement, systematic difference,
and reader variability. RESULTS: Statistically significant systematic bias
(paired t test, p < 0.01) and variability (4.75-10.91) were found within and
between readers for both the interactive thresholding and the semiautomated
methods. Using the semiautomated method significantly reduced the within-reader
bias of one reader (p < 0.02) and the between-reader variability of all three
readers (p < 0.05). The breast density estimates obtained with the fully
automated method had excellent agreement with those of the reference standard
(concordance correlation coefficient, 0.93) without a significant systematic
difference. CONCLUSION: Reader-dependent variability and systematic bias exist in
breast density estimates obtained with the interactive thresholding method, but
they may be reduced in part by use of the semiautomated method. Assessing reader
performance may be necessary for more reliable breast density estimation,
especially for surveillance of breast density over time. The fully automated
method has the potential to provide reliable breast density estimates nearly free
from reader-dependent systematic bias and reader variability.
PMID- 27187526
TI - Pre-analytical and analytical aspects of EDTA-plasma iron measurement.
AB - It has been demonstrated that quantification of ethylenediaminetetraacetic acid
(EDTA)-plasma iron could complement the already existing Athlete Biological
Passport (ABP) variables and provide additional evidence for blood transfusion.
Here, a fast preparation of a blood sample was proposed directly in the EDTA
blood tube without performing an aliquoting step. In addition, correlations in
paired serum and EDTA-plasma samples and storage stability were investigated.
Copyright (c) 2015 John Wiley & Sons, Ltd.
PMID- 27187525
TI - pH effects on adsorption behavior and self-aggregation of dodecylamine at
muscovite/aqueous interfaces.
AB - In this work, molecular dynamics simulation was used to examine the effect of
solution pH on the adsorption behavior and self-aggregation of dodecylamine
hydrochloride (DDA) on the muscovite (0 0 1) surface. The properties of
surfactants are assessed in terms of density profiles in the direction
perpendicular to the muscovite surface. Results show that although DDA can adsorb
at muscovite at all pH we discussed, the self-aggregation of DDA varies
significantly at different pH values. At pH 10, a compact hydrophobic monolayer
forms on the muscovite surface. At pH 3, hemi-micelle aggregated structure forms
with several DDA cations far away from muscovite surface. At pH 12, it has been
confirmed that adsorption of DDA neutral molecules occurs with only a few DDA
molecules adsorbing on muscovite directly and acting as a bridge linking the rest
DDA molecules, which exists nearby muscovite surface irregularly. Density
profiles revealed that at pH 10, DDA cations play a dominant role in the
interaction between DDA surfactants and muscovite. While DDA molecules have
difficulty in forming a hydrogen bond with the oxygen atom on the muscovite
surfaces, and they co-adsorb onto muscovite through the electrostatic
interactions with muscovite and hydrophobic force with DDA cations. Therefore,
the hydrophobization of muscovite in the presence of DDA are higher at pH 10 than
that at pH 3 and pH 12. Our results indicate that molecular dynamics simulation
can be a power tool in charactering adsorption behavior of surfactants onto
mineral surfaces at different pH values.
PMID- 27187528
TI - Evaluation and comparison of trace metal accumulation in different tissues of
potential bioindicator organisms: Macrobenthic filter feeders Styela plicata,
Sabella spallanzanii, and Mytilus galloprovincialis.
AB - Trace metal concentrations were measured in different tissues of Sabella
spallanzanii, Styela plicata, and Mytilus galloprovincialis collected in the
Termini Imerese Harbor (Sicily, Italy) to evaluate the potential use of these
species as bioindicators. Higher bioaccumulation factors (BAFs) were calculated
in the tube of S. spallanzanii, except for As, which had a higher BAF in the
branchial crown of the same species. Regarding the other species analyzed, higher
BAFs were found in the digestive gland of M. galloprovincialis. An exception was
Pb, which was significantly more concentrated in the branchial basket and tunic
of S. plicata. The BAFs calculated in the present study show that all the species
analyzed accumulate a certain amount of metals as a consequence of filter feeding
mechanisms, and thus it was possible to assess the suitability of S. plicata, S.
spallanzanii, and M. galloprovincialis as indicators of water quality. In
particular, the tube of S. spallanzanii is an important compartment in terms of
metal retention and is more suitable for the evaluation of contamination from
trace elements. Environ Toxicol Chem 2016;35:3062-3070. (c) 2016 SETAC.
PMID- 27187529
TI - Silver(I)-Catalyzed Addition of Phenols to Alkyne Cobalt Cluster Stabilized
Carbocations.
AB - A smooth catalytic method to use phenols as the nucleophilic partner in the
Nicholas reaction has been developed. The method uses either Ag(I) or Au(I)
catalysts with AgClO4 or AgBF4 as the most efficient catalysts tested. Neither
additional additives nor cocatalysts were required and the formation of the
corresponding phenol adducts occurred in excellent yields. The process has the
single limitation of the inability of less nucleophilic phenols (4-nitrophenol)
to generate the corresponding adducts. Additionally, the reaction is highly
diastereoselective. DFT calculations allow a catalytic cycle to be proposed that
involves trimetallic intermediates; the rate-determining step of the reaction is
hydroxy-group elimination in a cobalt-silver trimetallic intermediate.
PMID- 27187527
TI - Biological and physical controls in the Southern Ocean on past millennial-scale
atmospheric CO2 changes.
AB - Millennial-scale climate changes during the last glacial period and deglaciation
were accompanied by rapid changes in atmospheric CO2 that remain unexplained.
While the role of the Southern Ocean as a 'control valve' on ocean-atmosphere CO2
exchange has been emphasized, the exact nature of this role, in particular the
relative contributions of physical (for example, ocean dynamics and air-sea gas
exchange) versus biological processes (for example, export productivity), remains
poorly constrained. Here we combine reconstructions of bottom-water [O2], export
production and (14)C ventilation ages in the sub-Antarctic Atlantic, and show
that atmospheric CO2 pulses during the last glacial- and deglacial periods were
consistently accompanied by decreases in the biological export of carbon and
increases in deep-ocean ventilation via southern-sourced water masses. These
findings demonstrate how the Southern Ocean's 'organic carbon pump' has exerted a
tight control on atmospheric CO2, and thus global climate, specifically via a
synergy of both physical and biological processes.
PMID- 27187530
TI - Ensembles of adaptive spatial filters increase BCI performance: an online
evaluation.
AB - OBJECTIVE: In electroencephalographic (EEG) data, signals from distinct sources
within the brain are widely spread by volume conduction and superimposed such
that sensors receive mixtures of a multitude of signals. This reduction of
spatial information strongly hampers single-trial analysis of EEG data as, for
example, required for brain-computer interfacing (BCI) when using features from
spontaneous brain rhythms. Spatial filtering techniques are therefore greatly
needed to extract meaningful information from EEG. Our goal is to show, in online
operation, that common spatial pattern patches (CSPP) are valuable to counteract
this problem. APPROACH: Even though the effect of spatial mixing can be
encountered by spatial filters, there is a trade-off between performance and the
requirement of calibration data. Laplacian derivations do not require calibration
data at all, but their performance for single-trial classification is limited.
Conversely, data-driven spatial filters, such as common spatial patterns (CSP),
can lead to highly distinctive features; however they require a considerable
amount of training data. Recently, we showed in an offline analysis that CSPP can
establish a valuable compromise. In this paper, we confirm these results in an
online BCI study. In order to demonstrate the paramount feature that CSPP
requires little training data, we used them in an adaptive setting with 20
participants and focused on users who did not have success with previous BCI
approaches. MAIN RESULTS: The results of the study show that CSPP adapts faster
and thereby allows users to achieve better feedback within a shorter time than
previous approaches performed with Laplacian derivations and CSP filters. The
success of the experiment highlights that CSPP has the potential to further
reduce BCI inefficiency. SIGNIFICANCE: CSPP are a valuable compromise between CSP
and Laplacian filters. They allow users to attain better feedback within a
shorter time and thus reduce BCI inefficiency to one-fourth in comparison to
previous non-adaptive paradigms.
PMID- 27187531
TI - Extracorporeal Membrane Oxygenation for Pediatric Respiratory Failure: Risk
Factors Associated With Center Volume and Mortality.
AB - OBJECTIVES: Recent analyses show higher mortality at low-volume centers providing
extracorporeal membrane oxygenation. We sought to identify factors associated
with center volume and mortality to explain survival differences and identify
areas for improvement. DESIGN: Retrospective cohort study. SETTING: Patients
admitted to children's hospitals in the Pediatric Health Information System
database and supported with extracorporeal membrane oxygenation for respiratory
failure from 2003 to 2014. PATIENTS: A total of 5,303 patients aged 0-18 years
old met inclusion criteria: 3,349 neonates and 1,954 children. INTERVENTIONS:
None. MEASUREMENTS AND MAIN RESULTS: Low center volume was defined as less than
20, medium 20-49, and large greater than or equal to 50 cases per year. Center
volume was also assessed as a continuous integer. Among neonates, clinical
factors including intraventricular hemorrhage (relative risk, 1.4; 95% CI, 1.24
1.56) and acute renal failure (relative risk, 1.38; 95% CI, 1.20-1.60) were more
common at low-volume compared to larger centers and were associated with in
hospital death. After adjustment for differences in demographic factors and
primary pulmonary conditions, mild prematurity, acute renal failure,
intraventricular hemorrhage, and receipt of dialysis remained independently
associated with mortality, as did center volume measured as a continuous number.
Among children, the risk of acute renal failure was almost 20% greater (relative
risk, 1.18; 95% CI, 1.02-1.38) in small compared to large centers, but dialysis
and bronchoscopy were used significantly less but were associated with mortality.
After adjustment for differences in demographic factors and primary pulmonary
conditions, acute renal failure, acute liver necrosis, acute pancreatitis, and
receipt of bronchoscopy remained independently associated with mortality. Center
volume measurement was not associated with mortality given these factors.
CONCLUSIONS: Among neonates, investigation for intraventricular hemorrhage prior
to extracorporeal membrane oxygenation and preservation of renal function are
important factors for improvement. Earlier initiation of extracorporeal membrane
oxygenation and careful attention to preservation of organ function are important
to improve survival for children.
PMID- 27187532
TI - Virtual Reality: The New Era of Rehabilitation Engineering [From the Regional
Editor].
AB - Rehabilitation engineering refers to the development and application of
techniques, devices, and protocols for restoring function following disability.
Although in most cases the concept relates to motor functions (e.g., training
after a stroke or the use of limb prosthetics), mental rehabilitation engineering
is also an emerging area.
PMID- 27187533
TI - New Prostheses and Orthoses Step Up their Game: Motorized Knees, Robotic Hands,
and Exosuits Mark Advances in Rehabilitation Technology.
AB - Forty years ago, Les Baugh lost both of his arms in an electrical accident. With
bilateral shoulder-level amputations, his options for prosthetic arms were
limited. That changed two years ago, when Baugh underwent a surgical procedure at
Johns Hopkins Hospital in Baltimore that allowed him to control state-of-the-art
robotic arms using nerves that had been rerouted to his chest. Within ten days of
training, he was able to control both arms simultaneously and move a cup from a
lower shelf to a higher shelf-a task that previously had been impossible-just by
thinking about how he wanted to move his arm.
PMID- 27187534
TI - The U.K. Pushes the Boundaries of Bionics: Researchers and Engineers Are Making
Great Strides Toward Advanced Prosthetics' Ultimate Goal-Mimicking the
Functionality of a Real Limb.
AB - Using state-of-the-art technology, athletes at the Paralympic Games achieve great
feats of physical prowess, but for most people using assistive and rehabilitative
technologies (ART), even simple tasks can present huge challenges. Many do not
make full use of the technology available to them because it is unreliable,
uncomfortable, and nonintuitive, so researchers are pushing the envelope to
create practical solutions that function like real limbs.
PMID- 27187535
TI - Staying in Touch: Toward the Restoration of Sensory Feedback in Hand Prostheses
Using Peripheral Neural Stimulation.
AB - Hand amputation is a traumatic event that dramatically and permanently changes
the life of any person who undergoes one. After surgery, the amputee requires a
prosthetic device to perform activities of daily living-in particular, tasks
requiring grasping and manipulation functions. According to the Washington, D.C.
based Amputee Coalition, there are 1.9 million amputees who use limb prosthetic
services and products, and it is estimated that, among them, 500,000 are upper
limb amputees, with approximately 185,000 new amputations every year (www.amputee
coalition.org). The Center for Orthotic and Prosthetic Care, a consortium of
providers in Kentucky, Indiana, North Carolina, and New York, says that upper
limb amputations represent 14% of all amputations (www.centeropcare.com). Based
on these statistics, we can estimate that, in the European Union, there are a
total of 3 million amputees, with 290,000 new cases each year; among these,
40,000 are upperlimb amputations.
PMID- 27187536
TI - Taking on Essential Tremor: New Tools and Approaches Offer patients Increased
Treatment Options.
AB - Every year, Doris's primary care physician sends her to see a neurologist to
check on her hand tremor, which has increasingly worsened over the past 20 years.
Year in and year out, the neurologist asks her to draw a circle on a piece of
paper. "The doctor looks at it, says 'Hmm,' and sends me home," Doris explains,
adding that she gets no treatment, no recommendations, nothing except a request
to schedule next year's appointment.
PMID- 27187537
TI - A Better View: New Low-Vision Technology Helps Bring the World Into Focus.
AB - Approximatley 2% of Americans have a visual disability-vision that cannot be
corrected even with the strongest prescription-and in developing countries where
infectious disease or untreated cataracts are more common, the percentage is
often higher. Many different diseases and conditions can cause low vision,
including age-related macular degeneration, diabetic retinopathy, and cone
dystrophy (a genetic mutation affecting the cone cells of the retina). People
with low vision find everyday activities more challenging. They may not be able
to decipher small type, especially text on busy or colored backgrounds; see a
plastic toy or other trip hazard left lying on the sidewalk; distinguish faces
from more than a few feet away; or read street signs or the route number on a bus
to help them get around town.
PMID- 27187538
TI - The ?"Jaipur Foot?": India?s Most Popular Prosthetic for Amputees Is Not the
Latest in Technology, but It's Still the Most Suitable Option for Many Patients
Almost 50 Years after Its Development.
AB - It is 8 a.m. on a December morning in Jaipur, Rajasthan, India. The day has just
begun at Bhagawan Mahavir Vikalanga Sahayata Samithi (BMVSS), a nonprofit
organization dedicated to fitting the disabled with artificial limbs (Figure 1).
Slowly, patients from across India and neighboring countries gather in the
center?s front yard. By the end of the day, more than 35 people will make a long
journey back to their homes and communities outfitted with a new prosthetic leg
or arm that will promise them a more active and functional future. The entire
treatment is free.
PMID- 27187539
TI - Pleasant to the Touch: By Emulating Nature, Scientists Hope to Find Innovative
New Uses for Soft Robotics in Health-Care Technology.
AB - Open your Internet browser and search for videos showing the most advanced
humanoid robots. Look at how they move and walk. Observe their motion and their
interaction with the environment (the ground, users, target objects). Now, search
for a video of your favorite sports player. Despite the undoubtedly great
achievements of modern robotics, it will become quite evident that a lot of work
still remains.
PMID- 27187540
TI - From Hospital to Home Care: Creating a Domotic Environment for Elderly and
Disabled People.
AB - Advances in medicine have led to a significant increase in human life expectancy
and, therefore, to a growing number of disabled elderly people who need chronic
care and assistance [1]. The World Health Organization reports that the world's
population over 60 years old will double between 2000 and 2050 and quadruple for
seniors older than 80 years, reaching 400 million [2]. In addition, strokes,
traffic-related and other accidents, and seemingly endless wars and acts of
terrorism contribute to an increasing number of disabled younger people.
PMID- 27187541
TI - Giving Voice to Emotion: Voice Analysis Technology Uncovering Mental States is
Playing a Growing Role in Medicine, Business, and Law Enforcement.
AB - It's tough to imagine anything more frustrating than interacting with a call
center. Generally, people don't reach out to call centers when they?re happy
they're usually trying to get help with a problem or gearing up to do battle over
a billing error. Add in an automatic phone tree, and you have a recipe for
annoyance. But what if that robotic voice offering you a smorgasbord of numbered
choices could tell that you were frustrated and then funnel you to an actual
human being? This type of voice analysis technology exists, and it's just one
example of the many ways that computers can use your voice to extract information
about your mental and emotional state-including information you may not think of
as being accessible through your voice alone.
PMID- 27187542
TI - Metabolism: The Physiological Power-Generating Process: A History of Methods to
Test Human Beings' ?"Vital Capacity?" [Retrospectroscope].
AB - A previous "Retrospectroscope" note, published early in 2014, dealt with
spirometry: it described many apparatuses used to measure the volume of inhaled
and exhaled air that results from breathing [1]. Such machines, when adequately
modified, are also able to measure the rate at which work is produced
(specifically by an animal or a human being). Metabolism in that sense is the
term used by physiologists and physicians, a word that in Greek, metabolismos,
means "change" or "overthrow," in the sense of breaking down material, as in
burning some stuff.
PMID- 27187544
TI - Hydrogel Adhesion with Wrinkle Formation by Spatial Control of Polymer Networks.
AB - We prepared a novel wrinkled adhesive interface of hydrogels for strong adhesion
via spatial control of polymer networks, including the density, distribution, and
mobility of interactive units. A wrinkle structure was formed by the elasticity
mismatch of hydrogels and adhesive polyion complex layers when electrophoresis
was carried out between cationic and anionic semi-IPNs. The wrinkling wavelength
of interfaces was controlled by Young's modulus of hydrogels. The role of wrinkle
structure in adhesion was investigated by the measurement of the adhesive
strength of hydrogels which were adhered under the compression, resulting in the
disappearance of the wrinkle structure by compression that induced a decrease in
adhesive strength. These results indicate that strong hydrogel adhesion was
achieved by both the spatial design of interactive units and wrinkle formation.
PMID- 27187543
TI - Heavy Alcohol Use Among Suicide Decedents Relative to a Nonsuicide Comparison
Group: Gender-Specific Effects of Economic Contraction.
AB - BACKGROUND: The primary objective of this gender-stratified study was to assess
the rate of heavy alcohol use among suicide decedents relative to a nonsuicide
comparison group during the 2008 to 2009 economic crisis. METHODS: The National
Violent Death Reporting System and the Behavioral Risk Factor Surveillance System
were analyzed by gender-stratified multiple logistic regression to test whether
change in acute intoxication (blood alcohol content >=0.08 g/dl) before (2005 to
2007), during (2008 to 2009), and after (2010 to 2011) the Great Recession
mirrored change in heavy alcohol use in a living sample. RESULTS: Among men,
suicide decedents experienced a significantly greater increase (+8%) in heavy
alcohol use at the onset of the recession (adjusted ratio of odds ratio = 1.15,
95% confidence interval = 1.10 to 1.20) (relative to the prerecession period)
than did men in a nonsuicide comparison group (-2%). Among women, changes in
rates of heavy alcohol use were similar in the suicide and nonsuicide comparison
groups at the onset and after the recession. CONCLUSIONS: Acute alcohol use
contributed to suicide among men during the recent economic downturn. Among women
who died by suicide, acute alcohol use mirrored consumption in the general
population. Women may show resilience (or men, vulnerability) to deleterious
interaction of alcohol with financial distress.
PMID- 27187545
TI - Bridging Mechanistic and Phenomenological Models of Complex Biological Systems.
AB - The inherent complexity of biological systems gives rise to complicated
mechanistic models with a large number of parameters. On the other hand, the
collective behavior of these systems can often be characterized by a relatively
small number of phenomenological parameters. We use the Manifold Boundary
Approximation Method (MBAM) as a tool for deriving simple phenomenological models
from complicated mechanistic models. The resulting models are not black boxes,
but remain expressed in terms of the microscopic parameters. In this way, we
explicitly connect the macroscopic and microscopic descriptions, characterize the
equivalence class of distinct systems exhibiting the same range of collective
behavior, and identify the combinations of components that function as tunable
control knobs for the behavior. We demonstrate the procedure for adaptation
behavior exhibited by the EGFR pathway. From a 48 parameter mechanistic model,
the system can be effectively described by a single adaptation parameter tau
characterizing the ratio of time scales for the initial response and recovery
time of the system which can in turn be expressed as a combination of microscopic
reaction rates, Michaelis-Menten constants, and biochemical concentrations. The
situation is not unlike modeling in physics in which microscopically complex
processes can often be renormalized into simple phenomenological models with only
a few effective parameters. The proposed method additionally provides a
mechanistic explanation for non-universal features of the behavior.
PMID- 27187546
TI - Importance and usage of the CHA2DS2-VASc score in predicting acute stent
thrombosis.
AB - OBJECTIVE: The CHA2DS2-VASc score is used to estimate thromboembolic risk in
atrial fibrillation (AF). Its usefulness in predicting outcome in patients after
a percutaneous coronary intervention is unknown. We aimed to evaluate the
predictive value of the CHA2DS2-VASc score in AF-free patients who have undergone
stent implantation. DESIGN: Patients were evaluated retrospectively. Among the
1371 patients, 38 presented with acute stent thrombosis (ST) and were included in
the study. The CHA2DS2-VASc score was calculated before percutaneous coronary
intervention and the association between the score and stent thrombosis was
investigated. RESULTS: The patients were grouped into ST (+) and ST (-). The
average CHA2DS2-VASc score for the ST (+) group was 3.79, whereas that for the ST
(-) group was 2.16 (P<0.001). A direct correlation was observed between CHA2DS2
VASc scores and the rate of ST. When patients with a CHA2DS2-VASc score of
greater than 2 were compared with those with a CHA2DS2-VASc score of up to 2, the
higher score had a higher frequency of ST (P<0.001) and multivariate analysis
identified the CHA2DS2-VASc score as an independent predictor of acute ST.
CONCLUSION: A CHA2DS2-VASc score of greater than 2 was found to be an independent
predictor for incidence of ST. The role of the CHA2DS2-VASc score in predicting
ST has not been investigated earlier in an AF-free population and our study is
the first to explore this aspect.
PMID- 27187559
TI - Graphene functionalized with poly(vinyl alcohol) as a Pickering stabilizer for
suspension polymerization of poly(methyl methacrylate).
AB - Two types of thermally reduced graphenes (TRGs) having different lateral sizes
were non-covalently modified with poly(vinyl alcohol) to endow water
dispersibility. The modified TRGs were examined as Pickering stabilizers for the
suspension polymerization of methyl methacrylate (MMA). They were effective
graphene-based Pickering stabilizers for the system with almost all of the
polymerized composite microparticles having a regular spherical shape. The
particle size of the composite microparticles was tunable by the size or the
amount of modified TRG used as stabilizer. The almost perfect core-shell
structure of the composite microparticles effectively enhanced the thermal
stability of the core PMMA. In addition, when the core-shell microparticles were
compression molded into a monolith, the obtained composite exhibited an ultra-low
percolation threshold of electrical conductivity of around 0.04vol%.
PMID- 27187560
TI - Hydroxyurea and Pain History in Relation to Patient-Reported Outcomes Using
PROMIS Measures and the Frequency of Assessments in Sickle Cell Disease Patients.
PMID- 27187561
TI - Association Between Weight Gain and Remission Status at 3 Months in First-Episode
Schizophrenia.
PMID- 27187562
TI - Haemochromatosis: unexplained metacarpophalangeal or ankle arthropathy should
prompt diagnostic tests: findings from two UK observational cohort studies.
AB - OBJECTIVES: To examine demographic and clinical features leading to the diagnosis
of hereditary haemochromatosis and assess factors that might enhance earlier
diagnosis, with particular attention to arthritic symptoms. METHOD: Diagnostic
features were captured directly from patients with haemochromatosis attending a
specialist rheumatology clinic (group 1) and from analysis of a specifically
designed questionnaire circulated to members of the UK Haemochromatosis Society
(group 2). RESULTS: In groups 1 (n = 62) and 2 (n = 470), respectively, the
diagnosis of haemochromatosis was made at a mean age of 52.8 and 56.4 years with
77% and 76% reporting joint symptoms with a mean duration of 8.3 and 8.1 years.
The first joints to be affected in group 1 were the metacarpophalangeal (MCP;
38.5%) and ankle (29.5%) followed by the knee, hip, and proximal interphalangeal
(PIP) joints. At the time of clinical assessment or questionnaire completion, the
most prevalent regions with arthropathy in group 1 were PIP (64.5%), knee (64%),
ankle (61%), and MCP (60%) and in group 2 the most prevalent joint regions self
reported were the first carpometacarpal (CMC; 59%), wrist (52%), PIP (47%), MCP
(46%), knee (42%), and ankle (35%). CONCLUSIONS: Data from both cohorts confirm
the high prevalence of joint symptoms in haemochromatosis predating the diagnosis
by many years. Discriminatory features of the arthropathy include the involvement
of MCP joints and ankles at a relatively young age in the absence of trauma, all
of which are unusual features of primary osteoarthritis (OA). The finding of this
presentation should prompt diagnostic tests for haemochromatosis.
PMID- 27187564
TI - Erratum: Double-barreled and Concentric Microelectrodes for Measurement of
Extracellular Ion Signals in Brain Tissue.
AB - An author's middle initial was omitted from the publication, Double-barreled and
Concentric Microelectrodes for Measurement of Extracellular Ion Signals in Brain
Tissue. The author's name has been updated to: Christine R. Rose from: Christine
Rose.
PMID- 27187563
TI - Occupational exposure and risk of chronic obstructive pulmonary disease: a
systematic review and meta-analysis.
AB - INTRODUCTION: Due to contradictory literature we have performed a systematic
review and meta-analyse of population-based studies that have used Job Exposure
Matrices to assess occupational exposure and risk of Chronic Obstructive
Pulmonary Disease (COPD). AREAS COVERED: Two researchers independently searched
databases for published articles using predefined inclusion criteria. Study
quality was assessed, and results pooled for COPD and chronic bronchitis for
exposure to biological dust, mineral dust, and gases/fumes using a fixed and
random effect model. Five studies met predetermined inclusion criteria. The meta
analysis showed low exposure to mineral dust, and high exposure to gases/fumes
were associated with an increased risk of COPD. We also found significantly
increased the risk of chronic bronchitis for low and high exposure to biological
dust and mineral dust. Expert commentary: The relationship between occupational
exposure assessed by the JEM and the risk of COPD and chronic bronchitis shows
significant association with occupational exposure. However, the heterogeneity of
the meta-analyses suggests more wide population-based studies with older age
groups and longitudinal phenotype assessment of COPD to clarify the role of
occupational exposure to COPD risk.
PMID- 27187565
TI - At the mercy of viruses.
AB - Viruses are responsible for many of the adaptive mutations in the human genome.
PMID- 27187566
TI - Effects of autohydrolysis of Eucalyptus urograndis and Eucalyptus grandis on
influence of chemical components and crystallinity index.
AB - Samples of Eucalyptus urograndis and Eucalyptus grandis sawdust were
autohydrolyzed in aqueous conditions to reach temperatures in the range 110-190
degrees C and reaction times of 0-150min in a minireactor. In each minireactor
were used a liquor:wood ratio (10:1 L:kg dry wood), in order to assess the
effects of the autohydrolysis severity and the crystalline properties of
cellulose. The content of extractives, lignin, holocellulose, cellulose,
hemicelluloses and crystallinity index obtained from the solid fraction after
autohydrolysis of sawdust were determined. This study demonstrated that the
hemicelluloses were extensively removed at 170 and 190 degrees C, whereas
cellulose was partly degraded to Eucalyptus urograndis and Eucalyptus grandis
sawdust. The lignin content decreased, while the extractives content increased.
It was defined that during autohydrolysis, had a slight decreased on crystalline
structure of cellulose of Eucalyptus urogandis and Eucalyptus grandis.
PMID- 27187567
TI - A novel self-adaptive microalgae photobioreactor using anion exchange membranes
for continuous supply of nutrients.
AB - A novel self-adaptive microalgae photobioreactor using anion exchange membranes
(AEM-PBR) for continuous supply of nutrients was proposed to improve microalgae
biomass production. The introduction of anion exchange membranes to the PBR can
realize continuous supply of nutrients at desired rates, which is beneficial to
the growth of microalgae. The results showed that the maximum biomass
concentration obtained in the AEM-PBR under continuous supply of nitrogen at an
average rate of 19.0mgN/L/d was 2.98g/L, which was 129.2% higher than that
(1.30g/L) in a PBR with all the nitrogen supplied in batch at initial. In
addition, the feeding rates of nitrogen and phosphorus were optimized in the AEM
PBR to maximize biomass production. The maximum biomass concentration of 4.38g/L
was obtained under synergistic regulation of nitrogen and phosphorus feeding
rates at 19.0mgN/L/d and 4.2mgP/L/d. The AEM-PBR demonstrates a promising
approach for high-density cultivation of microalgae.
PMID- 27187568
TI - Influence of pH on hydrothermal treatment of swine manure: Impact on extraction
of nitrogen and phosphorus in process water.
AB - This study investigates the influence of pH on extraction of nitrogen and
phosphorus from swine manure following hydrothermal treatment. Conditions include
thermal hydrolysis (TH) at 120 degrees C and 170 degrees C, and hydrothermal
carbonisation (HTC) at 200 degrees C and 250 degrees C in either water alone or
in the presence of 0.1M NaOH, H2SO4, CH3COOH or HCOOH. Phosphorus extraction is
pH and temperature dependent and is enhanced under acidic conditions. The highest
level of phosphorus is extracted using H2SO4 reaching 94% at 170 degrees C. The
phosphorus is largely retained in the residue for all other conditions. The
extraction of nitrogen is not as significantly influenced by pH, although the
maximum N extraction is achieved using H2SO4. A significant level of organic-N is
extracted into the process waters following hydrothermal treatment. The results
indicate that operating hydrothermal treatment in the presence of acidic
additives has benefits in terms of improving the extraction of phosphorus and
nitrogen.
PMID- 27187569
TI - Effects of DO levels on surface force, cell membrane properties and microbial
community dynamics of activated sludge.
AB - In this paper, we employ atomic force microscopy (AFM), fluorescence recovery
after photobleaching (FRAP) technique, phospholipid fatty acids (PLFA) and MiSeq
analysis to study the effects of traditional dissolved oxygen (DO) levels (0.71
1.32mg/L, 2.13-3.02mg/L and 4.31-5.16mg/L) on surface force, cell membrane
properties and microbial community dynamics of activated sludge. Results showed
that low DO level enhanced the surface force and roughness of activated sludge;
the medium DO level decreased cell membrane fluidity by reducing the synthesis of
branched fatty acids in the cell membrane; high DO level resulted in the highest
protein content in the effluent by EEM scanning. Abundance of Micropruina,
Zoogloea and Nakamurella increased and Paracoccus and Rudaea decreased with the
increase of DO levels. RDA analysis suggested that saturated fatty acids (SFA),
anteiso-fatty acids (AFA) and iso-fatty acids (IFA) were closely related to
effluent quality as well as some genera.
PMID- 27187571
TI - Spatial segregation of heterochromatin: Uncovering functionality in a
multicellular organism.
AB - Multiple layers of regulation are required to ensure appropriate patterns of gene
expression for accurate cell differentiation. Interphase chromatin is non
randomly distributed within the nucleus, with highly compacted, transcriptionally
silent heterochromatin enriched at the nuclear and nucleolar periphery. Whether
this spatial organization serves a function in organismal physiology, rather than
simply being a byproduct of chromatin metabolism, is a fundamental question.
Recent work performed in C. elegans embryos characterized the molecular
mechanisms that drive the perinuclear anchoring of heterochromatin. Moreover, for
the first time it was shown that heterochromatin sequestration helps to restrict
cell differentiation programs, while sustaining commitment to a specified fate.
Here, we describe and comment on these findings, placing them in a broader
context.
PMID- 27187573
TI - Progressively growing plaque on the neck of a healthy patient.
PMID- 27187572
TI - How Membrane-Active Peptides Get into Lipid Membranes.
AB - The structure-function relationship for a family of antimicrobial peptides (AMPs)
from the skin of Australian tree frogs is discussed and compared with that of
peptide toxins from bee and Australian scorpion venoms. Although these membrane
active peptides induce a similar cellular fate by disrupting the lipid bilayer
integrity, their lytic activity is achieved via different modes of action, which
are investigated in relation to amino acid sequence, secondary structure, and
membrane lipid composition. In order to better understand what structural
features govern the interaction between peptides and lipid membranes, cell
penetrating peptides (CPPs), which translocate through the membrane without
compromising its integrity, are also discussed. AMPs possess membrane lytic
activities that are naturally designed to target the cellular membrane of
pathogens or competitors. They are extremely diverse in amino acid composition
and often show specificity against a particular strain of microbe. Since our
antibiotic arsenal is declining precariously in the face of the rise in
multiantibiotic resistance, AMPs increasingly are seen as a promising
alternative. In an effort to understand their molecular mechanism, biophysical
studies of a myriad of AMPs have been reported, yet no unifying mechanism has
emerged, rendering difficult the rational design of drug leads. Similarly, a wide
variety of cytotoxic peptides are found in venoms, the best known being melittin,
yet again, predicting their activity based on a particular amino acid composition
or secondary structure remains elusive. A common feature of these membrane-active
peptides is their preference for the lipid environment. Indeed, they are mainly
unstructured in solution and, in the presence of lipid membranes, quickly adsorb
onto the surface, change their secondary structure, eventually insert into the
hydrophobic core of the membrane bilayer, and finally disrupt the bilayer
integrity. These steps define the molecular mechanism by which these membrane
active peptides lyse membranes. The last class of membrane-active peptides
discussed are the CPPs, which translocate across the lipid bilayer without
inducing severe disruption and have potential as drug vehicles. CPPs are
typically highly charged and can show antimicrobial activity by targeting an
intracellular target rather than via a direct membrane lytic mechanism. A
critical aspect in the structure-function relationship of membrane-active
peptides is their specific activity relative to the lipid membrane composition of
the cell target. Cell membranes have a wide diversity of lipids, and those of
eukaryotic and prokaryotic species differ greatly in composition and structure.
The activity of AMPs from Australian tree frogs, toxins, and CPPs has been
investigated within various lipid systems to assess whether a relationship
between peptide and membrane composition could be identified. NMR spectroscopy
techniques are being used to gain atomistic details of how these membrane-active
peptides interact with model membranes and cells, and in particular, competitive
assays demonstrate the difference between affinity and activity for a specific
lipid environment. Overall, the interactions between these relatively small sized
peptides and various lipid bilayers give insight into how these peptides function
at the membrane interface.
PMID- 27187574
TI - Inflating Strategy To Form Ultrathin Hollow MnO2 Nanoballoons.
AB - Ultrathin MnO2 hollow nanoballoons (UMHNBs) have a large ratio of interfacial to
total atoms, corresponding to expected improved performance. However, their
synthesis is a challenge due to difficulty in controlling the concentration of
the unit cells. Herein, we describe a strategy to synthesize dry intact UMHNBs
through a one-step synthesis by inflating MnO2 (reduced from KMnO4) with CO2
(oxidized from single-layer graphene oxide nanosheets) followed by instant freeze
drying. UMHNBs are 30-500 nm in diameter with a shell thickness of 3.7 nm,
packing with laminar [MnO6] unit cells in the form of delta-MnO2. UMHNBs show
efficient catalytic activity for decomposing the organic dye methylene blue (MB),
15 times the biggest reported value, and have long-term catalytic efficacy and
durability. The described strategy in this paper makes use of graphene nanosheets
to assemble durable ultrathin hollow nanoballoons.
PMID- 27187575
TI - Role of inflammatory marker YKL-40 in the diagnosis, prognosis and cause of
cardiovascular and liver diseases.
AB - This review summarizes present evidence for the role of YKL-40 in the diagnosis,
prognosis and cause of cardiovascular and alcoholic liver disease. The question
of whether YKL-40 is merely a marker or a causal factor in the development of
cardiovascular and liver disease is addressed, with emphasis on the Mendelian
randomization design. The Mendelian randomization approach uses genetic variants
associated with lifelong high plasma YKL-40 levels that are largely unconfounded
and not prone to reverse causation. Thus, the approach mimics a controlled double
blind randomized trial, but it uses genetic variants rather than a drug and
placebo, and like a blinded trial, it allows inference about causality. Moreover,
the review also covers background on the molecular biology and functions of YKL
40, YKL-40 levels in healthy individuals and reference range, and the role of YKL
40 as a biomarker of cardiovascular and alcoholic liver disease. YKL-40 is a
plasma protein named after its three N-terminal amino acids, Y (tyrosine), K
(lysine) and L (leucine), and its molecular weight of 40 kDa. It is produced by
local inflammatory cells in inflamed tissues, such as lipid-laden macrophages
inside the vessel wall and perhaps also hepatic stellate cells. Observational
studies show that plasma YKL-40 levels are elevated in patients with
cardiovascular and liver disease and are associated with disease severity and
prognosis. Furthermore, elevated plasma YKL-40 levels in apparently healthy
individuals are associated with a 2-fold increased risk of future ischemic stroke
and venous thromboembolism, but not with myocardial infarction, suggesting that
YKL-40 could play a role in the formation of embolisms rather than
atherosclerosis per se. Further, elevated YKL-40 levels combined with excessive
alcohol consumption are associated with 10-years risk of alcoholic liver
cirrhosis of up to 7%, suggesting that YKL-40 can be used as a strong noninvasive
marker of predicting alcoholic liver cirrhosis. Importantly, in Mendelian
randomization studies, genetically elevated plasma YKL-40 levels were not
associated with risk of cardiovascular and alcoholic liver disease, thus
suggesting that plasma YKL-40 does not play a causal role in the development of
these diseases. Despite this, plasma YKL-40 levels may play a role in disease
progression after diagnosis, and inhibition of YKL-40 activity might be a novel
therapy in some cardiovascular and liver diseases.
PMID- 27187576
TI - Identification and Rating of Gynecologic Oncology Applications Using the
APPLICATIONS Scoring System.
AB - BACKGROUND: Currently, there are thousands of medical applications (apps) on the
market potentially leading to app overload. Finding useful and accurate apps may
be time-consuming and frustrating to gynecologic oncologists. INTRODUCTION: The
objective of this study is to identify and rate gynecologic oncology (gyn-onc)
apps using the APPLICATIONS scoring system. MATERIALS AND METHODS: A list of
nonconsumer gyn-onc apps was identified from the Apple iTunes and Google Play
Stores. Based on reliable references, inaccurate apps were excluded. The
remaining apps were rated with the APPLICATIONS scoring system, which uses both
objective and subjective measures. RESULTS: Of 748 apps identified, 11 (1.5%)
were found to be both useful and accurate to gyn-onc providers. The apps with the
lowest scores were calculator apps, while those with the highest scores were
informational apps. DISCUSSION: We found useful and accurate calculator,
screening, staging, and informational apps. However, a large number of the apps
found were considered inaccurate or non-gyn-onc specific. CONCLUSIONS: Our
systematic method for identifying and rating apps with the APPLICATIONS scoring
system can be applied within other subspecialties of obstetrics and gynecology
and other specialties of medicine to offer providers with apps in clinical care.
PMID- 27187577
TI - Octopaminergic system in the central nervous system of the terrestrial slug
Limax.
AB - The terrestrial slug Limax can learn to avoid the odor of some food (e.g., carrot
juice) by the simultaneous presentation of an aversive stimulus (e.g., bitterness
of quinidine). This type of associative memory critically depends on the higher
olfactory center, the procerebrum in the central nervous system. The modulation
of the local field potential (LFP) oscillation recorded on the procerebrum has
been thought to reflect the information processing of the odor that elicits the
behavioral change, such as avoidance of the aversively learned odor or
approaching an attractive food's odor. Here we focused on octopamine, an
important neuromodulator involved in learning and memory in invertebrates, and
considered to be the invertebrate equivalent of noradrenaline. We identified a
few octopaminergic neurons in the subesophageal and buccal ganglia, and a larger
number near the procerebrum in the cerebral ganglia, using immunohistochmical
staining and in situ hybridization of tyramine beta-hydroxylase, an octopamine
synthesizing enzyme. Application of octopamine reduced the frequency of LFP
oscillation in a dose-dependent manner, and this effect was inhibited by
preincubation with phentolamine. High-performance liquid chromatography analysis
revealed the presence of octopamine, noradrenaline, and adrenaline in the central
nervous system. Unexpectedly, noradrenaline and adrenaline both accelerated the
LFP oscillation, in contrast to octopamine. Our results suggest that octopamine
and noradrenaline have distinct functions in olfactory information processing, in
spite of their structural similarity. J. Comp. Neurol. 524:3849-3864, 2016. (c)
2016 Wiley Periodicals, Inc.
PMID- 27187579
TI - Enhanced Air Stability in REPb3 (RE = Rare Earths) by Dimensional Reduction
Mediated Valence Transition.
AB - We conceptually selected the compounds REPb3 (RE = Eu, Yb), which are unstable in
air, and converted them to the stable materials in ambient conditions by the
chemical processes of "nanoparticle formation" and "dimensional reduction". The
nanoparticles and the bulk counterparts were synthesized by the solvothermal and
high-frequency induction furnace heating methods, respectively. The reduction of
the particle size led to the valence transition of the rare earth atom, which was
monitored through magnetic susceptibility and X-ray absorption near edge
spectroscopy (XANES) measurements. The stability was checked by X-ray diffraction
and thermogravimetric analysis over a period of seven months in oxygen and argon
atmospheres and confirmed by XANES. The nanoparticles showed outstanding
stability toward aerial oxidation over a period of seven months compared to the
bulk counterpart, as the latter one is more prone to the oxidation within a few
days.
PMID- 27187578
TI - Functional Hyperbranched Polylysine as Potential Contrast Agent Probes for
Magnetic Resonance Imaging.
AB - Researchers have never stopped questing contrast agents with high resolution and
safety to overcome the drawbacks of small-molecule contrast agents in clinic.
Herein, we reported the synthesis of gadolinium-based hyperbranched polylysine
(HBPLL-DTPA-Gd), which was prepared by thermal polymerization of l-lysine via one
step polycondensation. After conjugating with folic acid, its potential
application as MRI contrast agent was then evaluated. This contrast agent had no
obvious cytotoxicity as verified by WST assay and H&E analysis. Compared to
Gd(III)-diethylenetriaminepentaacetic acid (Gd-DTPA) (r1 = 4.3 mM(-1) s(-1)), the
FA-HBPLL-DTPA-Gd exhibited much higher longitudinal relaxivity value (r1 = 13.44
mM(-1) s(-1)), up to 3 times higher than Gd-DTPA. The FA-HBPLL-DTPA-Gd showed
significant signal intensity enhancement in the tumor region at various time
points and provided a long time window for MR examination. The results illustrate
that FA-HBPLL-DTPA-Gd will be a potential candidate for tumor-targeted MRI.
PMID- 27187581
TI - Use of BODIPY-Cholesterol (TF-Chol) for Visualizing Lysosomal Cholesterol
Accumulation.
AB - Dipyrromethene difluoride-cholesterol (TopFluor-Cholesterol, TF-Chol) is a widely
used cholesterol analogue due to its excellent fluorescence properties and
considerable similarity with natural cholesterol in terms of membrane
partitioning. However, the suitability of TF-Chol for detecting lysosomal
cholesterol deposition has recently been questioned. Here, we highlight the fact
that the method of lipid delivery and the analysis of time-point both affect the
membrane distribution and labeling pattern of TF-Chol, similarly as with
radiolabeled cholesterol. Lysosomal sterol accumulation characteristic to a
lysosomal storage disease is most readily detected when the probe is introduced
via the physiological route, i.e. as a sterol fatty acid ester in low-density
lipoprotein particles. When administered to cells from solvent, lysosomal sterol
sequestration becomes evident after an overnight equilibration between membranes.
PMID- 27187580
TI - Anti-TGF-beta Antibody, 1D11, Ameliorates Glomerular Fibrosis in Mouse Models
after the Onset of Proteinuria.
AB - Fibrosis is a final common pathway leading to loss of kidney function, in which
the fibrogenic cytokine, transforming growth factor beta (TGF-beta), plays a
central role. While previous studies showed that TGF-beta antagonism by various
means prevents fibrosis in mouse models, clinical approaches based on these
findings remain elusive. 1D11 is a neutralizing antibody to all three isoforms of
TGF-beta. In both adriamycin (ADR)-induced nephropathy and NEP25 podocyte
ablation nephropathy, thrice-weekly intraperitoneal administration of 1D11 from
the day of disease induction until the mice were sacrificed (day 14 for ADR and
day 28 for NEP25), significantly reduced glomerular COL1A2 mRNA accumulation and
histological changes. Consistent with our previous findings, proteinuria remained
overt in the mice treated with 1D11, suggesting distinct mechanisms for
proteinuria and fibrogenesis. Podocyte numbers determined by WT1 staining were
significantly reduced in NEP25-model glomeruli as expected, while WT1-positive
cells were preserved in mice receiving 1D11. Even when 1D11 was administered
after the onset of proteinuria on day 3, 1D11 preserved WT1-positive cell numbers
in glomeruli and significantly reduced glomerular scar score (2.5 +/- 0.2
[control IgG] vs. 1.8 +/- 0.2 [1D11], P < 0.05) and glomerular COL1A2 mRNA
expression (19.3 +/- 4.4 [control IgG] vs. 8.4 +/- 2.4 [1D11] fold increase over
the healthy control, P < 0.05). Transmission electron microscopy revealed loss of
podocytes and denuded glomerular basement membrane in NEP25 mice with disease,
whereas podocytes remained attached to the basement membrane, though effaced and
swollen, in those receiving 1D11 from day 3. Together, these data suggest that
TGF-beta neutralization by 1D11 prevents glomerular fibrosis even when started
after the onset of proteinuria. While overt proteinuria and podocyte effacement
persist, 1D11 prevents total podocytes detachment, which might be a key event
activating fibrogenic events in glomeruli.
PMID- 27187582
TI - Neonatal Mortality of Planned Home Birth in the United States in Relation to
Professional Certification of Birth Attendants.
AB - INTRODUCTION: Over the last decade, planned home births in the United States (US)
have increased, and have been associated with increased neonatal mortality and
other morbidities. In a previous study we reported that neonatal mortality is
increased in planned home births but we did not perform an analysis for the
presence of professional certification status. PURPOSE: The objective of this
study therefore was to undertake an analysis to determine whether the
professional certification status of midwives or the home birth setting are more
closely associated with the increased neonatal mortality of planned midwife
attended home births in the United States. MATERIALS AND METHODS: This study is a
secondary analysis of our prior study. The 2006-2009 period linked birth/infant
deaths data set was analyzed to examine total neonatal deaths (deaths less than
28 days of life) in term singleton births (37+ weeks and newborn weight >= 2,500
grams) without documented congenital malformations by certification status of the
midwife: certified nurse midwives (CNM), nurse midwives certified by the American
Midwifery Certification Board, and "other" or uncertified midwives who are not
certified by the American Midwifery Certification Board. RESULTS: Neonatal
mortality rates in hospital births attended by certified midwives were
significantly lower (3.2/10,000, RR 0.33 95% CI 0.21-0.53) than home births
attended by certified midwives (NNM: 10.0/10,000; RR 1) and uncertified midwives
(13.7/10,000; RR 1.41 [95% CI, 0.83-2.38]). The difference in neonatal mortality
between certified and uncertified midwives at home births did not reach
statistical levels (10.0/10,000 births versus 13.7/10,000 births p = 0.2).
CONCLUSIONS: This study confirms that when compared to midwife-attended hospital
births, neonatal mortality rates at home births are significantly increased.
While NNM was increased in planned homebirths attended by uncertified midwives
when compared to certified midwives, this difference was not statistically
significant. Neonatal mortality rates at home births were not significantly
different in relationship to professional certification status of the birth
attendant, whether the delivery was by a certified or an uncertified birth
attendant.
PMID- 27187583
TI - Time order reversals and saccades.
AB - Ballistic eye movements, or saccades, present a major challenge to the visual
system. They generate a rapid blur of movement across the surface of the retinae
that is rarely consciously seen, as awareness of input is suppressed around the
time of a saccade. Saccades are also associated with a number of perceptual
distortions. Here we are primarily interested in a saccade-induced illusory
reversal of apparent temporal order. We examine the apparent order of transient
targets presented around the time of saccades. In agreement with previous
reports, we find evidence for an illusory reversal of apparent temporal order
when the second of two targets is presented during a saccade - but this is only
apparent for some observers. This contrasts with the apparent salience of targets
presented during a saccade, which is suppressed for all observers. Our data
suggest that separable processes might underlie saccadic suppressions of salience
and saccade-induced reversals of apparent order. We suggest the latter arises
when neural transients, normally used for timing judgments, are suppressed due to
a saccade - but that this is an insufficient pre-condition. We therefore make the
further suggestion, that the loss of a neural transient must be coupled with a
specific inferential strategy, whereby some people assume that when they lack a
clear impression of event timing, that event must have happened less recently
than alternate events for which they have a clear impression of timing.
PMID- 27187585
TI - Local effect of bisphenol A on the estradiol synthesis of ovarian granulosa cells
from PCOS.
AB - Close relationship between polycystic ovary syndrome (PCOS) and bisphenol A (BPA)
has drawn much attention in recent years, while the underlying mechanisms are
poorly understood. In our study, we aim to detect BPA concentration in the
follicular fluid and investigate its effect on estradiol synthesis in human
granulosa cells from PCOS and non-PCOS patients. Follicular fluid and granulosa
cells were collected from women who underwent controlled ovarian stimulation for
in vitro fertilization or intracytoplasmic sperm injection. BPA concentration in
the follicular fluid from PCOS patients (440.50 +/- 63.70 pg/ml) was
significantly higher than that from non-PCOS patients (338.00 +/- 57.88 pg/ml).
Expression of aromatase and estradiol synthesis in cultured granulosa cells was
examined after treatment with BPA from 0.01 to 1 MUM for 24 h. Expression of
aromatase and estradiol synthesis was downregulated by BPA in a dose-dependent
manner in PCOS, but no effect was observed in granulosa cells from non-PCOS
patients. These findings provide evidence that increased BPA concentration in the
follicular fluid of PCOS patients may play an important role in its pathogenesis
by attenuating the expression of aromatase in granulosa cells.
PMID- 27187584
TI - Individual differences in the shape of the nasal visual field.
AB - Between-subject differences in the shape of the nasal visual field were assessed
for 103 volunteers 21-85years of age and free of visual disorder. Perimetry was
conducted with a stimulus for which contrast sensitivity is minimally affected by
peripheral defocus and decreased retinal illumination. One eye each was tested
for 103 volunteers free of eye disease in a multi-center prospective longitudinal
study. A peripheral deviation index was computed as the difference in log
contrast sensitivity at outer (25-29 degrees nasal) and inner (8 degrees from
fixation) locations. Values for this index ranged from 0.01 (outer sensitivity
slightly greater than inner sensitivity) to -0.7 log unit (outer sensitivity much
lower than inner sensitivity). Mean sensitivity for the inner locations was
independent of the deviation index (R2<1%), while mean sensitivity for the outer
locations was not (R2=38%, p<0.0005). Age was only modestly related to the index,
with a decline by 0.017 log unit per decade (R2=10%). Test-retest data for 21
volunteers who completed 7-10 visits yielded standard deviations for the index
from 0.04 to 0.17 log unit, with a mean of 0.09 log unit. Between-subject
differences in peripheral deviation persisted over two years of longitudinal
testing. Peripheral deviation indices were correlated with indices for three
other perimetric stimuli used in a subset of 24 volunteers (R2 from 20% to 49%).
Between-subject variability in shape of the visual field raises concerns about
current clinical visual field indices, and further studies are needed to develop
improved indices.
PMID- 27187586
TI - Asymmetric Roadmap to Diverse Polycyclic Benzopyrans via Phosphine-Catalyzed
Enantioselective [4 + 2]-Annulation Reaction.
AB - The catalytic addition of the amino acid derived bifunctional N
acylaminophosphine to an alpha-substituted allene ester generated a zwitterionic
dipole that engaged the vinylogous ester function of 3-cyano-chromones in a [4 +
2] annulation reaction to deliver tetrahydroxanthones embodying three consecutive
chiral centers in high yields and with excellent enantioselectivities. The
established asymmetric synthesis further paves the way to two different classes
of complex, sp(3)-rich tetracyclic benzopyrans via efficient cascade reactions.
PMID- 27187587
TI - Similarities between adult female crack cocaine users and adolescents in risky
decision-making scenarios.
AB - BACKGROUND: Although previous studies have shown that both adolescence and drug
addiction can influence risk-taking and decision-making processes, the underlying
mechanisms remain unclear. Specifically, there is a lack of evidence as to
whether these conditions could affect deliberative and affective processes
involved in risk taking, such as feedback learning and valuation of profits and
risk. OBJECTIVES: The objectives were to compare the role of feedback and the use
of information in risk-taking behavior between female crack cocaine users and
adolescents. Additionally, we aimed to investigate whether sensation seeking,
impulsivity, depressive and anxiety symptoms, executive functioning, and working
memory performance could explain differences in risk-taking behavior. METHOD:
This is a quasi-experimental study comparing 27 low-income adult female crack
cocaine users (CU) to 18 female adolescents (AD) within two conditions (no
feedback or delayed-feedback) of the Columbia Card Task (CCT). In order to
investigate CCT reference values for adult females, we also included 20 female
non-drug-users with regular education and income as a reference group (RG).
RESULTS: A similar pattern of risk-taking behavior was found between CU and AD
within the CCT no-feedback condition. When delayed feedback was provided, AD
exhibited a similar pattern of risk-taking behavior in the no-feedback condition,
while CU showed a reduction of risk-taking behavior. Both groups exhibited higher
risk taking than the RG within the CCT no-feedback condition, but only the AD
group showed higher risk-taking behavior within the CCT feedback condition.
Depressive symptom severity and working memory deficits were associated with
higher risk-taking behaviors in CU. Executive functioning deficits were
associated with higher risk-taking behavior in AD. CONCLUSIONS: Adult female
crack cocaine users and female adolescents took similar risks during risky
decision-making scenarios where feedback about their own performance was absent.
However, when participants were provided with such feedback, it modulated risk
taking behaviors in crack cocaine users but not in adolescents.
PMID- 27187588
TI - Metabolic impact of combined hormonal contraceptives containing estradiol.
AB - INTRODUCTION: Is the replacement of ethinyl-estradiol (EE) with estradiol (E2) in
combined hormonal contraceptives (CHCs) associated with fewer metabolic effects,
leading to a further improvement on safety of hormonal contraceptives? AREAS
COVERED: This is a narrative review paper including all available data on the
metabolic impact of CHCs containing E2 published in English up to December 2015.
Modification of a metabolic variable of interest during the first months of
treatment was considered as an outcome. EXPERT OPINION: E2 was extensively used
in oral contraceptives associated to nomegestrol acetate (NOMAc) in a monophasic
24 + 4 or its ester E2 valerate to dienogest (DNG) in a quadriphasic 26 + 2
regimen. The impact on the lipid metabolism and the hemostatic system of these
preparations seems milder than that caused by EE-based ones, associated with no
change of blood pressure. The impact on bone metabolism was instead similar to
EE. Data available in the literature are mainly derived from studies having
secondary minor metabolic outcomes as the primary end-point, and so currently not
completely applicable on the real variables of interest (arterial or venous
cardiovascular events, bone fractures). The preliminar parenteral use of E2 seems
promising, both transdermal and vaginal, in particular after the introduction of
a specific progestin with a high anti-ovulatory activity, like nestorone.
PMID- 27187589
TI - Des-gamma-Carboxyprothrombin Plasma Level in Diagnosis of Hepatocellular
Carcinoma in a Chinese Population Undergoing Surgery.
AB - BACKGROUND The usefulness of Des-g-carboxyprothrombin (DCP) has been indicated in
areas where hepatitis C virus is prevalent. DCP has yet to be used in China. The
aim of this study was to evaluate the usefulness of DCP in Chinese patients with
hepatocellular carcinoma (HCC) predominantly caused by hepatitis B. MATERIAL AND
METHODS 329 subjects with HCC and 371 subjects without HCC that all underwent
surgery were consecutively enrolled. Serum AFP and plasma DCP levels in all
subjects and 153 healthy volunteers were measured and analyzed. RESULTS Of 329
subjects with HCC, 258 (78.4%) were HBsAg positive. The median level of plasma
DCP was 853.72 mAU/mL in subjects with HCC, 26.43 mAU/mL in subjects without HCC,
and 29.91 m AU/mL in healthy volunteers. A cut-off DCP value of 87 mAU/mL yielded
the optimal sensitivity of 74.80% and a specificity of 83.33% for differentiating
subjects with HCC from subjects without HCC. The combination of AFP of 21.33
ng/mL and DCP of 87 mAU/mL had a sensitivity of 82.60% for tumors no larger than
2 cm, as well as a sensitivity of 90% for tumors larger than 5 cm. CONCLUSIONS
The combination of DCP and AFP yielded great improvement in sensitivity in
differentiating subjects with HCC from subjects without HCC. These two markers
may be incorporated in the protocol for surveillance and diagnosis of HCC in the
high-risk Chinese population.
PMID- 27187590
TI - Epicardial Adipose Tissue Is Associated with Plaque Burden and Composition and
Provides Incremental Value for the Prediction of Cardiac Outcome. A Clinical
Cardiac Computed Tomography Angiography Study.
AB - OBJECTIVES: We sought to investigate the association of epicardial adipose tissue
(eCAT) volume with plaque burden, circulating biomarkers and cardiac outcomes in
patients with intermediate risk for coronary artery disease (CAD). METHODS AND
RESULTS: 177 consecutive outpatients at intermediate risk for CAD and completed
biomarker analysis including high-sensitive Troponin T (hs-TnT) and hs-CRP
underwent 256-slice cardiac computed tomography angiography (CCTA) between June
2008 and October 2011. Patients with lumen narrowing >=50% exhibited
significantly higher eCAT volume than patients without any CAD or lumen narrowing
<50% (median (interquartile range, IQR): 108 (73-167) cm3 vs. 119 (82-196) cm3, p
= 0.04). Multivariate regression analysis demonstrated an independent association
eCAT volume with plaque burden by number of lesions (R2 = 0.22, rpartial = 0.29,
p = 0.026) and CAD severity by lumen narrowing (R2 = 0.22, rpartial = 0.23, p =
0.038) after adjustment for age, diabetes mellitus, hyperlidipemia, body-mass
index (BMI), hs-CRP and hs-TnT. Univariate Cox proportional hazards regression
analysis identified a significant association for both increased eCAT volume and
maximal lumen narrowing with all cardiac events. Multivariate Cox proportional
hazards regression analysis revealed an independent association of increased eCAT
volume with all cardiac events after adjustment for age, >3 risk factors,
presence of CAD, hs-CRP and hs-TnT. CONCLUSION: Epicardial adipose tissue volume
is independently associated with plaque burden and maximum luminal narrowing by
CCTA and may serve as an independent predictor for cardiac outcomes in patients
at intermediate risk for CAD.
PMID- 27187591
TI - G-Protein/beta-Arrestin-Linked Fluctuating Network of G-Protein-Coupled Receptors
for Predicting Drug Efficacy and Bias Using Short-Term Molecular Dynamics
Simulation.
AB - The efficacy and bias of signal transduction induced by a drug at a target
protein are closely associated with the benefits and side effects of the drug. In
particular, partial agonist activity and G-protein/beta-arrestin-biased agonist
activity for the G-protein-coupled receptor (GPCR) family, the family with the
most target proteins of launched drugs, are key issues in drug discovery.
However, designing GPCR drugs with appropriate efficacy and bias is challenging
because the dynamic mechanism of signal transduction induced by ligand-receptor
interactions is complicated. Here, we identified the G-protein/beta-arrestin
linked fluctuating network, which initiates large-scale conformational changes,
using sub-microsecond molecular dynamics (MD) simulations of the beta2-adrenergic
receptor (beta2AR) with a diverse collection of ligands and correlation analysis
of their G protein/beta-arrestin efficacy. The G-protein-linked fluctuating
network extends from the ligand-binding site to the G-protein-binding site
through the connector region, and the beta-arrestin-linked fluctuating network
consists of the NPxxY motif and adjacent regions. We confirmed that the averaged
values of fluctuation in the fluctuating network detected are good quantitative
indexes for explaining G protein/beta-arrestin efficacy. These results indicate
that short-term MD simulation is a practical method to predict the efficacy and
bias of any compound for GPCRs.
PMID- 27187592
TI - Pycnosomes: Condensed Endosomal Structures Secreted by Dictyostelium Amoebae.
AB - Dictyostelium discoideum has been used largely as a model organism to study the
organization and function of the endocytic pathway. Here we describe dense
structures present in D. discoideum endocytic compartments, which we named
pycnosomes. Pycnosomes are constitutively secreted in the extracellular medium,
from which they can be recovered by differential centrifugation. We identified
the most abundant protein present in secreted pycnosomes, that we designated
SctA. SctA defines a new family of proteins with four members in D. discoideum,
and homologous proteins in other protists and eumetazoa. We developed a
monoclonal antibody specific for SctA and used it to further characterize
secreted and intracellular pycnosomes. Within cells, immunofluorescence as well
as electron microscopy identified pycnosomes as SctA-enriched dense structures in
the lumen of endocytic compartments. Pycnosomes are occasionally seen in
continuity with intra-endosomal membranes, particularly in U18666A-treated cells
where intraluminal budding is highly enhanced. While the exact nature, origin and
cellular function of pycnosomes remain to be established, this study provides a
first description of these structures as well as a characterization of reagents
that can be used for further studies.
PMID- 27187593
TI - Personal Protection of Permethrin-Treated Clothing against Aedes aegypti, the
Vector of Dengue and Zika Virus, in the Laboratory.
AB - BACKGROUND: The dengue and Zika viruses are primarily transmitted by Aedes
aegypti mosquitoes, which are most active during day light hours and feed both in
and outside of the household. Personal protection technologies such as
insecticide-treated clothing could provide individual protection. Here we
assessed the efficacy of permethrin-treated clothing on personal protection in
the laboratory. METHODS: The effect of washing on treated clothing, skin coverage
and protection against resistant and susceptible Ae. aegypti was assessed using
modified WHO arm-in-cage assays. Coverage was further assessed using free-flight
room tests to investigate the protective efficacy of unwashed factory-dipped
permethrin-treated clothing. Clothing was worn as full coverage (long sleeves and
trousers) and partial coverage (short sleeves and shorts). Residual permethrin on
the skin and its effect on mosquitoes was measured using modified WHO cone assays
and quantified using high-pressure liquid chromatography (HPLC) analysis.
RESULTS: In the arm-in-cage assays, unwashed clothing reduced landing by 58.9%
(95% CI 49.2-66.9) and biting by 28.5% (95% CI 22.5-34.0), but reduced to 18.5%
(95% CI 14.7-22.3) and 11.1% (95% CI 8.5-13.8) respectively after 10 washes.
Landing and biting for resistant and susceptible strains was not significantly
different (p<0.05). In free-flight room tests, full coverage treated clothing
reduced landing by 24.3% (95% CI 17.4-31.7) and biting by 91% (95% CI 82.2-95.9)
with partial coverage reducing landing and biting by 26.4% (95% CI 20.3-31.2) and
49.3% (95% CI 42.1-59.1) respectively with coverage type having no significant
difference on landing (p<0.05). Residual permethrin was present on the skin in
low amounts (0.0041mg/cm2), but still produced a KD of >80% one hour after
wearing treated clothing. CONCLUSION: Whilst partially covering the body with
permethrin-treated clothing provided some protection against biting, wearing
treated clothing with long sleeves and trousers provided the highest form of
protection. Washing treated clothing dramatically reduced protection provided.
Permethrin-treated clothing could provide protection to individuals from Ae.
aegypti that show permethrin resistance. Additionally, it could continue to
provide protection even after the clothing has been worn. Field trials are
urgently needed to determine whether clothing can protect against dengue and
Zika.
PMID- 27187595
TI - High incidence of oral corticosteroids prescriptions in children with asthma in
early childhood.
AB - OBJECTIVE: Severe asthma exacerbations are often treated with short courses of
oral corticosteroids (OCS). This study assessed the incidence of OCS being
prescribed in asthmatic children of various age groups and calculated their
chances of receiving subsequent OCS prescriptions. METHODS: Longitudinal Dutch
community pharmacy data of 2272 children who were regular users of asthma
medication was analyzed retrospectively. Incidence rates for first, second and
third prescriptions of OCS were calculated, stratified by age and sex.
Probabilities of receiving first, second or third OCS prescriptions were assessed
with Kaplan-Meier analysis. RESULTS: Incidence rates for first OCS prescriptions
were 4.5 for the 1(st) year of life per 100 person-years (100PY); 3.9 for the
2(nd); 4.6 for the 3(rd); 4.2 for the 4(th), and 4.7 for the 5(th) year of life
per 100PY. This was relatively high compared to incidence rates for children
between the ages of 6 and 11 (ranging between 2.2 per 100PY (age 9) and 3.7(age
11)). Incidence rates for second and third OCS prescriptions were very high:
78.2(95%CI: 45.0-123.7) and 241.2(95%CI: 81.2-583.4) per 100PY for infants,
respectively. The chances of receiving a first OCS prescription was higher in
males (P value < 0.01). CONCLUSIONS: In the Netherlands, the incidence of OCS
being prescribed to children being treated with asthma medication in early
childhood is relatively high for first OCS prescriptions and extremely high for
second and third OCS prescriptions compared to other ages. Furthermore, there is
a high probability of receiving a further OCS prescription shortly after an OCS
prescription.
PMID- 27187594
TI - The Commercial Antibodies Widely Used to Measure H3 K56 Acetylation Are Non
Specific in Human and Drosophila Cells.
AB - Much of our understanding of the function of histone post-translational
modifications in metazoans is inferred from their genomic localization and / or
extrapolated from yeast studies. For example, acetylation of histone H3 lysine 56
(H3 K56Ac) is assumed to be important for transcriptional regulation in metazoan
cells based on its occurrence at promoters and its function in yeast. Here we
directly assess the function of H3 K56Ac during chromatin disassembly from gene
regulatory regions during transcriptional induction in human cells by using
mutations that either mimic or prevent H3 K56Ac. Although there is rapid histone
H3 disassembly during induction of some estrogen receptor responsive genes,
depletion of the histone chaperone ASF1A/B, which is required for H3 K56
acetylation, has no effect on chromatin disassembly at these regions. During the
course of this work, we found that all the commercially available antibodies to
H3 K56Ac are non-specific in human cells and in Drosophila. We used H3-YFP
fusions to show that the H3 K56Q mutation can promote chromatin disassembly from
regulatory regions of some estrogen responsive genes in the context of
transcriptional induction. However, neither the H3 K56R nor K56Q mutation
significantly altered chromatin disassembly dynamics by FRAP analysis. These
results indicate that unlike the situation in yeast, human cells do not use H3
K56Ac to promote chromatin disassembly from regulatory regions or from the genome
in general. Furthermore, our work highlights the need for rigorous
characterization of the specificity of antibodies to histone post-translational
modifications in vivo.
PMID- 27187596
TI - Fine-Scale Habitat Segregation between Two Ecologically Similar Top Predators.
AB - Similar, coexisting species often segregate along the spatial ecological axis.
Here, we examine if two top predators (jaguars and pumas) present different fine
scale habitat use in areas of coexistence, and discuss if the observed pattern
can be explained by the risk of interference competition between them.
Interference competition theory predicts that pumas should avoid habitats or
areas used by jaguars (the dominant species), and as a consequence should present
more variability of niche parameters across study areas. We used non-invasive
genetic sampling of faeces in 12 different areas and sensor satellite fine-scale
habitat indices to answer these questions. Meta-analysis confirmed differences in
fine-scale habitat use between jaguars and pumas. Furthermore, average
marginality of the realized niches of pumas was more variable than those of
jaguars, and tolerance (a measure of niche breadth) was on average 2.2 times
higher in pumas than in jaguars, as expected under the interference competition
risk hypothesis. The use of sensor satellite fine-scale habitat indices allowed
the detection of subtle differences in the environmental characteristics of the
habitats used by these two similar top predators, which, as a rule, until now
were recorded using the same general habitat types. The detection of fine spatial
segregation between these two top predators was scale-dependent.
PMID- 27187597
TI - Improved motion-sensitized driven-equilibrium preparation for 3D turbo spin echo
T1 weighted imaging after gadolinium administration for the detection of brain
metastases on 3T MRI.
AB - OBJECTIVE: To evaluate the clinical usefulness of an improved motion-sensitized
driven-equilibrium (iMSDE) preparation for three-dimensional turbo spin echo
(TSE) T1 weighted imaging after gadolinium administration in 3.0-T MRI for the
detection of brain metastases compared with conventional gradient echo (C-GRE) T1
weighted imaging with gadolinium. METHODS: 40 patients with suspected brain
metastases underwent MR studies, including two contrast-enhanced sequences, iMSDE
TSE and C-GRE. Post-enhancement images of 14 patients with suspected metastatic
brain lesions were retrospectively analyzed, and comparisons between iMSDE-TSE
and C-GRE were made using the Wilcoxon signed-rank test. RESULTS: C-GRE detected
86 metastatic lesions, whereas iMSDE-TSE detected 97, including one false
positive lesion on both sequences. 11 of 96 metastases were detected on iMSDE-TSE
only. On C-GRE, 15 of 85 metastases were equivocal. There was a significant
difference between C-GRE and iMSDE-TSE in terms of the number of detected lesions
(p = 0.024). Notably, the interobserver agreement for diagnosing metastases and
identifying non-metastases was nearly identical. Overall, iMSDE-TSE achieves
higher detectability of metastatic brain lesions, especially equivocal lesions.
CONCLUSION: Compared with C-GRE, iMSDE-TSE detected more brain metastases. This
method is especially helpful in discerning equivocal metastases. ADVANCES IN
KNOWLEDGE: Previous studies have offered limited clinically useful information
because they have all been preliminary studies such as comparing the contrast-to
noise ratio of each sequence without evaluating iMSDE-TSE. This study, however,
is unique because we evaluate the clinical usefulness of iMSDE-TSE for the
detection of brain metastases, and we compare these results to C-GRE.
PMID- 27187598
TI - Evaluation of diffusivity in pituitary adenoma: 3D turbo field echo with
diffusion-sensitized driven-equilibrium preparation.
AB - OBJECTIVE: Diffusivity of pituitary adenoma has not been investigated fully. The
purpose of this study was to evaluate the feasibility of turbo field echo with
diffusion-sensitized driven-equilibrium (DSDE-TFE) preparation for pituitary
adenoma in the sella turcica and unaffected anterior lobe of the pituitary gland.
METHODS: This retrospective study included 23 adult patients with pituitary
adenomas. Among them, 6 each were prolactin-producing adenomas and growth hormone
producing adenomas (GH) and the remaining 11 were non-functioning adenomas (NON).
The apparent diffusion coefficients (ADCs) were measured in the pituitary adenoma
and in the unaffected pituitary gland using coronal reformatted plane. RESULTS:
All pituitary adenomas were clearly visualized on DSDE-TFE and ADC maps without
obvious geometrical distortion. There were no statistically significant
differences in ADC of the all pituitary adenoma (1.50 +/- 0.61 * 10(-3) mm(2) s(
1)) and the unaffected anterior lobe of the pituitary gland (1.49 +/- 0.37 * 10(
3) mm(2) s(-1), p = 0.99). The ADC in prolactin-producing adenomas (2.04 +/- 0.76
* 10(-3) mm(2) s(-1)) was significantly higher than that in GH (1.26 +/- 0.47 *
10(-3) mm(2) s(-1); p < 0.05) and NON (1.33 +/- 0.42 * 10(-3) mm(2) s(-1); p =
0.04). There was no statistically significant difference between GH and NON (p =
0.97). The intraclass correlation coefficient for ADC was 0.985 in adenomas and
0.635 in unaffected glands. CONCLUSION: With its insensitivity to field
inhomogeneity and high spatial resolution, DSDE-TFE proved a feasible method for
evaluating the diffusivity in the pituitary gland and adenoma. ADVANCES IN
KNOWLEDGE: DSDE-TFE could enable us to assess ADC of pituitary adenoma in the
sella turcica with high resolution and few susceptibility artefacts.
PMID- 27187599
TI - Arterial input functions in dynamic contrast-enhanced magnetic resonance imaging:
which model performs best when assessing breast cancer response?
AB - OBJECTIVE: To evaluate the performance of six models of population arterial input
function (AIF) in the setting of primary breast cancer and neoadjuvant
chemotherapy (NAC). The ability to fit patient dynamic contrast-enhanced MRI (DCE
MRI) data, provide physiological plausible data and detect pathological response
was assessed. METHODS: Quantitative DCE-MRI parameters were calculated for 27
patients at baseline and after 2 cycles of NAC for 6 AIFs. Pathological complete
response detection was compared with change in these parameters from a
reproduction cohort of 12 patients using the Bland-Altman approach and receiver
operating characteristic analysis. RESULTS: There were fewer fit failures pre-NAC
for all models, with the modified Fritz-Hansen having the fewest pre-NAC (3.6%)
and post-NAC (18.8%), contrasting with the femoral artery AIF (19.4% and 43.3%,
respectively). Median transfer constant values were greatest for the Weinmann
function and also showed greatest reductions with treatment (-68%).
Reproducibility (r) was the lowest for the Weinmann function (r = -49.7%), with
other AIFs ranging from r = -27.8 to -39.2%. CONCLUSION: Using the best
performing AIF is essential to maximize the utility of quantitative DCE-MRI
parameters in predicting response to NAC treatment. Applying our criteria, the
modified Fritz-Hansen and cosine bolus approximated Parker AIF models performed
best. The Fritz-Hansen and biexponential approximated Parker AIFs performed less
well, and the Weinmann and femoral artery AIFs are not recommended. ADVANCES IN
KNOWLEDGE: We demonstrate that using the most appropriate AIF can aid successful
prediction of response to NAC in breast cancer.
PMID- 27187601
TI - Trauma whole-body MDCT: an assessment of image quality in conventional dual-phase
and modified biphasic injection.
AB - OBJECTIVE: To compare the image quality of conventional arterial and portal
venous (PV) phase multidetector CT (MDCT) with two biphasic injection protocols
in polytrauma patients. METHODS: 60 consecutive patients with polytrauma
underwent body 256-slice MDCT with a conventional protocol or 1 of 2 single-pass
biphasic protocols: Group A, arterial (30 s) and PV (60 s) phase acquisitions;
Group B, "biphasic" contrast injection with a single acquisition at 60 s; and
Group C, "modified biphasic" injection with a single acquisition at a 70-s delay.
Images were analyzed for arterial, venous and parenchymal attenuation profiles
with regions of interest in the major arteries, veins and solid abdominal organs.
A 5-point scoring system was used to assess the image quality, with 5
representing excellent arterial, venous and parenchymal opacification and <3
representing non-diagnostic opacification. In addition, the effective dose
(millisieverts) was compared between the groups. RESULTS: In 93% of patients,
image quality was scored as good or excellent (>=4). All studies were of
satisfactory diagnostic quality. Overall, venous and arterial attenuation
profiles were comparable. Attenuation profiles in the solid abdominal viscera
were significantly higher (p < 0.01) using both biphasic protocols than with
arterial or PV phase of conventional protocols. Effective doses were higher in
Group A. CONCLUSION: Comparable image quality can be achieved using a biphasic
i.v. contrast injection protocol with single MDCT acquisition with less radiation
and reduction in acquisition time. ADVANCES IN KNOWLEDGE: For these particular
biphasic injection protocols, we have shown that image quality is comparable with
a conventional protocol. This has been achieved by comparing enhanced densities
of specific structures, as well as gestalt scoring by assessors, on a 256-slice
MDCT.
PMID- 27187603
TI - Interview with Thomas Lundback, PhD.
PMID- 27187600
TI - Is the false-positive rate in mammography in North America too high?
AB - The practice of investigating pathological abnormalities in the breasts of
females who are asymptomatic is primarily employed using X-ray mammography. The
importance of breast screening is reflected in the mortality-based benefits
observed among females who are found to possess invasive breast carcinoma prior
to the manifestation of clinical symptoms. It is estimated that population-based
screening constitutes a 17% reduction in the breast cancer mortality rate among
females affected by invasive breast carcinoma. In spite of the significant
utility that screening confers in those affected by invasive cancer, limitations
associated with screening manifest as potential harms affecting individuals who
are free of invasive disease. Disease-free and benign tumour-bearing individuals
who are subjected to diagnostic work-up following a screening examination
constitute a population of cases referred to as false positives (FPs). This
article discusses factors contributing to the FP rate in mammography and extends
the discussion to an assessment of the consequences associated with FP reporting.
We conclude that the mammography FP rate in North America is in excess based upon
the observation of overtreatment of in situ lesions and the disproportionate
distribution of detriment and benefit among the population of individuals
recalled for diagnostic work-up subsequent to screening. To address the excessive
incidence of FPs in mammography, we investigate solutions that may be employed to
remediate the current status of the FP rate. Subsequently, it can be suggested
that improvements in the breast-screening protocol, medical litigation risk,
image interpretation software and the implementation of image acquisition
modalities that overcome superimposition effects are promising solutions.
PMID- 27187605
TI - Transcriptional Characterization of Compounds: Lessons Learned from the Public
LINCS Data.
AB - The NIH-funded LINCS program has been initiated to generate a library of
integrated, network-based, cellular signatures (LINCS). A novel high-throughput
gene-expression profiling assay known as L1000 was the main technology used to
generate more than a million transcriptional profiles. The profiles are based on
the treatment of 14 cell lines with one of many perturbation agents of interest
at a single concentration for 6 and 24 hours duration. In this study, we focus on
the chemical compound treatments within the LINCS data set. The experimental
variables available include number of replicates, cell lines, and time points.
Our study reveals that compound characterization based on three cell lines at two
time points results in more genes being affected than six cell lines at a single
time point. Based on the available LINCS data, we conclude that the most optimal
experimental design to characterize a large set of compounds is to test them in
duplicate in three different cell lines. Our conclusions are constrained by the
fact that the compounds were profiled at a single, relative high concentration,
and the longer time point is likely to result in phenotypic rather than
mechanistic effects being recorded.
PMID- 27187604
TI - Development and Implementation of a High-Throughput High-Content Screening Assay
to Identify Inhibitors of Androgen Receptor Nuclear Localization in Castration
Resistant Prostate Cancer Cells.
AB - Patients with castration-resistant prostate cancer (CRPC) can be treated with
abiraterone, a potent inhibitor of androgen synthesis, or enzalutamide, a second
generation androgen receptor (AR) antagonist, both targeting AR signaling.
However, most patients relapse after several months of therapy and a majority of
patients with relapsed CRPC tumors express the AR target gene prostate-specific
antigen (PSA), suggesting that AR signaling is reactivated and can be targeted
again to inhibit the relapsed tumors. Novel small molecules capable of inhibiting
AR function may lead to urgently needed therapies for patients resistant to
abiraterone, enzalutamide, and/or other previously approved antiandrogen
therapies. Here, we describe a high-throughput high-content screening (HCS)
campaign to identify small-molecule inhibitors of AR nuclear localization in the
C4-2 CRPC cell line stably transfected with GFP-AR-GFP (2GFP-AR). The
implementation of this HCS assay to screen a National Institutes of Health
library of 219,055 compounds led to the discovery of 3 small molecules capable of
inhibiting AR nuclear localization and function in C4-2 cells, demonstrating the
feasibility of using this cell-based phenotypic assay to identify small molecules
targeting the subcellular localization of AR. Furthermore, the three hit
compounds provide opportunities to develop novel AR drugs with potential for
therapeutic intervention in CRPC patients who have relapsed after treatment with
antiandrogens, such as abiraterone and/or enzalutamide.
PMID- 27187606
TI - Pinpointing the peripheral bias in neural scene-processing networks during
natural viewing.
AB - Peripherally presented stimuli evoke stronger activity in scene-processing
regions than foveally presented stimuli, suggesting that scene understanding is
driven largely by peripheral information. We used functional MRI to investigate
whether functional connectivity evoked during natural perception of audiovisual
movies reflects this peripheral bias. For each scene-sensitive region--the
parahippocampal place area (PPA), retrosplenial cortex, and occipital place area-
we computed two measures: the extent to which its activity could be predicted by
V1 activity (connectivity strength) and the eccentricities within V1 to which it
was most closely related (connectivity profile). Scene regions were most related
to peripheral voxels in V1, but the detailed nature of this connectivity varied
within and between these regions. The retrosplenial cortex showed the most
consistent peripheral bias but was less predictable from V1 activity, while the
occipital place area was related to a wider range of eccentricities and was
strongly coupled to V1. We divided the PPA along its posterior-anterior axis into
retinotopic maps PHC1, PHC2, and anterior PPA, and found that a peripheral bias
was detectable throughout all subregions, though the anterior PPA showed a less
consistent relationship to eccentricity and a substantially weaker overall
relationship to V1. We also observed an opposite foveal bias in object-perception
regions including the lateral occipital complex and fusiform face area. These
results show a fine-scale relationship between eccentricity biases and functional
correlation during natural perception, giving new insight into the structure of
the scene-perception network.
PMID- 27187607
TI - Quantitative determination of saroglitazar, a predominantly PPAR alpha agonist,
in human plasma by a LC-MS/MS method utilizing electrospray ionization in a
positive mode.
AB - A sensitive LC-MS/MS method was developed and validated for quantitation of
saroglitazar using turboion spray interface with positive ion mode. A liquid
liquid extraction, with a mixture of dichloromethane and diethyl ether, was
employed for the extraction of saroglitazar and glimepiride (IS) from human
plasma. The chromatographic separation was achieved using an ACE-5, C18 (4.6 *
100 mm) column with a gradient mobile phase comprising acetonitrile and ammonium
acetate buffer with trifluoracetic acid in purified water. Both analytes were
separated within 10 min with retention times of 4.52 and 2.57 min for
saroglitazar and IS, respectively. Saroglitazar quantitation was achieved by the
summation of two MRM transition pairs (m/z 440.2 to m/z 366.0 and m/z 440.2 to
m/z 183.1), while that of IS was achieved using transition pair m/z 491.3 to m/z
352.0. The calibration standards of saroglitazar showed linearity from 0.2 to 500
ng/mL, with a lower limit of quantitation of 0.2 ng/mL. The biases for inter- and
intra-batch assays were -7.51-1.15% and -11.21 to -3.25%, respectively, while the
corresponding precisions were 5.04-8.06% and 1.53-7.68%, respectively. The
developed method was used to monitor the plasma concentrations of saroglitazar in
clinical samples.
PMID- 27187608
TI - Health 2000 score - development and validation of a novel cardiovascular risk
score.
AB - BACKGROUND: Previous risk scores for predicting myocardial infarctions and
strokes have mainly been based on conventional risk factors. We aimed to develop
a novel improved risk score that would incorporate other widely available
clinical variables for predicting the broadest range of endpoints, including
revascularizations. METHODS: A nationwide sample of 5843 Finns underwent a
clinical examination in 2000-2001. The participants were followed for a median of
11.2 years for incident cardiovascular events. Model discrimination and
calibration were assessed and internal validation was performed. RESULTS: Sex,
age, systolic blood pressure, total cholesterol, HDL cholesterol, smoking status,
parental death from cardiovascular disease, left ventricular hypertrophy,
hemoglobin A1c, and educational level remained significant predictors of
cardiovascular events (p <= 0.005 for all). The share of participants with >=10%
estimated cardiovascular risk was 28.9%, 18.5%, 36.9% and 23.8% with the Health
2000, Finrisk, Framingham and Reynolds risk scores. The Health 2000 score (c
statistic: 0.850) showed superior discrimination to the Framingham (c-statistic
improvement: 0.021) and Reynolds (c-statistic improvement: 0.007) scores (p <
0.001 for both comparisons). Model including left ventricular hypertrophy,
hemoglobin A1c, and educational level improved the model prediction (c-statistic
improvement: 0.006, p = 0.003). CONCLUSIONS: The Health 2000score improves
cardiovascular risk prediction in the current study population. KEY MESSAGES
Previous risk scores for predicting myocardial infarctions and strokes have
mainly been based on conventional risk factors. We aimed to develop a novel
improved risk score that would incorporate other widely available clinical
variables (including left ventricular hypertrophy, hemoglobin A1c, and education
level) for predicting the broadest range of endpoints, including
revascularizations. The Health 2000 score improved cardiovascular risk prediction
in the current study population compared with traditional cardiovascular risk
prediction scores.
PMID- 27187609
TI - Toward the Validation of Maternal Embryonic Leucine Zipper Kinase: Discovery,
Optimization of Highly Potent and Selective Inhibitors, and Preliminary Biology
Insight.
AB - MELK kinase has been implicated in playing an important role in tumorigenesis.
Our previous studies suggested that MELK is involved in the regulation of cell
cycle and its genetic depletion leads to growth inhibition in a subset of high
MELK-expressing basal-like breast cancer cell lines. Herein we describe the
discovery and optimization of novel MELK inhibitors 8a and 8b that recapitulate
the cellular effects observed by short hairpin ribonucleic acid (shRNA)-mediated
MELK knockdown in cellular models. We also discovered a novel fluorine-induced
hydrophobic collapse that locked the ligand in its bioactive conformation and led
to a 20-fold gain in potency. These novel pharmacological inhibitors achieved
high exposure in vivo and were well tolerated, which may allow further in vivo
evaluation.
PMID- 27187610
TI - The use of whole exome sequencing for the diagnosis of autosomal recessive
malignant infantile osteopetrosis.
AB - Autosomal recessive malignant infantile osteopetrosis is a congenital disease
characterized by pathologically increased bone density. Recently, the use of
whole exome sequencing has been utilized as a clinical diagnostic tool in a
number of Mendelian disorders. In this study, whole exome sequencing (WES) was
successfully used in six patients with malignant infantile osteopetrosis (MIOP)
and identified mutations in four MIOP-related genes (CLCN7, TCIRG1, SNX10, and
TNFRSF11A). We report these patients, describe the mutations and review the
current literature.
PMID- 27187612
TI - Protein Nanocontainers from Nonviral Origin: Testing the Mechanics of Artificial
and Natural Protein Cages by AFM.
AB - Self-assembling protein nanocontainers are promising candidates for an
increasingly wide scope of purposes. Their applications range from drug delivery
vehicles and imaging agents to nanocompartments for controlled enzymatic
activity. In order to exploit their full potential in these different fields,
characterization of their properties is vital. For example, their mechanical
properties give insight into the stability of a particle as a function of their
internal content. The mechanics can be probed by atomic force microscopy
nanoindentation, and while this single particle method is increasingly used to
probe material properties of viral nanocages, it has hardly been used to
characterize nonviral nanocages. Here we report nanoindentation studies on two
types of nonviral nanocontainers: (i) lumazine synthase from Aquifex aeolicus
(AaLS), which naturally self-assembles into icosahedral cages, and (ii) the
artificial protein cage O3-33 originating from a computational design approach.
In addition, we tested particles that had been engineered toward improved cargo
loading capacity and compared these nanocages in empty and loaded states. We
found that the thermostable AaLS cages are stiffer and resist higher forces
before breaking than the O3-33 particles, but that mutations affecting the size
of AaLS particles have a dramatic effect on their structural stability.
Furthermore, we show that cargo packaging can occur while maintaining the cage's
mechanical properties.
PMID- 27187611
TI - Molecular Characterization of Three Canine Models of Human Rare Bone Diseases:
Caffey, van den Ende-Gupta, and Raine Syndromes.
AB - One to two percent of all children are born with a developmental disorder
requiring pediatric hospital admissions. For many such syndromes, the molecular
pathogenesis remains poorly characterized. Parallel developmental disorders in
other species could provide complementary models for human rare diseases by
uncovering new candidate genes, improving the understanding of the molecular
mechanisms and opening possibilities for therapeutic trials. We performed various
experiments, e.g. combined genome-wide association and next generation
sequencing, to investigate the clinico-pathological features and genetic causes
of three developmental syndromes in dogs, including craniomandibular osteopathy
(CMO), a previously undescribed skeletal syndrome, and dental hypomineralization,
for which we identified pathogenic variants in the canine SLC37A2 (truncating
splicing enhancer variant), SCARF2 (truncating 2-bp deletion) and FAM20C
(missense variant) genes, respectively. CMO is a clinical equivalent to an
infantile cortical hyperostosis (Caffey disease), for which SLC37A2 is a new
candidate gene. SLC37A2 is a poorly characterized member of a glucose-phosphate
transporter family without previous disease associations. It is expressed in many
tissues, including cells of the macrophage lineage, e.g. osteoclasts, and
suggests a disease mechanism, in which an impaired glucose homeostasis in
osteoclasts compromises their function in the developing bone, leading to
hyperostosis. Mutations in SCARF2 and FAM20C have been associated with the human
van den Ende-Gupta and Raine syndromes that include numerous features similar to
the affected dogs. Given the growing interest in the molecular characterization
and treatment of human rare diseases, our study presents three novel
physiologically relevant models for further research and therapy approaches,
while providing the molecular identity for the canine conditions.
PMID- 27187614
TI - Vaccination against hepatitis A and B in patients with chronic liver disease and
type 2 diabetes: has anything changed?
AB - BACKGROUND & AIMS: Given the severity of acute hepatitis in patients with chronic
liver diseases (CLD) and patients with type 2 diabetes (DM), most of these
patients are recommended to be vaccinated. The aim is to assess the recent
changes in HAV and HBV vaccination rates in patients with CLD and DM in the U.S.
using the most recent population data. METHODS: We used the National Health and
Nutrition Examination Surveys (NHANES) cycles 2009-2012 and 2013-2014, and
compared those to previous cycles (1999-2004 and 2005-2008). RESULTS: In general
U.S. population, the rates of quality measure (QM, serologic immunity or history
of vaccination) for HBV increased from 31.9% in 1999-2004 to 49.5% in 2013-2014
(P < 0.0001), synchronously with an increase in self-reported HBV vaccination:
from 24.4% to 41.3% (P < 0.0001). A similar increase was noted for HAV: 12.0% in
1999-2004 to 33.4% in 2013-2014 in vaccination, 44.0% to 52.4% in HAV QM (all P <
0.0001). Greater recent increases in HBV QM were noted in non-HBV CLD patients:
34.7% to 56.8% in HBV QM and 22.7% to 51.1% in HBV vaccination (all P < 0.0001),
while the changes in patients with diabetes were similar to those in general U.S.
population despite the recent CDC recommendation (for the age 19-59): 31.0% to
45.1% (P = 0.007) in HBV QM, and 22.3% to 39.0% (P = 0.0004) in HBV vaccination.
CONCLUSIONS: Despite recommendations, HAV and HBV vaccination rates in patients
with CLD and DM remain relatively low. Better vaccination strategies for these
high risk patients should be undertaken.
PMID- 27187613
TI - Viruses are a dominant driver of protein adaptation in mammals.
AB - Viruses interact with hundreds to thousands of proteins in mammals, yet
adaptation against viruses has only been studied in a few proteins specialized in
antiviral defense. Whether adaptation to viruses typically involves only
specialized antiviral proteins or affects a broad array of virus-interacting
proteins is unknown. Here, we analyze adaptation in ~1300 virus-interacting
proteins manually curated from a set of 9900 proteins conserved in all sequenced
mammalian genomes. We show that viruses (i) use the more evolutionarily
constrained proteins within the cellular functions they interact with and that
(ii) despite this high constraint, virus-interacting proteins account for a high
proportion of all protein adaptation in humans and other mammals. Adaptation is
elevated in virus-interacting proteins across all functional categories,
including both immune and non-immune functions. We conservatively estimate that
viruses have driven close to 30% of all adaptive amino acid changes in the part
of the human proteome conserved within mammals. Our results suggest that viruses
are one of the most dominant drivers of evolutionary change across mammalian and
human proteomes.
PMID- 27187616
TI - A Source Area Approach Demonstrates Moderate Predictive Ability but Pronounced
Variability of Invasive Species Traits.
AB - The search for traits that make alien species invasive has mostly concentrated on
comparing successful invaders and different comparison groups with respect to
average trait values. By contrast, little attention has been paid to trait
variability among invaders. Here, we combine an analysis of trait differences
between invasive and non-invasive species with a comparison of multidimensional
trait variability within these two species groups. We collected data on
biological and distributional traits for 1402 species of the native, non-woody
vascular plant flora of Austria. We then compared the subsets of species recorded
and not recorded as invasive aliens anywhere in the world, respectively, first,
with respect to the sampled traits using univariate and multiple regression
models; and, second, with respect to their multidimensional trait diversity by
calculating functional richness and dispersion metrics. Attributes related to
competitiveness (strategy type, nitrogen indicator value), habitat use
(agricultural and ruderal habitats, occurrence under the montane belt), and
propagule pressure (frequency) were most closely associated with invasiveness.
However, even the best multiple model, including interactions, only explained a
moderate fraction of the differences in invasive success. In addition,
multidimensional variability in trait space was even larger among invasive than
among non-invasive species. This pronounced variability suggests that invasive
success has a considerable idiosyncratic component and is probably highly context
specific. We conclude that basing risk assessment protocols on species trait
profiles will probably face hardly reducible uncertainties.
PMID- 27187617
TI - Posterior Cervical Foraminotomy: Indications, Technique, and Outcomes.
AB - Cervical radiculopathy presents with upper extremity pain, decreased sensation,
and decreased strength caused by irritation of specific nerve root(s). After
failure of conservative management, surgical options include anterior cervical
decompression and fusion, disk arthroplasty, and posterior cervical foraminotomy.
In this review, we discuss indications, techniques, and outcomes of posterior
cervical laminoforaminotomy.
PMID- 27187615
TI - ERK5 signalling rescues intestinal epithelial turnover and tumour cell
proliferation upon ERK1/2 abrogation.
AB - The ERK1/2 MAPK signalling module integrates extracellular cues that induce
proliferation and differentiation of epithelial lineages, and is an established
oncogenic driver, particularly in the intestine. However, the interrelation of
the ERK1/2 module relative to other signalling pathways in intestinal epithelial
cells and colorectal cancer (CRC) is unclear. Here we show that loss of Erk1/2 in
intestinal epithelial cells results in defects in nutrient absorption, epithelial
cell migration and secretory cell differentiation. However, intestinal epithelial
cell proliferation is not impeded, implying compensatory mechanisms. Genetic
deletion of Erk1/2 or pharmacological targeting of MEK1/2 results in
supraphysiological activity of the ERK5 pathway. Furthermore, targeting both
pathways causes a more effective suppression of cell proliferation in murine
intestinal organoids and human CRC lines. These results suggest that ERK5
provides a common bypass route in intestinal epithelial cells, which rescues cell
proliferation upon abrogation of ERK1/2 signalling, with therapeutic implications
in CRC.
PMID- 27187618
TI - Performing an Anterior Cervical Discectomy and Fusion.
AB - An anterior cervical discectomy and fusion is one of the most common procedures
performed in spine surgery. It allows for a direct decompression of the spinal
cord and the neural foramen. When performed properly, the results of this
procedure are some of the best in spine surgery.
PMID- 27187619
TI - A peptide with a cysteine terminus: probe for label-free fluorescent detection of
thrombin activity.
AB - Thrombin has been implicated in atherosclerotic disease development. However,
thrombin activity detection is currently limited because of the lack of
convenient fluorescent probes. We developed a label-free fluorescent method to
assay thrombin activity on the basis of a designed peptide probe with a thrombin
cleavable peptide sequence and a cysteine terminus. The peptide probe can be
conjugated to DNA-templated silver nanoclusters (DNA-AgNCs) through Ag-S bonding;
as a result, the fluorescence of DNA-AgNCs was enhanced. As the DNA-AgNCs-peptide
conjugate was adsorbed to graphene oxide (GO), the enhanced fluorescence of DNA
AgNCs was quenched. Once the peptide probe was cleaved by thrombin, the resulting
release of the DNA-AgNCs from the surface of GO restored the enhanced
fluorescence. Thrombin can be determined with a linear range of 0.0-50.0 nM with
a detection limit of 1 nM. The thrombin-sensitive probe with a cysteine terminus
may be developed into probes to detect other proteases.
PMID- 27187620
TI - Rasch analysis of the Norwegian version of the Arthritis Self-Efficacy Scale
(ASES).
AB - OBJECTIVES: To apply the Rasch model to the Norwegian version of the Arthritis
Self-Efficacy Scale (ASES). METHOD: The ASES was included in a self-administered
questionnaire sent to 224 patients attending one of three rehabilitation centres
for rheumatic diseases in Norway in 2009. The fit of the ASES to the Rasch model
was assessed together with hypothesized associations with the Modified Health
Assessment Questionnaire (MHAQ), the 36-item Short Form Health Survey (SF-36),
the numerical rating scale (NRS) for pain, and NRS fatigue. RESULTS: A total of
145 (64.7%) patients responded to the questionnaire. The two scales of other
symptoms and pain showed good fit to the Rasch model with no evidence for
differential item functioning (DIF) according to eight sociodemographic and
disease-related variables. The Person Separation Index (PSI), which is equivalent
to Cronbach's alpha, ranged from 0.74 to 0.78. Correlations with scores for other
instruments were as hypothesized: ASES pain had the highest correlations with SF
36 pain and NRS pain and ASES other symptoms had the highest correlations with
other aspects of the SF-36 and NRS fatigue. CONCLUSIONS: The ASES had good fit to
the Rasch model and correlations with other instrument scores that followed
hypotheses, lending further support to the application of the instrument in
patients with rheumatic diseases.
PMID- 27187621
TI - Both Complexity and Location of DNA Damage Contribute to Cellular Senescence
Induced by Ionizing Radiation.
AB - Persistent DNA damage is considered as a main cause of cellular senescence
induced by ionizing radiation. However, the molecular bases of the DNA damage and
their contribution to cellular senescence are not completely clear. In this
study, we found that both heavy ions and X-rays induced senescence in human uveal
melanoma 92-1 cells. By measuring senescence associated-beta-galactosidase and
cell proliferation, we identified that heavy ions were more effective at inducing
senescence than X-rays. We observed less efficient repair when DNA damage was
induced by heavy ions compared with X-rays and most of the irreparable damage was
complex of single strand breaks and double strand breaks, while DNA damage
induced by X-rays was mostly repaired in 24 hours and the remained damage was
preferentially associated with telomeric DNA. Our results suggest that DNA damage
induced by heavy ion is often complex and difficult to repair, thus presents as
persistent DNA damage and pushes the cell into senescence. In contrast,
persistent DNA damage induced by X-rays is preferentially associated with
telomeric DNA and the telomere-favored persistent DNA damage contributes to X
rays induced cellular senescence. These findings provide new insight into the
understanding of high relative biological effectiveness of heavy ions relevant to
cancer therapy and space radiation research.
PMID- 27187622
TI - Integrating mutation variant allele frequency into clinical practice in myeloid
malignancies.
AB - Hematologic myeloid neoplasms represent a heterogeneous group of disorders with
defined clinical and pathologic characteristics. However, intensive investigation
into the genetic abnormalities of these diseases has not only significantly
advanced our understanding, but also revolutionized our diagnostic and prognostic
capabilities. Moreover, more recent discovery on the impact of clonal burden has
highlighted the critical and dynamic role of clonal evolution over time, which is
integrally linked to a patient's clinical trajectory. This review will highlight
the evidence supporting the incorporation of allelic burden of somatic mutations
into clinical practice for the diagnosis and prognosis of myeloid neoplasms.
PMID- 27187624
TI - Primary immunodeficiency and recalcitrant chronic sinusitis: a systematic review.
AB - BACKGROUND: A subset of patients with chronic rhinosinusitis (CRS) has disease
refractory to standard therapies. Primary immunodeficiency should be considered
in this group. Past literature has demonstrated an association between
immunodeficiency and chronic sinusitis. METHODS: A systematic literature search
was performed using OVID, MEDLINE, EMBASE, and Cochrane databases to identify
English language papers containing original human data on subjects with primary
immunodeficiency and chronic sinusitis. A total of 39 studies met inclusion
criteria. Data was collected pertaining to immune dysfunction in patients with
chronic sinusitis, the clinical workup for these patients, and the effectiveness
of medical and surgical treatments. The studies were assessed to determine their
level of evidence. RESULTS: The majority of studies were supported by Level 4
evidence. Up to 50% of patients with recalcitrant CRS were found to have immune
dysfunction. The most frequent primary immunodeficiencies studied were common
variable immunodeficiency (CVID) and X-linked agammaglobulinemia (XLA). Common
collected data included measurement of serum immunoglobulins and functional
antibody responses. Treatments reviewed include immunoglobulin replacement, long
term antibiotics and endoscopic sinus surgery. CONCLUSION: Patients with
recalcitrant CRS should be evaluated for primary immunodeficiency. This should
include as assessment of quantitative serum immunoglobulin levels as well as
functional antibody responses. Medical therapy, particularly immunoglobulin
replacement therapy, appears to be most effective when administered at high doses
early in the disease course. The addition of surgery is less clearly supported,
but may also provide benefit if performed early.
PMID- 27187623
TI - Tickling the retina: integration of subthreshold electrical pulses can activate
retinal neurons.
AB - OBJECTIVE: The field of retinal prosthetics has made major progress over the last
decade, restoring visual percepts to people suffering from retinitis pigmentosa.
The stimulation pulses used by present implants are suprathreshold, meaning
individual pulses are designed to activate the retina. In this paper we explore
subthreshold pulse sequences as an alternate stimulation paradigm. Subthreshold
pulses have the potential to address important open problems such as fading of
visual percepts when patients are stimulated at moderate pulse repetition rates
and the difficulty in preferentially stimulating different retinal pathways.
APPROACH: As a first step in addressing these issues we used Gaussian white noise
electrical stimulation combined with spike-triggered averaging to interrogate
whether a subthreshold sequence of pulses can be used to activate the mouse
retina. MAIN RESULTS: We demonstrate that the retinal network can integrate
multiple subthreshold electrical stimuli under an experimental paradigm
immediately relevant to retinal prostheses. Furthermore, these characteristic
stimulus sequences varied in their shape and integration window length across the
population of retinal ganglion cells. SIGNIFICANCE: Because the subthreshold
sequences activate the retina at stimulation rates that would typically induce
strong fading (25 Hz), such retinal 'tickling' has the potential to minimize the
fading problem. Furthermore, the diversity found across the cell population in
characteristic pulse sequences suggests that these sequences could be used to
selectively address the different retinal pathways (e.g. ON versus OFF). Both of
these outcomes may significantly improve visual perception in retinal implant
patients.
PMID- 27187625
TI - Trifluoromethylallylation of Heterocyclic C-H Bonds with Allylic Carbonates under
Rhodium Catalysis.
AB - The rhodium(III)-catalyzed gamma-trifluoromethylallylation of various
heterocyclic C-H bonds with CF3-substituted allylic carbonates is described.
These reactions provide direct access to linear CF3-containing allyl frameworks
with complete trans-selectivity via C-H bond activation followed by a formal SN
type reaction pathway.
PMID- 27187626
TI - Bowel Preparation in Awake Craniotomy: An Overlooked Entity.
PMID- 27187628
TI - Cognitive Functioning After Surgery in Middle-aged and Elderly Danish Twins.
PMID- 27187627
TI - Evaluation of the Effect of Aneurysmal Clipping on Electrocardiography and
Echocardiographic Changes in Patients With Subarachnoid Hemorrhage: A Prospective
Observational Study.
AB - BACKGROUND: Electrocardiographic (ECG) and echocardiographic changes that are
subsequent to aneurysmal subarachnoid hemorrhage (a-SAH) are commonly observed
with a prevalence varying from 27% to 100% and 13% to 18%, respectively. There
are sparse data in the literature about the pattern of ECG and echocardiographic
changes in patients with SAH after clipping of the aneurysm. Hence, we observed
the effect of aneurysmal clipping on ECG and echocardiographic changes during the
first week after surgery, and the impact of these changes on outcome at the end
of 1 year. MATERIALS AND METHODS: This prospective, observational study was
conducted in 100 consecutive patients with a-SAH undergoing clipping of ruptured
aneurysm. ECG and echocardiographic changes were recorded preoperatively and
every day after surgery until 7 days. Outcome was evaluated using the Glasgow
outcome scale at the end of 1 year. RESULTS: Of 100 patients, 75 had ECG changes
and 17 had echocardiographic changes preoperatively. The ECG changes observed
were QTc prolongation, conduction defects, ST-wave and T-wave abnormalities,
tachyarrhythmias, and bradyarrhythmias. The echocardiography changes included
global hypokinesia and regional wall motion abnormalities. Both echocardiographic
and ECG changes showed significant recovery on the first postoperative day.
Patients presenting with both echocardiographic and ECG changes were found to
require higher ionotropic support to maintain the desired blood pressure, and
were associated with poor outcome (Glasgow outcome scale, 1 to 2) at 1 year after
surgery. There was no association of ECG and echocardiographic changes with
mortality (both in-hospital or at 1 year). CONCLUSIONS: The ECG changes, such as
QTc prolongation, bradycardia, conduction abnormality, and echocardiographic
changes, recover on postoperative day-1, in most of the cases after clipping.
Patients with combined ECG and echocardiographic changes tend to have poor
neurological outcome at the end of 1 year.
PMID- 27187630
TI - Lead Relative Bioavailability in Lip Products and Their Potential Health Risk to
Women.
AB - Recent studies have investigated lead (Pb) concentrations in lip products but
little is known about its oral bioavailability. In this study, 75 lipsticks and
18 lip glosses were assessed for Pb concentration, while 15 samples were assessed
for Pb relative bioavailability (RBA, relative to Pb acetate absorption) using a
mouse femur assay. Lead concentrations were 0.2-10 185 mg kg(-1), with 21 samples
exceeding the Chinese limit of 40 mg kg(-1). Samples with orange and pink colors
and/or low cost contained higher Pb concentrations. For samples with Pb > 7500 mg
kg(-1), Pb was present due to the addition of lead chromate (PbCrO4) as a
colorant, which was confirmed by X-ray absorption near-edge structure analysis.
Lead-RBA in 15 samples (87-10 185 mg kg(-1)) ranged from 23% to 95%, being
significantly higher in moderate Pb (56-95%; 87-300 mg kg(-1)) than high Pb
samples (23-48%; >300 mg kg(-1)). The calculation of Pb intake based on Pb-RBA
showed that lip product ingestion contributed 5.4-68% of the aggregate Pb
exposure for women depending on Pb concentration. The high Pb concentration in
some lip products together with their moderate Pb-RBA suggests that lip product
ingestion is a potential health concern to women.
PMID- 27187631
TI - Etiology of bone and joint infections: a case series of 363 consecutive patients
from an orthopaedic infection unit.
AB - BACKGROUND: Bone and joint infections remain a clinical challenge with
potentially serious consequences. Nevertheless there is a lack of studies with
strict criteria for diagnosis and etiology. The primary aim of this study was to
determine the causative agents in orthopaedic infections using strict diagnostic
criteria for infection and etiology. The secondary aim was to assess the timing
of post-operative infections in relation to pathogens and to compare causative
bacteria in different parts of the body. METHODS: A retrospective registry study
of 363 consecutive cases of bone and joint infections was performed.
Microbiological data on sampling and culture results were registered. RESULTS:
Staphylococcus aureus dominated in both operated (45%) and non-operated (44%)
patients, followed in frequency by coagulase-negative staphylococci (CoNS) in
operated patients (11%) and beta-haemolytic streptococci in non-operated patients
(16%) (p < 0.001). There were no polymicrobial infections in non-surgical cases
(p < 0.001). For operated patients, Gram-negative bacilli were observed in 6%,
almost exclusively isolated from the lower extremity. Propionibacterium spp. was
the most common finding after spinal surgery. In 90/363 (25%), the agent
responsible for the infection could not be defined according to the strict
criteria used. CONCLUSION: S. aureus dominated as etiological agent in all bone
and joint infections, including operated patient given peri-operative
prophylaxis. Improved timing of antibiotic prophylaxis seen after the
introduction of the Swedish national project PRISS may have changed this. The
number of infections with uncertain etiology was high, stressing the importance
of more studies on diagnostics, as well as strict diagnostic algorithms.
PMID- 27187633
TI - Aun (n = 1,11) Clusters Interacting With Lone-Pair Ligands.
AB - We analyze the pattern of binding energies (BEs) of small Aun clusters (n = 1-7,
11) with lone-pair ligands (L = H2O, SH2, NH3, PH3, PF3, PCl3, and PMe3)
employing the density functional theory. We use PBE0 functional with the
dispersion correction and scalar relativistic effective core potential. This
approach provides correct BEs when compared with benchmark CCSD(T) calculations
for Au-L and Au2-L complexes. The pattern of BEs of Aun-L complexes is irregular
with BE for Au3 ~ Au4 > Au2 > Au7 > Au5 > Au11 > Au6 > Au1. Electron affinities
(EAs) of Aun clusters exhibit oscillatory pattern with the cluster size. Binding
energies of Aun-L complexes are oscillatory as well following EAs of Aun
clusters. BEs of odd and even Aun-L complexes were analyzed separately. The
bonding mechanism in odd Aun-L complexes is dominated by the lone pair -> metal
electron donation to the singly occupied valence Aun orbital accompanied by the
back-donation. Even Aun clusters create covalent Aun-L bonds with BEs higher than
those in odd Aun-L complexes. The BEs pattern and optimized geometries of Aun-L
complexes correspond to the picture of creating the gold-ligand bond through the
lone pair of a ligand interacting with the singly occupied molecular orbital in
odd clusters or lowest unoccupied molecular orbital in even clusters of Aun.
Ligands in both odd and even Aun-L complexes form three groups with binding
energies that correlate with their ionization energies. The lowest BE is
calculated for H2O as a ligand, followed by SH2 and NH3. PX3 ligands exhibit
highest BEs.
PMID- 27187632
TI - Androgens and androgenic activity in broiler manure assessed by means of chemical
analyses and in vitro bioassays.
AB - The use of manure as an agricultural amendment is increasing the release of
steroid hormones into the environment. Most research in this field has focused on
estrogenic phenomena, with less attention paid to androgenic substances. The
present study assessed androgenic activity in broiler manure using in vitro
approaches based on cells stably transfected with androgen receptor. Leaching
experiments were also performed to observe whether endocrine disruptors present
in manure pass through a soil column and potentially reach groundwater. In
parallel, an analytical chemistry method was used to determine the contribution
of the most important natural androgens to androgenicity. Samplings were
performed at 4 farms in 2 seasons. All but 2 samples showed androgen activity. In
leakage experiments, however, no androgenic activity was detectable in leachates
or in soils after leaching. According to the analytical results, androgenicity
can be attributed mainly (but not completely) to androstenedione, and
dihydrotestosterone. Similarly to the bioassays, chemical analysis did not reveal
the presence of any androgen in leachates or soils. These results point to a
rapid degradation of the substances responsible for androgenic activity in soils
under the experimental conditions of the present study. However, the long-term
effects associated with the constant and intensive application of manure to
agricultural land require further attention. Environ Toxicol Chem 2017;36:1746
1754. (c) 2016 SETAC.
PMID- 27187635
TI - Does C-reactive protein monitoring after colorectal resection with anastomosis
give any practical benefit for patients with intra-abdominal septic
complications?
AB - AIM: This study aimed to assess the influence of the C-reactive protein (CRP)
level on the early outcome after elective colorectal resection. METHOD: Patients
with colorectal cancer operated on between 2006 and 2013 were identified
retrospectively. They were divided into a study group operated on between 2010
and 2013 when CRP was measured routinely on the fourth postoperative day and a
control group operated on between 2006 and 2009 when the CRP level was not
measured routinely. Mortality, intra-abdominal septic complications (IASC),
abscesses and anastomotic leakage (AL), the need for reoperation, the interval
from index surgery to relaparotomy, length of hospital stay and imaging studies
were compared by multivariate analysis. RESULTS: A total of 1189 patients were
assessed, including 598 (50.3%) in the study group (mean age 61.3 +/- 13 years;
282 female) and 591 (49.7%) in the control group (mean age 61.8 +/- 11 years; 267
female). There were seven (1.2%) postoperative deaths in the study group and nine
(1.5%) in the control group (P = 0.598). Abdominal ultrasound (US) was performed
more often in the study group [97 (16.2%) vs 71 (12.0%); P = 0.037]. In the study
group the interval to diagnosis of IASC was shorter than in the control group
(5.7 +/- 1.5 days vs 7.3 +/- 1.3 days; P = 0.029). The decision to reoperate was
also made earlier in the study group (6.2 +/- 1.7 days vs 7.4 +/- 2.8 days; P =
0.043). CONCLUSION: Routine measurement of CRP can help to make an earlier
diagnosis of IASC and earlier decision for relaparotomy, without any influence on
mortality or length of hospital stay.
PMID- 27187634
TI - Total Synthesis of Delta(12) -Prostaglandin J3 : Evolution of Synthetic
Strategies to a Streamlined Process.
AB - The total synthesis of Delta(12) -prostaglandin J3 (Delta(12) -PGJ3 , 1), a
reported leukemia stem cell ablator, through a number of strategies and tactics
is described. The signature cross-conjugated dienone structural motif of 1 was
forged by an aldol reaction/dehydration sequence from key building blocks enone
13 and aldehyde 14, whose lone stereocenters were generated by an asymmetric
Tsuji-Trost reaction and an asymmetric Mukaiyama aldol reaction, respectively.
During this program, a substituent-governed regioselectivity pattern for the Rh
catalyzed C-H functionalization of cyclopentenes and related olefins was
discovered. The evolution of the synthesis of 1 from the original strategy to the
final streamlined process proceeded through improvements in the construction of
both fragments 13 and 14, exploration of the chemistry of the hitherto
underutilized chiral lactone synthon 57, and a diastereoselective alkylation of a
cyclopentenone intermediate. The described chemistry sets the stage for large
scale production of Delta(12) -PGJ3 and designed analogues for further biological
and pharmacological studies.
PMID- 27187637
TI - Structural analysis of an active fungal PRC2.
AB - Crystal structure determination of an active polycomb repressive complex 2 (PRC2)
from a thermophilic fungus, Chaetomium thermophilum, revealed some long-sought
structural mechanisms for assembly, catalysis, and regulation of this important
enzyme complex, responsible for trimethylation of histone H3K27 (H3K27me3) and
silencing of developmentally regulated genes. In light of the crystal structures
of the fungal PRC2 captured in the basal and H3K27me3-stimulated states as well
as the structural analysis published previously, (1) we examined surface
conservation and electrostatic potential distribution to provide additional
insights into functional similarity and divergence between the fungal and human
PRC2 and for PRC2 binding by nucleic acids. Structure comparison indicated a
conformational change of the catalytic SET domain within PRC2 during transition
from the inactive to active state. This conserved structural mechanism is also
used by another histone methyltransferase family associated with gene activation
for enzyme regulation and may underlie the allosteric stimulation of PRC2 as
well.
PMID- 27187639
TI - Hemophagocytic Lymphohistiocytosis With Secondary Atrioventricular Block Type II
in a Child.
PMID- 27187661
TI - Spin Frustration and Magnetic Ordering from One-Dimensional Stacking of Cr3
Triangles in TiCrIr2B2.
AB - Spin-frustrated chains of Cr3 triangles are found in the new metal boride
TiCrIr2B2 by synergistic experimental and theoretical investigations. Although
magnetic ordering is found at 275 K, competing ferro- and anti-ferromagnetic
interactions coupled with spin frustration induce a rather small total magnetic
moment (0.05 MUB at 5 T), and density functional theory (DFT) calculations
propose a canted, nonlinear magnetic ground-state ordering in the new phase.
TiCrIr2B2 crystallizes in the hexagonal Ti1+xOs2-xRuB2 structure type (space
group P62m, No. 189, Pearson symbol hP18). The structure contains trigonal planar
B4 boron fragments with B-B distances of 1.76(3) A alternating along the c
direction with Cr3 triangles with intra- and intertriangle Cr-Cr distances of
2.642(9) and 3.185(1) A, respectively. Magnetization measurements of TiCrIr2B2
reveal ferrimagnetic behavior and a large, negative Weiss constant of -750 K. DFT
calculations demonstrate a strong site preference of Cr for the triangle sites,
as well as magnetic frustration due to indirect anti-ferromagnetic interactions
within the Cr3 triangles.
PMID- 27187638
TI - Scaffold Diversity Synthesis and Its Application in Probe and Drug Discovery.
AB - Scaffold diversity is a crucial feature of compound collections that has a huge
impact on their success in biological screenings. The synthesis of highly complex
and diverse scaffolds, which could be based on natural products, for example, is
an arduous task that requires expertise in various aspects of organic synthesis
and structural analysis. This challenge has been addressed by a number of
synthesis designs, which employ natural products as a source of scaffold
diversity, transform suitably designed common intermediates into various
molecular frameworks, or entail highly concise synthetic routes to a number of
distinct and complex scaffolds. In this Minireview, we highlight recent synthetic
developments towards the construction of diverse and complex scaffolds and the
application of the resulting compound collections in drug and probe discovery.
PMID- 27187662
TI - Influence of the cytochrome P450 2D6 *10/*10 genotype on the pharmacokinetics of
paroxetine in Japanese patients with major depressive disorder: a population
pharmacokinetic analysis.
AB - OBJECTIVE: Although the reduced function of the cytochrome P450 2D6*10
(CYP2D6*10) allele is common among Asian populations, existing evidence does not
support paroxetine therapy adjustments for patients who have the CYP2D6*10
allele. In this study, we attempted to evaluate the degree of the impact of
different CYP2D6 genotypes on the pharmacokinetic (PK) variability of paroxetine
in a Japanese population using a population PK approach. METHODS: This
retrospective study included 179 Japanese patients with major depressive disorder
who were being treated with paroxetine. CYP2D6*1, *2, *5, *10, and *41
polymorphisms were observed. A total of 306 steady-state concentrations for
paroxetine were collected from the patients. A nonlinear mixed-effects model
identified the apparent Michaelis-Menten constant (Km) and the maximum velocity
(Vmax) of paroxetine; the covariates included CYP2D6 genotypes, patient age, body
weight, sex, and daily paroxetine dose. RESULTS: The allele frequencies of
CYP2D6*1, *2, *5, *10, and *41 were 39.4, 14.5, 4.5, 41.1, and 0.6%,
respectively. There was no poor metabolizer who had two nonfunctional CYP2D6*5
alleles. A one-compartment model showed that the apparent Km value was decreased
by 20.6% in patients with the CYP2D6*10/*10 genotype in comparison with the other
CYP2D6 genotypes. Female sex also influenced the apparent Km values. No PK
parameters were affected by the presence of one CYP2D6*5 allele. CONCLUSION:
Unexpectedly, elimination was accelerated in individuals with the CYP2D6*10/*10
genotype. Our results show that the presence of one CYP2D6*5 allele or that of
any CYP2D6*10 allele may have no major effect on paroxetine PKs in the steady
state.
PMID- 27187663
TI - Human dihydrofolate reductase and thymidylate synthase form a complex in vitro
and co-localize in normal and cancer cells.
AB - Enzymes involved in thymidylate biosynthesis, thymidylate synthase (TS), and
dihydrofolate reductase (DHFR) are well-known targets in cancer chemotherapy. In
this study, we demonstrated for the first time, that human TS and DHFR form a
strong complex in vitro and co-localize in human normal and colon cancer cell
cytoplasm and nucleus. Treatment of cancer cells with methotrexate or 5
fluorouracil did not affect the distribution of either enzyme within the cells.
However, 5-FU, but not MTX, lowered the presence of DHFR-TS complex in the
nucleus by 2.5-fold. The results may suggest the sequestering of TS by FdUMP in
the cytoplasm and thereby affecting the translocation of DHFR-TS complex to the
nucleus. Providing a strong likelihood of DHFR-TS complex formation in vivo, the
latter complex is a potential new drug target in cancer therapy. In this paper,
known 3D structures of human TS and human DHFR, and some protozoan bifunctional
DHFR-TS structures as templates, are used to build an in silico model of human
DHFR-TS complex structure, consisting of one TS dimer and two DHFR monomers. This
complex structure may serve as an initial 3D drug target model for prospective
inhibitors targeting interfaces between the DHFR and TS enzymes.
PMID- 27187665
TI - A review of principles in design and usability testing of tactile technology for
individuals with visual impairments.
AB - To lay the groundwork for devising, improving, and implementing new technologies
to meet the needs of individuals with visual impairments, a systematic literature
review was conducted to: a) describe hardware platforms used in assistive
devices, b) identify their various applications, and c) summarize practices in
user testing conducted with these devices. A search in relevant EBSCO databases
for articles published between 1980 and 2014 with terminology related to visual
impairment, technology, and tactile sensory adaptation yielded 62 articles that
met the inclusion criteria for final review. It was found that while earlier
hardware development focused on pin matrices, the emphasis then shifted toward
force feedback haptics and accessible touch screens. The inclusion of interactive
and multimodal features has become increasingly prevalent. The quantity and
consistency of research on navigation, education, and computer accessibility
suggest that these are pertinent areas of need for the visually impaired
community. Methodologies for usability testing ranged from case studies to larger
cross-sectional studies. Many studies used blindfolded sighted users to draw
conclusions about design principles and usability. Altogether, the findings
presented in this review provide insight on effective design strategies and user
testing methodologies for future research on assistive technology for individuals
with visual impairments.
PMID- 27187664
TI - Different pattern of viral infections and clinical outcomes in patient with acute
exacerbation of chronic obstructive pulmonary disease and chronic obstructive
pulmonary disease with pneumonia.
AB - Respiratory viruses are well-known causes of acute exacerbation of chronic
obstructive pulmonary disease (AE-COPD) and also important pathogens for
concomitant pneumonia in COPD (CP-COPD). However, the differences in a viral
infection pattern and clinical impacts of respiratory viruses between the two
groups have not been well investigated. The clinical and microbiological data
from COPD patients admitted with AE-COPD (n = 281) or CP-COPD (n = 284) between
January 2010 and December 2012 were reviewed. After excluding 88 patients (40
with AE-COPD and 48 with CP-COPD) who did not undergo a multiplex RT-PCR test for
respiratory viruses, the demographic characteristics, identified viruses, and
clinical outcomes of the AE-COPD and CP-COPD groups were compared. Respiratory
viruses were identified in 41.9% of AE-COPD group and 33.5% of the CP-COPD
groups. The most common virus was influenza virus in the AE-COPD group (33.7%)
versus human coronavirus (24.1%) in the CP-COPD group. Influenza virus was
significantly more common in the AE-ACOPD group than in the CP-COPD group (P <
0.01). In-hospital mortality of AE-COPD and CP-COPD were 1.2% and 12.3%,
respectively (P < 0.01). Among CP-COPD patients, in-hospital mortality of
patients with only viral infection group, only bacterial infection group, and
viral-bacterial co-infection were 2.6%, 25.8%, and 17.5%, respectively (P =
0.01). Respiratory viruses were commonly identified in both AE-COPD and CP-COPD,
influenza virus and human coronavirus were the most common viruses identified in
AE-COPD and CP-COPD patients, respectively. The mortality rates of only viral
infection group was significantly lower than only bacterial infection or viral
bacterial co-infection group in CP-COPD patients. J. Med. Virol. 88:2092-2099,
2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27187666
TI - Successful treatment of perianal condylomata acuminata with ingenol mebutate gel.
PMID- 27187679
TI - Correction to: Infection in cystic fibrosis: impact of the environment and
climate.
PMID- 27187667
TI - Simultaneous Hosting of Positive and Negative Trions and the Enhanced Direct Band
Emission in MoSe2/MoS2 Heterostacked Multilayers.
AB - Heterostacking of layered transition-metal dichalcogenide (LTMD) monolayers (1Ls)
offers a convenient way of designing two-dimensional exciton systems. Here we
demonstrate the simultaneous hosting of positive trions and negative trions in
heterobilayers made by vertically stacking 1L MoSe2 and 1L MoS2. The charge
transfer occurring between the 1Ls of MoSe2 and MoS2 converted the polarity of
trions in 1L MoSe2 from negative to positive, resulting in the presence of
positive trions in the 1L MoSe2 and negative trions in the 1L MoS2 of the same
heterostacked bilayer. Significantly enhanced MoSe2 photoluminescence (PL) in the
heterostacked bilayers compared to the PL of 1L MoSe2 alone suggests that, unlike
other previously reported heterostacked bilayers, direct band transition of 1L
MoSe2 in heterobilayer was enhanced after the vertical heterostacking. Moreover,
by inserting hexagonal BN monolayers between 1L MoSe2 and 1L MoS2, we were able
to adjust the charge transfer to maximize the MoSe2 PL of the heteromultilayers
and have achieved a 9-fold increase of the PL emission. The enhanced optical
properties of our heterostacked LTMDs suggest the exciting possibility of
designing LTMD structures that exploit the superior optical properties of 1L
LTMDs.
PMID- 27187680
TI - Bridging the schism of schizophrenia through yoga-Review of putative mechanisms.
AB - Schizophrenia patients experience a 'disconnect' at multiple levels-neuronal
networks, mental processes, and interpersonal relationships. The resultant poor
quality-of-life and functional disability are related to the persistent cognitive
deficits and negative symptoms, which are rather resistant to conventional
antipsychotic medications. Yoga has emerged as an important therapeutic
intervention to improve quality-of-life in schizophrenia. Recent preliminary
evidence suggests that effects of yoga on cognitive and negative symptoms may
drive this benefit. This study attempts to integrate evidence from neuroscience
based research, which focuses on the neuroplasticity-harnessing effects of yoga
to bridge the schizophrenia connectopathy. In an overarching model to study
putative neurobiological mechanisms that drive therapeutic effects of yoga, it is
proposed that (a) various styles of meditation may help in strengthening the
lateral and medial prefrontal brain networks, thus improving neurocognition and
mentalizing abilities, and (b) learning and performing co-ordinated physical
postures with a teacher facilitates imitation and the process of being imitated,
which can improve social cognition and empathy through reinforcement of the
premotor and parietal mirror neuron system. Oxytocin may play a role in mediating
these processes, leading to better social connectedness and social outcomes.
Clinical and heuristic implications of this model are further discussed.
PMID- 27187681
TI - Emerging Applications of Abdominal 4D Flow MRI.
AB - OBJECTIVE: Comprehensive assessment of abdominal hemodynamics is crucial for many
clinical diagnoses but is challenged by a tremendous complexity of anatomy,
normal physiology, and a wide variety of pathologic abnormalities. This article
introduces 4D flow MRI as a powerful technique for noninvasive assessment of the
hemodynamics of abdominal vascular territories. CONCLUSION: Four-dimensional flow
MRI provides clinicians with a more extensive and straightforward approach to
evaluate disorders that affect blood flow in the abdomen. This review presents a
series of clinical cases to illustrate the utility of 4D flow MRI in the
comprehensive assessment of the abdominal circulation.
PMID- 27187683
TI - Loss of N-acetylgalactosaminyltransferase 3 in poorly differentiated pancreatic
cancer: augmented aggressiveness and aberrant ErbB family glycosylation.
AB - BACKGROUND: Aberrant glycosylation of several proteins underlie pancreatic ductal
adenocarcinoma (PDAC) progression and metastasis. O-glycosylation is initiated by
a family of enzymes known as polypeptide N-acetylgalactosaminyl transferases
(GalNAc-Ts/GALNTs). In this study, we investigated the role of the O
glycosyltransferase GALNT3 in PDAC. METHODS: Immunohistochemistry staining of
GALNT3 was performed on normal, inflammatory and neoplastic pancreatic tissues.
Several in vitro functional assays such as proliferation, colony formation,
migration and tumour-endothelium adhesion assay were conducted in GALNT3
knockdown PDAC cells to investigate its role in disease aggressiveness.
Expression of signalling molecules involved in growth and motility was evaluated
using western blotting. Effect of GALNT3 knockdown on glycosylation was examined
by lectin pull-down assay. RESULTS: N-acetylgalactosaminyl transferase 3
expression is significantly decreased in poorly differentiated PDAC cells and
tissues as compared with well/moderately differentiated PDAC. Further, knockdown
of GALNT3 resulted in increased expression of poorly differentiated PDAC markers,
augmented growth, motility and tumour-endothelium adhesion. Pull-down assay
revealed that O-glycans (Tn and T) on EGFR and Her2 were altered in PDAC cells,
which was accompanied by their increased phosphorylation. CONCLUSIONS: Our study
indicates that loss of GALNT3 occurs in poorly differentiated PDAC, which is
associated with the increased aggressiveness and altered glycosylation of ErbB
family proteins.
PMID- 27187684
TI - A population-based analysis of secondary malignancies in breast cancer patients
receiving breast reconstruction.
AB - BACKGROUND: There is an ongoing debate about the relationship between breast
implants and secondary malignancies. METHODS: Breast cancer patients undergoing
surgical reconstruction after mastectomy by either implants or autologous flap
were identified in the Surveillance, Epidemiology and End Results registry
between 1998 and 2002. The occurrence of secondary malignancies at least 1 year
after diagnosis was compared between breast reconstruction with implants vs
autologous flap. RESULTS: Of 7955 women, 3727 underwent reconstruction using
implants and 4228 using autologous flap. The incidence of secondary tumours was
similar in both the groups (hazards ratio (HR)=1.02, 95% confidence interval
(CI): 0.82-1.26, P=0.880). For lung cancer, a significantly increased risk for
implants (HR=2.51, 95% CI: 1.28-4.95, P=0.005) was observed. CONCLUSIONS: Except
for lung cancer, no association between implants and secondary malignancies
including lymphomas was observed.
PMID- 27187682
TI - The search for true numbers of neurons and glial cells in the human brain: A
review of 150 years of cell counting.
AB - For half a century, the human brain was believed to contain about 100 billion
neurons and one trillion glial cells, with a glia:neuron ratio of 10:1. A new
counting method, the isotropic fractionator, has challenged the notion that glia
outnumber neurons and revived a question that was widely thought to have been
resolved. The recently validated isotropic fractionator demonstrates a
glia:neuron ratio of less than 1:1 and a total number of less than 100 billion
glial cells in the human brain. A survey of original evidence shows that
histological data always supported a 1:1 ratio of glia to neurons in the entire
human brain, and a range of 40-130 billion glial cells. We review how the claim
of one trillion glial cells originated, was perpetuated, and eventually refuted.
We compile how numbers of neurons and glial cells in the adult human brain were
reported and we examine the reasons for an erroneous consensus about the relative
abundance of glial cells in human brains that persisted for half a century. Our
review includes a brief history of cell counting in human brains, types of
counting methods that were and are employed, ranges of previous estimates, and
the current status of knowledge about the number of cells. We also discuss
implications and consequences of the new insights into true numbers of glial
cells in the human brain, and the promise and potential impact of the newly
validated isotropic fractionator for reliable quantification of glia and neurons
in neurological and psychiatric diseases. J. Comp. Neurol. 524:3865-3895, 2016.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27187685
TI - Levels of matrix metalloproteinases differ in plasma and serum - aspects
regarding analysis of biological markers in cancer.
AB - BACKGROUND: There are inconsistencies in the use of serum or plasma when
analysing the matrix metalloproteinases (MMPs) as diagnostic or prognostic
markers. The purpose of this study was to compare the concentration of MMP-1, -2,
-7, -8, -9 and -13 in serum vs plasma samples. METHODS: Blood samples were
obtained from sixty-five men and women. Samples were analysed for levels of MMPs
in corresponding citrate plasma and serum. RESULTS: All MMPs expressed higher
concentration in serum compared with plasma (P<0.01). There were no differences
between genders. CONCLUSIONS: Present study demonstrated significant differences
regarding concentrations of some MMPs using plasma vs serum. We conclude that
future studies regarding MMPs as biological markers in cancer should consider the
use of citrate plasma instead of serum.
PMID- 27187686
TI - MED12 mutations and FH inactivation are mutually exclusive in uterine leiomyomas.
AB - BACKGROUND: Uterine leiomyomas from hereditary leiomyomatosis and renal cell
cancer (HLRCC) patients are driven by fumarate hydratase (FH) inactivation or
occasionally by mediator complex subunit 12 (MED12) mutations. The aim of this
study was to analyse whether MED12 mutations and FH inactivation are mutually
exclusive and to determine the contribution of MED12 mutations on HLRCC patients'
myomagenesis. METHODS: MED12 exons 1 and 2 mutation screening and 2SC
immunohistochemistry indicative for FH deficiency was performed on a
comprehensive series of HLRCC patients' (122 specimens) and sporadic (66
specimens) tumours. Gene expression analysis was performed using Affymetrix
GeneChip Human Exon Arrays (Affymetrix, Santa Clara, CA, USA). RESULTS: Nine
tumours from HLRCC patients harboured a somatic MED12 mutation and were negative
for 2SC immunohistochemistry. All remaining successfully analysed lesions
(107/116) were deficient for FH. Of sporadic tumours, 35/64 were MED12 mutation
positive and none displayed a FH defect. In global gene expression analysis FH
deficient tumours clustered together, whereas HLRCC patients' MED12 mutation
positive tumours clustered together with sporadic MED12 mutation-positive
tumours. CONCLUSIONS: Somatic MED12 mutations and biallelic FH inactivation are
mutually exclusive in both HLRCC syndrome-associated and sporadic uterine
leiomyomas. The great majority of HLRCC patients' uterine leiomyomas are caused
by FH inactivation, but incidental tumours driven by somatic MED12 mutations also
occur. These MED12 mutation-positive tumours display similar expressional
profiles with their sporadic counterparts and are clearly separate from FH
deficient tumours.
PMID- 27187687
TI - A nomogram for predicting complications in patients with solid tumours and
seemingly stable febrile neutropenia.
AB - BACKGROUND: We sought to develop and externally validate a nomogram and web-based
calculator to individually predict the development of serious complications in
seemingly stable adult patients with solid tumours and episodes of febrile
neutropenia (FN). PATIENTS AND METHODS: The data from the FINITE study (n=1133)
and University of Salamanca Hospital (USH) FN registry (n=296) were used to
develop and validate this tool. The main eligibility criterion was the presence
of apparent clinical stability, defined as events without acute organ
dysfunction, abnormal vital signs, or major infections. Discriminatory ability
was measured as the concordance index and stratification into risk groups.
RESULTS: The rate of infection-related complications in the FINITE and USH series
was 13.4% and 18.6%, respectively. The nomogram used the following covariates:
Eastern Cooperative Group (ECOG) Performance Status ?2, chronic obstructive
pulmonary disease, chronic cardiovascular disease, mucositis of grade ?2
(National Cancer Institute Common Toxicity Criteria), monocytes <200/mm(3), and
stress-induced hyperglycaemia. The nomogram predictions appeared to be well
calibrated in both data sets (Hosmer-Lemeshow test, P>0.1). The concordance index
was 0.855 and 0.831 in each series. Risk group stratification revealed a
significant distinction in the proportion of complications. With a ?116-point
cutoff, the nomogram yielded the following prognostic indices in the USH registry
validation series: 66% sensitivity, 83% specificity, 3.88 positive likelihood
ratio, 48% positive predictive value, and 91% negative predictive value.
CONCLUSIONS: We have developed and externally validated a nomogram and web
calculator to predict serious complications that can potentially impact decision
making in patients with seemingly stable FN.
PMID- 27187690
TI - Preterm Birth, Age at School Entry and Long Term Educational Achievement.
AB - OBJECTIVE: To investigate if the detrimental impact of year of entering education
in preterm infants persists into adolescence. BACKGROUND: Preterm infants are
often enrolled in school a year earlier than would be expected if this decision
is based on their actual date of birth rather than their due date. Initially
these infants appear to do disproportionately worse than those who do not 'skip'
a year. However, it is unclear if this effect remains as the infants grow, to
have an important effect on long term achievements in education. DESIGN: A cohort
study, drawn from the Avon Longitudinal Study of Parents and Children (ALSPAC).
The exposure measurement was gestational age (defined as preterm (<37 weeks
gestation) or term (37-42 weeks)). The primary outcome was a low score at the Key
Stage 4 (KS4) educational assessment or receiving special educational needs
support (both at age 16). We derived conditional regression models matching
preterm to term infants on their date of birth (DOB), their expected date of
delivery (EDD), or their expected date of delivery and year of school entry.
RESULTS: After matching for DOB, preterm infants had an increased odds of SEN (OR
1.57 (1.33-1.86)) and the association remained after adjusting for potential
confounders (OR 1.39 (1.14-1.68)). The association remained in the analysis
matching for EDD (fully adjusted OR 1.43 (1.17-1.74)) but attenuated after
restricting to those infants who were enrolled in school in the same year as the
control infants (fully adjusted OR 1.21 (0.97-1.52)). There was less evidence for
an impact of prematurity on the KS4 score (Matched for DOB; OR 1.10 (0.91 to
1.34), matched for EDD OR 1.17 (0.96 to 1.42) and EDD and same year of schooling,
OR 1.00 (0.80 to 1.26)). CONCLUSIONS: This modifiable effect of going to school a
year earlier than predicted by their due date appears to have measurable
consequences for ex-preterm infants in adolescence and is likely to limit
adulthood opportunities.
PMID- 27187689
TI - Sticky Genomes: Using NGS Evidence to Test Hybrid Speciation Hypotheses.
AB - Hypotheses of hybrid origin are common. Here we use next generation sequencing to
test a hybrid hypothesis for a non-model insect with a large genome. We compared
a putative hybrid triploid stick insect species (Acanthoxyla geisovii) with its
putative paternal diploid taxon (Clitarchus hookeri), a relationship that
provides clear predictions for the relative genetic diversity within each genome.
The parental taxon is expected to have comparatively low allelic diversity that
is nested within the diversity of the hybrid daughter genome. The scale of genome
sequencing required was conveniently achieved by extracting mRNA and sequencing
cDNA to examine expressed allelic diversity. This allowed us to test hybrid
progenitor relationships among non-model organisms with large genomes and
different ploidy levels. Examination of thousands of independent loci avoids
potential problems produced by the silencing of parts of one or other of the
parental genomes, a phenomenon sometimes associated with the process of
stabilisation of a hybrid genome. Transcript assembles were assessed for evidence
of paralogs and/or alternative splice variants before proceeding. Comparison of
transcript assemblies was not an appropriate measure of genetic variability, but
by mapping reads back to clusters derived from each species we determined levels
of allelic diversity. We found greater cDNA sequence diversity among alleles in
the putative hybrid species (Acanthoxyla geisovii) than the non-hybrid. The
allelic diversity within the putative paternal species (Clitachus hookeri) nested
within the hybrid-daughter genome, supports the current view of a hybrid
progenitor relationship for these stick insect species. Next generation
sequencing technology provides opportunities for testing evolutionary hypotheses
with non-model organisms, including, as here, genomes that are large due to
polyploidy.
PMID- 27187692
TI - Erratum.
PMID- 27187688
TI - Telmisartan Modulates Glial Activation: In Vitro and In Vivo Studies.
AB - The circulating renin-angiotensin system (RAS), including the biologically active
angiotensin II, is a fundamental regulatory mechanism of blood pressure conserved
through evolution. Angiotensin II components of the RAS have also been identified
in the brain. In addition to pro-inflammatory cytokines, neuromodulators, such as
angiotensin II can induce (through angiotensin type 1 receptor (AT1R)) some of
the inflammatory actions of brain glial cells and influence brain inflammation.
Moreover, in Alzheimer's disease (AD) models, where neuroinflammation occurs,
increased levels of cortical AT1Rs have been shown. Still, the precise role of
RAS in neuroinflammation is not completely clear. The overall aim of the present
study was to elucidate the role of RAS in the modulation of glial functions and
AD pathology. To reach this goal, the specific aims of the present study were a.
to investigate the long term effect of telmisartan (AT1R blocker) on tumor
necrosis factor-alpha (TNF-alpha), interleukin 1-beta (IL1-beta) and nitric oxide
(NO) release from glial cells. b. to examine the effect of intranasally
administered telmisartan on amyloid burden and microglial activation in 5X
familial AD (5XFAD) mice. Telmisartan effects in vivo were compared to those of
perindopril (angiotensin converting enzyme inhibitor). Long-term-exposure of BV2
microglia to telmisartan significantly decreased lipopolysaccharide (LPS)
induced NO, inducible NO synthase, TNF-alpha and IL1-beta synthesis. The effect
of Telmisartan on NO production in BV2 cells was confirmed also in primary
neonatal rat glial cells. Intranasal administration of telmisartan (1 mg/kg/day)
for up to two months significantly reduced amyloid burden and CD11b expression (a
marker for microglia) both in the cortex and hipoccampus of 5XFAD. Based on the
current view of RAS and our data, showing reduced amyloid burden and glial
activation in the brains of 5XFAD transgenic mice, one may envision potential
intervention with the progression of glial activation and AD by using AT1R
blockers.
PMID- 27187691
TI - Farming, Foreign Holidays, and Vitamin D in Orkney.
AB - Orkney, north of mainland Scotland, has the world's highest prevalence of
multiple sclerosis (MS); vitamin D deficiency, a marker of low UV exposure, is
also common in Scotland. Strong associations have been identified between vitamin
D deficiency and MS, and between UV exposure and MS independent of vitamin D,
although causal relationships remain to be confirmed. We aimed to compare plasma
25-hydroxyvitamin D levels in Orkney and mainland Scotland, and establish the
determinants of vitamin D status in Orkney. We compared mean vitamin D and
prevalence of deficiency in cross-sectional study data from participants in the
Orkney Complex Disease Study (ORCADES) and controls in the Scottish Colorectal
Cancer Study (SOCCS). We used multivariable regression to identify factors
associated with vitamin D levels in Orkney. Mean (standard deviation) vitamin D
was significantly higher among ORCADES than SOCCS participants (35.3 (18.0) and
31.7 (21.2), respectively). Prevalence of severe vitamin D deficiency was lower
in ORCADES than SOCCS participants (6.6% to 16.2% p = 1.1 x 10(-15)). Older age,
farming occupations and foreign holidays were significantly associated with
higher vitamin D in Orkney. Although mean vitamin D levels are higher in Orkney
than mainland Scotland, this masks variation within the Orkney population which
may influence MS risk.
PMID- 27187693
TI - Screening and identification of Caulis Sinomenii bioactive ingredients with dual
target NF-kappaB inhibition and beta2- AR agonizing activities.
AB - Caulis Sinomenii (CS) is a valuable traditional medicine in China. Its extract
can act as an anti-inflammatory agent and a vascular smooth muscle relaxant.
However, the underlying mechanisms remain unknown. In this study, we developed a
simple dual-target method based on ultra-performance liquid
chromatography/quadrupole time-of-flight mass spectrometry combined with a dual
target bioactive screening assay for anti-inflammatory and antispasmodic
activities to characterize the chemical structure of various bioactive compounds
of CS rapidly. Seven potential NF-kappaB inhibitors were identified, including
laudanosoline-1-O-xylopyranose, 6-O-methyl-laudanosoline-1-O-glucopyranoside,
menisperine, sinomenine, laurifoline, magnoflorine and norsinoacutin.
Furthermore, IL-6 and IL-8 assays confirmed the anti-inflammatory effects of
these potential NF-kappaB inhibitors, in which laudanosoline-1-O-d-xylopyranose
and menisperine were revealed as novel NF-kappaB inhibitors. Among the seven
identified alkaloids, three potential beta2 -adrenergic receptor agonists,
including sinomenine, magnoflorine and laurifoline, were characterized using a
luciferase reporter system to measure for the activity of beta2 -adrenergic
receptor agonists. Finally, sinomenine, magnoflorine and laurifoline were
identified not only as potential NF-kappaB inhibitors but also as potential beta2
-adrenegic receptor agonists, which is the first time this has been reported.
Molecular dynamic simulation and docking results suggest that the three dual
bioactive constituents could not only inhibit Pseudomonas aeruginosa PAK strain
induced inflammatory responses via a negative regulation of the Braf protein that
participates in MAPK signaling pathway but also activate the beta2 -adrenegic
receptor. These results suggest that CS extract has dual signaling activities
with potential clinical application as a novel drug for asthma.
PMID- 27187694
TI - [Cognitive and social skills are necessary for safe teamwork].
AB - Most safety-critical enterprises have programs for teaching and training non
technical skills to their employees. These skills must complement pure technical
skills. Programs for teaching and introducing these skills (communication,
leadership, teamwork, decision-making, handling of conflicts and feed-back) are
developing also in health-care. It is important that non-technical skills in
combination with technical skills are seen as the foundation when developing new
and safer ways to do the daily work with patients.
PMID- 27187695
TI - [Telephone referrals to the emergency department behind a large part of the
visits. 4 out of 5 have health care contact prior to visits to the emergency
department].
AB - For unknown reasons, the number of visits to Swedish A&E Departments is on the
increase. This study was designed to research whether patients had been in
contact with the health service prior to their visit to the Orebro University
Hospital A&E Department and if so, which part of the healthcare system. Our study
revealed that 80% of patients had been in contact with the healthcare service
prior to their visit, that referrals by telephone constituted a large proportion
of visits and that the level of medical seriousness differed between the various
methods of referral.
PMID- 27187696
TI - [Glycocalyx is an active part of the endothelium].
AB - The inner side of the endothelium is covered by a thin layer of glycosylated
proteins called the glycocalyx, which binds plasma to its surface up to a total
thickness of 1-3 um. The glycocalyx governs the endothelial permeability for
macromolecules and has a key role in the regulation of microvascular perfusion.
The glycocalyx also prevents adhesion of thrombocytes to the endothelial surface
and counteracts microthrombosis by harbouring antithrombin III and thrombomodulin
in its plasma layer. Knowledge about the ultrastructure of the glycocalyx has
resulted in a revision of the Starling equation, which currently takes little
notice of the oncotic pressure of the interstitial fluid space. The glycocalyx is
involved in the initiation of the inflammatory response and is easily broken down
(shedded) in response to sepsis, trauma, surgery, and cardiovascular disease. A
shedded glycocalyx layer seems to impair the prognosis of heart failure and
kidney disease. Its role as a mediator of cardiovascular complications to
chronic disease is currently an important research topic.
PMID- 27187698
TI - [In Process Citation].
PMID- 27187697
TI - [Rheumatic heart disease behind life-threatening heart failure in pregnancy].
AB - Valvular heart disease constitutes the majority of all causes of heart disease in
pregnancy. In the presence of valvular heart disease, the necessary haemodynamic
changes of pregnancy might cause heart failure, leading to severe maternal and
fetal morbidity and even mortality. In lower-income countries, rheumatic heart
disease remains one of the major causes of death related to pregnancy [6]. In low
income countries, rheumatic heart disease is found in 60% to 80% of the pregnant
women with heart disease, and 10% to 30% have a congenital disorder including
congenital valve disorders [4]. The most common valvular lesion of rheumatic
heart disease is mitral stenosis. This valvular lesion can be the cause of
extreme disability and even mortality during pregnancy due to an increase in the
transvalvular gradient and a rise in left atrial pressure. The maternal mortality
associated with mitral stenosis is stratified by New York Heart Association
(NYHA) classification: class I, 0.1%; class II, 0.3%; class III, 5.5%; and class
IV, 6.0%. Most patients are in class I or II at presentation, but 12% to 25% of
patients are in class III or IV [14].
PMID- 27187699
TI - [CPR and the right to a dignified death].
PMID- 27187700
TI - [The foundation of health problems].
PMID- 27187701
TI - [Try-out-research during the internship stimulates further research].
PMID- 27187702
TI - [Lipid A - possible effective prophylaxis? Epigenetics may lose its importance in
allergy].
PMID- 27187703
TI - [The report "Efficient Care" lacks focus in the proposals].
PMID- 27187704
TI - [Report on medical age determination "a careless piece of work"].
PMID- 27187705
TI - [In Process Citation].
PMID- 27187706
TI - [In Process Citation].
PMID- 27187707
TI - [In Process Citation].
PMID- 27187708
TI - [In Process Citation].
PMID- 27187709
TI - [In Process Citation].
PMID- 27187710
TI - [In Process Citation].
PMID- 27187711
TI - [In Process Citation].
PMID- 27187712
TI - [In Process Citation].
PMID- 27187713
TI - [In Process Citation].
PMID- 27187714
TI - Impact of changing wind conditions on foraging and incubation success in male and
female wandering albatrosses.
AB - Wind is an important climatic factor for flying animals as by affecting their
locomotion, it can deeply impact their life-history characteristics. In the
context of globally changing wind patterns, we investigated the mechanisms
underlying recently reported increase in body mass of a population of wandering
albatrosses (Diomedea exulans) with increasing wind speed over time. We built a
foraging model detailing the effects of wind on movement statistics and
ultimately on mass gained by the forager and mass lost by the incubating partner.
We then simulated the body mass of incubating pairs under varying wind scenarios.
We tracked the frequency at which critical mass leading to nest abandonment was
reached to assess incubation success. We found that wandering albatrosses behave
as time minimizers during incubation as mass gain was independent of any movement
statistics but decreased with increasing mass at departure. Individuals forage
until their energy requirements, which are determined by their body conditions,
are fulfilled. This can come at the cost of their partner's condition as mass
loss of the incubating partner depended on trip duration. This behaviour is
consistent with strategies of long-lived species which favoured their own
survival over their current reproductive attempt. In addition, wind speed
increased ground speed which in turn reduced trip duration and males foraged
further away than females at high ground speed. Contrasted against an independent
data set, the simulation performed satisfactorily for males but less so for
females under current wind conditions. The simulation predicted an increase in
male body mass growth rate with increasing wind speed, whereas females' rate
decreased. This trend may provide an explanation for the observed increase in
mass of males but not of females. Conversely, the simulation predicted very few
nest abandonments, which is in line with the high breeding success of this
species and is contrary to the hypothesis that wind patterns impact incubation
success by altering foraging movement.
PMID- 27187715
TI - Hepatotoxicity of targeted therapy for cancer.
AB - INTRODUCTION: Understanding the mechanism of DILI with MTA, and how to avoid and
manage these toxicities is essential for minimising inferior cancer treatment
outcomes. An organised and comprehensive overview of MTA-associated
hepatotoxicity is lacking; this review aims to fill the gap. AREAS COVERED: A
literature review was performed based on published case reports and relevant
studies or articles pertaining to the topics on PubMed. Food and Drug
Administration drug information documents and search on the US National Library
of Medicine LiverTox database was performed for all relevant MTA. EXPERT OPINION:
MTA-associated hepatotoxicity is common but rarely fatal. The pattern of
hepatotoxicity is predominantly idiosyncratic. Pharmacogenomics show potential in
predicting patients at risk of poorly metabolising or developing immunoallergic
responses to MTA, but prospective data is scant. Preventing reactivation of viral
hepatitis using anti-viral drugs, and avoidance of drug combinations at high risk
of negative interactions are the most readily preventable measures for DILI.
PMID- 27187716
TI - EtAlCl2/2,6-Disubstituted Pyridine-Mediated Carboxylation of Alkenes with Carbon
Dioxide.
AB - alpha-Arylalkenes and trialkyl-substituted alkenes undergo carboxylation with CO2
in the presence of EtAlCl2 and 2,6-dibromopyridine to afford the corresponding
alpha,beta- and/or beta,gamma-unsaturated carboxylic acids. This reaction is
suggested to proceed via the electrophilic substitution of EtAlCl2 with the aid
of the base, followed by the carbonation of the resulting ate complex. This
reaction can be applied to terminal dialkylalkenes by using a mixture of 2,6-di
tert-butylpyridine and 2,6-dibromopyridine.
PMID- 27187718
TI - Development of transferosomal gel for trans-dermal delivery of insulin using
iodine complex.
AB - The main object of this current research was to examine transferosomes as a
transdermal delivery system for insulin, to overwhelm the difficulties related
with its subcutaneous delivery. Transferosomal gel formulations were prepared by
rotary evaporation sonication technique. The result revealed that insulin was
successfully entrapped (78%) in optimized formulations (2.5 I.U. of the drug and
25% of sodium cholate) with cumulative percent drug release (83.11 +/- 3.782).
The glucose lowering study revealed that the transferosomal gel with chemical
penetration enhancer showed better glucose lowering effect as compared to the
control gel. Consequently, this study authenticated that the transferosomal gel
can be used as a possible substitute to the conventional formulations of insulin
with progressive permeation characteristics for transdermal application.
PMID- 27187717
TI - Determining Chiral Configuration of Diamines via Contact Angle Measurements on
Enantioselective Alanine-Appended Benzene-Tricarboxamide Gelators.
AB - Spectroscopic techniques exist that may discern between enantiomers and assess
chiral purity. A nonspectroscopic approach that may be directly observed could
provide numerous benefits. Using chiral alanine-appended benzene-tricarboxamide
gelators, we reveal a methanol gel system that is capable of providing visual
discrimination between enantiomers of various diamines. Specifically, gelation is
induced by supramolecular nanofiber assembly resulting from interaction between a
chiral gelator and a diamine of opposing chirality (i.e., a heterochiral system).
Upon further implementing the chiral gelator in electrospun fibers as solid state
films, we revealed enantioselective surface wetting properties that allowed for
determining chirality through contact angle measurements. While these two
approaches of observable gelation and surface wetting offer nonspectroscopic
approaches, we also find that the supramolecular nanofiber assembly was able to
enhance the induced circular dichroism signal resulting from addition of chiral
diamines, allowing precise quantification of their enantiomeric purity.
PMID- 27187719
TI - Antireflective Coatings for Glass and Transparent Polymers.
AB - Antireflective coatings (ARCs) are applied to reduce surface reflections. We
review coatings that reduce the reflection of the surface of the transparent
substrates float glass, polyethylene terephthalate, poly(methyl methacrylate),
and polycarbonate. Three main coating concepts exist to lower the reflection at
the interface of a transparent substrate and air: multilayer interference
coatings, graded index coatings, and quarter-wave coatings. We introduce and
discuss these three concepts, and zoom in on porous quarter-wave coatings
comprising colloidal particles. We extensively discuss the four routes for
introducing porosity in quarter-wave coatings through the use of colloidal
particles, which have the highest potential for application: (1) packing of dense
nanospheres, (2) integration of voids through hollow nanospheres, (3) integration
of voids through sacrificial particle templates, and (4) packing of nonspherical
nanoparticles. Finally, we address the remaining challenges in the field of ARCs,
and elaborate on potential strategies for future research in this area.
PMID- 27187720
TI - Review of maintenance of response to psoriasis treatments.
AB - BACKGROUND: Biologics are highly effective treatments for moderate-severe
psoriasis with a high percentage of patients achieving 75% improvement in
Psoriasis Area Severity Index (PASI75) at 12 weeks. However, psoriasis is a
chronic disease, and long-term efficacy is critical. OBJECTIVE: Long-term
responses of systemic psoriasis treatments and how this effect is reported.
METHODS: A PubMed literature was performed to identify studies describing long
term response rates to available systemic psoriasis treatments. Within these
studies, we examined how maintenance rates were reported. All studies with long
term efficacy data ranging from 24 to 244 weeks were considered. RESULTS:
Thirteen studies met the inclusion criteria. When comparing medications at 1
year, response rates among the initial treatment groups were best with
secukinumab (65.2%) and worst with apremilast (18.7%). Among only initial
responders at 10-16 weeks who received 1 year of continuous treatment,
maintenance was best with adalimumab (95.2%) and worst with apremilast (61%).
LIMITATIONS: The different methodologies used to report maintenance of response
over time make it difficult to compare response rates across drugs studied in
different trials. CONCLUSION: Long-term response rates of systemic treatment of
psoriasis are high. Effect may be influenced by many factors and can be analyzed
in different ways, making comparisons across different trials difficult.
PMID- 27187721
TI - Resection vs. ablation for alpha-fetoprotein positive hepatocellular carcinoma
within the Milan criteria: a propensity score analysis.
AB - BACKGROUND & AIMS: The lack of histopathological confirmation of hepatocellular
carcinoma (HCC) diagnosis for patients receiving ablation may result in
misdiagnosis of benign liver nodule as HCC occasionally, contributing to false
treatment efficacy. This underestimated issue is one reason why the ablation
efficacy remains undetermined compared with hepatic resection. Our aim is to
compare the efficacy of ablation and resection for HCC within the Milan criteria
after excluding the impact of misdiagnosis. METHODS: Alpha-fetoprotein > 200
ng/ml was introduced as an inclusion criterion to improve diagnosis accuracy. A
total of 435 (resection, 310; ablation, 125) HCC patients within the Milan
criteria and without portal hypertension were enrolled. Propensity score matching
analysis identified 259 (resection, 150; ablation, 109) patients to compare
treatment efficacy. RESULTS: Before matching, the survival of resection group
were superior to ablation group with 5-year overall survival (OS) rate of 77.6%
vs. 53.8% (P < 0.001), respectively, and 5-year recurrence-free survival (RFS)
rate of 57.2% vs. 29.1% (P < 0.001) respectively. After matching, the baseline
was well-balanced between the two groups. The 5-year OS rates were 71.5% vs.
51.3% (P < 0.001), and 5-year RFS rates were 56.1% vs. 25.6% (P < 0.001) for the
resection and ablation groups respectively. Cox regression analysis identified
ablation as an independent predictor for mortality and tumour recurrence (HR:
2.123 and 2.308, respectively; both P < 0.01). CONCLUSIONS: Hepatic resection
provides better OS and RFS than ablation for alpha-fetoprotein positive HCC
patients within the Milan criteria and without portal hypertension.
PMID- 27187723
TI - Correspondence: On the nature of strong piezoelectricity in graphene on SiO2.
PMID- 27187724
TI - Making the Least Reactive Electrophile the First in Class: Domino Electrophilic
Activation of Amides.
AB - The electrophilic activation of amides, especially by the action of
trifluoromethanesulfonic (triflic) anhydride, enables the formation of highly
electrophilic and reactive intermediates, lending themselves to diverse reaction
pathways. This synopsis sets out to highlight recent advances in the field of
amide activation, focused on the use of triflic anhydride, and the myriad of
transformations that can ensue upon addition of several classes of electrophiles
to the intermittently generated high energy intermediates.
PMID- 27187722
TI - A Novel Mutant Allele of Pw1/Peg3 Does Not Affect Maternal Behavior or Nursing
Behavior.
AB - Parental imprinting is a mammalian-specific form of epigenetic regulation in
which one allele of a gene is silenced depending on its parental origin.
Parentally imprinted genes have been shown to play a role in growth, metabolism,
cancer, and behavior. Although the molecular mechanisms underlying parental
imprinting have been largely elucidated, the selective advantage of silencing one
allele remains unclear. The mutant phenotype of the imprinted gene, Pw1/Peg3,
provides a key example to illustrate the hypothesis on a coadaptation between
mother and offspring, in which Pw1/Peg3 is required for a set of essential
maternal behaviors, such as nursing, nest building, and postnatal care. We have
generated a novel Pw1/Peg3 mutant allele that targets the last exon for the PW1
protein that contains >90% of the coding sequence resulting in a loss of Pw1/Peg3
expression. In contrast to previous reports that have targeted upstream exons, we
observe that maternal behavior and lactation are not disrupted upon loss of
Pw1/Peg3. Both paternal and homozygous Pw1/Peg3 mutant females nurse and feed
their pups properly and no differences are detected in either oxytocin neuron
number or oxytocin plasma levels. In addition, suckling capacities are normal in
mutant pups. Consistent with previous reports, we observe a reduction of
postnatal growth. These results support a general role for Pw1/Peg3 in the
regulation of body growth but not maternal care and lactation.
PMID- 27187725
TI - Analysis of the components of a cognitive-behavioral intervention administered
via conference call for preventing depression among non-professional caregivers:
a pilot study.
AB - OBJECTIVES: The primary aim was to assess the feasibility/acceptability of a
preventive cognitive-behavioral intervention implemented via conference call for
caregivers. The secondary aim was to conduct a preliminary assessment of the
efficacy of the behavioral activation component alone compared to the complete
cognitive-behavioral intervention. METHOD: Sixty-one caregivers (mean age 58.4
years) were randomly assigned to a cognitive-behavioral intervention via
conference call (CBC, n = 20), a behavioral activation intervention via
conference call (BAC, n = 22) or a control group receiving usual care (CG, n =
19). Both interventions consisted of five 90-minute group sessions implemented
weekly. RESULTS: Only 6.6% of caregivers discontinued the study. In the CBC and
BAC groups, attendance and satisfaction with the intervention were similarly high
among both groups. Homework adherence was also high in both groups. At post
treatment, there was a lower incidence of depression in the CBC and BAC groups
compared to the CG (0.0% for BAC and CBC vs. 10.5% for CG). The relative risk was
0.0, and number needed to treat was 10 in both groups. Depressive symptoms were
significantly reduced in the CBC and BAC groups compared to the CG (d = 2.18 and
d = 2.06). CONCLUSION: The results support the feasibility of the intervention.
Moreover, the BAC intervention was non-inferior to the CBC intervention for
reducing depressive symptoms.
PMID- 27187727
TI - FDG PET/CT Imaging of Prostate Carcinosarcoma.
AB - We present a case of carcinosarcoma of the prostate. Workup of urinary retention
after a previously treated squamous cell carcinoma of the prostate led to a
transurethral prostate resection revealing carcinosarcoma of the prostate, which
on F-FDG PET/CT demonstrated moderate to high avidity of this atypical prostate
cancer, with partial obstruction of the urinary system and lung metastases. While
FDG PET is not avid for typical prostatic adenocarcinomas, it should be
considered for evaluation of atypical prostate cancers.
PMID- 27187728
TI - Cocktail Therapy of 177Lu-PSMA-617 and 177Lu-EDTMP in Patients With mCRPC: A
Proof-of-Principle Application.
AB - Prostate cancer is the second most common primary tumor affecting men worldwide.
Among them, 10-20% develop castration resistant prostate cancer (CRPC). Ga-PSMA
PET/CT is an important theranostic agent for the evaluation of CRPC to assess the
feasibility of treatment with Lu-PSMA-617 which is a novel therapeutic agent.
Interestingly, in certain cases, we have observed non-PSMA-avid lesions despite
raised sPSA levels. In this regard, we present a case of cocktail therapy applied
using Lu-PSMA-617 and Lu-EDTMP therapy in a 38-year-old male CRPC patient with
both soft tissue and extensive skeletal metastases.
PMID- 27187726
TI - Simplified Models of Non-Invasive Fractional Flow Reserve Based on CT Images.
AB - Invasive fractional flow reserve (FFR) is the gold standard to assess the
functional coronary stenosis. The non-invasive assessment of diameter stenosis
(DS) using coronary computed tomography angiography (CTA) has high false positive
rate in contrast to FFR. Combining CTA with computational fluid dynamics (CFD),
recent studies have shown promising predictions of FFRCT for superior assessment
of lesion severity over CTA alone. The CFD models tend to be computationally
expensive, however, and require several hours for completing analysis. Here, we
introduce simplified models to predict noninvasive FFR at substantially less
computational time. In this retrospective pilot study, 21 patients received
coronary CTA. Subsequently a total of 32 vessels underwent invasive FFR
measurement. For each vessel, FFR based on steady-state and analytical models
(FFRSS and FFRAM, respectively) were calculated non-invasively based on CTA and
compared with FFR. The accuracy, sensitivity, specificity, positive predictive
value and negative predictive value were 90.6% (87.5%), 80.0% (80.0%), 95.5%
(90.9%), 88.9% (80.0%) and 91.3% (90.9%) respectively for FFRSS (and FFRAM) on a
per-vessel basis, and were 75.0%, 50.0%, 86.4%, 62.5% and 79.2% respectively for
DS. The area under the receiver operating characteristic curve (AUC) was 0.963,
0.954 and 0.741 for FFRSS, FFRAM and DS respectively, on a per-patient level. The
results suggest that the CTA-derived FFRSS performed well in contrast to invasive
FFR and they had better diagnostic performance than DS from CTA in the
identification of functionally significant lesions. In contrast to FFRCT, FFRSS
requires much less computational time.
PMID- 27187729
TI - 18F-DOPA PET/CT and MRI Findings in a Patient With Multiple Meningiomas.
AB - A 56-year-old man was referred to our Department for a 2-year story of upper limb
tremor, severe headache, and episodes of confusion. Brain F-DOPA PET/CT showed
multiple areas of tracer uptake in the two hemispheres of the brain. Subsequent
MRI displayed demyelinating foci with high contrast enhancement. Histological
specimen confirmed the diagnosis of multiple meningiomas.
PMID- 27187731
TI - Putative Physiopathological Explanation for the "Sock Sign" in Bone Scans.
AB - Four patients were referred to our department to undergo a bone scan for
suspected reflex sympathetic dystrophy in the upper limbs. After TC-HMDP
injection in the foot, they developed a "sock sign," defined by highly increased
uptake in the injected lower limb. The sock sign has been previously described in
only 1 case in the literature and attributed to intra-arterial injection. Our
images suggest that the sock sign might instead be the consequence of tracer
extravasation at the injection site.
PMID- 27187730
TI - Assessment of Aggressiveness of Breast Cancer Using Simultaneous 18F-FDG-PET and
DCE-MRI: Preliminary Observation.
AB - PURPOSE: This study aims to investigate the feasibility of using simultaneous
breast MRI and PET to assess the synergy of MR pharmacokinetic and fluorine-18
fluorodeoxyglucose (F-FDG) uptake data to characterize tumor aggressiveness in
terms of metastatic burden and Ki67 status. METHODS: Twelve consecutive patients
underwent breast and whole-body PET/MRI. During the MR scan, PET events were
simultaneously accumulated. MR contrast kinetic model parametric maps were
computed using the extended Tofts model, including the volume transfer constant
between blood plasma and the interstitial space (K), the transfer constant from
the interstitial space to the blood plasma (kep), and the plasmatic volume
fraction (Vp). RESULTS: Patients with systemic metastases had a significantly
lower kep compared to those with local disease (0.45 vs. 0.99 min, P = 0.011).
Metastatic burden correlated positively with K and standardized uptake value
(SUV), and negatively with kep. Ki67 positive tumors had a significantly greater
K compared to Ki67 negative tumors (0.29 vs. 0.45 min, P = 0.03). A negative
correlation was found between metabolic tumor volume and transfer constant (K or
Kep). CONCLUSION: These preliminary results suggest that MR pharmacokinetic
parameters and FDG-PET may aid in the assessment of tumor aggressiveness and
metastatic potential. Future studies are warranted with a larger cohort to
further assess the role of pharmacokinetic modeling in simultaneous PET/MRI
imaging.
PMID- 27187732
TI - FDG PET/CT in Peritoneal Metastasis From Prostate Cancer.
AB - Prostate cancer is one of the leading causes of cancer death in men. The
prognosis in prostate cancer is greatly worsened by the presence of metastases,
which are most commonly found in bone, lung, liver, and brain. The peritoneum is
an extremely uncommon metastatic site for prostate cancer, even in autopsy
series. We present a case of FDG PET/CT demonstration of peritoneal metastasis
from prostate cancer.
PMID- 27187733
TI - FDG-PET/CT of Vulvar Adenocarcinoma With Diffuse Metastases.
AB - A 52 year-old woman presented to her gynecologist with a 1-year history of a 1.5
cm left labial mass. Punch biopsy of the vulvar lesion revealed primary
infiltrating adenocarcinoma. Staging FDG-PET/CT demonstrated multiorgan diffuse
metastases.
PMID- 27187734
TI - A False-Negative Case of Primary Central Nervous System Lymphoma on 11C
Methionine PET and Intense 18F-FDG Uptake.
AB - We report a case of a 44-year-old man with neurological symptoms and MRI
findings, which were unable to differentiate between glioma and lymphoma.
Metabolic characterization by means of PET imaging with F-FDG and C-methionine is
proposed to determine the benign or tumor (high- and low-grade) origin of brain
lesions. In this case, the MRI lesion corresponded with an inconclusive metabolic
pattern of intense F-FDG uptake and no significant C-methionine uptake.
Pathological study revealed a false-negative case of C-methionine due to
lymphoma.
PMID- 27187735
TI - IgG4-Related Kidney Disease in a Patient With History of Breast Cancer: Findings
on 18F-FDG PET/CT.
AB - A 64-year-old African American woman with history of stage III breast carcinoma,
3 years after complete response to therapy, presents with progressive fatigue,
increasing arthralgia, and unintentional weight loss of 15 lb in 3 months. An F
FDG PET demonstrated new diffuse FDG avidity of the renal parenchyma, new FDG
avid foci in pancreas and lungs, and new FDG-avid lymph nodes above and below the
diaphragm. While a retroperitoneal lymph node biopsy was inconclusive, a kidney
biopsy resulted in diagnosis of diffuse, severe, IgG4-related tubulointerstitial
nephritis. Treatment with corticosteroid led to complete resolution of the
symptoms and PET findings.
PMID- 27187736
TI - Endometriosis Mimicking an Advanced Malignant Tumor.
AB - A 27-year-old woman with swelling left leg, groin pain, and increased serum CA125
level underwent FDG PET/CT to evaluate a pelvic mass revealed by an MRI performed
from an outside hospital. A large hypermetabolic solid mass in the left pelvic
wall and several lymph nodes with elevated FDG activity were noted, which
indicated malignancy. However, histopathological examination demonstrated
endometriosis.
PMID- 27187737
TI - Bone Morphogenic Protein Type 2 Receptor Mutation-Independent Mechanisms of
Disrupted Bone Morphogenetic Protein Signaling in Idiopathic Pulmonary Arterial
Hypertension.
AB - Altered bone morphogenic protein (BMP) signaling, independent of BMPR2 mutations,
can result in idiopathic pulmonary arterial hypertension (IPAH). Glucose
dysregulation can regulate multiple processes in IPAH. However, the role of
glucose in BMP antagonist expression in IPAH has not been characterized. We
hypothesized that glucose uptake regulates BMP signaling through stimulation of
BMP antagonist expression in IPAH. Using human plasma, lung tissue, and primary
pulmonary arterial smooth muscle cells (PASMCs), we examined the protein
expression of BMP2, BMP-regulated Smads, and Smurf-1 in patients with IPAH and
control subjects. Gremlin-1 levels were elevated in patients with IPAH compared
with control subjects, whereas expression of BMP2 was not different. We
demonstrate increased Smad polyubiquitination in IPAH lung tissue and PASMCs that
was further enhanced with proteasomal inhibition. Examination of the Smad
ubiquitin-ligase, Smurf-1, showed increased protein expression in IPAH lung
tissue and localization in the smooth muscle of the pulmonary artery. Glucose
dose dependently increased Smurf-1 protein expression in control PASMCs, whereas
Smurf-1 in IPAH PASMCs was increased and sustained. Conversely, phospho-Smad1/5/8
levels were reduced in IPAH compared with control PASMCs at physiological glucose
concentrations. Interestingly, high glucose concentrations decreased
phosphorylation of Smad1/5/8 in control PASMCs. Blocking glucose uptake had
opposing effects in IPAH PASMCs, and inhibition of Smurf-1 activity resulted in
partial rescue of Smad1/5/8 activation and cell migration rates. Collectively,
these data suggest that BMP signaling can be regulated through BMPR2 mutation
independent mechanisms. Gremlin-1 (synonym: induced-in-high-glucose-2 protein)
and Smurf-1 may function to inhibit BMP signaling as a consequence of the glucose
dysregulation described in IPAH.
PMID- 27187739
TI - Second-Generation Phenylthiazole Antibiotics with Enhanced Pharmacokinetic
Properties.
AB - A series of second-generation analogues for 2-(1-(2-(4-butylphenyl)-4
methylthiazol-5-yl)ethylidene)aminoguanidine (1) have been synthesized and tested
against methicillin-resistant Staphylococcus aureus (MRSA). The compounds were
designed with the objective of improving pharmacokinetic properties. This main
aim has been accomplished by replacing the rapidly hydrolyzable Schiff-base
moiety of first-generation members with a cyclic, unhydrolyzable pyrimidine ring.
The hydrazide-containing analogue 17 was identified as the most potent analogue
constructed thus far. The corresponding amine 8 was 8 times less active. Finally,
incorporating the nitrogenous side chain within an aromatic system completely
abolished the antibacterial character. Replacement of the n-butyl group with
cyclic bioisosteres revealed cyclohexenyl analogue 29, which showed significant
improvement in in vitro anti-MRSA potency. Increasing or decreasing the ring size
deteriorated the antibacterial activity. Compound 17 demonstrated a superior in
vitro and in vivo pharmacokinetic profile, providing compelling evidence that
this particular analogue is a good drug candidate worthy of further analysis.
PMID- 27187738
TI - Reduced Uterine Perfusion Pressure (RUPP) Model of Preeclampsia in Mice.
AB - Preeclampsia (PE) is a pregnancy-induced hypertension with proteinuria that
typically develops after 20 weeks of gestation. A reduction in uterine blood flow
causes placental ischemia and placental release of anti-angiogenic factors such
as sFlt-1 followed by PE. Although the reduced uterine perfusion pressure (RUPP)
model is widely used in rats, investigating the role of genes on PE using
genetically engineered animals has been problematic because it has been difficult
to make a useful RUPP model in mice. To establish a RUPP model of PE in mice, we
bilaterally ligated ovarian vessels distal to ovarian branches, uterine vessels,
or both in ICR-strain mice at 14.5 days post coitum (dpc). Consequently, these
mice had elevated BP, increased urinary albumin excretion, severe endotheliosis,
and mesangial expansion. They also had an increased incidence of miscarriage and
premature delivery. Embryonic weight at 18.5 dpc was significantly lower than
that in sham mice. The closer to the ligation site the embryos were, the higher
the resorption rate and the lower the embryonic weight. The phenotype was more
severe in the order of ligation at the ovarian vessels < uterine vessels < both.
Unlike the RUPP models described in the literature, this model did not constrict
the abdominal aorta, which allowed BP to be measured with a tail cuff. This novel
RUPP model in mice should be useful for investigating the pathogenesis of PE in
genetically engineered mice and for evaluating new therapies for PE.
PMID- 27187740
TI - Vasopressinergic control of stress-related behavior: studies in Brattleboro rats.
AB - Vasopressin, a nonapeptide, signaling both as hormone in the blood and
neuromodulator/neurotransmitter in the brain is considered to be causally
involved in the pathological changes underlying anxiety and depression. In the
present review we summarize experimental data obtained with Brattleboro rats as a
model of congenital vasopressin-deficiency to test the hypothesis that central
vasopressin signaling contributes to anxiety- and depression-like behavior. Male,
female and lactating rats were studied. We focused on the paraventricular nucleus
of the hypothalamus (PVN) and the septum, two brain areas in which vasopressin is
proposed to control the endocrine and behavioral stress response, respectively.
The presented data support the hypothesis that the behavioral changes seen in
these rats are brought about by an altered vasopressin signaling at the brain
level. Whereas vasopressin synthesized and released within the hypothalamus is
primarily involved in endocrine regulation, vasopressin signaling in other brain
areas may contribute to anxiety- and depression-like behavioral parameters.
Further studies in this context might focus particularly on the interplay between
extra-hypothalamic brain areas such as the septum and the medial amygdala.
PMID- 27187741
TI - Forest Management Intensity Affects Aquatic Communities in Artificial Tree Holes.
AB - Forest management could potentially affect organisms in all forest habitats.
However, aquatic communities in water-filled tree-holes may be especially
sensitive because of small population sizes, the risk of drought and potential
dispersal limitation. We set up artificial tree holes in forest stands subject to
different management intensities in two regions in Germany and assessed the
influence of local environmental properties (tree-hole opening type, tree
diameter, water volume and water temperature) as well as regional drivers (forest
management intensity, tree-hole density) on tree-hole insect communities (not
considering other organisms such as nematodes or rotifers), detritus content,
oxygen and nutrient concentrations. In addition, we compared data from artificial
tree holes with data from natural tree holes in the same area to evaluate the
methodological approach of using tree-hole analogues. We found that forest
management had strong effects on communities in artificial tree holes in both
regions and across the season. Abundance and species richness declined, community
composition shifted and detritus content declined with increasing forest
management intensity. Environmental variables, such as tree-hole density and tree
diameter partly explained these changes. However, dispersal limitation, indicated
by effects of tree-hole density, generally showed rather weak impacts on
communities. Artificial tree holes had higher water temperatures (on average 2
degrees C higher) and oxygen concentrations (on average 25% higher) than natural
tree holes. The abundance of organisms was higher but species richness was lower
in artificial tree holes. Community composition differed between artificial and
natural tree holes. Negative management effects were detectable in both tree-hole
systems, despite their abiotic and biotic differences. Our results indicate that
forest management has substantial and pervasive effects on tree-hole communities
and may alter their structure and functioning. We furthermore conclude that
artificial tree-hole analogues represent a useful experimental alternative to
test effects of changes in forest management on natural communities.
PMID- 27187742
TI - Thymic stromal lymphopoietin in tonsillar follicular dendritic cells correlates
with elevated serum immunoglobulin A titer by promoting tonsillar immunoglobulin
A class switching in immunoglobulin A nephropathy.
AB - Immunoglobulin A (IgA) nephropathy (IgAN) is characterized by high serum IgA
levels and IgA deposition in the renal mesangium. Previous studies suggest that
elevated serum IgA partly originates from the tonsils. Here, we investigated the
mechanisms of IgA production in the tonsils of patients with IgAN.
Immunohistochemistry revealed that the number and relative percentage of IgA
bearing cells were significantly increased in the tonsils of IgAN patients.
Compared with non-IgAN patients, enhanced IgA class switching and overexpression
of thymic stromal lymphopoietin (TSLP), TSLP receptor (TSLPR), activation-induced
cytidine deaminase (AID), transforming growth factor-beta1 (TGF-beta1), B cell
activating factor of the tumor necrosis factor family (BAFF), and a proliferation
inducing ligand (APRIL) were detected in follicular dendritic cells (FDCs) of
tonsillar germinal centers from IgAN patients. Importantly, TSLP correlated with
IgA production in isolated FDC-associated clusters. Serum TSLP levels were
increased and correlated with IgA overexpression in the tonsils and serum of IgAN
patients. These data indicated that TSLP overexpression in tonsillar FDCs may
promote IgA class switching in IgAN patients through the cooperative roles of
AID, TGF-beta1, BAFF, and APRIL. Therefore, interactions between TSLP in FDCs and
IgA production in tonsils may be an important mechanism contributing to the
pathogenesis of IgAN.
PMID- 27187744
TI - New modalities of strain-control of ferroelectric thin films.
AB - Ferroelectrics, with their spontaneous switchable electric polarization and
strong coupling between their electrical, mechanical, thermal, and optical
responses, provide functionalities crucial for a diverse range of applications.
Over the past decade, there has been significant progress in epitaxial strain
engineering of oxide ferroelectric thin films to control and enhance the nature
of ferroelectric order, alter ferroelectric susceptibilities, and to create new
modes of response which can be harnessed for various applications. This review
aims to cover some of the most important discoveries in strain engineering over
the past decade and highlight some of the new and emerging approaches for strain
control of ferroelectrics. We discuss how these new approaches to strain
engineering provide promising routes to control and decouple ferroelectric
susceptibilities and create new modes of response not possible in the confines of
conventional strain engineering. To conclude, we will provide an overview and
prospectus of these new and interesting modalities of strain engineering helping
to accelerate their widespread development and implementation in future
functional devices.
PMID- 27187743
TI - Gut microbiome in chronic kidney disease: challenges and opportunities.
AB - More than 100 trillion microbial cells that reside in the human gut heavily
influence nutrition, metabolism, and immune function of the host. Gut dysbiosis,
seen commonly in patients with chronic kidney disease (CKD), results from
qualitative and quantitative changes in host microbiome profile and disruption of
gut barrier function. Alterations in gut microbiota and a myriad of host
responses have been implicated in progression of CKD, increased cardiovascular
risk, uremic toxicity, and inflammation. We present a discussion of dysbiosis,
various uremic toxins produced from dysbiotic gut microbiome, and their roles in
CKD progression and complications. We also review the gut microbiome in renal
transplant, highlighting the role of commensal microbes in alteration of immune
responses to transplantation, and conclude with therapeutic interventions that
aim to restore intestinal dysbiosis.
PMID- 27187746
TI - Early executive control and risk for overweight and obesity in elementary school.
AB - An emerging literature suggests that poor executive control (EC) may be
associated with clinical weight problems, e.g., body mass index (BMI) for age
percentile >=85 in children. However, our understanding of the impact of EC on
overweight and obesity in childhood is limited by the lack of longitudinal
studies spanning critical developmental periods and assessing EC using
comprehensive performance-based batteries. The current study addresses these
limitations in a longitudinal examination of 212 children who completed an
extensive laboratory-based EC task battery in preschool (age 4 years and 6
months) and were followed through elementary school (Grades 1 through 4) with
objective measures of weight status. The logistic regression results indicate
that poorer EC in preschool is associated with significantly greater risk for
clinical weight problems (either overweight or obese status, as defined by BMI
for-age percentile >= 85) in elementary school, controlling for maternal
education. EC in preschool was not significantly associated with risk for obese
status, specifically (defined by BMI-for-age percentile >= 95), but the trend was
in the expected direction. The results suggest that early executive abilities are
relevant for children's subsequent health status, with deficits in EC in the
critical period of preschool conferring risk for later problems with weight.
Based on these findings, early interventions to promote stronger EC may be a
promising, yet currently overlooked, component in pediatric obesity prevention
efforts.
PMID- 27187747
TI - VUV/UV/Chlorine as an Enhanced Advanced Oxidation Process for Organic Pollutant
Removal from Water: Assessment with a Novel Mini-Fluidic VUV/UV Photoreaction
System (MVPS).
AB - Vacuum ultraviolet (VUV) and ultraviolet (UV)/chlorine processes are regarded as
two of many advanced oxidation processes (AOPs). Because of the similar cost of
VUV/UV and UV lamps, a combination of VUV and UV/chlorine (i.e., VUV/UV/chlorine)
may enhance the removal of organic pollutants in water but without any additional
power input. In this paper, a mini-fluidic VUV/UV photoreaction system (MVPS) was
developed for bench-scale experiments, which could emit both VUV (185 nm) and UV
(254 nm) or solely UV beams with a nearly identical UV photon fluence. The photon
fluence rates of UV and VUV output by the MVPS were determined to be 8.88 * 10(
4) and 4.93 * 10(-5) einstein m(-2) s(-1), respectively. The VUV/UV/chlorine
process exhibited a strong enhancement concerning the degradation of methylene
blue (MB, a model organic pollutant) as compared to the total performance of the
VUV/UV and UV/chlorine processes, although the photon fluence of the VUV only
accounted for 5.6% of that of the UV. An acidic pH favored MB degradation by the
VUV/UV/chlorine process. The synergistic mechanism of the VUV/UV/chlorine process
was mainly ascribed to the effective use of (*)OH for pollutant removal through
formation of longer-lived secondary radicals (e.g., (*)OCl). This study
demonstrates that the new VUV/UV/chlorine process, as an enhanced AOP, can be
applied as a highly effective and energy-saving technology for small-scale water
and wastewater treatment.
PMID- 27187745
TI - Ferulic Acid Administered at Various Time Points Protects against Cerebral
Infarction by Activating p38 MAPK/p90RSK/CREB/Bcl-2 Anti-Apoptotic Signaling in
the Subacute Phase of Cerebral Ischemia-Reperfusion Injury in Rats.
AB - OBJECTIVES: This study aimed to evaluate the effects of ferulic acid (FA)
administered at various time points before or after 30 min of middle cerebral
artery occlusion (MCAo) followed by 7 d of reperfusion and to examine the
involvement of mitogen-activated protein kinase (MAPK) signaling pathways in the
cortical penumbra. METHODS: FA was intravenously administered to rats at a dose
of 100 mg/kg 24 h before ischemia (B-FA), 2 h before ischemia (P-FA), immediately
after ischemic insult (I-FA), 2 h after reperfusion (R-FA), or 24 h after
reperfusion (D-FA). RESULTS: Our study results indicated that P-FA, I-FA, and R
FA effectively reduced cerebral infarct areas and neurological deficits. P-FA, I
FA, and R-FA significantly downregulated glial fibrillary acidic protein (GFAP),
mitochondrial Bax, cytochrome c, and cleaved caspase-3 expression, and
effectively restored the phospho-p38 MAPK (p-p38 MAPK)/p38 MAPK ratio, phospho-90
kDa ribosomal S6 kinase (p-p90RSK) expression, phospho-Bad (p-Bad) expression,
the phospho-cAMP response element-binding protein (p-CREB)/CREB ratio, the
cytosolic and mitochondrial Bcl-2/Bax ratios, and the cytosolic Bcl-xL/Bax ratio
in the cortical penumbra 7 d after reperfusion. SB203580, a specific inhibitor of
p38 MAPK, administered 30 min prior to ischemia abrogated the downregulating
effects of I-FA on cerebral infarction, and mitochondrial Bax and cleaved caspase
3 expression, and the upregulating effects of I-FA on the p-p38 MAPK/p38 MAPK
ratio, p-p90RSK expression, p-Bad expression, and the p-CREB/CREB, and cytosolic
and mitochondrial Bcl-2/Bax ratios. CONCLUSIONS: Our study results thus indicate
that P-FA, I-FA, and R-FA effectively suppress reactive astrocytosis and exert
neuroprotective effects against cerebral infarction by activating p38 MAPK
signaling. The regulating effects of P-FA, I-FA, and R-FA on Bax-induced
apoptosis result from activation of the p38 MAPK/p90RSK/CREB/Bcl-2 signaling
pathway, and eventually contribute to inhibition of the cytochrome c-mediated
caspase-3-dependent apoptotic pathway in the cortical penumbra 7 d after
reperfusion.
PMID- 27187748
TI - Concentrations and spatial patterns of organic contaminants in tree swallow
(Tachycineta bicolor) eggs at United States and binational Great Lakes Areas of
Concern, 2010-2015.
AB - Tree swallows, Tachycineta bicolor, were sampled across the Great Lakes basin in
2010 through 2015 to provide a system-wide assessment of current exposure to
organic contaminants. The results provide information identified as critical by
regulators to assess the "bird or animal deformity or reproductive problems"
beneficial use impairment. Eggs were collected from 69 sites across all 5 Great
Lakes, including 27 Areas of Concern (AOCs), some with multiple sites, and 10
sites not listed as an AOC. Concentrations of organic contaminants in eggs were
quantified and compared with background and reproductive effect thresholds.
Approximately 30% of AOCs had geometric mean concentrations of total
polychlorinated biphenyls (PCBs) at or below average background exposure (0.34
MUg/g wet wt). Exposure to polybrominated diphenyl ethers (PBDEs) was minimal,
and only 3 of 27 AOCs and 1 non-AOC had geometric mean concentrations that
exceeded background for tree swallows (96 ng/g wet wt). Concentrations of both
PCBs and PBDEs were 10 to 20 times below the lower limit associated with impaired
hatching success. In contrast, geometric mean concentrations of polychlorinated
dibenzo-p-dioxin and furan (PCDD-F) toxic equivalents (TEQs) at the Saginaw River
and Bay AOC and Midland, Michigan, USA (a non-AOC site), exceeded the lower limit
for hatching effects (181 pg/g PCDD-F TEQs). The rest of the sites had geometric
mean concentrations of PCDD-F TEQs below background levels (87 pg/g PCDD-F TEQs).
Other organic contaminants, including p,p'-dichlorodiphenyldichloroethylene,
mirex, heptachlor, and chlordane, were at or below background or adverse effect
concentrations. Environ Toxicol Chem 2016;35:3071-3092. Published 2016 Wiley
Periodicals Inc on behalf of SETAC. This article is a US government work and, as
such, is in the public domain in the United States of America.
PMID- 27187749
TI - Systemic inflammation and liver damage in HIV/hepatitis C virus coinfection.
AB - OBJECTIVES: Chronic hepatitis C virus (HCV) and HIV viral infections are
characterized by systemic inflammation. Yet the relative levels, drivers and
correlates of inflammation in these settings are not well defined. METHODS:
Seventy-nine HIV-infected patients who had been receiving antiretroviral therapy
(ART) for more than 2 years and who had suppressed plasma HIV levels (< 50 HIV-1
RNA copies/mL) were included in the study. Two patient groups, HCV-positive/HIV
positive and HCV-negative/HIV-positive, and a control group comprised of healthy
volunteers (n = 20) were examined. Markers of systemic inflammation [interleukin
(IL)-6, interferon gamma-induced protein (IP)-10, soluble tumour necrosis factor
receptor-I (sTNF-RI) and sTNF-RII], monocyte/macrophage activation [soluble CD163
(sCD163), soluble CD14 and neopterin], intestinal epithelial barrier loss
[intestinal fatty acid binding protein (I-FABP) and lipopolysaccharide (LPS)] and
coagulation (d-dimers) were analysed. CD4 naive T cells and CD4 recent thymic
emigrants (RTEs) were enumerated. RESULTS: Plasma levels of IP-10, neopterin and
sCD163 were higher in HCV/HIV coinfection than in HIV monoinfection and were
positively correlated with indices of hepatic damage [aspartate aminotransferase
(AST), alanine aminotransferase (ALT) and the AST to platelet ratio index
(APRI)]. Levels of I-FABP were comparably increased in HIV monoinfection and
HIV/HCV coinfection but LPS concentrations were highest in HCV/HIV coinfection,
suggesting impaired hepatic clearance of LPS. Plasma HCV levels were not related
to any inflammatory indices except sCD163. In coinfected subjects, a previously
recognized relationship of CD4 naive T-cell and RTE counts to hepatocellular
injury was defined more mechanistically by an inverse relationship to sCD163.
CONCLUSIONS: Hepatocellular injury in HCV/HIV coinfection is linked to elevated
levels of certain inflammatory cytokines and an apparent failure to clear
systemically translocated microbial products. A related decrease in CD4 naive T
cells and RTEs also merits further exploration.
PMID- 27187750
TI - Tuning the Hydrophilicity and Hydrophobicity of the Respective Cation and Anion:
Reversible Phase Transfer of Ionic Liquids.
AB - The separation and recycling of catalyst and cocatalyst from the products and
solvents are of critical importance. In this work, a class of functionalized
ionic liquids (ILs) were designed and synthesized, and by tuning the
hydrophilicity and hydrophobicity of cation and anion, respectively, these ILs
could reversibly transfer between water and organics triggered upon undergoing a
temperature change. From a combination of multiple spectroscopic techniques, it
was shown that the driving force behind the transfer was originated from a change
in conformation of the PEG chain of the IL upon temperature variation. By
utilizing the novel property of this class of ILs, a highly efficient and
controllable CuI-catalyzed cycloaddition reaction was achieved wherein the IL was
used to entrain, activate, and recycle the catalyst, as well as to control the
reaction.
PMID- 27187751
TI - Frequent life-threatening laryngeal attacks in two Croatian families with
hereditary angioedema due to C1 inhibitor deficiency harbouring a novel
frameshift mutation in SERPING1.
AB - OBJECTIVE: Hereditary angioedema due to C1 inhibitor deficiency (C1-INH-HAE) is a
rare autosomal dominant disease caused by mutations in the SERPING1 gene. It can
affect many regions in the body, but potentially life-threatening laryngeal
oedemas are of concern. METHODS: Twenty-three subjects from two families were
recruited for clinical data evaluation and molecular analysis at General Hospital
Sibenik, Croatia. RESULTS: Decreased levels of C1 inhibitor were detected in 12
adult patients and three young asymptomatic persons. The same novel deletion of
two nucleotides on exon 3 (c.74_75delAT) was identified in all of them. A history
of laryngeal oedema was present in 10 patients (83%), and all patients reported
laryngeal attacks at least once a year. The delay in diagnosis decreased
noticeably from the first to the last generation. CONCLUSIONS: We identified a
novel causative mutation in SERPING1 in several affected members of two
apparently unrelated families with a high frequency of laryngeal oedema.
Molecular analysis of large C1-INH-HAE families will provide new insights on the
genotype-phenotype relationship. Key messages Hereditary angioedema due to C1
inhibitor deficiency is a rare autosomal dominant disease caused by mutations in
the SERPING1 gene, and laryngeal oedema is of concern because it can cause death
by asphyxiation. A novel causative mutation in SERPING1, a deletion of two
nucleotides on exon 3 (c.74_75delAT), was identified in several affected members
of two apparently unrelated families with a high frequency of laryngeal oedema.
Molecular analysis of large C1-INH-HAE families will provide new insights on the
genotype-phenotype relationship because it appears that the mutation type may
affect disease severity.
PMID- 27187752
TI - Avian influenza virus in pregnancy.
AB - The unprecedented epizootic of avian influenza viruses, such as H5N1, H5N6, H7N1
and H10N8, has continued to cause disease in humans in recent years. In 2013,
another novel influenza A (H7N9) virus emerged in China, and 30% of those
patients died. Pregnant women are particularly susceptible to avian influenza and
are more likely to develop severe complications and to die, especially when
infection occurs in the middle and late trimesters. Viremia is believed to occur
infrequently, and thus vertical transmission induced by avian influenza appears
to be rare. However, avian influenza increases the risk of adverse pregnancy
outcomes, including spontaneous abortion, preterm birth and fatal distress. This
review summarises 39 cases of pregnant women and their fetuses from different
countries dating back to 1997, including 11, 15 and 13 infections with H7N9, H5N1
and the 2009 pandemic influenza (H1N1), respectively. We analysed the epidemic
features, following the geographical, population and pregnancy trimester
distributions; underlying diseases; exposure history; medical timelines; human-to
human transmission; pathogenicity and vertical transmission; antivirus
treatments; maternal severity and mortality and pregnancy outcome. The common
experiences reported in different countries and areas suggest that early
identification and treatment are imperative. In the future, vigilant virologic
and epidemiologic surveillance systems should be developed to monitor avian
influenza viruses during pregnancy. Furthermore, extensive study on the immune
mechanisms should be conducted, as this will guide safe, rational
immunomodulatory treatment among this high-risk population. Most importantly, we
should develop a universal avian influenza virus vaccine to prevent outbreaks of
the different subtypes. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27187755
TI - The Cost-effectiveness of Varicella Zoster Virus Vaccination Considering Late
Onset Asthma.
AB - BACKGROUND: Recent studies reported that infection by varicella zoster virus
(VZV) may lead to delayed onset of asthma in children/adolescents. This
information will likely alter the cost-effectiveness of the US. VZV vaccination
program. We created a decision analysis model to estimate the costs and health
related effects of VZV 2-dose vaccination, assuming VZV infection delays asthma
onset. METHODS: The Markov model considered a birth cohort of 3,957,577
individuals entering the population from a societal perspective. We predicted the
number of asthma/VZV cases, asthma-/VZV-related mortality and costs associated
with asthma/VZV. Comparison arms included (1) VZV vaccination program without
delayed asthma onset, (2) VZV vaccination program with delayed asthma onset and
(3) no VZV vaccination program with delayed asthma onset. We considered delayed
onset ranging from 3 to 12 years. RESULTS: The vaccination program proved cost
effective without an assumed delay in asthma onset. When the vaccination and no
vaccination arms were compared assuming delayed asthma onset, vaccination
remained less costly despite increased savings related to asthma without
vaccination. With delayed asthma onset of 9 years post VZV infection, cost
savings due to vaccination were $914.09 million, with 9984 cases of asthma
averted and 9 greater overall deaths with vaccination. CONCLUSION: VZV
vaccination program was less costly than the "no-vaccination" scenario, despite
delayed onset of asthma post VZV infection. However, vaccination resulted in
increased asthma morbidity and mortality. This adds to current evidence that VZV
vaccination is cost-effective, and may alter asthma-related health-care outcomes.
VZV's effect on asthma symptoms still needs further evaluation before firm
conclusions can be reached.
PMID- 27187754
TI - Cryptococcal Meningitis Presenting as a Complication in HIV-infected Children: A
Case Series From Sub-Saharan Africa.
AB - Cryptococcal meningitis is a leading infectious disease worldwide as a result of
the high burden of HIV and AIDS, although its cumulative incidence is very low in
children compared with that in adults. Very few studies involving the disease in
children have been reported including sub-Saharan Africa, with the highest
prevalence of HIV-infected children in the world. We summarize 5 cases of
children diagnosed with cryptococcal meningitis at a tertiary hospital in Harare,
Zimbabwe, between October 1, 2013, and September 30, 2014.
PMID- 27187753
TI - Rilpivirine Pharmacokinetics Without and With Darunavir/Ritonavir Once Daily in
Adolescents and Young Adults.
AB - BACKGROUND: Rilpivirine (RPV), a recently developed, once daily human
immunodeficiency virus non-nucleoside reverse transcriptase inhibitor, is not
currently approved for pediatric patients, but is sometimes prescribed for
adolescents with multiple treatment failures, for regimen simplification or to
minimize toxicity. Darunavir/ritonavir (DRV/r) administered once daily is also
increasingly used in adolescents and may alter RPV pharmacokinetics (PK). We
evaluated the PK interactions between RPV and DRV/r once daily in adolescents and
young adults. METHODS: Human immunodeficiency virus-infected subjects 12 to <24
years old receiving a stable background therapy including RPV 25 mg once daily
without or combined with DRV/r 800/100 mg once daily were enrolled. Intensive 24
hour blood sampling was performed, and PK indices were determined using
noncompartmental analysis. Protocol-defined target drug exposure ranges based on
adult data were used to assess the adequacy of each regimen. RESULTS: Fifteen
subjects receiving RPV without and 14 subjects with DRV/r were enrolled. When
dosed without DRV/r, the RPV geometric mean (90% confidence interval) for RPV
AUC0-24, Cmax and C24 h were 2.38 MUg h/mL (1.92-2.94), 0.14 MUg/mL (0.12-0.18)
and 0.07 MUg/mL (0.03-0.10), respectively, similar to adult values. RPV
concentrations were significantly increased with concomitant DRV/r use: RPV
AUC24, Cmax and C24 h were 6.74 MUg h/mL (4.89-9.28), 0.39 MUg/mL (0.27-0.57) and
0.23 MUg/mL (0.17-0.32), respectively, well above the target ranges based on
adult data. DRV/r PK was not affected by coadministration of RPV. CONCLUSIONS:
RPV PK in this adolescent population was similar to adults when dosed without
DRV/r. DRV/r coadministration increased RPV exposure 2- to 3-fold, indicating
that drug-related side effects should be closely monitored.
PMID- 27187756
TI - Biomarkers in Cerebrospinal Fluid of Children With Tick-borne Encephalitis:
Association With Long-term Outcome.
AB - BACKGROUND: Tick-borne encephalitis (TBE) is a major cause of meningoencephalitis
in children in endemic areas, and long-term residual problems are not uncommon.
Currently, no predictive markers in the acute phase are available that identify
children at risk of incomplete recovery. We measured cytokines, chemokines and
markers of neuronal damage in cerebrospinal fluid (CSF) in children with TBE and
central nervous system (CNS) involvement. METHODS: CSF from 37 children with TBE
with CNS involvement was analyzed. Concentrations of 16 cytokines, chemokines and
5 markers of neuronal damage were assessed in CSF, using a multiplex assay, and
correlated with clinical findings in the acute phase (n = 37), and with long-term
outcome (n=22). RESULTS: Significantly higher levels of CSF interferon (IFN)
gamma, interleukin (IL)-4, IL-6 and IL-8 were detected in the acute phase from
children who later developed sequelae. Although most of the studied markers of
neuronal damage displayed no significant differences between children with
sequelae and those with good outcome, neuron-specific enolase correlated
inversely. The grade of CSF pleocytosis correlated positively with the levels of
IFN-gamma, IL-4 and IL-6; however, pleocytosis alone did not predict sequelae.
Increasing age correlated positively with IL-4, IL-6 and IL-8 values.
CONCLUSIONS: The mechanism underlying the CNS pathology causing sequelae in TBE
appears related to the grade of inflammation in CNS, rather than to direct
neuronal destruction. High concentration of IFN-gamma, IL-4, IL-6 and IL-8 in CSF
might indicate a risk for incomplete recovery in childhood TBE.
PMID- 27187757
TI - Pediatric Access and Continuity of HIV Care Before the Start of Antiretroviral
Therapy in Sub-Saharan Africa.
AB - BACKGROUND: The number of HIV-infected children starting antiretroviral treatment
(ART) has increased in resource-limited settings during the past decades.
However, there are still few published data on the characteristics of pediatric
patients at program enrolment and on the dynamics of dropping out before the
start of ART. METHODS: We performed a retrospective cohort study among HIV
infected pediatric patients (age, 5-14 years) not yet started on ART enrolled in
4 HIV sub-Saharan African programs. Descriptive and risk factors for mortality
and lost to follow-up (LFU) were investigated using adjusted parametric or Cox
proportional hazard models. RESULTS: A total of 2244 patients (52.8% girls) were
enrolled in HIV care, a median of 2 days [interquartile range (IQR), 0-8 days]
after HIV diagnosis. Baseline median CD4 cell count was 409 cells/MUL (IQR, 203
478 cells/MUL); 43% were in clinical stage 3 or 4, 71% required ART and 76.2% of
these patients initiated therapy. Of those eligible not started on ART, 14% died
and 59% were LFU. Median pre-ART follow-up was 4.4 months (IQR, 1.3-20 months)
and was shorter for eligible patients. Mortality rates were 6.2 of 100 person
years [95% confidence interval (CI), 4.6-8.3] in the 0- to 6-month period and 1.3
of 100 person-years (95% CI, 0.9-2.0) in the 6- to 60-month period. LFU rates
were 37.4 of 100 (95% CI, 33.0-42.4) and 8.3 of 100 person-years (95% CI, 7.1
9.8), respectively. Advanced HIV disease at presentation (low body mass index,
stage 3 or 4, low CD4 count or tuberculosis diagnosis) was associated with
increased mortality and LFU. CONCLUSIONS: Late presentation and delays in
initiating ART among eligible children were responsible for the large incidence
of patient losses during pre-ART follow-up in sub-Saharan Africa.
PMID- 27187758
TI - Structural Analogues of Selfotel.
AB - A small library of phosphonopiperidylcarboxylic acids, analogues of NMDA
antagonist selfotel (CGS 19755), was synthesized. First, the series of aromatic
esters was obtained via a palladium-catalyzed cross-coupling reaction (Hirao
coupling) of dialkyl phosphites with bromopyridinecarboxylates, followed by their
hydrolysis. Then, hydrogenation of the resulting phosphonopyridylcarboxylic acids
over PtO2 yielded the desired phosphonopiperidylcarboxylic acids. NMR studies
indicated that the hydrogenation reaction proceeds predominantly by cis addition.
Several compounds were obtained as monocrystal structures. Preliminary biological
studies performed on cultures of neurons suggest that the obtained compounds
possess promising activity toward NMDA receptors.
PMID- 27187759
TI - A diagnostic algorithm for tuberculous pleurisy using the ELISPOT assay on
peripheral blood and pleural effusion.
AB - BACKGROUND: Diagnosis of tuberculous (TB) pleurisy remains challenging due to the
paucibacillary nature of the disease. We prospectively assessed the diagnostic
usefulness of the T-cell based ELISPOT assay, and created a clinical algorithm
for differentiating TB pleurisy from other diagnoses. METHODS: All adult patients
with suspicion for TB pleurisy were enrolled in a tertiary hospital in Seoul,
South Korea, over a 7-year period. ELISPOT assays were performed using
mononuclear cells from peripheral blood and pleural effusion. RESULTS: Seventy
seven patients with suspected TB pleurisy were enrolled. Of these, 33 (43%)
patients, comprising 27 confirmed and 6 probable TB pleurisy, were classified as
TB pleurisy, and 36 (47%) were classified as not TB. The remaining 8 with
possible TB pleurisy were excluded from the final analysis. The sensitivities and
specificities, respectively, of the diagnostic methods were as follows: pleural
fluid adenosine deaminase (ADA) level 32 U/L, 81% and 79%; peripheral blood
mononuclear cells (PBMC) ELISPOT assay, 82% and 73%; pleural effusion-mononuclear
cells (PE-MC) ELISPOT assay, 58% and 87%. When the diagnostic algorithm was
applied, PBMC ELISPOT >=6 spots or ADA >=32 U/L' as a rule-out test safely
excluded 46% (12/26) of the not TB patients, and 'PE-MC >=6 spots' as a rule-in
test accurately classified 23% (7/31) of the patients with TB pleurisy.
CONCLUSIONS: A diagnostic algorithm combining ELISPOT assays and ADA levels in
pleural fluid appears to be a promising and non-invasive approach for patients
with suspected TB pleurisy.
PMID- 27187761
TI - The effect of losartan on progressive aortic dilatation in patients with Marfan's
syndrome: a meta-analysis of prospective randomized clinical trials.
AB - OBJECTIVE: To assess the effect of losartan therapy on progressive aortic
dilatation and on clinical outcome in patients with Marfan's syndrome (MFS).
METHODS: The meta-analysis was instituted, which included studies identified by a
systematic review of MEDLINE of peer-reviewed publications. Echocardiogram or MRI
measurements of the aortic root dimension and outcome measures of death,
cardiovascular surgery and aortic dissection or rupture were compared between
patients who were treated and untreated with losartan therapy. RESULTS: Six
randomized trials with 1398 subjects met all the inclusion criteria and were
included in the meta-analysis. Compared with non-losartan treatment, losartan
therapy significantly decreased the rate of aortic dilatation (SMD=-0.13 with 95%
CI -0.25 to 0.00, p=0.04). The clinical outcome beneficial was not observed in
the losartan treatment group when compared with no losartan treatment group (odds
ratio=1.04 with 95% CI of 0.57-1.87). CONCLUSION: Given the current results of
the meta-analysis and together with the lack of associated side effects, it would
be reasonable to use losartan in MFS patients with aortic root dilatation.
However, no clinical outcome benefits were observed in the losartan treatment
group when compared with no losartan treatment group.
PMID- 27187760
TI - Characterization of RNA-binding properties of the archaeal Hfq-like protein from
Methanococcus jannaschii.
AB - The Sm and Sm-like proteins are widely distributed among bacteria, archaea and
eukarya. They participate in many processes related to RNA-processing and
regulation of gene expression. While the function of the bacterial Lsm protein
Hfq and eukaryotic Sm/Lsm proteins is rather well studied, the role of Lsm
proteins in Archaea is investigated poorly. In this work, the RNA-binding ability
of an archaeal Hfq-like protein from Methanococcus jannaschii has been studied by
X-ray crystallography, anisotropy fluorescence and surface plasmon resonance. It
has been found that MjaHfq preserves the proximal RNA-binding site that usually
recognizes uridine-rich sequences. Distal adenine-binding and lateral RNA-binding
sites show considerable structural changes as compared to bacterial Hfq. MjaHfq
did not bind mononucleotides at these sites and would not recognize single
stranded RNA as its bacterial homologues. Nevertheless, MjaHfq possesses affinity
to poly(A) RNA that seems to bind at the unstructured positive-charged N-terminal
tail of the protein.
PMID- 27187762
TI - Estimates of premorbid ability in a neurodegenerative disease clinic population:
comparing the Test of Premorbid Functioning and the Wide Range Achievement Test,
4th Edition.
AB - OBJECTIVE: Two frequently used measures to assess premorbid intellectual ability
include the Wide Range Achievement Test, 4th Edition Reading Subtest (WRAT-4
READ) and the Test of Premorbid Functioning (TOPF). The present study compared
estimates obtained from these measures in a neurodegenerative disease population.
METHOD: Records from 85 referrals seen for neuropsychological evaluation in a
neurodegenerative disorders clinic were reviewed. Evaluations included TOPF, WRAT
4 READ, and measures of memory, reasoning, language, and executive functioning.
Pairwise correlations and concordance correlation coefficients (CCC) were
calculated between raw scores and predicted intelligence estimates. Discrepancy
scores were calculated between estimates and data were divided into three groups
based on size of standardized discrepancy score: Equal, WRAT-4 READ > TOPF, and
TOPF > WRAT-4 READ. analysis of variances compared groups on demographic
characteristics and cognitive performance. RESULTS: Despite strong Pearson
correlation, CCC between predicted IQ estimates showed poor agreement between
measures, with evidence of both fixed and proportional bias. Discrepancies ranged
from -24.0 to 22.0 (M = 1.78, SD = 6.65), with TOPF generating higher estimates
on average. Individuals performing better on WRAT-4 READ were significantly older
(M age = 76.26, SD = 7.53) than those performing similarly on both measures and
those performing better on TOPF (F (2, 82) = 7.31, p < .001). All other
comparisons between groups on demographic variables and cognitive measures were
non-significant. CONCLUSIONS: Estimates of premorbid intelligence obtained from
the TOPF and WRAT-4 READ have a strong linear relationship, but systematically
generate inconsistent estimates in a neurodegenerative disease clinical sample
and should not be used interchangeably.
PMID- 27187763
TI - Integrated modeling and design for realizing a two-wheeled wheelchair for
disabled.
AB - Two-wheeled wheelchairs are considered highly nonlinear and complex systems. The
systems mimic a double-inverted pendulum scenario and will provide better
maneuverability in confined spaces and also to reach higher level of height for
pick and place tasks. The challenge resides in modeling and control of the two
wheeled wheelchair to perform comparably to a normal four-wheeled wheelchair.
Most common modeling techniques have been accomplished by researchers utilizing
the basic Newton's Laws of motion and some have used 3D tools to model the system
where the models are much more theoretical and quite far from the practical
implementation. This article is aimed at closing the gap between the conventional
mathematical modeling approaches where the integrated 3D modeling approach with
validation on the actual hardware implementation was conducted. To achieve this,
both nonlinear and a linearized model in terms of state space model were obtained
from the mathematical model of the system for analysis and, thereafter, a 3D
virtual prototype of the wheelchair was developed, simulated, and analyzed. This
has increased the confidence level for the proposed platform and facilitated the
actual hardware implementation of the two-wheeled wheelchair. Results show that
the prototype developed and tested has successfully worked within the specific
requirements established.
PMID- 27187764
TI - Validation and determination of taselisib, a beta-sparing phosphoinositide 3
kinase (PI3K) inhibitor, in human plasma by LC-MS/MS.
AB - A liquid chromatographic-tandem mass spectrometry (LC-MS/MS) method for the
determination of taselisib (GDC-0032, RO5537381) concentrations in human plasma
has been developed and validated to support bioanalysis of clinical samples.
Solid phase extraction (SPE) was used to extract plasma samples (50MUL) and the
resulting samples were analyzed using reversed phase chromatography and mass
spectrometry coupled with an atmospheric pressure chemical ionization interface.
The mass analysis of taselisib was performed using multiple reaction monitoring
transitions in positive ionization mode. The method was validated over the
calibration curve range 0.400-400ng/mL using linear regression and 1/x(2)
weighting. The within-run relative standard deviation (%RSD) ranged from 1.3 to
5.6%, while the between-run %RSD varied from 2.0 to 4.5% for LLOQ, low, medium,
medium high and high QCs. The accuracy ranged from 94.7 to 100.3% of nominal for
within-run and 96.0-99.0% of nominal for between-run for the same QCs. Extraction
recovery of taselisib was between 83.8% and 92.9%. Stability of taselisib was
established in human plasma for 977days at -20 degrees C and -70 degrees C and
established in sample extracts for 96h when stored at 2 - 8 degrees C. Stable
labeled internal standard was used to minimize matrix effects. Mean single dose
pharmacokinetic parameters determined using this method for a phase I/II clinical
trial were: Cmax=35.2ng/mL, AUC0-inf=1570ngh/mL, and T1/2=39.3h.
PMID- 27187766
TI - Role of Distance in Singlet Oxygen Applications: A Model System.
AB - Herein, we present a model system that allows the investigation of a directed
intramolecular singlet oxygen ((1)O2) transfer. Furthermore, we show the
influence of singlet oxygen lifetime and diffusion coefficient (D) on the
preference of the intramolecular reaction over the intermolecular one in
competition experiments. Finally, we demonstrate the distance dependence in
quenching experiments, which enables us to draw conclusions about the role of
singlet oxygen and (1)O2 carriers in photodynamic therapy.
PMID- 27187765
TI - Bisphenol A is associated with insulin resistance and modulates adiponectin and
resistin gene expression in obese children.
AB - BACKGROUND: Bisphenol A (BPA) exposure has been associated with increased
incidence of diabetes and obesity in adults. OBJECTIVES: To evaluate whether an
association between BPA urinary levels and insulin resistance as well as
adiponectin and resistin production and serum concentrations may occur in obese
children. METHODS: Clinical and biochemical features of 141 obese children were
collected. Serum resistin and adiponectin were evaluated. Insulin resistance and
urinary BPA levels were assessed. Moreover, the effect of BPA on adiponectin and
resistin gene expression in adipocytes from eight normal weight prepubertal
children was investigated by quantitative real-time RT-PCR (qPCR). RESULTS:
Direct association between BPA and homeostasis model assessment (r = 0.23; p:
0.0069) and a strong inverse association between BPA and adiponectin have been
found (r = -0.48; p < 0.0001). In adipocytes, resistin expression was detected
only after BPA treatment, while adiponectin expression resulted down-regulated
after BPA exposure (p < 0.05 at both 10 and 100 nM BPA concentrations).
CONCLUSIONS: We suggest the involvement of BPA in the development of insulin
resistance in childhood obesity highlighting that urinary BPA levels are directly
associated with insulin resistance regardless of BMI. This association may be
explained, at least partly, by the findings that BPA affects resistin and
adiponectin production in adipose tissue cultures.
PMID- 27187767
TI - Dinucleating Ligand Platforms Supporting Indium and Zinc Catalysts for Cyclic
Ester Polymerization.
AB - The synthesis of the first alkoxide-bridged indium complex supported by a chiral
dinucleating ligand platform (1), along with its zinc analogue (2), is reported.
Both complexes are synthesized in a one-pot reaction starting from a chiral
dinucleating bis(diamino)phenolate ligand platform, sodium ethoxide, and
respective metal salts. The dinucleating indium analogue (7) based on an achiral
ligand backbone is also reported. Indium complexes bearing either the chiral or
achiral ligand catalyze the ring-opening polymerization of racemic lactide (rac
LA) to afford highly heterotactic poly(lactic acid) (PLA; Pr > 0.85). The indium
complex bearing an achiral ligand affords essentially atactic PLA from meso-LA.
The role of the dinucleating ligand structure in catalyst synthesis and
polymerization activity is discussed.
PMID- 27187769
TI - Inelastic Scattering of Identical Molecules within Framework of the Mixed
Quantum/Classical Theory: Application to Rotational Excitations in H2 + H2.
AB - Theoretical foundation is laid out for description of permutation symmetry in the
inelastic scattering processes that involve collisions of two identical
molecules, within the framework of the mixed quantum/classical theory (MQCT). In
this approach, the rotational (and vibrational) states of two molecules are
treated quantum-mechanically, whereas their translational motion (responsible for
scattering) is treated classically. This theory is applied to H2 + H2 system, and
the state-to-state transition cross sections are compared versus those obtained
from the full-quantum calculations and experimental results from the literature.
Good agreement is found in all cases. It is also found that results of MQCT,
where the Coriolis coupling is included classically, are somewhat closer to exact
full-quantum results than results of the other approximate quantum methods, where
those coupling terms are neglected. These new developments allow applications of
MQCT to a broad variety of molecular systems and processes.
PMID- 27187768
TI - Is Transcatheter Aortic Valve Implantation of Living Tissue-Engineered Valves
Feasible? An In Vitro Evaluation Utilizing a Decellularized and Reseeded
Biohybrid Valve.
AB - Transcatheter aortic valve implantation (TAVI) is a fast-growing, exciting field
of invasive therapy. During the last years many innovations significantly
improved this technique. However, the prostheses are still associated with
drawbacks. The aim of this study was to create cell-seeded biohybrid aortic
valves (BAVs) as an ideal implant by combination of assets of biological and
artificial materials. Furthermore, the influence of TAVI procedure on tissue
engineered BAV was investigated. BAV (n=6) were designed with decellularized
homograft cusps and polyurethane walls. They were seeded with fibroblasts and
endothelial cells isolated from saphenous veins. Consecutively, BAV were
conditioned under low pulsatile flow (500 mL/min) for 5 days in a specialized
bioreactor. After conditioning, TAVI-simulation was performed. The procedure was
concluded with re-perfusion of the BAV for 2 days at an increased pulsatile flow
(1100 mL/min). Functionality was assessed by video-documentation. Samples were
taken after each processing step and evaluated by scanning electron microscopy
(SEM), immunohistochemical staining (IHC), and Live/Dead-assays. The designed BAV
were fully functioning and displayed physiologic behavior. After cell seeding,
static cultivation and first conditioning, confluent cell layers were observed in
SEM. Additionally, IHC indicated the presence of endothelial cells and
fibroblasts. A significant construction of extracellular matrix was detected
after the conditioning phase. However, a large number of lethal cells were
observed after crimping by Live/Dead staining. Analysis revealed that the cells
while still being present directly after crimping were removed in subsequent
perfusion. Extensive regions of damaged cell-layers were detected by SEM-analysis
substantiating these findings. Furthermore, increased ICAM expression was
detected after re-perfusion as manifestation of inflammatory reaction. The
approach to generate biohybrid valves is promising. However, damages inflicted
during the crimping process seem not to be immediately detectable. Due to severe
impacts on seeded cells, the strategy of living TE valves for TAVI should be
reconsidered.
PMID- 27187770
TI - Therapist Adherence to Good Psychiatric Practice in a Short-Term Treatment for
Borderline Personality Disorder.
AB - Therapist adherence describes the quality of interventions according to the
imperatives of a treatment model. We examined the relationship between therapist
adherence and symptom change in the context of a short-term treatment with
respect good psychiatric management (GPM) principles. Based on a parent trial,
borderline personality disorder patients (N = 40) benefited from a 10-session
intervention. Adherence to GPM was assessed using a GPM Adherence Scale (GPMAS).
The psychometric properties of the GPMAS were excellent, and the adherence to GPM
explained 16% of the general symptom improvement (t(1) = 2.38, beta = 0.40, p =
0.02) and 23% of the borderline symptom improvement (t(1) = 2.46, beta = 0.48, p
= 0.02). Because GPM adherence predicts the outcome after only 10 sessions, GPMAS
is a valuable measure early on in psychiatric practice as part of an initial step
to longer-term treatment, to quickly detect problems and correct them.
PMID- 27187772
TI - Reply: Interplay Between Physical Activity and Sleep in Fatigue Modulation of
Cancer Patients.
PMID- 27187771
TI - Prevalence and Recognition of Depressive Disorder in Three Medical Outpatient
Departments of General Hospitals in Beijing, China.
AB - This purpose of this study was to explore the prevalence and recognition of
depressive disorders in cardiology, gastroenterology, and neurology outpatient
departments of general hospitals. Patients screened with a Hospital Anxiety and
Depression Scale score of 8 or higher were interviewed by psychiatrists using
Mini-International Neuropsychiatric Interview (MINI). Prevalence of depressive
disorders within the cohort was determined, sociodemographic data were analyzed
for correlations to a depression diagnosis, and comparisons between the surveys
and the clinical diagnosis were done to assess recognition of depressive
disorders by physicians. Of the patients screened for this study (1552 cases),
12.8% were diagnosed with depressive disorders by MINI, with major depressive
disorder, depression due to general medical conditions, and dysthymia having
prevalence values of 10.8%, 1.4%, and 0.6%, respectively. As compared with MINI,
physicians only recognized 27.6% of any of the depressive disorders. Among the
complaints examined, both mood problems and sleeping problems predicted the
probability of recognition.
PMID- 27187773
TI - Implementation of World Health Organization Integrated Management of Childhood
Illnesses (IMCI) Guidelines for the Assessment of Pneumonia in the Under 5s in
Rural Malawi.
AB - The Cooking and Pneumonia Study (CAPS) is a pragmatic cluster-level randomized
controlled trial of the effect of an advanced cookstove intervention on pneumonia
in children under the age of 5 years (under 5s) in Malawi (www.capstudy.org). The
primary outcome of the trial is the incidence of pneumonia during a two-year
follow-up period, as diagnosed by healthcare providers who are using the World
Health Organization (WHO) integrated management of childhood illnesses (IMCI)
pneumonia assessment protocol and who are blinded to the trial arms. We evaluated
the quality of pneumonia assessment in under 5s in this setting via a cross
sectional study of provider-patient encounters at nine outpatient clinics located
within the catchment area of 150 village-level clusters enrolled in the trial
across the two study locations of Chikhwawa and Karonga, Malawi, between May and
June 2015 using the IMCI guidelines as a benchmark. Data were collected using a
key equipment checklist, an IMCI pneumonia knowledge test, and a clinical
evaluation checklist. The median number of key equipment items available was 6
(range 4 to 7) out of a possible 7. The median score on the IMCI pneumonia
knowledge test among 23 clinicians was 75% (range 60% to 89%). Among a total of
176 consultations performed by 15 clinicians, a median of 9 (range 3 to 13) out
of 13 clinical evaluation tasks were performed. Overall, the clinicians were
adequately equipped for the assessment of sick children, had good knowledge of
the IMCI guidelines, and conducted largely thorough clinical evaluations. We
recommend the simple pragmatic approach to quality assurance described herein for
similar studies conducted in challenging research settings.
PMID- 27187774
TI - Video-Assisted Thoracoscopic Sympathectomy for Palmar Hyperhidrosis: A Meta
Analysis of Randomized Controlled Trials.
AB - OBJECTIVES: Video-assisted thoracoscopic sympathectomy (VTS) is effective in
treating palmar hyperhidrosis (PH). However, it is no consensus over which
segment should undergo VTS to maximize efficacy and minimize the complications of
compensatory hyperhidrosis (CH). This study was designed to compare the
efficiency and side effects of VTS of different segments in the treatment of PH.
METHODS: A comprehensive search of PubMed, Ovid MEDLINE, EMBASE, Web of Science,
ScienceDirect, the Cochrane Library, Scopus and Google Scholar was performed to
identify studies comparing VTS of different segments for treatment of PH. The
data was analyzed by Revman 5.3 software and SPSS 18.0. RESULTS: A total of eight
randomized controlled trials (RCTs) involving 1200 patients were included. Meta
analysis showed that single segment/low segments VTS could reduce the risk of
moderate/severe CH compared with multiple segments/high segments. The risk of
total CH had a similar trend. In the subgroup analysis of single segment VTS, no
significant differences were found between T2/T3 VTS and other segments in
postoperative CH and degree of CH. T4 VTS showed better efficacy in limiting CH
compared with other segments. CONCLUSIONS: T4 appears to be the best segment for
the surgical treatment of PH. Our findings require further validation in more
high-quality, large-scale randomized controlled trials.
PMID- 27187776
TI - First report of charge-transfer induced heat-set hydrogel. Structural insights
and remarkable properties.
AB - The remarkable ability of a charge-transfer (CT) complex prepared from a pyrene
based donor (Py-D) and a naphthalenediimide-based acceptor (NDI-A) led to the
formation of a deep-violet in color, transparent hydrogel at room temperature (RT
gel). Simultaneously, the RT-gel was diluted beyond its critical gelator
concentration (CGC) to obtain a transparent sol. Very interestingly, the
resultant sol, on heating above 70 degrees C, transformed into a heat-set gel
instantaneously with a hitherto unknown CGC value. Detailed studies revealed the
smaller globular aggregates of the RT-gels fuse to form giant globules upon
heating, which, in turn, resulted in heat-set gelation through further
aggregation. The thermoresponsive property of Py-D alone and 1 : 1 Py-D : NDI-A
CT complex was investigated in detail which revealed the hydrophobic collapse of
the oxyethylene chains of the CT complex upon heating was mainly responsible for
heat-set gelation. Thixotropy, injectability, as well as stimuli responsiveness
of the RT-gels were also addressed. In contrast, heat-set gel did not show
thixotropic behavior. The X-ray diffraction (XRD) patterns of the xerogel
depicted lamellar packing of the CT stacks in the gel phase. Single crystal XRD
studies further evidenced the 1 : 1 mixed CT stack formation in the lamellae and
also ruled out orthogonal hydrogen bonding possibilities among the hydrazide unit
in the CT gel although such interaction was observed in a single crystal of NDI-A
alone. In addition, a Ag(+)-ion triggered metallogelation of NDI-A and nematic
liquid-crystalline property of Py-D were also observed.
PMID- 27187775
TI - Burnout syndrome in first to sixth-year medical students at a private university
in the north of Mexico: descriptive cross-sectional study.
AB - INTRODUCTION: Burnout syndrome is a three-dimensional clinical syndrome caused by
stress at work. It is frequent in professions which require direct contact with
people. In Mexico, the presence of Burnout Syndrome in doctors and medical
students, is characterized as a threat to their health, quality of life and
professional performance. OBJECTIVES: To evaluate the prevalence of burnout
syndrome in students of years 1 through 6 of medical school at a private
university in northern Mexico. METHODS: Cross-sectional study in the Escuela de
Medicina Campus Laguna de la Universidad Autonoma de Durango. The one-dimensional
scale of Burnout Student (EUBE) and the Maslach Burnout Inventory (MBI) were
applied to the participants. SPSS 19 was used to analyze the data. RESULTS: Of
the 344 students, 255 participated; 153 from years 1 to 3 (group 1); and 72 from
years 4 to 6 (group 2). We found that 94.1% of the students of group 1 had mild
burnout syndrome, and 2.8% had moderate burnout syndrome. In Group 2, 27.8% had
moderate burnout syndrome, and 8.3% had severe burnout syndrome. The prevalence
of severe burnout syndrome was higher in group 2 than in group 1 (p=0.02).
CONCLUSIONS: Burnout syndrome affects medical students across all stages of their
studies, and develops in a progressive way. In our study, external factors have
no influence on the development of burnout syndrome.
PMID- 27187777
TI - What Is the Effect on Obesity Indicators from Replacing Prolonged Sedentary Time
with Brief Sedentary Bouts, Standing and Different Types of Physical Activity
during Working Days? A Cross-Sectional Accelerometer-Based Study among Blue
Collar Workers.
AB - INTRODUCTION: The aim of the study was to investigate if (a) substituting total
sedentary time or long sedentary bouts with standing or various types of physical
activity and (b) substituting long sedentary bouts with brief sedentary bouts; is
associated with obesity indicators using a cross sectional isotemporal
substitution approach among blue-collar workers. METHODS: A total of 692 workers
from transportation, manufacturing and cleaning sectors wore an Actigraph GT3X+
accelerometer on the thigh for 1-4 working days. The sedentary (sit and lie),
standing, walking, and moderate to vigorous physical activity (MVPA) time on
working days was computed using validated Acti4 software. The total sedentary
time and uninterrupted sedentary time spent in brief (<=5 mins), moderate (>5 and
<=30 mins), and long (>30mins) bouts, were determined for the whole day and
during work and non-work time separately. The obesity indicators, BMI (kg/m2),
waist circumference (cm) and fat percentage were objectively measured.
Isotemporal substitution modelling was utilized to determine the linear
association with obesity indicators of replacing 30 min of total sedentary time
or long sedentary bouts with standing, walking or MVPA and separately replacing
30 min of long sedentary bouts with brief sedentary bouts. RESULTS: Workers [mean
(standard deviation, SD); age = 45.1 (9.9) years, BMI = 27.5 (4.9) kg/m2, %BF =
29.6 (9.5), waist circumference = 94.4 (13.0) cm] sat for 2.4 hours (~32% of the
measured time, SD = 1.8 hours) across the day during work period and 5.5 hours
(~62% of the measured time, SD = 1.5 hours) during non-work period. Most of the
sedentary time was accrued in moderate bouts [work = 1.40 (SD = 1.09) hours]
during work and in long bouts during non-work [2.7 (SD = 1.4) hours], while least
in long sedentary bouts during work [work = 0.5 (SD = 0.9)] and in brief
sedentary bouts [0.5 hours (SD = 0.3)] during non-work. Significant associations
with all obesity indicators were found when 30 min of total sedentary time or
long sedentary bouts were replaced with standing time (~1-2% lower) or MVPA (~4
9% lower) during whole day, work, and non-work periods. The exception was that a
statistically significant association was not observed with any obesity indicator
when replacing total sedentary time or long sedentary bouts with standing time
during the work period. Significant beneficial associations were found when
replacing the long sedentary bouts with brief sedentary bouts (~3-5% lower)
during all domains. CONCLUSION: Replacing total sedentary time and long sedentary
bouts, respectively, not only with MVPA but also standing time appears to be
beneficially associated with obesity indicators among blue-collar workers.
Additionally, replacing long sedentary bouts with brief sedentary bouts was also
beneficially associated with obesity indicators. Studies using prospective design
are needed to confirm the findings.
PMID- 27187778
TI - Assessment of the Tumor Redox Status in Head and Neck Cancer by 62Cu-ATSM PET.
AB - PURPOSE: Tumor redox is an important factor for cancer progression, resistance to
treatments, and a poor prognosis. The aim of the present study was to define
tumor redox (over-reduction) using 62Cu-diacetyl-bis(N4-methylthiosemicarbazone)
(62Cu-ATSM) PET and compare its prognostic potential in head and neck cancer
(HNC) with that of 2-deoxy-2-[18F]fluoro-D-glucose (18F-FDG). METHODS: Thirty HNC
patients (stage II-IV) underwent pretreatment 62Cu-ATSM and 18F-FDG PET scans.
Maximum standardized uptake values (SUVATSM and SUVFDG) and tumor-to-muscle
activity concentration ratios (TMRATSM and TMRFDG) were measured. Reductive-tumor
volume (RTV) was then determined at four thresholds (40%, 50%, 60%, and 70%
SUVATSM), and total-lesion-reduction (TLR) was calculated as the product of the
mean SUV and RTV for 62Cu-ATSM. In 18F-FDG, metabolic-tumor-volume (MTV) and
total-lesion-glycolysis (TLG) were obtained at a threshold of 40%. A ROC analysis
was performed to determine % thresholds for RTV and TLR showing the best
predictive performance, and these were then used to determine the optimal cut-off
values to stratify patients for each parameter. Progression-free-survival (PFS)
and cause-specific-survival (CSS) were evaluated by the Kaplan-Meier method.
RESULTS: The means +/- standard deviations of PFS and CSS periods were 16.4+/
13.4 and 19.2+/-12.4 months, respectively. A ROC analysis determined that the 70%
SUVATSM threshold for RTV and TLR was the best for predicting disease progression
and cancer death. Optimal cut-offs for each index were SUVATSM = 3.6, SUVFDG =
7.9, TMRATSM = 3.2, TMRFDG = 5.6, RTV = 2.9, MTV = 8.1, TLR = 14.0, and TLG =
36.5. When the cut-offs for TMRATSM and TLR were set as described above in 62Cu
ATSM PET, patients with higher TMRATSM (p = 0.03) and greater TLR (p = 0.02)
showed significantly worse PFS, while patients with greater TLR had significantly
worse CSS (p = 0.02). Only MTV in 18F-FDG PET predicted differences in PSF and
CSS (p = 0.03 and p = 0.03, respectively). CONCLUSION: Tumor redox parameters
measured by 62Cu-ATSM PET may be determinants of HNC patient outcomes and help
define optimal patient-specific treatments.
PMID- 27187779
TI - Polyurethane Ionophore-Based Thin Layer Membranes for Voltammetric Ion Activity
Sensing.
AB - We report on a plasticized polyurethane ionophore-based thin film material (of
hundreds of nanometer thickness) for simultaneous voltammetric multianalyte ion
activity detection triggered by the oxidation/reduction of an underlying poly(3
octylthiophene) film. This material provides excellent mechanical, physical, and
chemical robustness compared to other polymers. Polyurethane films did not
exhibit leaching of lipophilic additives after rinsing with a direct water jet
and exhibited resistance to detachment from the underlying electrode surface,
resulting in a voltammetric current response with less than <1.5% RSD variation
(n = 50). In contrast, plasticized poly(vinyl chloride), polystyrene, and
poly(acrylate) ionophore-based membranes of the same thickness and composition
exhibited a significant deterioration of the signal after identical treatment.
While previously reported works emphasized fundamental advancement of multi-ion
detection with multi-ionophore-based thin films, polyurethane thin membranes
allow one to achieve real world measurements without sacrificing analytical
performance. Indeed, polyurethane membranes are demonstrated to be useful for the
simultaneous determination of potassium and lithium in undiluted human serum and
blood with attractive precision.
PMID- 27187780
TI - Posttraumatic Stress in Parents of Children Diagnosed with Cancer: Hyperarousal
and Avoidance as Mediators of the Relationship between Re-Experiencing and
Dysphoria.
AB - BACKGROUND: Increased understanding of the relationships between different
symptom clusters involved in posttraumatic stress symptoms (PTSS) could guide
empirical research and clinical practice. The objective of the present study was
to investigate whether hyperarousal and avoidance mediated the relationship
between re-experiencing and dysphoria in parents of children diagnosed with
cancer. METHODS: Longitudinal data from parents of children receiving cancer
therapy were used. PTSS were assessed using the PTSD Checklist Civilian Version
at one week (T1), two (T2) and four months (T3) after diagnosis. Mediation
analyses for multiple mediators were conducted for mothers (n = 122) and fathers
(n = 121), respectively. The mediation model tested the assumption that the PTSS
symptom clusters hyperarousal and avoidance mediated the relationship between re
experiencing and dysphoria. RESULTS: For fathers, none of the hypothesized
mediators were significant. For mothers, hyperarousal mediated the relationship
between re-experiencing and dysphoria, but avoidance did not. CONCLUSIONS:
Results suggest that hyperarousal is important for the development of dysphoria
in mothers, supporting use of interventions targeting such symptoms in the early
and ongoing period following the child's diagnosis.
PMID- 27187781
TI - Diverse Empirical Evidence on Epidemiological Transition in Low- and Middle
Income Countries: Population-Based Findings from INDEPTH Network Data.
AB - BACKGROUND: Low- and middle-income countries are often described as being at
intermediate stages of epidemiological transition, but there is little population
based data with reliable cause of death assignment to examine the situation in
more detail. Non-communicable diseases are widely seen as a coming threat to
population health, alongside receding burdens of infection. The INDEPTH Network
has collected empirical population data in a number of health and demographic
surveillance sites in low- and middle-income countries which permit more detailed
examination of mortality trends over time. OBJECTIVE: To examine cause-specific
mortality trends across all ages at INDEPTH Network sites in Africa and Asia
during the period 1992-2012. Emphasis is given to the 15-64 year age group, which
is the main focus of concern around the impact of the HIV pandemic and emerging
non-communicable disease threats. METHODS: INDEPTH Network public domain data
from 12 sites that each reported at least five years of cause-specific mortality
data were used. Causes of death were attributed using standardised WHO verbal
autopsy methods, and mortality rates were standardised for comparison using the
INDEPTH standard population. Annual changes in mortality rates were calculated
for each site. RESULTS: A total of 96,255 deaths were observed during 9,487,418
person years at the 12 sites. Verbal autopsies were completed for 86,039 deaths
(89.4%). There were substantial variations in mortality rates between sites and
over time. HIV-related mortality played a major part at sites in eastern and
southern Africa. Deaths in the age group 15-64 years accounted for 43% of overall
mortality. Trends in mortality were generally downwards, in some cases quite
rapidly so. The Bangladeshi sites reflected populations at later stages of
transition than in Africa, and were largely free of the effects of HIV/AIDS.
CONCLUSIONS: To some extent the patterns of epidemiological transition observed
followed theoretical expectations, despite the impact of the HIV pandemic having
a major effect in some locations. Trends towards lower overall mortality, driven
by decreasing infections, were the general pattern. Low- and middle-income
country populations appear to be in an era of rapid transition.
PMID- 27187783
TI - Comparative Safety of Pharmacologic Treatments for Persistent Depressive
Disorder: A Systematic Review and Network Meta-Analysis.
AB - We aimed to compare the safety of antidepressants for the treatment of persistent
depressive disorder (PDD) with each other and with placebo. We conducted a
systematic electronic search and included randomized controlled trials that
investigated antidepressants for the treatment of PDD in adults. Outcomes were
the incidence of experiencing any adverse event, specific adverse events and
related treatment discontinuations. We analyzed the data using traditional and
network meta-analyses. Thirty-four studies that comprised 4,769 patients and
examined 20 individual agents in nine substance classes were included. Almost all
analyzed substance classes were associated with higher discontinuation rates than
placebo including tricyclic antidepressants (TCAs), selective serotonin reuptake
inhibitors (SSRIs), monoamine oxidase inhibitors (MAOIs), antipsychotics, and the
serotonin antagonist and reuptake inhibitor (SARI) trazodone. The odds of
experiencing any adverse event were significantly higher for TCAs and serotonin
noradrenaline reuptake inhibitors (SNRIs) compared to placebo. Pairwise
comparisons among the substance classes revealed that more patients receiving
TCAs or SNRIs experienced any adverse event and that more patients receiving TCAs
or the SARI trazodone discontinued treatment. The complementary treatment with
acetyl-l-carnitine showed lower rates of experiencing any adverse event and
related discontinuations than all other comparators. TCAs were primarily
associated with (anti-)cholinergic and sedating adverse events. SSRIs primarily
showed gastrointestinal adverse events. Patients treated with the antipsychotic
amisulpride were more likely to manifest weight gain and endocrine adverse
events. The comparative evidence for further agents was insufficient or lacking.
The identified safety differences may be used to inform the selection among the
antidepressants.
PMID- 27187784
TI - Capture of Tumor Cells on Anti-EpCAM-Functionalized Poly(acrylic acid)-Coated
Surfaces.
AB - The presence of tumor cells in blood is predictive of short survival in several
cancers and their isolation and characterization can guide toward the use of more
effective treatments. These circulating tumor cells (CTC) are, however, extremely
rare and require a technology that is sufficiently sensitive and specific to
identify CTC against a background of billions of blood cells. Immuno-capture of
cells expressing the epithelial cell adhesion molecule (EpCAM) are frequently
used to enrich CTC from blood. The choice of bio conjugation strategy and
antibody clone is crucial for adequate cell capture but is poorly understood. In
this study, we determined the binding affinity constants and epitope binding of
the EpCAM antibodies VU1D-9, HO-3, EpAb3-5, and MJ-37 by surface plasmon
resonance imaging (SPRi). Glass surfaces were coated using a poly(acrylic acid)
based coating and functionalized with anti-EpCAM antibodies. Binding of cells
from the breast carcinoma cell line (SKBR-3) to the functionalized surfaces were
compared. Although EpAb3-5 displayed the highest binding affinity HO-3 captured
the highest amount of cells. Hence we report differences in the performance of
the different antibodies and more importantly that the choice of antibody to
capture CTC should be based on multiple assays.
PMID- 27187785
TI - Safety considerations when treating myelofibrosis.
AB - INTRODUCTION: Myelofibrosis (MF) is a clonal disorder leading to marrow fibrosis,
cytopenias and extramedullary haematopoiesis. AREAS COVERED: Generic management
of MF with a specific focus on the efficacy and safety profile of the Janus
Kinase (JAK)1/JAK 2 kinase inhibitor, ruxolitinib (Novartis Pharmaceuticals,
Basel, Switzerland), will be discussed. This agent has manageable haematological
side effects and possesses both beneficial and potentially detrimental
immunosuppressive effects. Multiple JAK inhibitors are in various stages of
development but some have been withdrawn due to unexpected toxicities such as the
occurrence of Wernicke's encephalopathy (Fedratinib; Sanofi, Paris). Traditional
therapies such as hydroxycarbamide, interferon, immunomodulatory drugs and
androgens will also be discussed. EXPERT OPINION: Therapeutic options in MF have
expanded with the introduction of JAK inhibitors. Ruxolitinib benefits many
patients with symptomatic MF. Other JAK inhibitors such as momelotinib may have
the additional benefit of alleviating anaemia. Unfortunately, there is no current
JAK inhibitor option for patients with severe thrombocytopenia as pacritinib was
recently put on clinical hold due to adverse events. Careful consideration needs
to be given towards optimal management of patients who lose their response/are
resistant to JAK inhibitor therapies and those with a high risk mutational status
but lower risk prognostic score.
PMID- 27187786
TI - The effect of the synthetic route on the structural, textural, morphological and
catalytic properties of iron(iii) oxides and oxyhydroxides.
AB - A variety of iron(iii) oxides and oxyhydroxides were synthesised and
characterised using three distinct methods of preparation: microemulsion,
precipitation and sol-gel. The results clearly showed that the structure,
textural properties, crystal morphology and catalytic performance of the phases
obtained were highly dependent on the chemical routes used for the synthesis.
Precipitation and microemulsion methods allowed obtaining mesoporous
nanostructured iron(iii) oxides with mean particle sizes of 4 nm (amorphous
hematite) and 7 nm (ferrihydrite), which exhibited a high surface area (291.4
m(2) g(-1) and 192.3 m(2) g(-1), respectively) and a very good catalytic
behaviour in the advanced oxidation of highly non-biodegradable wastewaters. The
different conditions employed in the synthesis of these materials through the sol
gel method yielded two goethites with practically the same catalytic properties,
but dissimilar morphologies and texture. When soft agitation and slow addition of
the precipitating agent were used, the resulting material (G1) was made up of
shorter and finer particles, markedly acicular, with an average length of 400 +/-
50 nm and width of 15 +/- 5 nm. However, vigorous agitation and rapid addition of
the precipitating agent led to the formation of longer and coarser particles,
moderately acicular, the average length and width being 950 +/- 100 nm and 140 +/
20 nm, respectively. The use of the sol-gel technique also resulted in the
formation of a solid consisting of a mixture of hematite as the main crystalline
phase and goethite particles dispersed among the hematite particles. This solid
presented a low specific surface area (13.2 m(2) g(-1)) and lower catalytic
activity. Therefore, precipitation and microemulsion proved to be the most
suitable techniques to synthesise catalytically active disordered iron(iii) oxide
nanoparticles, due to the presence of highly reactive non-stoichiometric
iron(iii) ions, a higher surface area and smaller particle sizes.
PMID- 27187782
TI - Estimating the Risk of Chronic Pain: Development and Validation of a Prognostic
Model (PICKUP) for Patients with Acute Low Back Pain.
AB - BACKGROUND: Low back pain (LBP) is a major health problem. Globally it is
responsible for the most years lived with disability. The most problematic type
of LBP is chronic LBP (pain lasting longer than 3 mo); it has a poor prognosis
and is costly, and interventions are only moderately effective. Targeting
interventions according to risk profile is a promising approach to prevent the
onset of chronic LBP. Developing accurate prognostic models is the first step. No
validated prognostic models are available to accurately predict the onset of
chronic LBP. The primary aim of this study was to develop and validate a
prognostic model to estimate the risk of chronic LBP. METHODS AND FINDINGS: We
used the PROGRESS framework to specify a priori methods, which we published in a
study protocol. Data from 2,758 patients with acute LBP attending primary care in
Australia between 5 November 2003 and 15 July 2005 (development sample, n =
1,230) and between 10 November 2009 and 5 February 2013 (external validation
sample, n = 1,528) were used to develop and externally validate the model. The
primary outcome was chronic LBP (ongoing pain at 3 mo). In all, 30% of the
development sample and 19% of the external validation sample developed chronic
LBP. In the external validation sample, the primary model (PICKUP) discriminated
between those who did and did not develop chronic LBP with acceptable performance
(area under the receiver operating characteristic curve 0.66 [95% CI 0.63 to
0.69]). Although model calibration was also acceptable in the external validation
sample (intercept = -0.55, slope = 0.89), some miscalibration was observed for
high-risk groups. The decision curve analysis estimated that, if decisions to
recommend further intervention were based on risk scores, screening could lead to
a net reduction of 40 unnecessary interventions for every 100 patients presenting
to primary care compared to a "treat all" approach. Limitations of the method
include the model being restricted to using prognostic factors measured in
existing studies and using stepwise methods to specify the model. Limitations of
the model include modest discrimination performance. The model also requires
recalibration for local settings. CONCLUSIONS: Based on its performance in these
cohorts, this five-item prognostic model for patients with acute LBP may be a
useful tool for estimating risk of chronic LBP. Further validation is required to
determine whether screening with this model leads to a net reduction in
unnecessary interventions provided to low-risk patients.
PMID- 27187788
TI - A Catalytic Peterson-like Synthesis of Alkenyl Nitriles.
AB - A heterogeneous fluoride catalyst was found to enable the straightforward
formation of alkenyl nitriles from the reaction of aldehydes and simple or
substituted acetonitriles, in the presence of commercially available silazanes
and in solvent-free conditions. The protocol afforded the products in good to
excellent yields with selectivity values dependent on the nature of the
substrates. It represents an alternative to classic approaches using
stoichiometric strong bases, and the catalyst can be easily recovered and reused
for consecutive cycles.
PMID- 27187789
TI - Conceptual clarifications regarding Chilean Act 20850 on public funding of high
cost diseases.
AB - In 2015, Chile enacted the 20850 law, providing public funds for rare and costly
diseases that demanded high diagnostic and therapeutic expenditures. The law
modifies the Chilean Sanitary Code regulation of research with human beings,
aiming at the protection of subjects by securing post-investigational medical
benefits and insurance coverage for damage imputable to the research they
participated in. Due to ambiguous phrasing, a polemic rose for fear that these
protective measures applied to all clinical research, although a careful reading
of the law in its context clearly suggests that it refers to phase I therapeutic
trials. This paper stresses the distinction between compassionate use and genuine
phase I/II therapeutic trials aimed at both pharmacodynamics and an intended
therapeutic effect for severe and progressive diseases that are therapeutically
orphaned, emphasizing the ethical and medical duty of providing post-trial
beneficial medication.
PMID- 27187790
TI - Transport studies in 2D transition metal dichalcogenides and black phosphorus.
AB - Two-dimensional (2D) materials are a new family of materials with interesting
physical properties, ranging from insulating hexagonal boron nitride,
semiconducting or semi-metallic transition metal dichalcogenides, to gapless
metallic graphene. In this review, we provide a brief discussion of transport
studies in transition metal dichalcogenides, including both semiconducting and
semi-metallic phases, as well as a discussion of the newly emerged narrow bandgap
layered material, black phosphorus, in terms of its electrical and quantum
transport properties at room and cryogenic temperatures. Ultra-thin layered
channel materials with atomic layer thickness in the cross-plane direction,
together with relatively high carrier mobility with appropriate passivation
techniques, provide the promise for new scientific discoveries and broad device
applications.
PMID- 27187787
TI - Tgif1 and Tgif2 Regulate Axial Patterning in Mouse.
AB - Tgif1 and Tgif2 are transcriptional repressors that inhibit the transcriptional
response to transforming growth factor beta signaling, and can repress gene
expression by direct binding to DNA. Loss of function mutations in TGIF1 are
associated with holoprosencephaly (HPE) in humans. In mice, embryos lacking both
Tgif1 and Tgif2 fail to complete gastrulation, and conditional double null
embryos that survive past gastrulation have HPE and do not survive past mid
gestation. Here we show that in mice of a relatively pure C57BL/6 strain
background, loss of Tgif1 alone results in defective axial patterning and altered
expression of Hoxc6. The primary defects in Tgif1 null embryos are the presence
of extra ribs on the C7 vertebra, consistent with a posterior transformation
phenotype. In addition we observed defective cervical vertebrae, primarily C1-C5,
in both adult mice and embryos that lacked Tgif1. The combination of Tgif1 and
Tgif2 mutations increases the severity and penetrance of the posterior
transformation phenotype, without altering the type of defects seen. Similarly,
exposure of Tgif1 mutant embryos to retinoic acid at E8.5 increased the severity
and penetrance of the Tgif1 phenotype. This suggests that Tgif1 and Tgif2
regulate axial patterning and that reduced TGIF function sensitizes embryos to
the effects of retinoic acid.
PMID- 27187792
TI - Nanoemulsion: for improved oral delivery of repaglinide.
AB - Repaglinide (RPG) is a fast-acting prandial glucose regulator. It acts by
stimulating insulin release from pancreatic beta-cells. Recurrent dosing of RPG
before each meal is burdensome remedy. Hence the plan of the present study was to
evaluate nanoemulsion as a hopeful carrier for RPG for persistent hypoglycemic
effect. The drug was incorporated into oil phase of nanoemulsion to give improved
biopharmaceutical properties as compared to the lipid-based systems. Pseudo
ternary phase diagrams were prepared by aqueous titration method. Formulations
were selected at a difference of 5% w/w of oil from the o/w nanoemulsion region
of phase diagrams. The optimized nanoemulsion formulation constituted sefsol-218
(5% v/v) as an oil phase, 30% v/v of Tween-80 and transcutol as a surfactant and
co-surfactant to restrain nanodroplet size and low viscosity and distilled water
(65%). In vitro dissolution studies showed higher drug release (98.22%), finest
droplet size (76.23 nm), slightest polydispersity value (0.183), least viscosity
(21.45 cps) and immeasurable dilution capability from the nanoemulsion as
compared with existing oral tablet formulation. The optimized RPG nanoemulsion
formulation showed better hypoglycemic effect in comparison to tablet formulation
in experimental diabetic rats. No significant variations were also observed in
the optimized formulation when subjected to accelerated stability study at
different temperature and relative humidity over a period of 3 months.
PMID- 27187791
TI - Functional Green-Tuned Proteorhodopsin from Modern Stromatolites.
AB - The sequenced genome of the poly-extremophile Exiguobacterium sp. S17, isolated
from modern stromatolites at Laguna Socompa (3,570 m), a High-Altitude Andean
Lake (HAAL) in Argentinean Puna revealed a putative proteorhodopsin-encoding
gene. The HAAL area is exposed to the highest UV irradiation on Earth, making the
microbial community living in the stromatolites test cases for survival
strategies under extreme conditions. The heterologous expressed protein E17R from
Exiguobacterium (248 amino acids, 85% sequence identity to its ortholog ESR from
E. sibiricum) was assembled with retinal displaying an absorbance maximum at 524
nm, which makes it a member of the green-absorbing PR-subfamily. Titration down
to low pH values (eventually causing partial protein denaturation) indicated a pK
value between two and three. Global fitting of data from laser flash-induced
absorption changes gave evidence for an early red-shifted intermediate (its
formation being below the experimental resolution) that decayed (tau1 = 3.5 MUs)
into another red-shifted intermediate. This species decayed in a two-step process
(tau2 = 84 MUs, tau3 = 11 ms), to which the initial state of E17-PR was reformed
with a kinetics of 2 ms. Proton transport capability of the HAAL protein was
determined by BLM measurements. Additional blue light irradiation reduced the
proton current, clearly identifying a blue light absorbing, M-like intermediate.
The apparent absence of this intermediate is explained by closely matching
formation and decay kinetics.
PMID- 27187793
TI - Chronic dietary exposure to pesticide residues and associated risk in the French
ELFE cohort of pregnant women.
AB - Dietary exposure to pesticide residues may present a risk to public health,
especially for sensitive populations such as pregnant women. To characterize this
risk, this study assessed chronic dietary exposure to pesticide residues based on
the French ELFE cohort. A self-administered food frequency questionnaire (FFQ)
about the last three months of pregnancy filled in by pregnant women in 2011 was
used in combination with occurrence data from French Total Diet Studies completed
by the results of national monitoring programs on pesticide residues in food. The
dietary intake of pesticides (MUg/kg of body weight/day) was estimated for 14,099
pregnant women with a complete FFQ, for 317 substances under two occurrence
scenarios to handle left-censored data: a lower-bound scenario (LB), where
undetected results were set to zero, and an upper-bound scenario (UB), where
undetected results were set to the detection limit if the substance was expected
to be found in food and zero if it was not. The risk was assessed for 284
substances with a toxicological reference value (TRV) and a good coverage level
of the diet potentially contributing to pesticide intake. The cumulative risk was
also assessed for seven effects on nervous and thyroid systems using the hazard
index and the Cumulative Assessment Groups defined by EFSA. Substances with the
highest exposure levels under the LB scenario were, in decreasing order,
imazalil, piperonyl butoxide, chlorpropham, thiabendazole, iprodione and
propargite. Under the LB scenario, only for lindane did women have a
statistically significant probability of exceeding the TRV (2.4%). Under the UB
scenario, risk could not be excluded for nine other substances. A better
management of left-censored data and more sensitive analyses of the main food
contributors might help to refine the UB exposure and risk assessments. A
statistically significant cumulative risk was found for neurochemical effects
related to high intake levels of three organophosphate insecticides
(chlorpyrifos, pirimiphos-methyl and dimethoate) mainly detected in fruits and
cereals.
PMID- 27187794
TI - Regulation of infection efficiency in a globally abundant marine Bacteriodetes
virus.
AB - Bacteria impact humans, industry and nature, but do so under viral constraints.
Problematically, knowledge of viral infection efficiencies and outcomes derives
from few model systems that over-represent efficient lytic infections and under
represent virus-host natural diversity. Here we sought to understand infection
efficiency regulation in an emerging environmental Bacteroidetes-virus model
system with markedly different outcomes on two genetically and physiologically
nearly identical host strains. For this, we quantified bacterial virus (phage)
and host DNA, transcripts and phage particles throughout both infections. While
phage transcriptomes were similar, transcriptional differences between hosts
suggested host-derived regulation of infection efficiency. Specifically, the
alternative host overexpressed DNA degradation genes and underexpressed
translation genes, which seemingly targeted phage DNA particle production, as
experiments revealed they were both significantly delayed (by >30 min) and
reduced (by >50%) in the inefficient infection. This suggests phage failure to
repress early alternative host expression and stress response allowed the host to
respond against infection by delaying phage DNA replication and protein
translation. Given that this phage type is ubiquitous and abundant in the global
oceans and that variable viral infection efficiencies are central to dynamic
ecosystems, these data provide a critically needed foundation for understanding
and modeling viral infections in nature.
PMID- 27187795
TI - Contribution of ammonia oxidation to chemoautotrophy in Antarctic coastal waters.
AB - There are few measurements of nitrification in polar regions, yet geochemical
evidence suggests that it is significant, and chemoautotrophy supported by
nitrification has been suggested as an important contribution to prokaryotic
production during the polar winter. This study reports seasonal ammonia oxidation
(AO) rates, gene and transcript abundance in continental shelf waters west of the
Antarctic Peninsula, where Thaumarchaeota strongly dominate populations of
ammonia-oxidizing organisms. Higher AO rates were observed in the late winter
surface mixed layer compared with the same water mass sampled during summer
(mean+/-s.e.: 62+/-16 versus 13+/-2.8 nm per day, t-test P<0.0005). AO rates in
the circumpolar deep water did not differ between seasons (21+/-5.7 versus 24+/
6.6 nm per day; P=0.83), despite 5- to 20-fold greater Thaumarchaeota abundance
during summer. AO rates correlated with concentrations of Archaea ammonia
monooxygenase (amoA) genes during summer, but not with concentrations of Archaea
amoA transcripts, or with ratios of Archaea amoA transcripts per gene, or with
concentrations of Betaproteobacterial amoA genes or transcripts. The AO rates we
report (<0.1-220 nm per day) are ~10-fold greater than reported previously for
Antarctic waters and suggest that inclusion of Antarctic coastal waters in global
estimates of oceanic nitrification could increase global rate estimates by ~9%.
Chemoautotrophic carbon fixation supported by AO was 3-6% of annualized
phytoplankton primary production and production of Thaumarchaeota biomass
supported by AO could account for ~9% of the bacterioplankton production measured
in winter. Growth rates of thaumarchaeote populations inferred from AO rates
averaged 0.3 per day and ranged from 0.01 to 2.1 per day.
PMID- 27187796
TI - Biogeography of sulfur-oxidizing Acidithiobacillus populations in extremely
acidic cave biofilms.
AB - Extremely acidic (pH 0-1.5) Acidithiobacillus-dominated biofilms known as
snottites are found in sulfide-rich caves around the world. Given the extreme
geochemistry and subsurface location of the biofilms, we hypothesized that
snottite Acidithiobacillus populations would be genetically isolated. We
therefore investigated biogeographic relationships among snottite
Acidithiobacillus spp. separated by geographic distances ranging from meters to
1000s of kilometers. We determined genetic relationships among the populations
using techniques with three levels of resolution: (i) 16S rRNA gene sequencing,
(ii) 16S-23S intergenic transcribed spacer (ITS) region sequencing and (iii)
multi-locus sequencing typing (MLST). We also used metagenomics to compare
functional gene characteristics of select populations. Based on 16S rRNA genes,
snottites in Italy and Mexico are dominated by different sulfur-oxidizing
Acidithiobacillus spp. Based on ITS sequences, Acidithiobacillus thiooxidans
strains from different cave systems in Italy are genetically distinct. Based on
MLST of isolates from Italy, genetic distance is positively correlated with
geographic distance both among and within caves. However, metagenomics revealed
that At. thiooxidans populations from different cave systems in Italy have
different sulfur oxidation pathways and potentially other significant differences
in metabolic capabilities. In light of those genomic differences, we argue that
the observed correlation between genetic and geographic distance among snottite
Acidithiobacillus populations is partially explained by an evolutionary model in
which separate cave systems were stochastically colonized by different ancestral
surface populations, which then continued to diverge and adapt in situ.
PMID- 27187798
TI - Not All That Glitters Is Gold: Metal-Migration-Induced Degradation in Perovskite
Solar Cells.
AB - Perovskite solar cells (PSCs) have now achieved efficiencies in excess of 22%,
but very little is known about their long-term stability under thermal stress. So
far, stability reports have hinted at the importance of substituting the organic
components, but little attention has been given to the metal contact. We
investigated the stability of state-of-the-art PSCs with efficiencies exceeding
20%. Remarkably, we found that exposing PSCs to a temperature of 70 degrees C is
enough to induce gold migration through the hole-transporting layer (HTL), spiro
MeOTAD, and into the perovskite material, which in turn severely affects the
device performance metrics under working conditions. Importantly, we found that
the main cause of irreversible degradation is not due to decomposition of the
organic and hybrid perovskite layers. By introducing a Cr metal interlayer
between the HTL and gold electrode, high-temperature-induced irreversible long
term losses are avoided. This key finding is essential in the quest for achieving
high efficiency, long-term stable PSCs which, in order to be commercially viable,
need to withstand hard thermal stress tests.
PMID- 27187797
TI - Powerful Complex Immunoadjuvant Based on Synergistic Effect of Combined TLR4 and
NOD2 Activation Significantly Enhances Magnitude of Humoral and Cellular Adaptive
Immune Responses.
AB - Binding of pattern recognition receptors (PRRs) by pathogen-associated molecular
patterns (PAMPs) activates innate immune responses and contributes to development
of adaptive immunity. Simultaneous stimulation of different types of PRRs can
have synergistic immunostimulatory effects resulting in enhanced production of
molecules that mediate innate immunity such as inflammatory cytokines,
antimicrobial peptides, etc. Here, we evaluated the impact of combined
stimulation of PRRs from different families on adaptive immunity by generating
alum-based vaccine formulations with ovalbumin as a model antigen and the Toll
like receptor 4 (TLR4) agonist MPLA and the Nucleotide-binding oligomerization
domain-containing protein 2 (NOD2) agonist MDP adsorbed individually or together
on the alum-ovalbumin particles. Multiple in vitro and in vivo readouts of immune
system activation all showed that while individual PRR agonists increased the
immunogenicity of vaccines compared to alum alone, the combination of both PRR
agonists was significantly more effective. Combined stimulation of TLR4 and NOD2
results in a stronger and broader transcriptional response in THP-1 cells
compared to individual PRR stimulation. Immunostimulatory composition containing
both PRR agonists (MPLA and MDP) in the context of the alum-based ovalbumin
vaccine also enhanced uptake of vaccine particles by bone marrow derived
dendritic cells (BMDCs) and promoted maturation (up-regulation of expression of
CD80, CD86, MHCII) and activation (production of cytokines) of BMDCs. Finally,
immunization of mice with vaccine particles containing both PRR agonists resulted
in enhanced cellular immunity as indicated by increased proliferation and
activation (IFN-gamma production) of splenic CD4+ and CD8+ T cells following in
vitro restimulation with ovalbumin and enhanced humoral immunity as indicated by
higher titers of ovalbumin-specific IgG antibodies. These results indicate that
combined stimulation of TLR4 and NOD2 receptors dramatically enhances activation
of both the humoral and cellular branches of adaptive immunity and suggests that
inclusion of agonists of these receptors in standard alum-based adjuvants could
be used to improve the effectiveness of vaccination.
PMID- 27187799
TI - Protein-Framed Multi-Porphyrin Micelles for a Hybrid Natural-Artificial Light
Harvesting Nanosystem.
AB - A micelle-like hybrid natural-artificial light-harvesting nanosystem was prepared
through protein-framed electrostatic self-assembly of phycocyanin and a four
armed porphyrin star polymer. The nanosystem has a special structure of
pomegranate-like unimolecular micelle aggregate with one phycocyanin acceptor in
the center and multiple porphyrin donors in the shell. It can inhibit donor self
quenching effectively and display efficient transfer of excitation energy (about
80.1 %) in water. Furthermore, the number of donors contributing to a single
acceptor could reach as high as about 179 in this nanosystem.
PMID- 27187800
TI - Three-Dimensional Reconstruction of the Bony Nasolacrimal Canal by Automated
Segmentation of Computed Tomography Images.
AB - OBJECTIVE: To apply a fully automated method to quantify the 3D structure of the
bony nasolacrimal canal (NLC) from CT scans whereby the size and main
morphometric characteristics of the canal can be determined. DESIGN: Cross
sectional study. SUBJECTS: 36 eyes of 18 healthy individuals. METHODS: Using
software designed to detect the boundaries of the NLC on CT images, 36 NLC
reconstructions were prepared. These reconstructions were then used to calculate
NLC volume. The NLC axis in each case was determined according to a polygonal
model and to 2nd, 3rd and 4th degree polynomials. From these models, NLC
sectional areas and length were determined. For each variable, descriptive
statistics and normality tests (Kolmogorov-Smirnov and Shapiro-Wilk) were
established. MAIN OUTCOME MEASURES: Time for segmentation, NLC volume, axis,
sectional areas and length. RESULTS: Mean processing time was around 30 seconds
for segmenting each canal. All the variables generated were normally distributed.
Measurements obtained using the four models polygonal, 2nd, 3rd and 4th degree
polynomial, respectively, were: mean canal length 14.74, 14.3, 14.80, and 15.03
mm; mean sectional area 15.15, 11.77, 11.43, and 11.56 mm2; minimum sectional
area 8.69, 7.62, 7.40, and 7.19 mm2; and mean depth of minimum sectional area
(craniocaudal) 7.85, 7.71, 8.19, and 8.08 mm. CONCLUSION: The method proposed
automatically reconstructs the NLC on CT scans. Using these reconstructions,
morphometric measurements can be calculated from NLC axis estimates based on
polygonal and 2nd, 3rd and 4th polynomial models.
PMID- 27187801
TI - Histologic types of gastric cancer among migrants from the former Soviet Union
and the general population in Germany: what kind of prevention do we need?
AB - OBJECTIVE: The incidence of gastric cancer (GC) is high among migrants from
Eastern Europe and Asia, but a detailed picture of disease characteristics is
missing. Our study examined the incidence of histologic types among resettlers
from the former Soviet Union and the general population in Germany to draw
conclusions on risk factors and possible prevention strategies. METHODS: Between
1990 and 2009, all GC diagnoses among a cohort of 18 619 resettlers residing in
the Saarland were identified in the Saarland Cancer Registry database. Age
standardized incidence rates (ASRs) of the entire Saarland population and
standardized incidence ratios (SIRs) of resettlers compared with the Saarland
population were calculated for types according to Lauren. In addition, ASRs and
SIRs were modeled using Poisson's regression to investigate time trends. RESULTS:
The ASR of intestinal GC in the Saarland population decreased over time, whereas
the ASR of diffuse GC remained unchanged. Resettlers' incidence of intestinal GC
was elevated among men [SIR: 3.04, 95% confidence interval (CI): 2.05-4.50] and
women (SIR: 2.78, 95% CI: 1.61-4.79), whereas diffuse GC was elevated only among
women (SIR: 1.98, 95% CI: 1.07-3.69). No time trends for SIRs could be observed
in regression analysis. CONCLUSION: Different trends of diffuse GC incidence in
Germany and the USA underline the importance of environmental risk factors. The
continuously elevated risk of GC among male resettlers is probably associated
with risk factors affecting exclusively the intestinal type such as a low intake
of fruit and vegetables and heavy alcohol consumption. Future prevention programs
for resettlers should include dietary measures.
PMID- 27187802
TI - Fabrication of high aspect ratio nanogrid transparent electrodes via capillary
assembly of Ag nanoparticles.
AB - In this report, we describe the fabrication of periodic Ag nanogrid electrodes by
capillary assembly of silver nanoparticles (AgNPs) along patterned nanogrid
templates. By assembling the AgNPs into these high-aspect-ratio nanogrid
patterns, we can obtain high-aspect-ratio nanogratings, which can overcome the
inherent trade-off between the optical transmittance and the sheet resistance of
transparent electrodes. The junction resistance between the AgNPs is effectively
reduced by photochemical welding and post-annealing. The fabricated high-aspect
ratio nanogrid structure with a line width of 150 nm and a height of 450 nm has a
sheet resistance of 15.2 Omega sq(-1) and an optical transmittance of 85.4%.
PMID- 27187803
TI - The Clinical Challenge of Sepsis Identification and Monitoring.
AB - Jean-Louis Vincent outlines why combinations of biomarkers will be central to the
future of sepsis diagnosis.
PMID- 27187805
TI - A new irregular monoterpene acetate along with eight known compounds with
antifungal potential from the aerial parts of Artemisia incisa Pamp (Asteraceae).
AB - A new compound named as santolinylol-3-acetate (4-(2-hydroxypropan-2-yl)-2
methylhexa-1,5-dien-3-yl acetate) (3), along with seven known compounds; linoleic
acid (1), benzoic acid (2), santolinylol (4), ethyl-(E)-p-hydroxy cinnamate (5),
scopoletin (6), esculetin (7) isofraxidin (8) and eupatorin (9), were isolated
from the aerial parts (ethanolic extract) of endangered species: Artemisia incisa
Pamp (Asteraceae). The compounds' structures were determined through modern
spectroscopic techniques, and comparison of data (physicochemical constants) with
the literature. The relative stereochemistry of santolinylol-3-acetate (3) was
determined by comparing its data of NOESY, and specific rotation with its diol
analogue; santolinylol (4), isolated from the same plant; A. incisa. The results
of the antifungal activity showed that coumarins are as whole less active
compounds. Compounds 3 (25 and 300 MUg/mL), and 4 (12.5 and 300 MUg/mL), showed
good activities against Candida albicans, and Aspergillus flavus, respectively,
which justifies A. incisa as a traditional medicine for curing the said fungal
infections.
PMID- 27187806
TI - The Role of Social Workers in Spiritual Care to Facilitate Coping With Chronic
Illness and Self-Determination in Advance Care Planning.
AB - Spiritual values and beliefs of patients and families influence resilience during
chronic illness and shape patient choices during advance care planning. The
spiritual needs of Baby Boomers will be more diverse than previous generations,
in connection with the questioning, experimental mind-set of this group and the
fact that it includes a higher proportion of immigrant populations outside the
Judeo-Christian tradition. Social workers are trained explicitly to intervene
with diverse populations and are well positioned to offer spiritual support in
ways that do not necessarily conform to traditional religions. To the extent of
their individual expertise and competence, social workers should assess and
provide spiritual care to clients, including those who either are underserved or
prefer not to seek assistance from clergy or chaplains because they feel
alienated from religious institutions and representatives. They should also be
aware of ethical dilemmas in consulting with spiritual care professionals in
developing spiritual interventions. Social work education should address clients'
humanistic and existential concerns, beliefs and behaviors of the major
religions, and forms of nontraditional religious and spiritual experiences; it
should also provide experiential opportunities for engaging with grief and
earlier advance care planning. There should be attention to different theodical
perspectives of the major religions regarding the problem of good and evil, which
may preoccupy even clients who no longer participate in organized religion,
because these unresolved existential issues may weaken client coping with chronic
conditions and may diminish clarity and self-awareness for engaging authentically
and effectively in advance care planning.
PMID- 27187807
TI - Coencapsulation of alendronate and doxorubicin in pegylated liposomes: a novel
formulation for chemoimmunotherapy of cancer.
AB - We developed a pegylated liposome formulation of a dissociable salt of a nitrogen
containing bisphosphonate, alendronate (Ald), coencapsulated with the
anthracycline, doxorubicin (Dox), a commonly used chemotherapeutic agent.
Liposome-encapsulated ammonium Ald generates a gradient driving Dox into
liposomes, forming a salt that holds both drugs in the liposome water phase. The
resulting formulation (PLAD) allows for a high-loading efficiency of Dox,
comparable to that of clinically approved pegylated liposomal doxorubicin sulfate
(PLD) and is very stable in plasma stability assays. Cytotoxicity tests indicate
greater potency for PLAD compared to PLD. This appears to be related to a
synergistic effect of the coencapsulated Ald and Dox. PLAD and PLD differed in in
vitro monocyte-induced IL-1beta release (greater for PLAD) and complement
activation (greater for PLD). A molar ratio Ald/Dox of ~1:1 seems to provide an
optimal compromise between loading efficiency of Dox, circulation time and in
vivo toxicity of PLAD. In mice, the circulation half-life and tumor uptake of
PLAD were comparable to PLD. In the M109R and 4T1 tumor models in immunocompetent
mice, PLAD was superior to PLD in the growth inhibition of subcutaneous tumor
implants. This new formulation appears to be a promising tool to exploit the
antitumor effects of aminobisphosphonates in synergy with chemotherapy.
PMID- 27187808
TI - Enhanced Lineage-Specific Differentiation Efficiency of Human Induced Pluripotent
Stem Cells by Engineering Colony Dimensionality Using Electrospun Scaffolds.
AB - Electrospun scaffolds with varied stiffness promote distinct colony morphology of
human induced pluripotent stem cells, which affects their subsequent
differentiation. On soft scaffolds, induced pluripotent stem cells develop 3D
colonies due to the pliability of the electrospun fibrous networks, leading to
greater differentiation tendency to ectodermal lineage.
PMID- 27187804
TI - A Model of Yeast Cell-Cycle Regulation Based on a Standard Component Modeling
Strategy for Protein Regulatory Networks.
AB - To understand the molecular mechanisms that regulate cell cycle progression in
eukaryotes, a variety of mathematical modeling approaches have been employed,
ranging from Boolean networks and differential equations to stochastic
simulations. Each approach has its own characteristic strengths and weaknesses.
In this paper, we propose a "standard component" modeling strategy that combines
advantageous features of Boolean networks, differential equations and stochastic
simulations in a framework that acknowledges the typical sorts of reactions found
in protein regulatory networks. Applying this strategy to a comprehensive
mechanism of the budding yeast cell cycle, we illustrate the potential value of
standard component modeling. The deterministic version of our model reproduces
the phenotypic properties of wild-type cells and of 125 mutant strains. The
stochastic version of our model reproduces the cell-to-cell variability of wild
type cells and the partial viability of the CLB2-dbDelta clb5Delta mutant strain.
Our simulations show that mathematical modeling with "standard components" can
capture in quantitative detail many essential properties of cell cycle control in
budding yeast.
PMID- 27187809
TI - Medicare Under Age 65 and Medicaid Patients Have Poorer Bowel Preparations:
Implications for Recommendations for an Early Repeat Colonoscopy.
AB - BACKGROUND/AIMS: Colonoscopy is performed on patients across a broad spectrum of
demographic characteristics. These characteristics may aggregate by patient
insurance provider and influence bowel preparation quality and the prevalence of
adenomas. The purpose of this study was to evaluate the association of insurance
status and suboptimal bowel preparation, recommendation for an early repeat
colonoscopy due to suboptimal bowel preparation, adenoma detection rate (ADR),
and advanced ADR (AADR). METHODS: This is a cohort study of outpatient
colonoscopies (n = 3113) at a single academic medical center. Patient insurance
status was categorized into five groups: 1) Medicare < 65y; 2) Medicare >= 65y;
3) Tricare/VA; 4) Medicaid/Colorado Indigent Care Program (CICP); and 5)
commercial insurance. We used multivariable logistic or linear regression
modeling to estimate the risks for the association between patient insurance and
suboptimal bowel preparation, recommendation for an early repeat colonoscopy due
to suboptimal bowel preparation, ADR, and AADR. Models were adjusted for
appropriate covariates. RESULTS: Medicare patients < 65y (OR 4.91; 95% CI: 3.25
7.43) and Medicaid/CICP patients (OR 4.23; 95% CI: 2.65-7.65) were more likely to
have a suboptimal preparation compared to commercial insurance patients. Medicare
patients < 65y (OR 5.58; 95% CI: 2.85-10.92) and Medicaid/CICP patients (OR 3.64;
CI: 1.60-8.28) were more likely to receive a recommendation for an early repeat
colonoscopy compared to commercial insurance patients. Medicare patients < 65y
had a significantly higher adjusted ADR (OR 1.50; 95% CI: 1.03-2.18) and adjusted
AADR (OR 1.99; 95% CI: 1.15-3.44) compared to commercial insurance patients.
CONCLUSIONS: Understanding the reasons for the higher rate of a suboptimal bowel
preparation in Medicare < 65y and Medicaid/CICP patients and reducing this rate
is critical to improving colonoscopy outcomes and reducing healthcare costs in
these populations.
PMID- 27187810
TI - [Public health infrastructure investment difficulties in Chile: concessions and
public tenders].
AB - This paper seeks to highlight the problems of gaps in health infrastructure in
Chile, and to analyze the mechanisms by which it is provided. In Chile this is
done in two ways: the first is through competitive bidding or sector-wide
modality. The second way is through hospital concessions. Both mechanisms have
had difficulties in recent years, which are reported. Finally, we propose ways to
improve the provision of health infrastructure in Chile.
PMID- 27187822
TI - Genetic variants in ATP6 and ND3 mitochondrial genes are not associated with
aggressive prostate cancer in Mexican-Mestizo men with overweight or obesity.
AB - Mitochondrial defects have been related to obesity and prostate cancer. We
investigated if Mexican-Mestizo men presenting this type of cancer, exhibited
somatic mutations of ATP6 and/or ND3.Body mass index (BMI) was determined; the
degree of prostate cancer aggressiveness was demarcated by the Gleason score. DNA
from tumor tissue and from blood leukocytes was amplified by the polymerase chain
reaction and ATP6 and ND3 were sequenced. We included 77 men: 20 had normal BMI,
38 were overweight and 19 had obesity; ages ranged from 52 to 83. After
sequencing ATP6 and ND3, from DNA obtained from leukocytes and tumor tissue, we
did not find any somatic mutations. All changes observed, in both genes, were
polymorphisms. In ATP6 we identified, in six patients, two non-synonymous
nucleotide changes and in ND3 we observed that twelve patients presented non
synonymous polymorphisms. To our knowledge, this constitutes the first report
where the complete sequences of the ATP6 and ND3 have been analyzed in Mexican
Mestizo men with prostate cancer and diverse BMI. Our results differ with those
reported in Caucasian populations, possibly due to ethnic differences.
PMID- 27187821
TI - Leveraging antimicrobial stewardship into improving rates of carbapenem-resistant
Enterobacteriaceae.
AB - Carbapenem-resistant Enterobacteriaceae (CRE) are among the most critical threats
facing our healthcare system and account for significant patient mortality. There
is considerable interest in the development of new treatment strategies. However,
less attention has been paid to reducing CRE infection rates. Antibiotic
stewardship programs can be uniquely empowered to reduce widespread pathogen
resistance and by extension, optimize patient care and lower healthcare costs.
PMID- 27187824
TI - The Power of Partnerships.
PMID- 27187823
TI - Reprogramming of Pancreatic Exocrine Cells AR42J Into Insulin-producing Cells
Using mRNAs for Pdx1, Ngn3, and MafA Transcription Factors.
AB - Direct reprogramming of pancreatic nonendocrine cells into insulin-producing beta
cells represents a promising approach for the treatment of insulin-dependent
diabetes. However, its clinical application is limited by the potential for
insertional mutagenesis associated with the viral vectors currently used for cell
reprogramming. With the aim of developing a nonintegrative reprogramming strategy
for derivation of insulin-producing cells, here, we evaluated a new approach
utilizing synthetic messenger RNAs encoding reprogramming transcription factors.
Administration of synthetic mRNAs encoding three key transcription regulators of
beta-cell differentiation-Pdx1, Neurogenin3, and MafA-efficiently reprogrammed
the pancreatic exocrine cells into insulin-producing cells. In addition to the
insulin genes expression, the synthetic mRNAs also induced the expressions of
genes important for proper pancreatic beta-cell function, including Sur1, Kir6.2,
Pcsk1, and Pcsk2. Pretreating cells with the chromatin-modifying agent 5-Aza-2'
deoxycytidine further enhanced reprogramming efficiency, increasing the
proportion of insulin-producing cells from 3.5 +/- 0.9 to 14.3 +/- 1.9% (n = 4).
Moreover, 5-Aza-2'-deoxycytidine pretreatment enabled the reprogrammed cells to
respond to glucose challenge with increased insulin secretion. In conclusion, our
results support that the reprogramming of pancreatic exocrine cells into insulin
producing cells, induced by synthetic mRNAs encoding pancreatic transcription
factors, represents a promising approach for cell-based diabetes therapy.
PMID- 27187825
TI - Multilevel Influences on New Graduate Nurse Transition: A Literature Review.
AB - New graduate nurses continue to experience difficulty in transitioning into
practice. A literature review was conducted to identify factors that influence
new graduate nurse transition. Data from 42 articles were extracted, and
significant variables were synthesized from a social ecological perspective.
Findings suggest that a complex interplay between intrapersonal, interpersonal,
and organizational factors influence transition. A nursing professional
development specialist plays a crucial role in implementing educational
strategies that address factors across all three levels of influence.
PMID- 27187826
TI - Measuring Return on Investment for Professional Development Activities: A Review
of the Evidence.
AB - In the current complex healthcare environment in which organizations are dealing
with reimbursement challenges, nursing professional development practitioners
must increasingly demonstrate that the time and resources dedicated to
educational activities are worth the impact they have on outcomes. Measuring
return on investment guides decisions on resources (people, supplies, time) used
for professional development activities by showing the financial impact of such
activities. The purpose of this project was to review the literature on return on
investment for professional development activities to best guide decision-making
for limited resources. This is Part I of two articles, the first of which
provides a review of the literature. The second article provides guidance for
conducting financial analyses of nursing professional development activities.
PMID- 27187827
TI - Partnering to Promote Evidence-Based Practice in a Community Hospital:
Implications for Nursing Professional Development Specialists.
AB - Nursing professional development specialists working in community hospitals face
significant barriers to evidence-based practice that academic medical centers do
not. This article describes 7 years of a multifaceted, service academic
partnership in a large, urban, community hospital. The partnership has
strengthened the nursing professional development role in promoting evidence
based practice across the scope of practice and serves as a model for others.
PMID- 27187828
TI - Engaging Learners With Social Media.
AB - Can social media be used to promote learning? This article includes an overview
of social networking sites with specific examples of how nursing professional
development practitioners might use each for professional development activities.
PMID- 27187829
TI - Improving Efficiency Using a Hybrid Approach: Revising an Intravenous/Blood
Workshop in a Clinical Research Environment.
AB - Orienting to a new job can be overwhelming, especially if the nurse is required
to develop or refine new skills, such as intravenous (IV) therapy or blood
administration. At the National Institutes of Health Clinical Center Nursing
Department, a group of nurse educators redesigned their IV/Blood Workshop to
prepare nurses with skills needed when caring for patients on protocol in a
research intensive environment. Innovative teaching strategies and a hybrid
instructional approach were used along with a preworkshop activity, skills lab
practice, and follow-up skill validation at the unit level to provide a
comprehensive curriculum while decreasing resource utilization.
PMID- 27187830
TI - Measuring Registered Nurses' Medication Competence in Specialty Settings: An
Operating Room Registered Nurse Example.
AB - Nursing professional development practitioners play an active, vital role in
shaping, implementing, and evaluating competency models in their organizations.
This article describes a process for developing and continuously improving
knowledge assessment examinations, one component of a competency model. The
article defends the use of specialty-specific medication knowledge assessment
examinations. The authors recommend future investigations to link nurses'
knowledge assessment results to performance in practice and to analyze costs and
benefits of knowledge assessment examinations.
PMID- 27187831
TI - Nursing Professional Development Specialists of the Future.
PMID- 27187833
TI - Steppingstones-Reflecting on Your Professional Career.
PMID- 27187832
TI - Future of Interprofessional Simulation Education in the Practice Setting.
PMID- 27187834
TI - ANPD's Call to Convention: Pittsburgh, PA, "City of Bridges".
PMID- 27187835
TI - Embrace the Change, Seize the Opportunity.
PMID- 27187836
TI - Nursing Professional Development: Revision of the Scope and Standards of
Practice.
PMID- 27187837
TI - An Educational Program to Promote Emotional Mastery Skills in Nurse Managers.
AB - A curriculum was designed and implemented for nurse managers to develop and
optimize emotional mastery skills. The program is outlined, implementation
strategies were discussed, results were measured, and outcomes were reviewed.
Outcomes from this program support the value and impact that nursing professional
development specialists have on the development of nursing leadership. Nursing
professional development specialists will find the curricula useful in addressing
emotional mastery skill development in their organizations.
PMID- 27187838
TI - Measuring Return on Investment for Professional Development Activities: A Review
of the Evidence.
PMID- 27187839
TI - Osteosarcoma After Hematopoietic Stem Cell Transplantation in Children and
Adolescents: Case Report and Review of the Literature.
AB - Osteosarcoma as a secondary malignancy after hematopoietic stem cell
transplantation (HSCT) is very rare. We present a case and review of 18 other
cases reported to date. Our patient underwent HSCT for myelodysplastic syndrome
at the age of 4 years. She developed osteosarcoma 13 years later. She underwent
surgery after three courses of neoadjuvant chemotherapy followed by chemotherapy
and mifamurtide. She has no evidence of disease 28 months after termination of
chemotherapy. In 18 other cases of secondary osteosarcoma in the literature, 15
had received total body irradiation, eight had received alkylating agents, and
six had received etoposide. The median interval from HSCT to the onset of
osteosarcoma was 6.5 years (range 2.5-15.3), which confirms that children
undergoing HSCT should be followed up for many years. In conclusion, osteosarcoma
must be included in the differential diagnosis among solid tumors that may
develop following HSCT.
PMID- 27187840
TI - Self-Catalyzed Growth of Vertically Aligned InN Nanorods by Metal-Organic Vapor
Phase Epitaxy.
AB - Vertically aligned hexagonal InN nanorods were grown mask-free by conventional
metal-organic vapor phase epitaxy without any foreign catalyst. The In droplets
on top of the nanorods indicate a self-catalytic vapor-liquid-solid growth mode.
A systematic study on important growth parameters has been carried out for the
optimization of nanorod morphology. The nanorod N-polarity, induced by high
temperature nitridation of the sapphire substrate, is necessary to achieve
vertical growth. Hydrogen, usually inapplicable during InN growth due to
formation of metallic indium, and silane are needed to enhance the aspect ratio
and to reduce parasitic deposition beside the nanorods on the sapphire surface.
The results reveal many similarities between InN and GaN nanorod growth showing
that the process despite the large difference in growth temperature is similar.
Transmission electron microscopy, spatially resolved energy-dispersive X-ray
spectroscopy, X-ray diffraction, X-ray photoelectron spectroscopy, and Raman
spectroscopy have been performed to analyze the structural properties. Spatially
resolved cathodoluminescence investigations are carried out to verify the optical
activity of the InN nanorods. The InN nanorods are expected to be the material of
choice for high-efficiency hot carrier solar cells.
PMID- 27187841
TI - Hemothorax caused by replacement of hemodialysis catheter: A case report.
AB - Central venous catheters (CVC) are widely used in clinics to gain vascular
access, but the risk and prevalence of catheter-related complications remains a
serious issue. We report a long-term dialysis catheter accidentally inserted into
the mediastinum via the right jugular vein in a hemodialysis patient. We also
review complications associated with vascular catheterization and propose
immediate therapeutic interventions for such cases.
PMID- 27187842
TI - Nanoparticles decorated with a Schiff's base for the microextraction of Cd, Pb,
Ni, and Co in environmental samples.
AB - In this paper, we report a new liquid-liquid microextraction procedure called
"nanoparticles decorated with a Schiff's base for the microextraction of Cd, Pb,
Ni, and Co in environmental samples". The developed procedure was utilized for
the extraction of Cd, Pb, Ni, and Co in environmental samples. The Schiff's base
was formed by reacting salicylaldehyde with 3-aminopropyltriethoxysilane
functionalized iron oxide nanoparticles. Analyte extraction was conducted in a
capillary column system loaded with modified nanoparticles and triton X-114 as
dispersion medium. 1-Butyl-3-methylimidazolium hexafluorophosphate was employed
as an extraction solvent. Acidified methanol in ultrasonic bath was used as
desorption solvent, and elemental determination was carried out with flame atomic
absorption spectrometer. Characterization of modified nanoparticles was performed
with FTIR spectroscopy and transmission electron microscopy. Solution pH,
nanoparticles amount, dispersant concentration, ionic liquid, and temperature
were optimized for the extraction. Detection limits obtained for Cd, Pb, Ni, and
Co were 0.183, 0.201, 0.241, and 0.192 MUg L(-1), respectively, and enhancement
factors were 79.1, 86.4, 95.7, and 82.0, respectively. The reproducibility of the
developed procedure was in the range of 3.98-5.10%. Validation was checked by
applying the developed procedure on certified reference water samples. The
microextraction based on nanoparticles decorated with Schiff's base was
successfully applied for the extraction of Cd, Pb, Ni, and Co in real
environmental water samples.
PMID- 27187843
TI - Corrigendum.
PMID- 27187844
TI - Quantitative determination of intracellular Asulacrine in MCF-7 breast cancer
cells by liquid chromatography-mass spectrometry and its application to cellular
pharmacokinetic studies of P188 modified liposomes.
AB - Asulacrine (ASL), an analogue of amsacrine, has shown higher anti-breast and anti
lung cancer activity. Hereby, a new sensitive and selective liquid chromatography
mass spectrometry (LC/MS) method was developed to determine intracellular
asulacrine. The chromatographic separation was performed on an Agilent Zorbax
Extend-C18 column (2.1 mm i.d. * 50 mm, 5 MUm) using gradient elution with water
(2 mmol/L ammonium acetate and 0.1% acetic acid) and acetonitrile as the mobile
phase. The detection was achieved with selected ion monitoring mode using
electrospray ionization in positive mode with target ions at m/z 465.3 and m/z
326.1 for asulacrine and midazolam, respectively. The standard curve showed a
good linearity with the lower limit of quantification of 1 ng/mL, as a result of
which, the trace concentration of ASL in cell suspension could be quantified. The
intra- and inter-day accuracy ranged from -5.28 to 6.5% and from -6.32 to 1.05%,
and the intra- and inter-day precisions were no more than 7.65% and 11.71%,
respectively. Additionally, no degradation of asulacrine was observed during
stability evaluation. The method was proved to be powerful and practical to
determine and compare the intracellular distribution and kinetics of ASL under
different formulations in MCF-7 breast cancer cells.
PMID- 27187845
TI - Pilot Randomized Study of a Gratitude Journaling Intervention on Heart Rate
Variability and Inflammatory Biomarkers in Patients With Stage B Heart Failure.
AB - OBJECTIVE: Stage B, asymptomatic heart failure (HF) presents a therapeutic window
for attenuating disease progression and development of HF symptoms, and improving
quality of life. Gratitude, the practice of appreciating positive life features,
is highly related to quality of life, leading to development of promising
clinical interventions. However, few gratitude studies have investigated
objective measures of physical health; most relied on self-report measures. We
conducted a pilot study in Stage B HF patients to examine whether gratitude
journaling improved biomarkers related to HF prognosis. METHODS: Patients (n =
70; mean [standard deviation] age = 66.2 [7.6] years) were randomized to an 8
week gratitude journaling intervention or treatment as usual. Baseline (T1)
assessments included the six-item Gratitude Questionnaire, resting heart rate
variability (HRV), and an inflammatory biomarker index. At T2 (midintervention),
the six-item Gratitude Questionnaire was measured. At T3 (postintervention), T1
measures were repeated but also included a gratitude journaling task. RESULTS:
The gratitude intervention was associated with improved trait gratitude scores (F
= 6.0, p = .017, eta = 0.10), reduced inflammatory biomarker index score over
time (F = 9.7, p = .004, eta = 0.21), and increased parasympathetic HRV responses
during the gratitude journaling task (F = 4.2, p = .036, eta = 0.15), compared
with treatment as usual. However, there were no resting preintervention to
postintervention group differences in HRV (p values > .10). CONCLUSIONS:
Gratitude journaling may improve biomarkers related to HF morbidity, such as
reduced inflammation; large-scale studies with active control conditions are
needed to confirm these findings. TRIAL REGISTRATION:
Clinicaltrials.govidentifier:NCT01615094.
PMID- 27187848
TI - Explicit Facial Emotion Processing in Patients With Dissociative Seizures.
AB - OBJECTIVE: There have, as yet, been few experimental studies of explicit facial
affect recognition in patients with dissociative seizures (DS). The aim of the
study was to examine explicit recognition and physiological responses to facial
expressions in this group, relative to healthy controls. METHODS: Forty patients
with DS and 43 controls completed a computerized test of facial affect
recognition, including five basic expressions (happiness, anger, disgust, fear,
neutral). Recognition accuracy, emotional intensity judgements, and skin
conductance levels and responses were dependent measures. Analyses controlled for
a range of potentially confounding variables, including anxiety, depression, and
medication effects. RESULTS: The DS group was less accurate at identifying facial
expressions than controls (p = .005, etap = 0.10). No group difference emerged
for intensity judgements (p = .72, etap = 0.002). Mean skin conductance levels
were higher in the DS group relative to controls (p = .046, etap = 0.053).
However, a subgroup of DS patients showed attenuated skin conductance responses
to the facial stimuli, compared with controls (p = .015, etap = 0.18). These
differences could not be accounted for by possible confounding variables.
Recognition accuracy for neutral faces correlated negatively with trauma scores
(r = -0.486, p = .002) and abandonment concerns (r = -0.493, p = .002) in the DS
group. CONCLUSIONS: Patients with DS showed reduced recognition accuracy for
facial affect, despite accurately perceiving its intensity. Elevated autonomic
arousal may characterize patients with DS in general, alongside reduced phasic
autonomic responses to facial expressions in some patients with the disorder.
PMID- 27187846
TI - Associations Among Child Abuse, Depression, and Interleukin-6 in Pregnant
Adolescents: Paradoxical Findings.
AB - OBJECTIVE: Limited data exist on child abuse-related immune variation during
pregnancy, despite implications for maternal and infant health and extensive data
showing that abuse history and depression are related to increased inflammation
in other populations. This study examined associations among child abuse,
depression, circulating levels of inflammatory markers, and perinatal health in
pregnant adolescents, a group at high risk for childhood abuse and poor birth
outcomes. METHODS: Pregnant teenagers (n = 133; 14-19 years; 89.5% Latina)
reported on abuse and depression and had two blood draws (24-27 and 34-37
gestational weeks, second and third trimesters, respectively) for interleukin-6
(IL-6) and C-reactive protein; birth outcomes were collected. RESULTS: Abuse and
depression interacted to predict higher IL-6 at second trimester (B = 0.006, p =
.011) such that severely abused adolescents with high depression had higher IL-6
relative to severely abused adolescents with low depression; depression did not
differentiate IL-6 levels for those with low abuse severity. Abuse and IL-6 also
interacted to predict gestational age at birth (B = 0.004, p = .040) such that
those with low abuse and high IL-6 and those with high abuse and low IL-6 had
infants with earlier gestational age at birth. Cortisol at the second trimester
mediated the association between IL-6 and gestational age at birth (indirect
effect estimate=-0.143, p < .039). CONCLUSIONS: Depression severity distinguished
IL-6 levels among more severely abused pregnant Latina adolescents, but it was
unrelated to IL-6 among less severely abused adolescents. Cortisol explained the
relationship between IL-6 and earlier gestational age at birth. Multiple
adversities and inflammation may influence birth outcomes and potentially affect
intergenerational health.
PMID- 27187847
TI - Major Depression and Long-Term Survival of Patients With Heart Failure.
AB - OBJECTIVES: Previous studies have found that depression predicts all-cause
mortality in heart failure (HF), but little is known about its effect on long
term survival. This study examined the effects of depression on long-term
survival in patients with HF. METHODS: Patients hospitalized with HF (n = 662) at
an urban academic medical center were enrolled in a prospective cohort study
between January 1994 and July 1999. Depression was assessed on a structured
interview during the index hospitalization and on quarterly interviews for 1 year
after discharge. Patients were classified at index as having Diagnostic and
Statistical Manual, Fourth Edition major depressive disorder (n = 131), minor
depression (n = 106), or no depression (n = 425). Clinical data and the National
Death Index were used to identify date of death or last known contact through
December 19, 2014, up to 20 years after the index hospitalization. The main
outcome was time from enrollment to death from any cause. RESULTS: A total of 617
(94.1%) patients died during the follow-up period. Major depressive disorder was
associated with higher all-cause mortality compared with no depression (adjusted
hazard ratio = 1.64, 95% confidence interval = 1.27-2.11, p = .0001). This
association was stronger than that of any of the established predictors of
mortality that were included in the fully adjusted model. Patients with
persistent or worsening depressive symptoms during the year after discharge were
at greatest risk for death. The association between minor depression and survival
was not significant. CONCLUSIONS: Major depression is an independent risk factor
for all-cause mortality in patients with HF. Its effect persists for many years
after the diagnosis of depression.
PMID- 27187850
TI - Self-Help for Medically Unexplained Symptoms: A Systematic Review and Meta
Analysis.
AB - OBJECTIVE: Medically unexplained symptoms (MUS), which are highly prevalent in
all fields of medicine, are considered difficult to treat. The primary objective
of this systematic review and meta-analysis was to assess the efficacy of self
help for adults with MUS. METHODS: Four electronic databases were searched for
relevant studies. Randomized controlled trials comparing self-help to usual care
or waiting list in adults with MUS were selected. Studies were critically
appraised using the Cochrane "risk of bias assessment tool." Standardized mean
differences (Hedges g) were pooled using a random-effects model. Outcomes were
symptom severity and quality of life (QoL) directly posttreatment and at follow
up. RESULTS: Of 582 studies identified, 18 studies met all inclusion criteria.
Studies were heterogeneous with regard to patient populations, intervention
characteristics, and outcome measures. Compared with usual care or waiting list,
self-help was associated with lower symptom severity (17 studies, n = 1894, g =
0.58, 95% confidence interval = 0.32-0.84, p < .001) and higher QoL (16 studies,
n = 1504, g = 0.66, 95% confidence interval = 0.34-0.99, p < .001) directly
posttreatment. Similar effect sizes were found at follow-up. A high risk of bias
was established in most of the included studies. However, sensitivity analyses
suggested that this did not significantly influence study results. Funnel plot
asymmetry indicated potential publication bias. CONCLUSIONS: Self-help is
associated with a significant reduction in symptom severity and improvement of
QoL. The methodological quality of included studies was suboptimal, and further
research is needed to confirm the findings of this meta-analysis.
PMID- 27187849
TI - Cytokine Patterns in Healthy Adolescent Girls: Heterogeneity Captured by Variable
and Person-Centered Statistical Strategies.
AB - BACKGROUND: Little is known about variation in individual cytokines/cytokine
profiles for a large healthy, pediatric population. When cytokines in a healthy
group are not abnormally high as in a disease state, it is challenging to
determine appropriate statistical strategies. The aims of the study were (1) to
describe variation among cytokine concentrations and profiles in healthy
adolescent girls, (2) to illustrate utility of data reduction approaches novel to
cytokine research, (variable-centered [principal factor analysis, PFA], person
centered [latent profile analysis, LPA]), and (3) to demonstrate utility of such
methods in linking cytokine profiles to health outcomes (e.g., depressive,
anxiety symptoms). METHOD: Serum was analyzed for 13 cytokines representing
adaptive and innate immune responses in 262 girls (age = 11, 13, 15, and 17
years). RESULTS: There was great variation in cytokine concentrations. PFA
revealed a four-factor solution explaining 73.13% of the shared variance among 13
cytokines (e.g., factor 1 included interleukin [IL]-4, IL-13, IL-5, interferon
gamma; 26.65% of the shared variance). The LPA supported classifying girls into
subgroups characterized by "high overall" (7.3% of sample), "high adaptive"
(26.7%), "high innate" (21%), or "low overall" (45%) cytokine levels. Factors and
profiles were useful in describing individual differences in depressive/anxiety
symptoms (e.g., factor 1 positively associated with depressive symptoms but
negatively with trait anxiety; increased depressive symptoms or trait anxiety was
associated with greater likelihood of being in the "high adaptive" group).
CONCLUSIONS: Healthy girls showed differences in cytokine levels and patterns of
variation and important associations with psychological variables. PFA and LPA
offer novel approaches useful for examining cytokine panels in healthy
populations.
PMID- 27187851
TI - A Stepwise Psychotherapy Intervention for Reducing Risk in Coronary Artery
Disease (SPIRR-CAD): Results of an Observer-Blinded, Multicenter, Randomized
Trial in Depressed Patients With Coronary Artery Disease.
AB - BACKGROUND: Depression predicts adverse prognosis in patients with coronary
artery disease (CAD), but previous treatment trials yielded mixed results. We
tested the hypothesis that stepwise psychotherapy improves depressive symptoms
more than simple information. METHODS: In a multicenter trial, we randomized 570
CAD patients scoring higher than 7 on the Hospital Anxiety and Depression Scale
depression subscale to usual care plus either one information session (UC-IS) or
stepwise psychotherapy (UC-PT). UC-PT patients received three individual
psychotherapy sessions. Those still depressed were offered group psychotherapy
(25 sessions). The primary outcome was changed in the Hospital Anxiety and
Depression Scale-depression scores from baseline to 18 months. Preplanned
subgroup analyses examined whether treatment responses differed by patients' sex
and personality factors (Type D). RESULTS: The mean (standard deviation)
depression scores declined from 10.4 (2.5) to 8.7 (4.1) at 18 months in UC-PT and
from 10.4 (2.5) to 8.9 (3.9) in UC-IS (both p < .001). There was no significant
group difference in change of depressive symptoms (group-by-time effect, p =
.90). Preplanned subgroup analyses revealed no differences in treatment effects
between men versus women (ptreatment-by-sex interaction = .799) but a significant
treatment-by-Type D interaction on change in depressive symptoms (p = .026) with
a trend for stronger improvement with UC-PT than UC-IS in Type D patients (n =
341, p = .057) and no such difference in improvement in patients without Type D
(n = 227, p = .54). CONCLUSIONS: Stepwise psychotherapy failed to improve
depressive symptoms in CAD patients more than UC-IS. The intervention might be
beneficial for depressed CAD patients with Type D personality. However, this
finding requires further study. TRIAL REGISTRATION: www.clinicaltrials.gov
NCT00705965; www.isrctn.com ISRCTN76240576.
PMID- 27187852
TI - Macrophage Superoxide Anion Production in Essential Hypertension: Associations
With Biological and Psychological Cardiovascular Risk Factors.
AB - OBJECTIVE: Essential hypertension is an important risk factor for coronary artery
disease and its underlying process atherosclerosis, but involved mechanisms are
not fully understood. Both macrophages and superoxide anions have been proposed
to play a major role in the pathogenesis of atherosclerosis. In the present
study, we investigated whether macrophages of individuals with hypertension show
higher nicotinamide adenine dinucleotide phosphate oxidase-derived superoxide
anion production compared with normotensive individuals. Furthermore, we examined
associations between macrophage superoxide anion production and the psychological
factors depression and chronic stress independent from hypertension status.
METHODS: We studied 30 hypertensive (mean [standard deviation] = 48.7 [2.4]
years) and 30 age-matched normotensive men (mean [standard deviation] = 48.6
[2.4] years). We assessed macrophage superoxide anion production using the WST-1
assay. The assay is based on the chemical reduction of the cell-impermeative
tetrazolium salt WST-1 by superoxide anions that are produced by activated human
ex vivo isolated monocyte-derived macrophages. We further evaluated whether
chronic stress or depressive symptom severity was associated with macrophage
superoxide anion production. All analyses were adjusted for potential
confounders. RESULTS: Individuals with hypertension showed higher superoxide
anion production compared with normotensive individuals (F(1,58) = 11.56, p =
.001). Complementary analyses using mean arterial blood pressure as a continuous
measure revealed that higher mean arterial pressure correlated significantly with
higher WST-1 reduction (beta = .38, p = .003, DeltaR = .145). These results
remained significant when controlling for potential confounding influences.
Chronic stress was related to higher WST-1 reduction scores, but this association
was not statistically significant (beta = .24, p = .067, DeltaR = .053);
depression levels were not significantly associated with WST-1 reduction scores
(p = .24). CONCLUSIONS: Our results indicate higher macrophage superoxide anion
production in individuals with hypertension compared with normotensive
individuals. This may suggest a mechanism underlying cardiovascular risk with
hypertension.
PMID- 27187853
TI - Income and Markers of Immunological Cellular Aging.
AB - OBJECTIVE: Socioeconomic disadvantage may contribute to poor health through
immune-related biological mechanisms. We examined the associations between
socioeconomic status, as measured by annual household income, and T-cell markers
of aging, including the ratios of CD4 and CD8 effector cells to naive cells (E/N
ratio) and the CD4/CD8 T-cell ratio. We hypothesized that participants with a
lower income would have higher E/N ratios and lower CD4/CD8 ratios compared with
participants with a higher income, and that these associations would be partially
mediated by elevated cytomegalovirus (CMV) IgG antibody levels, a virus
implicated in aging and clonal expansion of T cells. METHODS: Data were from 79
individuals who participated in the population-based Detroit Neighborhood Health
Study. We used linear regression to quantify the association between a $10,000
decrease in income and each ratio outcome. RESULTS: After adjustment for age,
sex, race, smoking, medication use, and lifetime history of mental health
conditions, lower income was associated with a 0.41 (95% confidence interval =
0.09-0.72) log-unit increase in the CD4 E/N ratio and a 0.20 (95% confidence
interval = 0.02-0.39) log-unit increase in the CD8 E/N ratio. CMV immunoglobulin
G antibody level partially mediated these associations. CONCLUSIONS: Our study
suggests that low socioeconomic status is associated with immunological aging as
measured by the E/N ratio and that impaired immune control of CMV may partially
mediate these associations.
PMID- 27187856
TI - Design, synthesis and biological evaluation of novel EGFR/HER2 dual inhibitors
bearing a oxazolo[4,5-g]quinazolin-2(1H)-one scaffold.
AB - For the purpose of developing novel EGFR/HER2 tyrosine kinases inhibitors with
high inhibition activity and low toxicity, two novel series of oxazolo[4,5
g]quinazolin-2(1H)-one derivatives as EGFR/HER2 dual inhibitors introducing two
electrophiles 2-(2-bromoacetyl)ethyl and 2-(2-chloroacetoxy)ethyl group as side
chain at 1-position respectively and evaluated their EGFR and HER2 inhibition
activity and toxicity comparing with Lapatinib. All these compounds were
evaluated by EGFR and HER2 kinase inhibition and two anti-proliferation assays in
vitro. Most of the designed compounds exhibited moderate to high inhibition
activity against EGFR and HER2. Especially, compounds 11o, 11p, 12e and 12f
presented high inhibition against EGFR and HER2. Furthermore, compounds 11p and
12f also had well exhibition to excellent anti-proliferation activity against
human lung adenocarcinoma cell line (A549) and human breast cancer cell line (SK
Br3), and 12f also exhibited the lowest toxicity against human embryonic lung
fibroblast cell line (HELF) cell. Finally, compound 12f presented remarkably
higher inhibition efficacy towards tumour growth than Lapatinib in a mouse lewis
lung cancer (LLC) xenograft model.
PMID- 27187855
TI - Synthesis of novel diterpenoid analogs with in-vivo antitumor activity.
AB - A lead compound 7 has antitumor effect, which was discovered by screening our
small synthetic natural product-like compound (NPL) library. Based on the lead
compound, a series of novel tricyclic diterpene analogs were synthesized and
investigated for their activity against the growth of various tumor cell lines
using the sulforhodamine B (SRB) assay. To our delight, most aromatic amide
compounds exhibited more potent antitumor activity than the lead compound. The
most active compound 19 (QW30) showed an average IC50 0.33 MUM, which was 15-fold
more potent than the lead compound. Most of the compounds with potent antitumor
activity displayed less toxic on normal human fibroblasts (HAF) in comparison
with the tumor cell lines. Especially 19, its selectivity indexes (SI) between
HAF and cancer cell lines was 17.3 times better than the positive control
compound podophyllotoxin. The apoptosis, colony formation and transwell migration
assays of 7 and 19 were performed on T47D cell line. The in-vivo antitumor effect
of 19 was also observed in T47D tumor-bearing mice without obvious toxicity.
PMID- 27187854
TI - Patient Health Questionnaire Anxiety and Depression Scale: Initial Validation in
Three Clinical Trials.
AB - OBJECTIVE: We examine the reliability and validity of the Patient Health
Questionnaire Anxiety and Depression Scale (PHQ-ADS)-which combines the nine-item
Patient Health Questionnaire depression scale and seven-item Generalized Anxiety
Disorder scale-as a composite measure of depression and anxiety. METHODS:
Baseline data from 896 patients enrolled in two primary-care based trials of
chronic pain and one oncology-practice-based trial of depression and pain were
analyzed. The internal reliability, standard error of measurement, and
convergent, construct, and factor structure validity, as well as sensitivity to
change of the PHQ-ADS were examined. RESULTS: The PHQ-ADS demonstrated high
internal reliability (Cronbach alpha values of .8 to .9) in all three trials. PHQ
ADS scores can range from 0 to 48 (with higher scores indicating more severe
depression/anxiety), and the estimated standard error of measurement was
approximately 3 to 4 points. The PHQ-ADS showed strong convergent (most
correlations, 0.7-0.8 range) and construct (most correlations, 0.4-0.6 range)
validity when examining its association with other mental health, quality of
life, and disability measures. PHQ-ADS cutpoints of 10, 20, and 30 indicated
mild, moderate, and severe levels of depression/anxiety, respectively. Bifactor
analysis showed sufficient unidimensionality of the PHQ-ADS score. PHQ-ADS change
scores at 3 months differentiated (p < .0001) between individuals classified as
worse, stable, or improved by a reference measure, providing preliminary evidence
for sensitivity to change. CONCLUSIONS: The PHQ-ADS may be a reliable and valid
composite measure of depression and anxiety which, if validated in other
populations, could be useful as a single measure for jointly assessing two of the
most common psychological conditions in clinical practice and research. TRIAL
REGISTRATION: Clinicaltrials.gov Identifier: NCT00926588 (SCOPE); NCT00386243
(ESCAPE); NCT00313573 (INCPAD).
PMID- 27187857
TI - Synthesis and biological evaluation of 4-(2-fluorophenoxy)-3,3'-bipyridine
derivatives as potential c-met inhibitors.
AB - Six series of novel 4-(2-fluorophenoxy)-3,3'-bipyridine derivatives conjugated
with aza-aryl formamide/amine scaffords were designed and synthesized through a
structure-based molecular hybridization approach. The target compounds were
evaluated for c-Met kinase inhibitory activities and cytotoxicity against four
cancer cell lines (HT-29, A549, MKN-45 and MDA-MB-231) in vitro. Most compounds
exhibited moderate to excellent potency, and the most promising candidate 26c (c
Met kinase IC50 = 8.2 nM) showed a 4.7-fold increase in cytotoxicity against c
Met-addicted MKN-45 cell line in vitro (IC50 = 3 nM), superior to that of
Foretinib (IC50 = 23 nM). The preliminary structure-activity relationship
indicated that a 1H-benzo [e] [1,3,4]thiadiazine-3-carboxamide-4,4-dioxide moiety
as linker contributed to the antitumor potency.
PMID- 27187858
TI - Anticancer properties of new synthetic hybrid molecules combining naphtho[2,3
b]furan-4,9-dione or benzo[f]indole-4,9-dione motif with phosphonate subunit.
AB - In this paper we report an efficient and general synthesis of substituted 3
diethoxyphosphorylnaphtho [2,3-b]furan-4,9-diones and 3-diethoxyphosphorylbenzo
[f]indole-4,9-diones which integrate the natural 1,4-naphtalenedione scaffold,
present in several anticancer agents with the phosphonate moiety. The
cytotoxicity of such hybrid molecules was tested against two leukemia cell lines,
HL-60 and NALM-6 and against a breast adenocarcinoma MCF-7 cell line. Selected
compounds were also tested on normal human cells: HUVEC and MCF-10A. In general,
naphthofuran-4,9-diones showed much higher cytotoxic activity (IC50 values below
10 MUM) than benzoindole-4,9-diones. The most promising 2-(2-chlorophenyl)-3
diethoxyphosphorylnaphtho [2,3-b]furan-4,9-dione, with the highest cytotoxic
activity in the MTT test, was chosen for further evaluation of its anticancer
potential. This compound, tested on HL-60 and MCF-7 cells inhibited cell
proliferation, generated DNA damage and induced apoptosis. The suggested
mechanism of its cytotoxic activity was the generation of intracellular reactive
oxygen species and the induction of mitochondrial membrane potential dissipation.
PMID- 27187859
TI - Novel spirothiazamenthane inhibitors of the influenza A M2 proton channel.
AB - The development of treatments for influenza that inhibit the M2 proton channel
without being susceptible to the widespread resistance mechanisms associated with
the adamantanes is an ongoing challenge. Using a yeast high-throughput yeast
growth restoration assay designed to identify M2 channel inhibitors, a single
screening hit was uncovered. This compound (3), whose structure was incorrectly
identified in the literature, is an inhibitor with similar potency to amantadine
against WT M2. A library of derivatives of 3 was prepared and activity against WT
M2 and the two principal mutant strains (V27A and S31N) was assessed in the yeast
assay. The best compounds were further evaluated in an antiviral plaque reduction
assay using engineered WT, V27A and S31N M2 influenza A strains with otherwise
identical genetic background. Compound 63 was found to inhibit all three virus
strains in this cell based antiviral assay at micromolar concentrations, possibly
through a mechanism other than M2 inhibition.
PMID- 27187861
TI - Total synthesis and in vitro bioevaluation of clavaminols A, C, H & deacetyl
clavaminol H as potential chemotherapeutic and antibiofilm agents.
AB - A highly concise and expedient total synthesis of bioactive clavaminols (1-4) has
been executed using commercially available achiral compound decanol. The
synthetic strategy relied on trans-Wittig olefination, Sharpless asymmetric
epoxidation, regioselective azidolysis and in situ detosylation followed by
reduction as key reactions with good overall yield. Based on biological
evaluation studies of all the synthesized compounds, it was observed that the
clavaminol A (1) exhibited good cytotoxicity against DU145 and SKOV3 cell lines
with IC50 value of 10.8 and 12.5 MUM, respectively. Clavaminol A (1) and deacetyl
clavaminol H (3) displayed selective promising inhibition towards Gram-positive
pathogenic bacterial strains and showed good antifungal activity against the
tested Candida strains. In addition, compounds 1 and 3 have demonstrated
significant bactericidal activity. Compound 3 was found to be equipotent to the
standard drug Miconazole displaying MFC value of 15.6 MUg/mL against Candida
albicans MTCC 854, C. albicans MTCC 1637, C. albicans MTCC 3958 and Candida
glabrata MTCC 3019. Compounds 1 and 3 were also able to inhibit the biofilm
formation of Micrococcus luteus MTCC 2470 and Staphylococcus aureus MLS16 MTCC
2940. Clavaminol A (1) increased the levels of reactive oxygen species (ROS)
accumulation in M. luteus MTCC 2470.
PMID- 27187860
TI - Structural modifications at the 6-position of thieno[2,3-d]pyrimidines and their
effects on potency at FLT3 for treatment of acute myeloid leukemia.
AB - Fms-like tyrosine kinase 3 (FLT3) is a well-known and important target for the
treatment of acute myeloid leukemia (AML). A series of thieno[2,3-d]pyrimidine
derivatives from a modification at the 6-position were synthesized to identify
effective FLT3 inhibitors. Although compounds 1 and 2 emerged as promising FLT3
inhibitors among the synthesized compounds, both compounds exhibited poor
metabolic stability in human and rat liver microsomes. Hence, further
optimization was required for the discovery of FLT3 inhibitors, with a focus on
improving metabolic stability. Compound 16d, which had structural modifications
of the methyl group at the 5-position and the 4-(2-methylaminoethoxy) phenyl
group at the 6-position, exhibited good inhibitory activity against FLT3 and
showed effective antiproliferative activity against four leukemia cell lines,
including MV4-11. Moreover, compound 16d displayed enhanced metabolic stability.
The results of this study indicated that 16d could be a promising compound for
further optimization and development as a potent FLT3 inhibitor.
PMID- 27187862
TI - Aroylhydrazone iron chelators: Tuning antioxidant and antiproliferative
properties by hydrazide modifications.
AB - Aroylhydrazones such as salicylaldehyde isonicotinoyl hydrazone (SIH) are
tridentate iron chelators that may possess antioxidant and/or antineoplastic
activities. Their main drawback, their low stability in plasma, has recently been
partially overcome by exchanging the aldimine hydrogen for an unbranched alkyl
group. In this study, ten analogs of methyl- and ethyl-substituted SIH
derivatives with modified hydrazide scaffolds were synthesized to further explore
their structure-activity relationships. Their iron-chelation efficiencies, anti-
or pro-oxidant potentials, abilities to induce protection against model oxidative
injury on the H9c2 cell line derived from rat embryonic cardiac tissue,
cytotoxicities on the same H9c2 cells and antiproliferative activities on MCF-7
human breast adenocarcinoma and HL-60 human promyelotic leukemia cell lines were
evaluated. Compounds derived from lipophilic naphthyl and biphenyl hydrazides
displayed highly selective antiproliferative activities against both MCF-7 and HL
60 cell lines, and they showed markedly improved stabilities in plasma compared
to SIH. Of particular interest is a hydrazone prepared from 2
hydroxypropiophenone and pyridazin-4-carbohydrazide that showed a considerable
antiproliferative effect and protected cardiomyoblasts against oxidative stress
with a five-fold higher selectivity compared to the parent compound SIH. Thus,
this work highlighted new structure-activity relationships among
antiproliferative and antioxidant aroylhydrazones and identified new lead
compounds for further development.
PMID- 27187863
TI - Conjugation of weak ligands with weak antigens to activate TLR-7: A step toward
better vaccine adjuvants.
AB - To study the structure-activity relationship (SAR) of Toll-like receptor 7 (TLR
7) agonists based on 8-oxoadenines, a novel subset of C9-substituted 8-hydroxy-2
(2-methoxyethoxy)-adenines and their antigen conjugates were synthesized. In
vitro, the ability of cytokines (IL-12p70 and IFN-gamma) induction of ligands
with alkyl acid at C9-position were very weak compared with benzoic acid counter
parts. Unexpectedly, its antigen conjugates that conjugated with proteins or
peptides with weak immunogenicity, showed enhanced activity of cytokines
induction. After administered systemically in mice in vivo, all conjugates
induced prolonged increase in pro-inflammatory cytokines and antigen-specific IgG
levels in serum compared with free compounds. Results from molecular dynamics
(MD) simulations further confirmed the conclusion and provided the details of
interaction to explain the phenomenon of experiment. In conclusion, we discovered
that TLR-7 could be activated via some conjugates of weak ligand and weak
antigen, which could be safer adjuvant candidates for vaccines in the future.
PMID- 27187864
TI - Combretastatin A-4 analogues with benzoxazolone scaffold: Synthesis, structure
and biological activity.
AB - In order to design and synthesize a new class of heterocyclic analogues of
natural combretastatin A-4 and its synthetic derivative AVE8062, the
benzoxazolone ring was selected as a scaffold for a bioisosteric replacement of
the ring B of both molecules. A library of 28 cis- and trans-styrylbenzoxazolones
was obtained by a modified Wittig reaction under Boden's conditions. Structures
of the newly synthesized compounds bearing the 3,4,5-trimethoxy-, 3,4-dimethoxy-,
3,5-dimethoxy-, and 4-methoxystyryl fragment at position 4, 5, 6 or 7 of
benzoxazolone core were determined on the basis of spectral and X ray data. The
in vitro cytotoxicity of styrylbenzoxazolones against different cell lines was
examined. Stilbene derivative 16Z, (Z)-3-methyl-6-(3,4,5-trimethoxystyryl)-2(3H)
benzoxazolone, showed highest antiproliferative potential of the series, with
IC50 of 0.25 MUM against combretastatin resistant cell line HT-29, 0.19 MUM
against HepG2, 0.28 MUM against EA.hy926 and 0.73 MUM against K562 cells.
Furthermore, the results of flow cytometric analysis confirmed that 16Z induced
cell cycle arrest in G2/M phase in the cell lines like combretastatin A-4. This
arrest is followed by an abnormal exit of cells from mitosis without cytokinesis
into a pseudo G1-like multinucleate state leading to late apoptosis and cell
death. Accordingly, synthetic analogue 16Z was identified as the most promising
potential anticancer agent in present study, and was selected as lead compound
for further detailed investigations.
PMID- 27187865
TI - N'-((2-(6-bromo-2-oxo-2H-chromen-3-yl)-1H-indol-3-yl)methylene)benzohydrazide as
a probable Bcl-2/Bcl-xL inhibitor with apoptotic and anti-metastatic potential.
AB - A wide number of marketed drugs and drug candidates in cancer clinical
development contain halogen substituents. The aim of the present study was to
synthesize a series of halogen incorporated indole-coumarin hybrid schiff bases -
N'-((2-(2-oxo-2H-chromen-3-yl)-1H-indol-3-yl)methylene)benzohydrazides and to
investigate their apoptotic and anti-migratory potential in human breast
adenocarcinoma cells as well as to examine their Bcl-2 and Bcl-xL protein binding
ability via in silico docking. Hybrid 5g with a bromine atom in position-7 of
coumarin ring displayed significant dose dependent cytotoxic activity with high
selectivity to MCF-7 cells in MTT assay. Cell cycle progression analysis of 5g
treated cells using flow cytometer exhibited a cell cycle arrest in the S phase
and accumulation of cells in the subG1 phase. The apoptotic mode of cell death
induced by 5g was further confirmed by Annexin-V staining assay. The wound
healing assay revealed a profound impairment in the migration of MCF-7 cells
presumably due to down-regulation of Bcl-2 and Bcl-xL proteins induced by 5g as
observed in immunoblotting analysis. SAR studies of these hybrid molecules based
on cell viability and docking were also probed. The most active pharmacophore 5g
was found to bind favourably to Bcl-2 and Bcl-xL in docking simulation analysis
suggesting it to be a probable small molecule Bcl-2/Bcl-xL inhibitor and a
potential lead for breast cancer chemotherapy with apoptotic and anti-metastatic
properties.
PMID- 27187867
TI - Nontraumatic Subclavian Artery Abnormalities: Spectrum of MDCT Findings.
AB - OBJECTIVE: The subclavian arteries (SCAs) may exhibit a wide spectrum of
nontraumatic pathologic conditions ranging from common diseases, such as
atherosclerosis, to vascular emergencies that are associated with a high
morbidity and high mortality, such as type A aortic dissection and acute arterial
thrombosis. MDCT angiography is an excellent modality to diagnose pathologic
conditions of the SCAs. CONCLUSION: Optimization of CT acquisition protocols and
interactive interpretation with 2D multiplanar reformatting and 3D rendering
techniques are critical for diagnostic accuracy.
PMID- 27187866
TI - Design, synthesis, anticoagulant activity evaluation and molecular docking
studies of a class of N-ethyl dabigatran derivatives.
AB - A class of N-ethyl dabigatran derivatives was designed based on pharmacological
strategies for inhibition of thrombin activity and the structure-activity
relationship studies of the previous dabigatran derivatives. Activities of these
novel compounds were predicted based on CoMFA model, and most of the compounds
had comparable predicted activity with dabigatran. All of screened compounds were
synthesized and characterized by (1)H NMR, (13)C NMR and HRMS. Subsequently,
these compounds were evaluated inhibitory activity on thrombin. Among these
compounds, 9a-9e, 9h, 9l-9n and 9p exhibited comparable inhibitory activity to
dabigatran (IC50 = 1.20 nM), additionally, compound 9p (IC50 = 0.96 nM) exhibited
better inhibitory activity than dabigatran. Moreover, compound 9p also exhibited
a fairly good inhibitory activity for arteriovenous thrombosis with inhibition
rate of (85.35 +/- 0.72) %, which was comparable to that of dabigatran (85.07 +/-
0.61) %. These results, along with related molecular docking studies, could
provide an important basis for further development of compound 9p as a potent
thrombin inhibitor.
PMID- 27187868
TI - High-Efficiency Iron Photosensitizer Explained with Quantum Wavepacket Dynamics.
AB - Fe(II) complexes have long been assumed unsuitable as photosensitizers because of
their low-lying nonemissive metal centered (MC) states, which inhibit electron
transfer. Herein, we describe the excited-state relaxation of a novel Fe(II)
complex that incorporates N-heterocyclic carbene ligands designed to destabilize
the MC states. Using first-principles quantum nuclear wavepacket simulations we
achieve a detailed understanding of the photoexcited decay mechanism,
demonstrating that it is dominated by an ultrafast intersystem crossing from
(1)MLCT-(3)MLCT proceeded by slower kinetics associated with the conversion into
the (3)MC states. The slowest component of the (3)MLCT decay, important in the
context of photosensitizers, is much longer than related Fe(II) complexes because
the population transfer to the (3)MC states occurs in a region of the potential
where the energy gap between the (3)MLCT and (3)MC states is large, making the
population transfer inefficient.
PMID- 27187869
TI - Silicon-Carbon Bond Formation via Nickel-Catalyzed Cross-Coupling of Silicon
Nucleophiles with Unactivated Secondary and Tertiary Alkyl Electrophiles.
AB - A wide array of cross-coupling methods for the formation of C-C bonds from
unactivated alkyl electrophiles have been described in recent years. In contrast,
progress in the development of methods for the construction of C-heteroatom bonds
has lagged; for example, there have been no reports of metal-catalyzed cross
couplings of unactivated secondary or tertiary alkyl halides with silicon
nucleophiles to form C-Si bonds. In this study, we address this challenge,
establishing that a simple, commercially available nickel catalyst
(NiBr2.diglyme) can achieve couplings of alkyl bromides with nucleophilic silicon
reagents under unusually mild conditions (e.g., -20 degrees C); especially
noteworthy is our ability to employ unactivated tertiary alkyl halides as
electrophilic coupling partners, which is still relatively uncommon in the field
of cross-coupling chemistry. Stereochemical, relative reactivity, and radical
trap studies are consistent with a homolytic pathway for C-X bond cleavage.
PMID- 27187870
TI - Persistent Lung Disease in Adults with NKX2.1 Mutation and Familial
Neuroendocrine Cell Hyperplasia of Infancy.
AB - RATIONALE: Neuroendocrine cell hyperplasia of infancy (NEHI) is a diffuse lung
disease that presents in infancy and improves during childhood. Long-term
outcomes have not previously been described. In one familial cohort, we have
reported that NEHI is associated with a heterozygous variant of NKX2.1/TTF1.
OBJECTIVES: Our objective was to determine whether pulmonary abnormalities
persist in adults with NEHI, to aid in elucidating the natural history of this
disease. METHODS: Four adult relatives with heterozygous NKX2.1 mutation and with
clinical histories compatible with NEHI enrolled in a prospective study that
included questionnaires, pulmonary function tests, and chest computed tomography
scans. MEASUREMENTS AND MAIN RESULTS: Mild radiologic abnormalities including
mosaicism were seen in all four cases. Three individuals had obstruction on
pulmonary function tests, two had marked air trapping, and three had symptomatic
impairments with exercise intolerance. CONCLUSIONS: Although clinical improvement
occurs over time, NEHI may result in lifelong pulmonary abnormalities in some
cases. Further studies are required to better describe the natural history of
this disease and would be facilitated by additional delineation of genetic
mechanisms to enable improved case identification.
PMID- 27187873
TI - Meta-cognitive online sequential extreme learning machine for imbalanced and
concept-drifting data classification.
AB - In this paper, a meta-cognitive online sequential extreme learning machine (MOS
ELM) is proposed for class imbalance and concept drift learning. In MOS-ELM, meta
cognition is used to self-regulate the learning by selecting suitable learning
strategies for class imbalance and concept drift problems. MOS-ELM is the first
sequential learning method to alleviate the imbalance problem for both binary
class and multi-class data streams with concept drift. In MOS-ELM, a new adaptive
window approach is proposed for concept drift learning. A single output update
equation is also proposed which unifies various application specific OS-ELM
methods. The performance of MOS-ELM is evaluated under different conditions and
compared with methods each specific to some of the conditions. On most of the
datasets in comparison, MOS-ELM outperforms the competing methods.
PMID- 27187871
TI - Thiolactomycin-Based Inhibitors of Bacterial beta-Ketoacyl-ACP Synthases with in
Vivo Activity.
AB - beta-Ketoacyl-ACP synthases (KAS) are key enzymes involved in the type II
bacterial fatty acid biosynthesis (FASII) pathway and are putative targets for
antibacterial discovery. Several natural product KAS inhibitors have previously
been reported, including thiolactomycin (TLM), which is produced by Nocardia spp.
Here we describe the synthesis and characterization of optically pure 5R
thiolactomycin (TLM) analogues that show improved whole cell activity against
bacterial strains including methicillin-resistant Staphylococcus aureus (MRSA)
and priority pathogens such as Francisella tularensis and Burkholderia
pseudomallei. In addition, we identify TLM analogues with in vivo efficacy
against MRSA and Klebsiella pneumoniae in animal models of infection.
PMID- 27187872
TI - Outcomes of intra-detrusor injections of botulinum toxin in patients with spina
bifida: A systematic review.
AB - CONTEXT: Bladder management in spina bifida patients relies on clean intermittent
catheterization and oral antimuscarinics with a significant failure rate. The
efficacy of intradetrusor injections of botulinum toxin has been confirmed in
patients with spinal cord injury or multiple sclerosis but not in patients with
myelomeningocele. OBJECTIVE: To conduct a systematic review of current evidence
regarding the efficacy of intra-detrusor injections of Botulinum Toxin A (BTX-A)
in spina bifida patients with neurogenic detrusor overactivity (NDO) refractory
to antimuscarinics. METHODS: A research has been conducted on Medline and Embase
using the keywords: ("spina bifida" OR "myelomeningocele" OR "dysraphism") AND
"toxin." The search strategy and studies selection were performed using the PICOS
method according to the PRISMA statement. RESULT: Twelve published series were
included (n = 293 patients). All patients were <18 years old. There was no
randomized study comparing BTX-A versus placebo and most studies had no control
group. Most studies reported a clinical and urodynamic improvement with
resolution of incontinence in 32-100% of patients, a decrease in maximum detrusor
pressure from 32 to 54%, an increase of maximum cystometric capacity from 27 to
162%, and an improvement in bladder compliance of 28-176%. Two studies suggested
lower efficacy in patients with low compliance bladder compared to those with
isolated detrusor overactivity. CONCLUSION: Intradetrusor injections of BTX-A
could be effective in children with spina bifida but this assumption is not
supported by high level of evidence studies. There is no data available in adult
patients. Neurourol. Urodynam. 36:557-564, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27187875
TI - Pulmonary implications in inflammatory bowel disease: not a rare event.
PMID- 27187874
TI - Chemically Modulated Carbon Nitride Nanosheets for Highly Selective
Electrochemiluminescent Detection of Multiple Metal-ions.
AB - Chemical structures of two-dimensional (2D) nanosheet can effectively control the
properties thus guiding their applications. Herein, we demonstrate that carbon
nitride nanosheets (CNNS) with tunable chemical structures can be obtained by
exfoliating facile accessible bulk carbon nitride (CN) of different
polymerization degree. Interestingly, the electrochemiluminescence (ECL)
properties of as-prepared CNNS were significantly modulated. As a result, unusual
changes for different CNNS in quenching of ECL because of inner filter
effect/electron transfer and enhancement of ECL owing to catalytic effect were
observed by adding different metal ions. On the basis of this, by using various
CNNS, highly selective ECL sensors for rapid detecting multiple metal-ions such
as Cu(2+), Ni(2+), and Cd(2+) were successfully developed without any labeling
and masking reagents. Multiple competitive mechanisms were further revealed to
account for such enhanced selectivity in the proposed ECL sensors. The strategy
of preparing CNNS with tunable chemical structures that facilely modulated the
optical properties would open a vista to explore 2D carbon-rich materials for
developing a wide range of applications such as sensors with enhanced
performances.
PMID- 27187877
TI - [Key Issue "Granulomatous Uveitis - Sarcoidosis"].
PMID- 27187878
TI - [Imaging and Laboratory Diagnostics for Tuberculosis].
AB - Diagnosis of tuberculosis (TB) is difficult, since symptoms are often very
unspecific or lacking. However active, prompt and accurate diagnosis is the key
element in the public health response to tuberculosis and the cornerstone of
tuberculosis control. Different diagnostic methods for an assured diagnosis of TB
are necessary. Chest radiography is a useful keystone to identify tuberculosis,
but diagnosis of tuberculosis cannot be established by radiography alone. CT
scanning is used in patients without pathological chest radiography but
clinically suspected active TB and to differentiate TB from other diseases.
Radiological appearance is primarily determined by the immune status of patients
and caverns and disseminated disease foci are often observed. Laboratory
diagnostic methods include microscopic identification of acid-fast mycobacteria
from any body fluid (especially sputum), as well as isolation and
characterisation of mycobacteria in culture. It is then possible to type the
pathogens by the shape of their colony, their growth behavior and their
biochemical characteristics. These methods are regarded as the gold standard in
diagnosis of active TB. In patients who are highly suspected of having TB, but
whose sputum specimens tested negative for mycobacteria, a nucleic acid
amplification test is additionally performed. Moreover, sensitivity testing with
first and second line antitubercular drugs is applied as standard. Laboratory
diagnostic testing of cellular immunity against pathogenic mycobacteria employs
the tuberculin skin test (TST, Mantoux tuberculin test) or the more specific
interferon gamma test to determine gamma interferon released by T lymphocytes
stimulated in vitro. The new ELISA and ELISPOT procedures exhibit higher test
specificity and less cross reactivity to NTM (non-tuberculosis mycobacteria), are
independent of BCG-vaccination status and correlate better with the degree of
exposure than does the TST.
PMID- 27187880
TI - [Efficacy of a Dexamethasone Implant for the Treatment of Refractory Cystoid
Macular Oedema in Non-Infectious Uveitis].
AB - PURPOSE: To evaluate the efficacy of an intravitreal dexamethasone implant
(Ozurdex(r)) in patients with cystoid macular oedema (CME) suffering from non
infectious uveitis. METHODS: Monocentric, retrospective, single-arm analysis in
49 patients (59 implanted eyes) with inactive uveitis, in whom CME had been
unresponsive to corticosteroids and/or immunosuppressants and systemic
acetazolamide, and who received a dexamethasone implant. Patients were followed
up at 6, 12 and 24 weeks after unilateral (n = 39)/bilateral (n = 10)
implantation. The primary outcome measure was central foveal thickness (CFT), as
measured by Spectralis optical coherence tomography (OCT); secondary outcome
measures were improvement in best-corrected visual acuity (BCVA), laser flare
photometry and safety measures, including intraocular pressure (IOP) and cataract
progression. RESULTS: At 6, 12 and 24 weeks, mean CFT was reduced (>= 20 %) in
68, 44 and 45 % and BCVA improved (>= 2 lines) in 47, 40 and 26 %, respectively,
as compared to baseline. At 6, 12 and 24 weeks, significant flare reduction was
observed in 70, 41, and 42 %, respectively. Cumulative cataract progression was
observed in 12, 18 and 31 % at 6, 12 and 24 weeks, respectively. IOP >= 22 mmHg
was noted in 5 % at baseline and in 21, 3, and 0 % at 6, 12 and 24 weeks,
respectively. CONCLUSIONS: In uveitis patients with CME refractory to systemic
anti-inflammatory drugs, the dexamethasone implant improves CME transiently.
However, IOP increase and cataract progression are common side effects.
PMID- 27187879
TI - [Ocular Manifestations in Sarcoidosis].
AB - Sarcoidosis is an inflammatory multi-organ disease of unknown pathogenesis,
characterised by non-necrotising granulomata. Sarcoidosis predominantly manifests
in the lung, but any other organ may be affected. Ocular involvement is present
in about 25 to 50 % of patients. The most common ocular manifestation is uveitis,
especially of the anterior eye segment. If ocular sarcoidosis is suspected,
interdisciplinary assessment of the patient is mandatory, including laboratory
tests, chest X-ray, assessment by a specialist in internal medicine and, ideally,
histological evidence of granuloma formation in a tissue specimen. Other
(infectious) causes of granulomatous inflammation need to be excluded, especially
tuberculosis or syphilis. For the ophthalmological assessment, detection of
granulomatous lesions is of particular importance, especially by visualising
chorioretinal granuloma by fluorescein and indocyanin green angiography. Cystoid
macular oedema and glaucoma are the most frequent complications limiting visual
acuity. Corticosteroids, which can be administered either locally or
systemically, are the mainstay of therapy. Depending on the clinical course and
the development of ocular complications, systemic steroid-sparing
immunosuppressive medication may be indicated.
PMID- 27187881
TI - [Postoperative Follow-Up of Glaucoma Drainage Devices].
AB - There is an increasing trend towards using glaucoma drainage implants. The
postoperative management of such devices depends on their technical
characteristics and specific complications. The Baerveldt glaucoma implant with
its larger surface area has been shown to lower mean intraocular pressure more
effectively than the Ahmed-FP7 implant. As a non-valve implant, however, it has
been associated with a higher rate of severe complications, particularly ocular
hypotension. Moreover, glaucoma implants may induce diplopia if they interfere
with extraocular muscles. Topical treatment with antibiotics and steroids is
necessary in cases of intraocular inflammation. In refractory cases, the tube may
even have to be removed. Surgical reposition of the tube may be indicated when it
is severely dislocated. Increased intraocular pressure is primarily treated by
pressure-lowering medications during postoperative follow-up. If topical glaucoma
medication is insufficient to control increases in intraocular pressure due to
encapsulation, a second implant may be considered or the capsule surrounding the
implant may be excised to reduce outflow resistance or additional
cyclodestructive procedures can be performed. Chronic hypotension may be treated
with tube ligation or occlusion. Severe corneal oedema may require lamellar
keratoplasty. Conjunctival erosions with tube exposure or tube retractions also
require surgical correction.
PMID- 27187882
TI - [New Possibilities in Retinal Diagnostics Using OCT Angiography].
AB - BACKGROUND: Instruments for using OCT angiography (OCTA) in daily clinical
practice have recently become available. The aim of this paper is to report the
possibilities, advantages and limitations of OCTA in the clinical diagnosis of
diseases of the posterior segment of the eye. PATIENTS/METHODS: Patients with
diabetic retinopathy, retinal vascular occlusions, and age-related macular
degeneration who had been assigned to fluorescein angiography (FA) additionally
underwent an AngioPlexTM-OCTA examination, which captures a 6 * 6 mm scanning
area centred on the fovea. If deemed necessary, 3 * 3 mm volume scans were
created in regions of interest. The findings of FA and OCTA were correlated and
compared. RESULTS: The OCTA procedure took only a few seconds, was easily
integrated into the standard OCT diagnostic imaging procedure, and delivered
highly detailed, three dimensional images of the entire microvasculature of the
retina and choroid. Microvascular changes, such as microaneurysms, intraretinal
microvascular abnormalities, non-perfused areas, alterations in the foveal
avascular zone (FAZ) and neovascularization were reliably detected. Overall, OCTA
results were in good agreement with the results of the FA. Additionally, OCTA
provided more detailed and three dimensional information and thus permitted a
better assessment of the spatial extension of microvascular abnormalities. Due to
OCTA's limited scanning area, vascular alterations in the mid-periphery were
detected only if their location had already been determined by FA. Although OCTA
does not show leakage, macular oedema can be diagnosed from OCTA, together with
OCT thickness measurements. CONCLUSION: OCTA provides important three dimensional
information on vascular alterations and is already an indispensable diagnostic
method. As the procedure takes just a few seconds and can be performed non
invasively, OCTA is well suited as a monitoring method and may allow early
diagnosis. In this sense, prospective studies are required to determine precise
OCTA analytical strategies for specific diseases. It is very likely that OCTA
will revolutionise the diagnosis of retinal and choroidal diseases; however, it
is not yet clear estimated to what extent it will replace FA.
PMID- 27187883
TI - [Therapeutic Options in Vitreomacular Traction With or Without a Macular Hole].
AB - Treatment is usually indicated for symptomatic vitreomacular traction (VMT) with
or without a full thickness macular hole (FTMH) and without spontaneous
resolution. Ultrastructural parameters are evaluated by SD-OCT, in order to
classify the vitreoretinal interface and to estimate the success rate of
treatment. The resolution rate after therapy with intravitreal Jetrea(r)
(Ocriplasmin) is high (up to 70 %) in patients with symptomatic focal
vitreomacular traction (<= 1500 um) with or without a macular hole (<= 250 um)
and with no epiretinal membrane (ERM), but depends on the exact baseline
analysis. All other patients with idiopathic traction retinopathy should be
treated by minimal invasive pars plana vitrectomy (MIVI). Vitreoretinal surgery
effectively removes traction and gives a high closure rate of a full thickness
macular hole (FTMH, 90 to 100 %). It is now a very safe procedure with few side
effects. Despite a low risk profile (cataract, retinal tear etc.) the indication
for surgery needs to take the safety profile into account. Therefore vitrectomy
is only indicated in symptomatic patients complaining of blurred vision, VA
reduction and metamorphopsia. Vitrectomy is also indicated in patients whose
treatment by pharmacologic vitreolysis has failed.
PMID- 27187884
TI - [Evaluation of Field of Vision in Private Accident Insurance].
AB - A simple new scheme to evaluate defects in field of vision in private accident
insurance.
PMID- 27187885
TI - [Clinical Results After Implantation of a New Diffractive, Multifokal Intraocular
Lens with a Reduced Near Add Power (+ 2.75 D)].
AB - PURPOSE: Evaluation of the clinical data 3 months after implantation of a new
diffractive multifocal intraocular lens (MIOL) with a reduced near add power of +
2.75 D. METHODS: In a prospective study, patients who underwent cataract surgery
or refractive lens exchange with implantation of an MIOL (Tecnis ZKB00, Abbott
Medical Optics, Santa Ana, California, USA) were included. Three months
postoperative corrected and uncorrected visual acuities at different distances
were measured and evaluated. Those patients that underwent bilateral MIOL
implantation additionally filled out a questionnaire 3 months postoperatively.
RESULTS: Between October 2013 and August 2014, 115 eyes of 62 patients were
implanted with the ZKB00 IOL. Mean postoperative refractions were - 0.27 +/- 0.44
D for the spherical equivalent, respectively. Mean binocular CDVA was - 0.01 +/-
0.3 logMAR with a mean binocular UDVA of 0.06 +/- 0.08 logMAR. For near distance
in 40 cm, an UNVA of 0.07 +/- 0.10 logMAR three months postoperatively was
measured. CONCLUSION: The ZKB00 IOL belongs to a group of novel MIOL with an
increased intermediate visual performance. Our study shows good visual acuity at
all distances, as well as a high rate of satisfaction and subjectively good image
quality.
PMID- 27187886
TI - [Rare Conjunctival Metastasis in Cancer of Unknown Primary Syndrome].
PMID- 27187887
TI - [Seasonal and Perennial Allergic Rhinoconjunctivitis].
PMID- 27187888
TI - Cardiac Index Declines During Long-Term Left Ventricular Device Support.
AB - To investigate longitudinal trends in valvular and ventricular function with long
term left ventricular assist device (LVAD) therapy, we analyzed hemodynamic and
echocardiographic data of patients with at least 2 years of continuous LVAD
support. All 130 patients who underwent HeartMate II implantation at our
institution between 2005 and 2012 were reviewed. Twenty patients had hemodynamic
and echocardiographic evaluations in both the early (0-6 months) and late (2-3
years) postoperative period. Patients on inotropic therapy or temporary
mechanical support were excluded. The average times of early and late hemodynamic
evaluations were 59 +/- 41 days and 889 +/- 160 days, respectively. Cardiac index
(CI) declined by an average of 0.4 L/min/m2 (P = 0.04) with concomitant increase
in pulmonary capillary wedge pressure (PCWP; P = 0.02). The right atrial pressure
to PCWP (RAP:PCWP) ratio decreased during LVAD support suggesting improvement in
right ventricular function. While there was an increase in degree of aortic
insufficiency (AI) at the late follow-up period (P = 0.008), dichotomization by
median decline in CI (-0.4 L/min/m2 ) indicated no difference in prevalence of AI
among the groups. CI declined in patients with HeartMate II after 2 years of
continuous support. An increase in preload and afterload was observed in those
with the greatest decline in CI.
PMID- 27187890
TI - From the Editor-in-Chief's Desk.
PMID- 27187891
TI - Bremsstrahlung enhancement in electron probe microanalysis for homogeneous
samples using Monte Carlo simulation.
AB - Fluorescence enhancement in samples irradiated in a scanning electron microscope
or an electron microprobe should be appropriately assessed in order not to
distort quantitative analyses. Several models have been proposed to take into
account this effect and current quantification routines are based on them, many
of which have been developed under the assumption that bremsstrahlung
fluorescence correction is negligible when compared to characteristic
enhancement; however, no concluding arguments have been provided in order to
support this assumption. As detectors are unable to discriminate primary from
secondary characteristic X-rays, Monte Carlo simulation of radiation transport
becomes a determinant tool in the study of this fluorescence enhancement. In this
work, bremsstrahlung fluorescence enhancement in electron probe microanalysis has
been studied by using the interaction forcing routine offered by penelope 2008 as
a variance reduction alternative. The developed software allowed us to show that
bremsstrahlung and characteristic fluorescence corrections are in fact comparable
in the studied cases. As an extra result, the interaction forcing approach
appears as a most efficient method, not only in the computation of the continuum
enhancement but also for the assessment of the characteristic fluorescence
correction.
PMID- 27187889
TI - Dietary Intake among Adolescents in a Middle-Income Country: An Outcome from the
Malaysian Health and Adolescents Longitudinal Research Team Study (the MyHeARTs
Study).
AB - Optimal nutrition is essential for healthy growth during adolescence. This study
aims to investigate the baseline nutritional intake of Malaysian adolescents by
gender, body mass index, and places of residence, both urban and rural. A cohort
study was conducted consisting of 794 adolescents (aged 13-years) attending 15
public secondary schools from the Central (Kuala Lumpur and Selangor) and
Northern (Perak) Regions of Peninsular Malaysia. Qualified dietitians conducted a
7-day historical assessment of habitual food intakes. Facilitated by flipcharts
and household measurement tools, detailed information on portion sizes and meal
contents were recorded. Nutritionist ProTM Diet Analysis software was also used
to analyze the dietary records.The mean age of the adolescents was 12.86 +/- 0.33
y; the mean energy intake was 1659.0 +/- 329.6 kcal/d. Males had significantly (P
< .001) higher energy intake than females (1774.0 +/- 369.8 vs 1595.2 +/- 320.6
kcal/d); adolescents in rural schools consumed more energy and cholesterol (P <
.001) compared to adolescents in urban schools (1706.1 +/- 377.7 kcal/d and 244.1
+/- 100.2 mg/d, respectively). Obese adolescents in rural schools consumed more
energy and sugar (1987.6 +/- 374.0 kcal/d and 48.9 +/- 23.0 g/d) (p-value
<0.001).The dietary intake of normal weight versus obese adolescents differs by
the location of their school. Thus, the implementation of a structured and
tailored intervention is recommended to help minimize this nutritional
inequality.
PMID- 27187892
TI - Discerning different and opposite effects of hydrogenase on the corrosion of mild
steel in the presence of phosphate species.
PMID- 27187893
TI - Does cannabis use moderate smoking cessation outcomes in treatment-seeking
tobacco smokers? Analysis from a large multi-center trial.
AB - BACKGROUND AND OBJECTIVE: Tobacco and cannabis are frequently used in combination
and cannabis co-use may lead to poor tobacco cessation outcomes. Therefore, it is
important to explore if cannabis co-use is associated with a reduced likelihood
of achieving successful tobacco abstinence among treatment-seeking tobacco
smokers. The present study examined whether current cannabis use moderated
tobacco cessation outcomes after 12 weeks of pharmacological treatment
(varenicline vs. nicotine patch vs. placebo) with adjunctive behavioral
counseling. METHODS: Treatment-seeking tobacco smokers (N = 1,246) were enrolled
in an intent-to-treat study, of which 220 were current cannabis users.
Individuals were randomly assigned to 12 weeks of placebo (placebo pill plus
placebo patch), nicotine patch (active patch plus placebo pill), or varenicline
(active pill plus placebo patch), plus behavioral counseling. The primary
endpoint was biochemically verified 7-day point prevalence abstinence at the end
of treatment. RESULTS: Controlling for rate of nicotine metabolism, treatment
arm, age, sex, alcohol, and level of nicotine dependence, cannabis users were as
successful at achieving biochemically verified 7-day point prevalence abstinence
compared to tobacco-only smokers. CONCLUSIONS AND SCIENTIFIC SIGNIFICANCE:
Findings suggest that cannabis use does not hinder the ability to quit tobacco
smoking. Future tobacco cessation studies should employ prospective, longitudinal
designs investigating cannabis co-use over time and at different severity levels.
(Am J Addict 2016;25:291-296).
PMID- 27187894
TI - Pharmacokinetics of once-daily darunavir/ritonavir in HIV-1-infected pregnant
women.
AB - OBJECTIVES: HIV antiretroviral therapy during pregnancy is recommended to reduce
the risk of mother-to-child transmission and for maternal care. Physiological
changes during pregnancy can affect pharmacokinetics. The impact of pregnancy was
evaluated for once-daily (qd) darunavir/ritonavir. METHODS: HIV-1-infected
pregnant women on an antiretroviral regimen that includes darunavir were enrolled
in the study and further treated with darunavir/ritonavir 800/100 mg qd. Plasma
concentrations were assessed over 24 h during the second and third trimesters and
postpartum using a validated high-performance liquid chromatography tandem mass
spectrometry assay for total darunavir and ritonavir, and using (14) C-darunavir
fortified plasma for unbound darunavir. Pharmacokinetic parameters were derived
using noncompartmental analysis. Safety and antiviral response were assessed at
all visits. RESULTS: Data were available for 16 women. The area under the plasma
concentration-time curve from 0 to 24 h (AUC24h ) for total darunavir was 34-35%
lower during pregnancy vs. postpartum. Unbound darunavir AUC24h was 20-24% lower
during pregnancy vs. postpartum. The minimum plasma concentration of total and
unbound darunavir was 32-50% and 13-38% lower, respectively, during pregnancy vs.
postpartum. The antiviral response (< 50 HIV-1 RNA copies/mL) was 59% at baseline
and increased to 87-100% during the trial; the CD4 count increased over time. One
serious adverse event (gestational diabetes) was judged as possibly related to
study medication. All 16 infants born to women remaining in the study at delivery
were HIV-1 negative (two were premature). CONCLUSIONS: Total darunavir exposure
decreased during pregnancy, but the decrease was less for unbound (active)
darunavir. These changes are not considered clinically relevant.
Darunavir/ritonavir 800/100 mg qd may therefore be a treatment option for HIV-1
infected pregnant women.
PMID- 27187895
TI - Cost comparison of radiofrequency catheter ablation versus cryoablation for
atrial fibrillation in hospitals using both technologies.
AB - OBJECTIVE: The objective of this study was to compare the cost of radiofrequency
(RF) ablation vs cryoablation (Cryo) for atrial fibrillation (AF). METHODS: This
retrospective cohort study used 2013-2014 records from the Premier Healthcare
Database for adults with AF catheter ablation. Exclusions included non-AF
ablation, surgical ablation, valve replacement or repair, or cardiac implant.
Hospitals were required to perform >=20 procedures using each technology, with
the technology identifiable in at least 90% of cases. The primary endpoint was
total variable visit cost, modeled separately for inpatient and outpatient
visits, and adjusted for patient and hospital characteristics. Technology was
categorized as RF or Cryo, with dual-technology procedures classified as Cryo.
The Cryo cohort was further divided into Cryo only and Cryo with RF for
sensitivity analyses. A composite adverse event endpoint was also compared.
RESULTS: A total of 1261 RF procedures and 1276 Cryo procedures, of which 500
also used RF, met study criteria. RF patients were slightly older and sicker, and
had more cardiovascular disease and additional arrhythmias. Adjusted inpatient
costs were $2803 (30.0%) higher for Cryo, and adjusted outpatient costs were
$2215 (19.5%) higher. Sensitivity models showed higher costs in both Cryo sub
groups compared with RF. Procedural complication rates were not significantly
different between cohorts (p-values: 0.4888 inpatient, 0.5072 outpatient).
CONCLUSION: AF ablation using RF results in significantly lower costs compared
with Cryo, despite an RF population with more cardiovascular disease. This saving
cannot be attributed to a difference in complication rates.
PMID- 27187896
TI - Correspondence: Reply to 'On the nature of strong piezoelectricity in graphene on
SiO2'.
PMID- 27187897
TI - Solvent Dynamics in Solutions of PNIPAM in Water/Methanol Mixtures-A Quasi
Elastic Neutron Scattering Study.
AB - The solvent dynamics of concentrated solutions of poly(N-isopropylacrylamide)
(PNIPAM, 25 wt %) in water/methanol mixtures (85:15 v/v) are measured with the
aim of shedding light onto the cononsolvency effect. Quasi-elastic neutron
scattering (QENS) with contrast variation has been carried out at temperatures
below and above the cloud point by using in the first set of experiments the
mixture H2O:d-MeOD (d-MeOD denotes fully deuterated methanol) as a solvent and in
the second set of experiments the mixture D2O:MeOH (MeOH denotes methanol). As a
reference, bulk H2O, bulk MeOH and the mixtures H2O:d-MeOD and D2O:MeOH (both
85:15 v/v) have been investigated as well. In the PNIPAM solution in H2O:d-MeOD,
two water populations are identified, namely strongly and less strongly arrested
water. At the cloud point, the former is partially released from PNIPAM. The
diffusion coefficient of the latter one is similar to the one in the
water/methanol mixture, and its residence time decreases at the cloud point. The
PNIPAM solution in D2O:MeOH reveals similar dynamics to the one in H2O:d-MeOD
which may reflect that the dynamics of MeOH near the PNIPAM chain is similar to
the one of H2O. The similarity may, however, partially be due to H/D exchange
between D2O and MeOH. In both PNIPAM solutions, the mean-square displacement of
the PNIPAM chain decreases gradually above the cloud point.
PMID- 27187898
TI - Stellate Ganglion Block for the Treatment of Posttraumatic Stress Disorder: A
Randomized, Double-Blind, Controlled Trial.
AB - OBJECTIVE: In this study, we aimed to determine if stellate ganglion block (SGB)
could reduce symptoms of posttraumatic stress disorder (PTSD) in comparison with
sham therapy in military service members. METHODS: In a randomized trial in which
both participants and assessors were blind, participants with PTSD received
either an SGB or a sham procedure. Posttraumatic stress disorder symptoms were
measured using the CAPS (Clinician-Administered PTSD Scale) and self-report
measures of PTSD, depression, anxiety, and pain. Subjects underwent assessment
before the procedure and at 1 week, 1 month, and 3 months after the procedure.
Patients receiving sham injections were allowed to cross over to the treatment
group, and participants who maintained criteria for PTSD were allowed to receive
a second SGB treatment. RESULTS: Posttraumatic stress disorder, anxiety, and
depression scores all showed improvement across time, but there was no
statistically or clinically relevant difference in outcomes between the active
and control groups. Individuals who crossed over from sham treatment to SGB
similarly showed no greater improvement with the SGB treatment. Improvement in
CAPS was greater with a second SGB treatment than after the first treatment.
CONCLUSIONS: Although previous case series have suggested that SGB offers an
effective intervention for PTSD, this study did not demonstrate any appreciable
difference between SGB and sham treatment on psychological or pain outcomes.
Future studies should examine if differences in treatment methods or patient
population could allow individuals with PTSD to benefit from SGB, but current
evidence does not support widespread or indiscriminant clinical use of the
procedure for PTSD.
PMID- 27187906
TI - Stop stunting: improving child feeding, women's nutrition and household
sanitation in South Asia.
AB - The latest available data indicate that 38% of South Asia's children aged 0-59
months are stunted. Such high prevalence combined with the region's large child
population explain why South Asia bears about 40% of the global burden of
stunting. Recent analyses indicate that the poor diets of children in the first
years of life, the poor nutrition of women before and during pregnancy and the
prevailing poor sanitation practices in households and communities are important
drivers of stunting, most likely because of underlying conditions of women's
status, food insecurity, poverty, and social inequalities. With this evidence in
mind, UNICEF Regional Office for South Asia convened the Regional Conference:
Stop Stunting: Improving Child Feeding, Women's Nutrition, and Household
Sanitation in South Asia (New Delhi, November 10-12, 2014). The Conference
provided a knowledge-for-action platform with three objectives: (1) share state
of-the-art research findings on the causes of child stunting and its consequences
for child growth and development and the sustainable growth and development of
nations; (2) discuss better practices and the cost and benefits of scaling up
programmes to improve child feeding, women's nutrition, and household sanitation
in South Asia; and (3) identify implications for sectoral and cross-sectoral
policy, programme, advocacy and research to accelerate progress in reducing child
stunting in South Asia. This overview paper summarizes the rationale for the
focus on improving child feeding, women's nutrition, and household sanitation as
priority areas for investment to prevent child stunting in South Asia. It builds
on the invited papers presented at or developed as a follow on to the Stop
Stunting Conference.
PMID- 27187908
TI - Reducing stunting by improving maternal, infant and young child nutrition in
regions such as South Asia: evidence, challenges and opportunities.
AB - Meeting the high nutrient needs of pregnant and lactating women and their young
children in regions such as South Asia is challenging because diets are dominated
by staple foods with low nutrient density and poor mineral bioavailability. Gaps
in nutritional adequacy in such populations probably date back to the
agricultural revolution ~10 000 years ago. Options for improving diets during the
first 1000 days include dietary diversification and increased intake of nutrient
rich foods, improved complementary feeding practices, micronutrient supplements
and fortified foods or products specifically designed for these target groups.
Evidence from intervention trials indicates that several of these strategies,
both prenatal and post-natal, can have a positive impact on child growth, but
results are mixed and a growth response is not always observed. Nutrition
interventions, by themselves, may not result in the desired impact if the target
population suffers from frequent infection, both clinical and subclinical.
Further research is needed to understand the mechanisms underlying both prenatal
and post-natal growth restriction. In the meantime, implementation and rigorous
evaluation of integrated interventions that address the multiple causes of
stunting is a high priority. These intervention packages should ideally include
improved nutrition during both pregnancy and the post-natal period, prevention
and control of prenatal and post-natal infection and subclinical conditions that
restrict growth, care for women and children and stimulation of early child
development. In regions such as South Asia, such strategies hold great promise
for reducing stunting and enhancing human capital formation.
PMID- 27187907
TI - Childhood stunting: a global perspective.
AB - Childhood stunting is the best overall indicator of children's well-being and an
accurate reflection of social inequalities. Stunting is the most prevalent form
of child malnutrition with an estimated 161 million children worldwide in 2013
falling below -2 SD from the length-for-age/height-for-age World Health
Organization Child Growth Standards median. Many more millions suffer from some
degree of growth faltering as the entire length-for-age/height-for-age z-score
distribution is shifted to the left indicating that all children, and not only
those falling below a specific cutoff, are affected. Despite global consensus on
how to define and measure it, stunting often goes unrecognized in communities
where short stature is the norm as linear growth is not routinely assessed in
primary health care settings and it is difficult to visually recognize it. Growth
faltering often begins in utero and continues for at least the first 2 years of
post-natal life. Linear growth failure serves as a marker of multiple
pathological disorders associated with increased morbidity and mortality, loss of
physical growth potential, reduced neurodevelopmental and cognitive function and
an elevated risk of chronic disease in adulthood. The severe irreversible
physical and neurocognitive damage that accompanies stunted growth poses a major
threat to human development. Increased awareness of stunting's magnitude and
devastating consequences has resulted in its being identified as a major global
health priority and the focus of international attention at the highest levels
with global targets set for 2025 and beyond. The challenge is to prevent linear
growth failure while keeping child overweight and obesity at bay.
PMID- 27187909
TI - Improving women's nutrition imperative for rapid reduction of childhood stunting
in South Asia: coupling of nutrition specific interventions with nutrition
sensitive measures essential.
AB - The implications of direct nutrition interventions on women's nutrition, birth
outcome and stunting rates in children in South Asia are indisputable and well
documented. In the last decade, a number of studies present evidence of the role
of non-nutritional factors impacting on women's nutrition, birth outcome, caring
practices and nutritional status of children. The implications of various
dimensions of women's empowerment and gender inequality on child stunting is
being increasingly recognised. Evidence reveals the crucial role of early age of
marriage and conception, poor secondary education, domestic violence, inadequate
decision-making power, poor control over resources, strenuous agriculture
activities, and increasing employment of women and of interventions such as cash
transfer scheme and microfinance programme on undernutrition in children.
Analysis of the nutrition situation of women and children in South Asia and
programme findings emphasise the significance of reaching women during
adolescence, pre-conception and pregnancy stage. Ensuring women enter pregnancy
with adequate height and weight and free from being anemic is crucial. Combining
nutrition-specific interventions with measures for empowerment of women is
essential. Improvement in dietary intake and health services of women, prevention
of early age marriage and conception, completion of secondary education,
enhancement in purchasing power of women, reduction of work drudgery and
elimination of domestic violence deserve special attention. A range of programme
platforms dealing with health, education and empowerment of women could be
strategically used for effectively reaching women prior to and during pregnancy
to accelerate reduction in stunting rates in children in South Asia.
PMID- 27187911
TI - Determinants of stunting and poor linear growth in children under 2 years of age
in India: an in-depth analysis of Maharashtra's comprehensive nutrition survey.
AB - We use a representative sample of 2561 children 0-23 months old to identify the
factors most significantly associated with child stunting in the state of
Maharashtra, India. We find that 22.7% of children were stunted, with one-third
(7.4%) of the stunted children severely stunted. Multivariate regression analyses
indicate that children born with low birthweight had a 2.5-fold higher odds of
being stunted [odds ratio (OR) 2.49; 95% confidence interval (CI) 1.96-3.27];
children 6-23 months old who were not fed a minimum number of times/day had a 63%
higher odds of being stunted (OR 1.63; 95% CI 1.24-2.14); and lower consumption
of eggs was associated with a two-fold increased odds of stunting in children 6
23 months old (OR 2.07; 95% CI 1.19-3.61); children whose mother's height was <
145 cm, had two-fold higher odds of being stunted (OR 2.04; 95% CI 1.46-2.81);
lastly, children of households without access to improved sanitation had 88%
higher odds of being severely stunted (OR 1.88; 95% CI 1.17-3.02). Attained
linear growth (height-for-age z-score) was significantly lower in children from
households without access to improved sanitation, children of mothers without
access to electronic media, without decision making power regarding food or whose
height was < 145 cm, children born with a low birthweight and children 6-23
months old who were not fed dairy products, fruits and vegetables. In Maharashtra
children's birthweight and feeding practices, women's nutrition and status and
household sanitation and poverty are the most significant predictors of stunting
and poor linear growth in children under 2 years. Key messages One in five
(22.7%) of children 0-23 months old in the state of Maharashtra were stunted, and
one-third (7.4%) of the stunted children were severely stunted. Birthweight,
child feeding, women's nutrition and household sanitation were the most
significant predictors of stunting and poor linear growth in children under 2
years. Children born to mothers whose height was below 145 cm, had two-fold
higher odds of being stunted; children born with a low birthweight had a 2.5-fold
higher odds of being stunted. Low feeding frequency and low consumption of eggs,
dairy products, fruits and vegetables were associated with stunting and poor
linear growth in children 6-23 months old. Children of households without access
to improved sanitation had 88% higher odds of being severely stunted.
PMID- 27187910
TI - Can water, sanitation and hygiene help eliminate stunting? Current evidence and
policy implications.
AB - Stunting is a complex and enduring challenge with far-reaching consequences for
those affected and society as a whole. To accelerate progress in eliminating
stunting, broader efforts are needed that reach beyond the nutrition sector to
tackle the underlying determinants of undernutrition. There is growing interest
in how water, sanitation and hygiene (WASH) interventions might support
strategies to reduce stunting in high-burden settings, such as South Asia and sub
Saharan Africa. This review article considers two broad questions: (1) can WASH
interventions make a significant contribution to reducing the global prevalence
of childhood stunting, and (2) how can WASH interventions be delivered to
optimize their effect on stunting and accelerate progress? The evidence reviewed
suggests that poor WASH conditions have a significant detrimental effect on child
growth and development resulting from sustained exposure to enteric pathogens but
also due to wider social and economic mechanisms. Realizing the potential of WASH
to reduce stunting requires a redoubling of efforts to achieve universal access
to these services as envisaged under the Sustainable Development Goals. It may
also require new or modified WASH strategies that go beyond the scope of
traditional interventions to specifically address exposure pathways in the first
2 years of life when the process of stunting is concentrated.
PMID- 27187912
TI - Achieving behaviour change at scale: Alive & Thrive's infant and young child
feeding programme in Bangladesh.
AB - The Alive & Thrive programme scaled up infant and young child feeding
interventions in Bangladesh from 2010 to 2014. In all, 8.5 million mothers
benefited. Approaches - including improved counselling by frontline health
workers during home visits; community mobilization; mass media campaigns reaching
mothers, fathers and opinion leaders; and policy advocacy - led to rapid and
significant improvements in key practices related to breastfeeding and
complementary feeding. (Evaluation results are forthcoming.) Intervention design
was based on extensive formative research and behaviour change theory and
principles and was tailored to the local context. The programme focused on small,
achievable actions for key audience segments identified through rigorous testing.
Promotion strategies took into account underlying behavioural determinants and
reached a high per cent of the priority groups through repeated contacts.
Community volunteers received monetary incentives for mothers in their areas who
practised recommended behaviours. Programme monitoring, midterm surveys and
additional small studies to answer questions led to ongoing adjustments. Scale-up
was achieved through streamlining of tools and strategies, government branding,
phased expansion through BRAC - a local non-governmental implementing partner
with an extensive community-based platform - and nationwide mainstreaming through
multiple non-governmental organization and government programmes. Key messages
Well-designed and well-implemented large-scale interventions that combine
interpersonal counselling, community mobilization, advocacy, mass communication
and strategic use of data have great potential to improve IYCF practices rapidly.
Formative research and ongoing studies are essential to tailor strategies to the
local context and to the perspectives of mothers, family members, influential
community members and policymakers. Continued use of data to adjust programme
elements is also central to the process. Scale-up can be facilitated through
strategic selection of partners with existing community-based platforms and
through mass media, where a high proportion of the target audience can be reached
through communication channels such as broadcast media. Sustaining the impacts
will involve commitments from government and capacity building. The next step for
capacity building would involve understanding barriers and constraints and then
coming up with appropriate strategies to address them. One of the limitations we
experienced was rapid transition of staff in key positions of implementing
agencies, in government leadership, donors and other stakeholders. There was a
need for continued advocacy, orientation and teaching related to strategic
programme design, behaviour change, effective implementation and use of data.
PMID- 27187914
TI - Estimating the cost of delivering direct nutrition interventions at scale:
national and subnational level insights from India.
AB - India's national nutrition and health programmes are largely designed to provide
evidence-based nutrition-specific interventions, but intervention coverage is low
due to a combination of implementation challenges, capacity and financing gaps.
Global cost estimates for nutrition are available but national and subnational
costs are not. We estimated national and subnational costs of delivering
recommended nutrition-specific interventions using the Scaling Up Nutrition (SUN)
costing approach. We compared costs of delivering the SUN interventions at 100%
scale with those of nationally recommended interventions. Target populations (TP)
for interventions were estimated using national population and nutrition data.
Unit costs (UC) were derived from programmatic data. The cost of delivering an
intervention at 100% coverage was calculated as (UC*projected TP). Cost estimates
varied; estimates for SUN interventions were lower than estimates for nationally
recommended interventions because of differences in choice of intervention,
target group or unit cost. US$5.9bn/year are required to deliver a set of
nationally recommended nutrition interventions at scale in India, while US$4.2bn
are required for the SUN interventions. Cash transfers (49%) and food supplements
(40%) contribute most to costs of nationally recommended interventions, while
food supplements to prevent and treat malnutrition contribute most to the SUN
costs. We conclude that although such costing is useful to generate broad
estimates, there is an urgent need for further costing studies on the true unit
costs of the delivery of nutrition-specific interventions in different local
contexts to be able to project accurate national and subnational budgets for
nutrition in India.
PMID- 27187913
TI - Evidence-based evolution of an integrated nutrition-focused agriculture approach
to address the underlying determinants of stunting.
AB - Despite progress in reducing hunger and malnutrition since the 1990s, many still
suffer from undernutrition and food insecurity, particularly women and young
children, resulting in preterm birth, low birthweight and stunting, among other
conditions. Helen Keller International (HKI) has addressed malnutrition and
household food insecurity through implementation of an Enhanced Homestead Food
Production (EHFP) programme that increases year-round availability and intake of
diverse micronutrient-rich foods and promotes optimal nutrition and hygiene
practices among poor households. This paper reviews the evolution and impact of
HKI's EHFP programme and identifies core components of the model that address the
underlying determinants of stunting. To date, evaluations of EHFP have shown
impact on food production, consumption by women and children and household food
security. Sale of surplus produce has increased household income, and the use of
a transformative gender approach has empowered women. EHFP has also realized
nutrition improvements in many project sites. Results from a randomized control
trial (RCT) in Baitadi district, Nepal showed a significant improvement in a
range of practices known to impact child growth, although no impact on stunting.
Additional non-RCT evaluations in Kailali district of Nepal, demonstrated a 10.5%
reduction in stunting and in the Chittagong Hill Tracts in Bangladesh, revealed
an 18% decrease in stunting. Based on evidence, the EHFP has evolved into an
integrated package that includes agriculture, nutrition,
water/hygiene/sanitation, linkages to health care, women's empowerment, income
generation and advocacy. Closing the stunting gap requires long-term exposure to
targeted multi-sectoral solutions and rigorous evaluation to optimize impact.
PMID- 27187915
TI - The costs of stunting in South Asia and the benefits of public investments in
nutrition.
AB - South Asia is home to the largest number of stunted children worldwide: 65
million or 37% of all South Asian children under 5 were stunted in 2014. The
costs to society as a result of stunting during childhood are high and include
increased mortality, increased morbidity (in childhood and later as adults),
decreased cognitive ability, poor educational outcomes, lost earnings and losses
to national economic productivity. Conversely, investing in nutrition provides
many benefits for poverty reduction and economic growth. This article draws from
analyses conducted in four sub-Saharan countries to demonstrate that investments
in nutrition can also be very cost-effective in South Asian countries.
Specifically, the analyses demonstrate that scaling up a set of 10 critical
nutrition-specific interventions is highly cost-effective when considered as a
package. Most of the interventions are also very cost-effective when considered
individually. By modelling cost-effectiveness of different scale-up scenarios,
the analysis offers insights into ways in which the impact of investing in
nutrition interventions can be maximized under budget constraints. Rigorous
estimations of the costs and benefits of nutrition investments, similar to those
reported here for sub-Saharan countries, are an important next step for all South
Asian countries in order to drive political commitment and action and to enhance
allocative efficiency of nutrition resources.
PMID- 27187916
TI - Understanding the null-to-small association between increased macroeconomic
growth and reducing child undernutrition in India: role of development
expenditures and poverty alleviation.
AB - Empirical evidence suggests that macroeconomic growth in India is not correlated
with any substantial reductions in the prevalence of child undernutrition over
time. This study investigates the two commonly hypothesized pathways through
which macroeconomic growth is expected to reduce child undernutrition: (1) an
increase in public developmental expenditure and (2) a reduction in aggregate
income-poverty levels. For the anthropometric data on children, we draw on the
data from two cross-sectional waves of National Family Health Survey conducted in
1992-1993 and 2005-2006, while the data for per capita net state domestic product
and per capita public spending on developmental expenditure and headcount ratio
of poverty were obtained from the Reserve Bank of India and the Government of
India expert committee reports. We find that between 1992-1993 and 2005-2006,
state-level macroeconomic growth was not associated with any substantial
increases in public development expenditure or substantial reductions in poverty
at the aggregate level. Furthermore, the association between changes in public
development expenditure or aggregate poverty and changes in undernutrition was
small. In summary, it appears that the inability of macroeconomic growth to
translate into reductions in child undernutrition in India is likely a
consequence of the macroeconomic growth not translating into substantial
investments in development expenditure that could matter for children's
nutritional status and neither did it substantially improve incomes of the poor,
a group where undernutrition is also the highest. The findings here build a case
to advocate a 'support-led' strategy for reducing undernutrition rather than
simply relying on a 'growth-mediated' strategy. Key messages Increases in
macroeconomic growth have not been accompanied by substantial increases in public
developmental spending or reduction in aggregate poverty headcount ratio in
India. Association between increases in public development expenditure or poverty
headcount ratios and changes in child undernutrition, in particular, child
stunting, is small to null. Reducing the burden of undernutrition in India cannot
be accomplished solely relying on a growth-mediated strategy, and a concerted
support-led strategy is required.
PMID- 27187917
TI - Drivers of nutritional change in four South Asian countries: a dynamic
observational analysis.
AB - This paper quantifies the factors explaining long-term improvements in child
height for age z-scores in Bangladesh (1996/1997-2011), India (1992/1993
2005/2006), Nepal (1997-2011) and Pakistan (1991-2013). We apply the same
statistical techniques to data from a common data source from which we have
extracted a set of common explanatory variables that capture 'nutrition
sensitive' factors. Three are particularly important in explaining height for age
z-score changes over these timeframes: improvements in material well-being;
increases in female education; and improvements in sanitation. These factors have
comparable associations across all four countries.
PMID- 27187919
TI - Stop stunting: situation and way forward to improve maternal, child and
adolescent nutrition in Afghanistan.
PMID- 27187920
TI - Imperatives for reducing child stunting in Bangladesh.
PMID- 27187918
TI - Rethinking policy perspectives on childhood stunting: time to formulate a
structural and multifactorial strategy.
AB - Stunting and chronic undernutrition among children in South Asia remain a major
unresolved global health issue. There are compelling intrinsic and moral reasons
to ensure that children attain their optimal growth potential facilitated via
promotion of healthy living conditions. Investments in efforts to ensure that
children's growth is not faltered also have substantial instrumental benefits in
terms of cognitive and economic development. Using the case of India, we critique
three prevailing approaches to reducing undernutrition among children: an over
reliance on macroeconomic growth as a potent policy instrument, a
disproportionate focus on interpreting undernutrition as a demand-side problem
and an over-reliance on unintegrated single-factorial (one at a time) approaches
to policy and research. Using existing evidence, we develop a case for support
led policy approach with a focus on integrated and structural factors to
addressing the problem of undernutrition among children in India. Key messages
Eliminating child undernutrition is important from an intrinsic perspective and
offers considerable instrumental benefits to individual and society. Evidence
suggests that an exclusive reliance on a growth-mediated strategy to eliminate
stunting needs to be reconsidered, suggesting the need for a substantial support
led strategy. Interpreting and addressing undernutrition as a demand-side problem
with proximal single-factorial interventions is futile. There is an urgent need
to develop interventions that address the broader structural and upstream causes
of child undernutrition.
PMID- 27187921
TI - Reducing stunting in Bhutan: an achievable national goal.
PMID- 27187922
TI - Reducing stunting in India: what investments are needed?
PMID- 27187923
TI - Stop stunting: Pakistan perspective on how this could be realized.
PMID- 27187924
TI - Stunting in Nepal: looking back, looking ahead.
PMID- 27187925
TI - Using the TIDieR Checklist to Standardize the Description of a Functional
Strength Training Intervention for the Upper Limb After Stroke.
AB - BACKGROUND AND PURPOSE: Published reports of intervention in randomized
controlled trials are often poorly described. The Template for Intervention
Description and Replication (TIDieR) checklist has been recently developed to
improve the reporting of interventions. The aim of this article is to describe a
therapy intervention used in the stroke rehabilitation trial, "Clinical Efficacy
of Functional Strength Training for Upper Limb Motor Recovery Early After Stroke:
Neural Correlates and Prognostic Indicators" (FAST-INdICATE), using TIDieR.
METHODS: The functional strength training intervention used in the FAST-INdICATE
trial was described using TIDieR so that intervention can be replicated by both
clinicians, who may implement it in practice, and researchers, who may deliver it
in future research. The usefulness of TIDieR in the context of a complex stroke
rehabilitation intervention was then discussed. RESULTS AND DISCUSSION: The
TIDieR checklist provided a systematic way of describing a treatment intervention
used in a clinical trial of stroke rehabilitation. Clarification is needed
regarding several aspects of the TIDieR checklist, including in which section to
report about the development of the intervention in pilot studies, results of
feasibility studies; overlap between training and procedures for assessing
fidelity; and where to publish supplementary material so that it remains in the
public domain. CONCLUSIONS: TIDieR is a systematic way of reporting the
intervention delivered in a clinical trial of a complex intervention such as
stroke rehabilitation. This approach may also have value for standardizing
intervention in clinical practice.Video abstract available for more insights from
the authors (see Supplemental Digital Content 1, http://links.lww.com/JNPT/A131).
PMID- 27187927
TI - The Use of Long Acting Reversible Contraceptives and the Relationship between
Discontinuation Rates due to Menopause and to Female and Male Sterilizations.
AB - Introduction Women require effective contraception until they reach menopause.
The long acting reversible contraceptives (LARC) and the depot
medroxyprogesterone acetate (DMPA, Depo-Provera(r), Pfizer, Puurs, Belgium) are
great options and can replace possible sterilizations. Purpose To assess the
relationship between the use of LARCs and DMPA and terminations ascribed to
menopause and sterilizations in a Brazilian clinic. Methods We reviewed the
records of women between 12 and 50 years of age attending the clinic that chose
to use a LARC method or DMPA. Cumulative termination rates due to sterilization
or because the woman had reached menopause were computed using single decrement
life-table analysis over 32 years. We also examined all records of surgical
sterilization at our hospital between the years 1980-2012. Results Three hundred
thirty-two women had continuously used the same contraceptive until menopause,
and 555 women had discontinued the method because they or their partners
underwent sterilization. From year 20 to year 30 of use, levonorgestrel
intrauterine-releasing system (LNG-IUS - Mirena(r), Bayer Oy, Turku, Finland;
available since 1980), copper intrauterine device (IUD - available since 1980)
and DMPA users showed a trend of cumulative higher discontinuation rates due to
menopause when compared with the discontinuation rates due to sterilization. Over
the study period, a steep decline in the use of sterilization occurred.
Conclusion Over the past 15 years of research we have observed a trend: women
usually preferred to continue using LARC methods or DMPA until menopause rather
than decide for sterilization, be it their own, or their partners'. The annual
number of sterilizations dropped in the same period. The use of LARC methods and
DMPA until menopause is an important option to avoid sterilization, which
requires a surgical procedure with potential complications.
PMID- 27187928
TI - Quality of Life of Pregnant Women Living with HIV/AIDS.
AB - Objective to evaluate the quality of life of HIV positive (HIV+) pregnant women
using the HIV/AIDS Target Quality of Life (HAT-QoL) instrument. Methods cross
sectional study, conducted between May 2014 and November 2015, with HIV+ pregnant
women selected by convenience sampling. Sociodemographic and behavioral data were
collected through interviews, and the HAT-QoL questionnaire was applied. Clinical
and laboratorial data were collected from medical records. Results twenty-seven
pregnant women participated in the study. Their mean age was 27 years (standard
deviation - SD: 7.3). The majority (59%) had up to 8 years of education, 52%
identified themselves as white, 56% were unemployed, and 59% had a household
income higher than the minimum wage. The mean infection time by the virus was
68.4 months (5.7 years). The majority (74%) were contaminated with HIV through
sexual intercourse, and 67% declared not having a HIV+ relative. Regarding the
use of condoms, 41% reported using them sporadically, and the same number did not
have proper knowledge about them. Only 23 patients (85%) reported having been
prescribed antiretrovirals. Fourteen (64%) had a CD4 count higher than 500
cells/mm(3), and 13 pregnant women (59%) had an undetectable viral load. The
scores from the quality of life questionnaire dimensions that were more affected
are: infection "disclosure concerns" (mean: 39.8; SD: 27.1), followed by
"financial concerns" (mean: 49.1; SD: 36), and "HIV acceptance" (mean: 49.1; SD:
35.8). The dimension with the best score was "medication concerns" (mean: 80.8;
SD: 26.5). Conclusion quality of life has been increasingly used as a clinical
outcome evaluation parameter. The results of this study contribute to the
establishment of interventions based on the needs of HIV+ pregnant women.
PMID- 27187929
TI - Molecular Subtypes of Breast Cancer Are Not Associated with the Clinical Under-
or Overstaging of Breast Cancer.
AB - Purpose to evaluate the agreement between the clinical and pathological stagings
of breast cancer based on clinical and molecular features. Methods this was a
cross-sectional study, in which clinical, epidemiological and pathological data
were collected from 226 patients who underwent surgery at the Prof. Dr. Jose
Aristodemo Pinotti Women's Hospital (CAISM/Unicamp) from January 2008 to
September 2010. Patients were staged clinically and pathologically, and were
classified as: understaged, when the clinical staging was lower than the
pathological staging; correctly staged, when the clinical staging was the same as
the pathological one; and overstaged, when the clinical staging was greater than
the pathological staging. Results understaged patients were younger (52.2 years;
p < 0.01) and more symptomatic at diagnosis (p = 0.04) when compared with
correctly or overstaged patients. Clinicopathological surrogate subtype,
menopausal status, parity, hormone replace therapy and histology were not
associated with differences in staging. Women under 57 years of age were
clinically understaged mainly due to underestimation of T (tumor staging) (p <
0.001), as were the premenopausal women (p < 0.01). Patients whose diagnosis was
made due to clinical complaints, and not by screening, were clinically
understaged due to underestimation of N (lymph nodes staging) (p < 0.001).
Conclusion the study shows that the clinicopathological surrogate subtype is not
associated with differences in staging, while younger women diagnosed because of
clinical complaints tend to have their breast tumors understaged during clinical
evaluation.
PMID- 27187930
TI - Authentication of Gentiana straminea Maxim. and its substitutes based on chemical
profiling of iridoids using liquid chromatography with mass spectrometry.
AB - A liquid chromatography-electrospray ionization-ion-trap mass spectrometry (MSn )
method was established and applied for authentication of Gentiana straminea from
the four substitutes, G. tibetica, G. lhassica, G. waltonii and G. robusta, based
on chemical profiling of the principal iridoid glucosides aided by a quadrupole
time-of-flight mass spectrometry. The fragmentation pathways of the three
representative iridoid glucosides, loganic acid, gentiopicroside and sweroside,
were investigated by MSn analysis in negative ion mode, which assisted the
characterization of analogs detected in the chromatographic profiling of the
tested Gentiana species. In total, 25 iridoids were identified or tentatively
characterized from G. straminea and four substitutes, in which 7-O-(4''-O
glucosyl)coumaroyl-loganic acid and 7-O-coumaroyl-loganic acid are diagnostic in
G. straminea and can serve as the proposed chemical markers to discriminate it
from morphologically similar substitutes.
PMID- 27187931
TI - Weighing the options for limiting surplus animals.
AB - The unsustainability of many animal programs managed by zoos and aquariums has
brought renewed attention to unresolved questions about various management
strategies. Solving the "sustainability crisis" for many species will require
housing more adults and producing more offspring than there are existing spaces
in accredited zoos and aquariums. Careful reproductive management is central to
addressing this challenge, but opinions differ about which management strategies
are best for an individual, for a species, for an institution, or for a country
or region. The primary options for limiting the number of animals that would be
surplus to the population are to prevent reproduction or to euthanize. However,
there is much misunderstanding about methods for controlling reproduction, in
particular about contraceptives and species differences in their effects. Careful
weighing of all the options is called for. Lifetime Reproductive Planning may
help increase breeding success through careful reproductive management but cannot
eliminate production of surplus animals. Limiting reproduction does not address
the problem of animals already in the population. Despite best efforts and
planning, consistently hitting target numbers for a population may never be
achieved. Increasing capacity provides a temporary patch when targets are
exceeded, but is not a long-term solution, since each generation potentially
produces even more individuals needing even more space. Welfare considerations
should be included in discussions of management euthanasia and its alternatives.
Such discussions will be most productive if based on full awareness of the
advantages and disadvantages of all the options. Zoo Biol. 35:183-186, 2016. (c)
2016 Wiley Periodicals, Inc.
PMID- 27187932
TI - Sensitive determination of neurotransmitters in urine by microchip
electrophoresis with multiple-concentration approaches combining field-amplified
and reversed-field stacking.
AB - Microchip electrophoresis (MCE) is particularly attractive as it provides high
sensitivity and selectivity, short analysis time and low sample consumption. An
on-line preconcentration strategy combining field-amplified stacking (FASS) and
reversed-field stacking (RFS) was developed for efficient and sensitive analysis
of neurotransmitters in real urine samples by MCE with laser induced fluorescence
(LIF) detection. In this study, the multiple-preconcentration strategy greatly
improves the sensitivity enhancement and surpass other conventional analytical
methods for neurotransmitters detection. Under optimal conditions, the separation
of three neurotransmitters (dopamine, norepinephrine and serotonin), was achieved
within 3min with limits of detection (S/N=3) of 1.69, 2.35, and 2.73nM,
respectively. The detection sensitivities were improved by 201-, 182-, and 292
fold enhancement, for the three neurotransmitters respectively. Other evaluation
parameters such as linear correlation coefficients were considered as
satisfactory. A real urine sample was analyzed with recoveries of 101.8-106.4%.
The proposed FASS-RFS-MCE method was characterized in terms of precision,
linearity, accuracy and successfully applied for rapid and sensitive
determination of three neurotransmitters in human urine.
PMID- 27187933
TI - Decreased OxLDL uptake and cholesterol efflux in THP1 cells elicited by cortisol
and by cortisone through 11beta-hydroxysteroid dehydrogenase type 1.
AB - BACKGROUND AND AIMS: Data about glucocorticoids role in the development of
atherosclerosis are controversial showing different effects in human than in
experimental animal models. Atherosclerosis is the result of a chronic
inflammatory response to an injured endothelium where an uncontrolled uptake of
OxLDL by macrophages triggers the development of foam cells, the main component
of fatty streaks in atherosclerotic plaque. There are few data about the direct
effect of glucocorticoids in macrophages of atherosclerotic plaque. The aim of
the study was to elucidate the role of glucocorticoids in the development of foam
cells in atherosclerosis initiation. METHODS: For this purpose we used THP1 cells
differentiated to macrophages with phorbol esters and incubated with OxLDL alone
or with cortisol or cortisone. THP1 cells were also incubated with cortisone plus
an inhibitor of 11beta-hydroxysteroid dehydrogenase 1 (11betaHSD1) activity to
determine the role of this enzyme on glucocorticoid action in this process.
RESULTS: Ours results showed that cortisol and cortisone decreased significantly
the inflammation promoted by OxLDL, and also diminished the expression of genes
involved in influx and efflux of cholesterol resulting in a reduced lipid
accumulation. Likewise cortisol and cortisone decreased 11betaHSD1 expression in
THP1 cells. The presence of the inhibitor of 11betaHSD1 abolished all the effects
elicited by cortisone. CONCLUSION: Our results indicate a direct effect of
glucocorticoids on macrophages braking atherosclerosis initiation, reducing pro
inflammatory markers and OxLDL uptake and cholesterol re-esterification, but also
inhibiting cholesterol output. These effects appear to be mediated, at least in
part, by 11betaHSD1 activity.
PMID- 27187935
TI - DISC1, astrocytes and neuronal maturation: a possible mechanistic link with
implications for mental disorders.
AB - Disrupted-In-Schizophrenia 1 (DISC1) is a genetic risk factor implicated in major
mental disorders that involve disrupted neurodevelopment and synaptic signaling.
Glial cells such as astrocytes can regulate neuronal and synaptic maturation.
Although astrocytes express DISC1, the role of astrocyte DISC1 in synaptic
regulation remains unknown. We expressed a pathogenic, dominant-negative form of
DISC1, mutant DISC1, in astrocytes to elucidate the roles of astrocytic DISC1 in
maturation of dendrites and excitatory and inhibitory synapses using a co-culture
model. We found that wild-type primary neurons exhibited less elaborated
dendritic arborization when co-cultured with astrocytes that express mutant
DISC1, compared to control astrocytes. We observed significantly decreased
density of excitatory but not inhibitory synapses on wild-type primary neurons
that were co-cultured with astrocytes that express mutant DISC1, compared to
control astrocytes. Treatment of co-cultures with D-serine restored dendritic
development and density of excitatory synapses. Our findings show for the first
time that mutant DISC1 diminished the capacity of astrocytes to support dendritic
and synaptic maturation in co-cultured neurons, and that D-serine can restore the
dendritic and synaptic abnormalities. The results provide a new insight into the
mechanisms whereby genetic risk factors within astrocytes could contribute the
pathogenesis of psychiatric disorders. Expression of mutant DISC1 (mDISC1) in
astrocytes (A) decreases binding of endogenous DISC1 to serine racemase (SR) and
production of D-serine (blue triangles) from L-serine (red triangles). As a
result, neurons co-cultured with mutant DISC1 astrocytes exhibit diminished
dendritic arborization (DIV10) and decreased linear density of VGLUT+(red)/PSD95
+ (green) excitatory synapses (DIV14). Filled circles with arrows denote
membrane transporters for D-serine. Read the Editorial Highlight for this article
on doi: 10.1111/jnc.13699.
PMID- 27187934
TI - Genetic and environmental risk factors for atherosclerosis regulate transcription
of phosphatase and actin regulating gene PHACTR1.
AB - BACKGROUND AND AIMS: Coronary artery disease (CAD) risk is associated with non
coding genetic variants at the phosphatase and actin regulating protein
1(PHACTR1) gene locus. The PHACTR1 gene encodes an actin-binding protein with
phosphatase regulating activity. The mechanism whereby PHACTR1 influences CAD
risk is unknown. We hypothesized that PHACTR1 would be expressed in human cell
types relevant to CAD and regulated by atherogenic or genetic factors. METHODS
AND RESULTS: Using immunohistochemistry, we demonstrate that PHACTR1 protein is
expressed strongly in human atherosclerotic plaque macrophages, lipid-laden foam
cells, adventitial lymphocytes and endothelial cells. Using a combination of
genomic analysis and molecular techniques, we demonstrate that PHACTR1 is
expressed as multiple previously uncharacterized transcripts in macrophages, foam
cells, lymphocytes and endothelial cells. Immunoblotting confirmed a total
absence of PHACTR1 in vascular smooth muscle cells. Real-time quantitative PCR
showed that PHACTR1 is regulated by atherogenic and inflammatory stimuli. In
aortic endothelial cells, oxLDL and TNF-alpha both upregulated an intermediate
length transcript. A short transcript expressed only in immune cells was
upregulated in macrophages by oxidized low-density lipoprotein, and oxidized
phospholipids but suppressed by lipopolysaccharide or TNF-alpha. In primary human
macrophages, we identified a novel expression quantitative trait locus (eQTL)
specific for this short transcript, whereby the risk allele at CAD risk SNP
rs9349379 is associated with reduced PHACTR1 expression, similar to the effect of
an inflammatory stimulus. CONCLUSIONS: Our data demonstrate that PHACTR1 is a key
atherosclerosis candidate gene since it is regulated by atherogenic stimuli in
macrophages and endothelial cells and we identify an effect of the genetic risk
variant on PHACTR1 expression in macrophages that is similar to that of an
inflammatory stimulus.
PMID- 27187937
TI - Adaptive Fuzzy Tracking Control of Nonlinear Systems With Asymmetric Actuator
Backlash Based on a New Smooth Inverse.
AB - This paper is concentrated on the problem of adaptive fuzzy tracking control for
an uncertain nonlinear system whose actuator is encountered by the asymmetric
backlash behavior. First, we propose a new smooth inverse model which can
approximate the asymmetric actuator backlash arbitrarily. By applying it, two
adaptive fuzzy control scenarios, namely, the compensation-based control scheme
and nonlinear decomposition-based control scheme, are then developed
successively. It is worth noticing that the first fuzzy controller exhibits a
better tracking control performance, although it recourses to a known slope ratio
of backlash nonlinearity. The second one further removes the restriction, and
also gets a desirable control performance. By the strict Lyapunov argument, both
adaptive fuzzy controllers guarantee that the output tracking error is convergent
to an adjustable region of zero asymptotically, while all the signals remain
semiglobally uniformly ultimately bounded. Lastly, two comparative simulations
are conducted to verify the effectiveness of the proposed fuzzy controllers.
PMID- 27187936
TI - Efficient and mechanically robust stretchable organic light-emitting devices by a
laser-programmable buckling process.
AB - Stretchable organic light-emitting devices are becoming increasingly important in
the fast-growing fields of wearable displays, biomedical devices and health
monitoring technology. Although highly stretchable devices have been
demonstrated, their luminous efficiency and mechanical stability remain
impractical for the purposes of real-life applications. This is due to
significant challenges arising from the high strain-induced limitations on the
structure design of the device, the materials used and the difficulty of
controlling the stretch-release process. Here we have developed a laser
programmable buckling process to overcome these obstacles and realize a highly
stretchable organic light-emitting diode with unprecedented efficiency and
mechanical robustness. The strained device luminous efficiency -70 cd A(-1) under
70% strain - is the largest to date and the device can accommodate 100% strain
while exhibiting only small fluctuations in performance over 15,000 stretch
release cycles. This work paves the way towards fully stretchable organic light
emitting diodes that can be used in wearable electronic devices.
PMID- 27187938
TI - Exponential Stability for Neutral Stochastic Markov Systems With Time-Varying
Delay and Its Applications.
AB - In this paper, the exponential stability in p th( p > 1 )-moment for neutral
stochastic Markov systems with time-varying delay is studied. The derived
stability conditions comprise two forms: 1) the delay-independent stability
criteria which are obtained by establishing an integral inequality and 2) the
delay-dependent stability criteria which are captured by using the theory of the
functional differential equations. As its applications, the obtained stability
results are used to investigate the exponential stability in p th( p > 1 )-moment
for the neutral stochastic neural networks with time-varying delay and Markov
switching, and the globally exponential adaptive synchronization for the neutral
stochastic complex dynamical systems with time-varying delay and Markov
switching, respectively. On the delay-independent criteria, sufficient conditions
are given in terms of M -matrix and thus are easy to check. The delay-dependent
criteria are presented in the forms of the algebraic inequalities, and the least
upper bound of the time-varying delay is also provided. The primary advantages of
these obtained results over some recent and similar works are that the
differentiability or continuity of the delay function is not required, and that
the difficulty stemming from the presence of the neutral item and the Markov
switching is overcome. Three numerical examples are provided to examine the
effectiveness and potential of the theoretic results obtained.
PMID- 27187940
TI - Event Recognition for Contactless Activity Monitoring Using Phase-Modulated
Continuous Wave Radar.
AB - OBJECTIVES: The use of remote sensing technologies such as radar is gaining
popularity as a technique for contactless detection of physiological signals and
analysis of human motion. This paper presents a methodology for classifying
different events in a collection of phase modulated continuous wave radar
returns. The primary application of interest is to monitor inmates where the
presence of human vital signs amidst different, interferences needs to be
identified. METHODS: A comprehensive set of features is derived through time and
frequency domain analyses of the radar returns. The Bhattacharyya distance is
used to preselect the features with highest class separability as the possible
candidate features for use in the classification process. The uncorrelated linear
discriminant analysis is performed to decorrelate, denoise, and reduce the
dimension of the candidate feature set. Linear and quadratic Bayesian classifiers
are designed to distinguish breathing, different human motions, and nonhuman
motions. The performance of these classifiers is evaluated on a pilot dataset of
radar returns that contained different events including breathing, stopped
breathing, simple human motions, and movement of fan and water. RESULTS: Our
proposed pattern classification system achieved accuracies of up to 93% in
stationary subject detection, 90% in stop-breathing detection, and 86% in
interference detection. CONCLUSION: Our proposed radar pattern recognition system
was able to accurately distinguish the predefined events amidst interferences.
SIGNIFICANCE: Besides inmate monitoring and suicide attempt detection, this paper
can be extended to other radar applications such as home-based monitoring of
elderly people, apnea detection, and home occupancy detection.
PMID- 27187939
TI - Semisupervised Tripled Dictionary Learning for Standard-Dose PET Image Prediction
Using Low-Dose PET and Multimodal MRI.
AB - OBJECTIVE: To obtain high-quality positron emission tomography (PET) image with
low-dose tracer injection, this study attempts to predict the standard-dose PET
(S-PET) image from both its low-dose PET (L-PET) counterpart and corresponding
magnetic resonance imaging (MRI). METHODS: It was achieved by patch-based sparse
representation (SR), using the training samples with a complete set of MRI, L-PET
and S-PET modalities for dictionary construction. However, the number of training
samples with complete modalities is often limited. In practice, many samples
generally have incomplete modalities (i.e., with one or two missing modalities)
that thus cannot be used in the prediction process. In light of this, we develop
a semisupervised tripled dictionary learning (SSTDL) method for S-PET image
prediction, which can utilize not only the samples with complete modalities
(called complete samples) but also the samples with incomplete modalities (called
incomplete samples), to take advantage of the large number of available training
samples and thus further improve the prediction performance. RESULTS: Validation
was done on a real human brain dataset consisting of 18 subjects, and the results
show that our method is superior to the SR and other baseline methods.
CONCLUSION: This paper proposed a new S-PET prediction method, which can
significantly improve the PET image quality with low-dose injection.
SIGNIFICANCE: The proposed method is favorable in clinical application since it
can decrease the potential radiation risk for patients.
PMID- 27187942
TI - Efficient Subculture Process for Adherent Cells by Selective Collection Using
Cultivation Substrate Vibration.
AB - Cell detachment and reseeding are typical operations in cell culturing, often
using trypsin exposure and pipetting, even though this process is known to damage
the cells. Reducing the number of detachment and reseeding steps might
consequently improve the overall quality of the culture, but to date this has not
been an option. This study proposes the use of resonant vibration in the cell
cultivation substrate to selectively release adherent calf chondrocyte cells:
Some were released from the substrate and collected while others were left upon
the substrate to grow to confluence as a subculture-without requiring reseeding.
An out-of-plane vibration mode with a single nodal circle was used in the custom
culture substrate. At a maximum vibration amplitude of 0.6 um, 84.9% of the cells
adhering to the substrate were released after 3 min exposure, leaving a
sufficient number of cells for passage and long-term cell culture, with the
greatest cell concentration along the nodal circle where the vibration was
relatively quiescent. The 72-h proliferation of the unreleased cells was 20%
greater in number than cells handled using the traditional method of trypsin-EDTA
(0.050%) release, pipette collection, and reseeding. Due to the vibration, it was
possible to reduce the trypsin-EDTA used for selective release to only 0.025%,
and in doing so the cell number after 72 h of proliferation was 42% greater in
number than the traditional technique.
PMID- 27187941
TI - Characterization of Axonal Spikes in Cultured Neuronal Networks Using
Microelectrode Arrays and Microchannel Devices.
AB - OBJECTIVE: Axonal propagation has a pivotal role in information processing in the
brain. However, there has been little experimental study due to the difficulty of
isolation of axons and recording their signals. Here, we developed dual chamber
neuronal network interconnected with axons by integrating microchannel devices
with microelectrode arrays (MEAs) to investigate axonal signals in developmental
stage. METHODS: The device was composed of two chambers and microchannels between
them, and hippocampal neurons were cultured in both chambers. Neuronal activity
was recorded for four weeks. RESULTS: Large axonal signal was detected in
microchannels, which were 137.0 +/- 8.5 MUV at 14 days in vitro (DIV). It was
significantly larger than those in chambers with a similar range of signal-to
noise ratio. Detection efficiency of axonal spikes was analyzed by calculating
the number of active electrodes over time. We found that active electrodes were
detected earlier and their number increased faster in microchannels than those in
chambers. Finally, we estimated the axonal conduction velocity and 73% of axons
had the velocity in range of 0.2-0.5 m/s at 14 DIV. By estimating the velocity
over the cultivation period, we observed that axonal conduction velocity
increased linearly over time. CONCLUSION: Using MEAs and microchannel devices, we
successfully detected large axonal signals and analyzed their detection
efficiency and conduction velocity. We first showed the gradual increase in
conduction velocity depending on cultivation days. SIGNIFICANCE: The developed
microchannel device integrated MEA may be applicable for the studies of axonal
conduction in cultured neuronal networks.
PMID- 27187943
TI - Bayesian Time-of-Flight for Realtime Shape, Illumination and Albedo.
AB - We propose a computational model for shape, illumination and albedo inference in
a pulsed time-of-flight (TOF) camera. In contrast to TOF cameras based on phase
modulation, our camera enables general exposure profiles. This results in added
flexibility and requires novel computational approaches. To address this
challenge we propose a generative probabilistic model that accurately relates
latent imaging conditions to observed camera responses. While principled,
realtime inference in the model turns out to be infeasible, and we propose to
employ efficient non-parametric regression trees to approximate the model
outputs. As a result we are able to provide, for each pixel, at video frame rate,
estimates and uncertainty for depth, effective albedo, and ambient light
intensity . These results we present are state-of-the-art in depth imaging. The
flexibility of our approach allows us to easily enrich our generative model. We
demonstrate this by extending the original single-path model to a two-path model,
capable of describing some multipath effects. The new model is seamlessly
integrated in the system at no additional computational cost. Our work also
addresses the important question of optimal exposure design in pulsed TOF
systems. Finally, for benchmark purposes and to obtain realistic empirical priors
of multipath and insights into this phenomena, we propose a physically accurate
simulation of multipath phenomena.
PMID- 27187944
TI - Learning to Generate Chairs, Tables and Cars with Convolutional Networks.
AB - We train generative 'up-convolutional' neural networks which are able to generate
images of objects given object style, viewpoint, and color. We train the networks
on rendered 3D models of chairs, tables, and cars. Our experiments show that the
networks do not merely learn all images by heart, but rather find a meaningful
representation of 3D models allowing them to assess the similarity of different
models, interpolate between given views to generate the missing ones, extrapolate
views, and invent new objects not present in the training set by recombining
training instances, or even two different object classes. Moreover, we show that
such generative networks can be used to find correspondences between different
objects from the dataset, outperforming existing approaches on this task.
PMID- 27187945
TI - Cross-Domain Visual Matching via Generalized Similarity Measure and Feature
Learning.
AB - Cross-domain visual data matching is one of the fundamental problems in many real
world vision tasks, e.g., matching persons across ID photos and surveillance
videos. Conventional approaches to this problem usually involves two steps: i)
projecting samples from different domains into a common space, and ii) computing
(dis-)similarity in this space based on a certain distance. In this paper, we
present a novel pairwise similarity measure that advances existing models by i)
expanding traditional linear projections into affine transformations and ii)
fusing affine Mahalanobis distance and Cosine similarity by a data-driven
combination. Moreover, we unify our similarity measure with feature
representation learning via deep convolutional neural networks. Specifically, we
incorporate the similarity measure matrix into the deep architecture, enabling an
end-to-end way of model optimization. We extensively evaluate our generalized
similarity model in several challenging cross-domain matching tasks: person re
identification under different views and face verification over different
modalities (i.e., faces from still images and videos, older and younger faces,
and sketch and photo portraits). The experimental results demonstrate superior
performance of our model over other state-of-the-art methods.
PMID- 27187946
TI - Dynamic Whitening Saliency.
AB - General dynamic scenes involve multiple rigid and flexible objects, with relative
and common motion, camera induced or not. The complexity of the motion events
together with their strong spatio-temporal correlations make the estimation of
dynamic visual saliency a big computational challenge. In this work, we propose a
computational model of saliency based on the assumption that perceptual relevant
information is carried by high-order statistical structures. Through whitening,
we completely remove the second-order information (correlations and variances) of
the data, gaining access to the relevant information. The proposed approach is an
analytically tractable and computationally simple framework which we call Dynamic
Adaptive Whitening Saliency (AWS-D). For model assessment, the provided saliency
maps were used to predict the fixations of human observers over six public video
datasets, and also to reproduce the human behavior under certain psychophysical
experiments (dynamic pop-out). The results demonstrate that AWS-D beats state-of
the-art dynamic saliency models, and suggest that the model might contain the
basis to understand the key mechanisms of visual saliency. Experimental
evaluation was performed using an extension to video of the well-known
methodology for static images, together with a bootstrap permutation test (random
label hypothesis) which yields additional information about temporal evolution of
the metrics statistical significance.
PMID- 27187947
TI - Co-Saliency Detection via a Self-Paced Multiple-Instance Learning Framework.
AB - As an interesting and emerging topic, co-saliency detection aims at
simultaneously extracting common salient objects from a group of images. On one
hand, traditional co-saliency detection approaches rely heavily on human
knowledge for designing hand-crafted metrics to possibly reflect the faithful
properties of the co-salient regions. Such strategies, however, always suffer
from poor generalization capability to flexibly adapt various scenarios in real
applications. On the other hand, most current methods pursue co-saliency
detection in unsupervised fashions. This, however, tends to weaken their
performance in real complex scenarios because they are lack of robust learning
mechanism to make full use of the weak labels of each image. To alleviate these
two problems, this paper proposes a new SP-MIL framework for co-saliency
detection, which integrates both multiple instance learning (MIL) and self-paced
learning (SPL) into a unified learning framework. Specifically, for the first
problem, we formulate the co-saliency detection problem as a MIL paradigm to
learn the discriminative classifiers to detect the co-saliency object in the
"instance-level". The formulated MIL component facilitates our method capable of
automatically producing the proper metrics to measure the intra-image contrast
and the inter-image consistency for detecting co-saliency in a purely self
learning way. For the second problem, the embedded SPL paradigm is able to
alleviate the data ambiguity under the weak supervision of co-saliency detection
and guide a robust learning manner in complex scenarios. Experiments on benchmark
datasets together with multiple extended computer vision applications demonstrate
the superiority of the proposed framework beyond the state-of-the-arts.
PMID- 27187948
TI - Hyperbolic Harmonic Mapping for Surface Registration.
AB - Automatic computation of surface correspondence via harmonic map is an active
research field in computer vision, computer graphics and computational geometry.
It may help document and understand physical and biological phenomena and also
has broad applications in biometrics, medical imaging and motion capture
industries. Although numerous studies have been devoted to harmonic map research,
limited progress has been made to compute a diffeomorphic harmonic map on general
topology surfaces with landmark constraints. This work conquers this problem by
changing the Riemannian metric on the target surface to a hyperbolic metric so
that the harmonic mapping is guaranteed to be a diffeomorphism under landmark
constraints. The computational algorithms are based on Ricci flow and nonlinear
heat diffusion methods. The approach is general and robust. We employ our
algorithm to study the constrained surface registration problem which applies to
both computer vision and medical imaging applications. Experimental results
demonstrate that, by changing the Riemannian metric, the registrations are always
diffeomorphic and achieve relatively high performance when evaluated with some
popular surface registration evaluation standards.
PMID- 27187949
TI - Generalized Sparse Learning of Linear Models Over the Complete Subgraph Feature
Set.
AB - Supervised learning over graphs is an intrinsically difficult problem:
simultaneous learning of relevant features from the complete subgraph feature
set, in which enumerating all subgraph features occurring in given graphs is
practically intractable due to combinatorial explosion. We show that 1) existing
graph supervised learning studies, such as Adaboost, LPBoost, and LARS/LASSO, can
be viewed as variations of a branch-and-bound algorithm with simple bounds, which
we call Morishita-Kudo bounds; 2) We present a direct sparse optimization
algorithm for generalized problems with arbitrary twice-differentiable loss
functions, to which Morishita-Kudo bounds cannot be directly applied; 3) We
experimentally showed that i) our direct optimization method improves the
convergence rate and stability, and ii) L1-penalized logistic regression (L1
LogReg) by our method identifies a smaller subgraph set, keeping the competitive
performance, iii) the learned subgraphs by L1-LogReg are more size-balanced than
competing methods, which are biased to small-sized subgraphs.
PMID- 27187950
TI - Screening Tests for Lasso Problems.
AB - This paper is a survey of dictionary screening for the lasso problem. The lasso
problem seeks a sparse linear combination of the columns of a dictionary to best
match a given target vector. This sparse representation has proven useful in a
variety of subsequent processing and decision tasks. For a given target vector,
dictionary screening quickly identifies a subset of dictionary columns that will
receive zero weight in a solution of the corresponding lasso problem. These
columns can be removed from the dictionary prior to solving the lasso problem
without impacting the optimality of the solution obtained. This has two potential
advantages: it reduces the size of the dictionary, allowing the lasso problem to
be solved with less resources, and it may speed up obtaining a solution. Using a
geometrically intuitive framework, we provide basic insights for understanding
useful lasso screening tests and their limitations. We also provide illustrative
numerical studies on several datasets.
PMID- 27187951
TI - Information-Theoretic Compressive Measurement Design.
AB - An information-theoretic projection design framework is proposed, of interest for
feature design and compressive measurements. Both Gaussian and Poisson
measurement models are considered. The gradient of a proposed information
theoretic metric (ITM) is derived, and a gradient-descent algorithm is applied in
design; connections are made to the information bottleneck. The fundamental
solution structure of such design is revealed in the case of a Gaussian
measurement model and arbitrary input statistics. This new theoretical result
reveals how ITM parameter settings impact the number of needed projection
measurements, with this verified experimentally. The ITM achieves promising
results on real data, for both signal recovery and classification.
PMID- 27187952
TI - ULA-OP 256: A 256-Channel Open Scanner for Development and Real-Time
Implementation of New Ultrasound Methods.
AB - Open scanners offer an increasing support to the ultrasound researchers who are
involved in the experimental test of novel methods. Each system presents specific
performance in terms of number of channels, flexibility, processing power, data
storage capability, and overall dimensions. This paper reports the design
criteria and hardware/software implementation details of a new 256-channel
ultrasound advanced open platform. This system is organized in a modular
architecture, including multiple front-end boards, interconnected by a high-speed
(80 Gb/s) ring, capable of finely controlling all transmit (TX) and receive (RX)
signals. High flexibility and processing power (equivalent to 2500 GFLOP) are
guaranteed by the possibility of individually programming multiple digital signal
processors and field programmable gate arrays. Eighty GB of on-board memory are
available for the storage of prebeamforming, postbeamforming, and baseband data.
The use of latest generation devices allowed to integrate all needed electronics
in a small size ( 34 cm *30 cm *26 cm). The system implements a multiline
beamformer that allows obtaining images of 96 lines by 2048 depths at a frame
rate of 720 Hz (expandable to 3000 Hz). The multiline beamforming capability is
also exploited to implement a real-time vector Doppler scheme in which a single
TX and two independent RX apertures are simultaneously used to maintain the
analysis over a full pulse repetition frequency range.
PMID- 27187953
TI - Investigation of Classical Pulse Sequences for Contrast-Enhanced Ultrasound
Imaging With a cMUT Probe.
AB - Capacitive micromachined ultrasonic transducers (cMUTs) provide promising
ultrasonic technology that could become an alternative to piezoelectric probes
for medical applications. cMUTs could be very valuable for contrast-enhanced
ultrasound imaging based on higher harmonics detection. However, their use is
restricted by the intrinsic nonlinearity of the cMUT transmitters themselves,
because it is difficult to distinguish between the nonlinearity of the
microbubbles and the nonlinearity arising from the emitting transducer. A number
of approaches have been proposed in recent years to cancel the nonlinearity of
cMUTs. However, these techniques have limitations in terms of implementation with
current ultrasound scanner electronics. The solution to be comparable with
classical methods should not need precharacterization of the probe or changing
the bias voltage (amplitude or polarity) but does need good sensitivity and a
high frame rate to avoid motion artifacts. We propose here proof of a concept of
an adapted amplitude modulation sequence with cMUT where transmit elements
operate alternately. We show that this method, which is currently used with
piezoelectric probes, is fully applicable to cMUT probes and the intrinsic
nonlinearity of the transmitter is no longer an issue.
PMID- 27187954
TI - Defocus Blur-Invariant Scale-Space Feature Extractions.
AB - We propose modifications to scale-space feature extraction techniques scale
invariant feature transform (SIFT) and speeded up robust features (SURFs) that
make the feature detection and description invariant to defocus blur.
Specifically, the scale-space blob detection relies on the second derivative
responses of images. Our analysis of circular defocus blur (which sufficiently
approximates a real camera blur kernel) and its effect on scale-space blob
detection suggests that fourth derivative-and not the usual second derivative-is
optimal for detecting the blurred blobs, while multi-scale descriptors of blurred
blobs are effective at establishing correspondences between the blurred images.
The proposed defocus blur-invariant (DBI) scale-space feature extraction
techniques-which we refer to as DBI-SIFT and DBI-SURF-do not require image
deblurring nor blur kernel estimation, meaning that their accuracy does not
depend on the quality of image deblurring. We offer empirical evidence of blur
invariance by establishing interest point correspondences between sharp or
blurred reference images and blurred target images.
PMID- 27187959
TI - Joint Segmentation and Deconvolution of Ultrasound Images Using a Hierarchical
Bayesian Model Based on Generalized Gaussian Priors.
AB - This paper proposes a joint segmentation and deconvolution Bayesian method for
medical ultrasound (US) images. Contrary to piecewise homogeneous images, US
images exhibit heavy characteristic speckle patterns correlated with the tissue
structures. The generalized Gaussian distribution (GGD) has been shown to be one
of the most relevant distributions for characterizing the speckle in US images.
Thus, we propose a GGD-Potts model defined by a label map coupling US image
segmentation and deconvolution. The Bayesian estimators of the unknown model
parameters, including the US image, the label map, and all the hyperparameters
are difficult to be expressed in a closed form. Thus, we investigate a Gibbs
sampler to generate samples distributed according to the posterior of interest.
These generated samples are finally used to compute the Bayesian estimators of
the unknown parameters. The performance of the proposed Bayesian model is
compared with the existing approaches via several experiments conducted on
realistic synthetic data and in vivo US images.
PMID- 27187957
TI - Multi-Level Canonical Correlation Analysis for Standard-Dose PET Image
Estimation.
AB - Positron emission tomography (PET) images are widely used in many clinical
applications, such as tumor detection and brain disorder diagnosis. To obtain PET
images of diagnostic quality, a sufficient amount of radioactive tracer has to be
injected into a living body, which will inevitably increase the risk of radiation
exposure. On the other hand, if the tracer dose is considerably reduced, the
quality of the resulting images would be significantly degraded. It is of great
interest to estimate a standard-dose PET (S-PET) image from a low-dose one in
order to reduce the risk of radiation exposure and preserve image quality. This
may be achieved through mapping both S-PET and low-dose PET data into a common
space and then performing patch-based sparse representation. However, a one-size
fits-all common space built from all training patches is unlikely to be optimal
for each target S-PET patch, which limits the estimation accuracy. In this paper,
we propose a data-driven multi-level canonical correlation analysis scheme to
solve this problem. In particular, a subset of training data that is most useful
in estimating a target S-PET patch is identified in each level, and then used in
the next level to update common space and improve estimation. In addition, we
also use multi-modal magnetic resonance images to help improve the estimation
with complementary information. Validations on phantom and real human brain data
sets show that our method effectively estimates S-PET images and well preserves
critical clinical quantification measures, such as standard uptake value.
PMID- 27187964
TI - HEVC-Based Perceptually Adaptive Video Coding Using a DCT-Based Local Distortion
Detection Probability Model.
AB - Discrete Cosine Transform (DCT)-based just noticeable difference (JND) profiles
have widely been applied into human perception-based video coding in order to
reduce perceptual redundancy, which is one of the main goals of perceptual video
coding (PVC). However, there are two problems for this approach: 1) the JND value
of each transform coefficient is estimated for a fixed-sized DCT kernel (e.g., 8
* 8), but flexible coding structures with variable-sized transform units have
been utilized in standard video coding frameworks, such high efficiency video
coding (HEVC) and 2) the DCT transform coefficients are suppressed by the amounts
of JND values for the removal of perceptual redundancy, but the DCT transform
coefficients of residues are not sufficiently suppressed due to many small
transform coefficient values in mid- and high-frequency regions below the JND
values. In order to solve these problems, we propose a more generalized
visibility model in the DCT domain, called the DCT-based local distortion
detection probability (LDDP) model that can estimate a degree of distortion
visibility for any distribution of the transform coefficients of any sized DCT
kernel for residues. Furthermore, we propose an HEVC-compliant LDDP-based PVC
scheme where transform coefficients are sufficiently suppressed based on the LDDP
model. The proposed PVC scheme is implemented in the HEVC Test Model (HM 11.0)
reference software to show the effectiveness of the LDDP-based PVC scheme.
Objective and subjective tests for encoded test sequences are performed. The
experimental results show that the LDDP-based PVC scheme achieves a significant
performance improvement of bitrate reduction at the similar visual quality levels
compared with the original HM 11.0.
PMID- 27187960
TI - Fast Single Image Super-Resolution Using a New Analytical Solution for l2 - l2
Problems.
AB - This paper addresses the problem of single image super-resolution (SR), which
consists of recovering a high-resolution image from its blurred, decimated, and
noisy version. The existing algorithms for single image SR use different
strategies to handle the decimation and blurring operators. In addition to the
traditional first-order gradient methods, recent techniques investigate splitting
based methods dividing the SR problem into up-sampling and deconvolution steps
that can be easily solved. Instead of following this splitting strategy, we
propose to deal with the decimation and blurring operators simultaneously by
taking advantage of their particular properties in the frequency domain, leading
to a new fast SR approach. Specifically, an analytical solution is derived and
implemented efficiently for the Gaussian prior or any other regularization that
can be formulated into an l2 -regularized quadratic model, i.e., an l2 - l2
optimization problem. The flexibility of the proposed SR scheme is shown through
the use of various priors/regularizations, ranging from generic image priors to
learning-based approaches. In the case of non-Gaussian priors, we show how the
analytical solution derived from the Gaussian case can be embedded into
traditional splitting frameworks, allowing the computation cost of existing
algorithms to be decreased significantly. Simulation results conducted on several
images with different priors illustrate the effectiveness of our fast SR approach
compared with existing techniques.
PMID- 27187966
TI - Spatiotemporal Statistics for Video Quality Assessment.
AB - It is an important task to design models for universal no-reference video quality
assessment (NR-VQA) in multiple video processing and computer vision
applications. However, most existing NR-VQA metrics are designed for specific
distortion types, which are not often aware in practical applications. A further
deficiency is that the spatial and temporal information of videos is hardly
considered simultaneously. In this paper, we propose a new NR-VQA metric based on
the spatiotemporal natural video statistics in 3D discrete cosine transform (3D
DCT) domain. In the proposed method, a set of features are first extracted based
on the statistical analysis of 3D-DCT coefficients to characterize the
spatiotemporal statistics of videos in different views. These features are used
to predict the perceived video quality via the efficient linear support vector
regression model afterward. The contributions of this paper are: 1) we explore
the spatiotemporal statistics of videos in the 3D-DCT domain that has the
inherent spatiotemporal encoding advantage over other widely used 2D
transformations; 2) we extract a small set of simple but effective statistical
features for video visual quality prediction; and 3) the proposed method is
universal for multiple types of distortions and robust to different databases.
The proposed method is tested on four widely used video databases. Extensive
experimental results demonstrate that the proposed method is competitive with the
state-of-art NR-VQA metrics and the top-performing full-reference VQA and reduced
reference VQA metrics.
PMID- 27187968
TI - Optimal Fungal Space Searching Algorithms.
AB - Previous experiments have shown that fungi use an efficient natural algorithm for
searching the space available for their growth in micro-confined networks, e.g.,
mazes. This natural "master" algorithm, which comprises two "slave" sub
algorithms, i.e., collision-induced branching and directional memory, has been
shown to be more efficient than alternatives, with one, or the other, or both sub
algorithms turned off. In contrast, the present contribution compares the
performance of the fungal natural algorithm against several standard artificial
homologues. It was found that the space-searching fungal algorithm consistently
outperforms uninformed algorithms, such as Depth-First-Search (DFS). Furthermore,
while the natural algorithm is inferior to informed ones, such as A*, this under
performance does not importantly increase with the increase of the size of the
maze. These findings suggest that a systematic effort of harvesting the natural
space searching algorithms used by microorganisms is warranted and possibly
overdue. These natural algorithms, if efficient, can be reverse-engineered for
graph and tree search strategies.
PMID- 27187967
TI - A Robust Parameterization of Human Gait Patterns Across Phase-Shifting
Perturbations.
AB - The phase of human gait is difficult to quantify accurately in the presence of
disturbances. In contrast, recent bipedal robots use time-independent controllers
relying on a mechanical phase variable to synchronize joint patterns through the
gait cycle. This concept has inspired studies to determine if human joint
patterns can also be parameterized by a mechanical variable. Although many phase
variable candidates have been proposed, it remains unclear which, if any, provide
a robust representation of phase for human gait analysis or control. In this
paper we analytically derive an ideal phase variable (the hip phase angle) that
is provably monotonic and bounded throughout the gait cycle. To examine the
robustness of this phase variable, ten able-bodied human subjects walked over a
platform that randomly applied phase-shifting perturbations to the stance leg. A
statistical analysis found the correlations between nominal and perturbed joint
trajectories to be significantly greater when parameterized by the hip phase
angle (0.95+) than by time or a different phase variable. The hip phase angle
also best parameterized the transient errors about the nominal periodic orbit.
Finally, interlimb phasing was best explained by local (ipsilateral) hip phase
angles that are synchronized during the double-support period.
PMID- 27187971
TI - A Muscle Fibre Conduction Velocity Tracking ASIC for Local Fatigue Monitoring.
AB - Electromyography analysis can provide information about a muscle's fatigue state
by estimating Muscle Fibre Conduction Velocity (MFCV), a measure of the
travelling speed of Motor Unit Action Potentials (MUAPs) in muscle tissue. MFCV
better represents the physical manifestations of muscle fatigue, compared to the
progressive compression of the myoelectic Power Spectral Density, hence it is
more suitable for a muscle fatigue tracking system. This paper presents a novel
algorithm for the estimation of MFCV using single threshold bit-stream conversion
and a dedicated application-specified integrated circuit (ASIC) for its
implementation, suitable for a compact, wearable and easy to use muscle fatigue
monitor. The presented ASIC is implemented in a commercially available AMS 0.35
[Formula: see text] CMOS technology and utilizes a bit-stream cross-correlator
that estimates the conduction velocity of the myoelectric signal in real time. A
test group of 20 subjects was used to evaluate the performance of the developed
ASIC, achieving good accuracy with an error of only 3.2% compared to Matlab.
PMID- 27187970
TI - An Eigen-Binding Site Based Method for the Analysis of Anti-EGFR Drug Resistance
in Lung Cancer Treatment.
AB - We explore the drug resistance mechanism in non-small cell lung cancer treatment
by characterizing the drug-binding site of a protein mutant based on local
surface and energy features. These features are transformed to an eigen-binding
site space and used for drug resistance level prediction and analysis.
PMID- 27187972
TI - The Effect of Global and Local Damping on the Perception of Hardness.
AB - In tele-operation systems, damping is often injected to guarantee system
stability during contact with hard objects. In this study, we used psychophysical
experiments to assess the effect of adding damping on the user's perception of
object hardness. In Experiments 1 and 2, combinations of stiffness and damping
were tested to assess their effect on perceived hardness. In both experiments,
two tasks were used: an in-contact task, starting at the object's surface, and a
contact-transition task, including a free-air movement. In Experiment 3, the
difference between inserting damping globally (equally throughout the workspace)
and locally (inside the object only) was tested. In all experiments, the
correlation between the participant's perceptual decision and force and position
data was also investigated. Experiments 1 and 2 show that when injecting damping
globally, perceived hardness slightly increased for an in-contact task, while it
decreased considerably for a contact-transition task. Experiment 3 shows that
this effect was mainly due to inserting damping globally, since there was a large
perceptual difference between inserting damping globally and locally. The force
and position parameters suggest that participants used the same force profile
during the two movements of one trial and assessed the system's reaction to this
force to perceive hardness.
PMID- 27187973
TI - A Memristive Multilayer Cellular Neural Network With Applications to Image
Processing.
AB - The memristor has been extensively studied in electrical engineering and
biological sciences as a means to compactly implement the synaptic function in
neural networks. The cellular neural network (CNN) is one of the most
implementable artificial neural network models and capable of massively parallel
analog processing. In this paper, a novel memristive multilayer CNN (Mm-CNN)
model is presented along with its performance analysis and applications. In this
new CNN design, the memristor crossbar circuit acts as the synapse, which
realizes one signed synaptic weight with a pair of memristors and performs the
synaptic weighting compactly and linearly. Moreover, the complex weighted
summation is executed in an efficient way with a proper design of Mm-CNN cell
circuits. The proposed Mm-CNN has several merits, such as compactness,
nonvolatility, versatility, and programmability of synaptic weights. Its
performance in several image processing applications is illustrated through
simulations.
PMID- 27187974
TI - Extending the Peak Bandwidth of Parameters for Softmax Selection in Reinforcement
Learning.
AB - Softmax selection is one of the most popular methods for action selection in
reinforcement learning. Although various recently proposed methods may be more
effective with full parameter tuning, implementing a complicated method that
requires the tuning of many parameters can be difficult. Thus, softmax selection
is still worth revisiting, considering the cost savings of its implementation and
tuning. In fact, this method works adequately in practice with only one parameter
appropriately set for the environment. The aim of this paper is to improve the
variable setting of this method to extend the bandwidth of good parameters,
thereby reducing the cost of implementation and parameter tuning. To achieve
this, we take advantage of the asymptotic equipartition property in a Markov
decision process to extend the peak bandwidth of softmax selection. Using a
variety of episodic tasks, we show that our setting is effective in extending the
bandwidth and that it yields a better policy in terms of stability. The bandwidth
is quantitatively assessed in a series of statistical tests.
PMID- 27187975
TI - Exponential Synchronization of Memristive Neural Networks With Delays: Interval
Matrix Method.
AB - This paper considers the global exponential synchronization of drive-response
memristive neural networks (MNNs) with heterogeneous time-varying delays. Because
the parameters of MNNs are state-dependent, the MNNs may exhibit unexpected
parameter mismatch when different initial conditions are chosen. Therefore,
traditional robust control scheme cannot guarantee the synchronization of MNNs.
Under the framework of Filippov solution, the drive and response MNNs are first
transformed into systems with interval parameters. Then suitable controllers are
designed to overcome the problem of mismatched parameters and synchronize the
coupled MNNs. Based on some novel Lyapunov functionals and interval matrix
inequalities, several sufficient conditions are derived to guarantee the
exponential synchronization. Moreover, adaptive control is also investigated for
the exponential synchronization. Numerical simulations are provided to illustrate
the effectiveness of the theoretical analysis.
PMID- 27187978
TI - A Framework of Camera Source Identification Bayesian Game.
AB - Image forensics with the presence of an adversary, such as the interplay between
the sensor-based camera source identification (CSI) and the fingerprint-copy
attack, has attracted increasing attention recently. In this paper, we propose a
framework of CSI game with both complete information and incomplete information.
A noise level-based counter anti-forensic method is presented to detect the
potential fingerprint-copy attack, and unlike the state-of-the-art countermeasure
of the triangle test, it does not need to collect the candidate image set. With
the existence of countermeasure, a rational forger needs to balance the tradeoff
between synthesizing source information and leaving new detectable evidence of
raising the noise level of a forged image. The mixed-strategy other than the
sequential-move assumption is adopted to solve the games. The Bayesian game is
introduced to address the information asymmetry in practice. The Nash equilibrium
of both the complete information game and Bayesian game are theoretically
analyzed, and the expected Nash equilibrium payoff of a Bayesian game is
obtained. Nash equilibrium receiver operating characteristic curves are adopted
to evaluate the detection performance. Simulation results show that the
information asymmetry can remarkably affect the final detection performance. To
our knowledge, this paper is the first attempt in analyzing a Bayesian forensic
game with practical information asymmetry.
PMID- 27187979
TI - A New Deformation Model of Biological Tissue for Surgery Simulation.
AB - A novel meshless deformation model of biological soft tissue, which is mainly
based on the radial basis function point interpolation, is presented for
interactive simulation applications such as virtual surgery simulators. Compared
with conventional mesh models, the proposed model is particularly suitable for
simulating large deformation, sucking and cutting tasks since there is no need to
maintain grid information. Kelvin viscoelasticity, which represents relaxation,
creep, and hysteresis of soft tissue, is integrated into the proposed model,
making the simulation much more realistic than many existing meshless models. To
verify the validity of the proposed model, a biomechanical test was performed on
real-life biological tissue and the results show that the maximum relative error
between the forces from the biomechanical test and those obtained from the model
is less than 5.8%. The proposed model was also implemented on a neurosurgery
simulator, which showed that the deformation of the brain tumor can be simulated
in a high degree of accuracy with real-time performance. In particular, the error
and distortion from the remeshing process inherited in conventional mesh models
when deformation is large are avoided.
PMID- 27187980
TI - Nanoscale Particulate Matter from Urban Traffic Rapidly Induces Oxidative Stress
and Inflammation in Olfactory Epithelium with Concomitant Effects on Brain.
AB - BACKGROUND: Rodent models for urban air pollution show consistent induction of
inflammatory responses in major brain regions. However, the initial impact of air
pollution particulate material on olfactory gateways has not been reported.
OBJECTIVE: We evaluated the olfactory neuroepithelium (OE) and brain regional
responses to a nanosized subfraction of urban traffic ultrafine particulate
matter (nPM, < 200 nm) in vivo, ex vivo, and in vitro. METHODS: Adult mice were
exposed to reaerosolized nPM for 5, 20, and 45 cumulative hours over 3 weeks. The
OE, the olfactory bulb (OB), the cerebral cortex, and the cerebellum were
analyzed for oxidative stress and inflammatory responses. Acute responses of the
OE to liquid nPM suspensions were studied with ex vivo and primary OE cultures.
RESULTS: After exposure to nPM, the OE and OB had rapid increases of 4-hydroxy-2
nonenal (4-HNE) and 3-nitrotyrosine (3-NT) protein adducts, whereas the cerebral
cortex and cerebellum did not respond at any time. All brain regions showed
increased levels of tumor necrosis factor-alpha (TNFalpha) protein by 45 hr, with
earlier induction of TNFalpha mRNA in OE and OB. These responses corresponded to
in vitro OE and mixed glial responses, with rapid induction of nitrite and
inducible nitric oxide synthase (iNOS), followed by induction of TNFalpha.
CONCLUSIONS: These findings show the differential time course of oxidative stress
and inflammatory responses to nPM between the OE and the brain. Slow cumulative
transport of inhaled nPM into the brain may contribute to delayed responses of
proximal and distal brain regions, with potential input from systemic factors.
CITATION: Cheng H, Saffari A, Sioutas C, Forman HJ, Morgan TE, Finch CE. 2016.
Nanoscale particulate matter from urban traffic rapidly induces oxidative stress
and inflammation in olfactory epithelium with concomitant effects on brain.
Environ Health Perspect 124:1537-1546; http://dx.doi.org/10.1289/EHP134.
PMID- 27187981
TI - Associations of Peripubertal Serum Dioxin and Polychlorinated Biphenyl
Concentrations with Pubertal Timing among Russian Boys.
AB - BACKGROUND: Dioxins, furans, and polychlorinated biphenyls (PCBs), dioxin-like
and non-dioxin-like, have been linked to alterations in puberty. OBJECTIVES: We
examined the association of peripubertal serum levels of these compounds [and
their toxic equivalents (TEQs)] with pubertal onset and maturity among Russian
boys enrolled at ages 8-9 years and followed prospectively through ages 17-18
years. METHODS: At enrollment, 473 boys had serum dioxin-like compounds and PCBs
measured. At the baseline visit and annually until age 17-18 years, a physician
performed pubertal staging [genitalia (G), pubarche (P), and testicular volume
(TV)]. Three hundred fifteen subjects completed the follow-up visit at 17-18
years of age. Pubertal onset was defined as TV > 3 mL, G2, or P2. Sexual maturity
was defined as TV >= 20 mL, G5, or P5. Multivariable interval-censored models
were used to evaluate associations of lipid-standardized concentrations with
pubertal timing. RESULTS: Medians (interquartile ranges) of the sum of dioxin
like compounds, TEQs, and non-dioxin-like PCBs were 362 pg/g lipid (279-495),
21.1 pg TEQ/g lipid (14.4-33.2), and 250 ng/g lipid (164-395), respectively. In
adjusted models, the highest compared to lowest TEQ quartile was associated with
later pubertal onset [TV = 11.6 months (95% CI: 3.8, 19.4); G2 = 10.1 months (95%
CI: 1.4, 18.8)] and sexual maturity [TV = 11.6 months (95% CI: 5.7, 17.6); G5 =
9.7 months (95% CI: 3.1, 16.2)]. However, the highest compared to the lowest
quartile of non-dioxin-like PCBs, when co-adjusted by TEQs, was associated with
earlier pubertal onset [TV = -8.3 months (95% CI:-16.2, -0.3)] and sexual
maturity [TV = -6.3 months (95% CI:-12.2, -0.3); G5 = -7.2 months (95% CI:-13.8,
0.6)]; the non-dioxin-like PCB associations were only significant when adjusted
for TEQs. TEQs and PCBs were not significantly associated with pubic hair
development. CONCLUSIONS: Our results suggest that TEQs may delay, while non
dioxin-like PCBs advance, the timing of male puberty. Citation: Burns JS, Lee MM,
Williams PL, Korrick SA, Sergeyev O, Lam T, Revich B, Hauser R. 2016.
Associations of peripubertal serum dioxin and polychlorinated biphenyl
concentrations with pubertal timing among Russian boys. Environ Health Perspect
124:1801-1807; http://dx.doi.org/10.1289/EHP154.
PMID- 27187983
TI - Safety and Effectiveness of the Nav-6 Filter in Preventing Distal Embolization
During Jetstream Atherectomy of Infrainguinal Peripheral Artery Lesions.
AB - BACKGROUND: The risk of distal embolization (DE) during infrainguinal peripheral
artery interventions (PAI) is often mitigated by the use of embolic protection
devices. There are limited data on the use of filters with the Jetstream (JS)
atherectomy device, a rotational cutter with aspiration capacity. The Nav-6
filter is uniquely suited for use with the JS due to its wire compatibility and
detachment from the filter; however, data on the off-label use of this
combination have not been reported. METHODS: Consecutive patients between October
2008 and April 2015 undergoing endovascular infrainguinal PAI with JS were
analyzed as part of the Excellence in Peripheral Artery Disease (XL-PAD) registry
(NCT01904851). Patients were divided into two subgroups with Nav-6 filter use vs
no filter use. Descriptive and univariate analyses were performed. RESULTS: Among
141 patients (mean age, 67.8 +/- 10.8 years; 169 lesions) included in this study,
the Nav-6 filter was used in 82 (59%). Use of a filter was more frequent in
longer lesions (146 +/- 106 mm vs 91 +/- 72 mm; P=.01), in more severe stenoses
(95% vs 87%; P=.04), and in chronic total occlusions (33% vs 8.3%; P=.01).
Patients receiving filters had longer procedure duration (102 +/- 51 min vs 66 +/
41 min; P=.01) and longer fluoroscopy times (31 +/- 16 min vs 21 +/- 10 min;
P<.001). Use of the Nav-6 filter with the JS during PAI was associated with
numerically lower rates of DE (1.8% vs 8%; P=.10) and similar rates of death and
amputation. At 12 months, the target-lesion revascularization rate was higher in
the filter group (22% vs 2.7%; P=.02), likely secondary to use of the filter in
more complex lesions. CONCLUSION: Nav-6 filter during JS atherectomy was
predominantly used during complex infrainguinal PAI and was associated with less
occurrence of DE.
PMID- 27187982
TI - Bisphenol A and Adiposity in an Inner-City Birth Cohort.
AB - BACKGROUND: Early-life exposure to the endocrine disruptor bisphenol A (BPA) may
contribute to the development of obesity. Prospective evidence in humans on this
topic is limited. OBJECTIVES: We examined prenatal and early-childhood BPA
exposures in relation to childhood measures of adiposity in the Columbia Center
for Children's Environmental Health (CCCEH) New York City birth cohort. METHODS:
BPA concentrations were measured in prenatal (n = 375) and child ages 3 (n = 408)
and 5 years (n = 518) spot urine samples. Childhood anthropometric and
bioelectrical impedance outcomes included body mass index z-scores (BMIZ) at 5
and 7 years, and fat mass index (FMI), percent body fat (%BF), and waist
circumference (WC) at 7 years. Associations were evaluated using multiple linear
regression with continuous and tertile BPA concentrations. RESULTS: Prenatal
urinary BPA concentrations were positively associated with child age 7 FMI (beta
= 0.31 kg/m2; 95% CI: 0.01, 0.60, p = 0.04), %BF (beta = 0.79; 95% CI: 0.03,
1.55, p = 0.04), and WC (beta = 1.29 cm; 95% CI: 0.29, 2.30, p = 0.01), but not
BMIZ, or change in BMIZ between ages 5 and 7 years (all p-values > 0.1). FMI
results were sex-specific. Child urinary BPA concentrations were not associated
with child anthropometric outcomes (all p-values > 0.05). CONCLUSIONS: Analyses
of the CCCEH longitudinal birth cohort found associations between prenatal
urinary BPA concentrations and FMI, %BF, and WC. Our results suggest that
prenatal BPA exposure may contribute to developmental origins of adiposity. These
findings are consistent with several prior studies, raising concern about the
pervasiveness of BPA. CITATION: Hoepner LA, Whyatt RM, Widen EM, Hassoun A,
Oberfield SE, Mueller NT, Diaz D, Calafat AM, Perera FP, Rundle AG. 2016.
Bisphenol A and adiposity in an inner-city birth cohort. Environ Health Perspect
124:1644-1650; http://dx.doi.org/10.1289/EHP205.
PMID- 27187984
TI - Comparative Analysis of Radial Versus Femoral Diagnostic Cardiac Catheterization
Procedures in a Cardiology Training Program.
AB - OBJECTIVES: This study was conducted to evaluate the differences in the
procedural variables between transradial and transfemoral access for coronary
angiography, with cardiology fellows as the primary operators. METHODS: This was
a retrospective study of 163 radial and 180 femoral access diagnostic cardiac
catheterization procedures, and involved cardiology fellowship trainees as
primary operators. RESULTS: The radial approach was associated with significantly
higher fluoroscopy time (8.0 +/- 6.97 min vs 4.25 +/- 3.01 min; P<.001), dose
area product (10775 +/- 6724 MUGy/m2 vs 7952 +/- 4236 MUGy/m2; P<.001), procedure
time (38.31 +/- 12.25 min vs 27 +/- 17.56 min; P<.001), procedure start to
vascular access time (8.24 +/- 6.31 min vs 5.31 +/- 4.59 min; P<.001), and
vascular access to procedure end time (30 +/- 15.34 min vs 21.2 +/- 9.57 min;
P<.001). These differences persisted after adjusting for patients with bypass
grafts and additional imaging (P<.001). The contrast amount was not significantly
different between the two groups (P=.12). Procedure start to vascular access time
improved significantly with fellowship training year in both the radial (9.57 +/-
6.96 min vs 8.23 +/- 6.08 min vs 5.57 +/- 4.82 min) and femoral groups (6.17 +/-
5.07 min vs 5.47 +/- 4.75 min vs 4.01 +/- 3.31 min). Fluoroscopy time showed
significant difference in only the femoral access group (P=.01). Dose area
product did not improve with training in either access group. CONCLUSION: Radial
procedures were associated with higher radiation dose and longer procedure time.
Despite decrease in total procedural time for radial cases with the level of
training, total radiation dose did not decrease.
PMID- 27187986
TI - Neuropsychological Impairments and Age-Related Differences in Children and
Adolescents with Fetal Alcohol Spectrum Disorders.
AB - BackgroundChildren and adolescents with Fetal Alcohol Spectrum Disorders (FASD)
exhibit a range of physical, cognitive, behavioral, and/or learning deficits, as
wells as poor executive functioning (EF). Children and adolescents with FASD
often show greater impairments on complex neuropsychological tasks. However,
little is known about age-related differences among children and adolescents with
FASD.ObjectivesThe goals of this cross-sectional study were to explore the
overall profile of neuropsychological impairments and extended previous reports
on age-related differences among children and adolescents with FASD. MethodWe
compared 117 children and adolescents diagnosed with an FASD (aged 5-17 years),
clinically assessed on a broad range of tests covering 6 neurobehavioral domains.
Data from a clinical database was used to generate profiles of neuropsychological
impairments for clinically referred children and adolescents evaluated for FASD
between 2001 and 2005. ResultsChildren and adolescents were impaired (relative to
the norm) on a number of domains that include academic achievement, language,
verbal memory, EF, visual-motor integration, and motor abilities. Older
participants with FASD (relative to the norm) showed greater difficulty in areas
involving EF or processing of complex information than younger participants.
ConclusionsThese results suggest that for children and adolescents with FASD
impairments in those areas important for independent functioning may become more
pronounced with increasing age. However, further longitudinal research is needed
to ascertain age changes over time.
PMID- 27187987
TI - Retrieving relevant time-course experiments: a study on Arabidopsis microarrays.
AB - Understanding time-course regulation of genes in response to a stimulus is a
major concern in current systems biology. The problem is usually approached by
computational methods to model the gene behaviour or its networked interactions
with the others by a set of latent parameters. The model parameters can be
estimated through a meta-analysis of available data obtained from other relevant
experiments. The key question here is how to find the relevant experiments which
are potentially useful in analysing current data. In this study, the authors
address this problem in the context of time-course gene expression experiments
from an information retrieval perspective. To this end, they introduce a
computational framework that takes a time-course experiment as a query and
reports a list of relevant experiments retrieved from a given repository. These
retrieved experiments can then be used to associate the environmental factors of
query experiment with the findings previously reported. The model is tested using
a set of time-course Arabidopsis microarrays. The experimental results show that
relevant experiments can be successfully retrieved based on content similarity.
PMID- 27187985
TI - Rheolytic Thrombectomy for Acute Myocardial Infarction Complicated by Cardiogenic
Shock.
AB - OBJECTIVES: We sought to investigate the prognostic impact of rheolytic
thrombectomy (RT) in patients with acute myocardial infarction (AMI) complicated
by cardiogenic shock (CS). BACKGROUND: Very few data exist on thrombus removal
before stenting in patients with AMI and CS treated with primary percutaneous
coronary intervention (PCI). METHODS: Of 4023 patients who underwent PCI for AMI
between 1995 and 2012, we focused on 371 patients presenting with CS at admission
and separated them into two groups: the first included 63 patients treated with
RT (RT group), and the remaining 308 underwent standard PCI (non-RT group). The
primary endpoint was the composite of cardiac death, reinfarction, stroke, and
target-vessel revascularization (TVR) at 2-year follow-up (MACE). RESULTS: The
primary endpoint rate was lower in the RT-group (57.1% RT vs 70.8% non-RT;
P=.04). The difference between groups was driven by a lower TVR rate (9.5% RT vs
23.4% non-RT; P=.02) and reinfarction (1.6% RT vs 9.1% non-RT; P=.04), while no
difference between groups was revealed in mortality (46.0% RT vs 49.4% non-RT;
P=.68) or stroke rate (1.6% RT vs 3.2% non-RT; P=.70). At multivariable analysis,
the variables related to the risk of the primary endpoint were age (hazard ratio
[HR], 1.036; 95% confidence interval [CI], 1.022-1.048; P<.001), three-vessel
disease (HR, 1.504; 95% CI, 1.163-1.946; P=.01), RT (HR, 0.689; 95% CI, 0.476
0.998; P=.049), and successful primary PCI (HR, 0.367; 95% CI, 0.266-0.505;
P<.001). CONCLUSION: RT reduces 2-year MACE rate in patients with large thrombus
burden and AMI complicated by CS.
PMID- 27187988
TI - Non-linear feedback control of the p53 protein-mdm2 inhibitor system using the
derivative-free non-linear Kalman filter.
AB - It is proven that the model of the p53-mdm2 protein synthesis loop is a
differentially flat one and using a diffeomorphism (change of state variables)
that is proposed by differential flatness theory it is shown that the protein
synthesis model can be transformed into the canonical (Brunovsky) form. This
enables the design of a feedback control law that maintains the concentration of
the p53 protein at the desirable levels. To estimate the non-measurable elements
of the state vector describing the p53-mdm2 system dynamics, the derivative-free
non-linear Kalman filter is used. Moreover, to compensate for modelling
uncertainties and external disturbances that affect the p53-mdm2 system, the
derivative-free non-linear Kalman filter is re-designed as a disturbance
observer. The derivative-free non-linear Kalman filter consists of the Kalman
filter recursion applied on the linearised equivalent of the protein synthesis
model together with an inverse transformation based on differential flatness
theory that enables to retrieve estimates for the state variables of the initial
non-linear model. The proposed non-linear feedback control and perturbations
compensation method for the p53-mdm2 system can result in more efficient
chemotherapy schemes where the infusion of medication will be better
administered.
PMID- 27187989
TI - Gene selection approach based on improved swarm intelligent optimisation
algorithm for tumour classification.
AB - A number of different gene selection approaches based on gene expression profiles
(GEP) have been developed for tumour classification. A gene selection approach
selects the most informative genes from the whole gene space, which is an
important process for tumour classification using GEP. This study presents an
improved swarm intelligent optimisation algorithm to select genes for maintaining
the diversity of the population. The most essential characteristic of the
proposed approach is that it can automatically determine the number of the
selected genes. On the basis of the gene selection, the authors construct a
variety of the tumour classifiers, including the ensemble classifiers. Four gene
datasets are used to evaluate the performance of the proposed approach. The
experimental results confirm that the proposed classifiers for tumour
classification are indeed effective.
PMID- 27187991
TI - Exchange strategy focuses on getting 'the right steps in place to ensure long
term stability'.
PMID- 27187990
TI - Minimum steering node set of complex networks and its applications to
biomolecular networks.
AB - Many systems of interests in practices can be represented as complex networks.
For biological systems, biomolecules do not perform their functions alone but
interact with each other to form so-called biomolecular networks. A system is
said to be controllable if it can be steered from any initial state to any other
final state in finite time. The network controllability has become essential to
study the dynamics of the networks and understand the importance of individual
nodes in the networks. Some interesting biological phenomena have been discovered
in terms of the structural controllability of biomolecular networks. Most of
current studies investigate the structural controllability of networks in notion
of the minimum driver node sets (MDSs). In this study, the authors analyse the
network structural controllability in notion of the minimum steering node sets
(MSSs). They first develop a graph-theoretic algorithm to identify the MSS for a
given network and then apply it to several biomolecular networks. Application
results show that biomolecules identified in the MSSs play essential roles in
corresponding biological processes. Furthermore, the application results indicate
that the MSSs can reflect the network dynamics and node importance in controlling
the networks better than the MDSs.
PMID- 27187992
TI - [Personalities in the history of medicine].
PMID- 27187993
TI - Epidemic focus. Waiting in the wings: Aedes mosquitoes and flavivirus epidemics.
PMID- 27187994
TI - Progress towards polio eradication worldwide, 2015-2016.
PMID- 27187995
TI - [Not Available].
PMID- 27187996
TI - Professor Iuliu Suteu.
PMID- 27187997
TI - [Not Available].
PMID- 27187998
TI - Preface.
PMID- 27187999
TI - [Reaction on 'Risk factors and protective factors relating to suicide in the
Netherlands and Flanders'].
PMID- 27188000
TI - [THE IMPORTANCE OF ANTICOAGULANT THERAPY IN PATIENTS WITH ARTIAL FIBRILLATION IN
STROKE PREVENTION--SUMMARY OF INTERNATIONAL DATA AND NOVEL THERAPEUTIC
MODALITIES].
AB - The most common cardiogenic cause of ischaemic stroke is atrial fibrillation
which increases the probability of stroke five-fold and doubles case fatality.
Based on international data the incidence of atrial fibrillation is approx. 2%
however this rapidly increases with age. The necessity of using oral
anticoagulants in the prevention of non-valvular atrial fibrillation related
stroke is decided based on estimated stroke risk. The CHADS2 and the more
predictive CHA2DS2-VASc scales are used for this purpose while the bleeding risk
of patients treated with anticoagulant may be estimated by the HAS-BLED scoring
scale. For decades oral anticoagulation meant using vitamin-K antagonists. Based
on international data we can see that rate of anticoagulation is unacceptably
low, furthermore most of the anticoagulated patients aren't within the
therapeutic range of INR (INR: 2-3). A lot of disadvantages of vitamin-K
antagonists are known (e.g. food-drug interaction, need for regular coagulation
monitoring, increased risk of bleeding), therefore compounds with new therapeutic
target have been developed. The novel oral anticoagulants (NOAC) can be divided
in two major subgroups: direct thrombin inhibitors (dabigatran etexilate) and Xa
factor inhibitors (rivaroxaban, apixaban, edoxaban). These products are
administered in fix doses, they less frequently interact with other medications
or food, and regular coagulation monitoring is not needed when using these drugs.
Moreover several studies have shown that they are at least as effective in the
prevention of ischaemic stroke than the vitamin-K antagonists, with no more
haemorrhagic complications.
PMID- 27188001
TI - [THE THERAPUETIC USE OF TRANSCRANIAL MAGNETIC STIMULATION IN MAJOR DEPRESSION].
AB - The antidepressive effect of repetitive transcranial magnetic stimulation (rTMS)
has been investigated for almost 20 years now. Several studies have been
published aiming to identify the exact and reliable parameters leading to the
desired therapeutic effect. However, the related literature shows great
variability. The current overview aims to provide a comprehensive overview of
factors associated with the therapeutic effect of rTMS in major depression. High
frequency stimulation of the left dorsolateral prefrontal cortex (DLPFC) for 3-6
weeks leads to mood improvement comparable to the effect of antidepressive
medications in 35-40% of patients. Pharmacotherapy resistant patients treated
with rTMS reach remission for 3 months on average. Low frequency stimulation of
the right DLPFC appears to be similarly effective, though much less investigated
so far. In addition to the exact delineation of the stimulation area, treatment
outcome is also related to stimulation intensity as well as the number of
sessions and impulses. Considering the safety and tolerability aspects of rTMS,
it might be a significant therapeutic support for therapy resistant patients.
Above this, patients diagnosed with major depression might benefit from the
additional positive influence of rTMS improving the effect of antidepressive
medication. Based on converging research evidence, the Food and Drug
Administration (FDA) agency approved the use of rTMS as a treatment option for
therapy resistant major depression in 2008. So far, in Hungary rTMS is primarily
considered as a promising tool in research settings only. Hopefully, patients
suffering from major depression will increasingly benefit from the positive
therapeutic effect of this intervention.
PMID- 27188002
TI - HUNGARIAN EXPERIENCES WITH THE BELIEFS ABOUT ATTRACTIVENESS SCALE.
AB - BACKGROUND AND PURPOSE: Sociocultural influences regarding bodily appearance and
their psychological consequences play a considerable role in the development and
maintenance of body image disturbance and eating disorders. The purpose of the
study was to explore the psychometric properties of the Beliefs About
Attractiveness Scale-Revised and its correlates among young adults in Hungary.
METHODS: In our cross-sectional online study, participants were 18-35 years old
(N = 820, 40% male). MEASURES: self-reported anthropometric data, Beliefs About
Attractiveness Scale-Revised, Eating Disorder Inventory, SCOFF questionnaire,
Sociocultural Attitudes Towards Appearance Questionnaire-3, and Rosenberg Self
esteem Scale. RESULTS: The exploratory factor analysis showed that the fit
indices of the three-factor solution are acceptable (chi2(171)) = 5124.8, p <
0.001, CFI = 0.944, TLI = 0.918, RMSEA = 0.054, SRMR = 0.030). Along the original
'Importance of being thin' and the 'Importance of being fit' factors, a third
factor emerged, namely the 'Life fulfilment aspect of attractiveness' factor.
Internal consistency and construct validity of the scales were confirmed. Among
those who were at risk of developing an eating disorder, all of the measured
beliefs were significantly greater than among those who were not at risk (thin: Z
= 6.501, p < 0.001, Cohen's d = 0.63, fit: t(818) = -4.749, p < 0.001, Cohen's d
= 0.41, and life fulfilment: t(239) = -5.702, p < 0.001, Cohen's d = 0.53).
CONCLUSION: The Hungarian version of the Beliefs About Attractiveness Scale
Revised is a reliable, valid measure and we suggest its introduction into
Hungarian research. Relationships between beliefs about attractiveness and self-
esteem, body image and eating disorders suggest intervention opportunities in
with regards to prevention and treatment of eating disorders.
PMID- 27188003
TI - [INDIVIDUAL EVALUATION OF LORETA ABNORMALITIES IN IDIOPATHIC GENERALIZED
EPILEPSY].
AB - BACKGROUND: Contemporary neuroimaging methods disclosed structural and functional
cerebral abnormalities in idiopathic generalized epilepsies (IGEs). However,
individual electrical (EEG) abnormalities have not been evaluated yet in IGE
patients. METHODS: IGE patients were investigated in the drug-free condition and
after 3-6 month of antiepileptic treatment. To estimate the reproducibility of
qEEG variables a retrospective recruited cohort of IGE patients was investigated.
19-channel resting state EEG activity was recorded. For each patient a total of 2
minutes EEG activity was analyzed by LORETA (Low Resolution Electromagnetic
Tomography). Raw LORETA values were Z-transformed and projected to a MRI
template. Z-values outside within the [+3Z] to [-3Z] range were labelled as
statistically abnormal. RESULTS: 1. In drug-free condition, 41-50% of IGE
patients showed abnormal LORETA values. 2. Abnormal LORETA findings showed great
inter-individual variability. 3. Most abnormal LORETA-findings were symmetrical.
4. Most maximum Z-values were localized to frontal or temporal cortex. 5.
Succesfull treatment was mostly coupled with disappearence of LORETA-abnormality,
persistent seizures were accompanied by persistent LORETA abnormality.
DISCUSSION: 1. LORETA abnormalities detected in the untreated condition reflect
seizure-generating property of the cortex in IGE patients. 2. Maximum LORETA-Z
abnormalities were topographically congruent with structural abnormalities
reported by other research groups. 3. LORETA might help to investigate drug
effects at the whole-brain level.
PMID- 27188004
TI - [COMPUTED TOMOGRAPHIC EXAMINATION OF CRANIAL LESIONS, A PALEORADIOLOGICAL
APPROACH].
AB - BACKGROUND AND PURPOSE: Introducing the multidisciplinary paleoradiology research
at the Institute of Diagnostic Imaging and Radiation Oncology of the Kaposvar
University, highlighting the cases with potential central nervous system
involvement--from the scanning methods to the 3D printing--in order to draw
attention to the historical background and clinical aspects of certain
pathological conditions. METHODS: The authors developed the examination protocols
for three different CT scanners. Among the examined archaeological remains
cranial lesions were identified in 26 cases, from which 4 cases with potential
central nervous system involvement are demonstrated. The scanning parameters and
the advantages of secondary image reconstructions (multiplanar reconstruction,
maximum intensity projection, three-dimensional volume rendering technique) are
presented with the cases. RESULTS: The authors demonstrate a case with
destructive skull lesions due to syphilis from the 15th century AD, a condition
rarely seen or even unknown nowadays in the modern world. With the CT images of
the skull base fracture from the Iron Age, signs of healing could be verified.
Using the CT images a non-invasive approach is presented in the case of the
craniofacial osteosarcoma in order to visualize the local status and the direct
intracranial propagation. Advantages of the 3D VRT reconstructions are shown in
the case of unilateral coronal suture synostosis. CONCLUSION: Paleoradiological
CT examinations serve as a non-invasive, non-destructive tool for studying
archaeological remains and artifacts. The special applications provided by the
imaging modality contribute to the conventional paleopathological investigations.
PMID- 27188005
TI - SYSTEMIC THROMBOLYSIS AND ENDOVASCULAR INTERVENTION IN POSTPARTUM STROKE.
AB - INTRODUCTION: There are no previously published cases about intravenously applied
recombinant tissue plasminogen activator in acute ischemic stroke during
puerperium. CASE PRESENTATION: We report a 40-year-old woman with postpartum
acute ischemic stroke caused by multiple cervical artery dissections treated by
systemic thrombolysis and endovascular intervention. DISCUSSION: There are only
limited data regarding thrombolytic treatment in acute stroke during pregnancy
and puerperium. Current acute stroke treatment guidelines--while considering
pregnancy as a relative exclusion criterion--do not deal with the postpartum
state. CONCLUSION: As the condition is rare, randomized controlled trials are not
feasible, therefore further reports on similar cases could eventually help us
suggest guidelines or at least propose recommendations for the acute thrombolytic
treatment of strokes occurring in pregnancy and puerperium.
PMID- 27188006
TI - [ENDOSCOPIC REMOVAL OF TUBERCULUM SELLAE MENINGEOMA THROUGH ENDONASAL
TRANSSPHENOIDAL APPROACH].
AB - Experiences acquired in our department with endoscope assisted microsurgical
transsphenoidal pituitary surgery encouraged us to expanded the endoscopic
approach to skull base lesions. The endoscopic endonasal transsphenoidal approach
proved to be less traumatic to the traditional microsurgical approaches, yet very
effective. The endoscopic transsphenoidal technique was applied in a patient
havin anterior skull base tumor. The patient was a 49-year-old woman with several
months history of left visual defect. The magnetic resonance (MR) scans of the
skull revealed a midline anterior fossa space-occupying lesion measuring 21 x 16
x 22 mm located on planum sphenoidale, tuberculum sellae and intrasellar. The
tumor compressed both optic nerves and optic chiasm. Total resection of the tumor
was achieved by use of endoscopic transnasal, transsphenoidal technique. This is
the first reported case of an anterior fossa meningeoma being treated by an
endoscopic transsphenoidal technique in Hungary.
PMID- 27188008
TI - President's Perspective.
PMID- 27188007
TI - [ANTECEDENTS TO THE COMMENCEMENT AND HISTORY OF THE WEST-PANNONIC NEUROLOGICAL
FORUM].
AB - INTRODUCTION: Numerous professional groups and sections for the medical
specialities have been organized since 1953 in the West-Transdanubian region of
Hungary, but such association of neurologists had not occured. ESTABLISHING THE
WEST-PANNONIC NEUROLOGICAL FORUM: The lack of regional collaboration among
neurologists was related to several factors, among which the most important
factor was the lack of a regional medical university, which could coordinate the
professional activities. This severe gap necessitated in 1998 the organization of
a professional group, that has become a driver for case-consulting conferences
and different postgraduate trainings for the physicians specialized in neurology,
neurosurgery and neurorehabilitation in counties of Gyor-Moson-Sopron, Vas,
Veszpr6m and Zala. THE FUNCTIONING OF THE FORUM: Meetings are organized twice a
year for physicians and paramedical staff (nurses, hospital attendants,
physiotherapists) on Thursdays afternoons in different towns of the region, in
two sections. The lectures are followed by a buffet, after which everyone can get
home before too late. Ocasionally guest-lecturers are invited to present
scientific topics from Hungarian universities or abroad. However, the main form
of the presentations is defined as case discussion. CONCLUSIONS: The numbers of
platform and other presentations in the physicians's section have exceeded half a
thousand, while in the paramedical section reached the three- hundreds. At the
38. meeting of the Forum in January of this year, the number of participants was
more than two-hundreds, reflecting that both physicians and their coworkers are
greatly interested in this form of interactions.
PMID- 27188009
TI - Peripheral Giant Cell Granuloma.
PMID- 27188010
TI - Splint Therapy is the Most Conservative Treatment for Idiopathic Condylar
Resorption (UT CAT #2986).
PMID- 27188011
TI - Enamel-Caries Prevention Using Two Applications of Fluoride-Laser Sequence.
AB - Studies demonstrated a significant synergism between fluoride and laser in
reduction of enamel solubility. However, minimal research has focused on testing
the sequence of their application and no other research investigated the
preventive effect of repeated applications of a combined treatment. This study
investigated the effect of two applications of fluoride-laser sequence on the
resistance of sound enamel to cariogenic challenge compared to one-time
application. Sixty enamel slabs were cut from 10 human incisors, ground flat,
polished and coated with nail varnish except a 2 x 2 mm window. Specimens were
randomly assigned into five groups of 12 specimens; (CON-) negative-control
received no treatment, (CON+) positive-control received pH challenge, (FV)
treated with M fluoride varnish, (F-L1) one-application fluoride-varnish followed
by CO2 laser-treatment (short-pulsed 10.6 um, 2.4J/ cm2, 10HZ, 10sec), and (F-L2)
two-applications of fluoride varnish-laser treatment. Specimens were left in
distilled water for one day between applications. Except CON-, all groups were
submitted to pH cycling for 9-days (8 demin/ remin + 1 day remineralisation bath)
at 37 degrees C. Enamel demineralization was quantitatively evaluated by
measurement of Knoop surface-microhardness (SM H) (50-grams/10 seconds). Data
were analyzed using one-way ANOVA (p <= 0.05) followed by Duncan's Multiple Range
Test. Within the limitations of this study, it was found that one or two
applications of fluoride-laser sequence significantly improved resistance of the
sound enamel surface to acid attack compared to FV-treated group. Although the
two applications of fluoride-laser sequence (F-L1 and F-L2) showed higher SMH
values, significant resistance to demineralization was only obtained with
repeated applications.
PMID- 27188012
TI - Financial Report of the Texas Dental Association: The 2017 Budget.
PMID- 27188013
TI - WHY YOU SHOULD PROVIDE VIEWS of Nature at Your Practice.
PMID- 27188014
TI - Red, White and Blue; What Does it Mean to You?
PMID- 27188015
TI - MINORITY UNDERGRADUATE NURSING STUDENT SUCCESS.
AB - BACKGROUND: Minority providers are more likely to practice in underserved areas
with minority populations. Currently the representation of minorities in
healthcare professions is less than that of the United States population. More
research is needed to examine specific variables associated with educational
success of minority students. PURPOSE: The purpose of this study is to examine,
and increase the understanding of, current factors that influence success among
ethnic and minority nursing students. METHODS: The revised Minority Student Nurse
Questionnaire (MSNQ) was utilized for this study with a sample of 31 students
from 2 entry-level nursing programs in the Midwest. RESULTS: Minority students
were slightly older than traditional college students and consisted of African
American Black, Native (American) Indian, Asian, Hispanic/Latino, and Hawaiian.
Students reported multiple factors that influenced their higher education
experience. Academic services and cultural organizations were available, free,
but were used by less than half of the students. Several sources of financial
assistance are important, including scholarships, federal subsidized and
unsubsidized loans, and grants. Students most strongly disagreed with the
statement that 'the number of minorities in this program is representative of the
number of minorities overall.' Students felt that several services were
supportive and helpful strategies for success. CONCLUSION: Although progress has
been made to improve success of minority students, numbers continue to lag
between demographic population overall.
PMID- 27188016
TI - KOREAN AMERICAN PARENTS' PERCEPTIONS OF EFFECTIVE PARENTING STRATEGIES IN THE
UNITED STATES.
AB - This study aimed to explore the perceptions of Korean American parents on
effective parenting strategies taught in a standardized U.S. parenting program.
Participants in the study were interviewed using semi-structured open-ended
questions, and audio taped interview data were transcribed and analyzed using
content analysis. Themes that emerged were: effective parenting strategies,
impact of learning effective parenting strategies on parents, and learning
effective parenting strategies: impact on children. Findings indicated that
Korean American parents felt the program was based on Western parenting
strategies but they were effective. They also believed the program strategies
would be useful in regulating their emotions, increasing their abilities to be
patient and consistent with their children, and helping them explore issues from
their child's perspective, all of which they believed improved their parenting
self-efficacy and intimacy with their children. Additionally, parents reported
that their children acquired more self-confidence, better self-expression,
increased obedience and decreased misbehaviors, and increased emotion regulation
and problem solving as a result of their participation in the program. These
findings could contribute to develop an effective, culturally and linguistically
relevant parenting program for Korean American parents.
PMID- 27188017
TI - COLORECTAL CANCER SCREENING PRACTICES AMONG THREE AMERICAN INDIAN COMMUNITIES IN
MINNESOTA.
AB - Colorectal cancer (CRC) is a leading cause of cancer morbidity and mortality.
Effective prevention and early detection may be achieved through screening, but
screening rates are low, especially in American Indian (AI) populations. We
wanted to understand perceptions of CRC screening among AI located in the Great
Lakes region. Focus groups were recorded and transcribed verbatim (N = 45). Data
were analyzed using qualitative text analysis. Themes that deterred CRC screening
were low CRC knowledge, fear of the procedure and results, cost and
transportation issues, and a lack of quality and competent care. Suggestions for
improvement included outreach efforts and culturally-tailored teaching materials.
PMID- 27188018
TI - INFLUENCE OF INTERNATIONAL SERVICE LEARNING ON NURSING STUDENTS' SELF EFFICACY
TOWARDS CULTURAL COMPETENCE.
AB - One method of gaining knowledge, skills and experience with different cultures
for nurses and nursing students is through an international immersion experience
with training in language, culture and community nursing. This study is a
qualitative and quantitative measurement of the influence of a two-week service
learning medical experience on a student-nursing group who traveled abroad to
Belize, Central America.
PMID- 27188020
TI - Diagnosing androgen deficiency in adult men.
PMID- 27188019
TI - BRONX HEALTH EDUCATION PROJECT FOR WEST AFRICAN IMMIGRANTS.
AB - BACKGROUND: The transition from a traditional West African diet and lifestyle to
a modern diet has a significant impact on health and the risk of chronic disease.
OBJECTIVE: To implement a health education program for West African immigrants in
the U.S. to address health risks associated with the modern diet. METHODS: A
health education program model targeted at West African immigrants in the Bronx
was determined based on existing health education programs with educational
materials, group education sessions, and targeted individual counseling. RESULTS:
A health education program was successfully implemented at a clinic comprised of
West African immigrant patients in the Bronx. CONCLUSION: This project
demonstrates an example of a targeted health education program for West African
immigrants to address health risks related to diet.
PMID- 27188021
TI - mRNA-based hrHPV assay in cotesting for cervical cancer screening.
PMID- 27188022
TI - Emerging applications in clinical mass spectrometry.
PMID- 27188024
TI - Solving the thrombocytopenia puzzle with immature platelet testing.
PMID- 27188023
TI - Sentara Laboratory Services: MLO's 2016 Lab of the Year.
PMID- 27188025
TI - CDC's more restrictive policy on child lead testing means more positives and high
QC.
PMID- 27188026
TI - The laboratory's role in the transformation to patient-centered care.
PMID- 27188027
TI - In pursuit of patient-centered care.
PMID- 27188028
TI - A "fifth generation" HIV assay?
PMID- 27188029
TI - Author's response.
PMID- 27188030
TI - Genomic Research with Organs and Tissues Originating from Transplant Donors:
Ethical Considerations for the GTEx Project.
PMID- 27188031
TI - The Optimistic Bias and Illusions of Control in Clinical Research.
PMID- 27188032
TI - Who's Willing? Characteristics Associated with Willingness to Participate in
Clinical Research.
PMID- 27188033
TI - The contemporary landscape of journal publishing.
PMID- 27188034
TI - The role of a sexual health promotion leaflet for 15-18 year olds in catalysing
conversations: A constructivist grounded theory.
AB - Following the summer holidays of 2011, twelve girls returned to school pregnant
in one high school in Auckland New Zealand (NZ). A health promotion leaflet that
folded into.a small square containing a condom and was dubbed the 'teabag' was
distributed to 15-18 year olds prior to the summer holiday of 2012, in order to
increase their sexual health knowledge. This paper reports on the evaluation of
the teabag from the students' perspective. During the first term of 2013,
seventeen students from two high schools who had received the teabag were
interviewed. Five were male and twelve female. Most (16) were of Pacific Island
or Maori (indigenous New Zealanders) descent. Interviews were digitally recorded,
transcribed, coded and categorised concurrently, in accordance with grounded
theory methods. Theoretical sampling was employed and students who had
perceptions of the teabag, that were consistent with evolving constructions from
data, were invited by school nurses to be interviewed by the researchers.
Interviews were coded line by line by two researchers and these codes collapsed
into seven focussed codes. Further analysis resulted in the codes being subsumed
into three main categories. These categories revealed that the teabag was,
helpful, appropriate and became a talking point. The grounded theory and basic
social process the researchers constructed from data were that the teabag
catalysed conversations about sexual health. The teabag was an acceptable and
appropriate sexual health promotion tool to disseminate information about sexual
health.
PMID- 27188035
TI - Supporting palliative care clients who live alone: Nurses' perspectives on
improving quality of care.
AB - BACKGROUND: Terminally ilL people who live alone at home are disadvantaged in
terms of their places of care and death and health outcomes. There is a need to
trial models of care that can extend the period of care at home for as long as
possible for this group. The objective was to explore the experiences of nurses
providing care to terminally ill clients who live at home alone and who were
receiving either additional care aide support or a personal alarm through an RCT.
METHODS: Nine nurses in a home-based palliative care service in Western Australia
completed a questionnaire (82% response rate). FINDINGS: Client willingness to
accept additional support from care aides, development of rapport between the
client and care staff, and willingness to use the alarm appropriately all
influenced the effectiveness of the models of care. These models of care may
negate the need for frequent nurses' visits when nurses feeL confident that the
care aide can pass on relevant information or that the client will use the alarm
when required. CONCLUSIONS: Both models of care assisted in meeting the
challenges to care provision; however, further larger trials are needed to test
whether these might translate into granting clients their wishes regarding places
of terminal care and death. This study is the first account of nurses'
perspectives on service provision to support palliative care clients who live
alone. It has prompted changes in practice and will inform service planning for
this growing and challenging population group.
PMID- 27188036
TI - Strengthening the capacity of nursing leaders through multifaceted professional
development initiatives: A mixed method evaluation of the 'Take The Lead'
program.
AB - BACKGROUND: Effective nursing leadership is necessary for the delivery of safe,
high quality healthcare. Yet experience and research tells us that nursing
leaders are commonly unprepared for their roles. Take The Lead (TTL), a large
scale, multifaceted professional development program was initiated in New South
Wales, Australia, to strengthen the capacity of Nursing/Midwifery Unit Managers
(N/MUMs). The aim of this study was to examine the effects of TTL on job
performance, nursing leadership and patient experience. METHODS:
Nursing/Midwifery Unit Managers (n = 30) and managers of N/MUMs (n = 30) who had
completed the TTL program were interviewed between August and December 2010. The
semi-structured interviews included a combination of open-ended questions and
questions that required respondents to rate statements using a Likert scale. Data
from the open-ended questions were thematically analysed to identify and
categorise key concepts. The responses to the Likert items were analysed via
descriptive statistics. RESULTS: Nursing/Midwifery Unit Managers' participation
in TTL engendered improvements in job performance and leadership skills, as well
as some improvement in patients' experiences of care. The program facilitated
role clarification and helped foster peer-support and learning networks, which
were perceived to provide ongoing professional and personal benefits to
participants. CONCLUSIONS: Our study revealed a consensus about the beneficial
outcomes of TTL among those involved with the program. It supports the
significant and ongoing value of widely implemented, multifaceted nursing
leadership development programs and demonstrates that participants value their
informal interactions as highly as they do the formal content. These findings
have implications for delivery mode of similar professional development programs.
PMID- 27188037
TI - Evidence based nursing and midwifery practice in a regional Australian healthcare
setting: Behaviours, skills and barriers.
AB - AIM: To establish self-reported skill levels, behaviours and barriers in relation
to evidence-based practice (EBP) among a representative sample of regional
Australian nurses and midwives in senior roles. BACKGROUND: It has been widely
established that nurses and midwives continue to face challenges in relation to
putting evidence into practice on the clinical floor. Prior to conducting an EBP
capacity building activity in a regional Australian Local Health District, a
survey assessing needs and skill and barrier areas was conducted. METHODS: A
quantitative descriptive survey which utilised the 'Developing Evidence Based
Practice Questionnaire' (DEBPQ) was conducted in early 2012 among senior nurses
and midwives of a regional New South Wales Local Health District (LHD). The
survey results were contrasted with reported DEBPQ results from a sample of UK
metropolitan nurses and a sample of Australian general practice nurses (GPNs).
RESULTS: One hundred and sixty nine nurses completed the survey (response rate
42%). Survey respondents' reliance on accepted evidentiary knowledge sources was
found to be low. Research literature-related knowledge sources were ranked
outside of the top 10 sources, compared with numerous personalised and subjective
sources, which ranked within the top 10. Access to and understanding of research
material was a primary barrier to reviewing evidence in the study sample. Time
related barriers to changing practice on the basis of evidence figured
prominently in the study sample and the UK and Australian GPN samples. The study
sample rated their EBP skill levels significantly higher than both their UK
counterparts and the Australian GPN sample (P < 0.0001). CONCLUSION: Capacity
building interventions are needed among senior nurses and midwives in Australian
regional LHDs, as the most prominent knowledge sources reported are non
evidentiary in nature and barriers to finding and reviewing evidence, along with
barriers to making practice change, remain significant.
PMID- 27188038
TI - Development and psychometric evaluation of an instrument for the assessment of
nurses' knowledge on capnography.
AB - BACKGROUND: Despite the expansion of capnography use, instruments for evaluating
healthcare professionals' knowledge about capnography are missing from
international literature. OBJECTIVES: To develop and validate an instrument for
evaluating nurses' knowledge about capnography, named the "Nurses' Knowledge
about Capnography Test" (NKCT). METHOD: A literature review was performed to
formulate instrument items. Thirty-six items were initially developed, which
covered principles of capnography function, conditions affecting end-tidal CO2
pressure and capnography waveform, and indications for capnography use. Six items
were deleted after content validity and intra-rater reliability evaluation. The
final 30-item instrument was completed by 103 anesthesiology department nurses
employed in six hospitals of Greece, to test its internal consistency, item
difficulty and discrimination, and construct validity. RESULTS: Kuder-Richardson
20 coefficient was 0.79. Ten items were found to be of high difficulty, while
item discrimination was low for two of them. Instrument scores were found
significantly higher among participants with higher educational level and longer
experience in capnography use. CONCLUSION: NKCT is a psychometrically
comprehensive instrument for evaluating nurses' knowledge about capnography,
which is recommended to be re-validated beyond the anesthesiology department and
be used for the assessment of educational programs on capnography.
PMID- 27188039
TI - Some strategies to address the challenges of collecting observational data in a
busy clinical environment.
AB - Studies drawing on observational methods can provide vital data to enhance
healthcare. However, collecting observational data in clinical settings is
replete with challenges, particularly where multiple data-collecting observers
are used. Observers collecting data require shared understanding and training to
ensure data quality, and particularly, to confirm accurate and consistent
identification, discrimination and recording of data. The aim of this paper is to
describe strategies for preparing and supporting multiple researchers tasked with
collecting observational data in a busy, and often unpredictable, hospital
environment. We hope our insights might assist future researchers undertaking
research in similar settings.
PMID- 27188040
TI - Effects of an integrated simulation-based resuscitation skills training with
clinical practicum on mastery learning and self-efficacy in nursing students.
AB - BACKGROUND: This study evaluates the effectiveness of integrated simulation-based
resuscitation skills training combined with a clinical practicum by assessing
nursing students' knowledge, psychomotor skills, and self-efficacy. METHODS: In a
pretest-posttest design, 255 second-year nursing students participated in an
emergency nursing clinical course consisting of a two-hour simulation-based
resuscitation skills training component along with an 80-hour clinical placement
in an emergency department. Knowledge, self-efficacy, and psychomotor skill
errors were measured. Analyses of pre- and post-test data were performed on three
subgroups: the simulation-only group, the simulation with clinical observation
group, and the simulation with clinical performance group. Stu- dents were
divided into these groups based on resuscitation experiences during their
clinical practicum in the emergency department. RESULTS: Mean scores of knowledge
(z = -13.879, p < .001) and self-efficacy (z = -10.969, p < .001) significantly
improved after the clinical practicum compared to baseline. Knowl- edge (F =
.502, p = .606), psychomotor skill error (F = 1.587, p = .207), and self-efficacy
(F = .481, p = .619) did not significantly differ among the three subgroups after
controlling for two covari- ates (age, Basic Life Support certification) in the
analysis of covariance models. CONCLUSION: Integrated simulation-based
resuscitation skills training combined with a clinical practicum might be
beneficial for enhancing mastery learning and self-efficacy in nursing students
through learner engagement and feedback.
PMID- 27188041
TI - Readmission rates and related factors in heart failure patients: A study in
Lebanon.
AB - BACKGROUND: Heart failure is the leading cause of hospitalization among older
adults in the United States and other developed countries. Readmission rates of
heart failure patients is one of the key outcome performance measures used in
evaluating the quality of care of these patients. In Lebanon, there are no
published data on readmission of heart failure patients. The aim of the study was
to examine the readmission rates of heart failure patients within 30, 60 and 90
days of discharge from the hospital, and factors associated with readmission.
METHODS: The medical records of all 187 patients admitted with heart failure to
Rafic Hariri University Hospital in Beirut between January 1, 2010 and December
31, 2010 were reviewed. Data on demographic and relevant clinical variables were
retrieved. RESULTS: Readmission rates were 15%, 22.2%, and 27.8% at 30, 60 and 90
days following discharge, respectively. The majority of readmissions (73.61%)
were due to heart failure exacerbations. Significant predictors of readmission
were: history of diabetes mellitus, coronary artery disease, length of stay at
the index admission and gamma glutamyl transpeptidase levels. Management of the
patients did not always conform to the evidence based guidelines. CONCLUSION: The
findings suggest the need for better adherence to clinical guidelines in caring
for heart failure patients and improved documentation of discharge instructions.
PMID- 27188042
TI - How has the profile of Australian nurse practitioners changed over time?
AB - AIM: To examine longitudinal changes in the profile of Australian nurse
practitioners surveyed in both 2007 and 2009 ('resurveyed respondents') and to
determine differences between nurse practitioners who completed the census only
in 2009 ('new respondents') and resurveyed respondents. BACKGROUND: The nurse
practitioner role is integral to the development and sustainability of advanced
practice roles in healthcare systems. However, its success depends upon support
from policy makers, health services and nursing. A census of Australian nurse
practitioners previously was conducted in 2007 to obtain national data on the
role and scope of practice of nurse practitioners in Australia. DESIGN: A
quantitative self-administered survey. METHODS: Questionnaires were sent to all
authorised Australian nurse practitioners in 2009. RESULTS/FINDINGS: A total of
408 surveys were administered with 293 questionnaires completed (response rate
76.3%). Of these, 49% of participants also had completed the 2007 survey. There
were no differences in the limitations and enablers identified in 2007 compared
to 2009, indicating that perceived barriers had not been addressed over time, nor
had there been substantial improvements. New respondents were more likely to have
worked as a nurse practitioner in the previous week (p < 0.004). There was a
significant increase in the number of nurse practitioners waiting on approval for
some or all clinical protocols (p = 0.024). CONCLUSIONS: Conditions enabling work
to full scope of practice continue to be perceived as suboptimal by Australian
nurse practitioners. Supportive strategies are needed to enable the role to be
effectively utilised.
PMID- 27188043
TI - "Will I come home incontinent?" A retrospective file review: Incidence of
development of incontinence and correlation with length of stay in acute settings
for people with dementia or cognitive impairment aged 65 years and over.
AB - AIM: The aim of the retrospective file review is to obtain incidence of the
development of incontinence at time of discharge from an acute/sub-acute care
setting for patients who were aged 65 years and over, diagnosed with dementia or
cognitive impairment, ambulant and continent pre-admission. Along with,
identifying correlation between length of stay and development of incontinence.
The data were obtained to measure a need for recommendations related to
continence promotion in hospitals. It is hypothesised that the sample group are
at risk of developing incontinence throughout their stay. METHOD: Files for the
sample group who were admitted to a regional Victorian hospital acute/sub-acute
care over a two-year period were reviewed. A total of 182 files were reviewed, of
which 100 files met the inclusion criteria. RESULTS: The study revealed a
significant relationship between admission and the development of incontinence
for the sample group (p = .007). At time of discharge from the hospital, 36%
suffered urinary incontinence. Of the 36%, 2% also developed new faecal
incontinence at time of discharge. A further 21% of patients also experienced an
episode of urinary incontinence throughout their stay, but were continent at
discharge. An independent-sample t-test was conducted to compare mean length of
stay between the group that remained continent (M = 15.88, SD = 13.028) and the
group that developed incontinence (M = 24.33, SD = 19.497); t(98) = 2.586, p =
.011 (two-tailed). CONCLUSION: Statistically significant results confirm the
hypothesis' that the; sample group are at significant risk of developing
incontinence throughout a hospital admission, and increased length of stay
increases the likelihood of developing a form of incontinence.
PMID- 27188044
TI - Why nurses chose to remain in the workforce: Portraits of resilience.
AB - AIM: This study explored why nurses chose to remain in the Western Australian
workforce and to develop insights into the role of resilience of nurses and to
identify the key characteristics of resilience displayed by these nurses.
BACKGROUND: Nursing is a stressful profession. Prolific quantitative research
which measures job stress and resilience has been implemented; however, there is
a dearth of qualitative studies which hear the personal narratives as to why
nurses remain and thrive in a stressful workplace. DESIGN/METHODS: Vignettes of
nursing resilience reveal underlying themes of resilience where personal stories
and events are presented as narrative. Portraiture recognises the inherent value
of the nurses' stories giving attention to the nature of their resilience.
Interpretation illuminates the portraits or verbal canvasses of the told
experience, reflecting success and positivity despite disarray in healthcare
settings. RESULTS/FINDINGS: Eight themes were identified. The portraits highlight
a sometimes imperceptible theme of resilience within nursing. Nurses are
resilient; they rely on the social support of colleagues, family and friends to
continue to bear their mantle of responsibility. They take pride in their work
and accomplishments and give to others altruistically. They laugh, they love
nursing and they keep the needs of their patients, clients, residents or students
foremost. CONCLUSION: This paper describes the hallmarks of resilience
demonstrated by nurses. Resilience and its relationship to coping in times of
adversity are captured within the portraits presented.
PMID- 27188046
TI - Educational and intervention programmes for gestational diabetes mellitus (GDM)
management: An integrative review.
AB - INTRODUCTION: Gestational diabetes mellitus (GDM) is a serious pregnancy
disorder, which is linked to stillbirth, birth damage and later development of
type 2 diabetes. Rates of GDM have increased dramatically in the past 20 years,
related to obesity, sedentary lifestyles and ethnicity. AIM: The aim of this
integrative review was to identify and to critically review existing self
management programmes for GDM. METHOD: A search for studies published between
2000 and 2013 was conducted on: PubMed, CINAHL, Medline, OvidSP, ProQuest, SCOPUS
and Wiley online library. GDM search terms included gestational diabetes
mellitus; GDM, pregnancy diabetes. Search terms for self-management programmes,
included educational programmes; lifestyle intervention; exercise, diet, weight
management in pregnancy; life-style interventions. RESULTS: Fifty papers were
located in the search, and 12 were included in the review. Interventions fell
into three main groups: (1) dietary and exercise interventions; (2) self
monitoring of blood glucose levels; and (3) counselling/behavioural
interventions. This review found that although interventions varied in approach,
most were successful in reducing insulin requirements; in reducing rates of
macrosomia and hypertensive disorders, and in improving levels of knowledge and
pregnancy outcomes. Only one study found that the intervention did not contribute
some positive outcome. CONCLUSION: Interventions that include adopting a low
glycemic index diet and increasing levels of activity appear to be successful at
reducing maternal blood glucose levels and reducing insulin requirements during
pregnancy. Reducing maternal blood glucose levels, in turn, is associated with a
reduction of macrosomia and maternal weight gain.
PMID- 27188045
TI - Recognising falls risk in older adult mental health patients and acknowledging
the difference from the general older adult population.
AB - Older adults admitted to inpatient mental health units present with complex
mental health care needs which are often compounded by the challenges of living
with physical co-morbidities. They are a mobile population and a high risk group
for falling during hospitalisation. To address quality and safety concerns around
the increased risk for falls, a qualitative research study was completed to
obtain an improved understanding of the factors that increase the risk of falling
in this patient cohort. Focus groups were conducted with mental health
professionals working across older adult mental health services in metropolitan
Western Australia. Data were analysed using content analysis and three themes
emerged that were significant concepts relevant to falls risk in this patient
group. These themes were (1) limitations of using generic falls risk assessment
and management tools, (2) assessment of falls risk not currently captured on
standardised tools, and (3) population specific causes of falls. The findings
demonstrate that older adult mental health patients are a highly mobile group
that experience frequent changes in cognition, behaviour and mental state. The
mix of patients with organic or functional psychiatric disorders within the same
environment also presents complex and unique care challenges and multi
disciplinary collaboration is central to reduce the risk of falls. As this group
of patients are also frequently admitted to both general inpatient and aged care
settings, the findings are relevant to the assessment and management of falls
risk across all health care settings.
PMID- 27188047
TI - Pre-registration paid employment practices of undergraduate nursing students: A
scoping review.
AB - OBJECTIVES: This article presents findings from a scoping review that sought to
highlight what is known about pre-registration paid employment practices of
undergraduate nursing students. BACKGROUND: Researchers have identified large
numbers of undergraduate nursing students engaging in paid employment. This
review was prompted by our interest in the different employment choices that
students make and whether these choices have any impact on transition to
practice. DESIGN: A scoping review was designed to map the existing evidence base
on undergraduate student nurse employment practices. Scoping reviews support the
identification of a broad range of literature, which encompasses all types of
study design. DATA SOURCES: Utilising key search terms, databases searched
included MEDLINE, CINAHL, Psych INFO, EMBASE, SCOPUS, SCIRUS, Joanna Briggs
Institute, Web of Science, Informit Health and the Cochrane database. REVIEW
METHOD: We utilised Arksey and O'Malley's five-stage approach: identifying the
research question; identifying relevant studies; study selection; charting the
data; and collating, summarising and reporting the results. Based on the research
question, relevant literature was selected which was reported in accordance with
Arksey and O'Malley's framework. RESULTS: The scoping review identified 40
articles that explored the nature of undergraduate student nurse paid employment
activity. Highlighted themes included: reasons for engaging in paid employment;
specific paid employment models; paid employment and academic performance, and
paid employment choice and transition to graduate practice. CONCLUSION: The
review highlighted a lack of studies detailing the relationship between paid
employment and transition to graduate nurse practice, particularly those studies
situated within the hospitality sector.
PMID- 27188048
TI - The complexities of defining nurse practitioner scope of practice in the
Australian context.
AB - AIMS: To explore the legislative and regulatory constraints that defines nurse
practitioner scope of practice within the Australian context. BACKGROUND: Nurse
practitioners have been endorsed to practice in Australia for over 13 years.
However, despite this lengthy period, there still remains confusion amongst newly
endorsed nurse practitioners and their employers as to what determines the scope
of their practice in Australia. DESIGN: A review of available policy and
regulatory documents related to the Australian operational requirements for nurse
practitioner scope of practice cited within or referred to by the Nursing and
Midwifery Board of Australia. DATA SOURCES: Data were collected over a 2-month
period in 2013. This utilized the current standards, codes and guidelines cited
by the Nursing and Midwifery Board of Australia related to scope of practice and
nurse practitioner, as well as legislation and regulation referred to in relation
to nurse practitioner practice. Information was also obtained through government
health and professional organization websites. All information in the literature
regarding current and past status, and nomenclature of advanced practice nursing
was considered relevant. Implications for nursing: Providing a means of
interpreting the determinants of nurse practitioner scope of practice within
Australia. CONCLUSIONS: The factors that determine nurse practitioner scope of
practice, education, clinical experience, and competence leading to endorsement,
are straightforward. However, the context of clinical practice, including
jurisdictional restrictions, is major barriers to the expression of nurse
practitioner scope of practice. These restrictions, although not insurmountable,
continue to hinder nurse practitioners from practicing to their full scope of
practice.
PMID- 27188049
TI - [Not Available].
PMID- 27188050
TI - [The Lausanne Obesity Cohort: why and how?].
AB - Bariatric surgery has become the treatment of choice for severe obesity. The
significant weight loss induced by these procedures is accompanied by spectacular
improvements in the metabolic comorbidities that participate in morbidity and
mortality of obesity. However, several questions remain open regarding the
identification of patients that will benefit the most from the intervention or
the long-term outcomes in terms of weight and co-morbidities. The Cohort obesity
of Lausanne was initiated in order to try and answer some of these questions, and
more specifically to identify predictive factors of long-term response to gastric
by-pass.
PMID- 27188051
TI - [How to support obese patients in a long-term process of change?].
AB - It is illusory to think losing weight effectively by acting only on diet or
physical activity. To lose weight satisfactorily and to maintain that weight
loss, we should move more on lifestyle changes, namely changes in behavior on
several axes. Through concrete examples of an obese patient wanting to lose
weight, we will see what skills he must acquire to achieve its objective and what
tools the therapist can use to help.
PMID- 27188052
TI - [A tool for assessing eating behaviors: ESSCA].
AB - Eating behaviors are key when considering overweight or obesity management. Many
issues varying in severity can interfere with the treatment. This article
provides a semi-structured interview to address the determinants of food intake-
hunger food craving--problematic eating behaviors--snacking, emotional eating-
and eating disorders particularly related to overweight. Convenient for
healthcare practitioners, this instrument comes with an interview guide to
standardize its use. The relatively complete picture of the patient's eating
behavior resulting from this assessment contributes to the treatment proposal.
PMID- 27188053
TI - [Not all patients might benefit from gastric by-pass].
AB - Obesity is a chronic disease with a need for long-term follow-up. Bariatric
surgery is very beneficial for patients who are not able to lose weight by
lifestyle modifications alone. However, some patients present a weight regain
after surgery. Predictive factors for the evolution of weight loss after
bariatric surgery are not available today. For that reason, all preventive and
therapeutic facilities should be used in order to reduce the risk of relapse
after surgery. A recently introduced multidisciplinary therapeutic program for
preparation of patients before bariatric surgery could be very valuable for a
sustainable change of their lifestyle in order to minimize the risk of weight
regain in the years after surgery.
PMID- 27188055
TI - [Pregnancy after bariatric surgery].
AB - Bariatric surgery interventions are rapidly growing and most are performed on
female patients. Thus, pregnancies after bariatric surgery are increasingly
common. Awareness of the consequences and risks of bariatric surgery on
subsequent pregnancies is important. Literature data report a reduction of the
usual pregnancy risks of pregnancies in obese patients, but also an increased
risk of small-for-gestational-age infants, possibly related to nutritional
deficiencies. A careful screening for micronutrient deficiencies is therefore
already advised before conception. Nutritional follow-up and serious evaluation
of any abdominal complaints are recommended as well during pregnancy.
PMID- 27188054
TI - [Increased risk of alcohol use disorders after bariatric surgery].
AB - After bariatric surgery the risk of alcohol use disorders is increased. This risk
is greater after Roux-en-Y gastric bypass than after sleeve gastrectomy or
gastric banding. These differences can be explained by modification in alcohol
metabolism after gastric bypass, which increases peak alcohol levels. Other
mechanisms that might be responsible for increased alcohol use disorders after
bariatric surgery are neuro-biological contributors and addiction transfer from
binge eating to alcohol consumption. Collaboration with a team specialized in
alcoholism treatment is needed for the management of such patients.
PMID- 27188056
TI - [Prognostic scores for pulmonary embolism].
AB - Nine prognostic scores for pulmonary embolism (PE), based on retrospective and
prospective studies, published between 2000 and 2014, have been analyzed and
compared. Most of them aim at identifying PE cases with a low risk to validate
their ambulatory care. Important differences in the considered outcomes: global
mortality, PE-specific mortality, other complications, sizes of low risk groups,
exist between these scores. The most popular score appears to be the PESI and its
simplified version. Few good quality studies have tested the applicability of
these scores to PE outpatient care, although this approach tends to already
generalize in the medical practice.
PMID- 27188057
TI - [Not Available].
PMID- 27188058
TI - [Not Available].
PMID- 27188059
TI - [Not Available].
PMID- 27188060
TI - [Not Available].
PMID- 27188061
TI - [Not Available].
PMID- 27188062
TI - [Not Available].
PMID- 27188063
TI - [Not Available].
PMID- 27188064
TI - [Not Available].
PMID- 27188065
TI - NEHA Goes to Washington.
PMID- 27188067
TI - Assessment of Enterococcus Levels in Recreational Beach Sand Along the Rhode
Island Coast.
AB - Recent studies have shown that coastal beach sand as well as coastal ocean water
can be contaminated with fecal indicator Enterococcus bacteria (ENT). A study of
sand ENT concentrations over a four-week period at 12 Rhode Island beaches was
conducted during the summer of 2009. While average contamination was low relative
to water quality standards, every beach had at least one day with very high sand
ENT readings. On 10 of the 12 beaches, a statistically significant gradient
occurred in geometric mean ENT concentrations among tidal zones, with dry
(supratidal, or above high tide mark) sand having the highest level, followed by
wet (intratidal, or below high tide mark) and underwater sand. Beaches with
higher wave action had significantly lower ENT levels in wet and underwater sand
compared to beaches with lower wave action.
PMID- 27188066
TI - Where Are the Ticks? Solving the Mystery of a Tickborne Relapsing Fever Outbreak
at a Youth Camp.
AB - During the summer of 2014 an outbreak of tickborne relapsing fever (TBRF)
occurred in a group of high school students and staff at a youth camp, which was
reported to Coconino County Public Health Services District. Six confirmed and
five probable cases of TBRF occurred. During the environmental investigation two
rodents tested positive for TBRF, but the vector, soft ticks, could not be found
in their "normal" habitat. Ticks were finally located in areas not typical for
soft ticks.
PMID- 27188068
TI - Health and Environmental Hazards of Electronic Waste in India.
AB - Technological waste in the form of electronic waste (e-waste) is a threat to all
countries. E-waste impacts health and the environment by entering the food chain
in the form of chemical toxicants and exposing the population to deleterious
chemicals, mainly in the form of polycyclic aromatic hydrocarbons and persistent
organic pollutants. This special report tries to trace the environmental and
health implications of e-waste in India. The author concludes that detrimental
health and environmental consequences are associated with e-waste and the
challenge lies in producing affordable electronics with minimum chemical
toxicants.
PMID- 27188069
TI - Regional Data Standards Build Capacity for Health Departments.
PMID- 27188070
TI - A, T, S, D, What?
PMID- 27188071
TI - New Emergency Response Training for Environmental Health Professionals.
PMID- 27188072
TI - It's a Government Affair.
PMID- 27188073
TI - Why bacteria are the basis of breastfeeding.
PMID- 27188074
TI - The faces of breastfeeding support: Experiences of mothers seeking breastfeeding
support online.
AB - The aim of this study was to advance understanding of the experiences of mothers
using closed Facebook groups attached to the Australian Breastfeeding Association
(ABA) and how these mothers find and share breastfeeding support and information
using this forum. The study involved members of three closed Facebook groups that
were chosen as interesting cases for study, based on the volume and nature of
their posts. Members of these three groups then participated in online depth
interviews and online semi-structured focus groups. The overarching theme
identified was support, with four sub-themes that describe the nature of online
breastfeeding support within the Facebook environment. These sub-themes are:
community, complementary, immediate and information. It was found that social
networking sites (SNSs) provide support from the trusted community. It is
immediate, it complements existing support or services that ABA provides and also
provides practical and valuable information for its users.
PMID- 27188075
TI - Relationships between assisted reproductive technologies and initiation of
lactation: Preliminary observations.
AB - OBJECTIVE: This study examined initiation of lactation in primiparous mothers of
late preterm infants who had conceived with assisted reproductive technology
(ARTC) compared to those who conceived spontaneously (SC). METHOD: Milk
productions on day 4 (72-96 hours) and day 7 (144-168 hours) postpartum were
measured by weighing expressed breastmilk and test weighing breastfeeds in the
ARTC (n = 8) and SC (n = 8) groups. RESULTS: Mean total milk volumes for the ARTC
group compared to the SC group were clinically lower on day 4 (191 mL, SD = 110
vs. 285 mL, SD = 225) and on day 7 (374 mL, SD = 238 vs. 601 mL, SD = 243)
respectively. CONCLUSION: These preliminary observations indicated milk
production in the first week was clinically lower in mothers with ARTC, with a
range of potential influencing factors identified, suggesting the need for a
higher-powered study investigating the effects of ART on the initiation of
lactation.
PMID- 27188077
TI - Breastfeeding with cystic fibrosis.
PMID- 27188076
TI - Infant-feeding practices and American Indian infants' gut microbiome: Rationale
of the pilot study.
AB - This study aims to examine the relationship between infant-feeding practices and
American Indian infants' gut microbiome--laying the foundation of a research
program aimed at identifying potential aetiologies of childhood obesity in this
population. Previous studies have emphasised the high prevalence of obesity in
American Indian infants, but its underlying causes remain unclear. We received
funding from the University of Washington to examine attitudes towards
breastfeeding and formula feeding in American Indian mothers, their dietary and
physical activity habits and the yield, quality and diversity of their infants'
gut microbiome. Our study combines the strengths of qualitative and quantitative
data with DNA sequencing. Results will be used to propose a larger study aimed at
clarifying aetiologies of childhood obesity in this population and to identify
prevention strategies. This protocol describes the theoretical basis of the
study, rationale for the target population, study design, participant recruitment
and data analysis plan.
PMID- 27188079
TI - News from D-DENT.
PMID- 27188080
TI - You'll be missed, Dr. Clint Miner.
PMID- 27188081
TI - ODA MEMBER Martha Garzon, OKC.
PMID- 27188082
TI - CREATIVITY + INGENUITY = TOM MCGINNITY, DMD.
PMID- 27188083
TI - Why Schein's $250,000 FTC Fine May Mean HIPAA Liability For You.
PMID- 27188085
TI - [Percutaneous treatment of coronary chronic total occlusions].
AB - Chronic total occlusions (CTOs) are frequently detected on diagnostic coronary
angiograms. For the selection of patients for CTO percutaneous coronary
intervention, factors such as the level of symptoms, level of myocardial
viability and extent of ischemia must be taken into account. Remarkable progress
has been achieved in the success of complex CTO procedures during the past
decade. In addition to antegrade wire escalation strategy, subintimal passage of
the guidewire with or without dissection and re-entry techniques and retrograde
techniques can be utilized. After successful wiring of the lesion, balloon
angioplasty and stenting comparable to a non-CTO lesion are performed.
PMID- 27188084
TI - Angioedema of the Uvula: A Case Report.
PMID- 27188086
TI - [Male breast cancer].
AB - Breast cancer is rare in men. Diagnosis of the illness may be delayed due to the
fact that the doctor and the patient fail to suspect it. Male breast cancer is
treated mainly on the same principles as female breast cancer. A man affected
with breast cancer should always be directed to genetic testing, as inherited
mutations increasing the risk of developing cancer are more common than in female
breast cancer. Most breast cancers in men are hormone receptor positive. Among
hormone treatments, the antiestrogen tamoxifen exhibits the best efficacy both in
early-state and advanced cases.
PMID- 27188087
TI - [New psychosocial therapies for schizophrenia].
AB - Almost all schizophrenia patients suffer from various cognitive symptoms that
cause difficulties in everyday living and lower the quality of life even when
psychotic symptoms are in remission. Defects in social cognition constitute
special problems that relate to recognizing facial expressions and understanding
social situations, for example. There is no evidence that psychiatric medication
improves these symptoms. On the other hand, there are several cognitive
remediation programs that have been shown to be of use. New programs have also
emerged to treat problems in social cognition. When neurocognitive rehabilitation
is insufficient, a program that compensates for deficits in cognitive functioning
is also available.
PMID- 27188088
TI - [Drug therapy of advanced pancreatic neuroendocrine tumor].
AB - Although several options of drug therapy exist for advanced neuroendocrine cancer
of pancreatic origin, few data based on randomized studies are available. The
results of the most recent randomized placebo-controlled studies are obscured by
the assignment of patients from the placebo branch to the treatment branch.
Application of the study results is further hampered by small patient groups,
heterogeneity and retrospective set-up of study materials and, in older studies,
inaccurate response assessment. We describe drug therapies that can be utilized
to affect the clinical course of locally advanced or metastatic neuroendocrine
cancer of pancreatic origin. Supportive therapy of hormonally active tumors has
been restricted to somatostatin analogue treatment.
PMID- 27188089
TI - [Rapid diagnosis of diarrhea viruses].
AB - Viral diagnosis is required mainly in the analysis of outbreaks of diarrhea,
cases of gastroenteritis in infants and in the exploration of the cause of
diarrhea in severely ill patients. Antigens of rotaviruses and adenoviruses can
be detected in the feces of the patient, and the rapid tests applied have proven
to possess sufficient sensitivity. Sensitivities of the tests intended for
norovirus antigen detection have instead remained poor. In addition to antigen
detection tests, a real-time PCR test based on the,detection of norovirus nucleic
acids has come onto the market, being both easy to use and substantially more
sensitive. In the future, multiplex PCR tests allowing simultaneous detection of
several different diarrhea-causing microorganisms are expected to become more
common.
PMID- 27188090
TI - [Recognize tuberculosis--prevent outbreak].
AB - INTRODUCTION: The number of tuberculosis cases in Finland has decreased. Cases
among immigrants have, however, increased, and the disease may not be recognized
early enough. METHODS: We describe four group exposures to tuberculosis that
occurred in Finland, the index patient coming from a country with a high
incidence rate of tuberculosis. RESULTS: Over 900 persons were exposed to
tuberculosis. Coordination of the surveys was hampered by the fact that several
healthcare operators participated in the study. Three index patients had drug
resistant tuberculosis, one of which was multidrug resistant. CONCLUSIONS:
Extensive operations of tracking and prevention could have been avoided, if the
symptoms would have been recognized earlier.
PMID- 27188091
TI - [Sarcoidosis-lymphoma syndrome].
AB - Lymphoma risk is increased in middle-aged patients in association with chronic
active sarcoidosis. The sarcoidosis- lymphoma syndrome poses a challenge for
differential diagnosis particularly in the era of fluoro-deoxy-glucose positron
emission tomography-computed tomography (FDG-PET-TT). Upon detection of
enlargement of lymph nodes, the diagnosis should be confirmed with a histological
sample.
PMID- 27188092
TI - [Thoracic lavage and open cardiac massage as treatment of hypothermic cardiac
arrest--case report].
AB - Cardiopulmonary bypass is the treatment of choice for a severely hypothermic
patient with cardiac arrest. However, the treatment is not always available. We
describe a successful three-and-a-half hour resuscitation of a hypothermic
cardiac arrest patient with manual chest compressions followed by open cardiac
massage and rewarming with thoracic lavage.
PMID- 27188093
TI - [Update on Current Care Guideline: Venous thromboembolism (VTE): deep venous
thrombosis and pulmonary embolism].
AB - Key recommendations in management of VTE include prompt and systematic
diagnostics based on clinical probability, D-dimer testing and imaging studies,
and individualized antithrombotic treatment. Outpatient management is encouraged
for patients with favourable prognosis. Interventions such as thrombolysis,
venous stenting and inferior vena cava filters are limited to specific subgroups
of patients. The duration of anticoagulation is tailored by balancing the risks
of VTE recurrence and bleeding. Without contraindications, the minimal duration
of anticoagulation is 3 months. The selection between warfarin, direct oral
anticoagulants (apixaban, dabigatran, rivaroxaban) and low molecular weight
heparin is based on individual patient characteristics and suitability of each
drug.
PMID- 27188094
TI - Hold Thy Tongue, O Thou Holy Physician....
PMID- 27188095
TI - PRESIDENT'S PAGE.
PMID- 27188098
TI - [Welcome Newcomers to Our World!].
PMID- 27188096
TI - Blood Donor Screening for West NiIe Virus in Oklahoma and Its Contribution to
Disease Surveillance, 2003 -2013.
AB - Upon recognition that West Nile virus (WNV) was transmissible by transfusion,
universal testing of blood donors by nucleic acid testing (NAT) was initiated in
2003. A retrospective review of 2003-2013 blood donor records and public health
surveillance data in Oklahoma was undertaken to determine the percentage of WNV
positive blood donors who developed clinical symptoms post-donation and to
examine the incidence and timing of WNV viremic donors in the context of WNV
disease reported statewide. Among all WNV NAT-positive blood donors, 19% had self
described symptoms consistent with WNV disease. A viremic blood donor was the
seasonal index case of WNV transmission in Oklahoma during one year [2006] of the
study period. Blood donors remain an important surveillance component for
epidemiologic monitoring of WNV in Oklahoma.
PMID- 27188097
TI - Consultation Dilemma Catatonia in a Patient with Prior TBI: MentaI or Medical
Disorder?
AB - Mr. R, a 27 year old Hispanic male with history of traumatic brain injury (TBI)
over ten years prior but no psychiatric history, presents to the psychiatric
consultation service with recent onset of mutism, psychotic behavior and new
diagnosis of epilepsy. The differential diagnosis is broad and includes both
medical and psychiatric causes: post-ictal state, non-convulsive status
epilepticus, delirium due to metabolic conditions, drugs, catatonia, conversion
disorder, major depression with psychotic features, new onset schizophrenia or a
combination of these possible diagnoses. We explore different medical causes that
can present with symptoms of catatonia, as it is crucial to rule out a possible
treatable medical cause.
PMID- 27188099
TI - [Sniffing Position and i-gel Rotation Approach for i-gel Insertion under General
Anesthesia].
AB - BACKGROUND: Insertion assistance techniques, such as the sniffing position (SP)
and i-gel? rotation approach (RA), are recommended in the i-gel supraglottic
airway device insertion manual. The usefulness of these techniques was evaluated,
in this study, under general anesthesia. METHODS: In 50 adult patients, the i-gel
was inserted with the patient in the mild-SP with 5 degrees head extention at
first attempt. When resistance was encountered during insertion or airway patency
was not obtained after insertion, the i-gel was re-inserted with the patient in
the full-SP with maximum head extention during second attempt. When re-insertion
failed, the i-gel was inserted with the patient in the full-SP and by using the i
gel RA during third attempt. RESULTS: Airway patency was established in the mild
SP in 36 of 50 patients, in the full-SP in 11 of the remaining 14, and in the
full-SP with the i-gel RA in the remaining 3. The average insertion time was 24.0
s during the first attempt, 22.2 s during the second, and 18.2 s during the
third. No major complications were observed. CONCLUSIONS: Both the full-SP and
the i-gel RA can be used for i-gel insertion.
PMID- 27188100
TI - [Ultrafast-track Anesthesia (UFTA) in the Operating Room and the Anesthetic
Management for Off-pump Coronary Artery Bypass (OPCAB)].
AB - Currently, the immediate extubation in the operating room is necessary for the
patients undergoing off-pump coronary artery bypass (OPCAB). To achieve UFTA,
anesthesiologists should control general anesthesia. We report the case series of
UFTA for the patients undergone OPCAB at our hospital. Forty patients receiving
OPCAB between April 2012 and April 2014 were retrospectively analyzed. We divided
the patients into those extubated in the operating room (Extubation group) and
those not (Intubation group). The extubation criteria included adequate
revascularization, adequate hemostasis, ratio between Pa(O2) and FI(O2) more than
250, body temperature above 36 degrees C, stable hemodynamics without
noradrenaline, without IABP, and normal postoperative chest X-ray. Twenty-three
patients (70% of the scheduled and 20% of the emergency patients) were included
in our criteria and could be extubated in the operating room. One patient was re
intubated due to asthma attack following extubation in the operating room. The
sucess rate of immediate extubation was 95.7% by our criteria. There were no
significant differences in age, sex, BMI, duration of anesthesia, and amount of
hemorrhage between the 2 groups. We believe that UFTA for OPCAB patients may be
possible under carefull decision by extubation criteria.
PMID- 27188101
TI - [Thrombotic and Bleeding Risks of Patients on Antiplatelet Therapy Undergoing
Major Abdominal Malignancy Surgery].
AB - BACKGROUND: Controversies still exist whether to continue or withdraw aspirin
(ASA) perioperatively. This study was performed to determine whether patients on
preoperative antiplatelet therapy (APT) benefit from continuing ASA in terms of
thrombotic and bleeding risk prevention. METHODS: Among 307 consecutive patients
who were on APT preoperatively for the secondary prevention of cardiovascular
disease and who underwent elective major abdominal malignancy surgery, 148
patients had all the preoperative APT withdrawn and the remaining 159 patients
continued only ASA. Comparisons were made between the 2 groups regarding the rate
and the amount of exogenous blood transfusion as well as the incidence of
thromboembolic events (TEEs) within 1 month after surgery. RESULTS: The incidence
of perioperative TEEs of the APT withdrawn group was significantly higher than
that of the ASA group (6.2% vs 0%, P = 0.005), while the rate and the amount of
exogenous blood transfusion were not different each other (23.6% vs 17.0%, P =
0.146 and 4 units vs 4 units, P = 0.544, respectively). CONCLUSIONS: Considering
the relatively low bleeding risk when continued and the increased thrombotic risk
after withdrawal, ASA should be continued perioperatively in patients undergoing
major abdominal malignancy surgery.
PMID- 27188102
TI - [The Analgesic Sparing Effect of Ketamine for Postoperative Pain Management after
Pediatric Surgery on the Body Surface].
AB - BACKGROUND: It is reported that ketamine, a N-methyl-D-aspertate (NMDA) receptor
antagonist, can provide analgesic effect improving postoperative pain management
and decrease the supplementary analgesic requirement. We investigated the
analgesic sparing effect of ketamine for postoperative pain in children
undergoing surgery of body surface. METHODS: Fifty eight patients (0-9 yrs) who
had surgery of body surface were divided into two groups (ketamine : n = 27,
Group K or control : n = 31, Group N). Postoperative analgesia extracted from
charts was retrospectively evaluated by the times patients used analgesics until
discharge after the operations. Chi-square and Mann-Whitney U tests were used for
statistical analysis. Results : The ketamine group received an intrave- nous
bolus of ketamine (1 mg - kg-1) before surgical skin incision. However, there
were no significant differ- ences of usage (Group K vs Group N : 4/27 vs 7/31,
P=0.45) and frequency of supplementary analgesic us- ages (P=0.85) among groups.
In addition, there were also no significant demographic differences between the
two groups. Conclusions : Our investigation suggests that the intravenous bolus
of ketamine (1 mg - kg-1) before surgical skin incision does not decrease the
supple- mentary analgesic requirements on postoperative pain management in
pediatric surgery of the body surface.
PMID- 27188103
TI - [Anesthetic Management with Propofol Alone in a Patient with Hereditary Sensory
and Autonomic Neuropathy].
AB - Hereditary sensory and autonomic neuropathy (HSAN) is a rare peripheral nerve
disorder associated with sensory dysfunction (pain, touch, and pressure) and
various degrees of autonomic dysfunction. We administered general anesthesia for
a 54-year-old woman with HSAN type II undergoing amputation of the left hallux.
She had reduced sensation for pain, pressure, and temperature since birth and
frequently injured her hands and legs. Before the operation, she did not report
pain in the hallux. Only propofol was given for anesthesia without use of
analgesia. Intraoperatively, her vital signs were stable. To evaluate the
sympathetic nervous response to surgical stimulation, we measured the plasma
catecholamine levels before tracheal intubation and just before and during
surgery. Plasma catecholamine levels were normal at all time points, indicating
no sympathetic responses to surgical stimulation. This case suggests that
anesthesia for HSAN II patients can be safely managed with propofol alone.
PMID- 27188104
TI - [Anesthetic Management of an Infant who Underwent Awake-intubation for Her
Pharyngeal Injury Caused by a Toothbrush].
AB - A 2-year-and-4-month-old female infant, 12 kg in weight and 90 cm in height fell
off from a table, which was about 1 m height with a toothbrush in her mouth
without her parents noticing. Urgent CT scan showed that it penetrated the left
side of her oropharyngeal wall to the bifurcation of her right carotid artery.
According to the initial assessment, carotid artery seemed intact and there
seemed to be no sign of CNS involvement. She underwent general anesthesia for
further investigation and operation. We could detect vocal code with ease by
inserting Glidescope between her tongue and the toothbrush. After the intubation,
we administered fentanyl 25 MUg rocuronium 15 mg and sevoflulane 3-5% to her, and
then she underwent arteriography. The neurosurgeon found no sign of major
arterial injury nor traumatic aneurysm nor CNS involvement. She went to the ICU
intubated after the removal of the toothbrush. She was extubated 5 days after
operation. One of the benefits of the Glidescope is that we can share the visual
image, and we chose it this time. When we expect a difficult airway during
management for oropharyngeal trauma, we have to consider the way to manage the
airway.
PMID- 27188105
TI - [A Case of General Anesthesia for a Cardiac Transplanted Patient Undergoing
Inguinal Hernia Repair under Laparoscopic Surgery].
AB - A 52-year-old man was scheduled for the repair of inguinal hernia recurrence.
When he was 48 years of age, he received a heart transplantation due to severe
heart failure resulting from ischemic heart disease. When he was 50 years old, he
suffered from inguinal hernia, and it was repaired under spinal anesthesia.
During this surgery, he experienced pain because of the inadequate effect of
anesthesia, but his blood pressure and heart rate were stable. We suspected that
this was because of denervation of the heart. On hernia repair for inguinal
hernia recurrence, general anesthesia was chosen, induced with midazolam,
rocuronium, and fentanyl and maintained with sevoflurane, rocuronium, fentanyl,
and remifentanil. The blood pressure was mostly stable during anesthesia, but we
noted an increase in the heart rate when the trachea was intubated and extubated
and when surgical incision started. This phenomenon may indicate reinnervation of
the transplanted heart. We could safely manage anesthesia without invasive
monitoring because the transplanted heart functioned favorably and surgery was
minimally invasive.
PMID- 27188106
TI - [Morphine-induced Anaphylaxis before Induction of Anesthesia].
AB - We describe a case of anaphylaxia that occurred in a 67-year-old man. He was
planned to have an operation on mitral valve prolapse (MVP) for mitral
regurgitation (MR). Morphine 5 mg was injected intramusculaly 45 min before
operation. Since then, he felt itchy sensation around his inguinal region. After
he came to the operating room, he felt itchy sensation all over the body.
Initially, his vatal signs were stable. We started to give extracellular fluid
including ulinastatin 300,000 U, methylprednisolone 2 g, and ranitidine 50 mg. A
few minutes later, he had nettle rash all over the body and his blood pressure
decreased to 40/20 mmHg, and the heart rate increased to 120 beats x min(-1).
Soon after, he had pulseless electric activity (PEA). We started chest
compression and tracheal intubation. We injected adrenaline 1 mg. After doing the
continuous chest compression for 2 min, he revived. He had continuous medications
including dopamine 5 MUg x kg(-1) x min(-1), dobutamine 5 MUg x kg(-1) x min(-1),
noradrenaline 0.05 MUg x kg(-1) x min(-1). We cancelled the operation, and he was
transfered to the high care unit (HCU), where his blood pressure was 120/65 mmHg,
and heart rate 120 beats x min(-1). After 24 hours, we extubated his trachea. In
this case, morphine was considered to be the most likely cause for anaphylaxis.
PMID- 27188107
TI - [Successful Use of the i-gel and Dexmedetomidine for Tracheal Resection and
Construction Surgery in a Patient with Severe Tracheal Stenosis].
AB - A 51-year-old man, 170 cm, 86 kg, was diagnosed with a tracheal tumor existing
just below the glottis occupying more than 80% of his tracheal lumen, and was
scheduled for tracheal resection and construction. The patient had a strider due
to the severe tracheal stenosis. We could insert i-gel easily under
dexmedetomidine sedation. After successful i-gel insertion, tracheotomy and
endotracheal intubation were performed. Until ETT insertion, the patient
maintained spontaneous respiration without any hypoxic event. Followed by ETT
insertion, tracheal resection and construction were performed under general
anesthesia. After the operation, the patient was extubated and transferred to the
intensive care unit (ICU), where he was given DEX infusion to keep the tracheal
anastomosis immobilized. There was no serious complication during the
perioparative period.
PMID- 27188108
TI - [Anesthetic Management of a Patient with May-Hegglin Anomaly].
AB - We report the case of a 52-year-old woman with May-Hegglin Anomaly (MHA) and a
platelet count of 1.9 x 10(4) x mm(-3). She was scheduled to undergo abdominal
total hysterectomy and adnexectomy with uterine fibroids. Anesthesia was
maintained by inhalation of sevoflurane (1.5%), continuous intravenous infusion
of remifentanil (0.2-0.3 MUg x kg(-1) x min(-1)), intermittent intravenous
infusion of fentanyl (35 MUg), and the transversus abdominis plane block with 20
ml of 0.375% ropivacaine. The amount of bleeding during surgery was 150 ml and
her postoperative course was uneventful. MHA is a rare hematological disorder
inherited as an autosomal dominant trait that is characterized by
thrombocytopenia, giant platelets, and inclusion bodies in the granulocytes. A
decrease in platelet count is detectable with a blood test But function of
platelets is generally normal. Anesthesiologist should understand the
characteristics of MHA, and administer anesthetics without giving platelets.
PMID- 27188109
TI - [A Patient with Klippel-Feil Syndrome Having Difficulties in Inserting and
Placing an Endotracheal Tube under General Anesthesia].
AB - A patient with Klippel-Feil syndrome had difficulties in inserting and placing an
endotracheal tube under general anesthesia. Klippel-Feil syndrome, characterized
by cervical vertebral fusion, scoliosis and endocardiosis, is a rare disease.
Anesthesiologists should pay attention to management of anesthesia in airway
troubles. A 53-year-old woman diagnosed with Klippel-Feil syndrome was scheduled
for general anesthesia with intubation. We tried to insert an endotracheal tube
using McGRATH MAC, but it was difficult to insert and place the tube. Finally, we
managed to insert a 5.5 mm endotracheal tube. The three-dimensional computed
tomography (3D-CT) images after the operation, showed tracheal stenosis at the
level of the fourth and fifth cervical vertebrae. In cases where airway
difficulties are expected, evaluating 3D-CT images might be useful in airway
management.
PMID- 27188110
TI - [Anaphylactoid Reactions Suspected to Be Caused by Neostigmine in Pediatric
Patients under General Anesthesia].
AB - Anaphylactoid reaction is a rapid systemic allergic reaction to many kinds of
allergen. The peak age of onset is in the forties and there are not many reports
on anaphylactoid reactions in pediatric patients. We report two cases of
pediatric patients who underwent surgical treatment on retinoblastoma and
developed anaphylactoid reaction probably caused by neostigmine. General
anesthesia was induced with fentanyl, sevoflurane, dinitrogen monoxide, and
rocronium. The procedure was uneventfully completed. Just after the
administration of neostigmine to reverse rocronium, the patients showed red flare
on the face and chest, and wheezes were heard, but the vital signs were
relatively stable. The rapid onset from the administration of neostigmine to the
allergic reaction accompanied by skin and respiratory manifestations strongly
suggested the anaphylactoid reaction to neostigmine.
PMID- 27188111
TI - [Difficult Ventilation Requiring Emergency Endotracheal Intubation during Awake
Craniotomy Managed by Laryngeal Mask Airway].
AB - We report a case of difficult ventilation requiring emergency endotracheal
intubation during awake craniotomy managed by laryngeal mask airway (LMA). A 45
year-old woman was scheduled to receive awake craniotomy for brain tumor in the
frontal lobe. After anesthetic induction, airway was secured using ProSeal LMA
and patient was mechanically ventilated in pressure-control mode. Patient's head
was fixed with head-pins at anteflex position, and the operation started. About
one hour after the start of the operation, tidal volume suddenly decreased. We
immediately started manual ventilation, but the airway resistance was extremely
high and we could not adequately ventilate the patient. We administered muscle
relaxant for suspected laryngospasm, but ventilatory status did not improve; so
we decided to conduct emergency endotracheal intubation. We tried to intubate
using Airwayscope or LMA-Fastrach, but they were not effective in our case.
Finally trachea was intubated using transnasal fiberoptic bronchoscopy. We
discuss airway management during awake craniotomy, focusing on emergency
endotracheal intubation during surgery.
PMID- 27188112
TI - [Anesthetic Management of a Pediatric Case of Blue Rubber Bleb Nevi Syndrome
Combined with Small-intestinal Intussusception].
AB - We report the anesthetic management of a pediatric case of blue rubber bleb nevi
syndrome combined with small-intestinal intussusception. A 2-year-old girl was
transferred to our hospital for small-intestinal intussusception. Emergent
ablation of the upper gastrointestinal tract nevus under general anesthesia was
planned. Given the presence of several nevi in the oral and pharyngeal space, we
utilized the McGRATH MAC (McGRATH; Aircraft Medical Ltd, United Kingdom)
laryngoscope for gentle and stress-free tracheal intubation. The venous line was
kept patent preoperatively, and rapid-sequence intubation was performed with the
McGRATH (size 2 pediatric blade). A 4.5-mm tracheal tube was inserted
uneventfully under direct visualization. The trachea was observed and the tube
maintained in a proper position with a bronchofiberscope. During the procedure,
cuff volume was regulated to avoid excessive increase by upper gastrointestinal
endoscope insertion. The girl was extubated in the operating room and showed no
postoperative complications such as hemorrhage or hoarseness.
PMID- 27188113
TI - [Anesthetic Management of a Pregnant Woman Undergoing Cesarean Section and
Intestinal Tract Perforation Restoration].
AB - We describe anesthetic management of a pregnant woman (weight 42 kg, height 147
cm) with ileus, requiring emergency cesarean section and ileus operation.
Cesarean section was performed under spinal anesthesia and epidural anesthesia,
and ileus operation was performed under total intravenous general anesthesia.
During ileus operation, the blood pressure decreased to 60/30 mmHg and the heart
rate increased to 140 beats x min, indicating the intestinal traction syndrome.
Repeated injections of phenylephrine 0.1 mg and albumin preparation 5% 1,500 ml
were required to restore blood pressure. After the operation, the patient's
trachea was extubated. The last level of the spinal anesthesia was T6.
PMID- 27188114
TI - [Anesthetic Management of Video-assisted Left Upper Lobectomy in a Patient with
Ischemic Heart Disease Using an Intraaortic Balloon Pump].
AB - We report the successful anesthetic management of video-assisted left upper
lobectomy in a patient with ischemic heart disease using an intra-aortic balloon
pump (IABP). An 81-year-old man with severe ischemic heart disease was scheduled
for partial lobectomy for suspected lung cancer under general anesthesia.
Although he had severe ischemic heart disease, coronary intervention such as
percutaneous cardiac intervention or coronary artery bypass grafting was
impossible due to severe coronary stenosis. IABP was instituted through the
femoral artery before inducing anesthesia. Tracheal intubation was performed with
the McGRATH MAC videolaryngoscope to minimize stress. Surgery was performed
uneventfully and the patient was transferred to the intensive care unit under
sedation. IABP was removed three hours postoperatively. After confirming no
ischemic or vital sign changes, he was extubated the next day. No complications
resulting from the IABP were observed.
PMID- 27188115
TI - [Anesthetic Management of an Adrenoleukodystrophy Patient for Intrathecal
Baclofen Therapy].
AB - A 34-year-old man with adrenoleukodystrophy (ALD) was scheduled for pump system
insertion of intrathecal baclofen therapy under general anesthesia. ALD, a rare
genetic disorder, is associated with a total body increase in long chain fatty
acids caused by defective degradation, and includes various nervous system
abnormalities, muscular weakness, in addition to adrenal insufficiency. He had
contracture of the both legs, and muscular weakness of the left hand, and
Mallampati class III, but no respiratory disability. In the operating room, we
administered hydrocortisone 100 mg for steroid coverage, and low-dose midazolam,
and fentanyl. As spontaneous breathing remained, we could easily see epiglottis
and arytenoid cartilage by McGRATH. Therefore we selected rapid-induction of
anesthesia with thiamylal, and rocuronium 40 mg, under cricoid pressure. We
avoided propofol. Anesthsia was maintained with sevoflurane and remifentanil,
monitoring BIS and train of four. No more rocuronium was administered, and
anesthesia was uneventful. Intrathecal baclofen therapy is given to patients who
have severe contracture. When we selected general anesthesia, we should be aware
of the possibility of muscular weakness, and cannot intubate cannot ventilate
scenario.
PMID- 27188116
TI - [Effective Dexmedetomidine Administration for the Prevention of Emergence
Agitation and Postoperative Delirium in Patients with a History of Postoperative
Delirium].
AB - We successfully performed intraoperative dexmedetomidine (DEX) administration for
the prevention of emergence agitation or postoperative delirium after lung
resection in four patients (71.3 +/- 5.7 year old, 3 males and 1 female) with a
past history of postoperative delirium. DEX was started at 0.35-0.45 MUg x kg(-1)
x hr(-1) continuously without loading. The average time from DEX initiation to
extubation was 141.3 +/- 94.4 minutes. No patient had emergence agitation, and
DEX administration was continued until the following morning with monitoring in
all patients without any symptoms of delirium. Intraoperative DEX administration
may be beneficial for the prevention of emergence agitation or postoperative
delirium in patients with a past history of postoperative delirium.
PMID- 27188117
TI - [Perioperative Blood Pressure Changes in a Patient Undergoing One-stage Bilateral
Nephrectomy for Treatment-resistant Hypertension].
AB - We describe our experience with a 15-year-old girl receiving anesthesia during
one-stage bilateral nephrectomy for treatment-resistant hypertension due to
chronic renal failure. Approximately 10 minutes after removal of both kidneys, a
reduction in blood pressure associated with decreased cardiac contractile force
was observed and this necessitated catecholamine administration. However, blood
pressure was sufficiently improved approximately 60 minutes later, and
catecholamine administration was not necessary after she awoke from anesthesia.
Although administration of an antihypertensive agent as required after surgery,
as had been the case before surgery, the dose was gradually tapered and we were
able to stop drug administration on postoperative day 31.
PMID- 27188118
TI - [Airway Management in a Patient with Forestier's Disease].
AB - Airway management in a patient with Forestier's disease can be challenging
clinically because this disease may cause not only dysphagia but also airway
obstruction due to the compression of the pharynx and esophagus caused by the
ossification of anterior longitudinal ligament. We report our anesthetic
management in a patient with Forestier's disease. Meanwhile, we studied the
causes of difficult airway and the most suitable airway device for a patient with
this disease from a standpoint of anatomy of upper airway. Our study indicated
the possibility that the most suitable airway device differed depending on the
actual location of the ossification of anterior longitudinal ligament in the
cervical spine and that more prudent airway management would be required if its
lesion location extended to upper cervical spine.
PMID- 27188119
TI - [Serious Coagulation Dysfunction in a Patient with Gallstone-related
Cholecystitis Successfully Treated with Vitamin K].
AB - An 85-year-old woman with a diagnosis of choledocholithiasis due to common duct
stones gradually developed severe coagulation dysfunction over the course of 27
days after hospitalization. Initial clinical findings were fever, general
malaise, and obstructive jaundice. She was treated with fasting, and received
cephem antibiotics containing N-methyl-thio-tetrazole. Because the common duct
stones were not removed endoscopically, cholecystectomy was scheduled.
Coagulation on admission was normal, but gradually became impaired. On the
scheduled day of the operation, 27 days after hospitalization, coagulation [both
prothrombin time (PT) and activated partial thromboplastin time (APTT)] were
severely impaired PT, < 10%; PT-international normalized ratio, 6.29; and APTT,
71.6 s. No other abnormalities were identified. Surgery was postponed and
antibiotics were discontinued. Simultaneously, administration of vitamin K was
initiated. Six days after starting vitamin K, coagulation dysfunction had
resolved and the surgery was safely performed under general anesthesia combined
with thoracic epidural anesthesia. Care is warranted regarding coagulation
dysfunction due to vitamin K deficiency in patients with hepatobiliary disease
treated by fasting and antibiotics.
PMID- 27188120
TI - [Progression of Cryptogenic Iron Deficiency Anemia and Efficacy of Low-dose
Prednisolone in a Rheumatic Case].
AB - Iron deficiency anemia (IDA) in rheumatic patients result from gastrointestinal
bleeding owing usually tonon-steroidal anti-inflammatory agent. We experienced a
rheumatic female patient in whom cryptogenic IDA deteriorated to 6.9 g x dl(-1)
of hemoglobin (Hb) and 4 MUg x dl(-1) of serum iron after surgery of femoral neck
fracture. Considering the ascent of inflammatory reaction, we administered 5 mg
per day of prednisolone(PSL) to her. In two month, IDA improved to 10.3 g x dl(
1)of Hb and 45 MUg x dl(-1)of serum iron. In conclusion, cryptogenic IDA can
deteriorate under 7.0 g x dl(-1)of Hb in rheumatic patients, and the
administration of low-dose prednisolone may be effective because of the improved
absorbtion of iron and appetite. Anemic condition in rheumatic case needs to be
given attention to because of the possibility of its progression without
noticing.
PMID- 27188121
TI - [Anesthetic Management with Dexmedetomidine in Patients with Serious Mental and
Physical Disabilities Undergoing Dental Treatment].
AB - Midazolam and propofol are widely used for the sedation of patients with serious
mental and physical disabilities. However, we often experience difficulty in the
management of airway and respiratory depression when using these sedatives.
Dexmedetomidine (DEX) is being increasingly used as a sedative because of the
lack of associated respiratory depression. Here we report anesthetic management
with DEX in two patients with disability undergoing dental treatment To avoid
movement during treatment, DEX was infused at the rate recommended in the package
insert, with an initial administration at 6 MUg x kg(-1) x hr(-1) for 10 min
followed by maintenance infusion at 0.7 MUg x kg(-1) x hr(-1). Although the
infusion rate seemed to be sufficient for the patients, DEX was not effective and
administration of additional sedatives was required. Further, respiratory
depression, such as airway obstruction and increase in the concentration of end
tidal carbon dioxide, was observed even when DEX was used as the sole agent for
inducing sedation. No remarkable change in hemodynamics was observed. Therefore,
it is difficult to maintain the sedative state using DEX alone in patients with
serious mental and physical disabilities in comparison with patients with no
disability.
PMID- 27188122
TI - [A Case of Cramps Caused by Lumbar Spinal Stenosis Treated Successfully with
Arterial Stenting following Lumbar Sympathetic Ganglion Block].
AB - An 82-year-old woman who had received two operations for lumbar spinal stenosis
was treated successfully for persistent nocturnal leg cramps with lumbar
sympathetic ganglion block. The stent in the right popliteal artery improved
cramps again following the recurrence one month after the block. The head up
position in bed against gastroesophageal reflux was found injurious at the time
of second recurrence one year after stenting. After the correction of lying
position, her legs were in good condition for over one year. Cramps in this case
might be caused by disturbances of blood flow supply for motor nerves of the legs
by obstructive arterial sclerosis of the nerve injured from root compression.
PMID- 27188123
TI - [The Use of a Tracheal Tube for Guiding Nasogastric Tube Insertion].
AB - An obese patient was scheduled for shoulder joint surgery under general
anesthesia. After induction of anesthesia and tracheal intubation, insertion of a
gastric tube was difficult. A new tracheal tube was prepared, the connecter was
removed, and the tube was cut longitudinally. The tube was inserted orally into
the esophagus. A gastric tube was passed through the nose, and its tip was taken
out of the mouth. The tip of the gastric tube was passed through the tracheal
tube, and its correct position in the stomach was confirmed by auscultation of
the epigastrium. The tracheal tube was carefully taken out from the esophagus
leaving the gastric tube in the stomach. The cut tracheal tube was peeled off
from the gastric tube. Correct positioning of the gastric tube was re-confirmed.
PMID- 27188124
TI - [The Formative Years of Modern Anesthesiology in Japan--A Dialogue between Hideo
Yamamura and Akitomo Matsuki].
PMID- 27188125
TI - Back to the present.
PMID- 27188126
TI - A Guided, Conservative Approach for the Management of Localized Mandibular
Anterior Tooth Wear.
AB - The successful management of the worn mandibular anterior dentition may present
an awkward challenge to the dental operator. The purpose of this article is to
describe a case report illustrating the use of a guided, three-dimensional
protocol for the ultra-conservative and predictable restoration of the worn lower
anterior dentition using direct resin composite. This technique utilizes
information based on established biomechanical and occlusal principles to
fabricate a diagnostic wax-up, which is duplicated in dental stone. This is used
to prepare a vacuum-formed modified stent, assisting the clinician to place
directly bonded resin composite restorations to restore the worn lower anterior
dentition. The technique, described in 2012 and referred to as 'injection
moulding' has the potential to offer optimal form, function and an aesthetic
outcome in an efficient manner. CPD/Clinical Relevance: This article aims to
describe an alternative technique to simplify the processes involved with
restoration of worn lower anterior teeth.
PMID- 27188127
TI - Modern Endodontic Principles. Part 5: Obturation.
AB - Once cleaning and shaping is complete the clinician must obturate the canal.
There are many different materials and techniques available each with their own
discrete advantages and disadvantages. Whichever technique is used, the goal is
to seal the entire prepared length of the root canal. This paper describes how
best this may be achieved. CPD/Clinical Relevance: It is incumbent on the
clinician to ensure that once the canal has been prepared it is sealed from
bacterial re-entry.
PMID- 27188128
TI - Gingival Inflammation and Aggressive Periodontitis in a Child with a Specific
Antibody Deficiency.
AB - Exuberant gingival inflammation accompanied by periodontitis is a rare finding in
a very young child and may indicate a defect in the host response. Affected
children should be referred to appropriate specialists to establish a definitive
diagnosis. A 5-year-old girl presented with persistent gingival inflammation and
periodontal destruction. Immunological investigations identified specific
polysaccharide antibody deficiency which, when treated, resulted in a significant
improvement in the gingival condition. This case illustrates the need for
integrated management by a wide range of dental and medical specialists. Antibody
deficiency is rare but, if not identified and treated effectively, can be
associated with chronic ill health and decreased life expectancy. CPD/Clinical
Relevance: This article describes a rare case of gingival inflammation
accompanied by periodontitis in a very young child secondary to an underlying
host antibody deficiency and details the investigation, management and clinical
outcomes.
PMID- 27188129
TI - Pain. Part 7: Trigeminal Neuralgia.
AB - Trigeminal neuralgia (TN) is also known as 'tic douloureux' (in French, 'painful
twitch'). It is a rare chronic facial pain syndrome, characterized by severe,
brief, stabbing, 'electric shock-like 'recurrent pain attacks felt in one or more
divisions of trigeminal nerve innervation areas. So intense is the elicited pain
that TN has a significant effect on a sufferer's quality of life, rendering many
patients unable to consider a future with the ongoing threat of recurrent pain.
The aim of this article is to discuss the diagnosis and management of this
disabling facial pain condition. CPD/Clinical Relevance: As general medical
practitioners may struggle differentiating TN from toothache, primary care
dentists have an important role in excluding odontogenic cause of pain,
diagnosing TN and referring patients to a facial pain clinic for further
investigations and multidisciplinary team management.
PMID- 27188130
TI - Indications for Cuspal Coverage.
AB - Cuspal-coverage restorations are important to preserve the integrity of a
weakened tooth against the forces of occlusion. This article discusses the
clinical indications for both direct and indirect cuspal-coverage restorations
and the evidence supporting their use. Factors that modify a tooth's ability to
dissipate normal occlusal forces and the effect cuspal-coverage restorations have
on force distribution are examined. Clinical criteria, choice of restorative
material and methods for tooth preparation are also discussed. CPD/Clinical
Relevance: Re-enforcement of weakened teeth with cuspal-coverage restorations
provides a minimally invasive alternative to conventional crowns.
PMID- 27188131
TI - Training Plates: A Solution for Patients Unable to Tolerate a Removable
Prosthesis.
AB - Dealing with patients who are unable to tolerate dentures can present a challenge
to the general dental practitioner (GDP). Careful assessment of patients and
their dentures will identify any causes of the intolerance to dentures. Training
plates are a useful technique that can be used to allow patients to become
accustomed to removable prosthesis but will inevitably lengthen the treatment
process. CPD/Clinical Relevance: Training plates offer a possible solution to
general dental practitioners who treat patients who are struggling to tolerate
dentures.
PMID- 27188132
TI - A Pathological Fracture of the Mandible due to Osteomyelitis following a Full
Dental Clearance.
AB - Orofacial infections following dental extractions are a common referral to an
oral and maxillofacial department as an emergency, especially when combined with
swelling and limited mouth opening. The case presented demonstrates a rare
complication of chronic suppurative osteomyelitis with bilateral pathological
fractures of the mandible, which occurred following a staged dental clearance.
CPD/Clinical Relevance: Dental extractions are one of the most common treatments
carried out by oral surgeons and general dental practitioners. This case
highlights a rare but encountered complication of routine oral surgery and
demonstrates when it is necessary to make an immediate referral to the local oral
and maxillofacial surgery unit.
PMID- 27188133
TI - Mouth Cancer for Clinicians. Part 8: Referral.
AB - A MEDLINE search early in 2015 revealed more than 250,000 papers on head and neck
cancer; over 100,000 on oral cancer; and over 60,000 on mouth cancer. Not all
publications contain robust evidence. We endeavour to encapsulate the most
important of the latest information and advances now employed in practice, in a
form comprehensible to healthcare workers, patients and their carers. This series
offers the primary care dental team in particular, an overview of the
aetiopathogenesis, prevention, diagnosis and multidisciplinary care of mouth
cancer, the functional and psychosocial implications, and minimization of the
impact on the quality of life of patient and family. Clinical Relevance: This
article offers the dental team an overview of referral procedures; oral diagnosis
is not always simple and a second opinion can be valuable to all concerned in
cases of doubt.
PMID- 27188134
TI - Antimuscarinics in Older People: Dry Mouth and Beyond.
AB - Many common prescription and over-the-counter medications have antimuscarinic
effects. Antimuscarinics are a well recognized cause of dry mouth, with potential
to cause other physical and cognitive adverse effects. A comprehensive medication
review in a patient presenting with dry mouth can lead to overall health
improvements. Scoring systems can be helpful in identifying antimuscarinic drugs
and their adverse effects. CPD/Clinical Relevance: Antimuscarinic drug use is
prevalent and a common cause of dry mouth. Older people are particularly
susceptible to antimuscarinic adverse effects.
PMID- 27188135
TI - Dear Editor.
PMID- 27188136
TI - Dear Editor.
PMID- 27188137
TI - Dear Editor.
PMID- 27188138
TI - Dear Editor.
PMID- 27188139
TI - Mouth Cancer for Clinicians Part 7 (Dent Update 2016; 50-65).
PMID- 27188140
TI - Editor's Response.
PMID- 27188141
TI - Clinical Challenges Q&A. 18. Oral Ulceration. Diagnosis. Congenital
agranulocytosis (Kostmann syndrome).
PMID- 27188142
TI - Technique Tips--The Windowed Partial Denture: An Elastomeric Retention Technique
in Patients with Lone-Standing Teeth.
PMID- 27188143
TI - [The Changes in the Hemodynamic Activity of the Brain during Moroe Imagery
Training with the Use of Brain-Computer Interface].
AB - With the use of functional MRI (fMRI), we studied the changes in brain
hemodynamic activity of healthy subjects during motor imagery training with the
use brain-computer interface (BCI), which is based on the recognition of EEG
patterns of imagined movements. ANOVA dispersion analysis showed there are 14
areas of the brain where statistically sgnificant changes were registered.
Detailed analysis of the activity in these areas before and after training
(Student's and Mann-Whitney tests) reduced the amount of areas with significantly
changed activity to five; these are Brodmann areas 44 and 45, insula, middle
frontal gyrus, and anterior cingulate gyrus. We suggest that these changes are
caused by the formation of memory traces of those brain activity patterns which
are most accurately recognized by BCI classifiers as correspondent with limb
movements. We also observed a tendency of increase in the activity of motor
imagery after training. The hemodynamic activity in all these 14 areas during
real movements was either approximatly the same or significantly higher than
during motor imagery; activity during imagined leg movements was higher that that
during imagined arm movements, except for the areas of representation of arms.
PMID- 27188144
TI - [Arm Motor Function Recovery during Rehabilitation with the Use of Hand
Exoskeleton Controlled by Brain-Computer Interface: a Patient with Severe Brain
Damage].
AB - We studied the dynamics of motor function recovery in a patient with severe brain
damage in the course of neurorehabilitation using hand exoskeleton controlled by
brain-computer interface. For estimating the motor function of paretic arm, we
used the biomechanical analysis of movements registered during the course of
rehabilitation. After 15 weekly sessions of hand exoskeleton control, the
following results were obtained: a) the velocity profile of goal-directed
movements of paretic hand became bell-shaped, b) the patient began to extend and
abduct the hand which was flexed and adducted in the beginning of rehabilitation,
and c) the patient began to supinate the forearm which was pronated in the
beginning of rehabilitation. The first result is an evidence of the general
improvement of the quality of motor control, while the second and third results
prove that the spasticity of paretic arm has decreased.
PMID- 27188146
TI - [Classification of EEG Patterns of Imagined Rhythmic Movements of the Fingers of
One Hand].
AB - The article presents the results of classification of EEG patterns registered
during imagined rhytmic movements of the fingers of the right hand (little,
thumb, index, middle fingers) in 8 healthy subjects. The subjects imagined finger
movements in a given rhythm; no external stimuli were used. A two-level committee
of classifiers was developed for decoding: the first level included support
vector machines and artificial neural networks; the second level included
artificial neural network used for generalizing. As the key parameters for
classification, we used the area of zone under the envelope of EEG signal and the
length of the envelope calculated in sliding time window for leads F3, C3 and Cz
in system 10-20 were chosen as the key features for signals of sensorimotor and
adjoining frontal area cortex contralateral to movements. The accuracy of
classification of single trials for 4 movements averaged for all subjects for the
pair of leads F3-C3 was 50 +/- 7% [SD] (maximal - 58%); for the pair of leads C3
Cz, 46 +/- 11% [SD] (maximal - 62%); theoretical guessing level is 25%.
PMID- 27188145
TI - [Brain-Computer Interface: the First Clinical Experience in Russia].
AB - Motor imagery is suggested to stimulate the same plastic mechanisms in the brain
as a real movement. The brain-computer interface (BCI) controls motor imagery by
converting EEG during this process into the commands for an external device. This
article presents the results of two-stage study of the clinical use of non
invasive BCI in the rehabilitation of patients with severe hemiparesis caused by
focal brain damage. It was found that the ability to control BCI did not depend
on the duration of a disease, brain lesion localization and the degree of
neurological deficit. The first step of the study involved 36 patients; it showed
that the efficacy of rehabilitation was higher in the group with the use of BCI
(the score on the Action Research Arm Test (ARAT) improved from 1 [0; 2] to 5 [0;
16] points, p = 0.012; no significant improvement was observed in control group).
The second step of the study involved 19 patients; the complex BCI-exoskeleton
(i.e. with the kinesthetic feedback) was used for motor imagery trainings. The
improvement of the motor function of hands was proved by ARAT (the score improved
from 2 [0; 37] to 4 [1; 45:5] points, p = 0.005) and Fugl-Meyer scale (from 72
[63; 110 ] to 79 [68; 115] points, p = 0.005).
PMID- 27188147
TI - [The Activation of Interlimb Interactions Increase the Motor Output in Legs in
Healthy Subjects under the Conditions of Arm and Leg Unloading].
AB - We studied the effect of arm movements and movements of separate arm joints on
the electrophysiological and kinematic characteristics of voluntary and vibration
triggered stepping-like leg movements under the conditions of horizontal support
of upper and lower limbs. The horizontal support of arms provided a significantly
increase in the rate of activation of locomotor automatism by non-invasive impact
on tonic sensory inputs. The addition of active arm movements during involuntary
rhytmic stepping-like leg movements led to an increase in EMG activity of hip
muscles and was accompanied by an increase in the amplitude of hip and shin
movements. Passive arm movements had the same effect on induced leg movements.
The movement of the shoulder joints led to an increase in the activity of hip
muscles and an increase in the amplitude of movements of the knee and hip joints.
At the same time, the movement of forearms. and wrists had similar facilitating
effect on electrophysiological and kinematic characteristics of rhytmic stepping
like movements, but influenced the distal segments of legs to a greater extent.
Under the conditions of sub-threshold vibration of leg muscles, voluntary arm
movements led to the activation of involuntary rhytmic stepping movements. During
voluntary leg movements, the addition of arm movements had a significantly
smaller impact on the parameters of rhytmic stepping than during involuntary leg
movements. Thus, the simultaneous movements of upper and lower limbs are an
effective method of activation of neural networks connecting the rhythm
generators of arms and legs. Under the conditions of arm and leg unloading, the
interactions between the cervical and lumbosacral segments of the spinal cord
seem to play the major role in the impact of arm movements on the patterns of leg
movements. The described methods of activation of interlimb interactions can be
used in the rehabilitation of post-stroke patients and patients with spinal cord
injuries, Parkinson's disease and other neurological diseases.
PMID- 27188148
TI - [Changes in the Functional Connectivity of Motor Zones in the Use of Multimodal
Exoskeleton Complex "Regent" in the Neurorehabilitation of Post-Stroke Patients].
AB - The article discusses the effect of a course of treatment with the use of
multimodal complex exoskeleton (MCE) "Regent" on the reorganization of cortical
locomotor zones in 14 patients with post-stroke hemiparesis, mainly atthe chronic
stage of the disease. Before the course of treatment, we identified specific
areas of activation in the primary sensorimotor and supplementary motor areas and
the inferior parietal lobules in both affected and healthy hemispheres by means
of functional MRI (fMRI) with the use of special passive sensorimotor paradigms.
After the course of treatment with MCE, we observed an improvement of temporal
characteristics of walking; it was accompanied by a decrease in the activation
zones of inferior parietal lobules, especially in the healthy hemisphere, and by
a significant increase in the activation zone of primary sensorimotor and
supplementary motor areas. The analysis of the functional connectivity of studied
zones before and after the course of treatment with MCE showed significant
changes in intra- and interhemispheric interactions.
PMID- 27188149
TI - [Non-Invasive Methods for the Control of Human Spinal Locomotory Systems].
AB - We studied the interrelations between the activation of the receptors of
musculoskeletal system and the spinal cord stimulation in the regulation of
locomotor behavior in healthy subjects. We observed the effect of afferent
stimulation on the patterns of stepping movements caused by percutaneous
stimulation of spinal cord. It was found that the combination of percutaneous
spinal cord stimulation and vibrostimulation increases the amplitude of leg
movements. It was shown that the vibrostimulation of limb muscles at a frequency
of less than 30 Hz may be used for comtrolling involuntary movements, caused by
non-invasive stimulation of the spinal cord.
PMID- 27188150
TI - [The Influence of Emotional Coloring of Images on Visual Working Memory in Adults
and Adolescents].
AB - The influence of emotional valence (positive, negative and neutral) of realistic
images on the visual working memory (WM) capacity was studied in adults (N = 40)
and adolescents (N = 17). In adults, emotional coloring of stimuli increased the
reaction time and decreased the accuracy of WM task performance. This effect was
more pronounced for negative than for positive valence: the reaction time has its
maximum value for negative emotional stimuli and minimum value for neutral ones
with significant differences between all three valences; the accuracy was lower
for negative stimuli than for both positive and neutral stimuli. Comparing with
adults, adolescents aged 14-16 years showed the lower indices of the performance
accuracy and rate during the retention of neutral and positive stimuli in WM. In
this group, no significant influence of emotional valence of visual stimuli on
the accuracy of WM task performance was found.
PMID- 27188151
TI - [Oxidation Stress Markers Dynamics in the Experiment of Long-Term Antiorthostatic
Hypokinesia (Retrospective Study)].
AB - In blood serum of 9 volunteers aged 27 to 42, participated in the experiment with
370-day antiorthostatic hypokinesia (-5 degrees), the lipid peroxidation
derivates concentration--diene conjugates (DC), malonic dialdehyde (MDA), Schiff
bases (SB) as well as antioxidant defense system indices--tocopherol (TP)
concentration and total antioxidative activity level (AOA) were measured. The
volunteers were divided into two groups subjected to physical training regimes
and used prophylactic measures. In both groups the lipoperoxidation processes
initial stages depression (by 54-73%) was observed starting from 50th day, thus
the lipid peroxidation final product--SB level was decreased (by 50-61%) only to
the 230 day and remains approximately at the same level till the end of the
experiment. The restorative period was characterized by decreasing (in 1.6-2.3
times) of MDA and SB concentrations. The decrease in lotal AOA during the
aftereffect period was detected in all volunteers, and its level was
significantly lower physiological norm range. Probably, long-term adaptation to
the simulated weightlessness conditions is accompanied by expressed decrease in
biological oxidation processes intensity and significant stress effect, as
indicates by essential depression of lipid free radical oxidation in the course
of the experiment. At the same time the restorative period after 370-day
antiorthostatic hypokinesia was characterized by significantly expressed and
prolonged readaptation stress progress. It is evidenced by practically twofold
decrease in lipoperoxidation processes intensity against significant increase in
TP concentration and water-soluble antioxidants functional reserves exhaustion.
Lipid peroxidation activation absence in all terms of examination reflects
appropriate compensation of studying impact by volunteers.
PMID- 27188152
TI - [The Details of Thermoesthesia-and-Algesthesia State in Patients with Idiopathic
Scoliosis of III-IV Degree].
AB - Sensitivity in patients with adolescent idiopathic scoliosis remains
insufficiently studied. The details of thermoesthesia-and-algesthesia state were
studied in adolescent patients with III-IV Degree idiopathic scoliosis.
Thermoesthesia-and-algesthesia was evaluated in Th1-S1 dermatomes on the right
and on the left using an electric esthesiometer. Thermoesthesia-and-algesthesia
disorders of different severity degree have been established to be observed in
all patients in all the dermatomes studied, manifesting themselves as
hypesthesia, hyperesthesia, and thermoesthesia absence. The disorders of
thermoesthesia-and-algesthesia were not of local character; but they went beyond
the level of the spine deformity. Maximum negative changes in thermoesthesia-and
algesthesia were registered in the dermatomes corresponding to the apex of
thoracic spine deformity (Th8, Th9, Th10) where the lowest percentage of patients
with normal algesthesia thresholds is observed being combined with the decreased
number of patients with thermoesthesia thresholds within the norm.
PMID- 27188153
TI - SENSORIMOTOR REGULATION OF MOVEMENTS: NOVEL STRATEGIES FOR THE RECOVERY OF
MOBILITY.
AB - A series of observations have provided important insight into properties of the
spinal as well as supraspinal circuitries that control posture and movement. We
have demonstrated that spinal rats can regain full weight-bearing standing and
stepping over a range of speeds and directions with the aid of electrically
enabling motor control (eEmc), pharmacological modulation (fEmc), and training.
Also, we have reported that voluntary control movements of individual joints and
limbs can be regained after complete paralysis in humans. However, the ability to
generate significant levels of voluntary weight-bearing stepping with or without
epidural spinal cord stimulation remains limited. Herein we introduce a novel
method of painless transcutaneous electrical enabling motor control (pcEmc) and
sensory enabling motor control (sEmc) strategy to neuromodulate the physiological
state of the spinal cord. We have found that a combination of a novel non
invasive transcutaneous spinal cord stimulation and sensory-motor stimulation of
leg mechanoreceptors can modulate the spinal locomotor circuitry to that enables
voluntary rhuthmic locomotor movements.
PMID- 27188154
TI - [Neurophysiological Foundations and Practical Realizations of the Brain-Machine
Interfaces the Technology in Neurological Rehabilitation].
AB - Technology brain-computer interface (BCI) based on the registration and
interpretation of EEG has recently become one of the most popular developments in
neuroscience and psychophysiology. This is due not only to the intended future
use of these technologies in many areas of practical human activity, but also to
the fact that IMC--is a completely new paradigm in psychophysiology, allowing
test hypotheses about the possibilities of the human brain to the development of
skills of interaction with the outside world without the mediation of the motor
system, i.e. only with the help of voluntary modulation of EEG generators. This
paper examines the theoretical and experimental basis, the current state and
prospects of development of training, communicational and assisting complexes
based on BCI to control them without muscular effort on the basis of mental
commands detected in the EEG of patients with severely impaired speech and motor
system.
PMID- 27188155
TI - [Artificial Feedback for Invasive Brain-Computer Interfaces].
AB - During the last two decades, considerable progress has been made in the studies
of brain-computer interfaces (BCIs)--devices in which motor signals from the
brain are registered by multi-electrode arrays and transformed into commands for
articial actuators such as cursors and robotic devices. This review is focused on
one problem. Voluntary motor control is based on neurophysiological processes
which depend heavily on the afferent innervation of skin, muscles and joints.
Thus, invasive BCI has to be based on a bidirectional system in which motor
control signals are registered by multi-channel micro-electrodes implanted in
motor areas, while tactile, proprioceptive and other useful signals are
transported back to the brain through spatial-temporal patterns of intracortical
microstimulation (ICMS) delivered to sensory areas. In general, the studies of
invasive BCIs have advanced in several directions. The progress of BCIs with
articial sensory feedback will not only help patients, but will also expand
knowledge base in the field of human cortical functions.
PMID- 27188156
TI - [Evaluation of the efficacy of powered and manual toothbrushes in preventing oral
diseases (Systematic review with meta-analysis)].
AB - BACKGROUND: The removal of dental plaque plays an essential role in the
maintenance of oral health. Numerous powered and manual toothbrushes were
manufactured to achieve this goal, but even up to this day different opinions and
research results have been revealed to assess the priority of the mentioned
devices. AIM: Comparison of powered and manual toothbrushes on the basis of
periodontal parameters and safety. MATERIALS AND METHODS: Electronic search of
the databases of MEDLINE and EMBASE (until May 2014) was carried out with the
help of keywords in order to find relevant trials. The inclusion criteria were as
follows: randomised controlled clinical trials, adult population, the presence of
at least 15 permanent teeth. Split-mouth trials and interventions carried out by
dental professionals, were excluded. Primary outcomes were the changes of plaque
and gingival indices, while secondary outcomes were probing pocket depth (PPD),
safety and quality assessment. The effect-size of the interventions was expressed
by the standardised mean difference (SMD) with 95% confidence interval (CI).
Random-effects models were performed. RESULTS: Electronic search resulted in 173
hits. 21 trials with the total number of 1500 subjects were then eligible for the
meta-analysis. Both toothbrushes were safe, without considerable side effects on
soft or hard tissues. Powered toothbrushes seemed to be generally more effective
in removing plaque (-9%), reducing gingivitis (-6%) and preventing calculus
formation. The SMDs for plaque and gingival indices were -0,40 (95% Cl: -0,95 to
0,16) and -0,29 (95% Cl: -0,56 to -0,03) respectively, in favour of the powered
devices. There was no significant difference in changes of PPD. By further
dividing the powered toothbrushes according to their mode of action, the plaque
removal effect of the rotation oscillation (plus three dimensional), side to side
sonic and ultrasonic toothbrushes seemed to be significantly better, than their
manual ones, while the counter oscillation and the ionic toothbrushes did not
perform better. Quality assessment and sensitivity analysis revealed various
types of bias up to a certain extent. Consequently, no trial was found to be
eligible for the highest quality criteria. CONCLUSIONS: The investigated rotation
oscillation and vibrating toothbrushes appeared to be statistically more
effective than their manual counterparts, although there is little known about
its clinical relevance. The advantage of the electric toothbrushes disappears in
case of adequately instructed and motivated patients that highlights the
importance of individualised oral hygiene education. The design of the trials
shows high heterogeneity, therefore their clinical implications should be handled
carefully.
PMID- 27188157
TI - [In 2015, the Orsos Memorial Medal was awarded to Dr. Zoltan Vass].
PMID- 27188158
TI - [Incidence of combination syndrome based on the orthopantomograms made between
2009 es 2014 at the Department of Prosthodontics, University of Pecs, Hungary].
AB - The treatment of patients having a completely edentulous maxillary arch and lower
anterior teeth present is frequent in the dental practice. In these cases some
specific changes can be detected in the oral cavity and on orthopantomograms.
These signs were summarized and described first by Kelly (1972). Aim of the study
was to examine the presence of the specific signs of the combination syndrome
among the patients between 2009 and 2014. With the help of the electronic patient
registry system used by the University of Pecs, 319 patients were filtered who
were diagnosed with anodontia code (K0000 International Classification of
Diseases (ICD)) in the examined period. 220 patients' orthopantomograms were
evaluated searching for specific symptoms of the combination syndrome. 34
patients had complete edentulous maxilla and anterior teeth in the mandible. 4
patients (11.7%) showed the main sign of the combination syndrome, i.e. the
maxillary anterior bone loss. Hypertrophy of maxillary tuberosity (9 cases,
26.4%), extrusion of the lower anterior teeth (6 cases, 17.6%) and great
resorption of the distal mandibular ridge (16 cases, 47%) was diagnosed on the X
rays. The clinical examination of three patients supported the radiological
findings. The combination syndrome was detectable, but the incidence rate was
lower in this population in comparison with the data available in dental
scientific publications.
PMID- 27188159
TI - [Comparison of sorting of fluorescently and magnetically labelled dental pulp
stem cells].
AB - Stem cells are present in many tissues, such as dental pulp. Stem cells can be
easily isolated from dental pulp because third molars are often removed from
patients. Stem cells could be separated from the tissue derived heterogeneous
cell population. There are two main methods to separate a cell type from the
other ones: the fluorescence activated cell sorting (FACS) and the magnetic
activated cell sorting (MACS). The aim of this study was to compare these
methods' effect on cell surviving and population growth after sorting on dental
pulp cells. The anti-STRO-1 antibody was used as primary antibody to specifically
label stem cells. Two secondary antibodies were used: magnetic or fluorescent
labelled. We sorted the cells by MACS or by FACS or by combination of both (MACS
FACS). Our results show that the effectivity of MACS and FACS sorting are
comparable while of MACS-FACS was significantly higher (MACS 79.53 +/- 5.78%,
FACS 88.27 +/- 3.70%, MACS-FACS 98.43 +/- 0.67%). The cell surviving and the post
sorting population growth, on the contrary, are very different. The cell
population is growing on first week after MACS but after FACS did not. Moreover,
after MACS-FACS, on first week the cell number of population decreased. Taken
together, our results suggest to use MACS instead of FACS, at least in case of
sorting dental pulp stem cells with anti-STRO-1 antibody.
PMID- 27188160
TI - [Report from the 20th Congress of the European Association of Dental Public
Health, held in 2015].
PMID- 27188161
TI - Chinese oncologists' knowledge, attitudes and practice towards palliative care
and end of life issues.
AB - BACKGROUND: Oncologists' knowledge and attitudes to palliative care (PC) and end
of life (EOF) should be highlighted in order to give them effective education.
This study is intended to provide a descriptive analysis of oncologists'
knowledge, attitudes and practice toward PC and EOF issues in Mainland China.
METHODS: The questionnaire survey with 24 items investigating oncologists'
demographic information, knowledge and attitudes toward PC and EOF issues was
conducted among Chinese Oncology clinicians. RESULTS: The participants had a mean
of 10.10 years practice in oncology. 43 (31.2%) participants had received PC
education. 73.9% of the participants believed that PC should be considered when
patients were not suitable to take surgery, radiotherapy, chemotherapy and other
anti-cancer therapies. 72.5% of the participants believed that early PC
integration can improve the quality of life in patients. Most of the oncology
clinicians (73.9%) believed that the doctor-in-charge was the appropriate person
to inform patients of the diagnosis. However, only 11 participants chose to
inform the diagnosis and disease prognosis to the patients, whereas 39.9% of the
participants chose to disclose it to Family/Caregivers first. Besides, Chinese
oncologists were obviously unfamiliar with the concepts of euthanasia and related
issues. CONCLUSIONS: This study indicated the insufficient knowledge toward PC
and related issues of the Chinese oncologists. More attention should be paid on
the education of PC among Oncologists in Mainland China.
PMID- 27188162
TI - False non-susceptible results of tigecycline susceptibility testing against
Enterobacteriaceae by an automated system: a multicentre study.
PMID- 27188163
TI - Erratum to: A redesigned CRISPR/Cas9 system for marker-free genome editing in
Plasmodium falciparum.
PMID- 27188164
TI - Stillbirth and neonatal death rates across time: the influence of pregnancy
terminations and birth defects in a Western Australian population-based cohort
study.
AB - BACKGROUND: The stillbirth rate in most high income countries reduced in the
early part of the 20(th) century but has apparently been static over the past
21/2 decades. However, there has not been any account taken of pregnancy
terminations and birth defects on these trends. The current study sought to
quantify these relationships using linked Western Australian administrative data
for the years 1986-2010. METHODS: We analysed a retrospective, population-based
cohort of Western Australia births from 1986 to 2010, with de-identified linked
data from core population health datasets. RESULTS: The study revealed a
significant decrease in the neonatal death rate from 1986 to 2010 (6.1 to 2.1
neonatal deaths per 1000 births; p < .01), while the overall stillbirth rate
remained static. The stillbirth trend was driven by deaths in the extremely
preterm period (20-27 weeks; which account for about half of all recorded
stillbirths and neonatal deaths), masking significant decreases in the rate of
stillbirth at very preterm (28-31 weeks), moderate to late preterm (32-36 weeks),
and term (37+ weeks). For singletons, birth defects made up an increasing
proportion of stillbirths and decreasing proportion of neonatal deaths over the
study period-a shift that appears to have been largely driven by the increase in
late pregnancy terminations (20 weeks or more gestation). After accounting for
pregnancy terminations, we observed a significant downward trend in stillbirth
and neonatal death rates at every gestational age. CONCLUSIONS: Changes in
clinical practice related to pregnancy terminations have played a substantial
role in shaping stillbirth and neonatal death rates in Western Australia over the
21/2 decades to 2010. The study underscores the need to disaggregate perinatal
mortality data in order to support a fuller consideration of the influence of
pregnancy terminations and birth defects when assessing change over time in the
rates of stillbirth and neonatal death.
PMID- 27188165
TI - Molecular profile of dissociative drug ketamine in relation to its rapid
antidepressant action.
AB - BACKGROUND: The NMDA receptor antagonist ketamine was found to act as a fast
acting antidepressant. The effects of single treatment were reported to persist
for days to weeks, even in otherwise treatment-refractory cases. Identification
of the mechanisms underlying ketamine's antidepressant action may permit
development of novel drugs, with similar clinical properties but lacking
psychotomimetic, sedative and other side effects. METHODS: We applied whole
genome microarray profiling to analyze detailed time-course (1, 2, 4 and 8 h) of
transcriptome alterations in the striatum and hippocampus following acute
administration of ketamine, memantine and phencyclidine in C57BL/6 J mice. The
transcriptional effects of ketamine were further analyzed using next-generation
sequencing and quantitative PCR. Gene expression alterations induced by the NMDA
antagonists were compared to the molecular profiles of psychotropic drugs:
antidepressants, antipsychotics, anxiolytics, psychostimulants and opioids.
RESULTS: We identified 52 transcripts (e.g. Dusp1, Per1 and Fkbp5) with altered
expression (FDR < 1 %) in response to treatment with NMDA receptor antagonists.
Functional links that connect expression of the regulated genes to the MAPK, IL-6
and insulin signaling pathways were indicated. Moreover, ketamine-regulated
expression of specific gene isoforms was detected (e.g. Tsc22d3, Sgk1 and Hif3a).
The comparison with other psychotropic drugs revealed that the molecular effects
of ketamine are most similar to memantine and phencyclidine. Clustering based on
expression profiles placed the NMDA antagonists among fluoxetine, tianeptine, as
well as opioids and ethanol. CONCLUSIONS: The identified patterns of gene
expression alteration in the brain provided novel molecular classification of
ketamine. The transcriptional profile of ketamine reflects its multi-target
pharmacological nature. The results reveal similarities between the effects of
ketamine and monoaminergic antidepressants that may explain the mechanisms of its
rapid antidepressant action.
PMID- 27188166
TI - Clinimetric properties of the ASAS health index in a cohort of Italian patients
with axial spondyloarthritis.
AB - BACKGROUND: The impact of axial spondyloarthritis (axSpA) is considerable in many
aspects of the life. Over the last decades, many efforts have been conducted to
develop useful tools for the evaluation of disease activity. However, since the
development of Assessment of SpondyloArthritis international Society Health Index
(ASAS HI), no specific freely questionnaire to describe the overall picture of
impairments, limitations and restrictions in activities or social partecipation
were available. The aims of this study were to test the feasibility, reliability,
and construct validity of the ASAS HI, in order to compare its clinimetric
properties with the current available measures of disease activity, functional
limitation and health status assessments in patients with axSpA. METHODS: A
cohort of 140 consecutive axSpA has been the object of study. The feasibility has
been determined by the percentage of patients who were able to complete the
questionnaire by themselves and by the time employed to fill the ASAS HI. The
reliability has been evaluated performing a test-retest of the questionnaire
within a week. The construct validity was examined in three ways. First, we
examined construct convergent validity by correlating the scores of the ASAS HI
with the Ankylosing Spondylitis Disease Activity Score (ASDAS)-CRP/ESR, the
Simplified Ankylosing Spondylitis Disease Activity Score (SASDAS), the Bath
Ankylosing Spondylitis Disease Activity Index (BASDAI), the Bath Ankylosing
Spondylitis Metrology Index (BASMI), the Bath Ankylosing Spondylitis Functional
Index (BASFI), the Ankylosing Spondylitis Quality of Life scale (ASQoL) and the
EuroQoL Five Dimensional Questionnaire (EQ-5D). Secondly, we have created patient
groups based on the patients' activity ranks (ASDAS-CRP and SASDAS
categorisation) within the cohort to assess discriminative accuracy.
Additionally, to distinguish patients with active and non-active disease and to
assess their respective cut-off points values, the receiver operating
characteristic (ROC) curve analysis was used. Thirdly, we analyzed the
contribution of demographic (age, sex, and disease duration) and clinical
variables (number of comorbidity and disease activity by ASAS-CRP) to the
attainment of an ASAS HI condition by stepwise logistic regression. RESULTS: The
mean time to complete the ASAS HI was 1.92 +/- 0.76 min. Overall, the ASAS HI
questionnaire was correctly completed by the majority of the patients (99,2 %).
Coefficients of agreement between ASAS HI scores on first and second
administrations were excellent and all items showed very good agreement (ICC =
0.976; range 0.966 to 0.982). The ASAS HI was correlated significantly with all
other comparator scores (p <0.0001). The highest correlations were seen with
ASQoL (rho 0.784; p <0.0001), BASFI (rho 0.671; p <0.0001) and SASDAS (rho 0.640;
p <0.0003). On categorizing patients into different cut-off point of disease
activity, with respect to the both ASDAS-CRP and SASDAS, ASAS HI scores were
highly significantly different between the four categories (p <0.0001). An ASAS
HI value of 4.0 resulted the cut-off with the highest combination of sensitivity
and specificity (82.6 % and 86.3 %, respectively) to define the inactive disease.
In the logistic regression model, high disease activity measured by ASDAS-CRP
(coefficient 2.39; p <0.0001), was the only independent variable associated with
ASAS HI. CONCLUSIONS: The results reported in this study confirm the feasibility,
reliability and validity of the ASAS HI in Italian patients with axSpA. Even if
ASAS HI is not a disease activity index, of particular interest appears the cut
off value of 4.0, under which could be defined the inactive disease. This value
could represent an easily applicable starting point in daily clinical practice.
PMID- 27188167
TI - Bone marrow-derived cells are recruited by the melanoma tumor with endothelial
cells contributing to tumor vasculature.
AB - PURPOSE: Tumor expansion is dependent on neovascularization, a process that
requires sustained new vessel formation. Although the critical role of
angiogenesis by endothelial sprouting in this process, controversy still prevails
on whether angiogenesis involving bone marrow-derived endothelial cells, does
contribute to this process. This study aims to evaluate the recruitment of bone
marrow-derived cells by the melanoma tumor, including endothelial cells, and if
they contribute to angiogenesis. METHODS: A chimeric mouse model of GFP bone
marrow was used to induce melanoma tumors derived from murine B16-F10 cell line.
These tumors were evaluated for the presence of myeloid cells (CD11b), T
lymphocytes (CD3, CD4 and CD8) and endothelial cells (VEGFR2 and CD31) derived
from bone marrow. RESULTS: Mice transplanted with GFP+ cells showed significant
bone marrow chimerism (90.9 +/- 0.87 %) when compared to the GFP transgenic mice
(90.66 +/- 2.1 %, p = 0.83) demonstrating successful engraftment of donor bone
marrow stem/progenitor cells. Analysis of the murine melanoma tumor showed the
presence of donor cells in the tumors (3.5 +/- 1.7 %) and interestingly, these
cells represent endothelial cells (CD31+ cells; 11.5 +/- 6.85 %) and myeloid
cells (CD11b+ cells; 80 +/- 21 %), but also tumor-infiltrating lymphocytes (CD8+
T cells, 13.31 +/- 0.2 %; CD4+ T-cells, 2.1 +/- 1.2 %). Examination of the tumor
endothelium by confocal microscopy suggests the presence of donor CD31+/GFP+
cells in the wall of some blood vessels. CONCLUSION: This study demonstrates that
bone marrow-derived cells are recruited by the murine melanoma tumor, with
myeloid cells and CD4 and CD8 T lymphocytes migrating as antitumor immune
response, and endothelial cells participating of the tumor blood vessels
formation.
PMID- 27188168
TI - P-Hydroxycinnamaldehyde Induces B16-F1 Melanoma Cell Differentiation via the RhoA
MAPK Signaling Pathway.
AB - BACKGROUND/AIMS: Due to its antitumor and gastroprotective properties,
cochinchina momordica seed (CMS), has been widely used to treat cancer patients
in Asia. Our previous reports have shown that CMS is able to induce the
differentiation of B16-F1 melanoma cells. However, its functional component and
mechanism remain unclear and are addressed in this study. METHODS AND RESULTS:
CMSP (p-hydroxycinnamaldehyde isolated from CMS) inhibited the proliferation,
migration and invasiveness of B16-F1 cells both in vivo and in vitro. CMSP also
induced the differentiation of B16-F1 cells, as characterized by dendrite-like
outgrowth, increased melanogenesis and enhanced tyrosinase activity. Furthermore,
CMSP treatment reduced the level of malignant markers of melanoma, specifically S
100B and melanoma-derived growth regulatory protein precursor (MIA), in a
concentration-dependent manner. According to a western blot analysis, B16-F1
cells treated with CMSP exhibited a sustained increase in p-P38 and decreased
activities of ERK and JNK. Our data further indicated that the downregulation of
GTP-RhoA, which was mediated by increased cAMP release, was involved in CMSP
induced changes in MAPK, while LPA (Lysophosphatidic acid) partially reversed
CMSP-induced B16 cell differentiation. CONCLUSION: These results demonstrated
that CMSP-induced differentiation of B16F1 cells may occur through the RhoA-MAPK
axis, which suggests a new potential strategy for melanoma treatment.
PMID- 27188169
TI - Erratum to: Inter-regulation of IGFBP1 and FOXO3a unveils novel mechanism in
ursolic acid-inhibited growth of hepatocellular carcinoma cells.
PMID- 27188170
TI - WCO-IOF-ESCEO World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal
Diseases.
PMID- 27188171
TI - World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal Diseases (WCO
IOF-ESCEO 2016): Plenary Lecture Abstracts.
PMID- 27188172
TI - World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal Diseases (WCO
IOF-ESCEO 2016): Oral Communication Abstracts.
PMID- 27188174
TI - World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal Diseases (WCO
IOF-ESCEO 2016): Educational Lecture Abstract.
PMID- 27188173
TI - World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal Diseases (WCO
IOF-ESCEO 2016): ESCEO Symposia Abstracts.
PMID- 27188175
TI - World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal Diseases (WCO
IOF-ESCEO 2016): Meet-the-Expert Sessions.
PMID- 27188176
TI - World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal Diseases (WCO
IOF-ESCEO 2016): Poster Abstracts.
PMID- 27188177
TI - World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal Diseases (WCO
IOF-ESCEO 2016): Satellite Symposia Abstracts.
PMID- 27188178
TI - World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal Diseases (WCO
IOF-ESCEO 2016): Non-sponsored Symposia Abstracts.
PMID- 27188179
TI - World Congress on Osteoporosis, Osteoarthritis and Musculoskeletal Diseases (WCO
IOF-ESCEO 2016): Committee of National Societies Abstracts.
PMID- 27188181
TI - Treating Diabetes in Patients with Heart Failure: Moving from Risk to Benefit.
AB - Over the past two decades, therapeutics for diabetes have evolved from drugs with
known heart failure risk to classes with potential benefit for patients with
heart failure. As many as 25 to 35 % of patients with heart failure carry a
diagnosis of type 2 diabetes mellitus. Therefore, newer drug classes including
dipeptidyl peptidase 4 (DPP-4) inhibitors, glucagon-like peptide 1 (GIP-1)
agonists, and sodium-glucose cotransporter 2 (SGLT-2) inhibitors are being
examined for cardiovascular safety as well as their effects on left ventricular
function, quality of life, and other measures of disease progression. The purpose
of this review is to summarize the existing evidence on these classes of anti
diabetic agents in patients with heart failure.
PMID- 27188182
TI - Idiopathic intracranial hypertension occurred after spinal surgery: report of two
rare cases and systematic review of the literature.
AB - BACKGROUND: Idiopathic intracranial hypertension (IIH) is a relatively rare
syndrome of increased intracranial pressure of unknown etiology. It is
characterized by cerebrospinal fluid (CSF) opening pressure more than 250 mmH2O,
with normal cranial imaging and CSF content. IIH occurred after spinal surgery is
extremely rare. METHODS: We present two IIH cases occurred after spinal surgery
and conduct a systematic review of articles reporting IIH occurred after spinal
surgery. RESULTS: The first patient underwent a posterior decompression and
fixation for cervical fractures. IIH symptoms appeared 3 days postoperatively and
gradually resolved with appropriate medication. The second patient underwent
posterior spinal fusion with segmental instrumentation for congenital scoliosis.
IIH symptoms appeared 5 days postoperatively and the patient died due to the
irreversible intracranial hypertension although underwent intensive care and
treatment. The literature review revealed that there were only five cases of IIH
occurred after spinal surgery reported till date. CONCLUSIONS: IIH occurred after
spinal surgery is relatively rare; the diagnosis is based upon exclusion of other
diseases. IIH should be kept in mind in patients underwent spinal surgery as it
could develop into irreversible intracranial hypertension.
PMID- 27188183
TI - Globular CTRP9 inhibits oxLDL-induced inflammatory response in RAW 264.7
macrophages via AMPK activation.
AB - C1q-TNF-related protein-9 (CTRP9) is increasingly recognized as a promising
cardioprotective adipocytokine, which regulates biological processes like
vascular relaxation, proliferation, apoptosis, and inflammation. We recently
showed that CTRP9 enhanced carotid plaque stability by reducing pro-inflammatory
cytokines in macrophages. However, the underlying molecular mechanism of CTRP9 on
anti-inflammatory response in macrophages still remains unclear. We demonstrated
that globular CTRP9 (gCTRP9) significantly reduced oxidized low-density
lipoprotein (oxLDL)-induced tumor necrosis factor alpha and monocyte
chemoattractant protein 1 expression by suppressing nuclear factor-kappaB
phosphorylation and nuclear translocation in RAW 264.7 macrophages. Treatment
with gCTRP9 strikingly increased the level of phosphorylated adenosine
monophosphate-activated protein kinase (AMPK). AMPK inhibitor abolished the anti
inflammatory effects of gCTRP9. Moreover, gCTRP9 increased the expression of
adiponectin receptor 1 (AdipoR1). Downregulation of AdipoR1 by siRNA could
abrogate the activation of AMPK and the anti-inflammatory effects of gCTRP9.
These results suggested that gCTRP9 protected RAW 264.7 macrophages from oxLDL
via AMPK activation in an AdipoR1 dependent fashion.
PMID- 27188184
TI - Combined effect of astaxanthin and squalene on oxidative stress in vivo.
AB - Obesity and diabetes, risk factors for metabolic syndrome, are characterized by
oxidative stress and inflammatory responses. Marine biofunctionals, astaxanthin
(Ax) and squalene (SQ), were evaluated for their combined effect. Groups of male
KK-A (y) mice were fed high fat/sucrose diet for 4 weeks, supplemented with
either 0.1 %Ax, 2 %SQ or 0.1 %Ax + 2 %SQ. In comparison to control, Sod was
elevated in only Ax + SQ. However, Gpx was highest in Ax + SQ, indicating the
combined antioxidant effect of Ax and SQ. This was supported by elevated mRNA
expression of Sod1 and Gpx1. Except adiponectin (elevated in Ax and Ax + SQ),
expression of other inflammatory markers was not altered. Blood glucose levels
were decreased in SQ and Ax + SQ while liver triglycerides decreased in SQ group.
This is the first in vivo study demonstrating combined effects of Ax and SQ
resulting in antioxidant effects and modulation of glucose/triglyceride levels.
This study highlights the benefit of utilizing Ax and SQ together for management
of obesity/diabetes.
PMID- 27188187
TI - Albert L Rhoton Jr.
PMID- 27188185
TI - Design, Synthesis and Qualitative Structure Activity Relationship Evaluations of
Quinoline-Based Bisarylimidazoles as Antibacterial Motifs.
AB - BACKGROUND: The emergence of drug-resistant bacteria in clinical practice has
propelled a concerted effort to find new classes of antibiotics that will
circumvent current modes of resistance. We previously described a set of
imidazopyridine antibacterial leads that contain a core composed of benzimidazole
and a central phthalic acid linker. These compounds showed potent antibacterial
properties against a wide range of Gram-positive and Gram-negative bacteria. In
this respect, we conducted a systematic exploration of new disubstituted
imidazole functionalities on quinoline 4-position as the central linker, to
determine the factors that direct the potent antibacterial activity. We found
that some of the newly synthesized compounds possessed more potent activity
compared to currently available medications. The newly synthesized compounds were
screened against several clinical isolates and Staphylococcus aureus, including
the methicillinresistant (MRSA) and the methicillin-sensitive (MSAA). METHODS:
The goal of this work is to undertake rigorous testing of new hybrid scaffolds of
quinoline flanked by diaryl imidazoles and their structure-activity against a
range of bacterial strains. Described herein is the account of the modification
of the central linker region, the imidazole functionality, and substituents at
the 4-position of the quinoline, and their effect on the antibacterial potency of
the resulting derivatives. Our efforts here have been driven by previous reports
on the applications of Pfitzinger cyclization protocol. This complexity
generating reaction transforms a relatively simple substrate, into a more complex
products with the potential for diversification via functionalization of the
resultant acid. RESULTS: We identified compounds that possess potent and broad
spectrum antibacterial activities against clinical isolates and drug resistant
strains. Structure-Activity relationships of these compounds were further
explored to determine the crucial structural features needed to enhance their
antibacterial activity. In this respect, it was found that, hydrophobic and
electron-withdrawing moieties, such as halogens, were required on each end of the
isoquinoline-based bisaryl imidazole hybrid motifs to produce broad-spectrum
activity against the tested strains. Thus, molecules containing halophenyl or
pyridyl arms were found more potent than molecules containing thiophene and/or
electron-releasing groups on the phenyl arms, which showed much less
antibacterial activity against the tested strains. CONCLUSION: In summary, 4-(4,5
diphenyl-1H-imidazol-2-yl)-2-phenylquinoline systems can be assembled efficiently
through the Pfitzinger ring expansion- condensation strategy. This approach
appears to hold considerable synthetic utility. The particular value of such a
synthetic route resides on the conciseness and efficiency through which imidazo
quinoline construction can be synthesized from structurally simple and accessible
acetophenone precursors.
PMID- 27188188
TI - Biventricular Circulatory Support Using 2 Axial Flow Catheters for Cardiogenic
Shock Without the Need for Surgical Vascular Access.
PMID- 27188186
TI - Impact of incident diabetes on atherosclerotic cardiovascular disease according
to statin use history among postmenopausal women.
AB - To compare impact of incident diabetes on atherosclerotic cardiovascular disease
(ASCVD) risk among postmenopausal women according to statin use. Prospective data
from 120,499 postmenopausal women without prevalent diabetes or cardiovascular
disease at baseline from the Women's Health Initiative were used. Incident
diabetes was self-reported annually and defined as treatment with pills or
injectable medication for diabetes. Current statin use was determined at
enrollment and years 1, 3, 6, 9 and 13.5 in the three clinical trial arms, and at
baseline, year 3, and 13.5 for the observational study. The primary outcome was
incident ASCVD events, self-reported annually and adjudicated by blinded local
and central physicians. Incident diabetes and statin use status were fitted as
time-varying covariates in Cox regression models to assess ASCVD risk during an
average follow-up of 13.6 years. For those not on statins at the time of diabetes
diagnosis, there was a 42 % increased risk of ASCVD [hazard ratio (HR) 1.42, 95 %
CI 1.28-1.58] among women with incident diabetes versus those without diabetes.
Among women on statins, there was a 39 % increased risk of ASCVD (HR 1.39, 95 %
CI 1.12-1.74) in women with incident diabetes versus those without diabetes. The
increased ASCVD risk due to diabetes was similar between women before or after
initiating statins (P = 0.89). Whether diabetes was diagnosed before or after
statin use did not alter the increased risk of ASCVD associated with diabetes.
Mitigating the increased incidence of diabetes in statin users could increase the
ASCVD benefit-to-risk ratio of statins.
PMID- 27188189
TI - Communication and Shared Understanding Between Parents and Resident-Physicians at
Night.
AB - BACKGROUND AND OBJECTIVE: Communication breakdowns between members of the health
care team compromise patient safety and experience. Communication breakdowns with
parents, an important but often overlooked part of the health care team, are
understudied. Parents may play a particularly important role in nighttime care
given decreased staffing and inadequate transitions of care at night. We studied
communication breakdowns evidenced by lack of shared understanding between
parents and night-team residents about the reason for admission and care plan.
METHODS: We conducted a prospective cohort study of parents (n = 286) and night
team senior residents (n = 34) from May 1, 2013 to October 31, 2013. Parents and
residents rated communication and described patients' reason for admission,
overall plan, and overnight plan. Two physician investigators independently
reviewed (kappa = 0.63) resident-parent dyads, assigned subsequently dichotomized
4-point overall agreement scores, and rated plan complexity. Using clustered
logistic regression, we evaluated relationships among demographics, plan
complexity, and shared understanding. We also examined resident and parent
perceptions of shared understanding. RESULTS: We analyzed data from 257 parent
resident dyads. Among these, 45.1% were rated as lacking shared understanding
(agreement score = 1 or 2). In multivariate analysis, higher plan complexity (P <
.001) and length of stay (P = .002) were associated with lack of shared
understanding; lower parental education was a borderline predictor (P = .05).
When surveyed, parents and residents reported that they shared an understanding
with one another about care plans in 86.0% and 73.1% of cases, respectively.
CONCLUSIONS: Parents and night-team residents frequently lack shared
understanding. Family-centered care initiatives to improve parent-provider
communication and shared understanding may help empower parents as partners in
safe and high-quality nighttime care.
PMID- 27188191
TI - Molecular identification and functional characteristics of peptide transporters
in the bonnethead shark (Sphyrna tiburo).
AB - Elasmobranchs are considered to be top marine predators, and in general play
important roles in the transfer of energy within marine ecosystems. Despite this,
little is known regarding the physiological processes of digestion and nutrient
absorption in these fishes. One topic that is particularly understudied is the
process of nutrient uptake across the elasmobranch gastrointestinal tract. Given
their carnivorous diet, the present study sought to expand knowledge on dietary
nutrient uptake in elasmobranchs by focusing on the uptake of products of protein
digestion. To accomplish this, a full-length cDNA encoding peptide transporter 1
(PepT1), a protein previously identified within the brush border membrane of
vertebrates that is responsible for the translocation of peptides released during
digestion by luminal and membrane-bound proteases, was isolated from the
bonnethead shark (Sphyrna tiburo). A cDNA encoding the related peptide
transporter PepT2 was also isolated from S. tiburo using the same methodology.
The presence of PepT1 was then localized in multiple components of the bonnethead
digestive tract (esophagus, stomach, duodenum, intestine, rectum, and pancreas)
using immunohistochemistry. Vesicle studies were used to identify the apparent
affinity of PepT1 and to quantify the rate of dipeptide uptake by its H(+)
dependent cotransporter properties. The results of this study provide insight
into the properties of peptide uptake within the bonnethead gut, and can
facilitate future work on physiological regulation of protein metabolism and
absorption including how these processes may vary in elasmobranchs that exhibit
different feeding strategies.
PMID- 27188192
TI - Innate immunity and testosterone rapidly respond to acute stress, but is
corticosterone at the helm?
AB - When faced with a stressor, vertebrates can rapidly increase the secretion of
glucocorticoids, which is thought to improve the chances of survival. Concurrent
changes in other physiological systems, such as the reproductive endocrine or
innate immune systems, have received less attention, particularly in wild
vertebrates. It is often thought that glucocorticoids directly modulate immune
performance during a stress response, but, in many species, androgens also
rapidly respond to stress. However, to our knowledge, no study has simultaneously
examined the interactions between the glucocorticoid, androgen, and innate immune
responses to stress in a wild vertebrate. To address this issue, we tested the
hypothesis that the change in plasma corticosterone (CORT) in response to the
acute stress of capture and restraint is correlated with the concurrent changes
in plasma testosterone (T) and innate immune performance (estimated by the
capacity of plasma to agglutinate and lyse foreign cells) in the Abert's Towhee
(Melozone aberti). Furthermore, to broaden the generality of the findings, we
compared male and female towhees, as well as males from urban and non-urban
populations. Acute stress increased plasma CORT, decreased plasma T in males, and
decreased innate immune performance, but the increase in CORT during stress was
not correlated with the corresponding decreases in either plasma T or innate
immunity. By contrast, the plasma T stress response was positively correlated
with the innate immune stress response. Collectively, our results challenge the
proposition that the glucocorticoid stress response is correlated with the
concurrent changes in plasma T, a key reproductive hormone, and innate immunity,
as estimated by agglutination and lysis.
PMID- 27188193
TI - The association between symptoms of sexual dysfunction and age at onset in
Parkinson's disease.
AB - OBJECTIVE: Age at onset in Parkinson's disease (PD) seems to be related nonmotor
symptoms. In this study we investigated the effect of the age at onset on
symptoms of sexual dysfunction (SSD) in patients with PD. METHODS: This
prospective study comprised 22 consecutive outpatients with early onset PD (EOPD
onset of the disease before 55 years), and 66 outpatients with late onset PD
(LOPD-onset of PD over 55 years). They were all recruited from the Department of
Movement Disorders, Clinic of Neurology. The diagnosis was established according
to the UK PD Brain Bank Criteria by a movement disorders specialist. The Unified
PD Rating Scale (UPDRS) motor was used to assess motor disability and Hoehn and
Yahr (H&Y) stage was used to establish disease severity. The sexual functions of
the patients were rated by applying the Arizona Sexual Experiences Scale (ASEX).
RESULTS: Thirteen EOPD patients (59.09 %) and 53 of the LOPD patients (80.3 %) (p
0.047) reported dissatisfaction with at least one item of ASEX. There were no
differences between H&Y stages (p 0.205) UPDRS total (p 0.267) and motor scores
(p 0.100) between groups. LOPD patients had significantly higher ASEX scores than
EOPD patients (p 0.001). INTERPRETATION: Sexual dysfunciton occurs more
frequently and more severely in LOPD than EOPD patients. PD patients with
different ages at onset clinically present differently in terms of SSD.
PMID- 27188194
TI - Authentication of the botanical origin of Western herbal products using
Cimicifuga and Vitex products as examples.
AB - Various herbal medicines have been developed and used in various parts of the
world for thousands of years. Although locally grown indigenous plants were
originally used for traditional herbal preparations, Western herbal products are
now becoming popular in Japan with the increasing interest in health. At the same
time, there are growing concerns about the substitution of ingredients and
adulteration of herbal products, highlighting the need for the authentication of
the origin of plants used in herbal products. This review describes studies on
Cimicifuga and Vitex products developed in Europe and Japan, focusing on
establishing analytical methods to evaluate the origins of material plants and
finished products. These methods include a polymerase chain reaction-restriction
fragment length polymorphism method and a multiplex amplification refractory
mutation system method. A genome-based authentication method and liquid
chromatography-mass spectrometry-based authentication for black cohosh products,
and the identification of two characteristic diterpenes of agnus castus fruit and
a shrub chaste tree fruit-specific triterpene derivative are also described.
PMID- 27188197
TI - Erratum to: The Affordable Care Act and integrated behavioral health programs in
community health centers to promote utilization of mental health services among
Asian Americans.
PMID- 27188196
TI - The Affordable Care Act and integrated behavioral health programs in community
health centers to promote utilization of mental health services among Asian
Americans.
AB - The Affordable Care Act has greatly expanded health care coverage and recognizes
mental health as a major priority. However, individuals suffering from mental
health disorders still face layered barriers to receiving health care, especially
Asian Americans. Integration of behavioral health services within primary care is
a viable way of addressing underutilization of mental health services. This paper
provides insight into a comprehensive care approach integrating behavioral health
services into primary care to address underutilization of mental health services
in the Asian American population. True integration of behavioral health services
into primary care will require financial support and payment reform to address
multi-disciplinary care needs and optimize care coordination, as well as training
and workforce development early in medical and mental health training programs to
develop the skills that aid prevention, early identification, and intervention.
Funding research on evidence-based practice oriented to the Asian American
population needs to continue.
PMID- 27188195
TI - Resistant Hypertension: An Incurable Disease or Just a Challenge For Our Medical
Skill?
AB - Resistant hypertension is classically defined as a clinical condition in which
target blood pressure values of 140/90 mmHg are not achieved despite an optimal
pharmacological therapy of at least three antihypertensive drugs, including a
diuretic. The aim of this review is to give an outline of the nosography of this
disorder, highlighting the differences between true and apparent resistant
hypertension. Since the proportions of patients who can be defined as resistant
to antihypertensive treatment is elevated, this distinction is mandatory in order
to identify only those who need special clinical attention and, possibly, newer
non-traditional techniques. While at first glance resistant hypertension may
appear as an insuperable problem, an accurate clinical work-up of these patients,
aimed at excluding reversible causes and optimizing pharmacological treatment,
represents an effective solution in most cases.
PMID- 27188198
TI - Irinotecan Monotherapy Versus Irinotecan-Based Combination as Second-Line
Chemotherapy in Advanced Gastric Cancer: A Meta-Analysis.
AB - PURPOSE: A meta-analysis was conducted to examine the question of whether
combination regimens are more effective than monotherapy as a second-line
chemotherapy in advanced gastric cancer. MATERIALS AND METHODS: The MEDLINE and
the EMBASE databases and the Cochrane Central Register for Controlled Trials were
searched using appropriate keywords. Only randomized controlled trials were
eligible. RESULTS: Taxane-based study is rare; thus, four irinotecan-based
studies were finally included in the meta-analysis. Out of 661 patients, 331
patients were assigned to combination therapy and 330 to monotherapy. Cisplatin
or fluoropyrimidine (S-1 or 5-fluorouracil) was used as a combination partner to
irinotecan. The pooled hazard ratio (HR) for overall survival (OS) and for
progression-free survival (PFS) was 0.938 (95% confidence interval [CI], 0.796 to
1.104; p=0.442) and 0.815 (95% CI, 0.693 to 0.958; p=0.013). In subgroup analysis
according to previous exposure to a partner agent, the PFS benefit of combination
was observed only in the partially exposed group (HR, 0.784; 95% CI, 0.628 to
0.980; p=0.032). CONCLUSION: Second-line irinotecan-based combination was not
associated with increased OS, but with PFS benefit, which seemed particularly
significant for patients receiving combination with a new agent.
PMID- 27188199
TI - Post-bevacizumab Clinical Outcomes and the Impact of Early Discontinuation of
Bevacizumab in Patients with Recurrent Malignant Glioma.
AB - PURPOSE: Bevacizumab+/-irinotecan is effective for treatment of recurrent
malignant gliomas. However, the optimal duration of treatment has not been
established. MATERIALS AND METHODS: Ninety-four consecutive patients with
recurrent malignant glioma who were treated with bevacizumab at our institutions
were identified. Patients who continued bevacizumab until tumor progression were
enrolled in a late discontinuation (LD) group, while those who stopped
bevacizumab before tumor progression were enrolled in an early discontinuation
(ED) group. Landmark analyses were performed at weeks 9, 18, and 26 for
comparison of patient survival between the two groups. RESULTS: Among 89
assessable patients, 62 (69.7%) and 27 (30.3%) patients were categorized as the
LD and ED groups, respectively. According to landmark analysis, survival times
from weeks 9, 18, and 26 were not significantly different between the two groups
in the overall population. However, the LD group showed a trend toward increased
survival compared to the ED group among responders. In the ED group, the median
time from discontinuation to disease progression was 11.4 weeks, and none of the
patients showed a definite rebound phenomenon. Similar median survival times
after disease progression were observed between groups (14.4 weeks vs. 15.7
weeks, p=0.251). Of 83 patients, 38 (45.8%) received further therapy at
progression, and those who received further therapy showed longer survival in
both the LD and ED groups. CONCLUSION: In recurrent malignant glioma, duration of
bevacizumab was not associated with survival time in the overall population.
However, ED of bevacizumab in responding patients might be associated with
decreased survival.
PMID- 27188200
TI - Estimation of the Incidence of Hepatocellular Carcinoma and Cholangiocarcinoma in
Songkhla, Thailand, 1989-2013, Using Multiple Imputation Method.
AB - PURPOSE: Histological specimens are not required for diagnosis of liver and bile
duct (LBD) cancer, resulting in a high percentage of unknown histologies. We
compared estimates of hepatocellular carcinoma (HCC) and cholangiocarcinoma (CCA)
incidences by imputing these unknown histologies. MATERIALS AND METHODS: A
retrospective study was conducted using data from the Songkhla Cancer Registry,
southern Thailand, from 1989 to 2013. Multivariate imputation by chained
equations (mice) was used in re-classification of the unknown histologies. Age
standardized rates (ASR) of HCC and CCA by sex were calculated and the trends
were compared. RESULTS: Of 2,387 LBD cases, 61% had unknown histology. After
imputation, the ASR of HCC in males during 1989 to 2007 increased from 4 to 10
per 100,000 and then decreased after 2007. The ASR of CCA increased from 2 to 5.5
per 100,000, and the ASR of HCC in females decreased from 1.5 in 2009 to 1.3 in
2013 and that of CCA increased from less than 1 to 1.9 per 100,000 by 2013.
RESULTS: of complete case analysis showed somewhat similar, although less
dramatic, trends. CONCLUSION: In Songkhla, the incidence of CCA appears to be
stable after increasing for 20 years whereas the incidence of HCC is now
declining. The decline in incidence of HCC among males since 2007 is probably due
to implementation of the hepatitis B virus vaccine in the 1990s. The rise in
incidence of CCA is a concern and highlights the need for case control studies to
elucidate the risk factors.
PMID- 27188202
TI - Use of a Combined Gene Expression Profile in Implementing a Drug Sensitivity
Predictive Model for Breast Cancer.
AB - PURPOSE: Chemotherapy targets all rapidly growing cells, not only cancer cells,
and thus is often associated with unpleasant side effects. Therefore, examination
of the chemosensitivity based on genotypes is needed in order to reduce the side
effects. MATERIALS AND METHODS: Various computational approaches have been
proposed for predicting chemosensitivity based on gene expression profiles. A
linear regression model can be used to predict the response of cancer cells to
chemotherapeutic drugs, based on genomic features of the cells, and appropriate
sample size for this method depends on the number of predictors. We used
principal component analysis and identified a combined gene expression profile to
reduce the number of predictors. RESULTS: The coefficients of determinanation
(R2) of prediction models with combined gene expression and several independent
gene expressions were similar. Corresponding F values, which represent model
significances were improved by use of a combined gene expression profile,
indicating that the use of a combined gene expression profile is helpful in
predicting drug sensitivity. Even better, a prediction model can be used even
with small samples because of the reduced number of predictors. CONCLUSION:
Combined gene expression analysis is expected to contribute to more personalized
management of breast cancer cases by enabling more effective targeting of
existing therapies. This procedure for identifying a cell-type-specific gene
expression profile can be extended to other chemotherapeutic treatments and many
other heterogeneous cancer types.
PMID- 27188201
TI - Reactive Oxygen Species Modulator 1 (Romo1) Predicts Poor Outcomes in Advanced
Non-small Cell Lung Cancer Patients Treated with Platinum-Based Chemotherapy.
AB - PURPOSE: Reactive oxygen species modulator 1 (Romo1) is a key mediator of
intracellular reactive oxygen species production. However, examination of the
clinical usefulness of Romo1 in cancers has been limited. We evaluated the
association of Romo1 expression with clinical outcomes in advanced non-small cell
lung cancer (NSCLC) patients treated with platinum-based chemotherapy. MATERIALS
AND METHODS: Romo1 expression in tumor tissue was examined by
immunohistochemistry and evaluated by histological score. Survival analyses were
performed according to Romo1 expression and the association between Romo1
expression and clinical parameters was evaluated. RESULTS: A total of 88 tumor
specimens were analyzed. Significantly shorter median progression-free survival
(PFS) was observed in the high Romo1 group compared with the low Romo1 group (4.5
months vs. 9.8 months, p < 0.001), and the median overall survival (OS) of the
high Romo1 group was also significantly shorter than that of the low Romo1 group
(8.4 months vs. 15.5 months, p < 0.001). Results of multivariate analyses showed
significant association of high Romo1 expression with both poor PFS (hazard ratio
[HR], 2.75; 95% confidence interval [CI], 1.71 to 4.44) and poor OS (HR, 3.99;
95% CI, 2.36 to 6.74). Results of the subgroup analysis showed a similar
association regardless of tumor histology. Romo1 expression showed no association
with any clinical parameter including age, sex, smoking status, stage,
differentiation, or tumor histology. CONCLUSION: Romo1 overexpression was
associated with poor response to treatment and shorter survival in advanced NSCLC
patients treated with platinum-based chemotherapy. Romo1 could be a potential
adverse predictive marker in this setting.
PMID- 27188204
TI - Comparison of Total Body Irradiation (TBI) Conditioning with Non-TBI for
Autologous Stem Cell Transplantation in Newly Diagnosed or Relapsed Mature T- and
NK-Cell Non-Hodgkin Lymphoma.
AB - PURPOSE: This retrospective study was conducted for comparison of survival
outcomes and toxicities of autologous stem cell transplantation (ASCT) based on
the use of total body irradiation (TBI) as a part of the conditioning regimen in
patients with mature T- and natural killer (NK)-cell lymphomas. MATERIALS AND
METHODS: Patients who underwent ASCT in the upfront or salvage setting between
January 2000 and December 2013 were analyzed. Patients were dichotomized
according to the TBI group (n=38) and non-TBI group (n=60) based on the type of
conditioning regimen for ASCT. RESULTS: Patients with responsive disease
underwent upfront ASCT (TBI, n=16; non-TBI, n=29) whereas patients with
refractory disease (TBI, n=9; non-TBI, n=12) or relapsed disease (TBI, n=13; non
TBI, n=19) underwent ASCT after salvage treatment. Hematologic and non
hematologic toxicities were manageable, and the median cumulative toxicity score
according to Seattle criteria was estimated as 2 (range, 0 to 7) in both groups.
No significant difference in 100-day mortality was observed between the TBI (13%,
5/38) and non-TBI (12%, 12/60) groups, and most deaths were related to disease
progression. There was no difference in overall and progression-free survival;
however, the TBI group showed a trend of better survival in upfront and salvage
ASCT than the non-TBI group. However, patients with refractory disease showed the
worst outcome regardless of the use of TBI. Patients who showed complete response
before ASCT showed better progression-free survival than thosewho showed partial
response. CONCLUSION: TBI could be used as an effective part of conditioning for
ASCT in patients with mature T- and NK-cell lymphomas.
PMID- 27188203
TI - Curative Resection for Metachronous Pulmonary Metastases from Colorectal Cancer:
Analysis of Survival Rates and Prognostic Factors.
AB - PURPOSE: Prognostic factors in patients with pulmonary metastases (PM) from
colorectal cancer (CRC) are still controversial. This study assessed oncologic
outcomes and prognostic factors in patients with metachronous PM from CRC.
MATERIALS AND METHODS: Between June 2003 and December 2011, 122 patients with CRC
underwent curative resection of PM detected at least 4 months after CRC
resection. Clinico-pathological factors selected from the prospectively
maintained database were analyzed retrospectively. RESULTS: The median disease
free interval (DFI) between resection of the primary tumor and detection of PM
was 22.0 months (range, 4 to 85 months). Solitary PM were detected in 77 patients
(63.1%), with a median maximal tumor diameter of 12.0 mm (range, 2 to 70 mm). Of
52 patients who underwent mediastinal lymph node (LN) dissection, eight patients
had LN involvement. Five-year overall survival and disease-free survival (DFS)
rates after initial pulmonary metastasectomy were 66.4% and 50.9%, respectively.
DFI, mediastinal LN involvement, and the number and distribution of PM were
significantly prognostic factors for DFS. In multivariable analysis DFI >= 12
months, solitary lesion, and absence of mediastinal LN involvement were
independently prognostic for DFS. Of the 122 patients, 48 patients (39.3%)
developed recurrent PM a median 13.0 months after initial pulmonary
metastasectomy. Recurrent DFI was independently prognostic of DFS in patients who
underwent repeated pulmonary metastasectomy. CONCLUSION: There is a potential
survival benefit for patients with metachronous PM from CRC who undergo pulmonary
metastasectomy, even those with recurrent PM. Pulmonary metastasectomy should be
considered in selected patients, particularly those with longer DFI, solitary
lesions, and absence of mediastinal LN involvement.
PMID- 27188207
TI - Promoting the occupations of parents of children with disability in early
childhood intervention services - Building stronger families and communities.
PMID- 27188205
TI - Anti-proliferative Effect of Engineered Neural Stem Cells Expressing Cytosine
Deaminase and Interferon-beta against Lymph Node-Derived Metastatic Colorectal
Adenocarcinoma in Cellular and Xenograft Mouse Models.
AB - PURPOSE: Genetically engineered stem cells may be advantageous for gene therapy
against various human cancers due to their inherent tumor-tropic properties. In
this study, genetically engineered human neural stem cells (HB1.F3) expressing
Escherichia coli cytosine deaminase (CD) (HB1.F3.CD) and human interferon-beta
(IFN-beta) (HB1.F3.CD.IFN-beta) were employed against lymph node-derived
metastatic colorectal adenocarcinoma. MATERIALS AND METHODS: CD can convert a
prodrug, 5-fluorocytosine (5-FC), to active 5-fluorouracil, which inhibits tumor
growth through the inhibition of DNA synthesis,while IFN-beta also strongly
inhibits tumor growth by inducing the apoptotic process. In reverse transcription
polymerase chain reaction analysis, we confirmed that HB1.F3.CD cells expressed
the CD gene and HB1.F3.CD.IFN-beta cells expressed both CD and IFN-beta genes.
RESULTS: In results of a modified trans-well migration assay, HB1.F3.CD and
HB1.F3.CD.IFN-beta cells selectively migrated toward SW-620, human lymph node
derived metastatic colorectal adenocarcinoma cells. The viability of SW-620 cells
was significantly reduced when co-cultured with HB1.F3.CD or HB1.F3.CD.IFN-beta
cells in the presence of 5-FC. In addition, it was found that the tumor-tropic
properties of these engineered human neural stem cells (hNSCs) were attributed to
chemoattractant molecules including stromal cell-derived factor 1, c-Kit,
urokinase receptor, urokinase-type plasminogen activator, and C-C chemokine
receptor type 2 secreted by SW-620 cells. In a xenograft mouse model, treatment
with hNSC resulted in significantly inhibited growth of the tumor mass without
virulent effects on the animals. CONCLUSION: The current results indicate that
engineered hNSCs and a prodrug treatment inhibited the growth of SW-620 cells.
Therefore, hNSC therapy may be a clinically effective tool for the treatment of
lymph node metastatic colorectal cancer.
PMID- 27188206
TI - A Phase II Study of Poziotinib in Patients with Epidermal Growth Factor Receptor
(EGFR)-Mutant Lung Adenocarcinoma Who Have Acquired Resistance to EGFR-Tyrosine
Kinase Inhibitors.
AB - PURPOSE: We examined the efficacy of poziotinib, a second-generation epidermal
growth factor receptor (EGFR)-tyrosine kinase inhibitor (TKI) in patients with
lung adenocarcinoma with activating EGFR mutations, who developed acquired
resistance (AR) to EGFR-TKIs. MATERIALS AND METHODS: This single-arm phase II
study included EGFR-mutant lung adenocarcinoma with AR to erlotinib or gefitinib
based on the Jackman criteria. Patients received poziotinib 16 mg orally once
daily in a 28-day cycle. The primary endpoint was progression-free survival
(PFS). Prestudy tumor biopsies and blood samples were obtained to determine
resistance mechanisms. RESULTS: Thirty-nine patients were treated. Tumor
genotyping was determined in 37 patients; 19 EGFR T790M mutations and two PIK3CA
mutations were detected in the prestudy tumors, and seven T790M mutations were
detected in the plasma assay. Three (8%; 95% confidence interval [CI], 2 to 21)
and 17 (44%; 95% CI, 28 to 60) patients had partial response and stable disease,
respectively. The median PFS and overall survival were 2.7 months (95% CI, 1.8 to
3.7) and 15.0 months (95% CI, 9.5 to not estimable), respectively. A longer PFS
was observed for patients without T790M or PIK3CA mutations in tumor or plasma
compared to those with these mutations (5.5 months vs. 1.8 months, p=0.003). The
most frequent grade 3 adverse events were rash (59%), mucosal inflammation (26%),
and stomatitis (18%). Most patients required one (n=15) or two (n=15) dose
reductions. CONCLUSION: Low activity of poziotinib was detected in patients with
EGFR-mutant non-small cell lung cancer who developed AR to gefitinib or
erlotinib, potentially because of severe-toxicityimposed dose limitation.
PMID- 27188208
TI - HTLV-1-associated myelopathy/tropical spastic paraparesis.
AB - Human T-lymphotropic virus 1 (HTLV-1)-associated myelopathy/tropical spastic
paraparesis (HAM/TSP) is a progressive disease of the CNS that causes weakness or
paralysis of the legs, lower back pain and urinary symptoms. HAM/TSP was first
described in Jamaica in the nineteenth century, but the aetiology of the
condition, infection with the retrovirus HTLV-1, was only identified in the
1980s. HAM/TSP causes chronic disability and, accordingly, imposes a substantial
health burden in areas where HTLV-1 infection is endemic. Since the discovery of
the cause of HAM/TSP, considerable advances have been made in the understanding
of the virology, immunology, cell biology and pathology of HTLV-1 infection and
its associated diseases. However, progress has been limited by the lack of
accurate animal models of the disease. Moreover, the treatment of HAM/TSP remains
highly unsatisfactory: antiretroviral drugs have little impact on the infection
and, although potential disease-modifying therapies are widely used, their value
is unproved. At present, clinical management is focused on symptomatic treatment
and counselling. Here, we summarize current knowledge on the epidemiology,
pathogenesis and treatment of HAM/TSP and identify areas in which further
research is needed. For an illustrated summary of this Primer, visit:
http://go.nature.com/tjZCFM.
PMID- 27188209
TI - Assessment of the priority target group of mental health service networks within
a nation-wide reform of adult psychiatry in Belgium.
AB - BACKGROUND: Belgium is currently implementing a nation-wide reform of mental
health care delivery based on service networks. These networks are supposed to
strengthen the community-based supply of care, reduce the resort to hospitals,
and improve the continuity of care. They are also intended to supply
comprehensive care to all adult mental health users. It is unclear, however, if
one single model of network can target the needs of the whole adult population
with mental health problems. METHODS: In 2011, ten networks were commissioned and
assessed. Networks included a total of 635 services of different types. Services
were asked to select 10 users by systematic sampling and to state whether these
users were considered as a priority for care in the network. Sociodemographic,
social integration level, diagnoses, and psycho-social functioning variables were
also collected. RESULTS: Two thousand four hundred ninety users were included,
and 1564 were given priority for network care. Priority was higher for men than
for women (69.9 % versus 56.2 %), and for non-nationals than for Belgians (72.6 %
versus 61.9 %). Users were designated priority when they had poor psycho-social
functioning (HoNOS > 17, OR = 3.15, p < 0.001), personality disorder or
schizophrenia (OR = 1.54, p < 0.001), and a medium level of social integration
(SIX = [2,3], OR = 1.57, p < 0.001). Less socially integrated patients (SIX < 1,
OR = 0.53, p < 0.001) and users of community and social services were less likely
to be selected. CONCLUSION: Although the reform was intended for the whole
population of adults with mental health problems, the users selected have a
profile of severe mentally-ill users with social deprivation and poor social
functioning. Policy may have been over-ambitious trying to address the whole
population with one single type of service network. The actual selection process
of users makes it less likely that the reform will achieve all its objectives.
PMID- 27188211
TI - Chemical vapor deposition of MoS2 layers from Mo-S-C-O-H system: thermodynamic
modeling and validation.
AB - A detailed thermodynamic analysis of the solid and gas phases of the Mo-S-C-O-H
system used for large area chemical vapor deposition (CVD) of MoS2 is presented
and compared with experimental results. Given the multivariable nature of the
problem, excellent agreement is observed. Deviations, observed from thermodynamic
predictions, mainly at low temperatures and high flow rates have been highlighted
and discussed. CVD phase diagrams which predict parameter windows in which pure
MoS2 can be synthesized have been provided for important gas phase chemistries.
Pure H2 as a carrier gas is shown to facilitate the largest contamination free
process window. CO presence is shown to significantly reduce the nucleation rate
and enable large island sizes but at the cost of carbon contamination. Oxygen
leaks are shown to result in sulphur contamination. The absence of H2S during
cooling is shown to yield Mo due to the reduction of MoS2 by hydrogen. Oxidation
of Mo causes oxide contamination.
PMID- 27188210
TI - Compact quantum dot-antibody conjugates for FRET immunoassays with subnanomolar
detection limits.
AB - A novel two-step approach for quantum dot (QD) functionalization and
bioconjugation is presented, which yields ultra-compact, stable, and highly
luminescent antibody-QD conjugates suitable for use in FRET immunoassays.
Hydrophobic InPZnS/ZnSe/ZnS (emission wavelength: 530 nm), CdSe/ZnS (605 nm), and
CdSeTe/ZnS (705 nm) QDs were surface functionalized with zwitterionic
penicillamine, enabling aqueous phase transfer under conservation of the
photoluminescence properties. Post-functionalization with a heterobifunctional
crosslinker, containing a lipoic acid group and a maleimide function, enabled the
subsequent coupling to sulfhydryl groups of proteins. This was demonstrated by QD
conjugation with fragmented antibodies (F(ab)). The obtained F(ab)-QD conjugates
range among the smallest antibody-functionalized nanoprobes ever reported, with a
hydrodynamic diameter <13 nm, PL quantum yield up to 66% at 705 nm, and colloidal
stability of several months in various buffers. They were applied as FRET
acceptors in homogeneous, time-gated immunoassays using Tb-antibodies as FRET
donors, both coupled by an immunological sandwich complex between the two
antibodies and a PSA (prostate specific antigen) biomarker. The advantages of the
compact surface coating for FRET could be demonstrated by an 6.2 and 2.5 fold
improvement of the limit of detection (LOD) for PSA compared to commercially
available hydrophilic QDs emitting at 605 and 705 nm, respectively. While the
commercial QDs contain identical inorganic cores responsible for their
fluorescence, they are coated with a comparably thick amphiphilic polymer layer
leading to much larger hydrodynamic diameters (>26 nm without biomolecules). The
LODs of 0.8 and 3.7 ng mL(-1) obtained in 50 MUL serum samples are below the
clinical cut-off level of PSA (4 ng mL(-1)) and demonstrate their direct
applicability in clinical diagnostics.
PMID- 27188212
TI - Opposing effects of actin signaling and LFA-1 on establishing the affinity
threshold for inducing effector T-cell responses in mice.
AB - Mature CD8(+) T cells use a narrow antigen affinity threshold to generate tissue
infiltrating cytotoxic effector T cells and induce autoimmune pathology, but the
mechanisms that establish this antigen affinity threshold are poorly understood.
Only antigens with affinities above the threshold induce stable contacts with
APCs, polarization of a T cell, and asymmetric T-cell division. Previously
published data indicate that LFA-1 inside-out signaling might be involved in
establishing the antigen affinity threshold. Here, we show that subthreshold
antigens weakly activate all major distal TCR signaling pathways. Low-affinity
antigens are more dependent on LFA-1 than suprathreshold antigens. Moreover,
augmenting the inside-out signaling by hyperactive Rap1 does not increase
responses to the subthreshold antigens. Thus, LFA-1 signaling does not contribute
to the affinity-based antigen discrimination. However, we found that subthreshold
antigens do not induce actin rearrangement toward an APC, mediated by Rho-family
GTPases, Cdc42, and Rac. Our data suggest that Rac and Cdc42 contribute to the
establishment of the antigen affinity threshold in CD8(+) T cells by enhancing
responses to high-affinity antigens, or by reducing the responses to low-affinity
antigens.
PMID- 27188213
TI - Ferric ions accumulate in the walls of metabolically inactivating Saccharomyces
cerevisiae cells and are reductively mobilized during reactivation.
AB - Mossbauer and EPR spectra of fermenting yeast cells before and after cell wall
(CW) digestion revealed that CWs accumulated iron as cells transitioned from
exponential to post-exponential growth. Most CW iron was mononuclear nonheme high
spin (NHHS) Fe(III), some was diamagnetic and some was superparamagnetic. A
significant portion of CW Fe was removable by EDTA. Simulations using an ordinary
differential-equations-based model suggested that cells accumulate Fe as they
become metabolically inactive. When dormant Fe-loaded cells were metabolically
reactivated in Fe-deficient bathophenanthroline disulfonate (BPS)-treated medium,
they grew using Fe that had been mobilized from their CWs AND using trace amounts
of Fe in the Fe-deficient medium. When grown in Fe-deficient medium, Fe-starved
cells contained the lowest cellular Fe concentrations reported for a eukaryotic
cell. During metabolic reactivation of Fe-loaded dormant cells, Fe(III) ions in
the CWs of these cells were mobilized by reduction to Fe(II), followed by release
from the CW and reimport into the cell. BPS short-circuited this process by
chelating mobilized and released Fe(II) ions before reimport; the resulting
Fe(II)(BPS)3 complex adsorbed on the cell surface. NHHS Fe(II) ions appeared
transiently during mobilization, suggesting that these ions were intermediates in
this process. In the presence of chelators and at high pH, metabolically inactive
cells leached CW Fe; this phenomenon probably differs from metabolic
mobilization. The iron regulon, as reported by Fet3p levels, was not expressed
during post-exponential conditions; Fet3p was maximally expressed in
exponentially growing cells. Decreased expression of the iron regulon and
metabolic decline combine to promote CW Fe accumulation.
PMID- 27188214
TI - Platelet-rich plasma therapy: another appealing technology for regenerative
medicine?
PMID- 27188215
TI - Analysis of terms used for the diagnosis and classification of amyotrophic
lateral sclerosis and motor neuron disease.
AB - There is no test for amyotrophic lateral sclerosis (ALS) and so attempts have
been made to produce standardized diagnostic criteria based on clinical and
electrophysiological findings, e.g. El Escorial. However, the phenotypic
classification of the subtypes of ALS is also based on clinical features leading
to conflation of diagnosis and phenotype. We used a five-question online survey
with ALS specialists to explore the range of descriptors and how they are used.
Of 101 specialists approached, 72 completed the survey. The most frequently used
labels were 'ALS', 'PLS' and 'familial'. Labels other than the El Escorial
categories were mainly used as clinical descriptors (83%). Approximately 50% of
respondents recorded that the El Escorial criteria had no useful role in patient
discussion or in the diagnostic process. Only 31% of respondents rated their
current classification system above the median for being logical. A more rational
system explicitly distinguishing diagnostic and phenotypic criteria is essential.
PMID- 27188216
TI - Emodin: A Review of its Pharmacology, Toxicity and Pharmacokinetics.
AB - Emodin is a natural anthraquinone derivative that occurs in many widely used
Chinese medicinal herbs, such as Rheum palmatum, Polygonum cuspidatum and
Polygonum multiflorum. Emodin has been used as a traditional Chinese medicine for
over 2000 years and is still present in various herbal preparations. Emerging
evidence indicates that emodin possesses a wide spectrum of pharmacological
properties, including anticancer, hepatoprotective, antiinflammatory, antioxidant
and antimicrobial activities. However, emodin could also lead to hepatotoxicity,
kidney toxicity and reproductive toxicity, particularly in high doses and with
long-term use. Pharmacokinetic studies have demonstrated that emodin has poor
oral bioavailability in rats because of its extensive glucuronidation. This
review aims to comprehensively summarize the pharmacology, toxicity and
pharmacokinetics of emodin reported to date with an emphasis on its biological
properties and mechanisms of action. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27188217
TI - Industry self-regulation of alcohol marketing: a systematic review of content and
exposure research.
AB - BACKGROUND AND AIMS: With governments relying increasingly upon the alcohol
industry's self-regulated marketing codes to restrict alcohol marketing activity,
there is a need to summarize the findings of research relevant to alcohol
marketing controls. This paper provides a systematic review of studies
investigating the content of, and exposure to, alcohol marketing in relation to
self-regulated guidelines. METHODS: Peer-reviewed papers were identified through
four literature search engines: SCOPUS, Web of Science, PubMed and PsychINFO. Non
peer-reviewed reports produced by public health agencies, alcohol research
centers, non-governmental organizations and government research centers were also
identified. Ninety-six publications met the inclusion criteria. RESULTS: Of the
19 studies evaluating a specific marketing code and 25 content analysis studies
reviewed, all detected content that could be considered potentially harmful to
children and adolescents, including themes that appeal strongly to young men. Of
the 57 studies of alcohol advertising exposure, high levels of youth exposure and
high awareness of alcohol advertising were found for television, radio, print,
digital and outdoor advertisements. Youth exposure to alcohol advertising has
increased over time, even as greater compliance with exposure thresholds has been
documented. CONCLUSIONS: Violations of the content guidelines within self
regulated alcohol marketing codes are highly prevalent in certain media. Exposure
to alcohol marketing, particularly among youth, is also prevalent. Taken
together, the findings suggest that the current self-regulatory systems that
govern alcohol marketing practices are not meeting their intended goal of
protecting vulnerable populations.
PMID- 27188219
TI - Tell it to a child! A brain stimulation study of the role of left inferior
frontal gyrus in emotion regulation during storytelling.
AB - In everyday life we need to continuously regulate our emotional responses
according to their social context. Strategies of emotion regulation allow
individuals to control time, intensity, nature and expression of emotional
responses to environmental stimuli. The left inferior frontal gyrus (LIFG) is
involved in the cognitive control of the selection of semantic content. We
hypothesized that it might also be involved in the regulation of emotional
feelings and expressions. We applied continuous theta burst stimulation (cTBS)
over LIFG or a control site before a newly-developed ecological regulation task
that required participants to produce storytelling of pictures with negative or
neutral valence to either a peer (unregulated condition) or a child (regulated
condition). Linguistic, expressive, and physiological responses were analyzed in
order to assess the effects of LIFG-cTBS on emotion regulation. Results showed
that the emotion regulation context modulated the emotional content of narrative
productions, but not the physiologic orienting response or the early expressive
behavior to negative stimuli. Furthermore, LIFG-cTBS disrupted the text-level
structuring of negative picture storytelling and the early cardiac and muscular
response to negative pictures; however, it did not affect the contextual
emotional regulation of storytelling. These results may suggest that LIFG is
involved in the initial detection of the affective arousal of emotional stimuli.
PMID- 27188218
TI - Electric fields of motor and frontal tDCS in a standard brain space: A computer
simulation study.
AB - The electric field produced in the brain is the main physical agent of
transcranial direct current stimulation (tDCS). Inter-subject variations in the
electric fields may help to explain the variability in the effects of tDCS. Here,
we use multiple-subject analysis to study the strength and variability of the
group-level electric fields in the standard brain space. Personalized
anatomically-accurate models of 62 subjects were constructed from T1- and T2
weighted MRI. The finite-element method was used to computationally estimate the
individual electric fields, which were registered to the standard space using
surface based registration. Motor cortical and frontal tDCS were modelled for 16
electrode montages. For each electrode montage, the group-level electric fields
had a consistent strength and direction in several brain regions, which could
also be located at some distance from the electrodes. In other regions, the
electric fields were more variable, and thus more likely to produce variable
effects in each individual. Both the anode and cathode locations affected the
group-level electric fields, both directly under the electrodes and elsewhere.
For motor cortical tDCS, the electric fields could be controlled at the group
level by moving the electrodes. However, for frontal tDCS, the group-level
electric fields were more variable, and the electrode locations had only minor
effects on the group average fields. Our results reveal the electric fields and
their variability at the group level in the standard brain space, providing
insights into the mechanisms of tDCS for plasticity induction. The data are
useful for planning, analysing and interpreting tDCS studies.
PMID- 27188220
TI - Integrin CD11b attenuates colitis by strengthening Src-Akt pathway to polarize
anti-inflammatory IL-10 expression.
AB - Interleukin-10 (IL-10) plays a central role in regulation of intestinal mucosal
homeostasis and prevention of inflammatory bowel disease (IBD). We previously
reported that CD11b(hi) regulatory dendritic cells (DCs) can produce more IL-10,
and CD11b can negatively regulate Toll-like receptors (TLRs)-induced inflammatory
responses in macrophages. However whether CD11b and its signaling can control
autoimmunity via IL-10 production remains unclear. Here we found that CD11b
deficient (Itgam(-/-)) mice were more susceptible to dextran sulfate sodium (DSS)
induced colitis, with more tumor necrosis factor alpha (TNF-alpha) while less IL
10 production. CD11b inhibited nuclear factor-kappa B (NF-kappaB) while promoted
activator protein 1 (AP-1) activation through activating sarcoma oncogene (Src),
leading to decreased TNF-alpha while increased IL-10 production. Src interacted
with and promoted c-casitas B lineage lymphoma proto-oncogene (c-Cbl)-mediated
degradation of the inhibitory subunit p85 of phosphatidylinositol 3-kinase
(PI3K). Importantly, Src inhibitor dasatinib aggravated DSS-induced colitis by
decreasing IL-10 while increasing TNF-alpha in vivo. Therefore, CD11b promotes IL
10 production by activating Src-Akt signal pathway. An axis of CD11b-Src pathway
is important in balancing homeostasis of TLR-induced pro-inflammatory and anti
inflammatory responses.
PMID- 27188221
TI - Blunted Cortisol Awakening Response and Poor Self-Perceived Health in Informal
Caregivers of People with Eating Disorders.
AB - Caring for offspring diagnosed with eating disorders (EDs) entails being under
high chronic stress, with negative consequences for health. However, most
previous research has only evaluated self-report measures of health, biological
markers being poorly studied. In this regard, the evaluation of the cortisol
awakening response (CAR) could add significant information about the biological
basis of health disturbances in this population. The main aim of the present
study was to compare CAR and self-reported health between informal caregivers
(ICs) of people with EDs and non-caregivers. Furthermore, we explored the effect
of the nature of the diagnosis, comparing ICs of people with anorexia and bulimia
nervosa. ICs had a blunted CAR, and more anxiety and insomnia, and social
dysfunction, together with poorer perceived general health than non-caregivers.
ICs of people with anorexia nervosa had higher levels of morning cortisol and
burden, and more social dysfunction and severe depression than those of people
with bulimia nervosa. Our results demonstrate marked health problems in ICs of
people with EDs, especially when the care recipient has anorexia nervosa.
Copyright (c) 2016 John Wiley & Sons, Ltd and Eating Disorders Association.
PMID- 27188222
TI - Measuring the course of anxiety in women giving birth by caesarean section: a
prospective study.
AB - BACKGROUND: Women undergoing elective caesarean section experience anxiety.
However, course, extent and duration of anxiety have not been investigated yet.
This study aimed to explore anxiety levels during the course of the day of
surgery by employing and comparing subjective as well as objective measures. By
examining their correlation it is intended to give methodological support for
interventional studies. METHODS: This is a monocentric, prospectively planned
study in which 47 women with an indication for primary caesarean section took
part. Anxiety levels were evaluated using the State-Trait Anxiety Inventory (STAI
trait and STAI-state), the visual analogue scale for anxiety (VASA) as well as
saliva cortisol at three time points on the day of the caesarean section (at
admission, at skin closure and 2 h post surgery). RESULTS: Peak anxiety levels
for the STAI-state and VASA were highest at admission and showed significant
decreases to skin closure (p < .001). The subjective measures correlated
significantly at all time points (p-values < .001). For cortisol levels the peak
level of anxiety was shown at skin closure with a significant increase from
admission to skin closure and a significant decrease from skin closure to 2 h
post operation (p-values < .001). Additionally women with STAI-trait scores above
the median showed significantly higher levels at the peaks of anxiety.
CONCLUSION: The study reveals the course of anxiety on the day of the caesarean
section. A strong correlation of STAI-state and VASA was demonstrated. Cortisol
showed a different course, which fits into its known biological kinetics. Taking
into account all measures, anxiety seems to be most bothersome before surgery
until skin closure. In a differentiated approach using STAI-trait scores as a
discriminator we showed that the group with STAI-trait levels above the median is
particularly prone to develop anxiety in the setting of the caesarean section and
might therefore mostly be in need of an intervention against anxiety.
PMID- 27188224
TI - [Bordetella petrii chronic colonization. First case in Spain].
PMID- 27188225
TI - [Successful treatment of Abiotrophia defective endophthalmitis].
PMID- 27188223
TI - Melanoma.
AB - Melanoma is a common cancer in the Western world with an increasing incidence.
Sun exposure is still considered to be the major risk factor for melanoma. The
prognosis of patients with malignant (advanced-stage) melanoma differs widely
between countries, but public campaigns advocating early detection have led to
significant reductions in mortality rates. As well as sun exposure, distinct
genetic alterations have been identified as associated with melanoma. For
example, families with melanoma who have germline mutations in CDKN2A are well
known, whereas the vast majority of sporadic melanomas have mutations in the
mitogen-activated protein kinase cascade, which is the pathway with the highest
oncogenic and therapeutic relevance for this disease. BRAF and NRAS mutations are
typically found in cutaneous melanomas, whereas KIT mutations are predominantly
observed in mucosal and acral melanomas. GNAQ and GNA11 mutations prevail in
uveal melanomas. Additionally, the PI3K-AKT-PTEN pathway and the immune
checkpoint pathways are important. The finding that programmed cell death protein
1 ligand 1 (PDL1) and PDL2 are expressed by melanoma cells, T cells, B cells and
natural killer cells led to the recent development of programmed cell death
protein 1 (PD1)-specific antibodies (for example, nivolumab and pembrolizumab).
Alongside other new drugs - namely, BRAF inhibitors (vemurafenib and dabrafenib)
and MEK inhibitors (trametinib and cobimetinib) - these agents are very promising
and have been shown to significantly improve prognosis for patients with advanced
stage metastatic disease. Early signs are apparent that these new treatment
modalities are also improving long-term clinical benefit and the quality of life
of patients. This Primer summarizes the current understanding of melanoma, from
mechanistic insights to clinical progress. For an illustrated summary of this
Primer, visit: http://go.nature.com/vX2N9s.
PMID- 27188226
TI - Vitamin D levels in allergic rhinitis: a systematic review and meta-analysis.
AB - BACKGROUND: We aimed to systematically review observational studies investigating
the relationship between vitamin D levels and allergic rhinitis (AR). METHODS:
Studies were selected if they evaluated the relationship between vitamin D levels
and AR, and included studies that evaluated other allergic conditions if those
studies also contained data on AR. We assessed the incidence and prevalence of AR
according to vitamin D levels and compared vitamin D levels in patients with AR
to levels in controls. RESULTS: Nineteen studies were selected. Of these, only
seven focused solely on AR; 10 studies evaluated the other allergic diseases as
well as AR; and two studies evaluated asthma primarily, but also included data on
patients with AR. The pooled odds ratios (ORs) for the incidence of AR according
to vitamin D levels were not statistically significant for either children or
adults. Lower vitamin D levels were associated with a higher AR prevalence only
in children (pooled OR [95% confidence interval (CI)], 0.75 [0.58, 0.98]). The
pooled mean vitamin D level in patients with AR was lower than that of controls
only in children (pooled means difference [95% CI], -7.63 [-13.08, -2.18]).
CONCLUSIONS: Prior vitamin D levels were not related to developing AR, but lower
vitamin D levels were associated with a higher AR prevalence only in children.
There is insufficient evidence to support vitamin D supplementation for AR
prevention. However, physicians should consider evaluating patients for vitamin D
deficiency during AR management, especially in children.
PMID- 27188227
TI - Reasons doctors provide futile treatment at the end of life: a qualitative study.
AB - OBJECTIVE: Futile treatment, which by definition cannot benefit a patient, is
undesirable. This research investigated why doctors believe that treatment that
they consider to be futile is sometimes provided at the end of a patient's life.
DESIGN: Semistructured in-depth interviews. SETTING: Three large tertiary public
hospitals in Brisbane, Australia. PARTICIPANTS: 96 doctors from emergency,
intensive care, palliative care, oncology, renal medicine, internal medicine,
respiratory medicine, surgery, cardiology, geriatric medicine and medical
administration departments. Participants were recruited using purposive maximum
variation sampling. RESULTS: Doctors attributed the provision of futile treatment
to a wide range of inter-related factors. One was the characteristics of treating
doctors, including their orientation towards curative treatment, discomfort or
inexperience with death and dying, concerns about legal risk and poor
communication skills. Second, the attributes of the patient and family, including
their requests or demands for further treatment, prognostic uncertainty and lack
of information about patient wishes. Third, there were hospital factors including
a high degree of specialisation, the availability of routine tests and
interventions, and organisational barriers to diverting a patient from a curative
to a palliative pathway. Doctors nominated family or patient request and doctors
being locked into a curative role as the main reasons for futile care.
CONCLUSIONS: Doctors believe that a range of factors contribute to the provision
of futile treatment. A combination of strategies is necessary to reduce futile
treatment, including better training for doctors who treat patients at the end of
life, educating the community about the limits of medicine and the need to plan
for death and dying, and structural reform at the hospital level.
PMID- 27188228
TI - The mitochondrial genome of the egg-laying flatworm Aglaiogyrodactylus
forficulatus (Platyhelminthes: Monogenoidea).
AB - BACKGROUND: The rather species-poor oviparous gyrodactylids are restricted to
South America. It was suggested that they have a basal position within the
otherwise viviparous Gyrodactylidae. Accordingly, it was proposed that the
species-rich viviparous gyrodactylids diversified and dispersed from there.
METHODS: The mitochondrial genome of Aglaiogyrodactylus forficulatus was
bioinformatically assembled from next-generation illumina MiSeq sequencing reads,
annotated, and compared to previously published mitochondrial genomes of other
monogenoidean flatworm species. RESULTS: The mitochondrial genome of A.
forficulatus consists of 14,371 bp with an average A + T content of 75.12 %. All
expected 12 protein coding, 22 tRNA, and 2 rRNA genes were identified.
Furthermore, there were two repetitive non-coding regions essentially consisting
of 88 bp and 233 bp repeats, respectively. Maximum Likelihood analyses placed the
mitochondrial genome of A. forficulatus in a well-supported clade together with
the viviparous Gyrodactylidae species. The gene order differs in comparison to
that of other monogenoidean species, with rearrangements mainly affecting tRNA
genes. In comparison to Paragyrodactylus variegatus, four gene order
rearrangements, i.e. three transpositions and one complex tandem-duplication
random-loss event, were detected. CONCLUSION: Mitochondrial genome sequence
analyses support a basal position of the oviparous A. forficulatus within
Gyrodactylidae, and a sister group relationship of the oviparous and viviparous
forms.
PMID- 27188229
TI - Improving fertility preservation for girls and women by coupling oocyte in vitro
maturation with existing strategies.
PMID- 27188230
TI - Ruthenium and ruthenium oxide nanofiber supports for enhanced activity of
platinum electrocatalysts in the methanol oxidation reaction.
AB - Novel supports for the dispersion of Pt electrocatalysts in fuel cells are
constantly being developed in order to improve the electrochemical performance
and reduce the cost. The electrocatalytic activity and stability in fuel cells
largely depend on the surface morphology and structure of the support. In this
study, Ru and RuO2 nanofibers prepared by electrospinning and post-calcination
have been considered as Pt-catalyst supports. The composite material loaded with
20 wt% Pt catalyst exhibited a high anodic current density of 641.7 mA mgPt(-1),
a high IF/IB ratio of 1.9, and excellent electrocatalytic stability compared to
commercial Pt/C. The improved anodic current density of the composite is
attributed to the high dispersion of the Pt catalyst over the large surface area
of the nanosized support grains, while its low onset potential, high IF/IB ratio,
and excellent electrocatalytic stability are ascribed to a bifunctional effect
resulting from the existence of Ru atoms on the support surface. Finally, the
efficient electron transfer and a rapid diffusion rate of the electrolyte are due
to the unique network structure of the supports. Thus, the Ru and RuO2 nanofiber
composites act as promising Pt-catalyst supports for the methanol oxidation
reaction.
PMID- 27188232
TI - Splenic scintigraphy for further differentiation of unclear (68) Ga-DOTATOC
PET/CT findings: Strengths and limitations.
AB - Splenic scintigraphy has been described to be a powerful tool in unclear (68 ) Ga
DOTATOC-PET/CT findings, allowing differentiation between somatostatin receptor
(Sst)-positive tissue deriving from neuroendocrine tumour (NET) and functioning
splenic tissue. However, our own experiences sometimes show a lack of
identification on splenic scintigraphy, especially in small lesions, leading to
uncertainties regarding the safe identification of NET or splenic tissue. Here,
we report on 10 cases with (68) Ga-DOTATOC-PET/CT and (99m) Tc-heat-denaturated
red blood cell (HDRBC) scintigraphy and we illustrate the strengths and
limitations of (99m) Tc-HDRBC scintigraphy in this context.
PMID- 27188231
TI - Morc3 mutant mice exhibit reduced cortical area and thickness, accompanied by
altered haematopoietic stem cells niche and bone cell differentiation.
AB - Morc3, a member of a highly conserved nuclear matrix protein super-family plays
an important part in chromatin remodeling, DNA repair, epigenetic regulation and
cellular senescence. However, its role in bone homeostasis is not known. In the
present study, a phenotype-driven ENU mouse mutagenesis screen revealed that
Morc3(mut +/-) mice exhibit reduced cortical area and thickness with increased
cortical porosity. Morc3(mut +/-) mice displayed reduced osteoclast numbers and
surface per bone surface as well as osteocyte numbers, concomitant with altered
gene expressions such as Rankl/Opg and Sost in ex vivo long bones. In vitro
experiments revealed a significant increase in the number of Sca-1(+)/c-kit(+)
haematopoietic stem cells (HSCs), and a significant reduction in senescence
associated beta-galactosidase activity in bone marrow macrophages (BMMs). In
addition, we observed a decrease in osteoclastogenesis and bone resorption
accompanied by upregulation of STAT1 expression in osteoclast lineage cells.
Strikingly, Morc3 protein localization within the nuclear membrane was shifted to
the cytoplasm in Morc3(mut +/-) osteoclasts. Further, Morc3(mut +/-) mice
displayed increased osteoblast differentiation and altered gene expression.
Collectively, our data show that Morc3 is a previously unreported regulator of
cortical bone homeostasis and haematopoietic stem cells niche, accompanied by
altered bone cell differentiation.
PMID- 27188234
TI - Comparison study of clinical outcomes between single-site robotic cholecystectomy
and single incision laparoscopic cholecystectomy.
AB - BACKGROUND: Multiport laparoscopic cholecystectomy is the standard surgical
procedure for symptomatic gallbladder diseases. The latest evolution is single
incision laparoscopic cholecystectomy (SILC). Single-site robotic cholecystectomy
(SSRC) overcomes several limitations of manual SILC. The aim of this study is to
present our initial experiences in SSRC and to compare its clinical outcomes with
those of SILC. METHODS: This study retrospectively reviewed data for patients who
received SSRC or SILC from February 2014 to September 2015. The following
variables were analyzed: age, sex, body mass index, indications, pain scale,
length of stay, and complications. The data were analyzed with Student t test or
by Fisher exact test. RESULTS: The analysis included 51 SSRC (33 women, 18 men)
and 63 SILC patients (40 women, 23 men). Patients in both groups had similar
demographic features and indications for surgery. The SSRC group required no
conversions to conventional laparoscopy and no additional trocars, whereas the
SILC group had two (3.17%) cases. Length of stay did not significantly differ
between the SSRC and SILC groups (4.29 +/- 0.72 vs. 4.13 +/- 0.93 days,
respectively; p = 0.823). However, the SSRC group had shorter operative time
(71.30 +/- 48.88 vs. 74.70 +/- 30.16 minutes; p = 0.772), less perioperative bile
spillage (9.81% vs. 19.05%; p = 0.189), and less postoperative bile leakage (0%
vs. 3.17%; p = 0.501). However, the parameters mentioned above were not
statistically significant, whereas pain scale scores were significantly lower in
the SSRC group (2.11 +/- 0.76 vs. 3.98 +/- 0.84; p < 0.01). CONCLUSIONS: Both
SSRC and SILC are safe and feasible procedures for performing single incision
cholecystectomy. SSRC, however, has the advantage of significantly decreased
postoperative pain.
PMID- 27188233
TI - Patients with first-episode, drug-naive schizophrenia and subjects at ultra-high
risk of psychosis shared increased cerebellar-default mode network connectivity
at rest.
AB - Increased cerebellar-default mode network (DMN) connectivity has been observed in
first-episode, drug-naive patients with schizophrenia. However, it remains
unclear whether increased cerebellar-DMN connectivity starts earlier than disease
onset. Thirty-four ultra-high risk (UHR) subjects, 31 first-episode, drug-naive
patients with schizophrenia and 37 healthy controls were enrolled for a resting
state scan. The imaging data were analyzed using the seed-based functional
connectivity (FC) method. Compared with the controls, UHR subjects and patients
with schizophrenia shared increased connectivity between the right Crus I and
bilateral posterior cingulate cortex/precuneus and between Lobule IX and the left
superior medial prefrontal cortex. There are positive correlations between the
right Crus I-bilateral precuneus connectivity and clinical variables (Structured
Interview for Prodromal Syndromes/Positive and Negative Symptom Scale negative
symptoms/total scores) in the UHR subjects. Increased cerebellar-DMN connectivity
shared by the UHR subjects and the patients not only highlights the importance of
the DMN in the pathophysiology of psychosis but also may be a trait alteration
for psychosis.
PMID- 27188235
TI - Prevalence of sacrococcygeal pilonidal disease in Turkey.
AB - BACKGROUND: Pilonidal sinus disease is frequently observed in the producing
population; despite it not being a malignant disease, attention of clinicians to
this disease has increased. Studies on this disease have not clearly revealed its
prevalence. We aimed to detect its dispersion characteristics in our country.
MATERIALS AND METHODS: Our study was designed as a descriptive study involving a
large number of patients. A total of 19,013 candidates, aged between 17 years and
28 years and coming from different regions of the country, who applied to be
students or officials of the state's schools and institutions, were enrolled in
the study. Examination was performed including the perineal region, the presence
of any comorbid diseases was noted, and clinical types of pilonidal sinus disease
were detected according to Tezel's classification and recorded. RESULTS:
Pilonidal sinus was detected in a total of 1258 (6.6%) candidates, of whom 72
(0.37%) were female and 1186 (6.23%) male. Our clinical experience showed that
pilonidal cysts had a higher incidence in the Turkish population than in other
populations, and it was especially increased in individuals of military age.
CONCLUSION: We believe that soldiers coming from different regions of Turkey and
candidates applying for auxiliary staff positions provide a small sample group
resembling a representation of the whole of Turkey.
PMID- 27188236
TI - Moroxydine hydrochloride inhibits grass carp reovirus replication and suppresses
apoptosis in Ctenopharyngodon idella kidney cells.
AB - Moroxydine hydrochloride (Mor) is known to have multi-antiviral activities
against DNA and RNA viruses but very little information exists on its
pharmacology. The paper was undertaken to explore the antiviral response and
antiapoptotic mechanism of Mor against grass carp reovirus (GCRV) in
Ctenopharyngodon idella kidney (CIK) cells. The results showed that exposing GCRV
infected cell to 6.3 MUg mL(-1) of Mor for 96 h avoid ca. 50% apoptosis.
Meanwhile, Mor had lower cytotoxicity than ribavirin (Rib) as the value of safe
concentration was threefold higher than effective concentration and the compound
could ensure sufficient into and out of cells within 4 h when tested at the
maximal safe concentration. Mor blocked the GCRV-induced cytopathic effects and
eliminated nucleocapsids in CIK cells to keep the normal morphological structure.
Moreover, the expressions of viral protein genes were significantly inhibited
especially the guanylyl transferase and RNA-dependent RNA polymerase related
expression. Furthermore, GCRV caused Bcl-2 down-regulation and Bax mitochondrial
translocation was prevented by treatment of CIK cells with Mor. The downstream
effector, caspase activity was also significantly inhibited in Mor treated cells.
The potential mechanism might be that mitochondrial apoptotic signals were not
activated by the intervention of Mor for targeting viral gene expression. Taken
together, Mor showed high anti-GCRV activity and had been proved as a secure and
promising agent in viral controlling in aquaculture industry.
PMID- 27188238
TI - Taking a stand against predatory publishers.
PMID- 27188237
TI - Contrasting Linguistic and Genetic Origins of the Asian Source Populations of
Malagasy.
AB - The Austronesian expansion, one of the last major human migrations, influenced
regions as distant as tropical Asia, Remote Oceania and Madagascar, off the east
coast of Africa. The identity of the Asian groups that settled Madagascar is
particularly mysterious. While language connects Madagascar to the Ma'anyan of
southern Borneo, haploid genetic data are more ambiguous. Here, we screened
genome-wide diversity in 211 individuals from the Ma'anyan and surrounding groups
in southern Borneo. Surprisingly, the Ma'anyan are characterized by a distinct,
high frequency genomic component that is not found in Malagasy. This novel
genetic layer occurs at low levels across Island Southeast Asia and hints at a
more complex model for the Austronesian expansion in this region. In contrast,
Malagasy show genomic links to a range of Island Southeast Asian groups,
particularly from southern Borneo, but do not have a clear genetic connection
with the Ma'anyan despite the obvious linguistic association.
PMID- 27188240
TI - Associations between hospital-wide readmission rates and mortality measures at
the hospital level: Are hospital-wide readmissions a measure of quality?
PMID- 27188239
TI - An intein-mediated modulation of protein stability system and its application to
study human cytomegalovirus essential gene function.
AB - Functional analysis of the essential proteins encoded by human cytomegalovirus
(HCMV) is hindered by the lack of complementing systems. To overcome this
difficulty, we have established a novel approach, termed the intein-mediated
modulation of protein stability (imPS), in which a destabilizing domain and part
of a split intein are fused to the essential protein. The growth of the mutant
virus can then be regulated by the degradation and splicing of the protein. We
found that an ultrafast gp41-1 split intein was able to rescue or degrade the
protein of interest (POI) by removing or adding a strong degron through protein
splicing. As a result, the function of the POI was turned on or off during the
process. Using HCMV essential gene IE1/IE2, we confirmed that imPS worked
remarkably well in conditionally regulating protein stability during viral
infection. This conditional approach is likely to be applicable for dissecting
the gene functions of HCMV or other viruses.
PMID- 27188242
TI - Novel piroxicam-loaded nanospheres generated by the electrospraying technique:
physicochemical characterisation and oral bioavailability evaluation.
AB - To determine if a novel electrospraying technique could be applied to an oral
drug delivery system for improving the solubility and oral bioavailability of
poorly water-soluble piroxicam; the nanospheres were generated with drug and
polyvinylpyrrolidone (PVP) using electrospraying technique; and their
physicochemical properties, solubility, release and pharmacokinetics were
evaluated in comparison with piroxicam powder. All nanospheres had significantly
increased drug solubility and dissolution rates in comparison with the drug
powder. In particular, the nanosphere composed of piroxicam and PVP at a weight
ratio of 2:8 gave about 600-fold higher solubility, 15-fold higher release rate
and 3-fold higher AUC in comparison to piroxicam powder, leading to significantly
enhanced oral bioavailability in rats, due to the mingled effect of nanonisation
along with transformation to the amorphous state. Thus, this electrospraying
technique can be utilised to produce a novel oral nanosphere delivery system with
enhanced solubility and oral bioavailability for poorly water-soluble piroxicam.
PMID- 27188241
TI - Early neurological deterioration after thrombolysis: Clinical and imaging
predictors.
AB - BACKGROUND: National Institutes of Health Stroke Scale is the most common scale
used in stroke patients. An increase of four points or more within 24 h signifies
early neurological deterioration. We aimed to establish how often early
neurological deterioration occurs in a cohort selected by magnetic resonance
imaging and which factors predicted early neurological deterioration. METHODS: In
this single-center study, we collected epidemiological, imaging and outcome data
on 569 consecutive patients undergoing reperfusion therapy after magnetic
resonance imaging selection. RESULTS: Of these, 33 (5.8%) experienced early
neurological deterioration. Seven were due to a symptomatic intracerebral
hemorrhage, 23 were caused by extension of ischemia on follow-up imaging and
three were due to progression on the basis of small vessel disease. Early
neurological deterioration was predicted by a larger perfusion lesion, higher
blood glucose and presence of large vessel disease. Penumbra occurred in 34% of
patients but only 9% of patients with penumbra experienced early neurological
deterioration, thus eroding the value of penumbra as an imaging marker. Early
neurological deterioration was a poor prognostic sign. Odds ratio for disability
or death was 14.9 (95% confidence interval: 6.5-34.0). CONCLUSION: Early
neurological deterioration is rare. It originates mainly from ischemic infarct
growth rather than from hemorrhage. Concern should be highest in patients with
elevated blood glucose, larger perfusion lesions and large vessel disease. Prior
aspirin use increases risk of symptomatic intracerebral hemorrhage.
PMID- 27188243
TI - Fe-Catalyzed Hydroalkylation of Olefins with para-Quinone Methides.
AB - A novel Fe-catalyzed hydroalkylation of olefins with para-quinone methides (p
QMs) for accessing phenols has been developed. In this protocol, various olefins
could convert to alkyl radicals and undergo addition to para-quinone methides
toward C-C bond formation and aromatization. The reaction conditions are mild and
the substrate scopes are broad.
PMID- 27188245
TI - Is treatment de-escalation a reality in HPV related oropharyngeal cancer?
AB - The incidence of HPV related oropharyngeal squamous cell carcinoma (OPSCC) is
rapidly increasing. It is now well recognised as a distinct clinical and biologic
entity, compared to traditional OPSCC. The majority of these patients have an
excellent prognosis due to the chemo-radiosensitive nature of these tumours. The
de-escalation of current treatment regimens have therefore been proposed in an
attempt to reduce the long term treatment related morbidity of this much younger
patient cohort. Several of the more pertinent points regarding safe de-escalation
strategies are considered within this manuscript.
PMID- 27188246
TI - Using Insights From Behavioral Economics to Strengthen Disaster Preparedness and
Response.
AB - Behavioral economics is based on the idea that individuals' decisions are
affected by systematic and predictable cognitive biases and that these same
biases can be leveraged to change behavior and improve decision-making. Insights
from behavioral economics have been used to encourage a range of desired
behaviors but have rarely been used in disaster preparedness and response, though
traditional efforts by public health practitioners have failed to increase
adoption of key preparedness behaviors. In this work, we aim to show how some of
the key concepts in the behavioral economics literature are applicable to
behaviors related to disaster preparedness and response, and we present ideas for
behavioral economics-based interventions that we vetted with public health
officials. Two of the best-received interventions were applications of social
norms approaches, which leverage social influence bias, and commitment devices,
which leverage present bias and loss aversion. Although the current evidence base
for the applications of concepts from behavioral economics in disaster
preparedness and response is weak, behavioral economics has achieved positive
results in similar decision-making contexts. The low cost and potentially high
impact of behavioral economics-based interventions warrant further investigation
and testing. (Disaster Med Public Health Preparedness. 2016;page 1 of 7).
PMID- 27188244
TI - Molecular composition of GAG-collagen I multilayers affects remodeling of
terminal layers and osteogenic differentiation of adipose-derived stem cells.
AB - The effect of molecular composition of multilayers, by pairing type I collagen
(Col I) with either hyaluronic acid (HA) or chondroitin sulfate (CS) was studied
regarding the osteogenic differentiation of adhering human adipose-derived stem
cells (hADSCs). Polyelectrolyte multilayer (PEM) formation was based primarily on
ion pairing and on additional intrinsic cross-linking through imine bond
formation with Col I replacing native by oxidized HA (oHA) or CS (oCS).
Significant amounts of Col I fibrils were found on both native and oxidized CS
based PEMs, resulting in higher water contact angles and surface potential under
physiological condition, while much less organized Col I was detected in either
HA-based multilayers, which were more hydrophilic and negatively charged. An
important finding was that hADSCs remodeled Col I at the terminal layers of PEMs
by mechanical reorganization and pericellular proteolytic degradation, being more
pronounced on CS-based PEMs. This was in accordance with the higher quantity of
Col I deposition in this system, accompanied by more cell spreading, focal
adhesions (FA) formation and significant alpha2beta1 integrin recruitment
compared to HA-based PEMs. Both CS-based PEMs caused also an increased
fibronectin (FN) secretion and cell growth. Furthermore, significant calcium
phosphate deposition, enhanced ALP, Col I and Runx2 expression were observed in
hADSCs on CS-based PEMs, particularly on oCS-containing one. Overall, multilayer
composition can be used to direct cell-matrix interactions, and hence stem cell
fates showing for the first time that PEMs made of biogenic polyelectrolytes
undergo significant remodeling of terminal protein layers, which seems to enable
cells to form a more adequate extracellular matrix-like environment. STATEMENT OF
SIGNIFICANCE: Natural polymer derived polyelectrolyte multilayers (PEMs) have
been recently applied to adjust biomaterials to meet specific tissue demands.
However, the effect of molecular composition of multilayers on both surface
properties and cellular response, especially the fate of human adipose derived
stem cells (hADSCs) upon osteogenic differentiation has not been studied
extensively, yet. In addition, no studies exist that investigate a potential cell
dependent remodeling of PEMs made of extracellular matrix (ECM) components like
collagens and glycosaminoglycans (GAGs). Furthermore, there is no knowledge
whether the ability of cells to remodel PEM components may provide an added value
regarding cell growth and differentiation. Finally, it has not been explored yet,
how intrinsic cross-linking of ECM derived polyelectrolytes that improve the
stability of PEMs will affect the differentiation potential of hADSCs. The
current work aims to address these questions and found that the type of GAG has a
strong effect on properties of multilayers and osteogenic differentiation of
hADSCs. Additionally, we also show for the first time that PEMs made of biogenic
polyelectrolytes undergo significant remodeling of terminal layers as completely
new finding, which allows cells to form an ECM-like environment supporting
differentiation upon osteogenic lineage. The finding of this work may open new
avenues of application of PEM systems made by layer by layer (LbL) technique in
tissue engineering and regenerative medicine.
PMID- 27188247
TI - Polymer Membranes with Vertically Oriented Pores Constructed by 2D Freezing at
Ambient Temperature.
AB - Polymer membranes with well-controlled and vertically oriented pores are of great
importance in the applications for water treatment and tissue engineering. On the
basis of two-dimensional solvent freezing, we report environmentally friendly
facile fabrication of such membranes from a broad spectrum of polymer resources
including poly(vinylidene fluoride), poly(l-lactic acid), polyacrylonitrile,
polystyrene, polysulfone and polypropylene. Dimethyl sulfone, diphenyl sulfone,
and arachidic acid are selected as green solvents crystallized in the polymer
matrices under two-dimensional temperature gradients induced by water at ambient
temperature. Parallel Monte Carlo simulations of the lattice polymers demonstrate
that the directional process is feasible for each polymer holding suitable
interaction with a corresponding solvent. As a typical example of this approach,
poly(vinylidene fluoride) membranes exhibit excellent tensile strength, high
optical transparence, and outstanding separation performance for the mixtures of
yeasts and lactobacilli.
PMID- 27188249
TI - The space of ultrametric phylogenetic trees.
AB - The reliability of a phylogenetic inference method from genomic sequence data is
ensured by its statistical consistency. Bayesian inference methods produce a
sample of phylogenetic trees from the posterior distribution given sequence data.
Hence the question of statistical consistency of such methods is equivalent to
the consistency of the summary of the sample. More generally, statistical
consistency is ensured by the tree space used to analyse the sample. In this
paper, we consider two standard parameterisations of phylogenetic time-trees used
in evolutionary models: inter-coalescent interval lengths and absolute times of
divergence events. For each of these parameterisations we introduce a natural
metric space on ultrametric phylogenetic trees. We compare the introduced spaces
with existing models of tree space and formulate several formal requirements that
a metric space on phylogenetic trees must possess in order to be a satisfactory
space for statistical analysis, and justify them. We show that only a few known
constructions of the space of phylogenetic trees satisfy these requirements.
However, our results suggest that these basic requirements are not enough to
distinguish between the two metric spaces we introduce and that the choice
between metric spaces requires additional properties to be considered.
Particularly, that the summary tree minimising the square distance to the trees
from the sample might be different for different parameterisations. This suggests
that further fundamental insight is needed into the problem of statistical
consistency of phylogenetic inference methods.
PMID- 27188250
TI - Mathematical model of plant-virus interactions mediated by RNA interference.
AB - Cross-protection, which refers to a process whereby artificially inoculating a
plant with a mild strain provides protection against a more aggressive isolate of
the virus, is known to be an effective tool of disease control in plants. In this
paper we derive and analyse a new mathematical model of the interactions between
two competing viruses with particular account for RNA interference. Our results
show that co-infection of the host can either increase or decrease the potency of
individual infections depending on the levels of cross-protection or cross
enhancement between different viruses. Analytical and numerical bifurcation
analyses are employed to investigate the stability of all steady states of the
model in order to identify parameter regions where the system exhibits
synergistic or antagonistic behaviour between viral strains, as well as different
types of host recovery. We show that not only viral attributes but also the
propagating component of RNA-interference in plants can play an important role in
determining the dynamics.
PMID- 27188248
TI - Developing a Cognition Endpoint for Traumatic Brain Injury Clinical Trials.
AB - Cognitive impairment is a core clinical feature of traumatic brain injury (TBI).
After TBI, cognition is a key determinant of post-injury productivity, outcome,
and quality of life. As a final common pathway of diverse molecular and
microstructural TBI mechanisms, cognition is an ideal endpoint in clinical trials
involving many candidate drugs and nonpharmacological interventions. Cognition
can be reliably measured with performance-based neuropsychological tests that
have greater granularity than crude rating scales, such as the Glasgow Outcome
Scale-Extended, which remain the standard for clinical trials. Remarkably,
however, there is no well-defined, widely accepted, and validated cognition
endpoint for TBI clinical trials. A single cognition endpoint that has excellent
measurement precision across a wide functional range and is sensitive to the
detection of small improvements (and declines) in cognitive functioning would
enhance the power and precision of TBI clinical trials and accelerate drug
development research. We outline methodologies for deriving a cognition composite
score and a research program for validation. Finally, we discuss regulatory
issues and the limitations of a cognition endpoint.
PMID- 27188251
TI - Theoretical knock-outs on biological networks.
AB - In this work we redefine the concept of biological importance and how to compute
it, based on a model of complex networks and random walk. We call this new
procedure, theoretical knock-out (KO). The proposed method generalizes the
procedure presented in a recent study about Oral Tolerance. To devise this
method, we make two approaches: algebraically and algorithmically. In both cases
we compute a vector on an asymptotic state, called flux vector. The flux is given
by a random walk on a directed graph that represents a biological phenomenon.
This vector gives us the information about the relative flux of walkers on a
vertex which represents a biological agent. With two vector of this kind, we can
calculate the relative mean error between them by averaging over its
coefficients. This quantity allows us to assess the degree of importance of each
vertex of a complex network that evolves in time and has experimental background.
We find out that this procedure can be applied in any sort of biological
phenomena in which we can know the role and interrelationships of its agents.
These results also provide experimental biologists to predict the order of
importance of biological agents on a mounted complex network.
PMID- 27188252
TI - Stability and convergence analysis of a variable order replicator-mutator process
in a moving medium.
AB - A more generalized approach, the concept of variable order derivative, is used to
study the well-known replicator-mutator dynamics taking place in a moving medium.
The biological relevance of the variable order context is explored via the
language learning in social groups and stability of fixed points for the
generalized model is recalled and discussed. Related graphs are plotted for
different values of the derivative order gamma. It happens that the threshold
condition for learning accuracy symbolized by a function of payoff is a
monotonically increasing function irrespective of the value of the time
derivative order. Also, the limit cycles and their amplitudes are shown to vary
with the value of the derivative order gamma. These amplitudes become bigger as
gamma grows but the stability of the system is not affected. The generalized
model, namely the variable order replicator-mutator dynamics in a moving medium
is numerically solved via Crank-Nicholson scheme whose stability and convergence
results are provided in details. An application to a variable order replicator
mutator dynamics of a population with three strategies is presented and numerical
simulations are performed for some fixed values of the position variable r and
the grid points. They display limit cycles appearing and disappearing in function
of the values of the position r. The amplitudes of limit cycles are also proved
to proportionally depend on r and the stability of the system remains unaffected.
This shows the impressive effect of the transport process on the bifurcation
dynamics of the model.
PMID- 27188253
TI - Progress in stem cell-based therapy for liver disease.
AB - Liver transplantation has been accepted as a useful therapeutic approach for
patients with end-stage liver disease. However, the mismatch between the great
demand for liver transplants and the number of available donor organs underscores
the urgent need for alternative therapeutic strategies for patients with acute
and chronic liver failure. The rapidly growing knowledge on stem cell biology has
opened new avenues toward stem cell-based therapy for liver disease. As stem
cells have capacity for high proliferation and multipotent differentiation, the
characteristics of stem cells fit the cell therapy. Several types of cells have
been investigated as possible sources of liver regeneration: mesenchymal stem
cells, hematopoietic stem cells, liver progenitor cells, induced pluripotent stem
cells, and bone marrow mononuclear cells. In vitro and in vivo experiments
revealed that these cells have great potential as candidates of stem cell
therapy. We reviewed the reports on clinical trials of cell therapy for liver
disease that have been recently undertaken using mesenchymal stem cells,
hematopoietic stem cells, bone marrow mononuclear cells, and liver progenitor
cells. These reports have heterogeneity of description of trial design, types of
infused cells, patient population, and efficacy of therapies. We addressed these
reports from these viewpoints and clarified their significance. We hope that this
review article will provide a perspective on the available approaches based on
stem cell-based therapy for liver disease.
PMID- 27188254
TI - Genesis of the vertebrate FoxP subfamily member genes occurred during two
ancestral whole genome duplication events.
AB - The vertebrate FoxP subfamily genes play important roles in the construction of
essential functional modules involved in physiological and developmental
processes. To explore the adaptive evolution of functional modules associated
with the FoxP subfamily member genes, it is necessary to study the gene
duplication process. We detected four member genes of the FoxP subfamily in sea
lampreys (a representative species of jawless vertebrates) through genome
screenings and phylogenetic analyses. Reliable paralogons (i.e. paralogous
chromosome segments) have rarely been detected in scaffolds of FoxP subfamily
member genes in sea lampreys due to the considerable existence of HTH_Tnp_Tc3_2
transposases. However, these transposases did not alter gene numbers of the FoxP
subfamily in sea lampreys. The coincidence between the "1-4" gene duplication
pattern of FoxP subfamily genes from invertebrates to vertebrates and two rounds
of ancestral whole genome duplication (1R- and 2R-WGD) events reveal that the
FoxP subfamily of vertebrates was quadruplicated in the 1R- and 2R-WGD events.
Furthermore, we deduced that a synchronous gene duplication process occurred for
the FoxP subfamily and for three linked gene families/subfamilies (i.e. MIT
family, mGluR group III and PLXNA subfamily) in the 1R- and 2R-WGD events using
phylogenetic analyses and mirror-dendrogram methods (i.e. algorithms to test
protein-protein interactions). Specifically, the ancestor of FoxP1 and FoxP3 and
the ancestor of FoxP2 and FoxP4 were generated in 1R-WGD event. In the subsequent
2R-WGD event, these two ancestral genes were changed into FoxP1, FoxP2, FoxP3 and
FoxP4. The elucidation of these gene duplication processes shed light on the
phylogenetic relationships between functional modules of the FoxP subfamily
member genes.
PMID- 27188256
TI - Downregulation of cell division cycle 25 homolog C reduces the radiosensitivity
and proliferation activity of esophageal squamous cell carcinoma.
AB - Radiation therapy is one of the most important methods of contemporary cancer
treatment. Cells in the G2 and M phases are more sensitive to radiation therapy,
and cell division cycle 25 homolog C (CDC25C) is essential in shifting the cell
cycle between these two phases. In this study, the knockdown of CDC25C in human
esophageal squamous carcinoma EC9706 cells was mediated by transfecting shRNA
against human CDC25C-subcloning into pGV248. The levels of CDC25C mRNA and
protein expression were assessed by reverse transcription-polymerase chain
reaction (RT-PCR) and western blotting, respectively. Moreover, cell
proliferation and radiosensitivity were measured. Stable CDC25C-knockdown EC9706
cell lines were successfully established. Furthermore, the proliferation of both
control and CDC25C-shRNA-EC9706 cells was inhibited after the cells were treated
with increasing X-ray doses, and the proliferation of the control cells was
affected more significantly (p<0.05). Moreover, cell colony formation assays
allowed us to reach the same conclusion. Taken together, our experiments
demonstrated that the knockdown of CDC25C can reduce both the radiotherapy
sensitivity and the proliferation activity of EC9706 cells. Thus, CDC25C might be
a potential biomarker for radiotherapy treatment.
PMID- 27188255
TI - Identification and expression of a novel carbonic anhydrase isozyme in the
pufferfish Takifugu vermicularis.
AB - Carbonic anhydrase (CA) is a key element for maintaining acid base balance in
fish. In our present experiment, novel CA isozymes were identified from the pear
puffer (Takifugu vermicularis). Based on the high homology of two predicted CA
sequences of the tiger puffer (Takifugu rubripes), a 1715bp novel cDNA was
obtained from T. vermicularis. The open reading frame showed a complete coding
sequence of 552bp with a deduced peptide sequence of 183 amino acids that
exhibited highest (97%) identity with pufferfish putative CA III and CA IV-like
sequences. In addition, this translated protein sequence showed 36-37% identity
with zebrafish CA IV-like, CA XVa, CA XVb, and CA XVc proteins. Phylogenetic
analysis revealed that the pufferfish novel protein (pCAn) was a membrane-bound
CA protein. Alignment of multiple CA sequences illustrated that most of the
putative active site residues of the pCAn isozyme were situated at highly
conserved regions of the CA sequences. Examination of motif distribution
suggested that the pCAn isozyme was very similar to the puffer predicted CA IV
like isozyme. Reverse transcription-polymerase chain reaction (PCR) analysis
showed highly differential expression in the brain, gills, kidney, and muscle,
whereas CA mRNA expression was almost absent in heart, liver, and intestine.
Quantitative PCR expression of CA mRNA abundance suggested several-fold higher
expression of pCAn isozymes in the gills compared to other tissues tested. Our
results suggest that the pCAn isozyme might be related to CA IV-like isozymes.
Further functional studies are needed to investigate the function of the pCAn
isozyme in T. vermicularis.
PMID- 27188257
TI - Copy number variations in Hanwoo and Yanbian cattle genomes using the massively
parallel sequencing data.
AB - Hanwoo is an indigenous Korean beef cattle breed, and it shared an ancestor with
Yanbian cattle that are found in the Northeast provinces in China until the last
century. During recent decades, those cattle breeds experienced different
selection pressures. Here, we present genome-wide copy number variations (CNVs)
by comparing Hanwoo and Yanbian cattle sequencing data. We used ~3.12 and ~3.07
billion sequence reads from Hanwoo and Yanbian cattle, respectively. A total of
901 putative CNV regions (CNVRs) were identified throughout the genome,
representing 5,513,340bp. This is a smaller number than has been reported in
previous studies, indicating that Hanwoo are genetically close to Yanbian cattle.
Of the CNVRs, 53.2% and 46.8% were found to be gains and losses in Hanwoo.
Potential functional roles of each CNVR were assessed by annotating all CNVRs and
gene ontology (GO) enrichment analysis. We found that 278 CNVRs overlapped with
cattle gene-sets (genic-CNVRs) that could be promising candidates to account for
economically important traits in cattle. The enrichment analysis indicated that
genes were significantly over-represented in GO terms, including developmental
process, multicellular organismal process, reproduction, and response to
stimulus. These results provide a valuable genomic resource for determining how
CNVs are associated with cattle traits.
PMID- 27188258
TI - Self-assembly modified-mushroom nanocomposite for rapid removal of hexavalent
chromium from aqueous solution with bubbling fluidized bed.
AB - A self-assembled modified Pleurotus Cornucopiae material (SMPM) combined with
improved Intermittent Bubbling Fluidized Bed (IBFB) was investigated to remove
the hexavalent chromium ions in aqueous solution. After the modification, the
powder-like raw material gradually self-assembled together to SMPM, which had
crinkly porous structure, improved the Cr-accommodation ability in a sound
manner. Optimized by Taguchi method, Cr(VI) removal efficiency was up to 75.91%
and 48.01% for 100 mg/L and 500 mg/L initial concentration of Cr(VI),
respectively. Results indicated that the metal removal was dependent on dosage of
adsorbent, particle diameter and treatment time. The experimental data obtained
from the biosorption process was successfully correlated with Freundlich isotherm
model. Thermodynamic study indicated the endothermic nature of the process. The
results confirmed that self-assembly modified Pleurotus Cornucopiae material
could be applied for the removal of heavy metal from wastewater in continuous
fluidized bed process.
PMID- 27188260
TI - Case report: false positive elevated serum-galactomannan levels after autologous
hematopoietic stem cell transplantation caused by oral nutritional supplements.
AB - Positive galactomannan tests in patients who underwent chemotherapy without any
clinical signs of a fungal infection should lead the clinician to consideration
of a false-positive test result. Oral nutritional supplements may be a cause,
especially in the case of concomitant disturbance of the gastrointestinal mucosal
barrier because of mucositis.
PMID- 27188259
TI - Midlife moderation-quantified healthy diet and 40-year mortality risk from CHD:
the prospective National Heart, Lung, and Blood Institute Twin Study.
AB - It is unknown whether influences of midlife whole diet on the long-term CHD
mortality risk are independent of genetic and common environmental factors or
familial predisposition. We addressed this question prospectively using data from
the National Heart, Lung, and Blood Institute Twin Study. We included 910 male
twins who were middle-aged and had usual diet assessed with nutritionist
administered, cross-checked dietary history interview at baseline (1969-1973).
Moderation-quantified healthy diet (MQHD), a dietary pattern, was created to
evaluate a whole diet. Primary outcome was time-to-CHD death. Hazard ratios (HR)
were estimated using frailty survival model. Known CHD risk factors were
controlled. During the follow-up of 40 years through 31 December 2009, 113 CHD
deaths, 198 total cardiovascular deaths and 610 all-cause deaths occurred. In the
entire cohort, the multivariable-adjusted HR for the overall association
(equivalent to a general population association) was 0.76 (95 % CI 0.66, 0.88)
per 10-unit increment in the MQHD score for CHD, and the multivariable-adjusted
HR for a twin with a MQHD score ten units higher than his co-twin brother was
0.79 (95 % CI 0.64, 0.96, P=0.02) for CHD independent of familial predisposition.
Similar results were found for a slightly more food-specified alternative
moderation-quantified healthy diet (aMQHD). The between-pair association
(reflecting familial influence) was significant for CHD for both MQHD and aMQHD.
It is concluded that associations of MQHD and aMQHD with a lower long-term CHD
mortality risk are both nutritionally and familially affected, supporting their
use for dietary planning to prevent CHD mortality.
PMID- 27188261
TI - Follicular cell-derived thyroid cancer.
AB - Follicular cell-derived thyroid cancers are derived from the follicular cells in
the thyroid gland, which secrete the iodine-containing thyroid hormones.
Follicular cell-derived thyroid cancers can be classified into papillary thyroid
cancer (80-85%), follicular thyroid cancer (10-15%), poorly differentiated
thyroid cancer (<2%) and undifferentiated (anaplastic) thyroid cancer (<2%), and
these have an excellent prognosis with the exception of undifferentiated thyroid
cancer. The advent and expansion of advanced diagnostic techniques has driven and
continues to drive the epidemic of occult papillary thyroid cancer, owing to
overdiagnosis of clinically irrelevant nodules. This transformation of the
thyroid cancer landscape at molecular and clinical levels calls for the
modification of management strategies towards personalized medicine based on
individual risk assessment to deliver the most effective but least aggressive
treatment. In thyroid cancer surgery, for instance, injuries to structures
outside the thyroid gland, such as the recurrent laryngeal nerve in 2-5% of
surgeries or the parathyroid glands in 5-10% of surgeries, negatively affect
quality of life more than loss of the expendable thyroid gland. Furthermore, the
risks associated with radioiodine ablation may outweigh the risks of persistent
or recurrent disease and disease-specific mortality. Improvement in the health
related quality of life of survivors of follicular cell-derived thyroid cancer,
which is decreased despite the generally favourable outcome, hinges on early
tumour detection and minimization of treatment-related sequelae. Future
opportunities include more widespread adoption of molecular and clinical risk
stratification and identification of actionable targets for individualized
therapies.
PMID- 27188262
TI - FGF19 and FGF21 serum concentrations in human obesity and type 2 diabetes behave
differently after diet- or surgically-induced weight loss.
AB - BACKGROUND & AIMS: Fibroblast growth factor 19 (FGF19) and 21 (FGF21) have
emerged as key regulators of energy homeostasis. Our aim was to analyze the
impact of weight loss (WL) induced either by conventional dietary treatment (CDT)
or bariatric surgery on FGF19 and FGF21 concentrations. Furthermore, the diverse
effect of sleeve gastrectomy (SG) versus RYGB (Roux-en-Y gastric bypass) as two
surgical procedures that affect the gastrointestinal anatomy and physiology
differently was also analyzed. METHODS: Serum concentrations of FGF19 and FGF21
were measured in 137 obese patients with different degrees of insulin resistance
matched by sex, age and body adiposity and compared to 33 lean individuals.
Furthermore, FGF19 and FGF21 were measured in 114 subjects before and one-year
after WL induced either by CDT (n = 28), SG (n = 20) or RYGB (n = 66). RESULTS:
Circulating serum FGF19 concentrations were decreased (P < 0.01) similarly in
obese patients regardless of their degree of insulin resistance, while FGF21
levels were increased in obesity (P < 0.01), being further increased in obesity
associated T2D (P < 0.01). FGF19 concentrations were increased in obese subjects
after surgically-induced WL (P < 0.01), but not after WL achieved by CDT, while
FGF21 levels were reduced after CDT- (P < 0.05) or SG-induced WL (P < 0.05), but
not after RYGB. The change in FGF21 concentrations emerged as a significant
predictor of the change in insulin resistance (HOMA) after WL. CONCLUSIONS: Based
on the circulating concentrations and their subsequent pattern of response
following WL, we conclude that FGF19 levels are mainly related to body adiposity,
in particular visceral adiposity, while FGF21 is mainly related to glucose
homeostasis. CLINICALTRIALS. GOV IDENTIFIER: NCT01572090.
PMID- 27188263
TI - Blood product transfusions are associated with an increase in serum (1-3)-beta-d
glucan in infants during the initial hospitalization in neonatal intensive care
unit (NICU).
AB - INTRODUCTION: Serum (1-3)-beta-d-glucan (BDG) assay has been proposed as an
adjunct for the rapid diagnosis of invasive fungal infection (IFI). However,
false-positive results have been reported following transfusion of blood products
in adults. AIMS: To assess the relationship between blood product transfusion and
elevated BDG in neonates. METHOD: Retrospective study including neonates <=32
weeks, with no fungal colonization or infection, in whom BDG assay was performed
for suspicion of IFI. Patients were classified in Transfusion (n = 78) and No
Transfusion (n = 55) groups depending on whether or not they were transfused.
Clinical, biochemical and microbiological characteristics were recorded. A BDG
assay >80 pg/mL was considered as positive. STATISTICAL ANALYSES: bivariate and
multivariate logistic regression. Results (median, IQR): One hundred and thirty
three infants were included (gestational age 28.4 weeks, 26.9-30; birth weight
1000 g, 847-1300). BDG was higher in the Transfusion group (170 pg/mL, 65-317)
than in the No Transfusion group (57 pg/mL, 34-108; p < 0.001). False-positive
BDG assay results were associated with red blood cells (RBC) and fresh frozen
plasma (FFP) transfusions. CONCLUSION: BDG is increased after RBC and FFP
transfusions in neonates, leading to overdiagnosis of IFI. Fungal colonization
status in peripheral sites and central cultures could help to reduce the risk of
misdiagnosis.
PMID- 27188264
TI - When alcohol is the answer: Trapping, identifying and quantifying simple
alkylating species in aqueous environments.
AB - Alkylating agents are a significant class of environmental carcinogens as well as
commonly used anticancer therapeutics. Traditional alkylating activity assays
have utilized the colorimetric reagent 4-(4-nitrobenzyl)pyridine (4NBP). However,
4NBP based assays have a relatively low sensitivity towards harder, more
oxophilic alkylating species and are not well suited for the identification of
the trapped alkyl moiety due to adduct instability. Herein we describe a method
using water as the trapping agent which permits the trapping of simple alkylating
electrophiles with a comparatively wide range of softness/hardness and permits
the identification of donated simple alkyl moieties.
PMID- 27188265
TI - Flow cytometry combined with viSNE for the analysis of microbial biofilms and
detection of microplastics.
AB - Biofilms serve essential ecosystem functions and are used in different technical
applications. Studies from stream ecology and waste-water treatment have shown
that biofilm functionality depends to a great extent on community structure. Here
we present a fast and easy-to-use method for individual cell-based analysis of
stream biofilms, based on stain-free flow cytometry and visualization of the high
dimensional data by viSNE. The method allows the combined assessment of community
structure, decay of phototrophic organisms and presence of abiotic particles. In
laboratory experiments, it allows quantification of cellular decay and detection
of survival of larger cells after temperature stress, while in the field it
enables detection of community structure changes that correlate with known
environmental drivers (flow conditions, dissolved organic carbon, calcium) and
detection of microplastic contamination. The method can potentially be applied to
other biofilm types, for example, for inferring community structure for
environmental and industrial research and monitoring.
PMID- 27188268
TI - Sub-5 nm nanostructures fabricated by atomic layer deposition using a carbon
nanotube template.
AB - The fabrication of nanostructures having diameters of sub-5 nm is very a
important issue for bottom-up nanofabrication of nanoscale devices. In this work,
we report a highly controllable method to create sub-5 nm nano-trenches and
nanowires by combining area-selective atomic layer deposition (ALD) with single
walled carbon nanotubes (SWNTs) as templates. Alumina nano-trenches having a
depth of 2.6 ~ 3.0 nm and SiO2 nano-trenches having a depth of 1.9 ~ 2.2 nm fully
guided by the SWNTs have been formed on SiO2/Si substrate. Through infilling ZnO
material by ALD in alumina nano-trenches, well-defined ZnO nanowires having a
thickness of 3.1 ~ 3.3 nm have been fabricated. In order to improve the
electrical properties of ZnO nanowires, as-fabricated ZnO nanowires by ALD were
annealed at 350 degrees C in air for 60 min. As a result, we successfully
demonstrated that as-synthesized ZnO nanowire using a specific template can be
made for various high-density resistive components in the nanoelectronics
industry.
PMID- 27188267
TI - Comparative measurement and quantitative risk assessment of alcohol consumption
through wastewater-based epidemiology: An international study in 20 cities.
AB - Quantitative measurement of drug consumption biomarkers in wastewater can provide
objective information on community drug use patterns and trends. This study
presents the measurement of alcohol consumption in 20 cities across 11 countries
through the use of wastewater-based epidemiology (WBE), and reports the
application of these data for the risk assessment of alcohol on a population
scale using the margin of exposure (MOE) approach. Raw 24-h composite wastewater
samples were collected over a one-week period from 20 cities following a common
protocol. For each sample a specific and stable alcohol consumption biomarker,
ethyl sulfate (EtS) was determined by liquid chromatography coupled to tandem
mass spectrometry. The EtS concentrations were used for estimation of per capita
alcohol consumption in each city, which was further compared with international
reports and applied for risk assessment by MOE. The average per capita
consumption in 20 cities ranged between 6.4 and 44.3L/day/1000 inhabitants. An
increase in alcohol consumption during the weekend occurred in all cities,
however the level of this increase was found to differ. In contrast to
conventional data (sales statistics and interviews), WBE revealed geographical
differences in the level and pattern of actual alcohol consumption at an inter
city level. All the sampled cities were in the "high risk" category (MOE<10) and
the average MOE for the whole population studied was 2.5. These results allowed
direct comparisons of alcohol consumption levels, patterns and risks among the
cities. This study shows that WBE can provide timely and complementary
information on alcohol use and alcohol associated risks in terms of exposure at
the community level.
PMID- 27188270
TI - Overview of short- and long-term tolerability and safety of brexpiprazole in
patients with schizophrenia.
AB - Second-generation antipsychotics have demonstrated efficacy for patients with
schizophrenia but are associated with wide-ranging side effects. Brexpiprazole, a
serotonin-dopamine activity modulator, has demonstrated efficacy in adult
patients with schizophrenia. This paper provides an overview of the safety and
tolerability of brexpiprazole in patients with schizophrenia through examination
of pooled safety data from one Phase 2 and two Phase 3 6-week, short-term
studies, and two open-label, 52-week, long-term studies. In the short-term
studies, there were no reports of treatment-emergent adverse events (TEAEs) with
an incidence>=5% and twice that of placebo in patients treated with brexpiprazole
2-4mg. In the long-term studies, TEAEs reported by >=5% of patients were
schizophrenia (10.7%), insomnia (8.0%), weight increase (7.7%), headache (6.0%),
and agitation (5.2%). Akathisia rates were low in the short- (5.8%, pooled
brexpiprazole group) and long-term studies (4.6%). Sedation rates were low in the
short- (2.3%, pooled brexpiprazole group) and long-term studies (0.9%). Mean body
weight increase was 1.1kg in both short- and long-term studies. For all studies,
changes from baseline to last visit in laboratory parameters, electrocardiogram
values, and vital signs were small and not clinically relevant. Changes in lipid
profiles or other metabolic parameters were also small. Collectively, these
studies suggest that brexpiprazole was well tolerated, with a favorable safety
profile that does not exhibit significant rates of important adverse events that
can be seen with existing antipsychotics (akathisia, sedation, weight gain, or
QTc prolongation), and therefore may provide a useful treatment option for
patients with schizophrenia. ClinicalTrials.gov: NCT00905307; NCT01396421;
NCT01393613; NCT01649557; NCT01397786.
PMID- 27188271
TI - The stable isotope ecology of Pan in Uganda and beyond.
AB - Stable isotope analysis has long been used to study the dietary ecology of living
and fossil primates, and there has been increasing interest in using stable
isotopes to study primate habitat use and anthropogenic impacts on non-human
primates. Here, we examine the stable carbon and nitrogen isotope compositions of
chimpanzees (Pan troglodytes) from seven communities in Uganda across a continuum
of habitat structure (closed to more open) and access to anthropogenic resources
(no reliance to heavy reliance). In general, the hair delta(13) C, but not
delta(15) N, values of these communities vary depending on forest structure and
degree of anthropogenic influence. When integrated with previously published hair
delta(13) C and delta(15) N values for Pan, it is apparent that modern "savanna"
and "forest" Pan form discrete clusters in carbon and nitrogen isotope space,
although there are exceptions probably relating to microhabitat specialization.
The combined dataset also reveals that Pan delta(13) C values (but not delta(15)
N values) are inversely related to rainfall (r(2) = 0.62). We converted Pan hair
delta(13) C values to enamel equivalents and made comparisons to the fossil
hominoids Sivapithecus sp., Gigantopithecus blacki, Ardipithecus ramidus, and
Australopithecus anamensis. The delta(13) C values of the fossil hominins Ar.
ramidus and Au. anamensis do not cluster with the delta(13) C values of modern
Pan in "forest" habitats, or with fossil hominoids that are believed to have
inhabited forests. Am. J. Primatol. 78:1070-1085, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27188269
TI - What factors influence parents' perception of the quality of life of children and
adolescents with neurocardiogenic syncope?
AB - BACKGROUND: Health-related quality of life, which can be investigated using self
reports or parental reports, could help healthcare providers understand the
subjective perception of well-being of children suffering from recurrent syncopal
episodes. Quality of life is not only a measure of health but is also a
reflection of patients' and parents' perceptions and expectations of health. This
study assessed: 1) the consistency and agreement between pediatric patients' self
reports and parents' proxy-reports of their child's quality of life; 2) whether
this patient-parent agreement is dependent on additional demographic and clinical
or distress factors; 3) whether the parents' psychological distress influences
children's and parents' responses to questionnaires on quality of life. METHODS:
One hundred and twenty-five Italian children aged 6-18 years old (Mean age 12.75,
SD 2.73, 48 % female) and their parents completed the Pediatric Quality of Life
inventory with self-reports and parent-proxy reports, the Parenting Stress Index
Short Form questionnaire and the Child Behavior Checklist for ages 6-18.
Patients' and parents' scores on quality of life were analyzed via an intra-class
correlation coefficient, Spearman's correlation coefficient, Wilcoxon signed-rank
test, and Bland-Altman plot. RESULTS: Child-rated quality of life was lower than
parent-rated quality of life. However, there were no statistically significant
differences between pediatric patients' self-reports and their parents' proxy
reports of on quality of life. Clinically significant patient-parent variation in
pediatric health-related quality of life was observed. Differences in patient
parent proxy Pediatric Quality of Life inventory Total Scale Score scores were
significantly associated with patient age. CONCLUSION: Concerning parents' proxy
ratings of their children's quality of life on the Pediatric Quality of Life
inventory, parental stress was found to be negatively associated with their
perceptions of their child's psychological quality of life. Indeed, childhood
illness is a source of stress for the whole family, and exposes family members to
a greater risk of developing psychosocial difficulties. In conclusion, this study
invites reflection on the use of cross-informants in investigating the quality of
life of young patients with neurocardiogenic syncope and the psychological
factors that influence how quality of life is perceived.
PMID- 27188272
TI - A case-control study evaluating the role of internet meet-up sites and mobile
telephone applications in influencing a syphilis outbreak: Multnomah County,
Oregon, USA 2014.
AB - OBJECTIVES: Early syphilis in Multnomah County, Oregon, USA, increased 16-fold
during 2007-2013. Cases predominantly occurred among men who have sex with men
(MSM); 55% were HIV coinfected. We conducted a case-control study to evaluate the
association between meeting sex partners online and early syphilis. METHODS:
Cases subjects (cases) were Multnomah County resident, English speaking, MSM,
aged >=18 years with laboratory-confirmed early syphilis reported 1 January to 31
December 2013. We recruited two MSM controls subjects (controls) per case,
frequency matched by HIV status and age. Participants completed self-administered
questionnaires. We performed multivariable logistic regression. RESULTS: Seventy
per cent (40/57) of cases and 42% (50/119) of controls met partners online
(p<0.001). Cases more frequently met partners online (adjusted OR (aOR)=3.0; 95%
CI 1.2 to 6.7), controlling for presumptive confounders. Cases reported more
partners than controls (medians 5, 2; p<0.001). When including number of
partners, aOR decreased to 1.4 (95% CI 0.5 to 3.9). CONCLUSIONS: Early syphilis
was associated with meeting partners online. We believe this association may be
related to number of sex partners acting as an intermediate variable between use
of online resources to meet sex partners and early syphilis. Online meet-up sites
might represent areas for public health interventions targeting at-risk
individuals.
PMID- 27188273
TI - Vaginal high-risk human papillomavirus infection in a cross-sectional study among
women of six different ethnicities in Amsterdam, the Netherlands: the HELIUS
study.
AB - OBJECTIVE: In the Netherlands the incidence of cervical cancer is higher among
ethnic minority populations compared with the general Dutch population. We
investigated the prevalence of, and risk factors associated with, vaginal high
risk human papillomavirus (hrHPV) infection in women of six different ethnicities
living in Amsterdam. METHODS: For this cross-sectional study we selected women
aged 18-34 years old of six ethnicities from the large-scale multiethnic HEalthy
LIfe in an Urban Setting study. Self-collected vaginal swabs were tested for HPV
DNA and genotyped using a highly sensitive PCR and reverse line blot assay (short
PCR fragment (SPF)10-PCR DNA enzyme immunoassay/LiPA25-system version-1, delft
diagnostic laboratory (DDL)). Participants completed a questionnaire regarding
demographics and sexual behaviour. Logistic regression using generalised
estimating equations was used to assess risk factors of hrHPV, and to investigate
whether prevalence of hrHPV differed among ethnicities. RESULTS: The study
population consisted of 592 women with a median age of 27 (IQR: 23-31) years.
Dutch and African Surinamese women reported the highest sexual risk behaviour.
HrHPV prevalence was highest in the Dutch (40%) followed by the African
Surinamese (32%), Turkish (29%), Ghanaian (26%), Moroccan (26%) and South-Asian
Surinamese (18%). When correcting for sexual risk behaviour, the odds to be hrHPV
positive were similar for all non-Dutch groups when compared with that of the
Dutch group. CONCLUSIONS: We found an overall higher hrHPV prevalence and higher
sexual risk behaviour in the native Dutch population. Further research is needed
to unravel the complex problem concerning cervical cancer disparities, such as
differences in participation in the cervical cancer screening programme, or
differences in clearance and persistence of hrHPV.
PMID- 27188275
TI - Current concepts of Harm-Benefit Analysis of Animal Experiments - Report from the
AALAS-FELASA Working Group on Harm-Benefit Analysis - Part 1.
AB - International regulations and guidelines strongly suggest that the use of animal
models in scientific research should be initiated only after the authority
responsible for the review of animal studies has concluded a well-thought-out
harm-benefit analysis (HBA) and deemed the project to be appropriate. Although
the process for conducting HBAs may not be new, the relevant factors and
algorithms used in conducting them during the review process are deemed to be
poorly defined or lacking by committees in many institutions. This paper presents
the current concept of HBAs based on a literature review. References on cost or
risk benefit from clinical trials and other industries are also included. Several
approaches to HBA have been discovered including algorithms, graphic
presentations and generic processes. The aim of this study is to better aid and
harmonize understanding of the concepts of 'harm', 'benefit' and 'harm-benefit
analysis'.
PMID- 27188274
TI - Adoptive immunotherapy against ovarian cancer.
AB - The standard front-line therapy for epithelial ovarian cancer (EOC) is
combination of debulking surgery and platinum-based chemotherapy. Nevertheless,
the majority of patients experience disease recurrence. Although extensive
efforts to find new therapeutic options, cancer cells invariably develop drug
resistance and disease progression. New therapeutic strategies are needed to
improve prognosis of patients with advanced EOC.Recently, several preclinical and
clinical studies investigated feasibility and activity of adoptive immunotherapy
in EOC. Our aim is to highlight prospective of adoptive immunotherapy in EOC,
focusing on HLA-restricted Tumor Infiltrating Lymphocytes (TILs), and MHC
independent immune effectors such as natural killer (NK), and cytokine-induced
killer (CIK). Adoptive cell therapy (ACT) has shown activity in several pre
clinical models. Available preclinical and clinical data suggest that adoptive
cell therapy may provide the best benefit in settings of low tumor burden,
minimal residual disease, or maintenance therapy. Further studies are needed to
better define the optimal clinical setting.
PMID- 27188276
TI - Recommendations for Addressing Harm-Benefit Analysis and Implementation in
Ethical Evaluation - Report from the AALAS-FELASA Working Group on Harm-Benefit
Analysis - Part 2.
AB - International regulations and guidelines strongly suggest that the use of animal
models in scientific research should be initiated only after the authority
responsible for the review of animal studies has concluded a well-thought-out
harm-benefit analysis (HBA) and deemed the project to be appropriate. The AALAS
FELASA working group on HBA has performed a literature review and based on this
review, proposed a method for HBA. Examples of the working group's approach are
included in this report.
PMID- 27188278
TI - Response to: Pleural mesothelioma, and occupational and non-occupational asbestos
exposure: a case-control study with quantitative risk assessment.
PMID- 27188277
TI - Domains of cognitive function in early old age: which ones are predicted by pre
retirement psychosocial work characteristics?
AB - BACKGROUND: Psychosocial work characteristics may predict cognitive functioning
after retirement. However, little research has explored specific cognitive
domains associated with psychosocial work environments. Our study tested whether
exposure to job demands, job control and their combination during working life
predicted post-retirement performance on eight cognitive tests. METHODS: We used
data from French GAZEL cohort members who had undergone post-retirement cognitive
testing (n=2149). Psychosocial job characteristics were measured on average for 4
years before retirement using Karasek's Job Content Questionnaire (job demands,
job control and demand-control combinations). We tested associations between
these exposures and post-retirement performance on tests for executive function,
visual-motor speed, psychomotor speed, verbal memory, and verbal fluency using
ordinary least squares regression. RESULTS: Low job control during working life
was negatively associated with executive function, psychomotor speed, phonemic
fluency and semantic fluency after retirement (p's<0.05), even after adjustment
for demographics, socioeconomic status, health and social behaviours and vascular
risk factors. Both passive (low-demand, low-control) and high-strain (high
demand, low-control) jobs were associated with lower scores on phonemic and
semantic fluency when compared to low-strain (low-demand, high-control) jobs.
CONCLUSIONS: Low job control, in combination with both high and low-job demands,
is associated with post-retirement deficits in some, but not all, cognitive
domains. In addition to work stress, associations between passive work and
subsequent cognitive function may implicate lack of cognitive engagement at work
as a risk factor for future cognitive difficulties.
PMID- 27188279
TI - Risk assessment of di(2-ethylhexyl) phthalate in the workplace.
AB - OBJECTIVES: A hazard assessment of di(2-ethylhexyl) phthalate (DEHP), a commonly
used workplace chemical, was conducted in order to protect the occupational
health of workers. A literature review, consisting of both domestic and
international references, examined the chemical management system, working
environment, level of exposure, and possible associated risks. This information
may be utilized in the future to determine appropriate exposure levels in working
environments. METHODS: Hazard assessment was performed using chemical hazard
information obtained from international agencies, such as Organization for
Economic Cooperation and Development-generated Screening Information Data Set and
International Program on Chemical Safety. Information was obtained from surveys
conducted by the Minister of Employment and Labor ("Survey on the work
environment") and by the Ministry of Environment ("Survey on the circulation
amount of chemicals"). Risk was determined according to exposure in workplaces
and chemical hazard. RESULTS: In 229 workplaces over the country, 831 tons of
DEHP have been used as plasticizers, insecticides, and ink solvent. Calculated
50% lethal dose values ranged from 14.2 to 50 g/kg, as determined via acute
toxicity testing in rodents. Chronic carcinogenicity tests revealed cases of lung
and liver degeneration, shrinkage of the testes, and liver cancer. The no
observed-adverse-effect level and the lowest-observed-adverse-effect level were
determined to be 28.9 g/kg and 146.6 g/kg, respectively. The working environment
assessment revealed the maximum exposure level to be 0.990 mg/m(3), as compared
to the threshold exposure level of 5 mg/m(3). The relative risk of chronic
toxicity and reproductive toxicity were 0.264 and 0.330, respectively, while the
risk of carcinogenicity was 1.3, which is higher than the accepted safety value
of one. CONCLUSIONS: DEHP was identified as a carcinogen, and may be dangerous
even at concentrations lower than the occupational exposure limit. Therefore, we
suggest management of working environments, with exposure levels below 5 mg/m(3)
and all workers utilizing local exhaust ventilation and respiratory protection
when handling DEHP.
PMID- 27188280
TI - The effects of the standardized extracts of Ginkgo biloba on steroidogenesis
pathways and aromatase activity in H295R human adrenocortical carcinoma cells.
AB - OBJECTIVES: Aromatase inhibitors that block estrogen synthesis are a proven first
line hormonal therapy for postmenopausal breast cancer. Although it is known that
standardized extract of Ginkgo biloba (EGb761) induces anti-carcinogenic effects
like the aromatase inhibitors, the effects of EGb761 on steroidogenesis have not
been studied yet. Therefore, the effects of EGb761 on steroidogenesis and
aromatase activity was studied using a H295R cell model, which was a good in
vitro model to predict effects on human adrenal steroidogenesis. METHODS:
Cortisol, aldosterone, testosterone, and 17beta-estradiol were evaluated in the
H295R cells by competitive enzyme-linked immunospecific assay after exposure to
EGb761. Real-time polymerase chain reaction were performed to evaluate effects on
critical genes in steroid hormone production, specifically cytochrome P450
(CYP11/ 17/19/21) and the hydroxysteroid dehydrogenases (3beta-HSD2 and 17beta
HSD1/4). Finally, aromatase activities were measured with a tritiated water
release assay and by western blotting analysis. RESULTS: H295R cells exposed to
EGb761 (10 and 100 MUg/mL) showed a significant decrease in 17beta-estradiol and
testosterone, but no change in aldosterone or cortisol. Genes (CYP19 and 17beta
HSD1) related to the estrogen steroidogenesis were significantly decreased by
EGb761. EGb761 treatment of H295R cells resulted in a significant decrease of
aromatase activity as measured by the direct and indirect assays. The coding
sequence/ Exon PII of CYP19 gene transcript and protein level of CYP19 were
significantly decreased by EGb761. CONCLUSIONS: These results suggest that EGb761
could regulate steroidogenesis-related genes such as CYP19 and 17beta-HSD1, and
lead to a decrease in 17beta-estradiol and testosterone. The present study
provides good information on potential therapeutic effects of EGb761 on estrogen
dependent breast cancer.
PMID- 27188283
TI - A new electrochemical aptasensor based on a dual-signaling strategy and
supersandwich assay.
AB - In this study, we develop a new electrochemical aptasensor by coupling two
amplification strategies, including a dual signaling strategy and a supersandwich
assay. In order to fabricate this aptasensor, a thiolated capture probe (CP) was
first self-assembled on the gold electrode surface by Au-S bonds. After the
addition of methylene blue (MB) modified signal probe 1 (SP1) and ferrocene (Fc)
labeled signal probe 2 (SP2), supersandwich structure DNA, including multiple
units of SP1 and SP2, was grown from the CP on the electrode surface. In the
presence of ATP, the strong interaction between ATP and its aptamer (CP, SP1)
leads to the disassembly of the supersandwich structure and thereby, the release
of SP1 and SP2 from the gold electrode surface, resulting in a decrease of the MB
and Fc signals. Taking "Signal gainMB + Signal gainFc" as the response signal,
ATP can be detected sensitively; the detection limit is 2.1 nM, which is lower
than that using either a single-signaling strategy or a traditional sandwich
assay alone. Moreover, the new aptasensor also exhibits excellent specificity,
selectivity, reliability and applicability. We believe that this new strategy
will be helpful for fabricating sensitive and selective electrochemical
aptasensors of other biomolecules and small molecules.
PMID- 27188281
TI - Betulinic acid synergically enhances BMP2-induced bone formation via stimulating
Smad 1/5/8 and p38 pathways.
AB - BACKGROUND: Healing of bone defects is a dynamic and orchestrated process that
relies on multiple growth factors and cell types. Bone morphogenetic protein 2
(BMP2) is a key growth factor for bone healing, which stimulates mesenchymal stem
cells to differentiate into osteoblasts. Betulinic acid (BetA) is a natural
pentacyclic triterpenoid from plants. This study aimed to examine combinatory
effects of BetA and BMP2 on ectopic bone generation in mice. RESULTS: In MC3T3-E1
preosteoblast culture, 10-15 MUM of BetA increased the alkaline phosphatase (ALP)
activity and expression levels of osteogenic marker genes without the decreased
cell viability. In addition, BetA synergistically enhanced BMP2-induced gene
expressions and mineralization with the enhancement of phosphorylation of
Smad1/5/8 and p38. In an in vivo ectopic bone formation model, combination of
BetA (50 MUg) and BMP2 (3 MUg) resulted in increases in the amount of new bone
generation, compared with treatment with BMP2 alone. Histological studies showed
that bone generation with cortical and trabecular structures was resulted from
the combination of BetA and BMP2. CONCLUSION: BetA can enhance in vivo osteogenic
potentials of BMP2, possibly via stimulating Smad 1/5/8 and p38 pathways, and
combination of both agents can be considered as a therapeutic strategy for bone
diseases.
PMID- 27188282
TI - Epigenetically maintained SW13+ and SW13- subtypes have different oncogenic
potential and convert with HDAC1 inhibition.
AB - BACKGROUND: The BRM and BRG1 tumor suppressor genes are mutually exclusive ATPase
subunits of the SWI/SNF chromatin remodeling complex. The human adrenal carcinoma
SW13 cell line can switch between a subtype which expresses these subunits,
SW13+, and one that expresses neither subunit, SW13-. Loss of BRM expression
occurs post-transcriptionally and can be restored via histone deacetylase (HDAC)
inhibition. However, most previously used HDAC inhibitors are toxic and broad
spectrum, providing little insight into the mechanism of the switch between
subtypes. In this work, we explore the mechanisms of HDAC inhibition in promoting
subtype switching and further characterize the oncogenic potential of the two
epigenetically distinct SW13 subtypes. METHODS: SW13 subtype morphology,
chemotaxis, growth rates, and gene expression were assessed by standard
immunofluorescence, transwell, growth, and qPCR assays. Metastatic potential was
measured by anchorage-independent growth and MMP activity. The efficacy of HDAC
inhibitors in inducing subtype switching was determined by immunofluorescence and
qPCR. Histone modifications were assessed by western blot. RESULTS: Treatment of
SW13- cells with HDAC1 inhibitors most effectively promotes re-expression of BRM
and VIM, characteristic of the SW13+ phenotype. During treatment,
hyperacetylation of histone residues and hypertrimethylation of H3K4 is
pronounced. Furthermore, histone modification enzymes, including HDACs and KDM5C,
are differentially expressed during treatment but several features of this
differential expression pattern differs from that seen in the SW13- and SW13+
subtypes. As the SW13- subtype is more proliferative while the SW13+ subtype is
more metastatic, treatment with HDACi increases the metastatic potential of SW13
cells while restoring expression of the BRM tumor suppressor. CONCLUSIONS: When
compared to the SW13- subtype, SW13+ cells have restored BRM expression,
increased metastatic capacity, and significantly different expression of a
variety of chromatin remodeling factors including those involved with histone
acetylation and methylation. These data are consistent with a multistep mechanism
of SW13- to SW13+ conversion and subtype stabilization: histone hypermodification
results in the altered expression of chromatin remodeling factors and chromatin
epigenetic enzymes and the re-expression of BRM which results in restoration of
SWI/SNF complex function and leads to changes in chromatin structure and gene
expression that stabilize the SW13+ phenotype.
PMID- 27188287
TI - A molecular dynamics study of the ionic liquid, choline acetate.
AB - Structural and dynamic properties of the ionic liquid (IL) choline acetate are
studied using molecular dynamics (MD) simulations. The hydroxyl group of choline
shows significant hydrogen-bonding interactions with the oxygen atoms of acetate.
Nearly all choline cations are found to form a hydrogen bond with acetate anions
at 400 K, while about 67% of cations participate in hydrogen-bonding interactions
at 600 K. At 400 K, subdiffusive and prominent non-Gaussian behavior persist for
t > 10 ns. At 600 K, the usual diffusion regime is obtained after a few hundred
ps of subdiffusive behavior. Analysis of reorientational motions of acetate ions,
particularly those of their short axes, indicates a high degree of dynamic
heterogeneity, in agreement with previous work on different IL systems.
PMID- 27188286
TI - Long non-coding RNA expression profile in minor salivary gland of primary
Sjogren's syndrome.
AB - BACKGROUND: To examine the roles of long noncoding RNAs (lncRNAs) in the
regulation of primary Sjogren's syndrome (pSS) and reveal the expression profile
of lncRNAs in labial salivary glands (LSGs) in pSS patients. METHOD: The
expression of 63,431 lncRNAs and 39,887 mRNAs were determined in the LSG of four
pSS patients and four healthy controls using microarray experiments. Validation
was performed in 30 pSS patients and 16 controls using real-time PCR. LncRNA-mRNA
co-expression and gene-pathway networks were constructed using bioinformatics
software. RESULT: A total of 1243 lncRNAs (upregulated: 890, downregulated: 353)
and 1457 mRNAs (upregulated: 1141, downregulated: 316) were differentially
expressed in the LSGs of pSS patients (fold change >2, P <0.05). Eight of these
lncRNAs were validated using real-time PCR. ENST00000420219.1 (3.13-fold),
ENST00000455309.1 (2.51-fold), n336161 (2.45-fold), NR_002712 (2.41-fold),
ENST00000546086.1 (1.94-fold), Lnc-UTS2D-1:1 (1.79-fold), n340599 (1.69-fold),
and TCONS_l2_00014794 (1.28-fold) were significantly upregulated in pSS. There
were strong correlations between these lncRNAs and beta2 microglobulin, disease
course, erythrocyte sedimentation rate (ESR), rheumatoid factor (RF), IgA, IgM,
visual analogue scale (VAS) of parotid swelling and VAS of dry eyes.
Computational analyses revealed that 28 of the differentially expressed (DE)
mRNAs were associated with eight DE lncRNAs involved in chemokine signaling
pathways, the nuclear factor-kappa B (NF-kappaB) signaling pathway, and tumor
necrosis factor (TNF) signaling pathway. CONCLUSIONS: Our study revealed the
expression profile of lncRNAs in LSGs of pSS patients. Many novel lncRNA
transcripts that play important roles in the pathogenesis of pSS were
dysregulated in pSS. Therefore, this study will aid in the development of new
diagnostic biomarkers and drug therapies.
PMID- 27188284
TI - Polyglutamine androgen receptor-mediated neuromuscular disease.
AB - An expanded polyglutamine (polyQ) tract at the amino-terminus of the androgen
receptor (AR) confers toxic properties responsible for neuronal and non-neuronal
degeneration in spinal and bulbar muscular atrophy (SBMA), one of nine polyQ
expansion diseases. Both lower motor neurons and peripheral tissues, including
skeletal muscle, are affected, supporting the notion that SBMA is not a pure
motor neuron disease but a degenerative disorder of the neuromuscular system.
Here, we review experimental evidence demonstrating both nerve and muscle
degeneration in SBMA model systems and patients. We propose that polyQ AR
toxicity targets these components in a time-dependent fashion, with muscle
pathology predominating early and motor neuron loss becoming more significant at
late stages. This model of pathogenesis has important therapeutic implications,
suggesting that symptoms arising from degeneration of nerve or muscle predominate
at different points and that directed interventions targeting these components
will be variably effective depending upon disease progression.
PMID- 27188288
TI - Biochemical indications of cerebral ischaemia and mitochondrial dysfunction in
severe brain trauma analysed with regard to type of lesion.
AB - BACKGROUND: The study focuses on three questions related to the clinical
usefulness of microdialysis in severe brain trauma: (1) How frequently is
disturbed cerebral energy metabolism observed in various types of lesions? (2)
How often does the biochemical pattern indicate cerebral ischaemia and
mitochondrial dysfunction? (3) How do these patterns relate to mortality? METHOD:
The study includes 213 consecutive patients with severe brain trauma (342
intracerebral microdialysis catheters). The patients were classified into four
groups according to the type of lesion: extradural haematoma (EDH), acute
subdural haematoma (SDH), cerebral haemorrhagic contusion (CHC) and no mass
lesion (NML). Altogether about 150,000 biochemical analyses were performed during
the initial 96 h after trauma. RESULTS: Compromised aerobic metabolism occurred
during 38 % of the study period. The biochemical pattern indicating mitochondrial
dysfunction was more common than that of ischaemia. In EDH and NML aerobic
metabolism was generally close to normal. In SDH or CHC it was often severely
compromised. Mortality was increased in SDH with impaired aerobic metabolism,
while CHC did not exhibit a similar relation. CONCLUSIONS: Compromised energy
metabolism is most frequent in patients with SDH and CHC (32 % and 49 % of the
study period, respectively). The biochemical pattern of mitochondrial dysfunction
is more common than that of ischaemia (32 % and 6 % of the study period,
respectively). A correlation between mortality and biochemical data is obtained
provided the microdialysis catheter is placed in an area where energy metabolism
reflects tissue outcome in a large part of the brain.
PMID- 27188285
TI - Stress transgenerationally programs metabolic pathways linked to altered mental
health.
AB - Stress is among the primary causes of mental health disorders, which are the most
common reason for disability worldwide. The ubiquity of these disorders, and the
costs associated with them, lends a sense of urgency to the efforts to improve
prediction and prevention. Down-stream metabolic changes are highly feasible and
accessible indicators of pathophysiological processes underlying mental health
disorders. Here, we show that remote and cumulative ancestral stress programs
central metabolic pathways linked to mental health disorders. The studies used a
rat model consisting of a multigenerational stress lineage (the great-great
grandmother and each subsequent generation experienced stress during pregnancy)
and a transgenerational stress lineage (only the great-great-grandmother was
stressed during pregnancy). Urine samples were collected from adult male F4
offspring and analyzed using 1H NMR spectroscopy. The results of variable
importance analysis based on random variable combination were used for
unsupervised multivariate principal component analysis and hierarchical
clustering analysis, as well as metabolite set enrichment analysis (MSEA) and
pathway analysis. We identified distinct metabolic profiles associated with the
multigenerational and transgenerational stress phenotype, with consistent
upregulation of hippurate and downregulation of tyrosine, threonine, and
histamine. MSEA and pathway analysis showed that these metabolites are involved
in catecholamine biosynthesis, immune responses, and microbial host interactions.
The identification of metabolic signatures linked to ancestral programming
assists in the discovery of gene targets for future studies of epigenetic
regulation in pathogenic processes. Ultimately, this research can lead to
biomarker discovery for better prediction and prevention of mental health
disorders.
PMID- 27188289
TI - Tyrosinemia type 1 and irreversible neurologic crisis after one month
discontinuation of nitisone.
AB - Tyrosinemia type I is an autosomal recessively inherited metabolic disease of
tyrosine metabolism due to the deficiency of fumarylacetoacetate hydrolase.
Clinical manifestations include hepatic failure, cirrhosis, hepatocellular
carcinoma, renal fanconi syndrome, and neurologic crisis. With the introduction
of 2-(2-nitro-4-trifluoro-methylbenzyol)-1,3 cyclohexanedione treatment the
prognosis improved with reduced rate of complications. "Neurologic crisis" of
tyrosinemia type I is a rare complication seen after discontinuation of treatment
characterized with anorexia, vomiting, and hyponatremia in the initial phase
continuing with paresthesia and paralysis of the extremities and the diaphragm.
Here, we report a tyrosinemia type I patient who admitted to the hospital with
nonspecific symptoms such as vomiting, anorexia, weakness, and restlessness only
after one month discontinuation of nitisone and diagnosed as neurological crisis.
PMID- 27188290
TI - Rhizophora mucronata attenuates beta-amyloid induced cognitive dysfunction,
oxidative stress and cholinergic deficit in Alzheimer's disease animal model.
AB - Alzheimer's disease (AD) is a progressive neurodegenerative disorder,
characterized by accumulation and deposition of Abeta peptide in human brain. The
present study aimed to determine the protective effect of catechin rich extract
of MERM (methanolic extract of Rhizophora mucronata) on Abeta (25-35) induced
cognitive impairment and neuronal toxicity in mice. In the present study AD
characteristics were induced by intracerberoventricular administration of
aggregated Abeta (25-35) in the Swiss albino mice. Learning and memory deficits
were assessed using behavioral assays such as Morris water maze, Y-maze and step
down avoidance tasks. Oxidative stress mediated impairment were assessed by
measuring the activities of enzymatic and non-enzymatic antioxidants, level of
apoptotic protein and oxidative markers in the hippocampus and frontal cortex
region. Histolopathological analysis of brain was also carried out. Results
illustrated that oral treatment of MERM (200 and 400 mg/kg bw) significantly
attenuated Abeta (25-35) induced memory impairment as evaluated by behavioral
tests. In addition treatment with MERM attenuated the elevation of beta-secretase
activity accompanying the reduced level of Abeta (25-35) in the cortex and
hippocampus of brain. MERM also enhanced the cognitive function by significantly
inhibiting AChE, BuChE and MAO-B. Furthermore, MERM attenuated lipid
peroxidation, protein oxidation, restored the antioxidant status and inhibited
neuronal apoptosis by down-regulating the level of caspase 3 and Bax protein.
These data suggest that MERM rich in catechin can act as promising drug for AD
treatment because of its antioxidant, anti-apoptotic and reducing Abeta oligomer
activities.
PMID- 27188292
TI - (1)H, (15)N, (13)C resonance assignments for Saccharomyces cerevisiae Rad23 UBL
domain.
AB - Rad23 functions in nucleotide excision repair and proteasome-mediated protein
degradation. It has four distinct structural domains that are connected by
flexible linker regions, including an N-terminal ubiquitin-like (UBL) domain that
binds proteasomes. We report in this NMR study the (1)H, (15)N and (13)C
resonance assignments for the backbone and side chain atoms of the Rad23 UBL
domain (Rad23(UBL)) with BioMagResBank accession number 25825. We find that a
Rad23 proline amino acid (P20) located in a loop undergoes isomerization. The
secondary structural elements predicted from the NMR data fit well to that of the
Rad23(UBL) when complexed with E4 ubiquitin ligase Ufd2, as reported in a
crystallographic structure. These complete assignments can be used to study the
protein dynamics of the Rad23(UBL) and its interaction of with other ubiquitin
receptors or proteasome subunits.
PMID- 27188291
TI - Loss of NCB5OR in the cerebellum disturbs iron pathways, potentiates behavioral
abnormalities, and exacerbates harmaline-induced tremor in mice.
AB - Iron dyshomeostasis has been implicated in many diseases, including a number of
neurological conditions. Cytosolic NADH cytochrome b5 oxidoreductase (NCB5OR) is
ubiquitously expressed in animal tissues and is capable of reducing ferric iron
in vitro. We previously reported that global gene ablation of NCB5OR resulted in
early-onset diabetes and altered iron homeostasis in mice. To further investigate
the specific effects of NCB5OR deficiency on neural tissue without contributions
from known phenotypes, we generated a conditional knockout (CKO) mouse that lacks
NCB5OR only in the cerebellum and midbrain. Assessment of molecular markers in
the cerebellum of CKO mice revealed changes in pathways associated with cellular
and mitochondrial iron homeostasis. (59)Fe pulse-feeding experiments revealed
cerebellum-specific increased or decreased uptake of iron by 7 and 16 weeks of
age, respectively. Additionally, we characterized behavioral changes associated
with loss of NCB5OR in the cerebellum and midbrain in the context of dietary iron
deprivation-evoked generalized iron deficiency. Locomotor activity was reduced
and complex motor task execution was altered in CKO mice treated with an iron
deficient diet. A sucrose preference test revealed that the reward response was
intact in CKO mice, but that iron deficient diet consumption altered sucrose
preference in all mice. Detailed gait analysis revealed locomotor changes in CKO
mice associated with dysfunctional proprioception and locomotor activation
independent of dietary iron deficiency. Finally, we demonstrate that loss of
NCB5OR in the cerebellum and midbrain exacerbated harmaline-induced tremor
activity. Our findings suggest an essential role for NCB5OR in maintaining both
iron homeostasis and the proper functioning of various locomotor pathways in the
mouse cerebellum and midbrain.
PMID- 27188293
TI - Genetic manipulation of putrescine biosynthesis reprograms the cellular
transcriptome and the metabolome.
AB - BACKGROUND: With the increasing interest in metabolic engineering of plants using
genetic manipulation and gene editing technologies to enhance growth, nutritional
value and environmental adaptation, a major concern is the potential of
undesirable broad and distant effects of manipulating the target gene or
metabolic step in the resulting plant. A comprehensive transcriptomic and
metabolomic analysis of the product may shed some useful light in this regard.
The present study used these two techniques with plant cell cultures to analyze
the effects of genetic manipulation of a single step in the biosynthesis of
polyamines because of their well-known roles in plant growth, development and
stress responses. RESULTS: The transcriptomes and metabolomes of a control and a
high putrescine (HP) producing cell line of poplar (Populus nigra x maximowiczii)
were compared using microarrays and GC/MS. The HP cells expressed an ornithine
decarboxylase transgene and accumulated several-fold higher concentrations of
putrescine, with only small changes in spermidine and spermine. The results show
that up-regulation of a single step in the polyamine biosynthetic pathway (i.e.
ornithine -> putrescine) altered the expression of a broad spectrum of genes;
many of which were involved in transcription, translation, membrane transport,
osmoregulation, shock/stress/wounding, and cell wall metabolism. More than half
of the 200 detected metabolites were significantly altered (p <= 0.05) in the HP
cells irrespective of sampling date. The most noteworthy differences were in
organic acids, carbohydrates and nitrogen-containing metabolites. CONCLUSIONS:
The results provide valuable information about the role of polyamines in
regulating nitrogen and carbon use pathways in cell cultures of high putrescine
producing transgenic cells of poplar vs. their low putrescine counterparts. The
results underscore the complexity of cellular responses to genetic perturbation
of a single metabolic step related to nitrogen metabolism in plants. Combined
with recent studies from our lab, where we showed that higher putrescine
production caused an increased flux of glutamate into ornithine concurrent with
enhancement in glutamate production via additional nitrogen and carbon
assimilation, the results from this study provide guidance in designing
transgenic plants with increased nitrogen use efficiency, especially in plants
intended for non-food/feed applications (e.g. increased biomass production for
biofuels).
PMID- 27188296
TI - Hepatic Resection for Metastatic Neuroendocrine Cancer in Patients with Bone
Metastases.
AB - BACKGROUND: Hepatic resection (HR) of metastatic neuroendocrine cancer has been
associated with prolonged survival and durable symptom control for selected
patients with metastatic neuroendocrine tumor (NET). The present study
investigates the outcomes of this operative approach in selected patients with
known bone metastases. METHODS: All patients undergoing HR at Mayo Clinic
Rochester and Mayo Clinic Florida for metastatic NET between January 1989 and
August 2015 were identified, and were divided into two groups: those undergoing
HR with a known diagnosis of bone metastases (HRmNET/LB) and those who had
metastatic disease confined to the liver (HRmNET/L). RESULTS: A total of 25
patients in the HRmNET/LB group were propensity matched with 100 patients in the
HRmNET/L group. Major liver resection was performed in 60 % of patients in the
HRmNET/LB group and 55 % of patients in the HRmNET/L group (p = 0.42). Median
survival for the HRmNET/LB group was 54.0 months, compared with 97.7 months for
the HRmNET/L group (p = 0.03). In the HRmNET/LB group, median survival was 73.3
months for patients with gastrointestinal NET(GNET), compared with 42.7 months
for patients with pancreatic NET (PNET). The median number of bone metastases was
2 (range 1-10), and the sites of bone metastases were the spine (68 %), pelvis
(24 %), and ribs (12 %). Bone metastases were treated with radiotherapy in ten
(40 %) patients, by radiofrequency ablation in two (8 %) patients, and by
resection in one (4 %) patient. CONCLUSIONS: The present study is the first
report to describe HR for patients with metastatic NET and known bone metastases.
We demonstrated that in properly selected cases, excellent survival can be
achieved with liver debulking in these patients.
PMID- 27188294
TI - The two-component signal transduction system YvcPQ regulates the bacterial
resistance to bacitracin in Bacillus thuringiensis.
AB - YvcPQ is one of the two-component signal transduction systems that respond to
specific stimuli and enable cells to adjust multiple cellular functions. It
consists of a histidine kinase YvcQ and a response regulator YvcP. In this study,
through searching the consensus sequence recognized by YvcP, we found four YvcP
binding motifs in the promoter regions of genes yvcR (BMB171_C4100),
BMB171_C4385, kapD (BMB171_C4525) and BMB171_C4835 in Bacillus thuringiensis
BMB171 which is a representative of Bacillus cereus group, and confirmed that
these genes are regulated by YvcP. We compared the sequence of yvcPQ and its
downstream genes in genus Bacillus, and found two different kinds of yvc locus,
one was the yvcPQ-RS in B. subtilis species and the other was the yvcPQ-R-S1S2 in
B. cereus group. Furthermore, we found that YvcP activates the transcription of
yvcS1S2 (downstream of yvcR) to promote bacterial resistance to bacitracin and
deletion of either yvcPQ operon or yvcS1S2 operon renders the bacterial cells
more sensitive to bacitracin. This study enriched our understanding of both the
YvcPQ's function and the mechanism of bacterial resistance to bacitracin.
PMID- 27188295
TI - Incidence and Risk Factors for Occult Level 3 Lymph Node Metastases in Papillary
Thyroid Cancer.
AB - BACKGROUND: Papillary thyroid cancer (PTC) frequently disseminates into cervical
lymph nodes. Lateral node involvement is described in up to 50 % patients
undergoing prophylactic lateral neck dissection. This study aimed to assess this
finding and identify which factors predict for occult lateral node disease.
METHODS: Patients with fine needle aspiration-confirmed PTC (Bethesda V or VI),
without evidence of cervical lymph node metastases, underwent a total
thyroidectomy with prophylactic ipsilateral central and level 3 dissection. Level
3 nodes were removed by compartmental dissection or by sampling the sentinel
nodes overlying the jugular vein, according to surgeon preference. Data were
collected prospectively from January 2011 to August 2014. Statistical analysis
was performed by SPSS software. RESULTS: A total of 137 patients underwent total
thyroidectomy with prophylactic ipsilateral central and level 3 dissection for
PTC. The incidence of occult level 3 disease was 30 % (41/137 patients). A total
of 48 % of patients (66/137) harbored occult central neck disease. A total of
80.5 % of patients with pN1b disease had macrometastases (>=2 mm), and 15 %
exhibited skip metastases with central compartment sparing. In patients with pN1b
disease, a median of 6 level 3 nodes were retrieved, with an average involved
nodal ratio of 0.29. Multivariate regression demonstrated risk factors for occult
lateral neck metastasis include tumor size (odds ratio 1.1), upper pole tumors
(odds ratio 6.6), and vascular invasion (odds ratio 3.2) (p < 0.05). CONCLUSIONS:
PTC is associated with a significant incidence of occult central and lateral
nodal metastases. In patients undergoing prophylactic central neck dissection,
inclusion of level 3 dissection should be considered in patients with large upper
lobe cancers.
PMID- 27188297
TI - Value of Percutaneous Radiologic Gastrostomy for Patients with Advanced
Esophageal Cancer.
AB - BACKGROUND: Nutritional management is important throughout the treatment period
for esophageal cancer patients. This study aimed to evaluate the feasibility of
percutaneous radiologic gastrostomy (PRG) and to investigate whether PRG can be
applied for patients with advanced esophageal cancer. METHODS: In this study, 89
patients (74 men and 15 women) with advanced esophageal cancer underwent PRG
using computed tomography and fluoroscopic guidance. These patients were
unsuitable candidates for endoscopic intervention because of esophageal
stricture. Primary placement of a mushroom-retained gastrostomy catheter was
intended. The end points were technical success and complications after PRG as
well as clinical outcomes and survival of the patients. These end points also
were compared between the pre-chemoradiotherapy (pre-CRT) and post-CRT groups
using the Chi square test, Fisher's exact test, and the Wilcoxon rank sum test.
The survival rate was calculated using the Kaplan-Meier method and compared using
the log-rank test. RESULTS: All the patients had a successful PRG. The mushroom
tip gastrostomy catheter was primarily inserted in 77 patients (86.5 %) and
finally achieved for all the patients. Complications occurred for 14 patients
(15.7 %) including Dindo-Clavien classification grade 3 (1 catheter
dislodgement), grade 2 (2 gastric hemorrhages), and grade 1 (7 skin infections
and 4 oozing hemorrhages) complications. During the follow-up period (median, 6
months), 60 patients (67.4 %) died, giving a 12-month survival rate of 37.7 %.
Gastrostomy removal was more common in the pre-CRT group (P = 0.011). The pre-CRT
group had higher survival rates than the post-CRT group (P = 0.021). CONCLUSIONS:
Because PRG provided high technical success with limited complications, it can be
used for patients with advanced esophageal cancer whose treatment plan involves
multimodal therapy.
PMID- 27188298
TI - The History of the HIV/AIDS Epidemic in Africa.
AB - HIV testing of African immigrants in Belgium showed that HIV existed among
Africans by 1983. However, the epidemic was recognized much later in most parts
of sub-Saharan Africa (SSA) due to stigma and perceived fear of possible negative
consequences to the countries' economies. This delay had devastating mortality,
morbidity, and social consequences. In countries where earlier recognition
occurred, political leadership was vital in mounting a response. The response
involved establishment of AIDS control programs and research on the HIV
epidemiology and candidate preventive interventions. Over time, the number of
effective interventions has grown; the game changer being triple antiretroviral
therapy (ART). ART has led to a rapid decline in HIV-related morbidity and
mortality in addition to prevention of onward HIV transmission. Other effective
interventions include safe male circumcision, pre-exposure prophylaxis, and post
exposure prophylaxis. However, since none of these is sufficient by itself,
delivering a combination package of these interventions is important for ending
the HIV epidemic as a public health threat.
PMID- 27188299
TI - Cognitive Impairment and Persistent CNS Injury in Treated HIV.
AB - The implementation of combination antiretroviral therapy (cART) has changed HIV
infection into a chronic illness, conveying extensive benefits, including greater
longevity and advantages for the central nervous system (CNS). However, studies
increasingly confirm that the CNS gains are incomplete, with reports of
persistent immune activation affecting the CNS despite suppression of plasma HIV
RNA. The rate of cognitive impairment is unchanged, although severity is
generally milder than in the pre-cART era. In this review, we discuss cognitive
outcomes from recently published clinical HIV studies, review observations on HIV
biomarkers for cognitive change, and emphasize longitudinal imaging findings.
Additionally, we summarize recent studies on CNS viral invasion, CD8
encephalitis, and how CNS involvement during the earliest stages of infection may
set the stage for later cognitive manifestations.
PMID- 27188300
TI - Understanding Sustained Retention in HIV/AIDS Care and Treatment: a Synthetic
Review.
AB - Sustained retention represents an enduring and evolving challenge to HIV
treatment programs in Africa. We present a theoretical framework for sustained
retention borrowing from ecologic principles of sustainability and dynamic
adaptation. We posit that sustained retention from the patient perspective is
dependent on three foundational principles: (1) patient activation: the
acceptance, prioritization, literacy, and skills to manage a chronic disease
condition, (2) social normalization: the engagement of a social network and
harnessing social capital to support care and treatment, and (3) livelihood
routinization: the integration of care and treatment activities into livelihood
priorities that may change over time. Using this framework, we highlight barriers
specific to sustained retention and review interventions addressing long-term,
sustained retention in HIV care with a focus on Sub-Saharan Africa.
PMID- 27188301
TI - What questions can a placebo answer?
AB - The concept of clinical equipoise restricts the use of placebo controls in
clinical trials when there already exists a proven effective treatment. Several
critics of clinical equipoise have put forward alleged counter-examples to this
restriction-describing instances of ethical placebo-controlled trials that
apparently violate clinical equipoise. In this essay, we respond to these
examples and show that clinical equipoise is not as restrictive of placebos as
these authors assume. We argue that a subtler appreciation for clinical equipoise
in particular the distinction between de facto and de jure interpretations of the
concept-allows the concept to explain when and why a placebo control may be
necessary to answer a question of clinical importance.
PMID- 27188303
TI - Site selection for installing plasma incinerator reactor using the GIS in Rudsar
county, Iran.
AB - Nowadays, the urban waste disposal and the proper location for doing so is
considered as one of the most important urban service issues, which has the
potential of causing environmental hazards for the citizens, if not done
properly. One of the newest methods of waste burial is using plasma incinerator
reactors. Using the advanced technology of plasma reactors in waste disposal has
been the subject of study for a considerable number of researchers in the last
few years. Moreover, insignificant emissions of environmental pollutants and high
efficiency in these reactors have led to a high incentive for using them in the
area of urban services. Therefore, finding the proper location for the plasma
incinerator reactor in order to minimize environmental hazards is considered as a
very important issue. In the present study, different parts of this reactor and
its working procedure are presented at first. Then, quantitative and qualitative
criteria effective on locating plasma incinerator reactor are presented, and
these criteria are given proper weights using analytic hierarchy process (AHP)
multi-criteria decision making method. Next, the data were collected for the
studying area, and then, weighting, analysis, and presentation of geospatial data
were performed using the geographic information system (GIS). Finally, the output
map for installing location of the plasma incinerator reactor was developed in
three classes of good, average, and bad.
PMID- 27188302
TI - Heavy metal pollution in sediments and mussels: assessment by using pollution
indices and metallothionein levels.
AB - In the present work, the concentration of eight metals (Cd, Cr, Cu, Fe, Mn, Ni,
Pb, Zn) was determined in the sediments and transplanted and native mussels
(Mytilus galloprovincialis). The study was conducted in Turkish marinas,
shipyards, and shipbreaking yards. The effect of metal pollution was evaluated by
determining the levels of metallothionein (MT) in the mussels. The extent of
contamination for each single metal was assessed by using the geoaccumulation
index (I geo) and enrichment factor (EF). Whereas, to evaluate the overall metal
pollution and effect, the pollution load index (PLI), modified contamination
degree (mC d), potential toxicity response index (RI), mean effects range median
(ERM) quotient (m-ERM-Q), and mean PEL quotient (m-PEL-Q) were calculated. The
influence of different background values on the calculations was discussed. The
results indicated a significant metal pollution caused by Cu, Pb, and Zn
especially in shipyard and shipbreaking sites. Higher concentrations of MT were
observed in the ship/breaking yard samples after the transplantation.
PMID- 27188304
TI - A biologically inspired attachable, self-standing nanofibrous membrane for
versatile use in oil-water separation.
AB - Uloborus walckenaerius spider webs provided the inspiration for attachable, self
standing nanofibre sheets. The developed product adds selective wettability
against oil-water mixtures to both 2D and 3D materials by attaching or covering
them, leading to successful separation through a facile, scalable and low-cost
process.
PMID- 27188307
TI - Stillbirths and neonatal deaths vary significantly across UK, figures show.
PMID- 27188305
TI - Which health research gets used and why? An empirical analysis of 30 cases.
AB - BACKGROUND: While health research is considered essential for improving health
worldwide, it remains unclear how it is best organized to contribute to health.
This study examined research that was part of a Ghanaian-Dutch research program
that aimed to increase the likelihood that results would be used by funding
research that focused on national research priorities and was led by local
researchers. The aim of this study was to map the contribution of this research
to action and examine which features of research and translation processes were
associated with the use of the results. METHODS: Using Contribution Mapping, we
systematically examined how 30 studies evolved and how results were used to
contribute to action. We combined interviews with 113 purposively selected key
informants, document analysis and triangulation to map how research and
translation processes evolved and contributions to action were realized. After
each case was analysed separately, a cross-case analysis was conducted to
identify patterns in the association between features of research processes and
the use of research. RESULTS: The results of 20 of the 30 studies were used to
contribute to action within 12 months. The priority setting and proposal
selection process led to the funding of studies which were from the outset
closely aligned with health sector priorities. Research was most likely to be
used when it was initiated and conducted by people who were in a position to use
their results in their own work. The results of 17 out of 18 of these user
initiated studies were translated into action. Other features of research that
appeared to contribute to its use were involving potential key users in
formulating proposals and developing recommendations. CONCLUSIONS: Our study
underlines the importance of supporting research that meets locally-expressed
needs and that is led by people embedded in the contexts in which results can be
used. Supporting the involvement of health sector professionals in the design,
conduct and interpretation of research appears to be an especially worthwhile
investment.
PMID- 27188306
TI - Taurine Reduced Epidural Fibrosis in Rat Models after Laminectomy via
Downregulating EGR1.
AB - BACKGROUND/AIMS: Epidural fibrosis, a common complication after laminectomy, has
been demonstrated to be closely associated with poor surgical outcomes. Previous
studies showed that taurine had remarkable anti-fibrotic effects on lung and
liver fibrosis. We performed this study to investigate the effects of taurine in
rat models of epidural fibrosis after laminectomy and to explore the potential
molecular mechanism. METHODS: Laminectomy was performed on each rat to establish
epidural fibrosis model. After taurine treatment, Masson's trichrome and
immunohistochemistry staining were used to examine epidural fibrosis. Cell
viability was determined using the Cell Counting Kit-8 assay. Annexin V/Propidium
Iodide double staining was performed to detect fibroblasts apoptosis. Microarray
was adopted to identify significantly changed mRNAs. mRNA expression was measured
by qRT-PCR. Lentivirus infection was performed to establish stable knockdown and
overexpression cell lines. The expression of fibrosis-related proteins was
determined via Western blot. RESULTS: Taurine treatment markedly reduced
laminectomy-induced epidural fibrosis in rat models. However, this effect of
taurine was independent on TGF-beta/Smad pathway, evidenced by no change in the
expression of TGF-beta and its receptors. Besides, taurine had almost no effect
on cell apoptosis. Interestingly, taurine treatment significantly decreased
expression of EGR1 (Early growth response protein 1), an enhancer of fibrosis,
both in vivo and in vitro. Furthermore, overexpression of EGR1 increased
activation of fibroblasts, while EGR1 knockdown achieved an opposite effect,
indicating that EGR1 plays a key role in the inhibitory effect of taurine on TGF
beta-induced fibrosis. CONCLUSIONS: Reduced epidural fibrosis in vivo and
decreased activation of fibroblasts in vitro after taurine treatment was mediated
by EGR1. Taurine promises to be a potential prevention for epidural fibrosis
after laminectomy.
PMID- 27188308
TI - A cholera outbreak in Alborz Province, Iran: a matched case-control study.
AB - OBJECTIVES: A total of 229 confirmed cholera cases were reported in Alborz
Province during an outbreak that lasted from June 2011 to August 2011. This study
aimed to identify potential sources of transmission in order to determine
suitable interventions in similar outbreaks. In other words, the lessons learned
from this retrospective study can be utilized to manage future similar outbreaks.
METHODS: An age-matched and sex-matched case-control study was conducted during
the outbreak. For each case, two control subjects were selected from the
neighborhood. A case of cholera was defined as a bacteriologically confirmed case
with signs and symptoms of cholera. This study was conducted from June 14, 2011
through August 23, 2011. The data were analyzed by calculating odds ratios (ORs)
using the logistic regression method. RESULTS: In this outbreak, 229 confirmed
cholera cases were diagnosed. The following risk factors were found to be
associated with cholera: consumption of unrefrigerated leftover food (OR, 3.05;
95% confidence interval [CI], 1.72 to 5.41), consumption of vegetables and fruits
in the previous three days (OR, 2.75; 95% CI, 1.95 to 3.89), and a history of
traveling in the previous five days (OR, 5.31; 95% CI, 2.21 to 9.72).
CONCLUSIONS: Consumption of vegetables and fruits has remained an unresolved risk
factor in cholera outbreaks in Iran in recent years. In order to reduce the risk
of cholera, sanitary standards for fruits and vegetables should be observed at
all points from production to consumption, the population should be educated
regarding hygienic food storage during outbreaks, and sanitary standards should
be maintained when traveling during cholera outbreaks.
PMID- 27188310
TI - Hypertransaminasemia and hypophosphoremia in an adolescent with anorexia nervosa:
an event to watch for.
AB - BACKGROUND: Anorexia Nervosa is a Psychiatric eating disorder of adolescence age
with a high morbidity and mortality. CASE PRESENTATION: We describe a common case
of anorexia nervosa (AN) in a female adolescent complicated by less known
conditions related to refeeding syndrome. At admission, the girl showed a mild
hypercholesterolemia with progressive normalization of the values. The initial
low hypertransaminasemia worsened after refeeding until very high levels and
hypophosphoremia was also described. Only a controlled caloric intake and a
specific electrolyte supplementation led to the improvement of hematologic values
and the clinical condition of the patient. CONCLUSIONS: Refeeding complications
must be always suspected because of life-threatening risk. More attention should
be paid not only to the acute state of the disease but also to the prevention and
the management of refeeding-related manifestations.
PMID- 27188309
TI - Risk factors for heart failure in a cohort of patients with newly diagnosed
myocardial infarction: a matched, case-control study in Iran.
AB - OBJECTIVES: Risk factors for heart failure (HF) have not yet been studied in
myocardial infarction (MI) patients in Iran. This study was conducted to
determine these risk factors. METHODS: In this nationwide, hospital-based, case
control study, the participants were all new MI patients hospitalized from April
2012 to March 2013 in Iran. The data on 1,691 new cases with HF (enrolled by
census sampling) were compared with the data of 6,764 patients without HF as
controls. We randomly selected four controls per one case, matched on the date at
MI and HF diagnosis, according to incidence density sampling. Using conditional
logistic regression models, odds ratios (ORs) with a 95% confidence interval (CI)
were calculated to identify potential risk factors. RESULTS: The one-year in
hospital mortality rate was 18.2% in the cases and higher than in the controls
(12.1%) (p<0.05). Significant risk factors for HF were: right bundle branch block
(RBBB) (OR, 2.86; 95% CI, 1.95 to 4.19), stroke (OR, 2.00; 95% CI, 1.39 to 2.89),
and coronary artery bypass grafting (CABG) (OR, 2.03; 95% CI, 1.34 to 3.09).
Diabetes, hypertension, percutaneous coronary intervention (PCI), atrial
fibrillation, ventricular tachycardia, and age were determined to be the factors
significantly associated with HF incidence (p<0.05). The most important factor in
women was diabetes (OR, 1.41; 95% CI, 1.05 to 1.88). Age, hypertension, PCI,
CABG, and RBBB were the most important factors in men. CONCLUSIONS: Our findings
may help to better identify and monitor the predictive risk factors for HF in MI
patients. The pattern of risk factors was different in men and women.
PMID- 27188312
TI - Present and foreseeable future of metabolomics in forensic analysis.
AB - The revulsive publications during the last years on the precariousness of
forensic sciences worldwide have promoted the move of major steps towards
improvement of this science. One of the steps (viz. a higher involvement of
metabolomics in the new era of forensic analysis) deserves to be discussed under
different angles. Thus, the characteristics of metabolomics that make it a useful
tool in forensic analysis, the aspects in which this omics is so far implicit,
but not mentioned in forensic analyses, and how typical forensic parameters such
as the post-mortem interval or fingerprints take benefits from metabolomics are
critically discussed in this review. The way in which the metabolomics-forensic
binomial succeeds when either conventional or less frequent samples are used is
highlighted here. Finally, the pillars that should support future developments
involving metabolomics and forensic analysis, and the research required for a
fruitful in-depth involvement of metabolomics in forensic analysis are critically
discussed.
PMID- 27188311
TI - A comprehensive database of high-throughput sequencing-based RNA secondary
structure probing data (Structure Surfer).
AB - BACKGROUND: RNA molecules fold into complex three-dimensional shapes, guided by
the pattern of hydrogen bonding between nucleotides. This pattern of base
pairing, known as RNA secondary structure, is critical to their cellular
function. Recently several diverse methods have been developed to assay RNA
secondary structure on a transcriptome-wide scale using high-throughput
sequencing. Each approach has its own strengths and caveats, however there is no
widely available tool for visualizing and comparing the results from these varied
methods. METHODS: To address this, we have developed Structure Surfer, a database
and visualization tool for inspecting RNA secondary structure in six
transcriptome-wide data sets from human and mouse (
http://tesla.pcbi.upenn.edu/strucuturesurfer/ ). The data sets were generated
using four different high-throughput sequencing based methods. Each one was
analyzed with a scoring pipeline specific to its experimental design. Users of
Structure Surfer have the ability to query individual loci as well as detect
trends across multiple sites. RESULTS: Here, we describe the included data sets
and their differences. We illustrate the database's function by examining known
structural elements and we explore example use cases in which combined data is
used to detect structural trends. CONCLUSIONS: In total, Structure Surfer
provides an easy-to-use database and visualization interface for allowing users
to interrogate the currently available transcriptome-wide RNA secondary structure
information for mammals.
PMID- 27188313
TI - High and low frequency unfolded partial least squares regression based on
empirical mode decomposition for quantitative analysis of fuel oil samples.
AB - Accurate prediction of the model is fundamental to the successful analysis of
complex samples. To utilize abundant information embedded over frequency and time
domains, a novel regression model is presented for quantitative analysis of
hydrocarbon contents in the fuel oil samples. The proposed method named as high
and low frequency unfolded PLSR (HLUPLSR), which integrates empirical mode
decomposition (EMD) and unfolded strategy with partial least squares regression
(PLSR). In the proposed method, the original signals are firstly decomposed into
a finite number of intrinsic mode functions (IMFs) and a residue by EMD.
Secondly, the former high frequency IMFs are summed as a high frequency matrix
and the latter IMFs and residue are summed as a low frequency matrix. Finally,
the two matrices are unfolded to an extended matrix in variable dimension, and
then the PLSR model is built between the extended matrix and the target values.
Coupled with Ultraviolet (UV) spectroscopy, HLUPLSR has been applied to determine
hydrocarbon contents of light gas oil and diesel fuels samples. Comparing with
single PLSR and other signal processing techniques, the proposed method shows
superiority in prediction ability and better model interpretation. Therefore,
HLUPLSR method provides a promising tool for quantitative analysis of complex
samples.
PMID- 27188314
TI - Analysis of diacylglycerols by ultra performance liquid chromatography-quadrupole
time-of-flight mass spectrometry: Double bond location and isomers separation.
AB - Diacylglycerols (DAGs) are important lipid intermediates and have been implicated
in human diseases. Isomerism complicates their mass spectrometric analysis; in
particular, it is difficult to identify fatty acid substituents and locate the
double bond positions in unsaturated DAGs. We have developed an analytical
strategy using ultra-performance liquid chromatography-quadrupole time-of-flight
mass spectrometry (UPLC/Q-TOF MS) in conjunction with dimethyl disulfide (DMDS)
derivatization and collision cross-section (CCS) measurement to characterize DAGs
in biological samples. The method employs non-aqueous reversed-phase
chromatographic separation and profile collision energy (CE) mode for MS(E) and
MS/MS analyses. Three types of fragment ions were produced simultaneously.
Hydrocarbon ions (m/z 50-200) obtained at high CE helped to distinguish
unsaturated and saturated DAGs rapidly. Neutral loss ions and acylium ions (m/z
300-400) produced at low CE were used to identify fatty acid substituents.
Informative methyl thioalkane fragment ions were used to locate the double bonds
of unsaturated DAGs. Mono-methylthio derivatives were formed mainly by the
reaction of DAGs with DMDS, where methyl thiol underwent addition to the first
double bond farthest from the ester terminus of unsaturated fatty acid chains.
The addition of CCS values maximized the separation of isomeric DAG species and
improved the confidence of DAG identification. Fourteen DAGs were identified in
mouse myotube cells based on accurate masses, characteristic fragment ions, DMDS
derivatization, and CCS values.
PMID- 27188315
TI - Alterations of the exo- and endometabolite profiles in breast cancer cell lines:
A mass spectrometry-based metabolomics approach.
AB - In recent years, knowledge about metabolite changes which are characteristic for
the physiologic state of cancer cells has been acquired by liquid chromatography
coupled to mass spectrometry. Distinct molecularly characterized breast cancer
cell lines provide an unbiased and standardized in vitro tumor model reflecting
the heterogeneity of the disease. Tandem mass spectrometry is a widely applied
analytical platform and highly sensitive technique for analysis of complex
biological samples. Endo- and exometabolite analysis of the breast cancer cell
lines MDA-MB-231, -453 and BT-474 as well as the breast epithelial cell line MCF
10A has been performed using two different analytical platforms: UPLC-ESI-Q-TOF
based on a scheduled precursor list has been applied for highlighting of
significant differences between cell lines and HPLC-ESI-QqQ using multiple
reaction monitoring has been utilized for a targeted approach focusing on RNA
metabolism and interconnected pathways, respectively. Statistical analysis
enabled a clear discrimination of the breast epithelial from the breast cancer
cell lines. As an effect of oxidative stress, a decreased GSH/GSSG ratio has been
detected in breast cancer cell lines. The triple negative breast cancer cell line
MDA-MB-231 showed an elevation in nicotinamide, 1-ribosyl-nicotinamide and NAD+
reflecting the increased energy demand in triple negative breast cancer, which
has a more aggressive clinical course than other forms of breast cancer. Obtained
distinct metabolite pattern could be correlated with distinct molecular
characteristics of breast cancer cells. Results and methodology of this
preliminary in vitro study could be transferred to in vivo studies with breast
cancer patients.
PMID- 27188316
TI - Liquid chromatography-tandem mass spectrometry analysis of neonicotinoid
pesticides and 6-chloronicotinic acid in environmental water with direct aqueous
injection.
AB - An efficient, high throughput and cost-effective direct aqueous injection
approach for the analysis of neonicotinoid pesticides and a common metabolite in
environmental water has been described here. The method determines eight
neonicotinoid pesticides (acetamiprid, clothianidin, dinotefuran, flonicamid,
imidacloprid, nitenpyram, thiacloprid, thiamethoxam) and 6-chloronicotinic acid
(a common metabolite of the first generation neonicotinoids, acetamiprid,
imidacloprid, nitenpyram and thiacloprid) without any sample enrichment/cleanup
steps. The method detection limits are 2-8 ng/L for the neonicotinoids and 93
ng/L for 6-chloronicotinic acid. The performance of the QTRAP((r))5500 mass
spectrometer was compared against a 4000QTRAP((r)), and a QTRAP((r))6500, to
provide insights for future method transfer among different generations of
instrumentations. Critical mass spectrometric parameters such as collision energy
were quite consistent among the three instruments evaluated. However, increased
chemical background levels for some target compounds on the more sensitive
instruments were observed. The application of differential ion mobility
spectrometry combined with tandem mass spectrometry was demonstrated to have
great potential in reducing chemical background and/or isobaric interferences
inherited in sample matrices. This ISO 17025 accredited method was employed to
quantitate neonicotinoids in Ontario stream water samples. Good correlation for
analytical results of this direct aqueous injection approach and a previously
published solid phase extraction approach warrant high confidence in data
quality.
PMID- 27188318
TI - Glass substrates crosslinked with tetracycline-imprinted polymeric silicate and
CdTe quantum dots as fluorescent sensors.
AB - A fluorescence-based sensor that combines the merits of quantum dots (QDs) and
molecularly imprinted polymers (MIPs) was first fabricated on a glass substrate
via a sol-gel route. Some of the key performance factors, including silane
selection, substrate etching, the reaction times of glass silanization and sol
gel polymerization, and the times and methods used for template stripping and
loading, were discussed and determined. After fabricating the sensor on either a
3-aminopropyltriethoxysilane (APS) or a 3-mercaptopropyltriethoxysilane (MPS)
modified glass substrate, APS showed a much better performance than MPS as both
the capping reagent of QDs and the functional monomer of tetracycline-templated
MIPs. The APS-QDs on APS-modified glass had a higher imprinted factor (IF = 5.6),
a lower LOD (2.1 MUM, 3sigma), and a more stable signal (2.8%, n = 10 at 70 MUM)
than those on the MPS-modified glass (IF = 5.2, LOD = 6.5 MUM, stability = 6.2%).
Furthermore, the recoveries of tetracycline (70 MUM) from BSA (133 MUg/mL) and
FBS (0.66 ppt) by the APS-modified glass were 98% (RSD = 3.5%, n = 5) and 97%
(RSD = 5.7%), respectively. For the MPS-modified glass, recoveries of 95% (RSD =
7.2%) and 89% (RSD = 8.7%) were observed at 67 MUg/mL of BSA and 0.33 ppt of FBS,
respectively.
PMID- 27188317
TI - Graphene-polyaniline modified electrochemical droplet-based microfluidic sensor
for high-throughput determination of 4-aminophenol.
AB - We report herein the first development of graphene-polyaniline modified carbon
paste electrode (G-PANI/CPE) coupled with droplet-based microfluidic sensor for
high-throughput detection of 4-aminophenol (4-AP) in pharmaceutical paracetamol
(PA) formulations. A simple T-junction microfluidic platform using an oil flow
rate of 1.8 MUL/min and an aqueous flow rate of 0.8 MUL/min was used to produce
aqueous testing microdroplets continuously. The microchannel was designed to
extend the aqueous droplet to cover all 3 electrodes, allowing for
electrochemical measurements in a single droplet. Parameters including flow rate,
water fraction, and applied detection potential (Edet) were investigated to
obtain optimal conditions. Using G-PANI/CPE significantly increased the current
response for both cyclic voltammetric detections of ferri/ferrocyanide
[Fe(CN)6](3-/4-) (10 times) and 4-AP (2 times), compared to an unmodified
electrode. Using the optimized conditions in the droplet system, 4-AP in the
presence of PA was selectively determined. The linear range of 4-AP was 50-500
MUM (R(2) = 0.99), limit of detection (LOD, S/N = 3) was 15.68 MUM, and limit of
quantification (LOQ, S/N = 10) was 52.28 MUM. Finally, the system was used to
determine 4-AP spiked in commercial PA liquid samples and the amounts of 4-AP
were found in good agreement with those obtained from the conventional capillary
zone electrophoresis/UV-Visible spectrophotometry (CZE/UV-Vis). The proposed
microfluidic device could be employed for a high-throughput screening (at least
60 samples h(-1)) of pharmaceutical purity requiring low sample and reagent
consumption.
PMID- 27188319
TI - Fabrication of interdigitated high-performance zinc oxide nanowire modified
electrodes for glucose sensing.
AB - Diabetes is a metabolic disease with a prolonged elevated level of glucose in the
blood leads to long-term complications and increases the chances for
cardiovascular diseases. The present study describes the fabrication of a ZnO
nanowire (NW)-modified interdigitated electrode (IDE) to monitor the level of
blood glucose. A silver IDE was generated by wet etching-assisted conventional
lithography, with a gap between adjacent electrodes of 98.80 MUm. The ZnO-based
thin films and NWs were amended by sol-gel and hydrothermal routes. High-quality
crystalline and c-axis orientated ZnO thin films were observed by XRD analyses.
The ZnO thin film was annealed for 1, 3 and 5 h, yielding a good-quality
crystallite with sizes of 50, 100 and 110 nm, and the band gaps were measured as
3.26, 3.20 and 3.17 eV, respectively. Furthermore, a flower-modeled NW was
obtained with the lowest diameter of 21 nm. Our designed ZnO NW-modified IDE was
shown to have a detection limit as low as 0.03 mg/dL (correlation coefficient =
0.98952) of glucose with a low response time of 3 s, perform better than
commercial glucose meter, suitable to instantly monitor the glucose level of
diabetes patients. This study demonstrated the high performance of NW-mediated
IDEs for glucose sensing as alternative to current glucose sensors.
PMID- 27188320
TI - Upconverting nanophosphors as reporters in a highly sensitive heterogeneous
immunoassay for cardiac troponin I.
AB - Photon upconverting nanophosphors (UCNPs) have a unique capability to produce
anti-Stokes emission at visible wavelengths via sequential multiphoton absorption
upon infrared excitation. Since the anti-Stokes emission can be easily spectrally
resolved from the Stokes' shifted autofluorescence, the upconversion luminescence
(UCL) is a highly attractive reporter technology for optical biosensors and
biomolecular binding assays - potentially enabling unprecedented sensitivity in
separation-based solid-phase immunoassays. UCL technology has not previously been
applied in sensitive detection of cardiac troponin I (cTnI), which requires
highly sensitive detection to enable accurate and timely diagnosis of myocardial
infarction. We have developed an UCL-based immunoassay for cTnI using NaYF4:
Yb(3+), Er(3+) UCNPs as reporters. Biotinylated anti-cTnI monoclonal antibody
(Mab) and Fab fragment immobilized to streptavidin-coated wells were used to
capture cTnI. Captured cTnI was detected from dry well surface after a 15 min
incubation with poly(acrylic acid) coated UCNPs conjugated to second anti-cTnI
Mab. UCL was measured with a dedicated UCL microplate reader. The UCL-based
immunoassay allowed sensitive detection of cTnI. The limit of detection was 3.14
ng L(-1). The calibration curve was linear up to cTnI concentration 50,000 ng L(
1). Plasma recoveries of added cTnI were 92-117%. Obtained cTnI concentrations
from five normal plasma samples were 4.13-10.7 ng L(-1) (median 5.06 ng L(-1)).
There is yet significant potential for even further improved limit of detection
by reducing non-specifically bound fraction of the Mab-conjugated UCNPs. The
assay background with zero calibrator was over 40-fold compared to the background
obtained from wells where the reporter conjugate had been excluded.
PMID- 27188321
TI - Rapid "one-pot" preparation of polymeric monolith via photo-initiated thiol
acrylate polymerization for capillary liquid chromatography.
AB - A facile approach was exploited for fast preparation of polymer-based monoliths
in UV-transparent fused-silica capillaries via "one-pot" photo-initiated thiol
acrylate polymerization reaction of dipentaerythritolpenta-/hexaacrylate (DPEPA)
and 1-octadecanethiol (ODT) in the presence of porogenic solvents (1-butanol and
ethylene glycol). Due to relative insensitivity of oxygen inhibition in thiol-ene
free-radical polymerization, the polymerization could be performed within 5 min.
The effects of composition of prepolymerization solution on the morphology and
permeability of poly(ODT-co-DPEPA) monoliths were investigated in detail by
adjusting the content of monomer and binary porogen ratio. The physical
properties of poly(ODT-co-DPEPA) monoliths were characterized by Fourier
transform infrared spectroscopy (FT-IR), mercury intrusion porosimetry (MIP) and
nitrogen adsorption/desorption measurement. The evaluation of chromatographic
performance was carried out by capillary liquid chromatography (cLC). The results
indicated that the poly(ODT-co-DPEPA) monolith was homogeneous and permeable, and
also possessed a typical reversed-phase retention mechanism in cLC with high
efficiency (~75,000 N m(-1)) for separation of alkylbenzenes. Eventually, the
further separation of tryptic digest of proteins by cLC tandem mass spectrometry
(cLC-MS/MS) demonstrated its potential in the analysis of biological samples.
PMID- 27188322
TI - Blood-brain barrier shuttle peptides: an emerging paradigm for brain delivery.
AB - Brain delivery is one of the major challenges in drug development because of the
high number of patients suffering from neural diseases and the low efficiency of
the treatments available. Although the blood-brain barrier (BBB) prevents most
drugs from reaching their targets, molecular vectors - known as BBB shuttles -
offer great promise to safely overcome this formidable obstacle. In recent years,
peptide shuttles have received growing attention because of their lower cost,
reduced immunogenicity, and higher chemical versatility than traditional Trojan
horse antibodies and other proteins.
PMID- 27188323
TI - Achieving high resolution and optimizing sensitivity in spatial frequency
encoding NMR spectroscopy: from theory to practice.
AB - A detailed analysis of NMR spectra acquired based on spatial frequency encoding
is presented. A theoretical model to simulate gradient encoded pulses is
developed in order to describe the spatial properties of the NMR signals that are
locally created throughout the sample. The key features that affect the
efficiency of the slice selection process during excitation as well as refocusing
pulses are investigated on a model ABX spin system, both theoretically and
experimentally. It is shown that the sensitivity and resolution of the pure shift
and J-edited experiments based on a spatial frequency encoding can be optimized
to a point where high-resolution techniques based on a spatial frequency encoding
approach show optimal performance compared to other methods.
PMID- 27188324
TI - Migrants' right to healthcare: accepting limits on what European healthcare
systems can provide.
PMID- 27188325
TI - Sensing hydrocarbons with interband cascade lasers and substrate-integrated
hollow waveguides.
AB - Tunable diode laser absorption spectroscopy (TDLAS) is an excellent analytical
technique for gas sensing applications. In situ sensing of relevant hydrocarbon
gases is of substantial interest for a variety of in-field scenarios including
environmental monitoring and process analysis, ideally providing accurate,
molecule specific, and rapid information with minimal sampling requirements.
Substrate-integrated hollow waveguides (iHWGs) have demonstrated superior
properties for gas sensing applications owing to minimal sample volumes required
while simultaneously serving as efficient photon conduits. Interband cascade
lasers (ICLs) are recently emerging as mid-infrared light sources operating at
room temperature, with low power consumption, and providing excellent potential
for integration. Thereby, portable and handheld mid-infrared sensing devices are
facilitated. Methane (CH4) is among the most frequently occurring, and thus,
highly relevant hydrocarbons requiring in situ emission monitoring by taking
advantage of its distinct molecular absorption around 3 MUm. Here, an efficient
combination of iHWGs with ICLs is presented providing a methane sensor calibrated
in the range of 100 to 2000 ppmv with a limit of detection at 38 ppmv at the
current stage of development. Furthermore, a measurement precision of 0.62 ppbv
during only 1 s of averaging time has been demonstrated, thereby rendering this
sensor concept useful for in-line and on-site emission monitoring and process
control applications.
PMID- 27188326
TI - Coincidental cerebral venous thrombosis and subarachnoid haemorrhage related to
ruptured anterior communicating artery aneurysm.
AB - Aneurysmal subarachnoid haemorrhage (SAH) and cerebral venous thrombosis (CVT)
are rare cerebrovascular pathologies. Here, we report the extremely rare
coincidental presentation of both entities and discuss the likely relationship in
aetiology and their optimal management. A female patient presented with headache
and progressive neurological deficits. Cranial computed tomography and contrast
enhanced magnetic resonance imaging (MRI)/magnetic resonance angiography (MRA)
revealed dural venous sinus thrombosis, left-sided frontal and parietal infarcts,
and left middle and anterior cerebral artery stenosis. In addition, left
hemispheric subarachnoid haemosiderosis was seen on MRI. Following standard
anticoagulation therapy for CVT, she represented with acute SAH. Digital
subtraction angiography revealed a ruptured anterior communicating artery
aneurysm and left middle cerebral artery/anterior cerebral artery vasospasms that
were responsive to intra-arterial nimodipine. The latter were already present on
the previous MRI, and had most likely prevented the detection of the aneurysm
initially. The aneurysm was successfully coil embolised, and the patient improved
clinically. Despite this case being an extremely rare coincidence, a ruptured
aneurysm should be excluded in the presence of CVT and non-sulcal SAH. A careful
consideration of treatment of both pathologies is required, since anticoagulation
may have a potentially negative impact on aneurysmal bleeding.
PMID- 27188328
TI - Axial spondyloarthritis.
AB - The term axial spondyloarthritis covers both non-radiographic disease and
radiographic disease (also known as ankylosing spondylitis). Some studies have
been performed to investigate the prevalence of axial spondyloarthritis, although
most are limited to patients with radiographic disease. A strong genetic
association has been shown between axial spondyloarthritis and human leukocyte
antigen-B27 (HLA-B27), but the pathogenetic role of HLA-B27 has not yet been
clarified. Tumour necrosis factor (TNF), IL-17, IL-23 and downstream pathways
also seem to be important - based on the good results of therapies directed
against these molecules - but their exact role in the inflammatory process is
also not yet clear. Elucidating the interaction between osteoproliferation and
inflammation will be crucial for the prevention of long-term structural damage of
the bone. The development of new criteria for classification, diagnosis and
screening of patients with axial spondyloarthritis will enable earlier
intervention for this chronic inflammatory disease. MRI has become an important
tool for the early detection of axial spondyloarthritis. NSAIDs and TNF blockers
are effective therapies, including in the early non-radiographic stage.
Therapeutic blockade of IL-17 or IL-23 seems to be a promising new treatment
option. Tools for measuring quality of life in axial spondyloarthritis have
become relevant to assess the impact that the disease has on patients. These
diagnostic and therapeutic advances will continue to change the management of
axial spondyloarthritis, and new insights into the disease pathogenesis will
hopefully accelerate this process. For an illustrated summary of this Primer,
visit: http://go.nature.com/51b1af.
PMID- 27188327
TI - Characterization of a murine xenograft model for contrast agent development in
breast lesion malignancy assessment.
AB - BACKGROUND: The aim of the study was to develop a nude mouse xenograft model
implanted with both benign and malignant xenografts as the preliminary candidate
screening tool for contrast agent development in lesion malignancy indication.
RESULTS: A malignant xenograft (either MCF-7 cell/matrigelTM or MDA-MB 231
cell/matrigel) and a benign xenograft (culture medium/matrigel) with cleft and
slit-like features of intracanaliculer fibroadenoma were implanted subcutaneously
into flanks of individual nu/nu nude mouse with >90 % successful inoculation
rate. Both malignant and benign xenografts with volume up to 4 cm(3) and (size up
to 2 cm) after 5(th) week were characterized in vivo by sonogram (exhibiting
endogenous morphological contrast features between benign and malignant
xenografts), dynamic contrast enhanced multi-detector computed tomography
(presenting non-targeting exogenous morphological and dynamic contrast features
between benign and malignant xenografts), and then were harvested for
histological and immunohistochemistry (revealing example of targeting/molecular
contrast features, such as expression of cancer vascular markers of malignant
xenografts). Malignant xenografts appeared morphologically taller than wide (axis
parallel to skin) with angular/ill-defined margin under sonogram observations,
revealed more evident rim enhancement, angular margin and washout pattern in the
time-density curve from dynamic contrast enhance multi-detector computed
tomography images, and had more visible cancer vascular markers (CD31 and VEGF)
expression. With limited number of subjects (5-27 for each group of a specific
imaging contrast feature), those imaging contrast features of the xenograft model
had larger than 85 % sensitivity, specificity, accuracy, positive and negative
prediction values in indicating xenograft malignancy except for results from
color Doppler detections. CONCLUSIONS: The murine xenograft model might provide
an earlier efficacy evaluation of new contrast agent candidate for lesion
malignancy interrogation with qualitative and quantitative indication before a
human study to reduce the risk and conserve the resources (time, finance and
manpower).
PMID- 27188330
TI - Erratum to: Quantifying the health impacts of ambient air pollutants:
methodological errors must be avoided.
PMID- 27188329
TI - Differential response of serum amyloid A to different therapies in early
rheumatoid arthritis and its potential value as a disease activity biomarker.
AB - BACKGROUND: The aim was to compare the effect of etanercept (ETN) and
conventional synthetic disease-modifying anti-rheumatic drug (DMARD) therapy on
serum amyloid A (SAA) levels and to determine whether SAA reflects rheumatoid
arthritis (RA) disease activity better than C-reactive protein (CRP). METHODS: We
measured SAA and CRP at baseline, 24, 48, and 102 week follow-up visits in 594
patients participating in the Treatment of early RA (TEAR) study. We used
Spearman correlation coefficients (rho) to evaluate the relationship between SAA
and CRP and mixed effects models to determine whether ETN and methotrexate (MTX)
treatment compared to triple DMARD therapy differentially lowered SAA. Akaike
information criteria (AIC) were used to determine model fits. RESULTS: SAA levels
were only moderately correlated with CRP levels (rho = 0.58, p < 0.0001). There
were significant differences in SAA by both visit (p = 0.0197) and treatment arm
(p = 0.0130). RA patients treated with ETN plus MTX had a larger reduction in SAA
than patients treated with traditional DMARD therapy. Similar results were found
for serum CRP by visit (p = 0.0254) and by treatment (p < 0.0001), with a more
pronounced difference than for SAA. Across all patients and time points, models
of the disease activity score of 28 joints (DAS28)-erythrocyte sedimentation rate
(ESR) using SAA levels were better than models using CRP; the DeltaAIC between
the SAA and CRP models was 305. CONCLUSIONS: SAA may be a better biomarker of RA
disease activity than CRP, especially during treatment with tumor necrosis factor
(TNF) antagonists. This warrants additional studies in other cohorts of patients
on treatment for RA. TRIAL REGISTRATION: (ClinicalTrials.gov identifier:
NCT00259610 , Date of registration: 28 November 2005).
PMID- 27188332
TI - A cross-sectional study of submacular thickening in intermediate uveitis and
determination of treatment threshold.
AB - BACKGROUND: The aim of this work is to refine understanding of anatomical and
functional alterations in eyes with Intermediate Uveitis (IU), their natural
history in mild cases not necessitating treatment and their response to treatment
in severely affected eyes with macular edema. METHODS: 61 consecutive patients
with IU presenting over a 6-year period were prospectively recruited into the
study. Two subgroups of patients with IU were identified on the basis of the need
or not for systemic cortico-steroid treatment. A group of healthy volunteers was
identified for determining normal average central foveal thickness (CFT) values.
Statistical comparisons were sought between patient sub-groups and with the group
of normal volunteers for CFT and Best Corrected Visual Acuity (BCVA) at baseline
and after 6 months. In a post hoc analysis, a cut-off value of CFT for systemic
treatment initiation in IU was statistically identified and its sensitivity and
specificity determined. RESULTS: A statistically significant difference in mean
CFT at baseline was observed between patients under systemic treatment and
untreated patients (p = 0.0005) as well as between untreated patients and healthy
volunteers. (p < 0.001) After six months difference in CFT between the two
patients subgroups was no longer significant (p = 0.699). BCVA was worse for
patients under systemic treatment. No statistically significant difference could
be identified between the subgroup of untreated patients and the group of healthy
volunteers either at baseline or after 6 months. Correlation between LogMAR
visual acuity and central retinal thickness at baseline was strong (r = 0.7436, p
< 0.0001, Pearson's correlation coefficient). The cut-off value of CFT for
initiating systemic treatment was determined at 215.5 MUm in a post hoc analysis
(sensitivity 62.5 %, specificity 96.4 %). CONCLUSIONS: Subclinical retinal
thickening of mildly inflamed eyes with IU can occur though bearing no functional
clinical significance and spontaneously resolving within 6 months. A cut-off CFT
value for treatment of macular edema in IU, in the presence of other relevant
morphological features on Optical Coherence Tomography, seems to emerge from post
hoc analysis of collected data demonstrating strong specificity and moderate
sensitivity.
PMID- 27188331
TI - Brain morphological changes in adolescent and adult patients with anorexia
nervosa.
AB - Gray matter (GM) and white matter (WM) volume loss occur in the brains of
patients with acute anorexia nervosa (AN) and improve again upon weight
restoration. Adolescence is an important time period for AN to begin. However,
little is known about the differences between brain changes in adolescents vs
adults. We used a meta-analysis and a qualitative review of all MRI studies
regarding acute structural brain volume changes and their recovery in adolescents
and adults with AN. 29 studies with 473 acute, 121 short-term weight-recovered
and 255 long-term recovered patients with AN were included in the meta-analysis.
In acute AN, GM and WM were reduced compared to healthy controls. Acute
adolescent patients showed a significantly greater GM reduction than adults (-8.4
vs -3.1 %), the difference in WM (-4.0 vs -2.1 %) did not reach significance.
Short-term weight-recovered patients showed a remaining GM deficit of 3.6 % and a
non-significant WM reduction of 0.9 % with no age differences. Following 1.5-8
years of remission, GM and WM were no longer significantly reduced in adults (GM
0.4 %, WM -0.7 %); long-term studies for adolescents were scarce. The qualitative
review showed that GM volume loss was correlated with cognitive deficits and
three studies found GM regions, cerebellar deficits and WM to be predictive of
outcome. GM and WM are strongly reduced in acute AN and even more pronounced in
adolescence. Long-term recovery appears to be complete for adults while no
conclusions can be drawn for adolescents, thus caution remains.
PMID- 27188333
TI - When clinical care is like research: the need for review and consent.
AB - The prevailing "segregated model" for understanding clinical research sharply
separates it from clinical care and subjects it to extensive regulations and
guidelines. This approach is based on the fact that clinical research relies on
procedures and methods-research biopsies, blinding, randomization, fixed
treatment protocols, placebos-that pose risks and burdens to participants in
order to collect data that might benefit all patients. Reliance on these methods
raises the potential for exploitation and unfairness, and thus points to the need
for independent ethical review and more extensive informed consent. In contrast,
it is widely assumed that clinical care does not raise these ethical concerns
because it is designed to promote the best interests of individual patients. The
segregation of clinical research from clinical care has been largely effective at
protecting research participants. At the same time, this approach ignores the
fact that several aspects of standard clinical care, such as clinician training
and scheduling, also pose some risks and burdens to present patients for the
benefit of all patients. We argue that recently proposed learning health care
systems offer a way to address this concern, and better protect patients, by
developing integrated review and consent procedures. Specifically, current
approaches base the need for independent ethical review and more extensive
informed consent on whether an activity is categorized as clinical research or
clinical care. An ethically sounder approach, which could be incorporated into
learning health care systems, would be to base the need for independent ethical
review and more extensive informed consent on the extent to which an activity
poses risks to present patients for the benefit of all patients.
PMID- 27188334
TI - Velocity-dependent quantum phase slips in 1D atomic superfluids.
AB - Quantum phase slips are the primary excitations in one-dimensional superfluids
and superconductors at low temperatures but their existence in ultracold quantum
gases has not been demonstrated yet. We now study experimentally the nucleation
rate of phase slips in one-dimensional superfluids realized with ultracold
quantum gases, flowing along a periodic potential. We observe a crossover between
a regime of temperature-dependent dissipation at small velocity and interaction
and a second regime of velocity-dependent dissipation at larger velocity and
interaction. This behavior is consistent with the predicted crossover from
thermally-assisted quantum phase slips to purely quantum phase slips.
PMID- 27188335
TI - Intravenous administration of lidocaine directly acts on spinal dorsal horn and
produces analgesic effect: An in vivo patch-clamp analysis.
AB - Intravenous lidocaine administration produces an analgesic effect in various pain
states, such as neuropathic and acute pain, although the underlying mechanisms
remains unclear. Here, we hypothesized that intravenous lidocaine acts on spinal
cord neurons and induces analgesia in acute pain. We therefore examined the
action of intravenous lidocaine in the spinal cord using the in vivo patch-clamp
technique. We first investigated the effects of intravenous lidocaine using
behavioural measures in rats. We then performed in vivo patch-clamp recording
from spinal substantia gelatinosa (SG) neurons. Intravenous lidocaine had a dose
dependent analgesic effect on the withdrawal response to noxious mechanical
stimuli. In the electrophysiological experiments, intravenous lidocaine inhibited
the excitatory postsynaptic currents (EPSCs) evoked by noxious pinch stimuli.
Intravenous lidocaine also decreased the frequency, but did not change the
amplitude, of both spontaneous and miniature EPSCs. However, it did not affect
inhibitory postsynaptic currents. Furthermore, intravenous lidocaine induced
outward currents in SG neurons. Intravenous lidocaine inhibits glutamate release
from presynaptic terminals in spinal SG neurons. Concomitantly, it hyperpolarizes
postsynaptic neurons by shifting the membrane potential. This decrease in the
excitability of spinal dorsal horn neurons may be a possible mechanism for the
analgesic action of intravenous lidocaine in acute pain.
PMID- 27188336
TI - Effects of intrauterine growth retardation and Bacillus subtilis PB6
supplementation on growth performance, intestinal development and immune function
of piglets during the suckling period.
AB - OBJECTIVES: The aim of this study was to investigate the effects of intrauterine
growth retardation (IUGR) and Bacillus subtilis PB6 supplementation in formula
milk (FORM) on growth performance, intestinal development and immune function of
neonates using a porcine model. METHODS: Fourteen pairs of normal birth weight
and IUGR piglets (7 days old) were randomly assigned to receive FORM or FORM
supplemented with B. subtilis PB6 (FORM-BsPB6) for a period of 21 days. Blood
samples, intestinal tissues and digesta were collected at necropsy and analysed
for morphology, digestive enzyme activities, immune cell abundance, expression of
genes associated with innate immunity and barrier function and microbial
populations. RESULTS: Regardless of diet, IUGR significantly decreased average
daily dry matter intake and average daily weight gain (P < 0.05). Moreover, IUGR
significantly decreased plasma concentrations of immunoglobulin A, interleukin
1beta, count and percentage of blood lymphocytes (P < 0.05). Meanwhile, IUGR
markedly decreased villous height and maltase activity, as well as mRNA abundance
of Toll-like receptor 9 and Toll-interacting protein in the ileum (P < 0.05).
Regardless of body weight, FORM-BsPB6 markedly decreased the feed conversion
ratio (P < 0.05), due to better intestinal development, as indicated by increased
villous height (P < 0.05), activities of maltase and sucrase in the intestine (P
< 0.10). Moreover, both mRNA and protein abundances of zonula occludens-1 and
claudin-1 in the ileum as well as the copy number of Bacillus in colonic digesta
were increased (P < 0.05) in piglets fed FORM-BsPB6 relative to FORM. CONCLUSION:
The results of this study indicate that IUGR delayed growth, intestinal
development and immune function of piglets, while FORM-BsPB6 improved digestive
capability and intestinal barrier function.
PMID- 27188337
TI - Fe-MIL-101 exhibits selective cytotoxicity and inhibition of angiogenesis in
ovarian cancer cells via downregulation of MMP.
AB - Though metal-organic frameworks (MOFs) have inspired potential applications in
biomedicine, cytotoxicity studies of MOFs have been relatively rare. Here we
demonstrate for the first time that an easily available MOF, Fe-MIL-101,
possesses intrinsic activity against human SKOV3 ovarian cancer cells and
suppress the proliferation of SKOV3 cells (IC50 = 23.6 MUg mL(-1)) and normal
mouse embryonic fibroblasts (BABL-3T3, IC50 = 78.3 MUg mL(-1)) cells. It was more
effective against SKOV3 cells than typical anticancer drugs such as artesunate
(ART, IC50 = 96.9 MUg mL(-1)) and oxaliplatin (OXA, IC50 = 64.4 MUg mL(-1)), but
had less effect on normal BABL-3T3 cells compared with ART (IC50 = 36.6 MUg mL(
1)) and OXA (IC50 = 13.8 MUg mL(-1)). Fe-MIL-101 induced apoptosis of human
umbilical vein endothelial cells (HUVECs) via G0/G1 cell cycle arrest and
decreased the mitochondrial membrane potential in HUVECs and induced apoptosis.
Furthermore, Fe-MIL-101 exhibited stronger antiangiogenic effects in HUVEC cells
than antiangiogenic inhibitor (SU5416) via downregulation the expression of MMP
2/9. Our results reveal a new role of Fe-MIL-101 as a novel, non-toxic anti
angiogenic agent that restricted ovarian tumour growth. These findings could open
a new avenue of using MOFs as potential therapeutics in angiogenesis-dependent
diseases, including ovarian cancer.
PMID- 27188338
TI - Efficacy and safety of rituximab in Japanese patients with acquired thrombotic
thrombocytopenic purpura refractory to conventional therapy.
AB - Thrombotic thrombocytopenic purpura (TTP), while rare, is a potentially life
threatening disorder. Plasma exchange (PE) is considered the primary treatment
for TTP. In Western countries, rituximab, an anti-CD20 antibody, is recommended
with PE for the treatment of refractory/relapsed TTP, and as up-front therapy in
newly diagnosed TTP with neurological/cardiac pathology. The present open-label,
single-arm, multicenter study evaluated the efficacy and safety of rituximab in
Japanese patients with refractory/relapsed TTP. Patients received rituximab 375
mg/m(2) intravenously, once weekly for a total of four treatments, with PE and
steroids. Of six evaluable patients in the full analysis set, two met the primary
efficacy endpoint (platelet count >150 * 10(9)/L at week 4), yielding a 33.3 %
response rate (95 % confidence interval: 4.3-77.7). While the lower confidence
limit of the primary efficacy endpoint failed to reach the pre-specified
threshold of 30 %, clinically significant recovery of platelet count with
discontinuation of PE, increase of ADAMTS13 activity, disappearance of ADAMTS13
inhibitor, and improvement of TTP-associated clinical manifestations were
observed after rituximab therapy in all patients. No safety concerns were
identified in this study; therefore, rituximab is considered a useful treatment
option in Japanese TTP patients who are refractory to conventional therapy. Trial
registration JMA-IIA00160.
PMID- 27188342
TI - Networking biofabricated systems through molecular communication.
PMID- 27188341
TI - Reduced tonic inhibition after stroke promotes motor performance and epileptic
seizures.
AB - Stroke survivors often recover from motor deficits, either spontaneously or with
the support of rehabilitative training. Since tonic GABAergic inhibition controls
network excitability, it may be involved in recovery. Middle cerebral artery
occlusion in rodents reduces tonic GABAergic inhibition in the structurally
intact motor cortex (M1). Transcript and protein abundance of the extrasynaptic
GABAA-receptor complex alpha4beta3delta are concurrently reduced (delta-GABAARs).
In vivo and in vitro analyses show that stroke-induced glutamate release
activates NMDA receptors, thereby reducing KCC2 transporters and down-regulates
delta-GABAARs. Functionally, this is associated with improved motor performance
on the RotaRod, a test in which mice are forced to move in a similar manner to
rehabilitative training sessions. As an adverse side effect, decreased tonic
inhibition facilitates post-stroke epileptic seizures. Our data imply that early
and sometimes surprisingly fast recovery following stroke is supported by
homeostatic, endogenous plasticity of extrasynaptic GABAA receptors.
PMID- 27188340
TI - Prediction of Post-Concussive Behavioral Changes in a Rodent Model Based on Head
Rotational Acceleration Characteristics.
AB - Quantifying injury tolerance for concussion is complicated by variability in the
type, severity, and time course of post-injury physiological and behavioral
changes. The current study outlined acute and chronic changes in behavioral
metrics following rotational acceleration-induced concussion in rats. The Medical
College of Wisconsin (MCW) rotational injury model independently controlled
magnitude and duration of the rotational acceleration pulse. Increasing
rotational acceleration magnitude produced longer recovery times, which were used
in this study and our prior work as an assessment of acute injury severity.
However, longer duration rotational accelerations produced changes in
emotionality as measured using the elevated plus maze. Cognitive deficits were
for the most part not apparent in the Morris water maze assessment, possibly due
to the lower severity of rotational acceleration pulses incorporated in this
study. Changes in emotionality evolved between acute and chronic assessments, in
some cases increasing in severity and in others reversing polarity. These
findings highlight the complexity of quantifying injury tolerance for concussion
and demonstrate a need to incorporate rotational acceleration magnitude and
duration in proposed injury tolerance metrics. Rotational velocity on its own was
not a strong predictor of the magnitude or type of acute behavioral changes
following concussion, although its combination with rotational acceleration
magnitude using multivariate analysis was the strongest predictor for acute
recovery time and some chronic emotional-type behavioral changes.
PMID- 27188344
TI - [Survey of the first case of Zika virus disease in the mainland of China].
AB - OBJECTIVE: To analyze epidemiological characteristics of the first laboratory
confirmed case of Zika virus disease in the mainland China, and provide evidence
for the prevention and control of the spread of Zika virus disease. METHODS:
Epidemiological survey was conducted for the first suspect case of Zika virus
disease in China, and medical observation was conducted for the close contacts.
The nucleic acid detection of Zika virus was conducted with Real-time RT-PCR by
using blood and urine samples collected from the cases. RESULTS: The first case
of Zika virus disease was confirmed. The case was cured and discharged on 18(th)
day after the onset. The blood sample on 10(th) day after the onset and urine
samples on 11-13(th) days after the onset were all positive for Zika virus. The
case had the history of mosquito bites in the endemic country before the onset.
The close contacts showed no Zika virus disease-like symptoms during the medical
observation period. CONCLUSION: The case reported by Jiangxi province was the
first imported case of Zika virus disease in the mainland of China. The infection
was related with the mosquito bites in Venezuela where Zika virus disease in
endemic.
PMID- 27188345
TI - [Survey of the third Zika virus disease case in the mainland of China].
AB - OBJECTIVE: To evaluate the performance of Zika virus(ZIKV)disease prevention and
control. METHODS: Descriptive epidemiological analysis was conducted on the
clinical manifestations, laboratory detection results and disease progression of
the third imported ZIKV disease case in the mainland of China. RESULTS: On 19
February 2016, a ZIKV disease case was confirmed in Yiwu, Zhejiang province,
which was the third imported case of ZIKV disease confirmed by China CDC
laboratory and expert consulting. The patient just had a travel to Fiji and Samoa
and had mosquito bite history in Samoa. The patient was hospitalized on 16
February after the onset on 14 February and the eruption on 15 February. The body
temperature of the patient became normal on 17 February, the rash disappeared on
19 February and the conjunctivitis resolved on 20 February. The positive
detection of the viral nucleic acid in blood was only for 3 consecutive days, and
the viral nucleic acid could be detected in urine even after negative detection
in blood for 4 days. CONCLUSION: The symptoms of the patient were typical. ZIKV
can be detected by using blood sample in early phase, but after body temperature
become normal, the virus can be detected in urine.
PMID- 27188346
TI - [Infestation status Aedes albopictus and related mosquito-borne infectious
disease risk in central urban area in Shanghai].
AB - OBJECTIVE: To evaluate Aedes albopictus infestation status in the central urban
area of Shanghai, and analyze the related epidemic risk of mosquito-borne
infectious disease. METHODS: Consecutive mosquito surveillance was conducted in
the green lands and residential areas in the central urban area of Shanghai
during 2012-2014, the Aedes albopictus density and its seasonal fluctuation were
observed; the sequence of Aedes albopictus in Shanghai was aligned with that in
other epidemic area abroad, and the susceptibility of Aedes albopictus to
mosquito-borne virus and endemic risk were analyzed. RESULTS: No Aedes aegypti
was found in the central urban area of Shanghai. As predominant species in both
the residential area and the green lands, the proportion of Aedes albopictus in
the residential area was significantly higher than that in the green lands(78.53%
vs. 19.99%, chi(2) =15 525.168, P<0.001), and so was the density(11.91, 42.02
pcs/day . site in the residential area vs. 3.65, 2.18, 2.73 pcs/day . site in the
green lands, all P value <0.001). In 2014, the density reached 42.02 pcs/day .
site and the proportion reached 94.69% in the residential areas. Phylogenetic
tree analysis showed that the genetic distance between Aedes albopictus in
Shanghai and Aedes albopictus in Africa was quite far. CONCLUSION: No Aedes
aegypti was found in Shanghai and its surrounding areas, while Aedes albopictus
infestation in the central urban area of Shanghai was serious. Strict measures
should be taken to reduce the Aedes albopictus density for the effective control
Zika virus spread.
PMID- 27188343
TI - Metabolomics Investigation Reveals Metabolite Mediators Associated with Acute
Lung Injury and Repair in a Murine Model of Influenza Pneumonia.
AB - Influenza virus infection (IVI) can cause primary viral pneumonia, which may
progress to acute lung injury (ALI) and respiratory failure with a potentially
fatal outcome. At present, the interactions between host and influenza virus at
molecular levels and the underlying mechanisms that give rise to IVI-induced ALI
are poorly understood. We conducted a comprehensive mass spectrometry-based
metabolic profiling of serum, lung tissue and bronchoalveolar lavage fluid (BALF)
from a non-lethal mouse model with influenza A virus at 0, 6, 10, 14, 21 and 28
days post infection (dpi), representing the major stages of IVI. Distinct
metabolite signatures were observed in mice sera, lung tissues and BALF,
indicating the molecular differences between systematic and localized host
responses to IVI. More than 100 differential metabolites were captured in mice
sera, lung tissues and BALF, including purines, pyrimidines, acylcarnitines,
fatty acids, amino acids, glucocorticoids, sphingolipids, phospholipids, etc.
Many of these metabolites belonged to pulmonary surfactants, indicating IVI
induced aberrations of the pulmonary surfactant system might play an important
role in the etiology of respiratory failure and repair. Our findings revealed
dynamic host responses to IVI and various metabolic pathways linked to disease
progression, and provided mechanistic insights into IVI-induced ALI and repair
process.
PMID- 27188339
TI - Erectile dysfunction.
AB - Erectile dysfunction is a multidimensional but common male sexual dysfunction
that involves an alteration in any of the components of the erectile response,
including organic, relational and psychological. Roles for nonendocrine
(neurogenic, vasculogenic and iatrogenic) and endocrine pathways have been
proposed. Owing to its strong association with metabolic syndrome and
cardiovascular disease, cardiac assessment may be warranted in men with symptoms
of erectile dysfunction. Minimally invasive interventions to relieve the symptoms
of erectile dysfunction include lifestyle modifications, oral drugs, injected
vasodilator agents and vacuum erection devices. Surgical therapies are reserved
for the subset of patients who have contraindications to these nonsurgical
interventions, those who experience adverse effects from (or are refractory to)
medical therapy and those who also have penile fibrosis or penile vascular
insufficiency. Erectile dysfunction can have deleterious effects on a man's
quality of life; most patients have symptoms of depression and anxiety related to
sexual performance. These symptoms, in turn, affect his partner's sexual
experience and the couple's quality of life. This Primer highlights numerous
aspects of erectile dysfunction, summarizes new treatment targets and ongoing
preclinical studies that evaluate new pharmacotherapies, and covers the topic of
regenerative medicine, which represents the future of sexual medicine.
PMID- 27188347
TI - [Relationship between overweight/obesity and hypertension among adults in China:
a prospective study].
AB - OBJECTIVE: To evaluate the influence of overweight/obesity on the incidence of
hypertension among adults in China. METHODS: The subjects of this prospective
study were 13 739 Chinese adults aged 35-74 years recruited at the baseline
surveys of China Multicenter Collaborative Study of Cardiovascular Disease
Epidemiology and International Collaborative Study of Cardiovascular Disease in
Asian. Baseline surveys were conducted in 1998 and during 2000-2001,
respectively, and the follow-up was conducted during 2007-2008. According to the
body mass index, the subjects were divided into four groups: underweight
group(<18.5 kg/m(2)), normal weight group(18.5-23.9 kg/m(2)), overweight
group(24.0-27.9 kg/m(2))and obesity group(>=28.0 kg/m(2)). Age-standardized
cumulative incidence of hypertension was calculated for each group, respectively.
The relative risks(RRs)and 95% confidence intervals(CIs)for the incidence of
hypertension of underweight, overweight and obesity groups were estimated by
using generalized linear regression model with normal weight group as reference.
RESULTS: During 8.1 years of follow-up, 4 271 hypertension cases were detected(2
012 in men and 2 259 in women). Age-standardized cumulative incidence of
hypertension for the underweight, normal weight, overweight and obesity groups
were 20.3%, 30.9%, 43.6% and 50.8% in men, respectively; and 22.9%, 30.4%, 41.1%
and 50.8% in women, respectively. Compared with the normal weight group,
multivariate-adjusted RR(95% CI)for the incidence of hypertension in underweight,
overweight and obesity groups were 0.78(0.64-0.95), 1.22(1.13-1.30)and 1.28(1.16
1.42)in men, respectively; and 0.89(0.77-1.03), 1.16(1.09-1.23)and 1.28(1.18
1.38)in women, respectively. The overweight and obese subjects had higher risk
for the incidence of hypertension, with the population attributable risk
proportion of 7.4% in men and 8.8% in women, respectively. CONCLUSION: Overweight
or obese people are at an increased risk of developing hypertension, thus
prevention and control of overweight/obesity are needed to reduce hypertension
incidence among adults in China.
PMID- 27188348
TI - [Study on effects of community-based management of hypertension patients aged
>=35 years and influencing factors in urban and rural areas of China, 2010].
AB - OBJECTIVE: To understand the effects of standardized community-based management
of hypertension in urban and rural areas in China and related influencing
factors. METHODS: The study subjects were the hypertension patients aged >=35
years who were recruited in 2011 from the participants of 2010 national chronic
and non-communicable disease surveillance project. The hypertension patients were
diagnosed in community health centers or higher level hospitals and included in
community based hypertension management project. By face-to-face questionnaire
survey and health examination, the information of the subjects' demographic
characteristics, risk factors, complications, involvement in community-based
management of hypertension, anti-hypertension treatment, blood pressure, body
height, waistline and body weight were collected. In this study, Rao-Scott chi(2)
test was used to compare the variations among sub-groups. Taylor series
linearization method was used to estimate the prevalence rate. The complex
sampling and unconditional multivariate logistics regression analysis was
conducted to identify the influencing factors for the control of hypertension.
RESULTS: A total of 5 120 subjects were recruited in the analysis. The proportion
of those receiving management for more than two years was 36.57%, and it was
higher in urban area(44.56%)than in rural area(31.79%, P<0.05); In the past 12
months, 6.17% and 14.46% of the patients received no blood pressure measurement
and drug therapy advice respectively, but there were no significant differences
between urban group and rural group(P>0.05); In the past 12 months, the
proportions of the patients receiving diet and physical activity advice were
84.25% and 84.90% respectively, and the proportions were higher in urban group
than in rural group(P<0.05); In the past 12 months, the proportions of the
subjects receiving tobacco and alcohol use advice were 78.41% and 77.80%
respectively, and the proportions were higher in rural group than in urban
group(P<0.05). In urban area, the subjects receiving standardized management had
lower SBP(142.79+/-17.39)mmHg, lower DBP(84.26+/-9.49)mmHg and higher blood
pressure control rate(49.77%)than those receiving no standardized
management(P<0.05); while in rural area, no difference was found in BP control
between the patients receiving and receiving no standardized management(P>0.05).
In urban area, the influencing factors for BP control among the subjects
receiving community based management were educational level, annual income, body
weight, hypertension management mode, times of receiving BP measurement, times of
receiving antihypertensive medicine advice and receiving physical activity
advice; while in rural area, the influencing factors for BP control among the
subjects receiving community based management were annual income, body weight,
family history of hypertension, antihypertensive medicine awareness, times of
receiving antihypertensive medicine advice and receiving diet advice. CONCLUSION:
The effects of community-based standardized management of hypertension were
better in urban area than in rural area, and the quality of the services of
community-based hypertension management was lower in rural area than in urban
area.
PMID- 27188349
TI - [Influences of genetic and environmental factors on smoking related behaviors
among male twin adults in China].
AB - OBJECTIVE: To analyze the influences of genetic and environmental factors on
smoking behavior, smoking cessation and onset age of smoking less than 20 years
in male twin adults. METHODS: A face-to-face questionnaire was conducted to
collect data from 6 458 pair male twins aged >=25 years registered in 9
provinces(municipality)in China. The heritability of three smoking related
behaviors were calculated by using structural equation models. RESULTS: The ACE
models were the best models of the three dimensions of smoking, i.e. smoking
behavior, smoking cessation and onset age of smoking less than 20 years for male
twins, and the corresponding heritability of these behaviors were 0.26(0.19
0.34), 0.27(0.19-0.37)and 0.05(0.00-0.14), respectively. When adjusted for area
and age, the heritability of these three behaviors were 0.26(0.19-0.34),
0.31(0.00-0.74)and 0.05(0.00-0.14), respectively. CONCLUSIONS: All the three
smoking related behaviors were affected by genetic factors, but environment
factors had more effect on them. For smoking cessation, the heritability was
highest, but the influence of environmental factors was lowest. Meanwhile, for
onset age of smoking, the influence of environmental factors was highest.
PMID- 27188350
TI - [Study on incidence and risk factors of fall in the elderly in a rural community
in Beijing].
AB - OBJECTIVE: To investigate the incidence of fall in the old farmers of Miyun
county in Beijing. METHODS: The old farmers who lived in Jugezhuang and Fengjiayu
townships of Miyun county were selected for a questionnaire survey to collect the
information about the incidence of fall and their health status. RESULTS: A total
of 2 397 old farmers, including 967 males and 1 430 females, were surveyed. Fall
occurred in 347 old farmers(14.5%). The difference in the incidence of fall was
significant between males(9.6%)and females(17.8%). A total of 282 falls occurred
in courtyards, 45 falls occurred in field/hilly areas. Among the falls occurred,
216(27.2%)led to injuries. The most common site of injuries was hip(45 falls).
Logistic regression analysis showed that physical exercise and high income were
protective factors, but difficult standing up and walking, visual problem and
stroke were the risk factors. In the males, the special risk factor was mid
cognitive impairment, and in the females, the special risk factor was urinary
incontinence, but afternoon nap was a protective factor. CONCLUSION: The
incidence of fall in the rural community was relatively high with distinct area
distribution, which has caused serious influence on the mental and physical
health of the elderly.
PMID- 27188351
TI - [Road-side observational survey on 4 unlawful acts among electric bicycle riders
in Zhejiang].
AB - OBJECTIVE: To investigate the prevalence of key unlawful acts among electric
bicycle riders and provide evidence for the development of specific
interventions. METHODS: A 4-day road-side survey was conducted in Jinhua,
Zhejiang province, in 2013. The speed of electric bicycle was measured by speed
measuring instrument and the unlawful acts of riders, such as reverse riding,
running the red-light and carrying passengers, were observed with non
participatory way. RESULTS: A total of 3 448 running electric bicycles were
observed and the average speed was 26 km/h, the proportion of electric bicycle at
the speed of >=15 km/h was 87.06%. The average speed was highest during 10 am-11
am(30 km/h). the number of observed electric bicycle riders with unlawful acts of
' running the red-light','reverse riding' and ' carrying passengers' were 1 315,
656 and 1 877 respectively, and the estimated rate was 10.01%, 4.99% and 15.22%,
respectively. More ' running the red-light','reverse riding' and ' carrying
passengers' occurred during 7 am-8 am(14.59%)and 13 pm-14 pm(15.25%), 13 pm-14
pm(7.33%)and 17 pm-18 pm(7.63%), 6 am-7 am(32.44%)and 12 pm-13 pm(27.90%),
respectively. CONCLUSION: Overspeeding, carrying passengers, running the red
light, reverse riding were the key unlawful acts among electric bicycle riders.
Targeted intervention should be carried out to reduce the prevalence of unlawful
riding acts and the incidence of electric bicycle related injuries and deaths.
PMID- 27188352
TI - [Efficacy of antiretroviral therapy and influencing factors for people living
with HIV/AIDS in designated hospitals in Harbin].
AB - OBJECTIVE: To understand the efficacy of antiretroviral therapy for people living
with HIV/AIDS and influencing factors; and provide evidence to improve the
treatment of HIV infection and AIDS for the better life of the patients. METHODS:
A cross sectional study was conducted in designated AIDS hospitals in Harbin. A
questionnaire was used to collect the information of the patients receiving
treatment in these hospitals. The statistical analysis was done with software SAS
9.2 and Excel 2010. Univariate analysis was performed with t test and
multivariate analysis was performed with ordinal logistic regression model.
Wilcoxon ranks sum test was conducted to compare the CD4(+) T lymphocyte counts.
RESULTS: The number of the patients receiving antiretroviral therapy was in
increase in recent years. The HIV infection route was mainly homosexual contact.
The CD4(+)T lymphocyte count of the patients increased at different levels after
>=6 months treatment(P<0.01). Household income(P<0.05), adherence to treatment
plan or not(P<0.05), social relationship(P< 0.05), concern of economic
cost(P<0.01)medication compliance(P<0.01)and initial level of CD4(+) T
lymphocyte(P<0.01)were the influencing factors for antiretroviral therapy
efficacy. CONCLUSION: In designated hospitals in Harbin, the number of the
patients receiving HIV antiretroviral therapy kept to increase and the efficacy
of the treatment was obvious.
PMID- 27188353
TI - [Prevalence of depression and related factors in 180 HIV/AIDS patients receiving
highly active antiretroviral therapy].
AB - OBJECTIVE: To understand the prevalence of depression in HIV/AIDS patients who
are receiving highly active antiretroviral therapy(HAART), and identify the
influencing factors for depression. METHODS: A total of 180 HIV/AIDS outpatients
receiving HAART were recruited in a cross-sectional survey at the first hospital
of Changsha from June to December 2015. The SDS questionnaire(SDS score>=50)was
used to screen depression patients and psychological CT was used to confirm the
depression. The influencing factors were identified through multivariate logistic
analysis. RESULTS: Forty eight patients showed depressive symptoms in preliminary
screening(26.67%), and 33 patients were diagnosed with depression(18.33%).
HIV/AIDS related stigma and discrimination scale score 20-40(OR=0.093, 95%CI:
0.020-0.431)was the protective factors. Living alone(OR=5.062, 95% CI: 1.626
15.764), HIV related diseases in recent three months(OR=3.778, 95% CI: 1.113
12.826)were the risk factors. CONCLUSION: More attention should be paid to the
depression in HIV/AIDS patients receiving HAART. The mental health care for these
patients needs to be improved in clinic practice.
PMID- 27188354
TI - [The prevalence of primary HIV-1 drug resistance in newly reported HIV infections
in Henan].
AB - OBJECTIVE: To study the prevalence of primary HIV-1 drug resistance in newly
reported HIV infected individuals receiving no antiviral treatment in Henan.
METHODS: Network direct reporting information of newly reported HIV infection
cases in six cities of Henan during January to June, 2013 and January to June,
2014 were collected, and blood samples were collected from the cases to conduct
genotypic drug resistance test and sequence analysis. RESULTS: Primary HIV-1 drug
resistance was detected in 45 of 624 newly reported HIV infection cases, the
prevalence of primary HIV-1 drug resistance was 7.21%, which was classified as
moderate. Univariate analysis revealed that the prevalence of primary HIV-1 drug
resistance was higher in females(chi(2)=11.463, P = 0.001), in age group <20
years(chi(2)=8.969, P=0.011), in illiterates(chi(2)=18.072, P=0.001)and in cases
of HIV subtype B infection(chi(2)=9.897, P=0.019). Multi-univariate analysis
revealed that the risk of primary HIV-1 drug resistance was high in
females(OR=2.194, 95%CI: 1.111-4.331). Non-nucleoside reverse transcriptase
inhibitor(NNRTI), Nucleoside reverse transcriptase inhibitor(NRTI)and Protease
inhibitor(PI)resistance mutations were found in 4.97%, 3.53% and 1.12% of the
cases, respectively. M184V/I(2.08%)and K103N/S(2.88%)were the most commonly
emerged NRTI and NNRTI resistance mutation. Multiple NRTI resistance mutation was
found in four cases. HIV subtype B infections accounted for largest
proportion(51.76%, 323/624), followed by CRF07_BC cases(23.72%, 148/624)and
CRF01_AE cases(19.71%, 123/624). CONCLUSION: The prevalence of primary HIV-1 drug
resistance was moderate in the newly reported HIV infected individuals in Henan.
The surveillance for HIV-1 drug resistance transmission should be strengthened
and drug resistance test before the antiviral treatment should be given.
PMID- 27188355
TI - [Overdose of heroin and influencing factors in intravenous drug users in parts of
Yunnan].
AB - OBJECTIVE: To assess the prevalence of overdose of heroin and risk factors in
intravenous drug users(IDUs)in Yunnan Province. METHODS: During July-August of
2015, IDUs were recruited from four methadone maintenance treatment(MMT)clinics
and two compulsory drug rehabilitation centers in Honghe and Dehong prefectures,
Yunnan province. The information about IDUs ' demographic characteristics and
drug use history, overdose of heroin in previous12 months and the latest overdose
of heroin were collected through face to face questionnaire survey. The factors
associated with overdose of heroin were evaluated with logistic regression
models. RESULTS: Of the 340 IDUs surveyed, 85.3%(290/340)were males, the mean age
was 37.7+/-8.7 years, 65.6%(223/340)were Han ethnicity, and 49.4%(167/338)were
HIV positive, 22.6%(77/340)reported having used club-related drugs(such as
ephedrine, methamphetamine, benzodiazepines and ketamine)in the previous 12
months. Of the 340 IDUs, 41.8%(142/340)had at least one overdose of heroin in
their lifetime(median: 3 overdoses)and 15.6%(53/340)had at least one overdose of
heroin(median : 1 overdose use)in previous 12 months. The mean age of the 53 IDUs
was(36.7 +/- 8.4)years, and 83.0%(44/53)of them were males, the average drug use
history was(16.5 +/- 7.6)years. Dosage increase(26.4%, 14/53)and multidrug
use(28.3%, 15/53)were the main causes for overdose of heroin. Multiple logistic
regression analysis indicated that methadone maintenance treatment during the
past year(OR=0.534, 95%CI: 0.290-0.980)was independently associated with
decreased risk of overdose of heroin, needle sharing in the past 6
months(OR=2.735, 95%CI: 1.383-5.407)and being forced to receive drug
rehabilitation for less than one year(OR=2.881, 95% CI: 1.226-6.767)were
independently associated with increased risk of overdose of heroin. CONCLUSION:
Overdose of heroin is common among IDUs in Yunnan. It is necessary to encourage
IDUs to receive MMT and strengthen the health education about the prevention of
overdose of heroin, especially before they leave drug rehabilitation centers. And
it is important to establish a referral mechanism from drug rehabilitation center
to MMT clinic for drug users.
PMID- 27188356
TI - [HCV infection status and risk factors in remunerated blood donors in Jiangsu
province].
AB - OBJECTIVE: To investigate the infection status of HCV in remunerated blood donors
and risk factors in Jiangsu province. METHODS: A Cross-sectional study was
conducted among people aged >50 years. Questionnaires were used to collect the
information about their demographic characteristics and risk behaviors, and
venous blood samples were collected from them to detect HCV anti-body, HCV-RNA
and other biochemical indicators. EpiData and Stata were used for data entry and
statistical analysis. RESULTS: The overall HCV sero-prevalence rates were 22.55%
and 61.05% among remunerated blood donors. Data from multiple stepwise regression
analysis showed that alanine aminotransferase(ALT)(adjusted OR=1.38, 95%CI: 1.18
1.62)and aspartate aminotransferase(AST)(adjusted OR=1.30, 95%CI: 1.10-1.54)were
associated with the outcomes of HCV infection, and fasting plasma
glucose(adjusted OR=1.17, 95%CI: 1.01-1.35)were associated with HCV RNA viral
loads. CONCLUSION: The prevalence of HCV infection in remunerated blood donors
was high, clinical ALT, AST and fasting plasma glucose levels were associated
with the risk for HCV infection and HCV RNA viral load.
PMID- 27188357
TI - [A sero-epidemiological study of hepatitis B among general population in
Beijing].
AB - OBJECTIVE: To understand the sero-prevalence of hepatitis B in general population
in Beijing and provide evidence for the prevention and control of hepatitis B.
METHODS: A serological survey was conducted in general population aged >1 year
selected through multistage randomized cluster sampling in Beijing from August
2013 to February 2014. The estimated sample size was 5 200. Venous blood samples
were collected from them to detect five hepatitis B serological indicators. The
information about the hepatitis B immunization history and risk factors of the
study subjects were collected through a questionnaire survey. RESULTS: A total of
6 705 people were surveyed. The sero-positive rates of HBsAg, anti-HBs, anti-HBc
and total HBV infection rate were 2.74%, 44.72%, 26.91% and 26.95% respectively.
The age standardized rates were 2.73%, 44.83%, 26.84% and 26.87% respectively. As
for the general population, in Beijing since the prevalence rate of hepatitis B
surface antigen has decreased to 2.73%, <1% in children aged less than 5 years
old, <1% in people aged less than 25 years old. CONCLUSION: The integrated
prevention and control strategy of hepatitis B had a significant effect in
Beijing. We should continue to strengthen the work of adult hepatitis B
vaccination.
PMID- 27188358
TI - [Dynamic maternal measles antibody level in infants: a longitudinal study].
AB - OBJECTIVE: To understand the dynamic maternal measles antibody level in infants
in Guangzhou. METHOD: Women who delivered babies in a maternal and child health
care hospital in Guangzhou and their infants who were never affected by measles
were included in our study. All the serum samples collected from mothers and
infants were tested by using enzyme linked immunosorbent assay(ELISA)for the
quantitative measurement of measles IgG antibodies. A longitudinal sero
epidemiological study was conducted to investigate the duration of the presence
of measles maternal antibodies in infants based on the measurement at different
time points(0, 3, 5, 7 month). RESULT: A total of 689 mothers and their 691
infants(two pairs of twins)were included in the study. The concentration and the
sero-positivity rate of measles antibody in mothers was 513.8 mIU/ml and 81.6%
respectively. The concentration and the sero-positivity rate of measles antibody
in infants was 732.8 mIU/ml and 87.3%, respectively. The measles antibody levels
of newborns were positively associated with those of mothers(r=0.917 5, P<0.001),
which declined rapidly at 3 months after birth and mostly turned to be negative
at 7 months after birth. Measles antibody turned be negative at 3 months after
birth in low, middle level groups, but remained to be positive in high level
group at 5 months after birth. CONCLUSION: The maternal measles antibody could
rarely protect infants at age of 8 months even though mother had been vaccinated
or acquired natural immunity. It is suggested to adjust the time of the first
dose measles vaccination in infants and increase the measles antibody level of
child bearing aged women to reduce the incidence of measles in infants.
PMID- 27188359
TI - [Big data analysis of flow of tuberculosis cases in China, 2014].
AB - OBJECTIVE: To describe the flow of tuberculosis(TB)cases for medical care in
China in 2014. METHODS: The analysis and visualization of reported flow of TB
cases were conducted with software R to indicate the case distribution/flow and
the levels of hospital where TB cases sought medical care in different provinces.
RESULTS: In a province, the TB cases mainly flowed to the province capital, but
the inter-provincial flow was mainly to neighbor provinces and from central or
western provinces to eastern provinces(municipality), such as Beijing, Shanghai
and Guangdong. The grade III(A)hospitals were the choice of most TB cases seeking
medical care in other provinces. CONCLUSION: The epidemic situation of TB in
China is still serious, and the flow of TB cases would facilitate the spread of
the disease. It is necessary to strengthen the research on influence of TB case
flow for seeking medical care on the spread of TB to provide evidence for better
TB prevention and control.
PMID- 27188360
TI - [Analysis on antiretroviral therapy efficacy for HIV/AIDS in Zhejiang province,
2009-2014].
AB - OBJECTIVE: To analyze the efficacy of highly active antiretroviral
therapy(HAART)for HIV/AIDS in Zhejiang province from 2009 to 2014. METHODS: A
retrospective cohort study was conducted among patients with access to HAART aged
<15 years from 2009 to 2014 through descriptive epidemiological analysis on the
patients' demographic characteristics, HAART efficacy. The immune recovery Kaplan
Meier curves were drawn by using baseline CD(4) T lymphocytes cell(CD(4))data.
The influencing factors for virus suppression were analyzed by using logistic
regression model. RESULTS: There were 9 594 patients with access to HAART in
Zhejiang from 2009 to 2014. The' average age of the patients was 38.7 years old,
the male to female ratio was 4.11?1. Heterosexual(55.9%)and
homosexual(40.0%)contacts were the main transmission routes. Baseline CD(4) count
200-349 cells/MUl was found in 45.4% of the patients, the median of the CD(4)
count was 221(IQR: 123-298). The median of time for CD(4) recovery in patients
with baseline CD(4) count <200 cells/MUl was 3.48 and 11.58 times higher than the
patients with baseline CD(4) count 200-349 cells/MUl and baseline CD(4) count
>=350 cells/MUl. Univariate and multivariate logistic regression analyses showed
that marital status, HAART regimen, treatment miss in last week and CD(4)
recovery were major influencing factors for HAART efficacy. CONCLUSIONS: HAART
had good treatment effecacy in HIV/AIDS patients in Zhejiang. The baseline CD(4)
count and adherence to HAART were the most important influencing factors. It is
recommended to take measures to expand HIV screening and HAART coverage, conduct
HAART adherence education, and strengthen the special care for high-risk groups
to improve the HAART efficacy in Zhejiang province.
PMID- 27188361
TI - [Epidemiology of pertussis in adults and related factors in Tianjin, 2005-2014].
AB - OBJECTIVE: To understand the epidemiological characteristics of pertussis in
adults and related factors in Tianjin. METHODS: Descriptive epidemiological
analysis was conducted by using the epidemiological data of pertussis in adults
in Tianjin during 2005-2014. The transmission routes of family cluster cases were
analyzed. ELISA was conducted to detect pertussis immunity levels in adults aged
18-83 years. RESULTS: The pertussis cases in adults accounted for
28.57%(252/882)of the total cases in Tianjin , the annual incidence of pertussis
in adults was 0.16/100 000. The highest incidence was 0.46/100 000 in 2013. The
age specific proportion of the cases was highest in age group 21-30 years(36.12%,
91/252). Three household transmission routes of pertussis were identified, the
major one was adult-to-infant(77.78%,98/126). The parents were the infection
sources of 81.64% of infant cases(80/98). Of the 904 study subjects, the average
positive rate of antibody against pertussis was 55.20%(95%CI: 51.96%-58.44%).
There were significant differences in antibody positive rate among different age
groups(P= 0.015), and which had the linear correlation with the reported annual
incidence(r=0.98, P=0.003)and showed upward trend(chi(2)=11.79, P=0.001).
CONCLUSION: The study indicated that adults have become the population at high
risk for pertussis and the major infection sources for infants in Tianjin. The
positive rate of antibody against pertussis was low in adults. It is suggested to
conduct pertussis vaccination in adults.
PMID- 27188362
TI - [Spatial analysis of autumn-winter type scrub typhus in Shandong province, 2006
2014].
AB - OBJECTIVE: To discuss the spatial-temporal distribution and epidemic trends of
autumn-winter type scrub typhus in Shandong province, and provide scientific
evidence for further study for the prevention and control of the disease.
METHODS: The scrub typhus surveillance data during 2006-2014 were collected from
Shandong Disease Reporting Information System. The data was analyzed by using
software ArcGIS 9.3(ESRI Inc., Redlands, CA, USA), GeoDa 0.9.5-i and SatScan
9.1.1. The Moran' s I, log-likelihood ratio(LLR), relative risk(RR)were
calculated and the incidence choropleth maps, local indicators of spatial
autocorrelation cluster maps and space scaning cluster maps were drawn. RESULTS:
A total of 4 453 scrub typhus cases were reported during 2006-2014, and the
annual incidence increased with year. Among the 17 prefectures(municipality)in
Shandong, 13 were affected by scrub typhus. The global Moran's I index was 0.501
5(P<0.01). The differences in local Moran' s I index among 16 prefectures were
significant(P<0.01). The " high-high" clustering areas were mainly Wulian county,
Lanshan district and Juxian county of Rizhao, Xintai county of Tai' an, Gangcheng
and Laicheng districts of Laiwu, Yiyuan county of Zibo and Mengyin county of
Linyi. Spatial scan analysis showed that an eastward moving trend of high-risk
clusters and two new high-risk clusters were found in Zaozhuang in 2014. The
centers of the most likely clusters were in the south central mountainous areas
during 2006-2010 and in 2012, eastern hilly areas in 2011, 2013 and 2014, and the
size of the clusters expanded in 2008, 2011, 2013 and 2014. One spatial-temporal
cluster was detected from October 1, 2014 to November 30, 2014, the center of the
cluster was in Rizhao and the radius was 222.34 kilometers. CONCLUSION: A
positive spatial correlation and spatial agglomerations were found in the
distribution of autumn-winter type scrub typhus in Shandong. Since 2006, the
epidemic area of the disease has expanded and the number of high-risk areas has
increased. Moreover, the eastward moving and periodically expanding trends of
high-risk clusters were detected.
PMID- 27188363
TI - [Study on influence of floods on bacillary dysentery incidence in Liaoning
province, 2004 -2010].
AB - OBJECTIVE: To understand the influence of floods on bacillary dysentery in
Liaoning province. METHODS: The monthly surveillance data of bacillary dysentery,
floods, meteorological and demographic data in Liaoning from 2004 to 2010 were
collected. Panel Poisson regression analysis was conducted to evaluate the
influence of floods on the incidence of bacillary dysentery in Liaoning. RESULTS:
The mean monthly morbidity of bacillary dysentery was 2.17 per 100 000 during the
study period, the bacillary dysentery cases mainly occurred in during July
September. Spearman correlation analysis showed that no lagged effect was
detected in the influence of floods on the incidence of bacillary dysentery.
After adjusting the influence of meteorological factors, panel data analysis
showed that the influence of floods on the incidence of bacillary dysentery
existed and the incidence rate ratio was 1.439 4(95%CI: 1.408 1-1.471 4).
CONCLUSION: Floods could significantly increase the risk of bacillary dysentery
for population in Liaoning.
PMID- 27188364
TI - [Prediction of 10-year risk for ischemic cardiovascular disease in adults aged
>=35 years in China].
AB - OBJECTIVE: To estimate the 10-year risk for ischemic cardiovascular
disease(ICVD)in adults aged >=35 years. METHODS: In 2010, we conducted a cross
sectional survey among 98 712 adults aged >=18 years selected through using multi
stage stratified cluster random sampling, and 67 214 adults without ICVD history
and aged >=35 years were recruited as study subjects. Their information on
cardiovascular disease history and related behavior risk factors, including
smoking, hypertension and diabetes diagnosis history were collected through face
to-face questionnaire interview, health examination and laboratory detection. The
10-year risk for ICVD in this group was evaluated according to the China 10-year
risk for ICVD score tables. RESULTS: The average score and 10-year risk for ICVD
in the adults aged >=35 years were 5.1(95% CI: 4.9-5.2)and 4.2%(95% CI: 4.0%
4.4%), respectively. A total of 8.5% of the adults aged >=35 years had high 10
year risk for ICVD(95%CI: 7.8%-9.2%). This proportion was 12.1% for males(95%CI:
11.1%-13.0%)and 4.9% for females(95%CI: 4.4%-5.5%)(P<0.05), 8.8% for those living
in rural areas(95%CI: 7.8%-9.7%)and 8.1% for those living in urban areas(95%CI:
7.2%-8.9%)(P<0.05). About 19.1% and 72.4% of adults had middle and low 10-year
risk for ICVD, respectively(95%CI: 18.2%-20.0%, 95%CI: 70.9%-73.9%). The
proportion of the adults with high and middle risk for ICVD in 10 years was
higher among those with lower educational level or with lower income
level(P<0.05). CONCLUSION: About 8.5% of the adults aged >=35 years in China have
high 10-year risk for ICVD. Being male, living in rural area, with lower
education or lower income levels were related with the higher 10-year risk for
ICVD.
PMID- 27188366
TI - [Incidence trends of cervical cancer in Tianjin, 2007-2013].
AB - OBJECTIVE: To investigate the change of incidence and age distribution of
cervical cancer in Tianjin from 2007 to 2013. METHODS: The incidence data of
cervical cancer and population data were collected from the cancer registry
system of Tianjin Center for Disease Control and Prevention and Tianjin Municipal
Public Security Bureau, respectively. The crude incidence, and age-standardized
incidence of cervical cancer were calculated by using SPSS software. The Join
Point Regression Program software was used to detect the trend of cervical cancer
incidence. RESULTS: A total of 3 362 cervical cancer patients were diagnosed
during 2007 to 2013. The average age of the patients was 48.11 years. The crude
incidence was 9.85/100 000. The annual world standard age specific incidence was
6.65 /100 000. The incidence in urban area was higher than that in rural area,
but the proportion of the cases in urban area showed a downward trend(trend
chi(2)=21.92, P=0.001)and the proportion of the cases in rural area showed a
upward trend(trend chi(2)=12.70, P=0.048). The difference was significant. The
incidence was generally stable in urban area, the APC value was 2.7%(95%CI:-9.1%
16.2%), the difference was not significant(Z=0.564, P=0.597); The incidence rate
in rural area showed an upward trend, APC value was 13.4%(95% CI: 3.5%-24.2%),
the difference was significant(Z=3.549, P=0.016). The two incidence peaks were in
age groups 40-44 years and 75-79 years. CONCLUSION: The overall incidence of
cervical cancer in Tianjin was still stable during 2007-2013, and the disease
mainly occurred in middle-aged and elderly population. More attention should be
paid to the increased incidence of cervical cancer in rural area.
PMID- 27188365
TI - [Morbidity and mortality of malignant tumor in Zhejiang province, 2014].
AB - OBJECTIVE: To analyze the morbidity and mortality of malignant tumor in Zhejiang
province in 2014. METHODS: The data were collected from Zhejiang provincial
chronic disease surveillance system in 2014. The crude rate, age-standardized
rate, cumulative rate(0-74 years old), cut rate(35-64 years old), age-specific
and area-specific morbidity/mortality as well as the constitution of top 10
leading cancers were then calculated and analyzed. The age-standardized rate was
calculated according to the standard population in China in 1982 and the Segi' s
world standard population. RESULTS: The crude morbidity was 348.80/100
000(370.74/100 000 in males and 326.51/100 000 in females). The age-standardized
morbidity according to the standard population in China and according to world
standard population were 168.84/100 000 and 217.23/100 000 respectively, the
cumulative morbidity was 24.66% and the cut morbidity was 376.40/100 000. Age
specific morbidity in age group 0-34 years remained low; however, the morbidity
increased obviously in age group >=35 years, increased more rapidly in age group
>=50 years and finally reached the peak in age group 80-84 years(1 618.20/100
000). The morbidity of malignant tumor, age-standardized morbidity(China
standard)and age-standardized morbidity(world standard)were 381.81/100 000,
185.15/100 000 and 236.27/100 000 respectively in urban area, and 330.23/100 000
, 159.47/100 000 and 206.29/100 000, respectively in rural area. The crude
mortality was 189.08/100 000(248.57/100 000 in males, 128.72/100 000 in females),
and the age-standardized mortality according to China population and world
population were 97.56/100 000 and 135.54/100 000 respectively. The cumulative
mortality was 15.08%, and the cut mortality was 162.75/100 000. Age-specific
mortality increased in age group 45-49 years(92.29/1000 000)and reached the peak
in age group >=85 years(2 263.70/100 000). The mortality was higher in rural
area(190.60/100 000)than in urban area(186.38/100 000). The leading cancers were
lung cancer, colorectum cancer, thyroid cancer, stomach cancer and liver cancer,
accounting for 58.64% of the total. Lung cancer, liver cancer, stomach cancer,
colorectum cancer and esophagus cancer were the major cancers causing deaths,
accounting for 70.72% of all the total. CONCLUSION: The leading cancers were lung
cancer, colorectum cancer, thyroid cancer, stomach cancer and liver cancer in
Zhejiang in 2014, close attention should be paid to thyroid cancer in women. The
disease burden of malignant tumor is increasing,it is necessary for health
department to take effective measures to reduce the disease burden caused by
malignant tumor.
PMID- 27188367
TI - [Trend and characteristics of fall in elderly adults based on data from national
injury surveillance sentinel hospitals in Anhui province, 2006-2014].
AB - OBJECTIVE: To understand the incidence pattern of fall among older adults in
Anhui province during 2006-2014, and provide scientific basis for intervention
strategies and decision-making. METHODS: The incidence data of fall in elderly
adults from 6 sentinel hospitals in 2 national injury surveillance areas in Anhui
province between 2006 and 2014 were collected for this descriptive
epidemiological analysis of the overall incidence trend, demographic
characteristics, case distribution and clinical characteristics of fall cases in
the elderly. RESULTS: The fall case number, the proportions of fall cases to
injury cases and to overall fall cases in the elderly all increased from 2006 to
2014. The fall was the first cause of the elderly injury during the past 9 years.
The sex ratio was 0.74 and the cases in males decreased with age. In both males
and females, the cases in retirees and the jobless accounted for the highest
proportions. The annual incidence peak was during August to October, and two
daily incidence peaks were during 9 am-11 am and 16 pm-18 pm. The elderly falls
mainly occurred at home(62.07%), and more females were affected than males(70.27%
vs. 50.97%). Leisure activity and homework related falls accounted for 49.18% and
28.67% respectively, and more housework related falls occurred in females than in
males. Contusion/abrasion was the first injury caused by fall in males(42.63%)and
fracture was the first injury caused by fall in females(47.27%). Head was the
first injury site in males(38.04%)and leg was the first injury site in
females(29.29%). Most injuries caused by fall were mild(55.12%)and
moderate(41.84%)in severity, but the proportions of moderate and severe cases
increased gradually with age. CONCLUSION: Fall in the elderly has become a public
health problem. It is necessary to take targeted prevention and control measures
according to the gender and age distributions of the fall in the elderly.
PMID- 27188368
TI - [Genomic characteristics of 2 strains of influenza A(H9N2)virus isolated from
human infection cases in Anhui province].
AB - OBJECTIVE: To understand genomic characteristics of 2 strains of influenza
A(H9N2)virus isolated from human infection cases in Anhui province in 2015.
METHODS: Two human infection with H9N2 virus were confirmed by national influenza
surveillance laboratory network in Anhui through viral isolation in April and
September, 2015, respectively. The full genomic sequences of the two viral
isolates were analyzed in this study by using molecular bioinformatics software
Mega 6.0. RESULTS: Human infection with H9N2 virus was first reported in Anhui
province. The analysis of genomic sequence showed that the HA and NA genes of the
two H9N2 isolates belonged to A/Chicken/Shanghai/F/98(H9N2)-like lineage, and
shared high identity with H9N2 virus circulating in poultry in 2013. The PB2 and
MP genes belonged to the A/quail/Hong Kong/G1/97-like lineage, and shared high
homology with H7N9, H10N8 or H6N2 viruses. The amino acid sequence alignment
results showed that several mutations for human infection tropism presented in
the two virus strains, including Q226L, H183N and E190T in HA; S31N in M2; 63-65
deletion in NA. In addition, the H9N2 influenza virus strains possessed the
PSRSSR?GL motif in HA. Meanwhile several human-like signatures, including PA
100A, PA-356R and PA-409N were also found in the two virus strains. CONCLUSION:
The H9N2 viruses isolated from human infection cases in Anhui province belonged
to a reassortant virus originated from different lineage H9N2 avian influenza
virus. The virus has possessed several human susceptibility locus.
PMID- 27188369
TI - [Drug tolerance and PFGE molecular typing of Salmonella paratyphi A isolated in
Dengfeng, Henan province, 2009-2015].
AB - OBJECTIVE: To investigate the drug tolerance and PFGE patterns of
Salmonella(S.)paratyphi A strains isolated from sentinel hospitals in Dengfeng,
Henan province, during 2009-2015. METHODS: Venous blood samples were collected
from paratyphoid patients and cultured in double phase blood culture bottle.
Suspicious strains were identified and used for Salomonella. O antigen and H1/2
phase flagellum-induced serum agglutination test with API20E biochemical systems
and SSI Salmonella typing sera. According to Salmonella molecular typing and K-B
drug susceptibility testing method published by PulseNet China bacterial
infectious disease monitoring network and USA Clinical and Laboratory Standards
Institute, we analyzed the drug susceptibility and PFGE molecule characteristics
of S. paratyphi A strains isolated from the patients. RESULTS: A total of 126
strains of S. paratyphi A were isolated from 248 blood samples, the antigen modes
of them were 1, 2, 12:a:-. The resistance rate of 126 strains of S. paratyphi A
was 83.3% to ampicillin; 29.4% to ceftazidime, 31.2% to cefotaxime, 17.5% to
cefepime; 62.6% to nalidixic acid; 19.3% to ciprofloxacin, 26.4% to norfloxacin;
22.8% to gentamicin, 47.9% to streptomycin; 19.2% to chloramphenicol, 24.2% to
methicillin benzyl ammonium, 58.6% to compound sulfamethoxazole and 46.7% to
tetracycline. The 126 strains of S. paratyphi A had different levels of
resistance to 8 kinds of antibiotics, 109 strains were multidrug
resistant(86.5%), 9 strains were resistant to 2-3 kinds of antibiotics(7.1%), 76
strains were resistant to 5-8 kinds of antibiotics(60.3%), 17 strains were
resistant to 9-10 kinds of antibiotics(13.5%), 7 strains were resistant to 11-12
kinds of antibiotics(5.6%). The 126 strains of S. paratyphi A were divided into
14 molecular patterns by digestion with XbaIand pulsed field gel electrophoresis.
The antibiotics resistance to third generation cephalosporin(CAZ, CTX), one
generation and three generation of quinolones(NAL, CIP, NOR)and aminoglycosides
antibiotics(STR)showed an upward trend. Each pattern contained 1-98 strains with
similarity ranged from 64.10% to 100.00%. PTYA 1, 6, 9 and 10 were the main PFGE
belt types. CONCLUSION: The drug resistance of clinical isolates of S. paratyphi
A was serious in Dengfeng, Henan province, PFGE patterns showed a diversity, but
predominant patterns could also be found. The PFGE patterns of some strains had
clustering and were related with their antidrug spectrums.
PMID- 27188370
TI - [Application of frailty index for comprehensive geriatric assessment in the
elderly in China].
AB - OBJECTIVE: To discuss the suitability of frailty index for comprehensive
geriatric assessment(FI-CGA)in the elderly in China, and evaluate the application
of FI-CGA in China. METHODS: A comprehensive geriatric assessment was conducted
among 118 old adults receiving health examination, and frailty index was
calculated. Clinical frailty scale(CFS)was also used to evaluate the frail status
of the old adults. The correlation between FI-CGA value and CFS level of the old
adults was analyzed. RESULTS: The mean value of FI-CGA was 0.19 +/- 0.07, and the
average level of CFS was 3.11 +/- 1.46. Women had higher mean value of FI-CGA and
higher CFS level than men(FI-CGA= 0.20 +/- 0.02 for women, 0.19 +/- 0.07 for men;
CFS =3.40 +/- 0.55 for women, 3.10 +/- 1.48 for men), but the differences had no
significance(t=0.270, 0.452, P=0.788, 0.652). The FI-CGA value and CFS level
increased with age(F=10.437, 5.651, P=0.000, 0.001); and there was a positive
correlation between FI-CGA value and CFS level(r=0.615, P=0.000). CONCLUSION: FI
CGA is an effective model for the quantitative evaluation of the frail status of
the elderly, and can be used in the clinical practice of geriatric medicine.
PMID- 27188371
TI - [Influence of hypothyroidism on pregnancy outcome and fetus during pregnancy].
AB - OBJECTIVE: To investigate the influence of hypothyroidism on pregnancy outcome
and fetus in pregnant women. METHODS: A total of 4 286 pregnant women, who
received prenatal examination in our hospital from January 2013 to October 2015,
were selected as study subjects. The incidence of hypothyroidism and the
influence on pregnancy outcomes and fetus were investigated. RESULTS: In 4 286
pregnant women surveyed, 209 hypothyroidism cases were detected(4.9%), including
85 clinical hypothyroidism cases and 124 subclinical hypothyroidism cases. In
health group, the premature delivery rate was 1.0%, significantly lower than that
in clinical hypothyroidism group(10.6%)and in subclinical hypothyroidism
group(6.5%), the differences were significant(chi(2)= 38.884, P<0.001;
chi(2)=17.722, P<0.001). In healthy group, the incidence of anemia was 3.8%,
significantly lower than that in clinical hypothyroidism group(18.8%)and in
subclinical hypothyroidism group(9.7%), the differences were
significant(chi(2)=30.949, P<0.001; chi(2)=23.275, P<0.001). In health group, the
incidence of low birth weight was 1.1%, significantly lower than that in clinical
hypothyroidism group(14.1%)and in subclinical hypothyroidism group(4.8%), the
differences were significant(chi(2)=50.593, P<0.001; chi(2)=15.637, P<0.001). In
health group, the fetal distress incidence was 1.9%, significantly lower than
that in clinical hypothyroidism group(10.6%)and in subclinical hypothyroidism
group(5.6%), the differences were significant(chi(2)=19.257, P< 0.001;
chi(2)=12.357, P<0.001). In health group, the fetal Apgar score(9.69 +/- 0.32)was
significantly higher than those in clinical hypothyroidism group(9.25 +/-
0.45)and in subclinical hypothyroidism group(9.28 +/- 0.44), the differences were
significant(t=8.823, P<0.001; t=15.175, P<0.001). CONCLUSION: Hypothyroidism
during pregnancy has adverse influences on pregnancy outcome and fetus, and it is
necessary to strengthen the hypothyroidism detection in pregnant women for the
early treatment.
PMID- 27188372
TI - [Relationship between N-nitrosodimethylamine and risk of digestive tract cancers:
a Meta analysis based on cohort studies].
AB - OBJECTIVE: To analyze the relationship between N-nitrosodimethylamine(NDMA)and
the risk of digestive tract cancers. METHODS: The papers about the relationship
between NDMA and the risk of digestive tract cancers published from 1980 to 2012
were retrieved following databases: Chinese BioMedical Literature Database(CBM),
the Chinese Journal Full-text Database(CNKI), Wanfang Database, PubMed and EBSCO.
The fix and random effect model was used and statistical analyses were conducted
by using RevMan 5.1 software. RESULTS: Thirteen papers were found, in which 7
about digestive tract cancers were used in this Meta analysis. The NDMA had
significant positive effect on the incidence of digestive tract cancers(RR=1.12,
95% CI: 1.03-1.21). The relationship between NDMA and esophageal cancer was not
significant(RR=1.18, 95%CI: 0.98-1.41)but NDMA could increase the risk of gastric
cancer(RR=1.08, 95% CI: 1.00-1.18). For the subtypes of esophageal and gastric
cancer, NDMA had positive relationship with esophageal squamous cell
carcinoma(RR=1.72, 95% CI: 1.01-2.96), but had no significant relationship with
esophageal adenocarcinoma, cardiac carcinoma and gastric adenocarcinoma.
CONCLUSION: The population-based cohort studies have showed that the NDMA could
significantly increase the risk of digestive tract cancers, but the effects
differed with subtypes of esophageal and gastric cancer. However, it is necessary
to collect more evidence due to the limited studies and obvious differences in
the study design, sampling and exposure measurement of these cohort studies.
PMID- 27188375
TI - [Application of PASS in sample size estimation of non-inferiority, equivalence
and superiority design in clinical trials].
AB - The sample size of non-inferiority, equivalence and superiority design in
clinical trial was estimated by using PASS 11 software. The result was compared
with that by using SAS to evaluate the practicability and accuracy of PASS 11
software for the purpose of providing reference for sample size estimation in
clinical trial design.
PMID- 27188373
TI - [Influencing factors of type 2 diabetes mellitus in Chinese: a Meta-analysis].
AB - OBJECTIVE: To evaluate the influencing factors of type 2 diabetes mellitus in
Chinese, and provide evidence for developing health promotion policy in the
future. METHODS: Relevant studies published from January 1997 to May 2015 were
retrieved from PubMed, Medline, EBSCO, Science Direct, CBM, CNKI, VIP, and
Wanfang Database. Quality evaluation of the included literatures was conducted
according to the inclusion and exclusion criteria, followed by data extraction
and Meta-analysis with software RevMan 4.4.2. RESULTS: A total of 29 studies
involving 92 970 subjects(11 150 cases and 81 820 controls)were included. The
pooled OR and its 95% CI of each influencing factor were: overweight OR=1.66(1.49
1.85), abdominal obesity OR=1.98(1.31-3.01), family history of diabetes OR
=3.13(2.52-3.87), smoking OR=1.46(1.24-1.72), drinking OR=1.19(1.09-1.29),
hypertension OR=2.64(2.23-3.13), systolic blood pressure(SBP)OR=1.15(1.06-1.24),
hyperlipoidemia OR=2.26(1.75-2.92), total cholesterol(TC)OR=1.87(1.14-3.08),
triglyceride(TG)OR=1.73(1.23-2.44), history of coronary heart
disease(CHD)OR=2.55(1.78-3.65), cerebrovascular accident(CVA)OR=2.21(1.62-3.02),
dietary fat OR=0.52(0.27-0.99). The results of subgroup analysis identified 4
common influencing factors shared by the three subgroups, i.e. overweight, family
history of diabetes, smoking and hypertension. CONCLUSION: Overweight, abdominal
obesity, family history of diabetes, smoking, drinking, hypertension, SBP, TC,
TG, history of CHD, history of CVA, and subtypes of dietary fat are the
influencing factors for type 2 diabetes mellitus in Chinese. Among these factors,
overweight in recent 20 years, family history of diabetes, smoking and
hypertension are persistent factors. More attention should be paid to overweight
and obesity.
PMID- 27188376
TI - [Application of different death evaluation indicators for HIV/AIDS prevention and
treatment].
AB - AIDS has gradually changed from a fatal disease to a manageable chronic disease
since the advent of antiretroviral drugs. In 2003, China initiated a national
free antiretroviral treatment program for people living with HIV/AIDS, several
death evaluation indicators have been used to evaluate public health effect of
the program. Death evaluation indicators used frequently in domestic and overseas
include mortality, case fatality rate, excess mortality, standard mortality
ratio, years of potential life lost, disability-adjusted life year and life
expectancy. This paper summarizes the different death indicators applied in
effectiveness evaluation of HIV/AIDS prevention and treatment, elaborates the
application range and significance of these indicators and suggests the research
in related life expectancy and burden of disease which have not been conducted in
China.
PMID- 27188374
TI - [Application of SAS macro to evaluated multiplicative and additive interaction in
logistic and Cox regression in clinical practices].
AB - Conditional logistic regression analysis and unconditional logistic regression
analysis are commonly used in case control study, but Cox proportional hazard
model is often used in survival data analysis. Most literature only refer to main
effect model, however, generalized linear model differs from general linear
model, and the interaction was composed of multiplicative interaction and
additive interaction. The former is only statistical significant, but the latter
has biological significance. In this paper, macros was written by using SAS 9.4
and the contrast ratio, attributable proportion due to interaction and synergy
index were calculated while calculating the items of logistic and Cox regression
interactions, and the confidence intervals of Wald, delta and profile likelihood
were used to evaluate additive interaction for the reference in big data analysis
in clinical epidemiology and in analysis of genetic multiplicative and additive
interactions.
PMID- 27188377
TI - Outcomes of implementing the women's health assessment tool and clinical decision
support toolkit.
AB - AIM: To evaluate outcomes after implementing the women's health assessment tool
(WHAT) and clinical decision support toolkit during annual well-women visits.
METHODS: An observational project involved women aged 45-64 years attending one
of three medical sites in Washington (WA, USA). Responses to the WHAT
questionnaire and patients' health resource utilization prepost toolkit
implementation were analyzed. RESULTS: A total of 110 women completed the WHAT
questionnaire. Majority of women were postmenopausal (77.3%) and experienced
depressive mood (63.6%), hot flashes (61.8%) or anxiety (60.9%) in the last 3
months. There was a 72.2% increase in the number of diagnoses made during the
annual visit versus the previous 12 months. CONCLUSION: The WHAT/clinical
decision support toolkit helped identify conditions relevant to mid-life women.
PMID- 27188379
TI - ESR teleradiology survey: results.
AB - With recent developments of teleradiology technology and services, it has become
necessary to better evaluate its extent and use among different countries in
Europe. With this goal in mind, the ESR launched two specific surveys intended to
gather the current state of adoption and implementation of teleradiology in
clinical practice. A special focus on differentiating between insourcing
teleradiology services among partners of the same organisation and outsourcing to
external services was an essential part of the design of these surveys. The first
survey was addressed to 44 national societies of different countries in Europe,
while the second survey was intended for all practicing radiologist ESR members.
While the results of these surveys reported here may provide a wealth of
information to better understand the trends in adoption of teleradiology in
Europe, they only represent a snapshot at a certain point in time. The rapid
development of telecommunication tools as well as a fundamental change in
practice and healthcare economics will certainly influence these observations in
the upcoming years. These data, however, will provide objective and relevant
parameters for supporting the efforts of experts and policy makers in promoting
appropriate criteria and guidelines for adequate use of teleradiology in clinical
practice. Main Messages * Understand concepts and challenges of teleradiology *
Provide insight into current trends and solutions for teleradiology * Compare
differences in teleradiolgy strategies between countries in Europe * Establish a
reference on statistical data of usage of teleradiology in Europe.
PMID- 27188378
TI - Structural basis for haem piracy from host haemopexin by Haemophilus influenzae.
AB - Haemophilus influenzae is an obligate human commensal/pathogen that requires haem
for survival and can acquire it from several host haemoproteins, including
haemopexin. The haem transport system from haem-haemopexin consists of HxuC, a
haem receptor, and the two-partner-secretion system HxuB/HxuA. HxuA, which is
exposed at the cell surface, is strictly required for haem acquisition from
haemopexin. HxuA forms complexes with haem-haemopexin, leading to haem release
and its capture by HxuC. The key question is how HxuA liberates haem from
haemopexin. Here, we solve crystal structures of HxuA alone, and HxuA in complex
with the N-terminal domain of haemopexin. A rational basis for the release of
haem from haem-haemopexin is derived from both in vivo and in vitro studies. HxuA
acts as a wedge that destabilizes the two-domains structure of haemopexin with a
mobile loop on HxuA that favours haem ejection by redirecting key residues in the
haem-binding pocket of haemopexin.
PMID- 27188380
TI - Spectrum of early lung cancer presentation in low-dose screening CT: a pictorial
review.
AB - The typical presentation of early stage lung cancers on low-dose CT screening are
non-calcified pulmonary nodules. However, there is a wide spectrum of unusual
focal abnormalities that can be early presentations of lung cancer. These
abnormalities include, for example, cancers associated with 'cystic airspaces' or
scar-like cancers. The detection of lung cancer with low-dose CT can be affected
by the absence of intravenous contrast medium. As a consequence, endobronchial
and central lesions can be difficult to recognize, raising the potential for
missed cancers. Focal lesions arising within pre-existing lung disease, such as
lung fibrosis or apical scars, can also be early lung cancer manifestations and
deserve particular consideration as recognition of these lesions may be hindered
by the underlying disease. Furthermore, the unpredictable growth rate of lung
cancer, which ranges from indolent to aggressive cancers, necessitates attention
to the wide spectrum of progression in lung cancer appearance on serial low-dose
CT scans. In this pictorial review we discuss the spectrum of early lung cancer
presentation in low-dose CT screening, highlighting typical as well as unusual
radiological features and the varied growth rates of early lung cancer. Teaching
Points * There is a wide spectrum of early presentations of lung cancer on LDCT.
* Low radiation dose and the absence of contrast medium injection can affect lung
cancer detection. * Lung cancer growth shows various behaviours, ranging from
indolent to aggressive cancers. * Familiarity with LDCT technique can improve CT
screening effectiveness and avoid missed diagnosis.
PMID- 27188381
TI - Bench-to-bedside translation of stem cell therapies: where are we now and where
are we going?
PMID- 27188382
TI - Brain arteriovenous malformations.
AB - An arteriovenous malformation is a tangle of dysplastic vessels (nidus) fed by
arteries and drained by veins without intervening capillaries, forming a high
flow, low-resistance shunt between the arterial and venous systems. Arteriovenous
malformations in the brain have a low estimated prevalence but are an important
cause of intracerebral haemorrhage in young adults. For previously unruptured
malformations, bleeding rates are approximately 1% per year. Once ruptured, the
subsequent risk increases fivefold, depending on associated aneurysms, deep
locations, deep drainage and increasing age. Recent findings from novel animal
models and genetic studies suggest that arteriovenous malformations, which were
long considered congenital, arise from aberrant vasculogenesis, genetic mutations
and/or angiogenesis after injury. The phenotypical characteristics of
arteriovenous malformations differ among age groups, with fistulous lesions in
children and nidal lesions in adults. Diagnosis mainly involves imaging
techniques, including CT, MRI and angiography. Management includes observation,
microsurgical resection, endovascular embolization and stereotactic radiosurgery,
alone or in any combination. There is little consensus on how to manage patients
with unruptured malformations; recent studies have shown that patients managed
medically fared better than those with intervention at short-term follow-up. By
contrast, interventional treatment is preferred following a ruptured malformation
to prevent rehaemorrhage. Management continues to evolve as new mechanistic
discoveries and reliable animal models raise the possibility of developing drugs
that might prevent the formation of arteriovenous malformations, induce
obliteration and/or stabilize vessels to reduce rupture risk. For an illustrated
summary of this Primer, visit: http://go.nature.com/TMoAdn.
PMID- 27188383
TI - Two parameters reflect lipid-driven inflammatory state in acute coronary
syndrome: atherogenic index of plasma, neutrophil-lymphocyte ratio.
AB - BACKGROUND: Atherosclerosis is a systemic, lipid-driven immune-inflammatory
disease. METHODS: We retrospectively reviewed institutional electronic medical
records to seek chest pain patients who were suspicious of acute coronary
syndrome (ACS) between January 2011 and December 2013. All the patients were
identified by undergoing coronary angiography. On admission white blood cell and
its subtypes were measured as part of the automated complete blood count and
fasting venous blood samples were obtained and analyzed for lipids profiles used
automated analysis. RESULTS: A total of 376 consecutive patients with ACS were
investigated. In the same period, 378 patients admitted with chest pain
suspicious of ACS were also included in this study for control. Blood glucose,
serum creatinine, white blood cell, neutrophil and monocyte were insignificantly
higher in the ACS group. ACS group had higher total cholesterol and lower high
density lipid-cholesterol. However, triglyceride and low density lipid
cholesterol were similar between ACS and control groups. Atherogenic index of
plasma (AIP) was significantly higher in ACS group compared to control group (p =
0.029). Similarly, ACS group had higher neutrophil-lymphocyte ratio (NLR) than
those in control group. In the subgroups, the NLR were significantly higher in
the STEMI group (p < 0.001). However, AIP were similar between the three
subgroups (p = 0.748). CONCLUSIONS: Our data firstly investigated the lipid
driven inflammatory state in acute coronary syndrome through two easily feasible
parameters. There suggest that there are higher AIP and NLR in the ACS patients.
Moreover, ACS subgroups are all lipid-driven states, but inflammation levels are
different in the entity ACS subgroups.
PMID- 27188384
TI - Is there an association between subclinical hypothyroidism and preterm uterine
contractions? A prospective observational study.
AB - OBJECTIVE: To investigate the association between subclinical hypothyroidism and
preterm contractions. METHODS: Prospective observational study among women at 23
+ 0/7 and 34 + 6/7 weeks of gestation, with no known thyroid function
abnormality, and preterm uterine contractions (PTC). All patients underwent
laboratory evaluation of Thyroid Stimulating Hormone (TSH) and Free Thyroxin
(FT4). Patients with and without PTC were compared. RESULTS: No association was
found between PTC and subclinical hypothyroidism. Rate of spontaneous preterm
delivery (PTD) was comparable between women with abnormal and normal thyroid
function tests. Excluding indicated PTD, patients in the study group had a higher
rate of spontaneous PTD (24.7% versus 9.6%, p = 0.03). Patients with past PTD and
preterm contractions had higher rates of hypothyroxinemia compared with patients
without past PTD (54.6% versus 19.0% and 31.2%, p = 0.001), and patients with
past PTD (regardless of the presence or absence of PTC) had higher rate of
subclinical hypothyroidism compared with patients with PTC and without PTD (59.1%
and 66.7% versus 31.6%, p = 0.017). CONCLUSIONS: No association was found between
PTC and subclinical hypothyroidism in the entire cohort, except for patients with
preterm contractions and a history of past PTD. This specific group of patients
might benefit from thyroid function evaluation.
PMID- 27188385
TI - Adolescent idiopathic scoliosis.
AB - Adolescent idiopathic scoliosis (AIS) is the most common form of structural
spinal deformities that have a radiological lateral Cobb angle - a measure of
spinal curvature - of >=10( degrees ). AIS affects between 1% and 4% of
adolescents in the early stages of puberty and is more common in young women than
in young men. The condition occurs in otherwise healthy individuals and currently
has no recognizable cause. In the past few decades, considerable progress has
been made towards understanding the clinical patterns and the three-dimensional
pathoanatomy of AIS. Advances in biomechanics and technology and their clinical
application, supported by limited evidence-based research, have led to
improvements in the safety and outcomes of surgical and non-surgical treatments.
However, the definite aetiology and aetiopathogenetic mechanisms that underlie
AIS are still unclear. Thus, at present, both the prevention of AIS and the
treatment of its direct underlying cause are not possible.
PMID- 27188388
TI - ZnO-(Cu/Ag)TCNQ heterostructure network over flexible platform for enhanced cold
cathode application.
AB - Multistage field emitters consisting of organic/inorganic hybrid nanostructures
with branched geometry are designed via a two-step protocol: a simple wet
chemical method followed by a vapor-solid-phase technique. (Cu/Ag)TCNQ
(copper/silver-7,7,8,8-tetracyanoquinodimethane) nanowires (NWs) were grown
hierarchically on zinc oxide (ZnO) nanorods (NRs) to form ZnO-(Cu/Ag)TCNQ
heterostructure assemblies. By monitoring the metallic Cu and Ag coating
thickness on ZnO NRs, precise control over the morphology and orientations of the
secondary organic NWs is achieved. In-depth analysis of electron field emission
(FE) behavior of the ZnO-(Cu/Ag)TCNQ-based hierarchy suggests highest emission
performance with low turn-on as well as threshold fields of 1.15 and 3.75 V MUm(
1) respectively from the morphology-optimized hierarchy. Beneficial orientation
of the branched organic NWs ensures sequential electric field enhancement in the
consecutive stem and branches whereas its low work function eases electron
emission; these aspects combined together render an overall enhancement in the
emission behavior of the hybrid system. As compared to individual building units,
the heterostructures show improved field electron emission. Additionally,
successful construction of this novel hybrid over a fabric platform displays
great potential in opening up new pathways in the highly-anticipated field of
flexible electronics.
PMID- 27188389
TI - Nonrecurrent inferior laryngeal nerves and anatomical findings during thyroid
surgery: report of three cases.
AB - A nonrecurrent inferior laryngeal nerve (NRILN) is found more frequently on the
right side than on the left, and it is closely associated with an aberrant right
subclavian artery. The presence of the aberrant right subclavian artery on
preoperative computed tomography (CT) scan suggests NRILN; however, different
types of branching locations and pathways exist. Here, we report three NRILN
cases with different pathways where the vagus nerve arises more medial than usual
and a review of the literature. Case 1: A 30-year-old Japanese female presented
with papillary thyroid carcinoma. Preoperative CT scan revealed an aberrant right
subclavian artery, and an operation was performed under suspicion of NRILN.
During the operation, the vagus nerve was found to arise more medially than usual
and two NRILNs originated from it at the level of the cricoid cartilage and at a
more caudal position; the two NRILNs were preserved. Case 2: A 33-year-old
Japanese female with a thyroid nodule of increased size underwent surgery.
Preoperative CT scan revealed an aberrant right subclavian artery, which
suggested NRILN. During the operation, the vagus nerve was identified to run more
medially than usual and NRILN was found to originate at the level of the cricoid
cartilage; NRILN was preserved. Case 3: A 78-year-old Japanese female underwent
an operation with a diagnosis of papillary thyroid carcinoma. Preoperative CT
scan showed an aberrant right subclavian artery. During the operation, NRILN was
found to originate from the vagus nerve at the level of the lower pole of the
thyroid gland, and the vagus nerve ran medial to the common carotid artery at the
caudal level.
PMID- 27188386
TI - Definition of transcriptome-based indices for quantitative characterization of
chemically disturbed stem cell development: introduction of the STOP-Toxukn and
STOP-Toxukk tests.
AB - Stem cell-based in vitro test systems can recapitulate specific phases of human
development. In the UKK test system, human pluripotent stem cells (hPSCs)
randomly differentiate into cells of the three germ layers and their derivatives.
In the UKN1 test system, hPSCs differentiate into early neural precursor cells.
During the normal differentiation period (14 days) of the UKK system, 570 genes
[849 probe sets (PSs)] were regulated >fivefold; in the UKN1 system (6 days), 879
genes (1238 PSs) were regulated. We refer to these genes as 'developmental
genes'. In the present study, we used genome-wide expression data of 12 test
substances in the UKK and UKN1 test systems to understand the basic principles of
how chemicals interfere with the spontaneous transcriptional development in both
test systems. The set of test compounds included six histone deacetylase
inhibitors (HDACis), six mercury-containing compounds ('mercurials') and
thalidomide. All compounds were tested at the maximum non-cytotoxic
concentration, while valproic acid and thalidomide were additionally tested over
a wide range of concentrations. In total, 242 genes (252 PSs) in the UKK test
system and 793 genes (1092 PSs) in the UKN1 test system were deregulated by the
12 test compounds. We identified sets of 'diagnostic genes' appropriate for the
identification of the influence of HDACis or mercurials. Test compounds that
interfered with the expression of developmental genes usually antagonized their
spontaneous development, meaning that up-regulated developmental genes were
suppressed and developmental genes whose expression normally decreases were
induced. The fraction of compromised developmental genes varied widely between
the test compounds, and it reached up to 60 %. To quantitatively describe
disturbed development on a genome-wide basis, we recommend a concept of two
indices, 'developmental potency' (D p) and 'developmental index' (D i), whereby D
p is the fraction of all developmental genes that are up- or down-regulated by a
test compound, and D i is the ratio of overrepresentation of developmental genes
among all genes deregulated by a test compound. The use of D i makes hazard
identification more sensitive because some compounds compromise the expression of
only a relatively small number of genes but have a high propensity to deregulate
developmental genes specifically, resulting in a low D p but a high D i. In
conclusion, the concept based on the indices D p and D i offers the possibility
to quantitatively express the propensity of test compounds to interfere with
normal development.
PMID- 27188390
TI - Combinatorial Study of a Novel Poly (ADP-ribose) Polymerase Inhibitor and an HDAC
Inhibitor, SAHA, in Leukemic Cell Lines.
AB - BACKGROUND: Cancer is a multifactorial disease, which makes it difficult to cure.
Since more than one defective cellular component is often involved during
oncogenesis, combination therapy is gaining prominence in the field of cancer
therapeutics. OBJECTIVE: The purpose of this study was to investigate the
combinatorial effects of a novel PARP inhibitor, P10, and HDAC inhibitor, SAHA,
in leukemic cells. METHODS: Combinatorial effects of P10 and SAHA were tested
using propidium iodide staining in different leukemic cells. Further,
flowcytometry-based assays such as calcein-AM/ethidium homodimer staining,
annexin-FITC/PI staining, and JC-1 staining were carried out to elucidate the
mechanism of cell death. In addition, cell-cycle analysis, immunocytochemistry
studies, and western blotting analysis were conducted to check the combinatorial
effect in Nalm6 cells. RESULTS: Propidium iodide staining showed that P10 in
combination with SAHA induced cell death in Nalm6 cells, in which PARP expression
and activity is high with a combination index of <0.2. Annexin-FITC/PI staining,
JC-1 staining, and other biochemical assays revealed that P10 in combination with
SAHA induced apoptosis by causing a change in mitochondrial membrane potential in
>65 % cells. Importantly, combinatorial treatment induced S phase arrest in 40-45
% cells due to DNA damage and plausible replicative stress. Finally, we
demonstrated that treatment with P10 led to DNA strand breaks, which were further
potentiated by SAHA (p < 0.01), leading to activation of apoptosis and increased
cell death in PARP-positive leukemic cells. CONCLUSIONS: Our study reveals that
coadministration of PARP inhibitor with SAHA could be used as a combination
therapy against leukemic cells that possess high levels of intrinsic PARP
activity.
PMID- 27188387
TI - Auto-induction mechanism of aryl hydrocarbon receptor 2 (AHR2) gene by TCDD
activated AHR1 and AHR2 in the red seabream (Pagrus major).
AB - The toxic effects of dioxins and related compounds (DRCs) are mediated by the
aryl hydrocarbon receptor (AHR). Our previous study identified AHR1 and AHR2
genes from the red seabream (Pagrus major). Moreover, we found that AHR2 mRNA
levels were notably elevated by 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD)
exposure in the early life stage of red seabream embryos, while AHR1 mRNA level
was not altered. In this study, to investigate the regulatory mechanism of these
AHR transcripts, we cloned and characterized 5'-flanking regions of AHR1 and AHR2
genes. Both of the 5'-flanking regions in these AHR genes contained three
potential xenobiotic-responsive elements (XREs). To assess whether the 5'
flanking region is transactivated by rsAHR1 and rsAHR2 proteins, we measured the
transactivation potency of the luciferase reporter plasmids containing the 5'
flanking regions by AHR1 and AHR2 proteins that were transiently co-expressed in
COS-7. Only reporter plasmid (pGL4-rsAHR2-3XREs) that contained three putative
XRE sites in the 5'-flanking region of AHR2 gene showed a clear TCDD dose
dependent transactivation by AHR1 and AHR2 proteins. TCDD-EC50 values for the
rsAHR2-derived XRE transactivation were 1.3 and 1.4 nM for AHR1 and AHR2,
respectively. These results suggest that the putative XREs of AHR2 gene have a
function for AHR1- and AHR2-mediated transactivation, supporting our in ovo
observation of an induction of AHR2 mRNA levels by TCDD exposure. Mutations in
XREs of AHR2 gene led to a decrease in luciferase induction. Electrophoretic
mobility shift assay showed that XRE1, the closest XRE from the start codon in
AHR2 gene, is mainly responsible for the binding with TCDD-activated AHR. This
suggests that TCDD-activated AHR1 and AHR2 up-regulate the AHR2 mRNA levels and
this auto-induced AHR2 may amplify the signal transduction of its downstream
targets including CYP1A in the red seabream.
PMID- 27188392
TI - Erratum to: The transcription factor MITF is a critical regulator of GPNMB
expression in dendritic cells.
PMID- 27188393
TI - Icosahedral boron clusters: a perfect tool for the enhancement of polymer
features.
AB - Boron clusters and organic molecules display manifestly different electronic,
physical, chemical and geometrical characteristics. These differences highlight
the complementarity of organic synthons and boron clusters, and therefore the
feasibility of producing hybrid polymers incorporating both types of fragments.
This review focuses on the development of hybrid organic-inorganic pi conjugated,
silane, siloxane and coordination polymers containing icosahedral boron clusters
in the last few decades, which have received considerable academic and
technological interest due to the combination of the electronic, optical and
thermal properties of traditional inorganic materials with many of the desirable
properties of organic plastics, including mechanical flexibility and low
production costs.
PMID- 27188391
TI - Effects of Fatty Acid Synthase Inhibition by Orlistat on Proliferation of
Endometrial Cancer Cell Lines.
AB - OBJECTIVE: Fatty acid synthase (FAS) is a key lipogenic enzyme that is highly
expressed in endometrial cancer. Orlistat is a weight loss medication that has
been shown to be a potent inhibitor of FAS. The goal of this study was to
evaluate the anti-tumorigenic potential of orlistat in endometrial cancer cell
lines. METHODS: The endometrial cancer cell lines ECC-1 and KLE were used. Cell
proliferation was assessed by MTT assay after treatment with orlistat. Cell cycle
progression was evaluated by Cellometer and apoptosis was assessed using the
Annexin V assay. Reactive oxygen species (ROS) was measured using the DCFH-DA
assay. Western immunoblotting was performed to determine changes in FAS, cellular
stress, cell cycle progression, and the AMPK/mTOR pathways. RESULTS: Orlistat
inhibited cell proliferation by 61 % in ECC-1 cells and 57 % in KLE cells at a
dose of 500 MUM. Treatment with orlistat at this concentration resulted in G1
arrest (p < 0.05) but did not affect apoptosis. Orlistat increased ROS and
induced the expression of BIP (1.28-fold in ECC-1 compared to control, p < 0.05;
1.92-fold in KLE, p < 0.05) and PERK (2.25-fold in ECC-1, 1.4-fold in KLE, p <
0.05). Western immunoblot analysis demonstrated that orlistat decreased
expression of important proteins in fatty acid metabolism including FAS (67 % in
ECC-1, 15 % in KLE), acetyl-CoA carboxylase (40 % in ECC-1, 35 % in KLE), and
carnitine palmitoyltransferase 1A (CPT1A) (65 % in ECC-1, 25 % in KLE) in a dose
dependent manner. In addition, orlistat at a dose of 500 MUM increased expression
of phosphorylated-AMPK (1.9-fold in ECC-1, p < 0.01; 1.5-fold in KLE, p < 0.05)
and decreased expression of phosphorylated-Akt (25 % in ECC-1, p < 0.05; 37 % in
KLE, p < 0.05) and phosphorylated-S6 (68 % in ECC-1, 56 % in KLE). CONCLUSIONS:
Orlistat inhibits cell growth in endometrial cancer cell lines through inhibition
of fatty acid metabolism, induction of cell cycle G1 arrest, activation of AMPK
and inhibition of the mTOR pathway. Given that patients with endometrial cancer
have high rates of obesity, orlistat should be further investigated as a novel
strategy for endometrial cancer treatment.
PMID- 27188394
TI - Drug loading and delivery using nanofibers scaffolds.
AB - In recent times, notable advancement has been made in the field of
electrospinning for the fabrication of numerous types of nanofiber scaffolds. Due
to the ultrathin fiber diameter, electrospun nanofiber scaffolds are considered
to be an operational delivery system for biomolecules, genes, as well as drugs
due to the high specific surface area and stereological porous structure. Here,
we introduce some of methods for the integration of drugs and biomolecules within
electrospun nanofiber scaffolds, such as blending, surface modification, coaxial
process, and emulsion methods. Then, we describe some important biomedical
applications of nanofibers in drug delivery systems along with their suitable
examples in transdermal systems and wound dressings, cancer therapy, growth
factor delivery, nucleic acid delivery, and stem cell delivery.
PMID- 27188395
TI - Noninvasive Predictors of Malignant Arrhythmias.
AB - BACKGROUND: Prediction and potential prevention of sudden cardiac death (SCD) due
to malignant ventricular arrhythmia (MVA) represent an obvious unmet medical
need. We estimated the prognostic relevance of numerous biomarkers associated
with future MVA development in patients with coronary artery disease (CAD) over 2
years of follow-up. METHODS: Patients with stable documented CAD (n = 97) with a
mean age of 61 +/- 10 years were prospectively enrolled in a single-center
observational cohort study. Heart failure was diagnosed in 68% of the patients
(NYHA class II-III). The mean left ventricular ejection fraction (LVEF) was 50 +/
13%, while 20% of patients had LVEF <=35%. Sixty-two patients underwent
myocardial revascularization during the follow-up (mean 25 +/- 11 months).
Clinical characteristics (age, gender, diabetes, history of coronary disease and
arrhythmias, prior interventions and antecedent medications), noninvasive
electrophysiological markers [microvolt T-wave alterations, signal-averaged
electrocardiography, QT interval duration and alteration, and heart rate
turbulence (HRT) and HR variability], laboratory indices [serum creatinine and
creatinine clearance, brain natriuretic peptide (BNP), NT-proBNP, and C-reactive
protein and troponin T levels] were assessed with regard to the MVA prognosis.
RESULTS: MVA was diagnosed in 11 patients during the prospective follow-up. Prior
percutaneous coronary intervention (p < 0.05), MVA or syncope (p < 0.05), on-pump
coronary artery bypass grafting during follow-up (p < 0.01), LVEF <=47% (p <
0.01), a left atrium size >=4.7 cm (p < 0.05), left atrium index (p = 0.01),
filtered QRS duration (p < 0.05), abnormal HRT (x03C7;2 = 6.2, p = 0.01) or
turbulence slope (x03C7;2 = 9.5, p < 0.01), BNP >=158 pg/ml (p < 0.01) and NT
proBNP >=787 pg/ml (x03C7;2 = 4.4, p < 0.05) were significantly associated with
MVA risk by univariate analysis. However, only prior MVA or syncope [odds ratio
(OR) 11.1; 95% confidence interval (CI) 2.8-44.4; p < 0.01], abnormal HRT
(x041E;R 13.6; 95% CI 2.8-66.1; p < 0.01) and plasma BNP (x041E;R 14.3; 95% CI
3.2-65.0; p < 0.01) remained independent predictors of MVA occurrence by
multivariate Cox regression analysis. CONCLUSION: Prior syncope or MVA, HRT and
elevated plasma BNP were independent MVA predictors, advocating for the
prospective screening of high-risk CAD patients for potential SCD awareness.
PMID- 27188398
TI - Dietary indispensable amino acids profile affects protein utilization and growth
of Senegalese sole larvae.
AB - In diet formulation for fish, it is critical to assure that all the indispensable
amino acids (IAA) are available in the right quantities and ratios. This will
allow minimizing dietary AA imbalances that will result in unavoidable AA losses
for energy dissipation rather than for protein synthesis and growth. The
supplementation with crystalline amino acids (CAA) is a possible solution to
correct the dietary amino acid (AA) profile that has shown positive results for
larvae of some fish species. This study tested the effect of supplementing a
practical microdiet with encapsulated CAA as to balance the dietary IAA profile
and to improve the capacity of Senegalese sole larvae to utilize AA and maximize
growth potential. Larvae were reared at 19 degrees C under a co-feeding regime
from mouth opening. Two microdiets were formulated and processed as to have as
much as possible the same ingredients and proximate composition. The control diet
(CTRL) formulation was based on commonly used protein sources. A balanced diet
(BAL) was formulated as to meet the ideal IAA profile defined for Senegalese
sole: the dietary AA profile was corrected by replacing 4 % of encapsulated
protein hydrolysate by CAA. The in vivo method of controlled tube-feeding was
used to assess the effect on the larvae capacity to utilize protein, during key
developmental stages. Growth was monitored until 51 DAH. The supplementation of
microdiets with CAA in order to balance the dietary AA had a positive short-term
effect on the Senegalese sole larvae capacity to retain protein. However, that
did not translate into increased growth. On the contrary, larvae fed a more
imbalanced (CTRL group) diet attained a better performance. Further studies are
needed to ascertain whether this was due to an effect on the voluntary feed
intake as a compensatory response to the dietary IAA imbalance in the CTRL diet
or due to the higher content of tryptophan in the BAL diet.
PMID- 27188396
TI - RNA motif search with data-driven element ordering.
AB - BACKGROUND: In this paper, we study the problem of RNA motif search in long
genomic sequences. This approach uses a combination of sequence and structure
constraints to uncover new distant homologs of known functional RNAs. The problem
is NP-hard and is traditionally solved by backtracking algorithms. RESULTS: We
have designed a new algorithm for RNA motif search and implemented a new motif
search tool RNArobo. The tool enhances the RNAbob descriptor language, allowing
insertions in helices, which enables better characterization of ribozymes and
aptamers. A typical RNA motif consists of multiple elements and the running time
of the algorithm is highly dependent on their ordering. By approaching the
element ordering problem in a principled way, we demonstrate more than 100-fold
speedup of the search for complex motifs compared to previously published tools.
CONCLUSIONS: We have developed a new method for RNA motif search that allows for
a significant speedup of the search of complex motifs that include pseudoknots.
Such speed improvements are crucial at a time when the rate of DNA sequencing
outpaces growth in computing. RNArobo is available at
http://compbio.fmph.uniba.sk/rnarobo .
PMID- 27188399
TI - Pancreatic Lesion: Malignancy or Abscess?
AB - BACKGROUND: Pancreatic abscesses are rare. They may be seen in patients with
pancreatic inflammation or pancreatitis. Patients with pancreatic abscesses may
have abdominal pain, fever, chills, and nausea/vomiting or an inability to eat.
Presentation with alternate symptomatology is extremely unusual. CASE REPORT: A
67-year-old Asian male presented with painless, afebrile obstructive jaundice and
a CA 19-9 of 1732 IU. He was found to have a 3.1*2.4 cm low-density lesion in the
head of the pancreas and the right lobe of the liver, suggesting malignancy.
Surgical management was considered, however additional diagnostic workup,
including an endoscopic retrograde cholangiopancreatography (ERCP), was performed
to complete staging of the presumed mass. A smooth, 3-cm-long, tapering stricture
was found it the common bile duct. It was stented from the common hepatic duct to
the duodenum. Subsequent endoscopic ultrasound (EUS) evaluation of the pancreatic
head lesion revealed a drainable fluid collection that was aspirated and found to
contain pyogenic material on pathology. The patient's symptoms resolved, and he
was subsequently managed conservatively. A repeat ERCP confirmed complete
resolution of the previously visualized cystic lesion. Interestingly, laboratory
values showed concomitant normalization of CA 19-9 to 40 IU. CONCLUSIONS: EUS
guided biopsy is not widely regarded as a required step before surgery, in the
management of patients with pancreatic masses. It is generally reserved for
determination of resectability or staging, and only utilized when clinically
indicated. However, this practice may be associated with an inherently
significant risk of misdiagnosis and subsequent unnecessary surgery, as
illustrated by this case. Malignancy was initially suspected in our patient and
surgical resection was recommended. Endoscopic measures were only pursued to
complete staging. We propose that EUS-guided biopsy may be a crucial diagnostic
step in the management algorithm of pancreatic lesions in selected patients. In
addition, we encourage consideration of nonmalignant pancreatic collections in
the differential diagnosis of pancreatic masses, especially when present in
patients with diabetes mellitus.
PMID- 27188401
TI - Synthesis of 9,10-Phenanthrenes via Palladium-Catalyzed Aryne Annulation by o
Halostyrenes and Formal Synthesis of (+/-)-Tylophorine.
AB - A novel palladium-catalyzed annulation reaction of in situ generated arynes and o
halostyrenes has been developed. This methodology affords moderate to excellent
yields of substituted phenanthrenes and is tolerant of a variety of functional
groups such as nitrile, ester, amide, and ketone. This annulation chemistry has
been successfully applied to the formal total synthesis of a biologically active
alkaloid (+/-)-tylophorine.
PMID- 27188402
TI - Silicon quantum dot sensors for an explosive taggant, 2,3-dimethyl-2,3
dinitrobutane (DMNB).
AB - Silicon quantum dots obtained by the reaction of magnesium silicide with
ethylenediamine dihydrochloride were utilized to investigate the sensing
mechanism and sensitivity for DMNB detection applications. Sensing DMNB provided
us with evidence that Si QDs with a higher lying conduction band have better
sensitivity compared to CdSe QDs.
PMID- 27188400
TI - Structural effects of naphthalimide-based fluorescent sensor for hydrogen sulfide
and imaging in live zebrafish.
AB - Hydrogen sulfide (H2S) is an important biological messenger, but few biologically
compatible methods are available for its detection in aqueous solution. Herein,
we report a highly water-soluble naphthalimide-based fluorescent probe (L1),
which is a highly versatile building unit that absorbs and emits at long
wavelengths and is selective for hydrogen sulfide over cysteine, glutathione, and
other reactive sulfur, nitrogen, and oxygen species in aqueous solution. We
describe turn-on fluorescent probes based on azide group reduction on the
fluorogenic 'naphthalene' moiety to fluorescent amines and intracellular hydrogen
sulfide detection without the use of an organic solvent. L1 and L2 were
synthetically modified to functional groups with comparable solubility on the N
imide site, showing a marked change in turn-on fluorescent intensity in response
to hydrogen sulfide in both PBS buffer and living cells. The probes were readily
employed to assess intracellular hydrogen sulfide level changes by imaging
endogenous hydrogen sulfide signal in RAW264.7 cells incubated with L1 and L2.
Expanding the use of L1 to complex and heterogeneous biological settings, we
successfully visualized hydrogen sulfide detection in the yolk, brain and spinal
cord of living zebrafish embryos, thereby providing a powerful approach for live
imaging for investigating chemical signaling in complex multicellular systems.
PMID- 27188403
TI - A TIPS-TPDO-tetraCN-Based n-Type Organic Field-Effect Transistor with a Cross
linked PMMA Polymer Gate Dielectric.
AB - Recent improvement in the performance of the n-type organic semiconductors as
well as thin gate dielectrics based on cross-linked polymers offers new
opportunities to develop high-performance low-voltage n-type OFETs suitable for
organic complementary circuits. Using TIPS-tetracyanotriphenodioxazine (TIPS-TPDO
tetraCN) and cross-linked poly(methyl methacrylate) (c-PMMA), respectively as n
type organic semiconductor and gate dielectric, linear regime field-effect
mobility (1.8 +/- 0.2) * 10(-2) cm(2) V(-1)s(-1), small spatial standard
deviation of threshold voltage (~0.1 V), and operating voltage less than 3 V are
attainable with the same device structure and contact materials used commonly for
p-type OFETs. Through comparative static and dynamic characterizations of c-PMMA
and PMMA gate dielectrics, it is shown that both smaller thickness and larger
relative permittivity of c-PMMA contributes to reduced operating voltage.
Furthermore, negligible hysteresis brings evidence to small trap states in the
semiconductor near gate dielectric of the n-type OFETs with c-PMMA. The use of
TIPS-TPDO-tetraCN and c-PMMA is fully compatible with polyethylene terephthalate
substrate, giving promise to various flexible applications.
PMID- 27188404
TI - Deaths, late deaths, and role of infecting dose in Ebola virus disease in Sierra
Leone: retrospective cohort study.
AB - OBJECTIVES: To assess the frequency of fatal recrudescence from Ebola virus
disease after discharge from treatment centres, and explore the influence of
infecting dose on case fatality rates. DESIGN: Retrospective cohort study.
SETTING: Western Area, Sierra Leone. PARTICIPANTS: 151 survivors treated for
Ebola virus disease at the Kerry Town treatment centre and discharged. Survivors
were followed up for a vital status check at four to nine months after discharge,
and again at six to 13 months after discharge. Verbal autopsies were conducted
for four survivors who had died since discharge (that is, late deaths). Survivors
still living in Western Area were interviewed together with their household
members. Exposure level to Ebola virus disease was ascertained as a proxy of
infecting dose, including for those who died. MAIN OUTCOME MEASURES: Risks and
causes of late death; case fatality rates; odds ratios of death from Ebola virus
disease by age, sex, exposure level, date, occupation, and household risk
factors. RESULTS: Follow-up information was obtained on all 151 survivors of
Ebola virus disease, a mean of 10 months after discharge. Four deaths occurred
after discharge, all within six weeks: two probably due to late complications,
one to prior tuberculosis, and only one after apparent full recovery, giving a
maximum estimate of recrudescence leading to death of 0.7%. In these households,
395 people were reported to have had Ebola virus disease, of whom 227 died. A
further 53 people fulfilled the case definition for probable disease, of whom 11
died. Therefore, the case fatality rate was 57.5% (227/395) for reported Ebola
virus disease, or 53.1% (238/448) including probable disease. Case fatality rates
were higher in children aged under 2 years and adults older than 30 years, in
larger households, and in infections occurring earlier in the epidemic in Sierra
Leone. There was no consistent trend of case fatality rate with exposure level,
although increasing exposure increased the risk of Ebola virus disease.
CONCLUSIONS: In this study of survivors in Western Area, Sierra Leone, late
recrudescence of severe Ebola virus disease appears to be rare. There was no
evidence for an effect of infecting dose (as measured by exposure level) on the
severity of disease.
PMID- 27188405
TI - Nerve Stimulation Enhances Task-Oriented Training in Chronic, Severe Motor
Deficit After Stroke: A Randomized Trial.
AB - BACKGROUND AND PURPOSE: A sensory-based intervention called peripheral nerve
stimulation can enhance outcomes of motor training for stroke survivors with mild
to-moderate hemiparesis. Further research is needed to establish whether this
paired intervention can have benefit in cases of severe impairment (almost no
active movement). METHODS: Subjects with chronic, severe poststroke hemiparesis
(n=36) were randomized to receive 10 daily sessions of either active or sham
stimulation (2 hours) immediately preceding intensive task-oriented training (4
hours). Upper extremity movement function was assessed using Fugl-Meyer
Assessment (primary outcome measure), Wolf Motor Function Test, and Action
Research Arm Test at baseline, immediately post intervention and at 1-month
follow-up. RESULTS: Statistically significant difference between groups favored
the active stimulation group on Fugl-Meyer at postintervention (95% confidence
interval [CI], 1.1-6.9; P=0.008) and 1-month follow-up (95% CI, 0.6-8.3;
P=0.025), Wolf Motor Function Test at postintervention (95% CI, -0.21 to -0.02;
P=0.020), and Action Research Arm Test at postintervention (95% CI, 0.8-7.3;
P=0.015) and 1-month follow-up (95% CI, 0.6-8.4; P=0.025). Only the active
stimulation condition was associated with (1) statistically significant within
group benefit on all outcomes at 1-month follow-up and (2) improvement exceeding
minimal detectable change, as well as minimal clinically significant difference,
on >=1 outcomes at >=1 time points after intervention. CONCLUSIONS: After stroke,
active peripheral nerve stimulation paired with intensive task-oriented training
can effect significant improvement in severely impaired upper extremity movement
function. Further confirmatory studies that consider a larger group, as well as
longer follow-up, are needed. CLINICAL TRIAL REGISTRATION: URL:
http://www.clinicaltrials.gov. Unique identifier: NCT02633215.
PMID- 27188406
TI - Poor Outcome of Stroke Patients With Atrial Fibrillation in the Presence of
Coexisting Spontaneous Echo Contrast.
AB - BACKGROUND AND PURPOSE: Spontaneous echo contrast (SEC) is frequently detected in
patients with atrial fibrillation (AF). Coexisting SEC in patients with AF may be
associated with heightened thrombogenicity, which affects stroke outcomes.
METHODS: Consecutive stroke patients with nonvalvular AF who underwent
transesophageal echocardiography were included in this study. We compared initial
stroke severity and functional outcome at 3 months between the patients with and
those without SEC. RESULTS: Of 440 patients with nonvalvular AF who underwent
transesophageal echocardiography during a 7-year period, 193 (43.9%) patients had
SEC. Stroke was more severe in the patients with SEC than in those without SEC
(National Institute of Health Stroke Scale score: median [interquartile range], 5
[2-12] versus 3 [1-8]; P=0.004). The patients with SEC more frequently had poor
functional outcomes (modified Rankin scale score of >2) at 3 months than those
without SEC (32.3% versus 16.1%; P<0.001). On multivariate analysis, the presence
of SEC was an independent factor of poor outcome (odds ratio, 2.09; 95%
confidence interval, 1.24-3.53). CONCLUSIONS: In the ischemic stroke patients
with nonvalvular AF, coexisting SEC was associated with more severe stroke and
was predictive of poor long-term functional outcome.
PMID- 27188408
TI - Regulation of energy metabolism and maintenance of metabolic homeostasis: the
adiponectin story after 20 years.
PMID- 27188407
TI - Incidence of undetected cement on CAD/CAM monolithic zirconia crowns and
customized CAD/CAM implant abutments. A prospective case series.
AB - OBJECTIVE: The aim of this study was to assess the frequency of cement residues
after cementation of CAD/CAM monolithic zirconia crowns on customized CAD/CAM
titanium abutments. MATERIALS AND METHODS: Sixty premolars and molars were
restored on Astra Tech Osseospeed TXTM implants using single monolithic zirconia
crowns fixed on two types of custom-made abutments: AtlantisTM titanium or
AtlantisTM Gold Hue. Occlusal openings providing access to the abutment screws
were designed for retrievability of the crown/abutment connection. After fixation
with glass ionomer cement, the crown/abutment units were unscrewed to evaluate
the presence of residual cement. Dichotomous assessment of the presence or
absence of cement at the crown/abutment unit and peri-implant tissues was
performed. RESULTS: Clinically undetected cement excess was visible on 44 of 60
restorations (73.3%). There was no interdependency between residual cement
presence and implant location or diameter. However, a dependency between the
presence of residual cement and the aspect of the abutment/crown connection could
be noted. The majority of the residues were observed on the distal (17.9%) and
mesial (15%) aspects. While on the palatal/lingual aspect, the cement was visible
in 8.8%; only 3.4% of all surfaces displayed cement residues. CONCLUSIONS: Within
the limitations of the study, it can be concluded that the use of customized
CAD/CAM abutments do not guarantee avoidance of subgingival cement residues after
crown cementation.
PMID- 27188410
TI - A review of occupational therapy's contribution to and involvement in the work-to
retirement transition process: An Australian perspective.
AB - BACKGROUND: Retirement from paid work is an occupational transition, which can
have a profound impact on a person's life. This review explored occupational
therapy's contribution to and involvement in the work-to-retirement transition
process in Australia. METHODS: An integrative literature review was undertaken
using seven article repository databases. PRISMA guidelines informed searches.
Articles were included if participants were Australian; researchers' discussed
retirement from an occupational perspective or the scope of practice of
occupational therapists; and publication was from 1994 to June 2015 in a peer
reviewed journal. Articles were critically appraised and thematic analysis
explored: (i) occupational perspective, (ii) occupational therapy scope of
practice, (iii) theory and (iv) retirement definitions. RESULTS: Eight articles
met the review criteria. Three occupational perspective themes were identified:
retirement intention influences, retirement preparation and retirement roles and
activities. No articles on the current scope of practice of occupational therapy
were found. Three articles discussed potential occupational therapy approaches.
Three themes on what retirement is were identified: complete cessation, gradual
transition and intermittent worker. Four theory themes were identified:
occupational therapy, ageing, identity and work. CONCLUSION: Occupational therapy
has the potential to be involved in improving health and well-being for people in
the work-to-retirement transition process. It is suggested that future research
explore not only people's experiences but also the approaches of occupational
therapy in assisting people through this occupational transition. The use of
theory to guide Australian occupational therapy practice on retirement should
also be explored. The use of consistent retirement definitions will assist in
understanding research.
PMID- 27188411
TI - Utilization of carbon substrates by heterotrophic bacteria through vertical
sediment profiles in coastal and estuarine seagrass meadows.
AB - Coastal vegetated ecosystems play an important role in carbon cycling and
bacterial communities inhabiting coastal sediments are responsible for the
remineralization and processing of organic carbon (OC). We collected 1 m-long
sediment cores in Posidonia seagrass meadows from coastal and estuarine sites in
Australia that differed in their sedimentary organic and inorganic carbon,
nitrogen and mud contents. The metabolic diversity of sediment heterotrophic
bacterial communities was characterized at different sediment depths, based on
the utilization pattern of 31 individual carbon substrates using Biolog
EcoPlatesTM . High metabolic diversity was recorded at both sites, but the carbon
substrate utilization rates and the use of carbohydrates were higher at the
coastal site compared to the estuarine site. The heterotrophic bacterial
community in the coastal sediment appeared to metabolize a more diverse OC pool
compared to the estuarine site, which might partly explain the differences in OC
storage among the seagrass habitats studied. The Biolog EcoPlatesTM provided a
useful tool for characterising the sediment heterotrophic bacterial communities
in the meadows and sediment characteristics and biochemical composition of the
organic matter played an important role in shaping heterotrophic bacterial
communities and their carbon utilization rates, potentially affecting carbon
accumulation and preservation within seagrass sediments.
PMID- 27188409
TI - Microvascular reactivity is altered early in patients with acute respiratory
distress syndrome.
AB - BACKGROUND: Acute respiratory distress syndrome (ARDS) is associated with
vascular endothelial dysfunction. The resultant microvascular reactivity can be
assessed non-invasively using near-infrared spectroscopy (NIRS) and a vascular
occlusion test (VOT) and changes have been correlated with severity of organ
dysfunction and mortality in other critically ill populations. We used NIRS to
study the presence of microcirculatory alterations in patients with ARDS.
METHODS: We studied 27 healthy volunteers and 32 ARDS patients admitted to our
intensive care department. NIRS measurements were performed within 24 h after
diagnosis (Berlin definition). VOTs were performed by inflating an arm-cuff to a
pressure greater than the systolic pressure for 3 min, followed by rapid
deflation. The descending (Desc) and ascending (Asc) thenar muscle oxygen
saturation (StO2) slopes were calculated. We compared data from volunteers with
those from ARDS patients, from ARDS survivors and non-survivors, and from ARDS
survivors who required <7 days ventilatory support (good evolution) with those
who required >7 days support or died (poor evolution). RESULTS: ARDS patients had
lower StO2 values [75(67-80) vs 79(76-81) %, p = 0.04] and Asc slopes [185(115
233) vs 258(216-306) %/min, p < 0.01] than healthy volunteers, but Desc slopes
were similar. The Asc slope was lower in the patients with a poor evolution than
in the other patients [121(90-209) vs 222(170-293) %/min, p < 0.01], and in the
non-survivors than in the survivors [95(73-120) vs 212(165-252) %/min, p < 0.01].
CONCLUSIONS: In ARDS patients, microvascular reactivity is altered early, and the
changes are directly related to the severity of the disease. The ascending slope
is the best determinant of outcome.
PMID- 27188412
TI - Primary central nervous system posttransplant lymphoproliferative disease: An
uncommon diagnostic dilemma.
PMID- 27188414
TI - Cataract.
AB - Cataract is the leading cause of reversible blindness and visual impairment
globally. Blindness from cataract is more common in populations with low
socioeconomic status and in developing countries than in developed countries. The
only treatment for cataract is surgery. Phacoemulsification is the gold standard
for cataract surgery in the developed world, whereas manual small incision
cataract surgery is used frequently in developing countries. In general, the
outcomes of surgery are good and complications, such as endophthalmitis, often
can be prevented or have good ouctomes if properly managed. Femtosecond laser
assisted cataract surgery, an advanced technology, can automate several steps;
initial data show no superiority of this approach over current techniques, but
the results of many large clinical trials are pending. The greatest challenge
remains the growing 'backlog' of patients with cataract blindness in the
developing world because of lack of access to affordable surgery. Efforts aimed
at training additional cataract surgeons in these countries do not keep pace with
the increasing demand associated with ageing population demographics. In the
absence of strategie that can prevent or delay cataract formation, it is
important to focus efforts and resources on developing models for efficient
delivery of cataract surgical services in underserved regions. For an illustrated
summary of this Primer, visit: http://go.nature.com/eQkKll.
PMID- 27188413
TI - Recommendations for sexual expression management in long-term care: a qualitative
needs assessment.
AB - AIMS: To conduct a qualitative needs assessment of Directors of Nursing regarding
challenges and recommendations for addressing sexual expression and consent.
BACKGROUND: Sexual expression management among long-term care residents is a
complex issue for nursing home staff. Little guidance is available for those
wanting to follow a person-centred approach. Policies and procedures are needed,
and must be usable across long-term care settings. DESIGN: Qualitative design for
in-depth exploration. METHODS: Semi-structured interviews were conducted with 20
Directors of Nursing in the spring and summer of 2013, representing a range of
regions, facility sizes and resident populations. Interview questions prompted
them to identify recommendations that address challenges to improving sexual
expression management in long-term care settings. RESULTS: Comparative thematic
analysis resulted in several codes, which were grouped into eight overall
categories. Recommendation categories that addressed key challenges included:
address the issue, make environmental changes, identify staff expertise, provide
education and training, assess sexuality initially and recurrently, establish
policies/procedures for sexual expression management, develop assessment tools
for sexual expression and consent, and clarify legal issues. The recommendation
to develop national guidelines was observed across categories. DISCUSSION:
Directors of Nursing report several challenges to sexual expression management in
their facilities, and perceive their current methods to be ad hoc. A proactive
approach to policy and procedure development is needed.
PMID- 27188415
TI - Insulin-like growth factor-I gene therapy increases hippocampal neurogenesis,
astrocyte branching and improves spatial memory in female aging rats.
AB - In rats, learning and memory performance decline during aging, which makes this
rodent species a suitable model to evaluate therapeutic strategies of potential
value for correcting age-related cognitive deficits. Some of these strategies
involve neurotrophic factors like insulin-like growth factor-I (IGF-I), a
powerful neuroprotective molecule in the brain. Here, we implemented 18-day long
intracerebroventricular (ICV) IGF-I gene therapy in 28 months old Sprague-Dawley
female rats, and assessed spatial memory performance in the Barnes maze. We also
studied hippocampal morphology using an unbiased stereological approach.
Adenovectors expressing the gene for rat IGF-I or the reporter DsRed were used.
Cerebrospinal fluid (CSF) samples were taken and IGF-I levels determined by
radioimmunoassay. At the end of the study, IGF-I levels in the CSF were
significantly higher in the experimental group than in the DsRed controls. After
treatment, the IGF-I group showed a significant improvement in spatial memory
accuracy as compared with DsRed counterparts. In the dentate gyrus (DG) of the
hippocampus, the IGF-I group showed a higher number of immature neurons than the
DsRed controls. The treatment increased hippocampal astrocyte branching and
reduced their number in the hippocampal stratum radiatum. We conclude that the
ependymal route is an effective approach to increase CSF levels of IGF-I and that
this strategy improves the accuracy of spatial memory in aging rats. The
favorable effect of the treatment on DG neurogenesis and astrocyte branching in
the stratum radiatum may contribute to improving memory performance in aging
rats.
PMID- 27188417
TI - Fitness to practise process must change, says GMC chair.
PMID- 27188416
TI - Erratum to: The risk of cancer in patients with rheumatoid arthritis taking tumor
necrosis factor antagonists: a nationwide cohort study.
PMID- 27188418
TI - Alpha-ketoglutarate enhances milk protein synthesis by porcine mammary epithelial
cells.
AB - Alpha-ketoglutarate (AKG), a key intermediate in the Krebs cycle, has been
reported to promote protein synthesis through activating mechanistic targeting of
rapamycin (mTOR) in enterocytes. The study tested the hypothesis that AKG may
enhance growth and milk protein synthesis in porcine mammary epithelial cells
(PMECs). PMECs were cultured for 96 h in Dulbecco's modified Eagle's-F12 Ham
medium (DMEM-F12) containing prolactin (2 ug/ml) and AKG (0 or 1.5 mM). At the
end of 96-h culture, the abundance of apoptosis-related proteins (caspase-3,
caspase-9), milk-specific proteins (alpha-lactalbumin and beta-casein), mTOR
signaling proteins (mTOR, p-mTOR, PERK, p-PERK, eIF2a, P70S6K and p-P70S6K), and
endoplasmic reticulum stress (ERS)-associated proteins (BiP and CHOP) in PMEC
were determined. Addition of AKG dose-dependently enhanced cell viability in the
absence or presence of prolactin, with optimal concentrations of AKG being at 1.0
and 1.5 mM, respectively. In the presence of prolactin, addition of 1.5 mM AKG:
(1) decreased (P < 0.05) the abundance of caspase-3 and caspase-9 by 21 and 39 %;
(2) enhanced (P < 0.05) the phosphorylation of p-mTOR and p-P70S6K by 39 and 89
%, respectively; (3) increased (P < 0.05) the production of beta-casein and alpha
lactalbumin by 16 and 20 %, respectively; (4) attenuated (P < 0.05) the
expression of CHOP by 34 % but promoted (P < 0.05) the expression of BiP by 46 %;
(5) increased (P < 0.05) the secretion of lactose by 15 %, when compared to the 0
mM AKG group. Rapamycin (50 nM; an inhibitor of mTOR) attenuated (P < 0.05) the
stimulatory effect of AKG on mTOR signaling and syntheses of milk protein and
lactose, while relieving (P < 0.05) an inhibitory effect of AKG on expression of
proteins related to ERS. Collectively, our results indicate that AKG enhances
milk protein production by modulating mTOR and ERS signaling pathways in PMECs.
PMID- 27188419
TI - Combination of transglutaminase and sourdough on gluten-free flours to improve
dough structure.
AB - The aim of this work was to evaluate the effects of microbial transglutaminase
(mTG) and sourdough on gluten-free (GF) flours. Besides deamidation and
incorporation of amines, mTG catalyses protein cross-links, modifying dough
structure. Sourdough from lactic acid bacteria (LAB) and yeast modifies dough
protein composition, determining proteolysis, which induce the formation of aroma
precursor metabolites. The chemical-physical interactions of volatile molecules
with various constituents of the matrix affect the retention of aroma compounds.
Here, the effect on volatile molecule profiles and on protein networks formation
after mTG treatment in sourdoughs obtained with four GF flours belonging to
cereals, pseudo-cereals and legumes (rice, corn, amaranth and lentil) was
investigated. Sourdough was prepared with a two-step fermentation using
Lactobacillus sanfrancisciensis (LSCE1) and Candida milleri (PFL44), then mTG was
added after 21 h of fermentation at increasing levels. The results showed that
mTG had the capacity to modify GF flour proteins and improve protein networks
formation, involving mainly the prolamin protein fraction. This is particularly
relevant for the production of GF backed goods generally lacking of
technological, structural and sensorial features compared with products obtained
with wheat flour sourdough fermentation. Interestingly, mTG treatment of
sourdough affected also the volatile composition and indeed possibly the final
organoleptic properties of the products.
PMID- 27188420
TI - [In Process Citation].
PMID- 27188421
TI - [The human being-a challenging place to work].
PMID- 27188422
TI - [In Process Citation].
PMID- 27188423
TI - Erratum to: Significant treatment effect of adjunct music therapy to standard
treatment on the positive, negative, and mood symptoms of schizophrenic patients:
a meta-analysis.
PMID- 27188424
TI - Genome scan linkage analysis identifies quantitative trait loci affecting serum
clinical-chemical traits in Korean native chicken.
AB - Alterations in robustness- and health-related traits lead to physiological
changes, such as changes in the serum clinical chemical parameters in
individuals. Therefore, clinical-chemical traits can be used as biomarkers to
examine the health status of chickens. The aim of the present study was to detect
the quantitative trait loci (QTLs) influencing eight clinical-chemical traits
(glucose, total protein, creatinine, high-density lipoprotein cholesterol, total
cholesterol, glutamic oxaloacetic transaminase, glutamic pyruvic transaminase,
and alpha-amylase) in an F1 nuclear families comprising 83 F0 founders and 585 F1
progeny of Korean native chickens. Genotypic data on 135 DNA markers representing
26 autosomes have been generated for this resource pedigree. The total length of
the map was 2729.4 cM. We used a multipoint variance component linkage approach
to identify QTLs for the traits. A significant QTL affecting serum alpha-amylase
levels was identified on chicken chromosome (GGA) 7 [logarithm of odds (LOD) =
3.02, P value = 1.92 * 10(-4)]. Additionally, we detected several suggestive
linkage signals for the levels of total cholesterol, glutamic oxaloacetic
transaminase, glutamic pyruvic transaminase, and creatinine on GGA 4, 12, 13, and
15. In this study, serum alpha-amylase levels related significant QTL was mapped
on GGA7 and cholesterol, glutamic oxaloacetic transaminase, glutamic pyruvic
transaminase, and creatinine traits related suggestive QTLs were detected on
GGA4, 12, 13 and 15, respectively. Further verification and fine mapping of these
identified QTLs can provide valuable information for understanding the variations
of clinical chemical trait in chickens.
PMID- 27188425
TI - The synergistic risk effect of apolipoprotein epsilon4 and DNA (cytosine-5-)
methyltransferase 3 beta (DNMT3B) haplotype for Alzheimer's disease.
AB - Alzheimer's disease (AD) is a complex and multifactorial disease with the
contribution of several genes and polymorphisms to its development. Among these
genes, the APOEepsilon4 is the best known risk factor for AD. Methylation is
associated with APOE expression and AD development. Recently, we found an
association of the TGG haplotype in the DNMT3B gene, one of the catalyst enzyme
for methylation, with AD. Therefore, the objective of the study was to
investigate whether APOEepsilon4 and TGG haplotype have an synergistic effect on
AD. The sample was composed of 212 Caucasian individuals (108 healthy controls
and 104 with AD by NINCDS-ADRDA and DSM-IV-TR criteria) from southern Brazil. The
genetic analyses were performed by real time PCR for TaqMan((r)) assay.
Multivariate logistic regression was performed categorizing groups according to
presence of APOEepsilon4 and/or TGG haplotype as an independent variable for
outcome AD. The presence of TGG haplotype plus the allele APOEepsilon4 were
strongly associated with AD [OR 11.13; 95 % CI (4.25-29.16); P < 0.001]. This
association had a higher risk than each risk factor alone. We found a strong
association of the interaction of DNMT3B gene with the APOEepsilon4 in this
sample of AD patients. The presence of TGG haplotype and APOEepsilon4
significantly increased the risk of developing the disease, showing an
synergistic effect.
PMID- 27188426
TI - The genome-wide DNA sequence specificity of the anti-tumour drug bleomycin in
human cells.
AB - The cancer chemotherapeutic agent, bleomycin, cleaves DNA at specific sites. For
the first time, the genome-wide DNA sequence specificity of bleomycin breakage
was determined in human cells. Utilising Illumina next-generation DNA sequencing
techniques, over 200 million bleomycin cleavage sites were examined to elucidate
the bleomycin genome-wide DNA selectivity. The genome-wide bleomycin cleavage
data were analysed by four different methods to determine the cellular DNA
sequence specificity of bleomycin strand breakage. For the most highly cleaved
DNA sequences, the preferred site of bleomycin breakage was at 5'-GT*
dinucleotide sequences (where the asterisk indicates the bleomycin cleavage
site), with lesser cleavage at 5'-GC* dinucleotides. This investigation also
determined longer bleomycin cleavage sequences, with preferred cleavage at 5'
GT*A and 5'- TGT* trinucleotide sequences, and 5'-TGT*A tetranucleotides. For
cellular DNA, the hexanucleotide DNA sequence 5'-RTGT*AY (where R is a purine and
Y is a pyrimidine) was the most highly cleaved DNA sequence. It was striking that
alternating purine-pyrimidine sequences were highly cleaved by bleomycin. The
highest intensity cleavage sites in cellular and purified DNA were very similar
although there were some minor differences. Statistical nucleotide frequency
analysis indicated a G nucleotide was present at the -3 position (relative to the
cleavage site) in cellular DNA but was absent in purified DNA.
PMID- 27188427
TI - Identifying a biomarker network for corticosteroid resistance in asthma from
bronchoalveolar lavage samples.
AB - Corticosteroid resistance (CR) is a major barrier to the effective treatment of
severe asthma. Hence, a better understanding of the molecular mechanisms involved
in this condition is a priority. Network analysis is an emerging strategy to
explore this complex heterogeneous disorder at system level to identify a small
own network for CR in asthma. Gene expression profile of GSE7368 from
bronchoalveolar lavage (BAL) of CR in subjects with asthma was downloaded from
the gene expression omnibus (GEO) database and compared to BAL of corticosteroid
sensitive (CS) patients. DEGs were identified by the Limma package in R language.
In addition, DEGs were mapped to STRING to acquire protein-protein interaction
(PPI) pairs. Topological properties of PPI network were calculated by Centiscape,
ClusterOne and BINGO. Subsequently, text-mining tools were applied to design one
own cell signalling for CR in asthma. Thirty-five PPI networks were obtained;
including a major network consisted of 370 nodes, connected by 777 edges. After
topological analysis, a minor PPI network composed by 48 nodes was indentified,
which is composed by most relevant nodes of major PPI network. In this
subnetwork, several receptors (EGFR, EGR1, ESR2, PGR), transcription factors
(MYC, JAK), cytokines (IL8, IL6, IL1B), one chemokine (CXCL1), one kinase (SRC)
and one cyclooxygenase (PTGS2) were described to be associated with inflammatory
environment and steroid resistance in asthma. We suggest a biomarker network
composed by 48 nodes that could be potentially explored with diagnostic or
therapeutic use.
PMID- 27188428
TI - Chidamide alleviates TGF-beta-induced epithelial-mesenchymal transition in lung
cancer cell lines.
AB - Transforming growth factor-beta (TGF-beta)-induced epithelial-mesenchymal
transition is a critical process in the initiation of metastasis of various types
of cancer. Chidamide is a class I histone deacetylase inhibitor with anti-tumor
activity. This study investigated the effects of chidamide on TGF-beta-mediated
suppression of E-cadherin expression in adenocarcinomic lung epithelial cells and
the molecular mechanisms involved in these effects. Western blot analysis,
confocal microscopy, Quantitative methyl-specific PCR and bisulfite sequencing
were used to evaluate the effects of different treatments on chidamide
ameliorating TGF-beta induced-E-cadherin loss. H3 acetylation binding to the
promoter of E-cadherin was detected by chromatin immunoprecipitations (CHIP). We
found that chidamide reduced the level of lung cancer cell migration observed
using a Boyden chamber assay (as an indicator of metastatic potential). Chidamide
inhibited TGF-beta-induced SMAD2 phosphorylation and attenuated TGF-beta-induced
loss of E-cadherin expression in lung cancer cells by Western blotting and
confocal microscopy, respectively. Quantitative methyl-specific PCR and bisulfite
sequencing revealed that TGF-beta-enhanced E-cadherin promoter methylation was
ameliorated in cells treated with chidamide. We demonstrated that histone H3
deacetylation within the E-cadherin promoter was required for TGF-beta-induced E
cadherin loss; cell treatment with chidamide increased the H3 acetylation
detected by CHIP. Taken together, our results demonstrate that TGF-beta
suppressed E-cadherin expression by regulating promoter methylation and histone
H3 acetylation. Chidamide significantly enhanced E-cadherin expression in TGF
beta-treated cells and inhibited lung cancer cell migration. These findings
indicate that chidamide has a potential therapeutic use due to its capacity to
prevent cancer cell metastasis.
PMID- 27188429
TI - In vitro assessment of the tooth staining potential of endodontic materials in a
bovine tooth model.
AB - BACKGROUND/AIMS: Traumatized teeth requiring endodontic intervention may discolor
as a result of the treatment performed. Thus, the aim was to investigate the
discoloration potential of different endodontic cements, dressings, and irrigants
used in dental traumatology. MATERIALS AND METHODS: Cylindrical cavities were
prepared in 330 bovine enamel-dentine blocks (10 * 10 * 3.5 mm), leaving 2 mm of
enamel and dentine on the labial side. The specimens were randomly assigned to 22
groups (n = 15). The cavities were filled with a range of endodontic materials,
sealed with composite and stored in physiological saline. The color of the labial
enamel surface was measured with a spectrophotometer at 7 time intervals: before
(T0) and after the placement of the test material (T1 = baseline), after 1 week
(T2), 1 month (T3), 3 months (T4), 6 months (T5), and 12 months (T6). The color
difference values (DeltaE) were calculated and further analyzed by anova and the
Tukey-Kramer post hoc test (alpha = 0.05). RESULTS: After 12 months, significant
staining was observed among the endodontic cements only in the Portland cement
group with additional bismuth oxide (H-MED PC BiOx; DeltaE 22.2). Specimens with
other commercially available calcium silicate cements containing bismuth oxide
were not significantly discolored. All specimens with endodontic dressings and
irrigants were color stable except the tested triple antibiotic paste (M
TreVitaMix; DeltaE 14.9) and the double antibiotic paste (N-BiMix; DeltaE 14.9).
Both mixtures did not contain tetracycline derivatives. CONCLUSIONS: The presence
of bismuth oxide in calcium silicate cements was not shown to be a reliable
predictor for tooth discoloration. Antibiotic pastes without tetracycline
derivatives do not guarantee the color stability of teeth.
PMID- 27188430
TI - The Prevalence of Common Mental Disorders Among South Africans Seeking HIV
Testing.
AB - We administered the Structured Clinical Interview for the DSM to 485 persons
seeking HIV testing at five community testing centres in South Africa to
determine the prevalence of common mental disorders among this population. The
prevalence estimates for the various disorders were as follows: major depressive
disorder: 14.2 % (95 % CI [11.1, 17.3]); generalised anxiety disorder 5.0 % (95 %
CI [3.07, 6.93]); posttraumatic stress disorder 4.9 % (95 % CI [2.98, 6.82]); and
alcohol use disorder 19.8 % (95 % CI [16.26, 23.34]). Our findings imply the need
to research the integration of screening and referral trajectories in the context
of voluntary HIV counselling and testing.
PMID- 27188432
TI - France's Evin Law on the control of alcohol advertising: content, effectiveness
and limitations.
AB - AIMS: To assess the effectiveness of the 2015 version of the French Evin Law that
was implemented in 1991 with the objective of protecting young people from
alcohol advertising. DESIGN: Data were obtained from survey questions measuring
exposure and receptivity to alcohol advertisements that were introduced for the
first time in the 2015 European School Survey Project on Alcohol and Other Drugs
(ESPAD). PARTICIPANTS AND SETTING: A representative sample of 6642 10th-12th
grade students (mean age 17.3 years) were interviewed in 198 schools in France by
a self-administered questionnaire. MEASUREMENTS: Information was collected on
alcohol advertising exposure in different media (outside billboards, internet,
etc.) and receptivity to recent advertisements (attractiveness, incentive to
drink, etc.). FINDINGS: The majority of students declared that they had been
exposed at least once a month to alcohol advertisements in supermarkets (73.2%),
in movies (66.1%), magazines and newspapers (59.1%), on billboards in streets
(54.5%), and on the internet (54.1%). Concerning the last recalled
advertisements, 27.8% remembered the beverage type, 18.2% the brand, 13% felt
like having a drink after having seen the advertisement and 19.6% found the
advertisement attractive (boys ranked significantly higher than girls for all
these indicators; P-value < 0.05). CONCLUSION: The 2015 version of the French
Evin law does not appear to protect young people effectively from exposure to
alcohol advertising in France.
PMID- 27188431
TI - Effects of heated hydrotherapy on muscle HSP70 and glucose metabolism in old and
young vervet monkeys.
AB - Increasing heat shock protein 70 (HSP70) in aged and/or insulin-resistant animal
models confers benefits to healthspan and lifespan. Heat application to increase
core temperature induces HSPs in metabolically important tissues, and preliminary
human and animal data suggest that heated hydrotherapy is an effective method to
achieve increased HSPs. However, safety concerns exist, particularly in geriatric
medicine where organ and cardiovascular disease commonly will preexist. We
evaluated young vervet monkeys compared to old, insulin-resistant vervet monkeys
(Chlorocebus aethiops sabaeus) in their core temperatures, glucose tolerance,
muscle HSP70 level, and selected safety biomarkers after 10 sessions of hot water
immersions administered twice weekly. Hot water immersion robustly induced the
heat shock response in muscles. We observed that heat-treated old and young
monkeys have significantly higher muscle HSP70 than control monkeys and treatment
was without significant adverse effects on organ or cardiovascular health. Heat
therapy improved pancreatic responses to glucose challenge and tended to
normalize glucose excursions. A trend for worsened blood pressure and glucose
values in the control monkeys and improved values in heat-treated monkeys were
seen to support further investigation into the safety and efficacy of this
intervention for metabolic syndrome or diabetes in young or old persons unable to
exercise.
PMID- 27188433
TI - MicroRNA-21 promotes cell proliferation, migration, and resistance to apoptosis
through PTEN/PI3K/AKT signaling pathway in esophageal cancer.
AB - Our study aimed to explore associations between microRNA-21 (miR-21) and
PTEN/PI3K/AKT signaling pathway and, further, to elucidate the regulation of miR
21 on biological behaviors in human esophageal cancer cells. The expressions of
miR-21, PTEN, PI3K, and AKT were detected in 89 esophageal cancer samples and 58
adjacent normal tissues respectively. The human esophageal cancer cells (TE11)
were grouped as following: blank (TE11 cells without transfection), negative
(TE11 cells with miR-21 negative inhibitor), and Inhibition-miR21 (TE11 cells
with miR-21 inhibitor). Western blot was used for detection of PTEN, P13K, and
AKT protein expressions, MTT method for cell proliferation, Transwell assay for
cell migration and invasion, and flow cytometry for cell cycle and apoptosis. MiR
21, PI3K, and AKT have higher expressions, but PTEN has lower expression in
esophageal cancer tissues compared with adjacent normal tissues. The esophageal
cancer tissues with lymph node metastasis and poor differentiation showed
significantly low positive rate of PTEN protein, but high positive rates of PI3K
and AKT proteins. Compared with blank and negative groups, PTEN expression of
TE11 cells in Inhibition-miR21 group was significantly up-regulated, but PI3K and
AKT were down-regulated. Further, PTEN was a target gene of miR-21. Besides,
compared with blank and negative groups, the proliferation, migration, and
invasion of TE11 cells were less active in Inhibition-miR21 group. TE11 cells
were significantly increased in the G0/G1 phase of cell cycles, but decreased in
the S and G2/M phase in Inhibition-miR21 group. The TE11 cells exhibited
significantly increased apoptosis rates. MiR-21 targets key proteins in
PTEN/PI3K/AKT signal pathway, promoting proliferation, migration, invasion, and
cell cycle, and inhibiting apoptosis of human esophageal cancer cells. It may
serve as a novel therapeutic target in esophageal cancer.
PMID- 27188434
TI - EMMLi: A maximum likelihood approach to the analysis of modularity.
AB - Identification of phenotypic modules, semiautonomous sets of highly correlated
traits, can be accomplished through exploratory (e.g., cluster analysis) or
confirmatory approaches (e.g., RV coefficient analysis). Although statistically
more robust, confirmatory approaches are generally unable to compare across
different model structures. For example, RV coefficient analysis finds support
for both two- and six-module models for the therian mammalian skull. Here, we
present a maximum likelihood approach that takes into account model
parameterization. We compare model log-likelihoods of trait correlation matrices
using the finite-sample corrected Akaike Information Criterion, allowing for
comparison of hypotheses across different model structures. Simulations varying
model complexity and within- and between-module contrast demonstrate that this
method correctly identifies model structure and parameters across a wide range of
conditions. We further analyzed a dataset of 3-D data, consisting of 61 landmarks
from 181 macaque (Macaca fuscata) skulls, distributed among five age categories,
testing 31 models, including no modularity among the landmarks and various
partitions of two, three, six, and eight modules. Our results clearly support a
complex six-module model, with separate within- and intermodule correlations.
Furthermore, this model was selected for all five age categories, demonstrating
that this complex pattern of integration in the macaque skull appears early and
is highly conserved throughout postnatal ontogeny. Subsampling analyses
demonstrate that this method is robust to relatively low sample sizes, as is
commonly encountered in rare or extinct taxa. This new approach allows for the
direct comparison of models with different parameterizations, providing an
important tool for the analysis of modularity across diverse systems.
PMID- 27188435
TI - Highly Efficient and Scalable Separation of Semiconducting Carbon Nanotubes via
Weak Field Centrifugation.
AB - The identification of scalable processes that transfer random mixtures of single
walled carbon nanotubes (SWCNTs) into fractions featuring a high content of
semiconducting species is crucial for future application of SWCNTs in high
performance electronics. Herein we demonstrate a highly efficient and simple
separation method that relies on selective interactions between tailor-made
amphiphilic polymers and semiconducting SWCNTs in the presence of low viscosity
separation media. High purity individualized semiconducting SWCNTs or even self
organized semiconducting sheets are separated from an as-produced SWCNT
dispersion via a single weak field centrifugation run. Absorption and Raman
spectroscopy are applied to verify the high purity of the obtained SWCNTs.
Furthermore SWCNT - network field-effect transistors were fabricated, which
exhibit high ON/OFF ratios (10(5)) and field-effect mobilities (17 cm(2)/Vs). In
addition to demonstrating the feasibility of high purity separation by a novel
low complexity process, our method can be readily transferred to large scale
production.
PMID- 27188436
TI - Lingunite-a high-pressure plagioclase polymorph at mineral interfaces in
doleritic rock of the Lockne impact structure (Sweden).
AB - Lingunite nanocrystals and amorphous plagioclase (maskelynite) are identified at
the contacts between augite and labradorite wedge-shaped interfaces in the
doleritic rocks of the Lockne impact structure in Sweden. The occurrence of
lingunite suggests that the local pressure was above 19 GPa and the local
temperature overwhelmed 1000 degrees C. These values are up to 10 times higher
than previous values estimated numerically for bulk pressure and temperature.
High shock-induced temperatures are manifested by maskelynite injections into
microfractures in augite located next to the wedges. We discuss a possible model
of shock heterogeneity at mineral interfaces, which may lead to longer duration
of the same shock pressure and a concentration of high temperature thus
triggering the kinetics of labradorite transformation into lingunite and
maskelynite.
PMID- 27188437
TI - Synthesis and Biological Evaluation of New N-(4-Substituted phenyl)glycine
Derivatives as Potential Anti-inflammatory Agents.
AB - BACKGROUND: Designing new anti-inflammatory agents possessing safe therapeutic
profiles and devoid of potential undesirable side effects is an active field in
medicinal chemistry. Thus, a series of N-(4-substituted phenyl)glycine
derivatives was designed and synthesized. The idea behind the design is to
utilize the bifunctionality of 4-aminoacetophenone via converting the amino group
into glycine derivative as a side arm to mimic the glycine amino acid enhancing
the overall physicochemical and biological characteristics. In addition, the
opposite acetyl group was used as a center for modification and derivatization.
METHODS: The starting N-(4-acetylphenyl)glycine was converted into two
intermediates: the chalcone analog 2 and the thiosemicarbazone derivative 8. Both
2 and 8 were derivatized and/or cyclized into different heterocyclic target
derivatives (3-7 and 9-12). The target compounds were screened for anti
inflammatory activity using carrageenan-induced rat paw edema assay. RESULTS: The
results showed that compounds 6, 7, and 3, were the most active among the tested
compounds at 50 mg/kg dose level with % inhibition of edema of 51.82, 43.80, and
40.39, respectively. CONCLUSION: The authors succeeded to introduce a simple and
versatile skeleton with a side arm resembling the glycine amino acid; imparting a
potential improvement in physicochemical properties. We utilize the other side of
the skeleton's aromatic ring as a center for derivatization. The chalcone analog
and its cyclized heterocyclic derivatives were of remarkably higher anti
inflammatory activity than the thiosemicarbazone and its derivatives.
PMID- 27188438
TI - Uncertainty in clinical practice - an interview study with Swedish GPs on
patients with sore throat.
AB - BACKGROUND: Uncertainty is inevitable in clinical practice in primary care and
tolerance for uncertainty and concern for bad outcomes has been shown to vary
between physicians. Uncertainty is a factor for inappropriate antibiotic
prescribing. Evidence-based guidelines as well as near-patient tests are
suggested tools to decrease uncertainty in the management of patients with
respiratory tract infections. The aim of this paper was to describe strategies
for coping with uncertainty in patients with pharyngotonsillitis in relation to
guidelines. METHODS: An interview study was conducted among a strategic sample of
25 general practitioners (GPs). RESULTS: All GPs mentioned potential dangerous
differential diagnoses and complications. Four strategies for coping with
uncertainty were identified, one of which was compliant with guidelines,
"Adherence to guidelines", and three were idiosyncratic: "Clinical picture and C
reactive protein (CRP)", "Expanded control", and "Unstructured". The residual
uncertainty differed for the different strategies: in the strategy "Adherence to
guidelines" and "Clinical picture and CRP" uncertainty was avoided, based either
on adherence to guidelines or on the clinical picture and near-patient CRP; in
the strategy "Expanded control" uncertainty was balanced based on expanded
control; and in the strategy "Unstructured" uncertainty prevailed in spite of
redundant examination and anamnesis. CONCLUSION: The majority of the GPs avoided
uncertainty and deemed they had no problems. Their strategies either adhered to
guidelines or comprised excessive use of tests. Thus use of guidelines as well as
use of more near-patient tests seemed associated to reduced uncertainty, although
the later strategy at the expense of compliance to guidelines. A few GPs did not
manage to cope with uncertainty or had to put in excessive work to control
uncertainty.
PMID- 27188439
TI - Post-renal transplant infections: single-center experience from Nigeria.
AB - BACKGROUND: Infections are the leading cause of hospitalization and mortality in
transplant recipients. Nigeria has a growing number of renal transplant
recipients. The aim of this study was to determine the pattern of infections in
renal allograft recipients in one of the major renal transplant centers in
Nigeria. METHODS: All case records of renal allograft recipients on follow-up
were retrieved. Those that had infection at any time after transplantation were
selected. Demographic and clinical information was collected and analyzed.
RESULTS: Thirty-three case records were analyzed, out of which 24/33 (72.7%) were
males, with a mean age of 42.3 years (+/- 7.38). The median duration of
developing infection post transplant was 270 days (range 2-2190). Most of the
infections occurred after 6 months in 15/33 (45.5%). Urinary tract infection was
the most common infection, noted in 13/33 (39.4%), followed by pneumonia, which
was seen in 12 (33.3%), 9/12 (75%) of which were culture-positive. There were 2
cases (5.6%) of tuberculosis and 1 case (2.8%) of cytomegalovirus colitis. Out of
the 9 culture-positive pneumonia cases, 6 (66.7%) were caused by gram-negative
pathogens, with Pseudomonas aeruginosa being the most common isolate seen in 3/9
(33.3%) of the patients. Among those with urinary tract infection, Escherichia
coli and Klebsiella species were isolated with equal proportion in 3/13 (23.1%),
while Enterococcus faecalis was the most common isolate in 4/13 (30.8%). Overall
infection-related mortality was 10/33 (30.3%), out of which 5/10 (50%) of deaths
were from pneumonia. CONCLUSION: Post-transplant infection surveillance must be
strengthened. The role of multidrug-resistant gram-negative bacteria in post
renal transplant infection in Nigeria needs to be evaluated.
PMID- 27188440
TI - Mice lacking the intracellular cation channel TRIC-B have compromised collagen
production and impaired bone mineralization.
AB - The trimeric intracellular cation (TRIC) channels TRIC-A and TRIC-B localize
predominantly to the endoplasmic reticulum (ER) and likely support Ca(2+) release
from intracellular stores by mediating cationic flux to maintain electrical
neutrality. Deletion and point mutations in TRIC-B occur in families with
autosomal recessive osteogenesis imperfecta. Tric-b knockout mice develop
neonatal respiratory failure and exhibit poor bone ossification. We investigated
the cellular defect causing the bone phenotype. Bone histology indicated collagen
matrix deposition was reduced in Tric-b knockout mice. Osteoblasts, the bone
depositing cells, from Tric-b knockout mice exhibited reduced Ca(2+) release from
ER and increased ER Ca(2+) content, which was associated with ER swelling. These
cells also had impaired collagen release without a decrease in collagen-encoding
transcripts, consistent with a defect in trafficking of collagen through ER. In
contrast, osteoclasts, the bone-degrading cells, from Tric-b knockout mice were
similar to those from wild-type mice. Thus, TRIC-B function is essential to
support the production and release of large amounts of collagen by osteoblasts,
which is necessary for bone mineralization.
PMID- 27188441
TI - BTG1 ameliorates liver steatosis by decreasing stearoyl-CoA desaturase 1 (SCD1)
abundance and altering hepatic lipid metabolism.
AB - Liver steatosis, a condition in which lipid accumulates in liver cells, is a
leading cause of many liver diseases. The livers of patients with hepatocellular
carcinoma, a cancer characterized by liver steatosis, have decreased abundance of
the transcription cofactor BTG1 (B cell translocation gene 1). We showed that the
livers of db/db mice, which are a genetic model of obesity, had decreased BTG1
mRNA and protein abundance. BTG1 overexpression ameliorated liver steatosis in
db/db mice, whereas knockdown of BTG1 induced liver steatosis in wild-type mice.
Consistent with these changes, we found that BTG1 decreased triglyceride
accumulation in cultured hepatocytes. BTG1 overexpression inhibited the
expression of the gene encoding stearoyl-CoA desaturase 1 (SCD1), an enzyme
involved in the synthesis of fatty acids, by suppressing the activity of
activating transcription factor 4 (ATF4). Knockdown of SCD1 prevented liver
steatosis in wild-type mice induced by knockdown of BTG1. Conversely, the ability
of BTG1 overexpression to ameliorate liver steatosis in db/db mice was negated by
ATF4 overexpression. Moreover, BTG1 transgenic mice were resistant to liver
steatosis induced by a high-carbohydrate diet. BTG1 abundance was decreased by
this diet through a pathway that involved mammalian target of rapamycin (mTOR),
ribosomal protein S6 kinase 1 (S6K1), and cAMP response element-binding protein
(CREB). Together, our study identifies a role of BTG1 in regulating hepatic lipid
metabolism and specifically in preventing ATF4 and SCD1 from inducing liver
steatosis.
PMID- 27188442
TI - Themis1 enhances T cell receptor signaling during thymocyte development by
promoting Vav1 activity and Grb2 stability.
AB - The T cell signaling protein Themis1 is essential for the positive and negative
selection of thymocytes in the thymus. Although the developmental defect that
results from the loss of Themis1 suggests that it enhances T cell receptor (TCR)
signaling, Themis1 also recruits Src homology 2 domain-containing phosphatase-1
(SHP-1) to the vicinity of TCR signaling complexes, suggesting that it has an
inhibitory role in TCR signaling. We used TCR signaling reporter mice and
quantitative proteomics to explore the role of Themis1 in developing T cells. We
found that Themis1 acted mostly as a positive regulator of TCR signaling in vivo
when receptors were activated by positively selecting ligands. Proteomic analysis
of the Themis1 interactome identified SHP-1, the TCR-associated adaptor protein
Grb2, and the guanine nucleotide exchange factor Vav1 as the principal
interacting partners of Themis1 in isolated mouse thymocytes. Analysis of TCR
signaling in Themis1-deficient and Themis1-overexpressing mouse thymocytes
demonstrated that Themis1 promoted Vav1 activity both in vitro and in vivo. The
reduced activity of Vav1 and the impaired T cell development in Themis1(-/-) mice
were due in part to increased degradation of Grb2, which suggests that Themis1 is
required to maintain the steady-state abundance of Grb2 in thymocytes. Together,
these data suggest that Themis1 acts as a positive regulator of TCR signaling in
developing T cells, and identify a mechanism by which Themis1 regulates thymic
selection.
PMID- 27188444
TI - Hybrid two-stage repair of thoracoabdominal aortic aneurysm.
AB - Thoracoabdominal aortic aneurysm is a challenging disease that often requires an
invasive surgical repair. Recently, a less invasive hybrid approach has been
proposed to improve postoperative outcomes in high-risk patients. It consists of
an open first stage where arterial visceral rerouting is obtained, using a
vascular graft followed by a second stage where the remaining thoracoabdominal
aorta is covered with a stent graft. Initial results using this approach seem
promising. Here, we sought to describe the hybrid two-stage technique that is
most frequently used in this extensive aortic pathology.
PMID- 27188443
TI - Following the trail of lipids: Signals initiated by PI3K function at multiple
cellular membranes.
AB - Phosphatidylinositol 3,4,5-trisphosphate [PtdIns(3,4,5)P3] is the signaling
currency of the phosphoinositide 3-kinase (PI3K)/AKT pathway; transduction
through this axis depends on this signaling lipid. Formation of PtdIns(3,4,5)P3
is dictated not only by PI3K activation but also by the localization and access
of PI3K to its substrate PtdIns(4,5)P2 (phosphatidylinositol 4,5-bisphosphate).
PI3K/AKT-mediated signaling is antagonized by PtdIns(3,4,5)P3 dephosphorylation.
Although previously typically considered an event associated with the plasma
membrane, it is now appreciated that the formation and metabolism of
PtdIns(3,4,5)P3 occur on multiple membranes with distinct kinetics. Modulated
activity of phosphatidylinositol lipid kinases and phosphatases contributes to
intricately orchestrated lipid gradients that define the signaling status of the
pathway at multiple sites within the cell.
PMID- 27188445
TI - Transcarotid transcatheter aortic valve implantation.
AB - Transcatheter aortic valve implantation is a well-recognized treatment option for
high-risk patients with aortic stenosis. The femoral approach is possible in 80%
of cases. Initially, only the transcarotid and subclavian approaches were
performed as alternative routes. Both approaches have advantages and
disadvantages. The carotid approach is a new approach that offers direct vascular
access to the aortic valve. The technique of access is very simple and well known
to all cardiovascular surgeons, and the morbidity is minimal. It avoids a
thoracotomy with all its complications. We present here our technique and results
of our initial experience.
PMID- 27188446
TI - Surgical technique of double switch procedure: Senning with arterial switch
operation for congenitally corrected transposition of the great arteries with
ventricular septal defect.
AB - We present a case of 12-month-old boy with congenitally corrected transposition
of great arteries with L-looped ventricles and L-transposition of great arteries
and ventricular septal defect. When admitted to the hospital, the patient had the
appearance of congestive heart failure due to moderate to severe tricuspid valve
regurgitation and right ventricle dysfunction. The pulmonary artery (PA) banding
was required first because of low systolic pressure in the morphological left
ventricle less than 70% confirmed by catheterization. Three months later, the
patient appeared to be a good candidate for anatomical repair and a double switch
procedure-Senning with arterial switch-was performed. The early postoperative
period was relatively smooth and uneventful. Tricuspid valve insufficiency was
resolved immediately after surgery. Mild systolic dysfunction of the left
ventricle with mild mitral insufficiency was confirmed by the 2D strain method of
echocardiography on the second day of the postoperative period and it improved
over the next 21 days. Thirty days later after the procedure, the patient
underwent catheterization of his superior vena cava tunnel because of the
slightly increased blood flow velocity diagnosed by echocardiography. In 3 months
after the surgery, the boy was asymptomatic and was doing well. The patient's
functional status was I according to the NYHA classification.
PMID- 27188447
TI - Nested data independent MS/MS acquisition.
AB - Data independent acquisition (DIA) attempts to provide comprehensive MS/MS data
while providing a cycle time that is capable of following the elution profile of
chromatographic peaks. Currently available MS technology is not yet fully capable
of fulfilling these expectations. This paper suggests a new multiplex-based
approach to more closely achieve this objective. Customized scans have been
programmed for a Q Orbitrap instrument. Multiple nonadjacent mass range segments
are sequentially collected (cut out) by the quadrupole. These combined mass
ranges undergo fragmentation, and the resulting product ions are analyzed as a
whole by the Orbitrap analyzer. The systematical variation of the mass range
segments (nested design) permits the mathematical assignment of the observed
product ions within a narrow precursor mass range. The proposed approach allows
the use of mass windows that are narrower than those in conventional DIA (SWATH).
A unique aspect of the proposed approach is the fact that halving the mass window
width requires the addition of only a single multiplexed scan. This is different
from conventional DIA, which requires the number of mass windows to be doubled in
order to achieve the same objective. This paper shows that for a given cycle
time, the proposed nested DIA technique produces significantly less chimeric
product ion spectra than conventional DIA. However, further improvements from the
programming, and most likely the hardware side, are still required in order to
achieve the aim of comprehensive MS/MS. Graphical Abstract Schematic of nested
design.
PMID- 27188448
TI - Self-weighing behavior in individuals with eating disorders.
AB - OBJECTIVE: To describe the frequency of self-weighing and reactions to prescribed
weekly weighing among individuals with eating disorder (ED) diagnoses, and to
compare individuals weighing more or less frequently on mass index (BMI) and the
Eating Disorder Examination (EDE) subscales. METHOD: Baseline EDE and
demographics from five studies (N = 758). RESULTS: Self-weighing was most
frequent among individuals with anorexia nervosa (AN), followed by those with
bulimia nervosa (BN) and binge eating disorder (BED). On average, participants
reacted moderately negative to prescribed weekly weighing. No relationship
between weighing frequency and BMI was evident in any sample. There was
indication of greater pathology (i.e., restraint, shape concern, weight concern,
global) in AN with more frequent weighing. In BN, mixed evidence emerged to
support a relationship between more frequent weighing and higher shape concern,
weight concern, and global score. In BED, higher restraint was found in those who
weighed versus those who did not. DISCUSSION: Weighing frequency in each eating
disorder (ED) sample was to some extent associated with greater ED severity, but
not BMI. Future research should examine relationships between self-weighing,
reactions to changing weighing frequency, and ED symptomatology in both ED and
non-ED groups to understand the impact of self-weighing in heterogeneous
populations. (c) 2016 Wiley Periodicals, Inc. (Int J Eat Disord 2016; 49:817
821).
PMID- 27188449
TI - Insight into structure dynamics of soil microbiota mediated by the richness of
replanted Pseudostellaria heterophylla.
AB - Consecutive monoculture of crops causes serious diseases and significant decline
in yield and quality, and microbes in the rhizosphere are closely linked with
plant health. Here we systematically studied the structure dynamics of soil
microbiota in the monocropping system of Pseudostellaria heterophlla. The results
illustrated that the successive cropping of P. heterophylla shifts the diversity
and structure of microbial community in rhizosphere soil of P. heterophylla,
showing that the diversity of microbial community in rhizosphere soil of P.
heterophylla was decreased with the increase of planting years while the
structure of microbial community became more deteriorative. Moreover, the
population size of typical pathogens increased and the beneficial bacterial
population decreased with the increasing years of monoculture, which resulted in
the microecological imbalance in P. heterophylla rhizosphere, thereby caused
serious replanting diseases in monocropping system. Our results suggested that
structure dynamics of rhizosphere microbial communities were mediated by the
richness of replanted P. heterophylla, and thus the replant disease result from
the imbalanced microbial structure with a higher ratio of pathogens/beneficial
bacteria in rhizosphere soil under monocropping regimes. This finding provides a
clue to open a new avenue for modulating the root microbiome to enhance the crop
production and sustainability.
PMID- 27188450
TI - Paneth Cell in Adenomas of the Distal Colorectum Is Inversely Associated with
Synchronous Advanced Adenoma and Carcinoma.
AB - Recent studies have linked appearance of Paneth cells in colorectal adenomas to
adenoma burden and male gender. However, the clinical importance of Paneth cells'
associations with synchronous advanced adenoma (AA) and colorectal carcinoma
(CRC) is currently unclear. We performed a comprehensive case-control study using
1,900 colorectal adenomas including 785 from females, and 1,115 from males. We
prospectively reviewed and recorded Paneth cell status in the colorectal adenomas
consecutively collected between February 2014 and June 2015. Multivariable
logistic regression analyses revealed that, in contrast to the adenomas without
Paneth cells, the Paneth cell-containing adenomas at distal colorectum were
inversely associated with presence of a synchronous AA or CRC (odds ratio [OR]
0.39, P = 0.046), whereas no statistical significance was reached for Paneth cell
containing proximal colorectal adenomas (P = 0.33). Synchronous AA and CRC were
significantly associated with older age (60 + versus <60 years, OR 1.60, P =
0.002), male gender (OR 1.42, P = 0.021), and a history of AA or CRC (OR 2.31, P
< 0.001). However, synchronous CRC was not associated with Paneth cell status, or
a history of AA or CRC. Paneth cell presence in the adenomas of distal colorectum
may be a negative indicator for synchronous AA and CRC, and seems to warrant
further studies.
PMID- 27188451
TI - Rapid and efficient magnetization of mesenchymal stem cells by dendrimer
functionalized magnetic nanoparticles.
AB - AIM: Rapid and efficient magnetization of human bone marrow stromal cells (BMSC)
through functionalized magnetic nanoparticles (MNP). METHODS: MNP were
functionalized with poly(epsilon-lysine) dendrons exposing carboxybetaine residue
(CB-MNP) to enhance binding to the cellular glycocalix. BMSC were incubated with
CB-MNP or non-functionalized PAA-MNP for 5-30 min in suspension. RESULTS: CB-MNP
functionalization increased the magnetization efficiency by threefold.
Remarkably, 66% of cells were magnetized after only 5 min and the maximum
efficiency of >80% was reached by 15 min. BMSC viability, proliferation and
differentiation were not impaired: actually, adipogenic and osteogenic
differentiation were even improved. CONCLUSION: Carboxybetaine-dendron
functionalization ensured rapid and efficient BMSC magnetization and allowed
innovative suspension labeling, with a potential for bypassing adhesion culture
of progenitors for regenerative medicine.
PMID- 27188453
TI - Clinical and genetic characterization of congenital hyperinsulinism in Spain.
AB - CONTEXT: Congenital hyperinsulinism (CHI) is a clinically and genetically
heterogeneous disease characterized by severe hypoglycemia caused by
inappropriate insulin secretion by pancreatic beta-cells. OBJECTIVE: To
characterize clinically and genetically CHI patients in Spain. DESIGN AND
METHODS: We included 50 patients with CHI from Spain. Clinical information was
provided by the referring clinicians. Mutational analysis was carried out for
KCNJ11, ABCC8, and GCK genes. The GLUD1, HNF4A, HNF1A, UCP2, and HADH genes were
sequenced depending on the clinical phenotype. RESULTS: We identified the genetic
etiology in 28 of the 50 CHI patients tested: 21 had a mutation in KATP channel
genes (42%), three in GLUD1 (6%), and four in GCK (8%). Most mutations were found
in ABCC8 (20/50). Half of these patients (10/20) were homozygous or compound
heterozygous, with nine being unresponsive to diazoxide treatment. The other half
had heterozygous mutations in ABCC8, six of them being unresponsive to diazoxide
treatment and four being responsive to diazoxide treatment. We identified 22
different mutations in the KATP channel genes, of which ten were novel. Notably,
patients with ABCC8 mutations were diagnosed earlier, with lower blood glucose
levels and required higher doses of diazoxide than those without a genetic
diagnosis. CONCLUSIONS: Genetic analysis revealed mutations in 56% of the CHI
patients. ABCC8 mutations are the most frequent cause of CHI in Spain. We found
ten novel mutations in the KATP channel genes. The genetic diagnosis is more
likely to be achieved in patients with onset within the first week of life and in
those who fail to respond to diazoxide treatment.
PMID- 27188455
TI - Long-Term Effects of Sports Concussions: Bridging the Neurocognitive
Repercussions of the Injury with the Newest Neuroimaging Data.
AB - Little is known of the long-term effects of sports-related concussion. Within the
scientific literature, conclusions vary substantially where some work suggests
there are no long-term consequences at all and other studies show rampant
neurodegeneration thought to be caused by sometimes even a single concussive blow
to the head. There is growing evidence that supports multiple long-term outcomes,
showing both subclinical and clinically relevant changes in the brains of
athletes, young and old alike. This article reviews the pathohistology of
cerebral concussions and examines the extant literature with a focus on
electrophysiological and neuroimaging findings. Neurobehavioral and
neurocognitive changes are also reviewed, particularly as they are related to
chronic traumatic encephalopathy. Lacunae within the literature are explored, and
future research directions are proposed.
PMID- 27188454
TI - Human chorionic gonadotropin stimulation gives evidence of differences in
testicular steroidogenesis in Klinefelter syndrome, as assessed by liquid
chromatography-tandem mass spectrometry.
AB - BACKGROUND: Men with Klinefelter syndrome (KS) show hypergonadotropic
hypogonadism, but the pathogenesis of hypotestosteronemia remains unclear.
Testicular steroidogenesis in KS men was evaluated over three decades ago after
human chorionic gonadotropin (hCG) stimulation, but inconclusive results were
obtained. Intriguingly, some recent studies show increased intratesticular
testosterone concentrations in men with KS. OBJECTIVE: To analyze serum steroid
profile, as a proxy of testicular steroidogenesis, after hCG stimulation in KS
compared with control men. DESIGN: A prospective, longitudinal, case-control,
clinical trial. METHODS: Thirteen KS patients (36+/-9 years) not receiving
testosterone (TS) replacement therapy and 12 eugonadic controls (32+/-8 years)
were enrolled. Serum steroids were measured by liquid chromatography-tandem mass
spectrometry (LC-MS/MS) at baseline and for five consecutive days after
intramuscular injection of 5000IU hCG. RESULTS: Progesterone (P), 17
hydroxyprogesterone (17OHP), TS, and estradiol (E2) showed a significant increase
(P<0.001) after hCG stimulation in both groups. On the contrary, androstenedione
(AS) and dehydroepiandrosterone did not increase after hCG stimulation. The
17OHP/P ratio increased in both groups (P<0.001), the TS/AS ratio (17beta
hydroxysteroid dehydrogenase type 3 (17betaHSD3) activity) did not increase after
hCG in any group, and the E2/TS ratio (aromatase activity) increased
significantly in both groups (P=0.009 in KS and P<0.001 in controls). Luteinizing
hormone decreased after hCG in both groups (P=0.014 in KS and P<0.001 in
controls), whereas follicle-stimulating hormone decreased only in control men
(P<0.001). CONCLUSION: This study demonstrates for the first time using LC-MS/MS
that Leydig cells of KS men are able to respond to hCG stimulation and that the
first steps of steroidogenesis are fully functional. However, the TS production
in KS men is impaired, possibly related to reduced hydroxysteroid deydrogenase
activity due to an unfavorable intratesticular metabolic state.
PMID- 27188456
TI - Calpains: Master Regulators of Synaptic Plasticity.
AB - Although calpain was proposed to participate in synaptic plasticity and learning
and memory more than 30 years ago, the mechanisms underlying its activation and
the roles of different substrates have remained elusive. Recent findings have
provided evidence that the two major calpain isoforms in the brain, calpain-1 and
calpain-2, play opposite functions in synaptic plasticity. In particular, while
calpain-1 activation is the initial trigger for certain forms of synaptic
plasticity, that is, long-term potentiation, calpain-2 activation restricts the
extent of plasticity. Moreover, while calpain-1 rapidly cleaves regulatory and
cytoskeletal proteins, calpain-2-mediated stimulation of local protein synthesis
reestablishes protein homeostasis. These findings have important implications for
our understanding of learning and memory and disorders associated with impairment
in these processes.
PMID- 27188457
TI - Probing the Neural Correlates of Number Processing.
AB - The cognitive and neural mechanisms that enable humans to encode and manipulate
numerical information have been subject to an increasing number of experimental
studies over the past 25 years or so. Here, I highlight recent findings about how
numerical information is neurally coded, focusing on the theoretical implications
derived from the most influential theoretical framework in numerical cognition
the Triple Code Model. At the core of this model is the assumption that bilateral
parietal cortex hosts an approximate number system that codes for the cardinal
value of perceived numerals. I will review studies that ask whether or not the
numerical coding within this system is invariant to varying input notation,
format, or modality, and whether or not the observed parietal activity is number
specific over and above the parietal involvement in response-related processes.
Extant computational models of numerosity (the number of objects in a set)
perception are summarized and related to empirical data from human neuroimaging
and monkey neurophysiology.
PMID- 27188459
TI - Nonalcoholic fatty liver disease.
AB - Nonalcoholic fatty liver disease (NAFLD) is a disorder characterized by excess
accumulation of fat in hepatocytes (nonalcoholic fatty liver (NAFL)); in up to
40% of individuals, there are additional findings of portal and lobular
inflammation and hepatocyte injury (which characterize nonalcoholic
steatohepatitis (NASH)). A subset of patients will develop progressive fibrosis,
which can progress to cirrhosis. Hepatocellular carcinoma and cardiovascular
complications are life-threatening co-morbidities of both NAFL and NASH. NAFLD is
closely associated with insulin resistance; obesity and metabolic syndrome are
common underlying factors. As a consequence, the prevalence of NAFLD is estimated
to be 10-40% in adults worldwide, and it is the most common liver disease in
children and adolescents in developed countries. Mechanistic insights into fat
accumulation, subsequent hepatocyte injury, the role of the immune system and
fibrosis as well as the role of the gut microbiota are unfolding. Furthermore,
genetic and epigenetic factors might explain the considerable interindividual
variation in disease phenotype, severity and progression. To date, no effective
medical interventions exist that completely reverse the disease other than
lifestyle changes, dietary alterations and, possibly, bariatric surgery. However,
several strategies that target pathophysiological processes such as an oversupply
of fatty acids to the liver, cell injury and inflammation are currently under
investigation. Diagnosis of NAFLD can be established by imaging, but detection of
the lesions of NASH still depend on the gold-standard but invasive liver biopsy.
Several non-invasive strategies are being evaluated to replace or complement
biopsies, especially for follow-up monitoring.
PMID- 27188458
TI - PFN2, a novel marker of unfavorable prognosis, is a potential therapeutic target
involved in esophageal squamous cell carcinoma.
AB - BACKGROUND: Esophageal squamous cell carcinoma (ESCC) is one of the most
aggressively malignant tumors with dismal prognosis. Profilin 2 (PFN2) is an
actin-binding protein that regulates the dynamics of actin polymerization and
plays a key role in cell motility. Recently, PFN2 have emerged as significant
regulators of cancer processes. However, the clinical significance and biological
function of PFN2 in ESCC remain unclear. METHODS: PFN2 protein expression was
validated by immunohistochemistry (IHC) on tissue microarray from Chinese Han and
Kazakh populations with ESCC. The associations among PFN2 expression,
clinicopathological features, and prognosis of ESCC were analyzed. The effects on
cell proliferation, invasion and migration were examined using MTT and Transwell
assays. Markers of epithelial-mesenchymal transition (EMT) were detected by
Western blot analysis. RESULTS: Compared with normal esophageal epithelium (NEE),
PFN2 protein expression was markedly increased in low-grade intraepithelial
neoplasia (LGIN), high-grade intraepithelial neoplasia (HGIN), and ESCC,
increased gradually from LGIN to ESCC, and finally reached high grade in HGIN in
the Han population. Similarly, PFN2 protein was more overexpressed in ESCC than
in NEE in the Kazakh population. The results of Western blot analysis also showed
that PFN2 expression was significantly higher in the ESCC tissue than in a
matched adjacent non-cancerous tissue. PFN2 expression was positively correlated
with invasion depth and lymph node metastasis. High PFN2 expression was
significantly correlated with short overall survival (OS) (P = 0.023). Cox
regression analysis revealed that PFN2 expression was an independent prognostic
factor for poor OS in ESCC. Downregulation of PFN2 inhibited, rather than
proliferated, cell invasion and migration, as well as induced an EMT phenotype,
including increased expression of epithelial marker E-cadherin, decreased
mesenchymal marker Vimentin, Snail, Slug and ZEB1, and morphological changes in
ESCC cells in vitro. CONCLUSIONS: Our findings demonstrate that PFN2 has a novel
role in promoting ESCC progression and metastasis and portending a poor
prognosis, indicating that PFN2 could act as an early biomarker of high-risk
population. Targeting PFN2 may offer a promising therapeutic strategy for ESCC
treatment.
PMID- 27188460
TI - Predicting Unprotected Sex and Unplanned Pregnancy among Urban African-American
Adolescent Girls Using the Theory of Gender and Power.
AB - Reproductive coercion has been hypothesized as a cause of unprotected sex and
unplanned pregnancies, but research has focused on a narrow set of potential
sources of reproductive coercion. We identified and evaluated eight potential
sources of reproductive coercion from the Theory of Gender and Power including
economic inequality between adolescent girls and their boyfriends, cohabitation,
and age differences. The sample comprised sexually active African-American female
adolescents, ages 15-21. At baseline (n = 715), 6 months (n = 607), and 12 months
(n = 605), participants completed a 40-min interview and were tested for semen Y
chromosome with polymerase chain reaction from a self-administered vaginal swab.
We predicted unprotected sex and pregnancy using multivariate regression
controlling for demographics, economic factors, relationship attributes, and
intervention status using a Poisson working model. Factors associated with
unprotected sex included cohabitation (incidence risk ratio (IRR) 1.48, 95 %
confidence interval (1.22, 1.81)), physical abuse (IRR 1.55 (1.21, 2.00)),
emotional abuse (IRR 1.31 (1.06, 1.63)), and having a boyfriend as a primary
source of spending money (IRR 1.18 (1.00, 1.39)). Factors associated with
unplanned pregnancy 6 months later included being at least 4 years younger than
the boyfriend (IRR 1.68 (1.14, 2.49)) and cohabitation (2.19 (1.35, 3.56)). Among
minors, cohabitation predicted even larger risks of unprotected sex (IRR 1.93
(1.23, 3.03)) and unplanned pregnancy (3.84 (1.47, 10.0)). Adolescent
cohabitation is a marker for unprotected sex and unplanned pregnancy, especially
among minors. Cohabitation may have stemmed from greater commitment, but the
shortage of affordable housing in urban areas could induce women to stay in
relationships for housing. Pregnancy prevention interventions should attempt to
delay cohabitation until adulthood and help cohabiting adolescents to find
affordable housing.
PMID- 27188462
TI - Comparing mutation rates under the Luria-Delbruck protocol.
AB - Comparison of microbial mutation rates under the Luria-Delbruck protocol is a
routine laboratory task. However, execution of this important task has been
hampered by the lack of proper statistical methods. Visual inspection or improper
use of the t test and the Mann-Whitney test can impair the quality of genetic
research. This paper proposes a unified framework for constructing likelihood
ratio tests that overcome three important obstacles to the proper comparison of
microbial mutation rates. Specifically, algorithms for likelihood ratio tests
have been devised that allow for partial plating, differential growth rates and
unequal terminal cell population sizes. The new algorithms were assessed by
computer simulations. In addition, a strategy for multiple comparison was
illustrated by reanalyzing the experimental data from a study of bacterial
resistance against tuberculosis antibiotics.
PMID- 27188463
TI - Clinicopathological Features of Colorectal Cancer Patients Under the Age of 50:
Recent Experience and Case-Control Study of Prognosis in a Japanese Cohort.
AB - BACKGROUND/AIMS: The incidence of colorectal cancer (CRC) in young people is
increasing, indicating an urgent need for understanding young-onset CRC. This
study serves to clarify the characteristics and prognosis of young CRC patients.
METHODS: Using a detailed database, various clinicopathological findings
including symptoms, family history and prognosis of 70 young CRC patients under
50 years who underwent surgical resection between 2005 and 2011 were compared to
786 old CRC patients over 50 years. For the comparison of prognosis, a matched
1:4 case-control study adjusting for gender, tumor location, tumor
differentiation type, and Union For International Cancer Control stage was
conducted. RESULTS: The young group had a higher proportion of rectal cancer
(51.4 vs. 36.4%, p = 0.004) and a higher positive rate for the Amsterdam criteria
II (7.1 vs. 1.9%, p = 0.02) than the old group. There was no significant
difference in overall survival (OS) or cancer-specific survival (CSS) between the
young and old groups (5-year OS: 84.7 vs. 76.9%, p = 0.18; 5-year CSS: 84.7 vs.
82.8%, p = 0.55). CONCLUSION: This study may add a new perspective to
understanding young-onset CRC. The prognosis of young CRC patients was equivalent
to that of old CRC patients.
PMID- 27188464
TI - Sustainable, Rapid Synthesis of Bright-Luminescent CuInS2-ZnS Alloyed
Nanocrystals: Multistage Nano-xenotoxicity Assessment and Intravital Fluorescence
Bioimaging in Zebrafish-Embryos.
AB - Near-infrared (NIR) luminescent CuInS2-ZnS alloyed nanocrystals (CIZS-NCs) for
highly fluorescence bioimaging have received considerable interest in recent
years. Owing, they became a desirable alternative to heavy-metal based-NCs and
organic dyes with unique optical properties and low-toxicity for bioimaging and
optoelectronic applications. In the present study, bright and robust CIZS-NCs
have been synthesized within 5 min, as-high-as 230 degrees C without requiring
any inert-gas atmosphere via microwave-solvothermal (MW-ST) method. Subsequently,
the in vitro and in vivo nano-xenotoxicity and cellular uptake of the MUA
functionalized CIZS-NCs were investigated in L929, Vero, MCF7 cell lines and
zebrafish-embryos. We observed minimal toxicity and acute teratogenic
consequences upto 62.5 MUg/ml of the CIZS-NCs in zebrafish-embryos. We also
observed spontaneous uptake of the MUA-functionalized CIZS-NCs by 3 dpf older
zebrafish-embryos that are evident through bright red fluorescence-emission at a
low concentration of 7.8 MUg/mL. Hence, we propose that the rapid, low-cost,
large-scale "sustainable" MW-ST synthesis of CIZS-NCs, is an ideal bio-nanoprobe
with good temporal and spatial resolution for rapid labeling, long-term in vivo
tracking and intravital-fluorescence-bioimaging (IVBI).
PMID- 27188461
TI - Mediator subunit Med12 contributes to the maintenance of neural stem cell
identity.
AB - BACKGROUND: The RNA polymerase II transcriptional Mediator subunit Med12 is
broadly implicated in vertebrate brain development, and genetic variation in
human MED12 is associated with X-linked intellectual disability and
neuropsychiatric disorders. Although prior studies have begun to elaborate the
functional contribution of Med12 within key neurodevelopmental pathways, a more
complete description of Med12 function in the developing nervous system,
including the specific biological networks and cellular processes under its
regulatory influence, remains to be established. Herein, we sought to clarify the
global contribution of Med12 to neural stem cell (NSC) biology through unbiased
transcriptome profiling of mouse embryonic stem (ES) cell-derived NSCs following
RNAi-mediated Med12 depletion. RESULTS: A total of 240 genes (177 up, 73 down)
were differentially expressed in Med12-knockdown versus control mouse NS-5 (mNS
5) NSCs. Gene set enrichment analysis revealed Med12 to be prominently linked
with "cell-to-cell interaction" and "cell cycle" networks, and subsequent
functional studies confirmed these associations. Targeted depletion of Med12 led
to enhanced NSC adhesion and upregulation of cell adhesion genes, including
Syndecan 2 (Sdc2). Concomitant depletion of both Sdc2 and Med12 reversed enhanced
cell adhesion triggered by Med12 knockdown alone, confirming that Med12
negatively regulates NSC cell adhesion by suppressing the expression of cell
adhesion molecules. Med12-mediated suppression of NSC adhesion is a dynamically
regulated process in vitro, enforced in self-renewing NSCs and alleviated during
the course of neuronal differentiation. Accordingly, Med12 depletion enhanced
adhesion and prolonged survival of mNS-5 NSCs induced to differentiate on
gelatin, effects that were bypassed completely by growth on laminin. On the other
hand, Med12 depletion in mNS-5 NSCs led to reduced expression of G1/S phase cell
cycle regulators and a concordant G1/S phase cell cycle block without evidence of
apoptosis, resulting in a severe proliferation defect. CONCLUSIONS: Med12
contributes to the maintenance of NSC identity through a functionally bipartite
role in suppression and activation of gene expression programs dedicated to cell
adhesion and G1/S phase cell cycle progression, respectively. Med12 may thus
contribute to the regulatory apparatus that controls the balance between NSC self
renewal and differentiation, with important implications for MED12-linked
neurodevelopmental disorders.
PMID- 27188465
TI - Agmatine Modulation of Noradrenergic Neurotransmission in Isolated Rat Blood
Vessels.
AB - Agmatine, a vasoactive metabolite of L-arginine, is widely distributed in
mammalian tissues including blood vessels. Agmatine binding to imidazoline and
alpha2-adrenoceptors induces a variety of physiological and pharmacological
effects. We investigated the effect of agmatine on contractile responses of the
rat pulmonary artery and portal vein induced by electrical stimulation of
perivascular nerves and by exogenous adrenergic substances. Experiments were
performed on isolated segments of rat main pulmonary artery and its extralobular
branches, and portal vein suspended in organ bath containing modified Krebs
bicarbonate solution and connected to a force-displacement transducer for
isometric tension recording. Electrical field stimulation (EFS) produced
tetrodotoxin-sensitive contractile responses of pulmonary artery and portal vein.
Besides the well known vasorelaxant actions, we found that agmatine also produced
a concentration-dependent inhibition of neurogenic contractions induced by EFS in
pulmonary arteries; however, the agmatine treatment did not influence the
responses to exogenous noradrenaline. The inhibitory effect on EFS-induced
contractions was not abolished by the alpha2-adrenoceptor antagonist rauwolscine.
In portal vein, in contrast, agmatine increased spontaneous mechanical
contractions and enhanced the contractions induced by EFS. The results suggest
that agmatine can significantly influence vascular function of pulmonary arteries
and portal veins by modulating sympathetically mediated vascular contractions by
pre- and postsynaptic mechanisms.
PMID- 27188466
TI - Improved Race Times in Marathoners Older than 75 Years in the Last 25 Years in
the World's Largest Marathons.
AB - Performance trends of elite marathoners are well investigated. However,
performance of elderly marathoners (> 75 years) competing in the world's largest
city marathons is not well-known. We examined marathon race data of 1,691
marathon finishes (i.e. 218 women and 1,473 men) competing between 1990 and 2014
in 5-year age groups 75-79, 80-84, 85-89, and 95-99 years in four races (Berlin,
New York, Chicago and Boston) of the 'World Marathon Majors'. The number of
female (r2 = 0.50, P < 0.0001) and male (r2 = 0.88, P < 0.0001) finishers
increased significantly across years. The number of women (r2 = 0.36, P = 0.0019)
and men (r2 = 0.88, P < 0.0001) in age group 75-79 years increased. In age group
80-84 years, the number of women (r2 = 0.36, P = 0.0111) and men (r2 = 0.54, P <
0.0001) also increased. In age groups 85-89 to 95-99 years, however, the number
of female and male finishers remained unchanged. Across years, women (r2 = 0.26,
P = 0.0090) and men (r2 = 0.31, P = 0.0035) reduced their race times. Women and
men in age group 75-79 years improved race times. In age groups 80-84 to 90-94
years, women and men were not able to reduce race times. In summary,
participation increased and performance improved in female and male marathoners
competing in age groups 75-79 to 95-99 years where the largest increases in
participation and the largest improvements in performance were found in women and
men in age group 75-79 years.
PMID- 27188467
TI - Ca2+ Movement Induced by Deltamethrin in PC3 Human Prostate Cancer Cells.
AB - This study explored the effect of deltamethrin, a pesticide, on intracellular
free Ca2+ concentration ([Ca2+]i) in PC3 human prostate cancer cells.
Deltamethrin at concentrations between 5 MUM and 20 MUM evoked [Ca2+]i rises in a
concentration-dependent manner. This Ca2+ signal was inhibited by 22% by removal
of extracellular Ca2+. Nifedipine, econazole, and SKF96365 also inhibited the
Ca2+ signal. Treatment with the endoplasmic reticulum Ca2+ pump inhibitor 2,5-di
tert-butylhydroquinone (BHQ) in Ca2+-free medium nearly abolished deltamethrin
induced [Ca2+]i rises. Treatment with deltamethrin also inhibited most of BHQ
induced [Ca2+]i rises. Inhibition of phospholipase C (PLC) with U73122 failed to
alter deltamethrin-evoked [Ca2+]i rises. Deltamethrin killed cells at
concentrations of 20-100 MUM in a concentration-dependent fashion. Chelation of
cytosolic Ca2+ with 1,2-bis (2-aminophenoxy) ethane-N, N, N', N'-tetraacetic
acid/acetoxymethyl ester (BAPTA/AM) did not prevent deltamethrin's cytotoxicity.
Together, in PC3 human prostate cancer cells, deltamethrin induced [Ca2+]i rises
that involved Ca2+ entry through store-operated Ca2+ channels and PLC-independent
Ca2+ release from the endoplasmic reticulum. Deltamethrin induced cytotoxicity in
a Ca2+-independent manner.
PMID- 27188469
TI - Improvement of Acetylcholine-Induced Vasodilation by Acute Exercise in
Ovariectomized Hypertensive Rats.
AB - Postmenopause is associated with the development of cardiovascular disease, such
as hypertension. However, limited information is available regarding effects of
exercise on cardiovascular responses and its underlying mechanisms in the
simultaneous postmenopausal and hypertensive status. We aimed to investigate
whether acute exercise could enhance vasodilation mediated by acetylcholine (ACh)
and sodium nitroprusside (SNP) in ovariectomized hypertensive rats. The fifteen
week-old female spontaneously hypertensive rats (SHR) were bilaterally
ovariectomized, at the age of twenty-four weeks, and randomly divided into
sedentary (SHR-O) and acute exercise (SHR-OE) groups. Age-matched WKY rats were
used as the normotensive control group. The SHR-OE group ran on a motor-driven
treadmill at a speed of 24 m/min for one hour in a moderate-intensity program.
Following a single bout of exercise, rat aortas were isolated for the evaluation
of the endothelium-dependent (ACh-induced) and endothelium-independent (SNP
induced) vasodilation by the organ bath system. Also, the serum levels of
oxidative stress and antioxidant activities, including malondialdehyde (MDA),
superoxide dismutase (SOD), and catalase, were measured after acute exercise
among the three groups. We found that acute exercise significantly enhanced the
ACh-induced vasodilation, but not the SNP-induced vasodilation, in ovariectomized
hypertensive rats. This increased vasodilation was eliminated after the
inhibition of nitric oxide synthase (NOS). Also, the activities of SOD and
catalase were significantly increased after acute exercise, whereas the level of
MDA was comparable among the three groups. These results indicated that acute
exercise improved the endothelium-dependent vasodilating response to ACh through
the NOS-related pathway in ovariectomized hypertensive rats, which might be
associated with increased serum antioxidant activities.
PMID- 27188468
TI - The Role of Gastrodin on Hippocampal Neurons after N-Methyl-D-Aspartate
Excitotoxicity and Experimental Temporal Lobe Seizures.
AB - Tian ma (Gastrodia elata, GE) is an ancient Chinese herbal medicine that has been
suggested to be effective as an anticonvulsant and analgesic, and to have
sedative effects against vertigo, general paralysis, epilepsy and tetanus. The
primary active ingredient isolated from GE is termed gastrodin, which is the
glucoside of 4-hydroxybenzyl alcohol (4-HBA). Gastrodin can abolish hypoxia-,
glutamate- and N-methyl-D-aspartate (NMDA) receptor-induced toxicity in primary
culture of rat cortical neurons, and reduces seizure severity in seizure
sensitive gerbils. We evaluated the effect of gastrodin on NMDA excitotoxicity in
hippocampal slice cultures (HSCs) with propidium iodide (PI) fluorescence
measurement. We also evaluated the effects of gastrodin for treating active in
vivo temporal lobe seizures induced by lithium/pilocarpine. Seizure severity,
time span to seizure onset, mortality rate and hippocampal histology for
survivors were compared. The effect of gastrodin was evaluated for treating in
vitro seizures induced by Mg2+-free medium in hippocampal slices. Frequencies and
amplitudes of epileptiform discharges were compared. The effect of gastrodin on
synaptic transmission was evaluated on hippocampal CA1 Schaffer collaterals.
Application of 25 MUM gastrodin significantly suppressed NMDA excitotoxicity in
CA3 but not in CA1 hippocampus and dentate gyrus. Intraventricular gastrodin
accelerated seizure onset for 12 min after intraperitoneal pilocarpine injection
(P = 0.051). Three of five rats (60%) in the gastrodin group, and three of four
(75%) in the dimethyl sulfoxide (DMSO) group died within 3 days after status
epilepticus (SE). Gastrodin also failed to inhibit epileptiform discharges in
hippocampal slices induced by Mg2+-free medium, believed to be NMDA receptor
mediated spontaneous activity. The frequencies of the spontaneous epileptiform
discharges were similar under treatments with 25 MUM gastrodin, 200 MUM gastrodin
and DMSO. For the evaluation of gastrodin on synaptic transmission, application
of DMSO, 25 MUM or 200 MUM gastrodin had no significant effect on excitatory
postsynaptic potential (EPSP) slopes. Gastrodin at 200 MUM decreased paired-pulse
facilitation (PPF) from 1.23 +/- 0.04 to 1.12 +/- 0.04 (P = 0.002). In
conclusion, gastrodin failed to suppress in vivo and in vitro seizures in our
study. Gastrodin showed no effect on hippocampal Schaffer collateral EPSP. These
findings suggest that gastrodin does not interact with ionotropic glutamate
receptors to inhibit NMDA receptor-facilitated seizures. However, gastrodin
showed protective effects against NMDA toxicity on cultured hippocampal slices.
Nevertheless, gastrodin is still a potential neuroprotective agent against NMDA
excitotoxicity, with potential benefits for stroke and patients with epilepsy.
PMID- 27188472
TI - Increasing prevalence of scarlet fever in China.
PMID- 27188473
TI - The Ethics of Gender in Pediatric Reconstructive Urology.
PMID- 27188470
TI - Pre- and Post-Race Hydration Status in Hyponatremic and Non-Hyponatremic Ultra
Endurance Athletes.
AB - The monitoring of body mass (BM), plasma sodium concentration ([Na+]) and urinary
specific gravity (Usg) are commonly used to help detect and prevent over- or
dehydration in endurance athletes. We investigated pre-and post-race hydration
status in 113 amateur 24-h ultra-runners, 100-km ultra-runners, multi-stage
mountain bikers and 24-h mountain bikers, which drank ad libitum without any
intervention and compared results of hyponatremic and non-hyponatremic finishers.
On average, pre-race plasma [Na+] and both pre- and post-race levels of Usg and
BM were not significantly different between both groups. However, nearly 86% of
the post-race hyponatremic (exercise-associated hyponatremia, EAH) and 68% of the
normonatremic (non-EAH) ultra-athletes probably drank prior the race greater
volumes than their thirst dictated regarding to individual pre-race Usg levels.
Fluid intake during the race was equal and was not related to plasma [Na+], Usg
or BM changes. A significant decrease in post-race plasma [Na+], BM and an
increasement in post-race Usg was observed in EAH and non-EAH finishers.
Moreover, pre-race plasma [Na+] was inversely associated with post-race
percentage change in plasma [Na+], and pre-race Usg and urinary [Na+] with
percentage change in Usg in both groups with and without post-race EAH. Thirteen
(11.5%) finishers developed post-race EAH (plasma [Na+] < 135 mM). The incidence
of EAH in ultra-endurance athletes competing in the Czech Republic was higher
than reported previously.
PMID- 27188477
TI - Gleason Score 7: When Qualitative Change Becomes Quantitative Change.
PMID- 27188476
TI - External Evaluation of a Novel Prostate Cancer Risk Calculator (ProstateCheck)
Based on Data from the Swiss Arm of the ERSPC.
AB - PURPOSE: We externally validated a novel prostate cancer risk calculator based on
data from the Swiss arm of the ERSPC and assessed whether the risk calculator
(ProstateCheck) is superior to the PCPT-RC and SWOP-RC in an independent Swiss
cohort. MATERIALS AND METHODS: Data from all men who underwent prostate biopsy at
an academic tertiary care center between 2004 and 2012 were retrospectively
analyzed. The probability of having any prostate cancer or high grade prostate
cancer (Gleason score 7 or greater) on prostate biopsy was calculated using the
ProstateCheck. Risk calculator performance was assessed using calibration and
discrimination, and additionally compared with the PCPT-RC and SWOP-RC by
decision curve analyses. RESULTS: Of 1,615 men 401 (25%) were diagnosed with any
prostate cancer and 196 (12%) with high grade prostate cancer. Our analyses of
the ProstateCheck-RC revealed good calibration in the low risk range (0 to 0.4)
and moderate overestimation in the higher risk range (0.4 to 1) for any and high
grade prostate cancer. The AUC for the discrimination of any prostate cancer and
high grade prostate cancer was 0.69 and 0.72, respectively, which was slightly
but significantly higher compared to the PCPT-RC (0.66 and 0.69, respectively)
and SWOP-RC (0.64 and 0.70, respectively). Decision analysis, taking into account
the harms of transrectal ultrasound measurement of prostate volume, showed little
benefit for ProstateCheck-RC, with properties inferior to those of the PCPT-RC
and SWOP-RC. CONCLUSIONS: Our independent external evaluation revealed moderate
performance of the ProstateCheck-RC. Its clinical benefit is limited, and
inferior to that of the PCPT-RC and SWOP-RC.
PMID- 27188478
TI - Fitness consequences of artificial selection on relative male genital size.
AB - Male genitalia often show remarkable differences among related species in size,
shape and complexity. Across poeciliid fishes, the elongated fin (gonopodium)
that males use to inseminate females ranges from 18 to 53% of body length.
Relative genital size therefore varies greatly among species. In contrast, there
is often tight within-species allometric scaling, which suggests strong selection
against genital-body size combinations that deviate from a species' natural line
of allometry. We tested this constraint by artificially selecting on the
allometric intercept, creating lines of males with relatively longer or shorter
gonopodia than occur naturally for a given body size in mosquitofish, Gambusia
holbrooki. We show that relative genital length is heritable and diverged 7.6
8.9% between our up-selected and down-selected lines, with correlated changes in
body shape. However, deviation from the natural line of allometry does not affect
male success in assays of attractiveness, swimming performance and, crucially,
reproductive success (paternity).
PMID- 27188479
TI - Major adverse cardiovascular events with basal insulin peglispro versus
comparator insulins in patients with type 1 or type 2 diabetes: a meta-analysis.
AB - BACKGROUND: To identify possible differences in cardiovascular (CV) risk among
different insulin therapies, we performed pre-specified meta-analyses across the
clinical program for basal insulin peglispro (BIL), in patients randomized to
treatment with BIL or comparator insulin [glargine (IG) or NPH]. METHODS: One
phase 2 (12-week) and 6 phase 3 (26 to 78-week) randomized studies of BIL
compared to IG or NPH, in patients with type 1 or type 2 diabetes, were included.
The participants were diverse with respect to demographics, baseline glycemic
control, and concomitant disease or medications, but treatment groups were
comparable in each study. For any potential CV or neurovascular event, relevant
medical information was provided to a blinded external clinical events committee
(C5Research, Cleveland Clinic, Cleveland, OH, USA) for adjudication. Cox
regression analysis was used to compare treatment groups. The primary endpoint
was a composite of adjudicated MACE+ [CV death, myocardial infarction (MI),
stroke, or hospitalization for unstable angina]. RESULTS: The pooled population
included 5862 patients in the safety evaluation, with randomization to BIL:IG:NPH
of 3578:2072:212. Mean age was 54.1 years, 27 % had type 1 diabetes, 56 % were
male, and 88 % were white. Baseline demographic and clinical characteristics,
including use of statins or other lipid-lowering drugs, were comparable between
BIL and comparators. A total of 83 patients experienced at least 1 MACE+ and 70
patients experienced at least 1 MACE (CV death, MI, or stroke). Overall, there
were no treatment-associated differences in time to MACE+ [hazard ratio (HR) for
BIL versus comparator insulin (95 % CI): 0.82 (0.53-1.27)] or MACE [0.83 (0.51
1.33)]. In 4297 patients with type 2 diabetes, there were 71 MACE+ events [HR:
1.02 (95 % CI: 0.63-1.65), p = 0.94]. In 1565 patients with type 1 diabetes,
there were only 12 MACE+ [0.24 (0.07-0.85), p = 0.027]. There were no differences
in all-cause death between BIL and comparators. Sub-group analyses did not
identify any sub-population with increased risk with BIL versus comparator
insulins. CONCLUSIONS: Treatment with BIL versus comparator insulin in patients
with type 1 diabetes or type 2 diabetes was not associated with increased risk
for major CV events in the studies analyzed.
PMID- 27188480
TI - The structure and in situ synthesis investigation of isomorphic mononuclear
molecular metal phenylphosphonates.
AB - We describe a fast and effective synthesis for molecular metal phosphonates.
Isomorphic compounds [M(ii)(HO3PPh)2(H2O3PPh)2(H2O)2] (M = Mn (1), Co (2), Ni
(3); Ph = C6H5) were obtained by grinding. The complexes are mononuclear
compounds containing neutral and monodeprotonated phenylphosphonic acid and water
as ligands. The crystal structures were determined using powder X-ray diffraction
(PXRD) data and validated by extended X-ray absorption fine structure (EXAFS)
data. Combined synchrotron XRD measurements and Raman spectroscopy were conducted
for investigating the reactions in situ. Based on these data, the intermediates
were characterized and the formation mechanism was derived.
PMID- 27188474
TI - Treatment of Patients with Positive Margins after Partial Nephrectomy.
PMID- 27188482
TI - [Further understanding on myocardial damage in the early stage post severe burn
and its clinical significance].
AB - A series of studies demonstrated that myocardial damage and cardiac dysfunction
occurs immediately following severe burn, even before significant reduction in
blood volume due to increased capillary permeability. Such myocardial damage and
cardiac dysfunction leads to cardiac deficiency, and it is a precipitating factor
for burn shock and ischemic/hypoxic injury. In recent years, many experimental
and clinical studies elucidated the pathogenesis and confirmed the clinical
importance of prevention and treatment of"shock heart"in the early stage post
severe burn.
PMID- 27188481
TI - Perinatal outcomes of vacuum assisted versus cesarean deliveries for prolonged
second stage of delivery at term.
AB - INTRODUCTION: To compare perinatal outcomes of interventions for prolonged second
stage of labor. MATERIALS AND METHODS: Retrospective cohort study, in a single,
university-affiliate, medical center (2007-2014). Eligibility: singleton
gestations at term, diagnosed with prolonged second stage of labor and head
station of S + 1 and lower. We compared perinatal outcomes of cesarean deliveries
(CD) with vacuum assisted deliveries (VAD). RESULTS: Of 62 102 deliveries, 3449
(5.6%) were eligible: 356 (10.3%) underwent CD and 3093 (89.7%) underwent VAD.
The rate of five-minute Apgar scores <7 was higher in the CD group as well as
rates of NICU admission, neonatal asphyxia and composite neonatal adverse
outcome. After adjusting for different confounders, CD was associated with
adverse neonatal composite outcome (aOR 1.57, 95% CI 1.21-2.05, p = 0.001) and
VAD with cephalhematoma (aOR 4.06, 95% CI 2.64-6.25, p < 0.001). No other
differences were found between the groups with regards to other traumatic
outcomes. CONCLUSION: Our data suggests that in deliveries complicated by
prolonged second stage, CD yield poorer neonatal outcome than VAD, with no
apparent major difference in traumatic composite outcome.
PMID- 27188471
TI - Adherence to Alternative Healthy Eating Index in relation to depression and
anxiety in Iranian adults.
AB - Earlier studies have shown a protective association between adherence to healthy
eating guidelines and mental disorders in Western nations; however, data in this
regard are limited from the understudied region of Middle East. We examined the
association between adherence to healthy eating guidelines, as measured by
Alternative Healthy Eating Index (AHEI)-2010, and prevalence of anxiety and
depression in a large sample of Iranian adults. In this cross-sectional study,
data on dietary intakes of 3363 adult participants were collected using a
validated dish-based 106-item semi-quantitative FFQ. Adherence to healthy eating
was quantified using AHEI-2010, as suggested by earlier publications. The Iranian
validated version of Hospital Anxiety and Depression Scale was used to assess
anxiety and depression in study participants. Data on other covariates were
gathered using a pre-tested questionnaire. Overall, the prevalence of anxiety and
depression was 15.2 % (males 10.8 % and females 18.3 %) and 30.0 % (males 22.9 %
and females 35.1 %), respectively. After controlling for potential confounders,
those in the top quartile of AHEI-2010 had a 49 % lower chance of anxiety (OR
0.51; 95 % CI 0.35, 0.72) and a 45 % lower odds of depression (OR 0.55; 95 % CI
0.42, 0.72), compared with those in the bottom quartile. Stratified analysis by
sex revealed that women in the highest categories of AHEI-2010 had a 49 % lower
odds of having anxiety and depression, after adjustment for confounders, but no
significant association was found in men. In addition, among individuals who were
40 years old or younger, those with high adherence to AHEI-2010 were 58 and 51 %
less likely to have anxiety and depression, compared with those with less
adherence. Adherence to healthy eating was inversely associated with a lower
chance of anxiety and depression in Iranian adults. Prospective studies are
required to confirm these associations in Middle-Eastern populations.
PMID- 27188483
TI - [Retrospective study on the myocardial damage of 252 patients with severe burn].
AB - OBJECTIVE: To retrospectively analyze the risk factors and clinical
manifestations of myocardial damage of patients with severe burn in order to
provide evidence for its prevention and treatment. METHODS: Two hundred and fifty
two patients with severe burn admitted to 5 burn centers from January 2010 to
June 2015, conforming to the study criteria, were treated in accordance with the
fluid resuscitation formula of the Third Military Medical University. According
to the creatine kinase isoenzyme-MB (CK-MB) level before treatment on admission,
patients were divided into non-myocardial damage group (n=118, CK-MB level less
than 75 U/mL) and myocardial damage group (n=134, CK-MB level higher than or
equal to 75 U/mL). Data of patients in two groups were collected and evaluated
such as gender, age, body mass, number of patients with chemical burn, admission
time after injury, total burn area, full-thickness burn area, number of patients
with inhalation injury, levels of haemoglobin, hematocrit, and blood lactate on
admission and at post injury hour (PIH) 24 and 48, volumes of urine output and
fluid input at PIH 24 and 48, levels of creatinine, urea nitrogen, total bile
acid, diamine oxidase on admission and at PIH 24 and 48, and mortality.
Furthermore, patients were divided into three groups, i. e. less than 50% total
body surface area (TBSA) group (n=110), larger than or equal to 50% TBSA and less
than 80% TBSA group (n=83), and larger than or equal to 80% TBSA group (n=59)
according to the total burn area, and the incidence rates of myocardial damage in
patients of three groups were recorded. Data were processed with chi-square test,
t test, Wilcoxon test, analysis of variance for repeated measurement, and the
values of P were adjusted by Bonferroni. Basic data of 252 patients were
processed with binary logistic regression analysis. Receiver operating
characteristic curve of total burn area of 252 patients was drawn to predict
myocardial damage. RESULTS: (1) There were no statistically significant
differences in age, body mass, number of patients with chemical burn, number of
patients with inhalation injury, and full-thickness burn area between two groups
(with t values respectively 0.20 and 0.31, chi(2) values respectively 0.49 and
4.10, Z=1.42, P values above 0.05). There were statistically significant
differences in gender, admission time after injury, and total burn area of
patients between two groups (chi(2)=5.00, with t values respectively 2.44 and
3.13, P<0.05 or P<0.01). (2) Gender, admission time after injury, and total burn
area were independent risk factors related to myocardial damage in the patients
(with odds ratios respectively 2.608, 3.620, and 1.030; 95% confidence intervals
respectively 1.315-5.175, 1.916-6.839, and 1.011-1.049; P values below 0.01). (3)
The incidence rates of myocardial damage of patients in less than 50% TBSA group,
larger than or equal to 50% TBSA and less than 80% TBSA group, and larger than or
equal to 80% TBSA group were 38.2% (42/110), 54.2% (45/83), and 61.0% (36/59)
respectively, and there was statistically significant difference among them
(chi(2)=9.46, P<0.05). (4) The total area under receiver operating characteristic
curve of total burn area to predict myocardial damage of 252 patients was 0.706
(with 95% confidence interval 0.641-0.772, P<0.01), and 51.5% TBSA was chosen as
the optimal threshold value, with sensitivity of 62.6% and specificity of 65.3%.
(5) Compared with those in non-myocardial damage group, except the levels of
haemoglobin and hematocrit at PIH 48 (with t values respectively -0.76 and -0.61,
P values above 0.05), the levels of haemoglobin, hematocrit, and blood lactate of
patients in myocardial damage group were significantly increased at each time
point (with t values from -2.80 to -2.06, P<0.05 or P<0.01). Compared with those
in non-myocardial damage group, the volume of urine output of patients was
significantly declined (with t values respectively 2.05 and 3.68, P<0.05 or
P<0.01), while the volume of fluid input of patients was not obviously changed in
myocardial damage group at PIH 24 and 48 (with t values respectively 1.01 and
1.08, P values above 0.05). (6) Compared with those in non-myocardial damage
group, the level of creatinine of patients was significantly increased on
admission and at PIH 24 and 48 (with Z values from -2.91 to -1.99, P<0.05 or
P<0.01), the level of urea nitrogen of patients was only significantly increased
at PIH 24 and 48 (with t values respectively -4.75 and -5.24, P values below
0.01), the level of total bile acid of patients was not obviously changed on
admission and at PIH 24 and 48 (with t values from -0.81 to -0.20, P values above
0.05), and the level of diamine oxidase of patients was only significantly
increased on admission and PIH 24 in myocardial damage group (with t values
respectively -3.97 and -2.02, P<0.05 or P<0.01). (7) Compared with that in
myocardial damage group, the mortality of patients in non-myocardial damage group
was significantly declined (chi(2)=5.81, P<0.05). CONCLUSIONS: Patients with
severe burn have high incidence of myocardial damage, which may be predicted by
total burn area. Severely burned patients with myocardial damage are more likely
to suffer from decline of effective circulating volume, tissue oxygenation
disorders, and damage in other organs in shock stage.
PMID- 27188487
TI - [Advances in the research of early goal-directed therapy in severe sepsis and
septic shock].
AB - Nowadays, severe infection has become one of the common problems in clinic. The
morbidity of severe sepsis and septic shock is increasing, which becomes a big
threat to patients with burn wounds or chronic diseases. It has become a key
subject about how to cure severe sepsis and septic shock. In recent years,
mortality of patients in such condition has declined slightly, which might be
attributed to the application of early goal-directed therapy (EGDT) in certain
degree. This article reviews application of EGDT in severe sepsis and septic
shock, in order to analyze its effectiveness and boundedness, as well as predict
its development.
PMID- 27188485
TI - [Effects of extracellular heat shock protein 70 on intestinal immune function of
rats with severe scald injury].
AB - OBJECTIVE: To explore the change in the expression of extracellular heat shock
protein 70 (eHSP70) and interleukin 2 (IL-2) and their correlation in intestine
of rats with severe scald injury, and to observe the effects of eHSP70 on CD3(+)
T lymphocytes in Peyer's patch of intestine in rats with severe scald injury in
vitro. METHODS: (1) Sixty male SD rats were divided into normal control group
(NC, n=10, only anesthetized) and scald group (S, n=50) according to the random
number table. Rats in scald group were inflicted with 30% total body surface area
full-thickness scald on the back. Ten rats from group NC immediately after
anesthetization and 10 rats from group S at post injury hour (PIH) 3, 6, 12, 24,
48 were sacrificed to harvest their small intestines. The expressions of eHSP70
and IL-2 were determined with enzyme-linked immunosorbent assay (ELISA), and
their correlation was analyzed. (2) Another 2 male SD rats were inflicted with
the same injury as above. At PIH 12, CD3(+) T lymphocytes in Peyer's patch of
small intestine were isolated and cultured with RPMI 1640 nutrient solution
containing 10% fetal bovine serum. Cells were divided into blank control group
(BC) and 5, 10, 20 MUg/mL eHSP70 groups according to the random number table,
with 6 wells in each group. Cells in group BC didn't receive any other treatment,
while cells in the latter three groups were treated with corresponding mass
concentration of recombinant rat eHSP70. After being cultured for 48 hours, the
proportions of Th1 and Th2 in CD3(+) T lymphocytes, and the apoptosis rate of
CD3(+) T lymphocytes were detected with flow cytometer, while the expressions of
IL-2 and IL-10 in culture supernatant of cells were determined with ELISA. The
cell experiments were repeated for 10 times. Data were processed with one-way
analysis of variance, Kruskal-Wallis rank sum test, SNK-q test, and Pearson
correlation analysis. RESULTS: (1) Compared with those in group NC [(1 278+/-135)
and (48.6+/-4.9) ng/mg], the levels of eHSP70 [(728+/-93), (412+/-31), (314+/
21), (528+/-40), (1 028+/-97) ng/mg] and IL-2 [(38.6+/-2.3), (32.3+/-1.0),
(25.3+/-3.6), (33.9+/-4.1), (44.3+/-2.6) ng/mg] in intestine of rats in group S
obviously decreased at PIH 3, 6, 12, 24, 48 (with q values from 3.48 to 5.32, P
values below 0.05), reaching the nadir both at PIH 12, with a significantly
positive correlation between the level of IL-2 and the level of eHSP70 (r=0.920,
P<0.01). (2) Compared with those in group BC [(8.6+/-1.1)% and (3.75+/-0.45)%],
the proportion of Th1 obviously increased [(11.3+/-2.1)%, (15.7+/-1.8)%, (10.8+/
1.5)%, with q values from 2.97 to 4.57, P values below 0.05], while the
proportion of Th2 obviously decreased [(2.39+/-0.38)%, (1.05+/-0.23)%, (2.67+/
0.26)%, with q values from 2.48 to 4.32, P values below 0.05] in CD3(+) T
lymphocytes of rats in 5, 10, 20 MUg/mL eHSP70 groups. Compared with those in
group BC [(34.3+/-2.2)% and (254+/-16) pg/mL], the apoptosis rate of CD3(+) T
lymphocytes obviously decreased [(26.1+/-2.6)%, (20.7+/-1.5)%, (31.5+/-2.4)%,
with q values from 3.47 to 4.95, P values below 0.05], while the level of IL-2
obviously increased [(417+/-22), (587+/-19), (307+/-27) pg/mL, with q values from
3.02 to 4.98, P values below 0.05] in culture supernatant of CD3(+) T lymphocytes
of rats in 5, 10, 20 MUg/mL eHSP70 groups. There was no significant difference in
the level of IL-10 in culture supernatant of CD3(+) T lymphocytes of rats among
the four groups (F=2.12, P>0.05). CONCLUSIONS: The expressions of eHSP70 and IL-2
in intestine of rats are decreased after severe scald, with a obviously positive
correlation between them. eHSP70 can promote the differentiation of CD3(+) T
lymphocytes in Th1 orientation, decrease the apoptosis rate of the cells, and
promote the release of IL-2 of cells in Peyer's patch of intestine in rats with
severe scald injury in vitro.
PMID- 27188486
TI - [Efficacy of fenofibrate for hepatic steatosis in rats after severe burn].
AB - OBJECTIVE: To observe the efficacy of fenofibrate for hepatic steatosis in rats
after severe burn. METHODS: Twenty-seven male SD rats were divided into sham
injury group, burn group, and burn+ fenofibrate group according to the random
number table, with 9 rats in each group. Rats in sham injury group were sham
injured on the back by immersing in 37 C warm water for 15 s and then remained
without other treatment. Rats in burn group and burn+ fenofibrate group were
inflicted with 30% total body surface area full-thickness scald (hereinafter
referred to as burn) on the back by immersing in 98 C hot water for 15 s, and
then they were intraperitoneally injected with lactated Ringer's solution at post
injury hour (PIH) 1. From PIH 24 to post injury day (PID) 8, rats in burn+
fenofibrate group were treated with fenofibrate in the dose of 80 mg.kg(-1).d(
1), while those in burn group were treated with equivalent volume of saline. (1)
Three rats of each group were respectively selected on PID 4, 6, and 8 for the
collection of inferior vena caval blood samples. Serum content of total
cholesterol (TC), triglyceride (TG), free fatty acid (FFA), high density
lipoprotein (HDL), and low density lipoprotein (LDL) was determined with fully
automatic biochemical analyzer. Body mass of each rat was measured immediately
after blood sampling, and then rats were sacrificed to collect liver tissue for
weighing wet mass. The ratio of wet mass of liver tissue to body mass (liver
index) was calculated. Meanwhile, gross observation of liver was performed. (2)
One liver tissue sample was harvested from each rat at each time point to observe
histopathologic changes with HE staining. One liver tissue slice of each rat at
each time point was collected to evaluate degree of hepatic steatosis, and the
number of rats in each group in each grade of hepatic steatosis was recorded.
Measurement data were processed with analysis of variance of factorial design and
SNK test, and enumeration data were processed with Kruskal-Wallis test and
Nemenyi test. RESULTS: (1) The content of TC, TG, FFA, and HDL of rats in burn
group on PID 4 was obviously different from that in sham injury group (with P
values below 0.05). Compared with that in burn group, the content of TC, TG, and
FFA of rats was significantly decreased (with P values below 0.05), while the
content of HDL of rats was not obviously changed in burn+ fenofibrate group on
PID 4 (P>0.05). There were no obvious differences in the content of LDL of rats
among 3 groups on PID 4 (with P values above 0.05). The content of TC, TG, and
HDL of rats in burn group on PID 6 was obviously different from that in sham
injury group (with P values below 0.05). Compared with that in burn group, the
content of TC and TG of rats was significantly decreased (with P values below
0.05), while the content of HDL of rats was significantly increased in burn+
fenofibrate group on PID 6 (P<0.05). There were no obvious differences in the
content of FFA and LDL of rats among 3 groups on PID 6 (with P values above
0.05). The content of TC and HDL of rats in burn group on PID 8 was obviously
different from that in sham injury group (with P values below 0.05). Compared
with that in burn group, the content of TC of rats was significantly decreased
(P<0.05), while the content of HDL of rats was not obviously changed in burn+
fenofibrate group on PID 8 (P>0.05). There were no obvious differences in content
of TG, FFA, and LDL of rats among 3 groups on PID 8 (with P values above 0.05).
(2) The texture of liver tissue of rats in burn+ fenofibrate group at each time
point was tender and soft, without oil or fat on the section, which was close to
the gross condition of liver of rats in sham injury group. Dark yellow plaque
scattered on the surface of liver tissue of rats in burn group at each time point
with oil and fat on the section, which was especially obvious on PID 6. There was
no obvious difference in liver index of rats among 3 groups on PID 4 (F=1.63,
P>0.05). On PID 6 and 8, the liver indexes of rats in sham injury group, burn
group, and burn+ fenofibrate group were 0.0416+/-0.0016, 0.0533+/-0.0054, and
0.0370+/-0.0069; 0.0423+/-0.0034, 0.0624+/-0.0005, and 0.0444+/-0.0042
respectively. The liver indexes of rats in burn group on PID 6 and 8 were
significantly higher than those in the other two groups (with P values below
0.05). There were no obvious differences in the liver indexes of rats between
burn+ fenofibrate group and sham injury group on PID 6 and 8 (with P values above
0.05). (3) The liver tissue structure of rats in sham injury group was normal at
each time point. Hepatic steatosis of rats in burn group at each time point
appeared microvesicular and disperse, which was especially obvious on PID 6. Mild
hepatic steatosis was observed in rats of burn+ fenofibrate group on PID 4, and
then the structure of liver tissue gradually recovered to normal level from PID 6
on. The degree of hepatic steatosis of rats in sham injury group was 0 grade. One
rat in I grade, 1 rat in II grade, and 7 rats in III grade were observed in
hepatic steatosis of rats in burn group. Three rats in 0 grade, 4 rats in I
grade, and 2 rats in II grade were observed in hepatic steatosis of rats in burn+
fenofibrate group. The degree of hepatic steatosis of rats in burn group was more
severe than that in the other two groups (with chi(2) values respectively 56.25
and 162.44, P values below 0.05). The degree of hepatic steatosis of rats in
burn+ fenofibrate group was more severe than that in sham injury group
(chi(2)=27.51, P<0.05). CONCLUSIONS: Fenofibrate can ameliorate the dyslipidemia
of severely burned rat, and it can alleviate the degree of hepatic steatosis in
certain degree.
PMID- 27188484
TI - [Effects of ulinastatin on immune function of spleen in severely burned rats and
its mechanism].
AB - OBJECTIVE: To observe the effects of ulinastatin on immune function of splenic
CD4(+) T lymphocytes and CD4(+) CD25(+) regulatory T lymphocytes (Tregs) and
content of high mobility group box 1 (HMGB1) in peripheral blood of severely
burned rats, and to analyze the possible mechanisms. METHODS: Ninety-six male SD
rats were divided into sham injury group, burn group, and ulinastatin group
according to the random number table, with 32 rats in each group. Rats in sham
injury group were sham injured on the back by immersing in 37 C warm water for 12
s. Rats in burn group and ulinastatin group were inflicted with 30% total body
surface area full-thickness scald (hereinafter referred to as burn) on the back
by immersing in 94 C hot water for 12 s. Immediately after injury, rats in each
group were intraperitoneally injected with saline (40 mL/kg), meanwhile rats in
ulinastatin group were intraperitoneally injected with ulinastatin (4*10(4)
U/kg), once per 12 h, till post injury hour 72. Eight rats of each group were
respectively selected on post injury day (PID) 1, 3, 5, and 7 to collect
abdominal aortic blood samples. Serum content of HMGB1 was detected by enzyme
linked immunosorbent assay (ELISA). And then, rats of the 3 groups were
sacrificed immediately to collect spleens and separate CD4(+) CD25(+) Tregs and
CD4(+) T lymphocytes. Flow cytometer was used to detect positive expression rates
of cytotoxic T lymphocyte-associated antigen 4 (CTLA-4) and forkhead-winged helix
transcription factor p3 (Foxp3) in CD4(+) CD25(+) Tregs. Content of IL-10 in
culture supernatant of CD4(+) CD25(+) Tregs, and content of interleukin 2 (IL-2),
IL-4, and gamma interferon (IFN-gamma) in culture supernatant of CD4(+) T
lymphocytes was detected by ELISA. The proliferative activity of CD4(+) T
lymphocytes was determined by microplate reader. The sample number of above
mentioned experiments was 8 at each time point in each group. Data were processed
with analysis of variance of factorial design and LSD test. RESULTS: (1) Compared
with that in sham injury group, serum content of HMGB1 of rats in burn group was
significantly increased from PID 1 to 7 (with P values below 0.01). Compared with
that in burn group, serum content of HMGB1 of rats in ulinastatin group was
significantly decreased from PID 1 to 7 (with P values below 0.01). (2) Compared
with those in sham injury group, the positive expression rates of CTLA-4 and
Foxp3 in CD4(+) CD25(+) Tregs and content of IL-10 in culture supernatant of
CD4(+) CD25(+) Tregs of rats in burn group were significantly increased from PID
1 to 7 (with P values below 0.01), peaking on PID 3 [(65+/-10)%, (76+/-10)%, and
(28.2+/-4.4) pg/mL respectively]. These 3 indexes of rats in sham injury group on
PID 3 were (45+/-7)%, (46+/-7)%, and (11.2+/-2.3) pg/mL respectively. Compared
with those in burn group, the positive expression rates of CTLA-4 and Foxp3 in
CD4(+) CD25(+) Tregs and content of IL-10 in culture supernatant of CD4(+)
CD25(+) Tregs of rats in ulinastatin group were significantly decreased from PID
1 to 7 (P<0.05 or P<0.01), reaching the nadir on PID 7 [(43+/-6)%], PID 1 [(50+/
8)%], and PID 7 [(12.4+/-3.4) pg/mL] respectively. These 3 indexes of rats in
burn group on PID 7, 1, and 7 were (58+/-8)%, (71+/-9)%, and (19.7+/-2.8) pg/mL
respectively. (3) Compared with those in sham injury group, the content of IL-2
and IFN-gamma in culture supernatant of CD4(+) T lymphocytes of rats was
significantly decreased, while the content of IL-4 in culture supernatant of
CD4(+) T lymphocytes of rats was significantly increased in burn group from PID 1
to 7, with P values below 0.01. Compared with that in burn group, the content of
IL-2 and IFN-gamma in culture supernatant of CD4(+) T lymphocytes of rats was
significantly increased, while the content of IL-4 in culture supernatant of
CD4(+) T lymphocytes of rats was significantly decreased in ulinastatin group
from PID 1 to 7, P<0.05 or P<0.01. (4) Compared with that in sham injury group,
the proliferative activity of CD4(+) T lymphocytes of rats in burn group was
significantly decreased from PID 1 to 7 (with P values below 0.01). Compared with
that in burn group, the proliferative activity of CD4(+) T lymphocytes of rats in
ulinastatin group was significantly increased from PID 1 to 7 (with P values
below 0.01). CONCLUSIONS: Ulinastatin can weaken the immunosuppressive function
mediated by splenic CD4(+) CD25(+) Tregs in severely burned rats, and improve
proliferative function and secretory function of splenic CD4(+) T lymphocytes,
which may be attributed to the inhibiting effect of ulinastatin on the release of
HMGB1 in large amount.
PMID- 27188488
TI - [Analysis of clinical characteristics of 187 patients with Marjolin's ulcers].
AB - OBJECTIVE: To analyze the etiology and clinical characteristics of Marjolin's
ulcer, and to explore its prevention and treatment. METHODS: Medical records of
187 patients with Marjolin's ulcers admitted to the Department of Burns and
Reconstructive Surgery of Xiangya Hospital of Central South University from
January 1998 to May 2015 were retrospectively analyzed, including gender, age of
onset of initial injury or primary disease, age of onset of Marjolin's ulcer,
initial injury or primary disease, length of latency, lengths of pre- and post
ulceration periods, lesion site, lesion type, lesion area, local scar tension,
histopathological type, degree of carcinoma cell differentiation, bone invasion
and lymphadenopathy, treatment, and prognosis. The relationships between the age
of onset of initial injury or primary disease and the length of latency, and the
length of pre-ulceration period and the length of post-ulceration period were
assessed by Spearman correlation analysis. The recurrence rates were processed
with Fisher's exact test. RESULTS: (1) Among the patients, the ratio of male to
female was nearly 1.6:1.0. The age of onset of initial injury or primary disease
was 0.17-78.00 (17+/-18) years, and the age of onset of Marjolin's ulcers was 18
84 (49+/-14) years. (2) The most common initial injury among the patients was
flame burn. The length of latency was 0.25-74.00 (32+/-16) years, and the lengths
of pre- and post-ulceration periods were 0-73.00 (26+/-19) years and 0.08-59.00
(6+/-11) years respectively. The common lesion sites were the lower limbs and
head and face. The rodent ulcer was the most common lesion type, and the lesion
area was 1-625 (69+/-110) cm(2). There were obviously negative correlations
between the age of onset of initial injury or primary disease and the length of
latency, as well as the length of pre-ulceration period and the length of post
ulceration period (with r values respectively -0.71 and -0.50, P values below
0.01). The pathological scars of strong tension around lesions were seen in 176
cases. (3) The major histopathological type was squamous cell carcinoma, with
high cell differentiation in most cases. (4) Bone invasion of carcinoma cells was
observed in 59 cases. Lymph node enlargement was observed in 100 cases, and lymph
node metastasis was observed in 18 cases. (5) Twenty patients did not receive any
surgery, while 167 patients were treated by surgery with lesion extended
resection as the main method. According to the condition of wound after the
lesion extended resection, the wounds were mainly repaired by skin grafting and
transplantation of local skin flap. The majority of wounds in 139 patients who
underwent lesion extended resection were repaired in one surgery. Twenty-eight
patients out of 104 followed-up cases had recurrence after surgery, mainly seen
on head and face, upper limb, lower limb, and buttock, and there was no
significant difference among them (P>0.05). The recurrence time of most patients
was longer than 6 months after cure. CONCLUSIONS: Patients with Marjolin's ulcers
in younger age of onset of initial injury or primary disease tend to have longer
latency, during which the shorter the pre-ulceration period is, the longer the
post-ulceration period will be. Marjolin's ulcers are prone to occur in scar
sites with large tension. Early treatment of high tension scar and scar ulcer is
important in prevention, and surgery is the optimal treatment for Marjolin's
ulcers. Regular follow-up should be carried out owning to recurrence rate in
certain degree after surgery.
PMID- 27188489
TI - [Effects of estrogen on epidermis growth of mice and proliferation of human
epidermal cell line HaCaT and its mechanism].
AB - OBJECTIVE: To observe the effects of estrogen on epidermis growth of mice and
proliferation of keratinocytes (human epidermal cell line HaCaT), and to explore
its mechanism. METHODS: (1) Five adult C57BL/6 mice in estrus cycle were
identified by vaginal exfoliative cytology diagnosis and set as estrus group,
while another 5 adult C57BL/6 mice with ovary resected before sexual development
were set as ovariectomized group. The full-thickness skin from the tail root of
mice in two groups were collected. The thickness of epidermis was observed and
measured after HE staining. The distribution of proliferating cell nuclear
antigen (PCNA)-positive cells in epidermis was observed by immunohistochemical
staining, the number of which was counted. (2) HaCaT cells in logarithmic growth
phase were cultured with RPMI 1640 nutrient solution containing 10% fetal bovine
serum, and they were divided into negative control group (NC), pure estradiol
group (PE), protein kinase B (Akt) inhibitor group (AI), and extracellular signal
regulated kinase (ERK) inhibitor group (EI) according to the random number table,
with 20 wells in each group. To nutrient solution of each group, 1 MUL dimethyl
sulfoxide, 1 MUL 17beta-estradiol (100 nmol/L), 1 MUL LY294002 (10 MUmol/L), and
1 MUL PD98059 (30 MUmol/L) were added in group NC, group PE, group AI, and group
EI respectively, and the last two groups were added with 1 MUL 17beta-estradiol
(100 nmol/L) in addition. At post culture hour (PCH) 0 (immediately after
culture), 24, 48, 72, 5 wells of cells from each group were collected to detect
the proliferation activity of cells by cell counting kit 8 and microplate reader.
(3) HaCaT cells in logarithmic growth phase were collected, grouped, and treated
with the above-mentioned methods, with 3 wells in each group. At PCH 72, cell
cycle distribution was detected by flow cytometer to calculate proliferation
index (PI) of cells. (4) HaCaT cells in logarithmic growth phase were collected,
grouped, and treated with the above-mentioned methods, with 3 dishes in each
group. At PCH 72, the protein levels of phosphorylated Akt (p-Akt),
phosphorylated ERK (p-ERK), and PCNA were determined with Western blotting. The
cell experiments were repeated for 3 times. Data were processed with t test, one
way analysis of variance, analysis of variance of factorial design, and LSD test.
RESULTS: (1) The epidermis thickness of mice in ovariectomized group was (33.5+/
3.0) MUm, which was obviously thinner than that in estrus group [(51.4+/-3.1)
MUm, t=20.7, P<0.01]. The PCNA-positive cells mainly aggregated in the basal
layer of epidermis of mice in two groups. The number of PCNA-positive cells in
epidermis of mice in ovariectomized group was 37+/-12 per 200 fold visual field,
obviously fewer than that in estrus group (96+/-15 per 200 fold visual field,
t=15.3, P<0.01). (2) During PCH 0 to 48, there were no significant differences in
the proliferation activity of cells between group PE and group NC (with P values
above 0.05). At PCH 72, compared with that in group NC, the proliferation
activity of cells in group PE was obviously increased (P<0.01). The proliferation
activity of cells in groups AI and EI was obviously lower than that in the
previous two groups (with P values below 0.01). (3) Compared with that in group
NC [(51.6+/-1.1)%], the PI of cells in group PE was obviously increased [(58.5+/
0.8)%, P<0.05]. The PI values of cells in groups AI and EI were (34.9+/-0.8)% and
(48.2+/-0.4)% respectively, both obviously lower than those in the previous two
groups (with P values below 0.01). (4) Compared with that of group NC (0.566+/
0.034), the protein level of p-Akt in cells of group PE was significantly
increased (1.048+/-0.077, P<0.01). Compared with that of group PE, the protein
level of p-Akt was obviously decreased in cells of groups AI and EI (respectively
0.682+/-0.095 and 0.672+/-0.019, with P values below 0.01). Compared with that of
group NC (0.469+/-0.013), the protein level of p-ERK obviously increased in cells
of groups PE, AI, and EI (respectively 1.064+/-0.089, 1.010+/-0.038, 0.778+/
0.065, with P values below 0.01). The protein level of p-ERK in cells of group EI
was obviously lower than that in group PE (P<0.01). Compared with that of group
NC (0.386+/-0.053), the protein level of PCNA was obviously increased in cells of
group PE (0.743+/-0.043, P<0.01). The protein levels of PCNA in cells of groups
AI and EI were 0.264+/-0.019 and 0.223+/-0.065 respectively, both obviously lower
than those in the previous two groups (with P values below 0.01). CONCLUSIONS:
Lack of estrogen damages the growth ability of epidermis of mice. Estrogen
(17beta-estradiol) can promote the proliferation of HaCaT cells by increasing the
expression of PCNA via activating ERK/Akt signaling pathway.
PMID- 27188490
TI - [Effects of transfection of human epidermal growth factor gene with adenovirus
vector on biological characteristics of human epidermal cells].
AB - OBJECTIVE: To investigate the suitable transfection condition of human epidermal
cells (hECs) with human epidermal growth factor (EGF) gene by adenovirus vector
(Ad-hEGF) and its effects on the biological characteristics of hECs. METHODS:
hECs were isolated from deprecated human fresh prepuce tissue of circumcision by
enzyme digestion method and then sub-cultured. hECs of the third passage were
used in the following experiments. (1) Cells were divided into non-transfection
group and 5, 20, 50, 100, 150, and 200 fold transfection groups according to the
random number table (the same grouping method below), with 3 wells in each group.
Cells in non-transfection group were not transfected with Ad-hEGF gene, while
cells in the latter six groups were transfected with Ad-hEGF gene in
multiplicities of infection (MOI) of 5, 20, 50, 100, 150, and 200 respectively.
The morphology of the cells was observed with inverted phase contrast microscope,
and expression of green fluorescent protein of the cells was observed with
inverted fluorescence microscope at transfection hour (TH) 24, 48, and 72. (2)
Another three batches of cells were collected, grouped, and treated as above,
respectively. Then the transfection rate of Ad-hEGF gene was detected by flow
cytometer (n=3), the mass concentration of EGF in culture supernatant of cells
was detected by enzyme-linked immunosorbent assay (n=6), and the proliferation
activity of cells was detected by cell counting kit 8 (CCK8) and microplate
reader (n=6) at TH 24, 48, and 72, respectively. (3) Cells were collected and
divided into non-transfection group and transfection group, with 6 wells in each
group. Cells in non-transfection group were cultured with culture supernatant of
cells without transfection, while cells in transfection group were cultured with
culture supernatant of cells which were transfected with Ad-hEGF gene in the
optimum MOI (50). CCK8 and microplate reader were used to measure the biological
activity of EGF secreted by cells on culture day 1, 3, and 5. (4) Cells were
collected and divided into non-transfection group and transfection group, with 12
wells in each group. Cells in non-transfection group were not transfected with Ad
hEGF gene, while cells in transfection group were transfected with Ad-hEGF gene
in the optimum MOI (50). The expression levels of cytokeratin 14 (CK14) and CK19
of cells were measured by immunofluorescence staining at TH 24. (5) Cells were
collected, grouped, and treated as in (4), with 6 wells in each group. At post
scratch hour (PSH) 0 (immediately after scratch), 12, 24, and 48, the migration
distance of cells was observed and measured with inverted phase contrast
microscope. Data were processed with analysis of variance of factorial design,
analysis of variance for repeated measurement, and LSD test. RESULTS: (1) At TH
24 and 48, morphology of cells in each transfection group and non-transfection
group were similar. Compared with that in non-transfection group, the cell debris
increased significantly in 200 fold transfection group at TH 72. At TH 24, 48,
and 72, the expression of green fluorescent protein was not seen in cells of non
transfection group, whereas it increased in cells of transfection group over
transfection time. (2) The transfection rate of Ad-hEGF gene of cells in each
transfection group increased gradually over transfection time. At TH 72, the
transfection rates of Ad-hEGF gene of cells in 50-200 fold transfection groups
were all above 90%, while the transfection rates of Ad-hEGF gene of cells in non
transfection group, 5, and 20 fold transfection groups were (0.51+/-0.20)%,
(62.44+/-6.23)%, and (75.00+/-5.43)% respectively, which were obviously lower
than the rate in 50 fold transfection group [(93.12+/-2.55)%, with P values below
0.01]. The mass concentration of EGF in culture supernatant of cells in each
transfection group increased gradually over transfection time. At TH 72, the mass
concentration of EGF in culture supernatant of cells in 50 fold transfection
group was obviously higher than that in each of the other groups (with P values
below 0.01). The proliferation activity of cells in each group at TH 24 and 48
was similar (with P values above 0.05). At TH 72, the proliferation activity of
cells in 200 fold transfection group was obviously lower than that in other
groups (with P values below 0.05). (3) On culture day 1, the biological activity
of EGF secreted by cells in two groups was similar (P>0.05). On culture day 3 and
5, the biological activity of EGF secreted by cells in transfection group were
obviously higher than that in non-transfection group (with P values below 0.01).
(4) At TH 24, the expression levels of CK14 and CK19 of cells in transfection
group were higher than those in non-transfection group. (5) The width of scratch
in two groups was nearly the same at PSH 0. At PSH 12-48, the migration distance
of cells in transfection group was obviously longer than that in non-transfection
group (with P values below 0.01). CONCLUSIONS: The suitable range of MOI of hECs
transfected with Ad-hEGF gene is 50-150, and 50 is the optimum. hECs transfected
with Ad-hEGF gene with MOI 50 can effectively express the EGF gene and keep its
good abilities of proliferation, differentiation, and migration, as well.
PMID- 27188491
TI - [Advances in the research of natural polymeric materials and their derivatives in
the manufacture of scaffolds for dermal tissue engineering].
AB - Natural polymeric materials and their derivatives are organic macromolecular
compounds which exist in plants, animals, and micro-organisms. They have been
widely used in the preparation of scaffolds for skin tissue engineering recently
because of their good histocompatibility and degradability, and low
immunogenicity. With the improvement of the preparation technics, composite
materials are more commonly used to make scaffolds for dermal tissue engineering.
This article summarizes the classification and research status of the commonly
used natural polymer materials, their derivatives, and composite scaffold
materials, as well as makes a prospect of the research trends of dermal scaffold
in the future.
PMID- 27188492
TI - The contribution of inflammasome components on macrophage response to surface
nanotopography and chemistry.
AB - Implantable devices have become an established part of medical practice. However,
often a negative inflammatory host response can impede the integration and
functionality of the device. In this paper, we interrogate the role of surface
nanotopography and chemistry on the potential molecular role of the inflammasome
in controlling macrophage responses. To achieve this goal we engineered model
substrata having precisely controlled nanotopography of predetermined height and
tailored outermost surface chemistry. Bone marrow derived macrophages (BMDM) were
harvested from genetically engineered mice deficient in the inflammasome
components ASC, NLRP3 and AIM2. These cells were then cultured on these
nanoengineered substrata and assessed for their capacity to attach and express
pro-inflammatory cytokines. Our data provide evidence that the inflammasome
components ASC, NLRP3 and AIM2 play a role in regulating macrophage adhesion and
activation in response to surface nanotopography and chemistry. The findings of
this paper are important for understanding the inflammatory consequences caused
by biomaterials and pave the way to the rational design of future implantable
devices having controlled and predictable inflammatory outcomes.
PMID- 27188493
TI - Specific immunotherapy for rhinitis and asthma with a subcutaneous hypoallergenic
high-dose house dust mite extract: results of a 9-month therapy.
AB - AIM: Effectiveness of a 9-month specific immunotherapy with a subcutaneous
hypoallergenic high-dose house dust mite extract to reduce allergic symptoms as
perceived by patients and physicians was assessed. PATIENTS & METHODS: An
observational, retrospective, multicenter study was carried out in patients
diagnosed with asthma and/or rhinitis caused by house dust mites having started
specific immunotherapy with Acaroid((r)). Primary end point was perceived
effectiveness. RESULTS: A total of 409 patients were included. According to
physician-completed visual analogue scale, a 58.1% clinical improvement was
observed. Patient-completed visual analogue scale showed a 69.8% clinical
improvement. The need for unscheduled/emergency healthcare, as an indication of
poor quality of life, showed a significant reduction. CONCLUSION: Our results
confirm in a real-world setting the findings from randomized clinical trials of
high-dose house dust mites allergoid immunotherapy with a subcutaneous
hypoallergenic high-dose house dust mite extract.
PMID- 27188494
TI - Analysis of Lyrics from Group Songwriting with Bereaved Children and Adolescents.
AB - BACKGROUND: Songwriting is a group intervention that is often used to help
bereaved children and adolescents express thoughts and feelings associated with
grief experiences. Few studies have examined the lyrical content of songs written
by bereaved children/adolescents and how thematic content may vary by age and
developmental understanding of death. OBJECTIVE: The purpose of this study was to
determine whether experiences of songwriting and lyrical content of songs written
by children and adolescents participating in a bereavement camp vary by age.
METHODS: Thirty-three participants, ages 6-16, were grouped according to age
(child, tween, or teen) and asked to write a group song. Analysis of song lyrics
was based on both inductive and deductive content analysis processes. A seven
item questionnaire was also used with the tween and teen groups to gather
descriptive information about the songwriting process, including participant
views on benefits, enjoyment, and preferences. RESULTS: Analyses revealed a total
of five different themes among the three age groups, with two of the five themes
present across the age groups. A majority of the participants enjoyed the
songwriting process, but no clear indication of preference for verbal or written
contribution was determined based on age or gender. CONCLUSIONS: The lyric
content of the songs varied across the age groups, with the older groups
providing more diverse content and demonstrating growth in their understanding of
death. Providing participants both written and verbal options to contribute to
the songwriting process allows for individual preferences among tweens and teens.
PMID- 27188497
TI - The importance of intraspecific variation in tree responses to elevated [CO2]:
breeding and management of future forests.
PMID- 27188496
TI - A small synthetic molecule functions as a chloride-bicarbonate dual-transporter
and induces chloride secretion in cells.
AB - A C2 symmetric small molecule composed of l-phenylalanine and isophthalamide was
found to function as a Cl(-)/HCO3(-) dual transporter and self-assemble into
chloride channels. In Ussing-chamber based short-circuit current measurements,
this molecule elicited chloride-dependent short-circuit current (Isc) increase in
both Calu-3 cell and CFBE41o-cell (with F508del mutant CFTR) monolayers.
PMID- 27188495
TI - Post-Traumatic Stress Symptoms and Burnout Among Medical Rescue Workers 4 Years
After the Great East Japan Earthquake: A Longitudinal Study.
AB - OBJECTIVE: This study aimed to evaluate factors associated with post-traumatic
stress disorder (PTSD) symptoms and burnout 4 years after the Great East Japan
Earthquake among medical rescue workers in Disaster Medical Assistance Teams
(DMATs). METHODS: We examined participants' background characteristics, prior
health condition, rescue work experiences, and the Peritraumatic Distress
Inventory (PDI) score at 1 month after the earthquake. Current psychological
condition was assessed by the Impact of Event Scale-Revised and Maslach Burnout
Inventory administered 4 years after the earthquake. By applying univariate and
multivariate linear regression analyses, we assessed the relative value of the
PDI and other baseline variables for PTSD symptoms and burnout at 4 years after
the earthquake. RESULTS: We obtained baseline data from 254 participants during
April 2 to 22, 2011. Of the 254 participants, 188 (74.0%) completed the follow-up
assessment. PDI score 1 month after the earthquake was associated with symptoms
of PTSD (beta=0.35, P<.01) and burnout (beta=0.21, P<.01). Stress before
deployment was a related factor for burnout 4 years after the earthquake in these
medical rescue workers (beta=2.61, P<.04). CONCLUSIONS: It seems important for
DMAT headquarters to establish a routine system for assessing the PDI of medical
rescue workers after deployment and screen those workers who have high stress
prior to deployment (Disaster Med Public Health Preparedness. 2016;10:848-853).
PMID- 27188498
TI - Development and validation of the Japanese version of cognitive flexibility
scale.
AB - BACKGROUND: Various instruments have been developed to assess cognitive
flexibility, which is an important construct in psychology. Among these, the self
report cognitive flexibility scale (CFS) is particularly popular for use with
English speakers; however, there is not yet a Japanese version of this scale.
This study reports on the development of a Japanese version of the cognitive
flexibility scale (CFS-J), and the assessment of its internal consistency, test
retest reliability, and validities. METHODS: We used the standard translation
back-translation process to develop the Japanese wording of the items and tested
these using a sample of 335 eligible participants who did not have a mental
illness, were aged 18 years or older, and lived in the suburbs of Tokyo.
Participants included office workers, public servants, and college students; 71.6
% were women and 64.8 % were students. The translated scale's internal
consistency reliability was assessed by calculating Cronbach's alpha and
McDonald's omega, and test-retest reliability was assessed with 107 eligible
participants via intra-class correlation coefficient (ICC) and Spearman's
correlation of coefficient. Exploratory factory analysis (EFA) and correlations
with other scales were used to examine the factor-based and concurrent validities
of the CFS-J. RESULTS: Results indicated that the CFS-J has good internal
consistency (Cronbach's alpha = 0.847, McDonald's omega = 0.871) and acceptable
test-retest reliability (Spearman's = 0.687, ICC = 0.689). EFA provided evidence
that the CFS-J has a one-factor structure and factor loadings were generally
appropriate. The total CFS-J score was significantly and positively correlated
with the cognitive flexibility inventory-Japanese version and its two subscales,
along with the cognitive control scale and the positive subscale of the short
Japanese version of the automatic thought questionnaire-revised (ATQ-R); further,
it had a significantly negative correlation with the negative subscale of the ATQ
R (ps < 0.001). This study developed a Japanese version of the cognitive
flexibility scale and confirmed its reliability and validity among a sample of
people with no current mental illness, who were living in the suburbs of Tokyo.
PMID- 27188500
TI - An exploratory study into the application of psychological theories and therapies
in Australian mental health occupational therapy practice: Challenges to
occupation-based practice.
AB - BACKGROUND: While legitimate practice tools have been the subject of debate
within the profession, little attention has been paid to psychological frames of
reference (PFsOR). Hence, this article explores the ways psychological theories
and therapies shape occupation-based practice in mental health settings.
METHODOLOGY: Narrative inquiry methods and thematic analysis were used to explore
the career stories of nine occupational therapists who had worked in mental
health practice for more than five years. FINDINGS: Respondents found it
difficult to hold onto their occupational focus in the psychology-dominated world
of mental health practice. The main themes to emerge were (i) tension between
occupation and psychology; (ii) overwhelming pressures to adopt PFsOR; (iii)
resistance to PFsOR; and (iv) using PFsOR to enhance professional repertoires.
Findings pointed to the need to ensure PFsOR include occupational considerations
for occupation-based practice in mental health. CONCLUSION: A occupation-based
perspective means balancing PFsOR with occupation-based considerations, so
service-user issues are holistically addressed. There is a need to critically
review occupational therapists' use of PFsOR lest their most visible practical
actions appear psychologically based rather than occupation based.
PMID- 27188499
TI - [Implementation of a thermal management concept to prevent perioperative
hypothermia : Results of a 6-month period in clinical practice].
AB - BACKGROUND: Perioperative hypothermia is defined as a core temperature below 36
degrees C. The literature shows that perioperative hypothermia is a frequent but
potentially preventable complication of the surgical process. The risk of
experiencing perioperative hypothermia is inherent for all anesthetized patients,
independent of the type of surgery. Unless preventative measures are taken,
perioperative hypothermia occurs in 50 to 70 % of all surgical patients. In
Germany and Austria the guideline "Preventing inadvertent perioperative
hypothermia" has been published. In Wolfsburg we started already in 2012 with a
standard operating procedure to prevent perioperative hypothermia in all surgical
patients. In two clinical departments we established an additional prewarming
protocol starting prior to induction of anaesthesia on the normal ward on the day
of surgery. MATERIAL AND METHODS: For a period of 6 months we analyzed all
temperature data of patients having undergone surgery, beginning before the start
of general anaesthesia until the end of the operation. RESULTS: In total 3228
patients were enrolled into the study. Prewarming was performed in 1329 patients.
In 1902 patients active warming was limited to the intraoperative period. The
total rate of hypothermia in all patients was 32.6 %, whereas the rate of
hypothermia at the end of the operation was 19.3 %. In the group of patients
without prewarming the overall rate was 39.1 vs. 25 % at the end of the
operation. In the groups of patients with prewarming the total rates of
hypothermia were 25.2 and 24.7 % overall and 14.4 and 12.5 % at the end of the
operation. In multifactorial regression it could be shown that patients without
prewarming had a 1.8-fold increased risk of perioperative hypothermia compared to
patients with intraoperative warming only. CONCLUSION: We conclude that
temperature management is a challenge in the clinical situation, and that it is
difficult to achieve rates of hypothermia close to zero. The addition of
prewarming was very effective in improving the results in our patients.
PMID- 27188502
TI - Nickel-Catalyzed Hiyama-type Decarboxylative Coupling of Propiolic Acids and
Organosilanes.
AB - A Ni catalytic system was developed for the decarboxylative coupling reaction of
alkynyl carboxylic acids with organosilanes. Ni(acac)2 and 1,10-phenanthroline
showed the best result in the presence of CsF and CuF2 at 120 degrees C. This
system tolerated the presence of alkyl, alkoxy, halogen, nitro, cyano, ketone,
and ester functional groups. Moreover, the reaction with but-2-ynedioic acid and
organosilane afforded the corresponding symmetrical diarylalkynes.
PMID- 27188501
TI - CXCR2 Inhibition in Human Pluripotent Stem Cells Induces Predominant
Differentiation to Mesoderm and Endoderm Through Repression of mTOR, beta
Catenin, and hTERT Activities.
AB - On the basis of our previous report verifying that chemokine (C-X-C motif)
receptor 2 (CXCR2) ligands in human placenta-derived cell conditioned medium
(hPCCM) support human pluripotent stem cell (hPSC) propagation without exogenous
basic fibroblast growth factor (bFGF), this study was designed to identify the
effect of CXCR2 manipulation on the fate of hPSCs and the underlying mechanism,
which had not been previously determined. We observed that CXCR2 inhibition in
hPSCs induces predominant differentiation to mesoderm and endoderm with
concomitant loss of hPSC characteristics and accompanying decreased expression of
mammalian target of rapamycin (mTOR), beta-catenin, and human telomerase reverse
transcriptase (hTERT). These phenomena are recapitulated in hPSCs propagated in
conventional culture conditions, including bFGF as well as those in hPCCM without
exogenous bFGF, suggesting that the action of CXCR2 on hPSCs might not be
associated with a bFGF-related mechanism. In addition, the specific CXCR2 ligand
growth-related oncogene alpha (GROalpha) markedly increased the expression of
ectodermal markers in differentiation-committed embryoid bodies derived from
hPSCs. This finding suggests that CXCR2 inhibition in hPSCs prohibits the
propagation of hPSCs and leads to predominant differentiation to mesoderm and
endoderm owing to the blockage of ectodermal differentiation. Taken together, our
results indicate that CXCR2 preferentially supports the maintenance of hPSC
characteristics as well as facilitates ectodermal differentiation after the
commitment to differentiation, and the mechanism might be associated with mTOR,
beta-catenin, and hTERT activities.
PMID- 27188503
TI - Elevated blood pressure is not associated with accelerated glomerular filtration
rate decline in the general non-diabetic middle-aged population.
AB - Although hypertension is a risk factor for end-stage renal disease, this
complication develops in only a minority of hypertensive patients. Whether non
malignant hypertension itself is sufficient to cause reduced glomerular
filtration rate (GFR) is unclear. Therefore, we investigated whether elevated
blood pressure (BP) was associated with accelerated GFR decline in the general
population. The study was based on the Renal Iohexol Clearance Survey in Tromso 6
(RENIS-T6), which included a representative sample of 1594 individuals aged 50 to
62 years from the general population without baseline diabetes or kidney or
cardiovascular disease. GFR was measured as iohexol clearance at baseline and
follow-up after a median observation time of 5.6 years. BP was measured according
to a standardized procedure. The mean (SD) GFR decline rate was 0.95 (2.23)
ml/min/yr. In multivariable adjusted linear mixed regressions with either
baseline systolic or diastolic BP as the independent variable, there were no
statistically significant associations with GFR decline. Thus, elevated BP is not
associated with accelerated mean GFR decline in the general middle-aged
population. Hence, additional genetic and environmental factors are probably
necessary for elevated BP to develop manifest chronic kidney disease in some
individuals.
PMID- 27188504
TI - Defective postreperfusion metabolic recovery directly associates with incident
delayed graft function.
AB - Delayed graft function (DGF) following kidney transplantation affects long-term
graft function and survival and is considered a manifestation of ischemia
reperfusion injury. Preclinical studies characterize metabolic defects resulting
from mitochondrial damage as primary driver of ischemia reperfusion injury. In a
comprehensive approach that included sequential establishment of postreperfusion
arteriovenous concentration differences over the human graft, metabolomic and
genomic analysis in tissue biopsies taken before and after reperfusion, we tested
whether the preclinical observations translate to the context of clinical DGF.
This report is based on sequential studies of 66 eligible patients of which 22
experienced DGF. Grafts with no DGF immediately recovered aerobic respiration as
indicated by prompt cessation of lactate release following reperfusion. In
contrast, grafts with DGF failed to recover aerobic respiration and showed
persistent adenosine triphosphate catabolism indicated by a significant
persistently low post reperfusion tissue glucose-lactate ratio and continued
significant post-reperfusion lactate and hypoxanthine release (net arteriovenous
difference for lactate and hypoxanthine at 30 minutes). The metabolic data for
the group with DGF point to a persistent post reperfusion mitochondrial defect,
confirmed by functional (respirometry) and morphological analyses. The
archetypical mitochondrial stabilizing peptide SS-31 significantly preserved
mitochondrial function in human kidney biopsies following simulated ischemia
reperfusion. Thus, development of DGF is preceded by a profound post-reperfusion
metabolic deficit resulting from severe mitochondrial damage. Strategies aimed at
preventing DGF should be focused on safeguarding a minimally required post
reperfusion metabolic competence.
PMID- 27188506
TI - Change of government's subsidization policy improves smoking cessation services:
a cross-sectional study from the perspectives of physicians.
AB - BACKGROUND: The Taiwanese government increased financial subsidies for smoking
cessation services in 2012. We aimed to evaluate the effects of this new policy
on smoking cessation services from the physician's perspective. METHODS: This was
a cross-sectional nationwide survey. Physicians who provided smoking cessation
services for more than ten patient encounters in the preceding year of the new
policy (February 2011 to March 2012) were recruited. The questionnaire was
developed by two experts and was validated by a committee consisting of 11
delegates. RESULTS: We sent a total of 1,319 questionnaires. The response rate
was 45.9 %. The majority of respondents were male (88.4 %), middle-aged (65.3 %),
and worked as family physicians (56.1 %). Most physicians agreed that the new
policy had increased the number of patients seeking smoking cessation, increased
patients' willingness to adopt pharmacotherapy, helped physicians to prescribe
medications, improved patients' adherence to medications, and improved quality of
care. These changes were most prominent in medical centers. Changes in the
practice of the 5As (ask, advise, assess, assist, arrange) were moderate. Among
different medical settings, the most significant change was an increase in the
expenditure on smoking cessation medications. CONCLUSIONS: The new subsidization
policy in Taiwan has improved smoking cessation services. Overall, physicians
reported positive effects of the new policy. Further study is warranted to
evaluate the long-term influence of the policy.
PMID- 27188505
TI - Non-HLA antibodies against endothelial targets bridging allo- and autoimmunity.
AB - Detrimental actions of donor-specific antibodies (DSAs) directed against both
major histocompatibility antigens (human leukocyte antigen [HLA]) and specific
non-HLA antigens expressed on the allograft endothelium are a flourishing
research area in kidney transplantation. Newly developed solid-phase assays
enabling detection of functional non-HLA antibodies targeting G protein-coupled
receptors such as angiotensin type I receptor and endothelin type A receptor were
instrumental in providing long-awaited confirmation of their broad clinical
relevance. Numerous recent clinical studies implicate angiotensin type I receptor
and endothelin type A receptor antibodies as prognostic biomarkers for earlier
occurrence and severity of acute and chronic immunologic complications in solid
organ transplantation, stem cell transplantation, and systemic autoimmune
vascular disease. Angiotensin type 1 receptor and endothelin type A receptor
antibodies exert their pathophysiologic effects alone and in synergy with HLA
DSA. Recently identified antiperlecan antibodies are also implicated in
accelerated allograft vascular pathology. In parallel, protein array technology
platforms enabled recognition of new endothelial surface antigens implicated in
endothelial cell activation. Upon target antigen recognition, non-HLA antibodies
act as powerful inducers of phenotypic perturbations in endothelial cells via
activation of distinct intracellular cell-signaling cascades. Comprehensive
diagnostic assessment strategies focusing on both HLA-DSA and non-HLA antibody
responses could substantially improve immunologic risk stratification before
transplantation, help to better define subphenotypes of antibody-mediated
rejection, and lead to timely initiation of targeted therapies. Better
understanding of similarities and dissimilarities in HLA-DSA and distinct non-HLA
antibody-related mechanisms of endothelial damage should facilitate discovery of
common downstream signaling targets and pave the way for the development of
endothelium-centered therapeutic strategies to accompany intensified
immunosuppression and/or mechanical removal of antibodies.
PMID- 27188508
TI - Immunological response to quadrivalent HPV vaccine in treatment of recurrent
respiratory papillomatosis.
AB - Aim of this study was to explore influence of the quadrivalent HPV vaccine
(Gardasil((r))) on the immune status of recurrent respiratory papillomatosis
(RRP) patients. In retrospective observational study, six RRP patients who
received the quadrivalent HPV vaccine and whose HPV seroreactivity was measured
were included. Multiplex HPV Serology was used to determine HPV-specific
antibodies pre- and post-vaccination. Surgical interventions and patient records
were analyzed. Five HPV6 and 1 HPV11 infected patient were included. Mean
antibody reactivity against the associated HPV type rose from 1125 median
fluorescence intensity (MFI) pre-vaccination to 4690 MFI post-vaccination (p <
0.001). Median post-vaccination follow-up was 4 years. Poisson regression
analysis showed that the quadrivalent HPV vaccine decreased the incidence rate of
surgeries. The immune system of RRP patients is able to increase antibody
reactivity against the associated HPV type. A double blind randomized controlled
trial is needed to determine whether this immunological increase can cause
decrease in number of surgeries.
PMID- 27188507
TI - Management of recurrent head and neck cancer: variables related to salvage
surgery.
AB - After a local and/or regional recurrence of head and neck squamous cell carcinoma
(HNSCC) not all patients are candidates to salvage treatment. The objective of
this study was to identify the variables related to performance of salvage
surgery with curative intent in these patients. We performed a retrospective
study of 1088 HNSCC patients with a local and/or regional recurrence. According
to a multivariate analysis, the variables related to performance of salvage
surgery were the Karnofsky index, the location and extension of the primary
tumor, the initial treatment, the disease-free interval between treatment of the
initial tumor and diagnosis of the recurrence, and the year the recurrence was
diagnosed. Considering salvage surgery as the dependent variable, the results of
a recursive partitioning analysis defined four categories of patients in function
of the category of local and regional extension of the initial tumor, the
location of the primary tumor, the initial treatment and the disease-free
interval between treatment of the initial tumor and diagnosis of the recurrence.
PMID- 27188509
TI - The effect of preoperative neutrophil, platelet and lymphocyte counts on local
recurrence and survival in early-stage tongue cancer.
AB - The aim of this study was to investigate the predictive value of preoperative
neutrophil, platelet and lymphocyte counts in local recurrence and survival in
the patients operated for early-stage squamous cell carcinoma (SCC) of the
tongue. 57 patients who underwent surgery for early-stage (stage 1-2) SCC of the
tongue were enrolled in the study. Neutrophil to lymphocyte ratio (NLR), platelet
to lymphocyte ratio (PLR) and neutrophil * PLR value (N * PLR) were used as
outcome measures. Local recurrence was detected in 11 (19.3 %) patients during
follow-up period. Mortality was seen in 7 (12.3 %) patients. 37 (64.9 %) patients
had stage 1 and 20 (35.1 %) patients had stage 2 tumor. NLR, PLR and N * PLR
cutoff values were determined as 2.26, 146,855 and 689,912, respectively, by
receiver operating characteristic analysis. The relationship between NLR, PLR, N
* PLR and local recurrence was statistically significant according to these
cutoff values (p values 0.021, 0.020, 0.017, respectively). We suggest that NLR,
PLR and N * PLR may be used to predict local recurrence, while their use in
overall and disease-free survival is limited. Further studies involving large
patient groups are required.
PMID- 27188510
TI - Effects of resistant and susceptible rubber germplasms on development,
reproduction and protective enzyme activities of Eotetranychus sexmaculatus
(Acari: Tetranychidae).
AB - Systematic research or technical support regarding rubber germplasm resistance
against mites was not performed yet. To develop a preliminary understanding of
the mite-resistance mechanisms of rubber germplasms, stably resistant rubber
germplasms were obtained, the development and reproduction of Eotetranychus
sexmaculatus that fed on leaves of resistant and susceptible rubber germplasms
were examined in the laboratory, and the activities of protective enzymes in this
mite species were also compared. The results indicated that: (1) among the 23
rubber core germplasms identified, five (IRCI12, Reyan87-6-5, IAN717, RRIM600 and
RRIC52) steadily developed resistance to E. sexmaculatus; (2) E. sexmaculatus
that fed on the highly resistant germplasm IRCI12 did not complete development
and reproduction-the female adults laid only 4.90 eggs on average, and none of
these eggs hatched; (3) the resistant germplasms extended the duration of each
developmental stage, reduced the fecundity, egg hatchability, and female
offspring percentage, and significantly decreased the offspring survival rate
compared with the susceptible germplasms; and (4) during each developmental stage
of the mites that fed on resistant rubber germplasms, decreased activities (by
0.25-fold to 0.63-fold times) of the protective enzymes peroxidase, ascorbate
peroxidase, polyphenol oxidase, superoxide dismutase and catalase were observed
compared with those in the mites that fed on susceptible rubber germplasms (P <
0.05). These findings may explain why E. sexmaculatus did not complete their
development and reproduction on the resistant rubber germplasms. This study lays
a foundation for elucidation of the mechanism of rubber resistance to mites and
provides experimental material and technical support for the breeding of mite
resistant rubber plants.
PMID- 27188511
TI - After-pulsing, cross-talk, dark-count, and gain of MPPC under 7-T static magnetic
field.
AB - Multi-pixel photon counters (MPPCs) have been used instead of photomultiplier
tubes for positron emission tomography combined with magnetic resonance (PET-MR).
However, the effects of the magnetic field (MF) on the intrinsic properties-gain,
cross-talk, after-pulsing, and dark-count-have not been sufficiently
investigated. Therefore, we measured these properties for two types of MPPCs
S10931-50P and S12572-50P-in a static 7-T MF. These properties were measured with
a pulse-shape analysis using pulse data acquired by a digital oscilloscope in the
presence of the MF (w/MF) and the absence of the MF (w/o MF) by changing the
supplied over-voltages (from 0.95 to 2.1 V for S10931 and from 2.1 to 3.3 V for
S12572). No significant differences between the w/MF and w/o MF cases were
observed for either MPPC, suggesting that the gain, cross-talk, after-pulsing,
and dark-count are insensitive to a 7-T MF. The present work shows that constant
MPPC performance is expected under a strong MF and demonstrates positive results
for PET-MR.
PMID- 27188512
TI - From Biocompatibility to Immune Engineering.
PMID- 27188516
TI - The use of engineered protein materials in electrochemical devices.
AB - Bioelectrochemical technologies have an important and growing role in healthcare,
with applications in sensing and diagnostics, as well as the potential to be used
as implantable power sources and be integrated with automated drug delivery
systems. Challenges associated with enzyme-based electrodes include low current
density and short functional lifetimes. Protein engineering is emerging as a
powerful tool to overcome these issues. By taking advantage of the ability to
precisely define protein sequences, electrodes can be organized into high
performing structures, and enable the next generation of medical devices.
PMID- 27188513
TI - Immunoisolation to prevent tissue graft rejection: Current knowledge and future
use.
AB - This review focuses on the concept of immunoisolation and how this method has
evolved over the last few decades. The concept of immunoisolation came out of the
need to protect allogeneic transplant tissue from the host immune system and
avoid systemic side effects of immunosuppression. The latter remains a
significant hurdle in clinical translation of using tissue transplants for
restoring endocrine function in diabetes, growth hormone deficiency, and other
conditions. Herein, we review the most significant works studying the use of
hydrogels, specifically alginate and poly (ethylene glycol), and membranes for
immunoisolation and discuss how this approach can be applied in reproductive
biology.
PMID- 27188515
TI - Enzyme-responsive polymer hydrogels for therapeutic delivery.
AB - Enzymes play a central role in a spectrum of fundamental physiological processes
and their altered expression level has been associated with many diseases and
pathological disorders. Enzymes therefore can be exploited as a pristine
biological trigger to tune material responses and to achieve controlled release
of biomolecules at desired sites. This mini-review highlights enzyme-responsive
polymer hydrogels for therapeutic delivery applications developed within the last
five years, focusing on protease- and glycosidase-based catalyzed reactions.
Strategies employed to produce responsive materials are described. Successful
applications for controlled drug delivery are highlighted, and finally, future
opportunities and challenges are presented.
PMID- 27188518
TI - Corrigendum.
AB - Irena Kasacka, Zaneta Piotrowska and Izabela Janiuk. Influence of renovascular
hypertension on the distribution of vasoactive intestinal peptide in the stomach
and heart of rats. Experimental Biology and Medicine 2015; 240: :1402-1407
(Original DOI: 10.1177/1535370215587533).
PMID- 27188514
TI - In vitro selection technologies to enhance biomaterial functionality.
AB - Cells make decisions and fate choices based in part on cues they receive from
their external environment. Factors that affect the interpretation of these cues
include the soluble proteins that are present at any given time, the cell surface
receptors that are available to bind these proteins, and the relative affinities
of the soluble proteins for their cognate receptors. Researchers have identified
many of the biological motifs responsible for the high-affinity interactions
between proteins and their receptors, and subsequently incorporated these motifs
into biomaterials to elicit control over cell behavior. Common modes of control
include localized sequestration of proteins to improve bioavailability and direct
inhibition or activation of a receptor by an immobilized peptide or protein.
However, naturally occurring biological motifs often possess promiscuous affinity
for multiple proteins and receptors or lack programmable actuation in response to
dynamic stimuli, thereby limiting the amount of control they can exert over
cellular decisions. These natural motifs only represent a small fraction of the
biological diversity that can be assayed by in vitro selection strategies, and
the discovery of "artificial" motifs with varying affinity, specificity, and
functionality could greatly expand the repertoire of engineered biomaterial
properties. This minireview provides a brief summary of classical and emerging
techniques in peptide phage display and nucleic acid aptamer selections and
discusses prospective applications in the areas of cell adhesion, angiogenesis,
neural regeneration, and immune modulation.
PMID- 27188519
TI - Pathophysiology of Renal Tubular Acidosis: Core Curriculum 2016.
PMID- 27188517
TI - Nanoallergens: A multivalent platform for studying and evaluating potency of
allergen epitopes in cellular degranulation.
AB - Degranulation caused by type I hypersensitivity (allergies) is a complex
biophysical process, and available experimental models for studying relevant
immunoglobulin E binding epitopes on allergen proteins lack the ability to
adequately evaluate, rank, and associate these epitopes individually and with
each other. In this study, we propose a new allergy model system for studying
potential allergen epitopes using nanoallergens, liposomes modified to
effectively display IgE binding epitopes/haptens. By utilizing the covalently
conjugated lipid tails on two hapten molecules (dinitrophenol and dansyl), hapten
molecules were successfully incorporated into liposomes with high precision to
form nanoallergens. Nanoallergens, with precisely controlled high-particle
valency, can trigger degranulation with much greater sensitivity than commonly
used bovine serum albumin conjugates. In rat basophil leukemia cell experiments,
nanoallergens with only 2% hapten loading were able to trigger degranulation in
vitro at concentrations as low as 10 pM. Additionally, unlike bovine serum
albumin-hapten conjugates, nanoallergens allow exact control over particle size
and valency. By varying the nanoallergen parameters such as size, valency,
monovalent affinity of hapten, and specific IgE ratios, we exposed the importance
of these variables on degranulation intensity while demonstrating nanoallergens'
potential for evaluating both high- and low-affinity epitopes. The data presented
in this article establish nanoallergen platform as a reliable and versatile
allergy model to study and evaluate allergen epitopes in mast cell degranulation.
PMID- 27188520
TI - Influence of the Guest on Aggregation of the Host by Exciton-Polaron Interactions
and Its Effects on the Stability of Phosphorescent Organic Light-Emitting
Devices.
AB - The root causes of the differences in electroluminescence stability among
phosphorescent organic light-emitting devices (PHOLEDs) utilizing different
emitter guests are studied. The results show that the host material plays a more
influential role in limiting device stability in comparison to the guest. During
the operation of a PHOLED, the host undergoes aggregation as a result of
interactions between the excitons and positive polarons. The rate of this
aggregation is found to be the limiting factor for device lifetime and is
influenced by the choice of the guest material and its concentration. Finally, it
is shown that phase segregation between the host and the guest is an important
aspect of the aggregation process. As a result of this segregation, energy
transfer from the host to the guest becomes increasingly less efficient,
resulting in the observed gradual loss in electroluminescence efficiency in the
devices over time. The findings explain why PHOLEDs utilizing different guest
materials but otherwise identical material systems can have significantly
different lifetimes and provide an answer to a long-lasting question in the
field.
PMID- 27188523
TI - First-principles study of thermal properties of borophene.
AB - Very recently, a new single-element two-dimensional (2D) material borophene was
successfully grown on a silver surface under pristine ultrahigh vacuum conditions
which attracts tremendous interest. In this paper, the lattice thermal
conductivity, phonon lifetimes, thermal expansion and temperature dependent
elastic moduli of borophene are systematically studied by using first-principles.
Our simulations show that borophene possesses unique thermal properties. Strong
phonon-phonon scattering is found in borophene, which results in its unexpectedly
low lattice thermal conductivity. Thermal expansion coefficients along both the
armchair and zigzag directions of borophene show impressive negative values. More
strikingly, the elastic moduli are sizably strengthened as temperature increases,
and the negative in-plane Poisson's ratios are found along both the armchair and
zigzag directions at around 120 K. The mechanisms of these unique thermal
properties are also discussed in this paper.
PMID- 27188522
TI - Inhibition of Propionibacterium acnes associated biofilm formation by Decanediol.
PMID- 27188521
TI - Real-World Implementation of a Standardized Handover Program (I-PASS) on a
Pediatric Clinical Teaching Unit.
AB - OBJECTIVE: A standardized handover curriculum (I-PASS) has been shown to reduce
preventable adverse events in a large multicenter study. We aimed to study the
real-world impact of the implementation of this curriculum on handover quality,
duration, and identification of unstable patients. METHODS: A prospective
intervention study was conducted. We implemented the I-PASS curriculum via
faculty education and resident workshops. Resident handover on the clinical
teaching unit was videorecorded, and written handover documents were collected
for 2 weeks before and after the intervention. We examined the inclusion of key
elements on handover documents before and after intervention using logistic
regression models accounting for multiple handovers per patient. Duration of
handover was compared using a linear regression model adjusting for number of
patients. Qualitative content analysis was used to describe observable
differences in verbal handover recordings and written critical care
consultations. RESULTS: A total of 1275 handovers were included, comprising 364
inpatients. There was a significant increase (P < .05) in 7 of 11 key elements
and a significant decrease in written physical examination findings after the
intervention. No significant change was found in handover duration. Qualitative
video analysis revealed observable differences in handover collaboration and
organization. After the intervention, patients with critical care needs overnight
were correctly identified as requiring close monitoring during handover.
CONCLUSIONS: Handover training resulted in consistent inclusion of key elements
and was characterized by collaboration between participants and improved
organization without significant increase in handover duration. Appropriate
identification and response to clinically deteriorating patients was also found
using the I-PASS model.
PMID- 27188524
TI - Genetic polymorphisms in biotransformation enzymes for benzo[a]pyrene and related
levels of benzo[a]pyrene-7,8-diol-9,10-epoxide-DNA adducts in Goeckerman therapy.
AB - Goeckerman therapy (GT) for psoriasis combines the therapeutic effect of crude
coal tar (CCT) and ultraviolet radiation (UVR). CCT contains polycyclic aromatic
hydrocarbons, some of which can form DNA adducts that may induce mutations and
contribute to carcinogenesis. The aim of our work was to evaluate the
relationship between concentrations of benzo[a]pyrene-7,8-diol-9,10-epoxide-DNA
adducts (BPDE-DNA adducts) and rs4646903 (CYP1A1 gene), rs1048943 (CYP1A1),
rs1056836 (CYP1B1), rs1051740 (EPHX1), rs2234922 (EPHX1) and rs8175347 (UGT1A1)
polymorphic sites, and GSTM1 null polymorphism in 46 patients with chronic stable
plaque psoriasis who underwent GT. The level of BPDE-DNA adducts was determined
using the OxiSelect BPDE-DNA Adduct ELISA Kit. Polymerase chain reaction (PCR)
and restriction fragment length polymorphism analysis (rs4646903, rs1048943,
rs1051740, and rs2234922), fragment analysis (rs8175347), real-time PCR
(rs1056836), and digital droplet PCR polymorphism (GSTM1) were used. CYP1B1*1/*1
wild-type subjects and CYP1B1*3/*1 heterozygotes for rs1056836 formed
significantly higher amounts of BPDE-DNA adducts than CYP1B1*3/*3 homozygotes
(p=0.031 and p=0.005, respectively). Regarding rs1051740, individuals with
EPHX1*3/*1 heterozygosity revealed fewer adducts than EPHX1*1/*1 wild-type
subjects (p=0.026). Our data suggest that CYP1B1/EPHX1 genotyping could help to
predict the risk of DNA damage and to optimize doses of coal tar and UVR exposure
in psoriatic patients in whom GT was applied.
PMID- 27188525
TI - Structure-function characterization of the human mitochondrial thiamin
pyrophosphate transporter (hMTPPT; SLC25A19): Important roles for Ile(33),
Ser(34), Asp(37), His(137) and Lys(291).
AB - Thiamin plays a critical role in cellular energy metabolism. Mammalian cells
obtain the vitamin from their surroundings, converted it to thiamin pyrophosphate
(TPP) in the cytoplasm, followed by uptake of TPP by mitochondria via a carrier
mediated process that involves the MTPPT (product of the SLC25A19 gene). Previous
studies have characterized different physiological/biological aspects of the
human MTPPT (hMTPPT), but less is known about structural features that are
important for its function. Here, we used a protein-docking model ("Phyre2" and
"DockingServer") to predict residues that may be important for function
(substrate recognition) of the hMTPPT; we also examined the role of conserved
positively-charged residues predicted ("PRALINE") to be in the trans-membrane
domains (TMDs) in uptake of the negatively-charged TPP. Among the six residues
predicted by the docking model (i.e., Thr(29), Arg(30), Ile(33), Ser(34), Asp(37)
and Phe(298)), only Ile(33), Ser(34) and Asp(37) were found to be critical for
function. While no change in translational efficiency/protein stability of the
Ser(34) mutant was observed, both the Ile(33) and Asp(37) mutants showed a
decrease in this parameter(s); there was also a decrease in the expression of the
latter two mutants in mitochondria. A need for a polar residue at position 34 of
the hMTPPT was evident. Our findings with the positively-charged residues (i.e.,
His(82), His(137), Lys(231) and Lys(291)) predicted in the TMD showed that
His(137) and Lys(291) are important for function (via a role in proper delivery
of the protein to mitochondria). These investigations provide important
information about the structure-function relationship of the hMTPPT.
PMID- 27188528
TI - Screening pi-conjugated bridges of organic dyes for dye-sensitized solar cells
with panchromatic visible light harvesting.
AB - Developing highly efficient organic dyes with panchromatic visible light
harvesting for dye-sensitized solar cells (DSSCs) is still one of the most
important scientific challenges. Here, we design a series of phenothiazine
derivative organic dyes with donor-pi-acceptor (D-pi-A) structure using density
functional theory (DFT) and time-dependent DFT (TDDFT) based on experimentally
synthesized typical SH-6 organic dyes. Results indicate that the newly designed
BUCT13 - BUCT30 dyes show smaller HOMO-LUMO energy gaps, higher molar extinction
coefficients and obvious redshifts compared to the SH-6 dye, and the maximum
absorption peaks of eight dyes are greater than 650 nm among the newly designed
dyes. In particular, BUCT27 exhibits a 234 nm redshift and the maximum molar
extinction coefficient with an increment of about 80% compared to the SH-6 dye.
BUCT19 exhibits not only a 269 nm redshift and higher molar extinction
coefficient with an increment of about 50% compared to the SH-6 dye, but the
extremely broad absorption spectrum covering the entire visible range up to the
near-IR region of 1200 nm. It is expected that this work can provide a new
strategy and guidance for the investigation of these dye-sensitized devices.
PMID- 27188527
TI - HIV infection.
AB - More than 75 million people worldwide have been infected with human
immunodeficiency virus (HIV), and there are now approximately 37 million
individuals living with the infection. Untreated HIV replication causes
progressive CD4(+) T cell loss and a wide range of immunological abnormalities,
leading to an increased risk of infectious and oncological complications. HIV
infection also contributes to cardiovascular disease, bone disease, renal and
hepatic dysfunction and several other common morbidities. Antiretroviral drugs
are highly effective at inhibiting HIV replication, and for individuals who can
access and adhere to these drugs, combination antiretroviral therapy leads to
durable (and probably lifelong) suppression of viral replication. Viral
suppression enables immune recovery and the near elimination of the risk for
developing acquired immune deficiency syndrome (AIDS). Despite effective
treatment, HIV-infected individuals have a higher than expected risk of heart,
bone, liver, kidney and neurological disease. When used optimally by an infected
(or by an uninfected) person, antiretroviral drugs can virtually eliminate the
risk of HIV transmission. Despite major advances in prevention sciences, HIV
transmission remains common in many vulnerable populations, including men who
have sex with men, injection drug users and sex workers. Owing to a lack of
widespread HIV testing and the costs and toxicities associated with
antiretroviral drugs, the majority of the infected population is not on effective
antiretroviral therapy. To reverse the pandemic, improved prevention, treatment
and implementation approaches are necessary.
PMID- 27188526
TI - Long-term outcome of peroral endoscopic myotomy for achalasia treatment in a 9
year-old female patient.
AB - Achalasia is a primary motility disorder with incomplete lower esophageal
sphincter relaxation; it has an annual incidence of 0.11 cases per 100 000
children. Peroral endoscopic myotomy (POEM) is a new endoscopic treatment method
for achalasia. Reports about POEM in pediatric patients are rare. We herein
report the case of a 9-year-old female patient with achalasia who underwent POEM.
The patient underwent endoscopic balloon dilatation because medication was not
effective at a previous hospital; however, endoscopic balloon dilatation was not
effective either. She then underwent successful POEM upon admission at our
hospital. The patient was symptom-free at 2 years postoperatively with no signs
of esophagitis in the absence of proton-pump inhibitor therapy.
PMID- 27188530
TI - Early physical and motor development of mouse offspring exposed to valproic acid
throughout intrauterine development.
AB - Clinical research has identified developmental delay and physical malformations
in children prenatally exposed to the antiepileptic drug (AED) valproic acid
(VPA). However, the early signs of neurodevelopmental deficits, their evolution
during postnatal development and growth, and the dose effects of VPA are not well
understood. The present study aimed to examine the influence of maternal exposure
to a wide dose range (50, 100, 200 and 400mg/kg/day) of VPA during breeding and
gestation on early physical and neuromotor development in mice offspring. Body
weight gain, eye opening, the surface righting reflex (SRR) and tail suspension
test (TST) were examined in the offspring at postnatal days 5, 10 and 15. We
observed that: (1) all tested doses of VPA reduced the body weight of the
offspring and the timing of eye opening; (2) offspring exposed to VPA displayed
immature forms of righting and required more time to complete the SRR; (3)
latency for the first immobilization in the TST is shorter in offspring exposed
to higher doses of VPA; however, mice in all groups exposed to VPA exhibited
atypical changes in this parameter during the examined period of maturation; (4)
irregularities in swinging and curling activities were observed in animals
exposed to higher doses of VPA. This study points to delayed somatic development
and postponed maturation of the motor system in all of the offspring prenatally
exposed to VPA, with stronger effects observed at higher doses. The results
implicate that the strategy of continuous monitoring of general health and
achievements in motor milestones during the early postnatal development in
prenatally VPA-exposed offspring, irrespectively of the dose applied, could help
to recognize early developmental irregularities.
PMID- 27188529
TI - Positive Selection on a Regulatory Insertion-Deletion Polymorphism in FADS2
Influences Apparent Endogenous Synthesis of Arachidonic Acid.
AB - Long chain polyunsaturated fatty acids (LCPUFA) are bioactive components of
membrane phospholipids and serve as substrates for signaling molecules. LCPUFA
can be obtained directly from animal foods or synthesized endogenously from 18
carbon precursors via the FADS2 coded enzyme. Vegans rely almost exclusively on
endogenous synthesis to generate LCPUFA and we hypothesized that an adaptive
genetic polymorphism would confer advantage. The rs66698963 polymorphism, a 22-bp
insertion-deletion within FADS2, is associated with basal FADS1 expression, and
coordinated induction of FADS1 and FADS2 in vitro. Here, we determined rs66698963
genotype frequencies from 234 individuals of a primarily vegetarian Indian
population and 311 individuals from the US. A much higher I/I genotype frequency
was found in Indians (68%) than in the US (18%). Analysis using 1000 Genomes
Project data confirmed our observation, revealing a global I/I genotype of 70% in
South Asians, 53% in Africans, 29% in East Asians, and 17% in Europeans. Tests
based on population divergence, site frequency spectrum, and long-range haplotype
consistently point to positive selection encompassing rs66698963 in South Asian,
African, and some East Asian populations. Basal plasma phospholipid arachidonic
acid (ARA) status was 8% greater in I/I compared with D/D individuals. The
biochemical pathway product-precursor difference, ARA minus linoleic acid, was
31% and 13% greater for I/I and I/D compared with D/D, respectively. This study
is consistent with previous in vitro data suggesting that the insertion allele
enhances n-6 LCPUFA synthesis and may confer an adaptive advantage in South
Asians because of the traditional plant-based diet practice.
PMID- 27188531
TI - PrPC expression and calpain activity independently mediate the effects of closed
head injury in mice.
AB - The normal cellular prion protein (PrPC) is a sialoglycoprotein with a
glycophosphatidylinositol anchor and expressed in highest levels within the CNS
particularly at neuronal synapses. This membrane-bound protein is involved with
many cell functions including cell signaling and neuroprotection. Calpains are
calcium-activated cysteine proteases that typically undergo controlled
activation. PrPC is a calpain substrate and is neurotoxic if it undergoes
aberrant processing with cytosol accumulation. Following traumatic brain injury
(TBI), there is an abnormal influx of Ca+2 and overactivation of calpains
resulting in neuronal dysfunction and cell death. We investigated whether PrPC
expression and calpain activity have an effect on, or are affected by, TBI. PrPC
expression in the hippocampus, cortex and cerebellum of WT and Tga20 (PrPC
overexpression) mice were unchanged after closed head injury (CHI). Further, PrPC
in WT and Tga20 mice was resistant to TBI-induced calpain proteolysis. CHI
induced calpain activation resulted in breakdown products (BDPs) of alphaII
spectrin (SBDPs) and GFAP (GBDP-44K) in all brain regions and mouse lines. CHI
caused significant increases in SBDP145, GFAP and GBDP-44K when compared to sham.
With few exceptions, the calpain inhibitor, SNJ-1945, reduced SBDP145 and GBDP
44K levels. Behavioral studies suggested that PrPC and calpain independently
affect learning and memory. Overall, we conclude that: (i) there is SNJ-1945
sensitive calpain activation in both neuron and glial cells following CHI, (ii)
closed head trauma is not affected by, nor does it have an influence on, PrPC
expression, and (iii) PrPC expression plays a minor role, if any, in CHI-induced
calpain activation in vivo.
PMID- 27188534
TI - Does industry self-regulation protect young people from exposure to alcohol
marketing? A review of compliance and complaint studies.
AB - BACKGROUND AND AIMS: Exposure to alcohol marketing is considered to be
potentially harmful to adolescents. In addition to statutory regulation, industry
self-regulation is a common way to protect adolescents from alcohol marketing
exposures. This paper critically reviews research designed to evaluate the
effectiveness of the alcohol industry's compliance procedures to manage
complaints when alcohol marketing is considered to have violated a self
regulatory code. METHODS: Peer-reviewed papers were identified through four
literature search engines: PubMed, SCOPUS, PsychINFO and CINAHL. Non-peer
reviewed reports produced by public health agencies, alcohol research centers,
non-governmental organizations, government research centers and national industry
advertising associations were also included. RESULTS: The search process yielded
three peer-reviewed papers, seven non-peer reviewed reports published by academic
institutes and non-profit organizations and 20 industry reports. The evidence
indicates that the complaint process lacks standardization across countries,
industry adjudicators may be trained inadequately or biased and few complaints
are upheld against advertisements pre-determined to contain violations of a self
regulatory code. CONCLUSIONS: The current alcohol industry marketing complaint
process used in a wide variety of countries may be ineffective at removing
potentially harmful content from the market-place. The process of determining the
validity of complaints employed by most industry groups appears to suffer from
serious conflict of interest and procedural weaknesses that could compromise
objective adjudication of even well-documented complaints. In our opinion the
current system of self-regulation needs major modifications if it is to serve
public health objectives, and more systematic evaluations of the complaint
process are needed.
PMID- 27188535
TI - Obstructive sleep apnoea syndrome.
AB - Obstructive sleep apnoea syndrome (OSAS) is a common clinical condition in which
the throat narrows or collapses repeatedly during sleep, causing obstructive
sleep apnoea events. The syndrome is particularly prevalent in middle-aged and
older adults. The mechanism by which the upper airway collapses is not fully
understood but is multifactorial and includes obesity, craniofacial changes,
alteration in upper airway muscle function, pharyngeal neuropathy and fluid shift
towards the neck. The direct consequences of the collapse are intermittent
hypoxia and hypercapnia, recurrent arousals and increase in respiratory efforts,
leading to secondary sympathetic activation, oxidative stress and systemic
inflammation. Excessive daytime sleepiness is a burden for the majority of
patients. OSAS is also associated with cardiovascular co-morbidities, including
hypertension, arrhythmias, stroke, coronary heart disease, atherosclerosis and
overall increased cardiovascular mortality, as well as metabolic dysfunction.
Whether treating sleep apnoea can fully reverse its chronic consequences remains
to be established in adequately designed studies. Continuous positive airway
pressure (CPAP) is the primary treatment modality in patients with severe OSAS,
whereas oral appliances are also widely used in mild to moderate forms. Finally,
combining different treatment modalities such as CPAP and weight control is
beneficial, but need to be evaluated in randomized controlled trials. For an
illustrated summary of this Primer, visit: http://go.nature.com/Lwc6te.
PMID- 27188532
TI - Platelet sequestration and activation during GalTKO.hCD46 pig lung perfusion by
human blood is primarily mediated by GPIb, GPIIb/IIIa, and von Willebrand Factor.
AB - BACKGROUND: Here, we ask whether platelet GPIb and GPIIb/IIIa receptors modulate
platelet sequestration and activation during GalTKO.hCD46 pig lung xenograft
perfusion. METHODS: GalTKO.hCD46 transgenic pig lungs were perfused with
heparinized fresh human blood. Results from perfusions in which alphaGPIb Fab
(6B4, 10 mg/l blood, n = 6), alphaGPIIb/IIIa Fab (ReoPro, 3.5 mg/l blood, n = 6),
or both drugs (n = 4) were administered to the perfusate were compared to two
additional groups in which the donor pig received 1-desamino-8-d-arginine
vasopressin (DDAVP), 3 MUg/kg (to pre-deplete von Willebrand Factor (pVWF), the
main GPIb ligand), with or without alphaGPIb (n = 6 each). RESULTS: Platelet
sequestration was significantly delayed in alphaGPIb, alphaGPIb+DDAVP, and
alphaGPIb+alphaGPIIb/IIIa groups. Median lung "survival" was significantly longer
(>240 vs. 162 min reference, p = 0.016), and platelet activation (as CD62P and
betaTG) were significantly inhibited, when pigs were pre-treated with DDAVP, with
or without alphaGPIb Fab treatment. Pulmonary vascular resistance rise was not
significantly attenuated in any group, and was associated with residual
thromboxane and histamine elaboration. CONCLUSIONS: The GPIb-VWF and GPIIb/IIIa
axes play important roles in platelet sequestration and coagulation cascade
activation during GalTKO.hCD46 lung xenograft injury. GPIb blockade significantly
reduces platelet activation and delays platelet sequestration in this xenolung
rejection model, an effect amplified by adding alphaGPIIb/IIIa blockade or
depletion of VWF from pig lung.
PMID- 27188536
TI - The impact of the codelivery of drug-siRNA by trimethyl chitosan nanoparticles on
the efficacy of chemotherapy for metastatic breast cancer cell line (MDA-MB-231).
AB - High-mobility group protein two (HMGA2), a nonhistone nuclear-binding protein and
its downregulators; vimentin, matrix metallopeptidase-9 (MMP-9), and E-cadherin
are shown to contribute to tumor progression and metastasis. Thus, in this study,
we checked simultaneous delivery of HMGA-2 siRNA and the anticancer drug
doxorubicin to enhance the anticancer treatment effects. For this purpose, we
used MTT assay and real-time polymerase chain reaction (RT-PCR). Our results
showed that dual delivery of Dox and HMGA-2 siRNA by trimethyl chitosan (TMC)
significantly inhibited breast cancer cells growth. Additionally, the delivery of
siRNA significantly silenced HMGA-2, vimentin, and MMP9 mRNAs, but led to
overexpression of E-cadherin mRNA.
PMID- 27188537
TI - Hepatitis B Virus Replication in CD34+ Hematopoietic Stem Cells From Umbilical
Cord Blood.
AB - BACKGROUND Hepatitis B virus (HBV) is a hepatotropic virus that can infect
extrahepatic tissue. Whether hematopoietic stem cells (HSCs) can be infected by
HBV and serve as a potential virus reservoir is still unknown. In this study, the
susceptibility of CD34+ HSCs to HBV was investigated. MATERIAL AND METHODS Cord
blood-derived CD34+ HSCs were exposed to HBV in vitro, and immunocytochemistry,
transmission electron microscopy, and RT-PCR were used to identify viral-related
proteins and specific viral genomic sequences. Then, CD34+ HSCs were challenged
by different titers of HBV, and intracellular and supernatant HBV DNA, and
hepatitis B surface antigen (HBsAg) levels, were examined. In addition, CD34+
peripheral blood stem cells (PBSCs) from chronic HBV carriers were isolated and
cultured, and HBV DNA levels were measured. RESULTS HBV-infected CD34+ cells
showed positive signals for HBsAg by DAB staining and TRITC staining, and HBV
particles were identified. RT-PCR results showed that the 403 bp PCR products
corresponding to the amplified hepatitis B S gene fragment were observed in CD34+
HSCs infected by HBV. In addition, supernatant and intracellular HBV DNA
increased with the proliferation of CD34+ HSCs. Similar results were obtained
from intracellular HBsAg quantification tests. In addition, HBV DNA levels both
in cells and in supernatants of CD34+ PBSCs increased proportionally, and the
increments of HBV DNA in the supernatants paralleled those found in cells.
CONCLUSIONS HBV can replicate in CD34+ HSCs in cord blood or peripheral blood of
chronic HBV carriers.
PMID- 27188538
TI - Antibiotic prescribing in public and private practice: a cross-sectional study in
primary care clinics in Malaysia.
AB - BACKGROUND: Antibiotic overuse is driving the emergence of antibiotic resistance
worldwide. Good data on prescribing behaviours of healthcare providers are needed
to support antimicrobial stewardship initiatives. This study examined the
differences in antibiotic prescribing rates of public and private primary care
clinics in Malaysia. METHODS: We used data from the National Medical Care Survey
(NMCS), a nationwide cluster sample of Malaysian public and private primary care
clinics in 2014. NMCS contained demographic, diagnoses and prescribing from 129
public clinics and 416 private clinics. We identified all encounters who were
prescribed antibiotic and analyse the prescribing rate, types of antibiotics, and
diagnoses that resulted in antibiotic. RESULTS: Five thousand eight hundred ten
encounters were prescribed antibiotics; antibiotic prescribing rate was 21.1 %
(public clinics 6.8 %, private clinics 30.8 %). Antibiotic prescribing was higher
in private clinics where they contributed almost 87 % of antibiotics prescribed
in primary care. Upper respiratory tract infection (URTI) was the most frequent
diagnosis in patients receiving antibiotic therapy and accounted for 49.2 % of
prescriptions. Of the patients diagnosed with URTI, 46.2 % received antibiotic
treatment (public 16.8 %, private 57.7 %). Penicillins, cephalosporins and
macrolides were the most commonly prescribed antibiotics and accounted for 30.7,
23.6 and 16.0 % of all antibiotics, respectively. More recently available broad
spectrum antibiotics such as azithromycin and quinolones were more frequently
prescribed in private clinics. CONCLUSIONS: Antibiotic prescribing rates are high
in both public and private primary care settings in Malaysia, especially in the
latter. This study provides evidence of excessive and inappropriate antibiotic
prescribing for self-limiting conditions. These data highlights the needs for
more concerted interventions targeting both prescribers and public. Improvement
strategies should focus on reducing inappropriate prescribing.
PMID- 27188540
TI - Osteological Observations on the Alytid Anura Latonia nigriventer with Comments
on Functional Morphology, Biogeography, and Evolutionary History.
AB - The Hula Painted Frog (Latonia nigriventer) is a rare frog species endemic to the
Hula Valley, Israel. The species is the sole relict of a clade that was
widespread mainly in Europe from the Oligocene until the beginning of the
Pleistocene. The osteological characteristics of L. nigriventer are described
based on X-ray microtomography scans of extant specimens and Pleistocene bones
from the Hula Valley, to elucidate the evolutionary history of Alytidae and more
specifically of Latonia. Based on the osteological description of L. nigriventer,
we now better understand the differences, between Latonia and its sister taxon
Discoglossus. They differ mainly in their cranial structure with the reinforced
skull of Latonia having powerful jaws. Latonia nigriventer can achieve great
force while closing its jaws, due to increased adductor muscle insertion surfaces
as expressed by the presence of an additional paracoronoid process and an
enlarged upper margin of the postero-lateral wall of the lower jaw. In addition,
a wider pterygoid fossa and higher canthus postero-lateralis of the
frontoparietal, compared to that of Discoglossus, also suggest the presence of
well-developed adductor muscles. Furthermore, L. nigriventer have particularly
strong skulls as expressed by: long articulations between different skull
elements, interdigitation in the contact area between the nasals and between
nasals and the frontoparietals, and fused frontoparietals. Both males and females
L. nigriventer have very robust forelimbs, as indicated by well-developed medial
crests of the humerus. Based on limited eastern Mediterranean paleontological
data, we can only suspect that the dispersal of Latonia into the Levant from Asia
Minor occurred at some point during the Miocene or later. The first appearance of
L. nigriventer in the Hula Valley, its current habitat, dates to approximately
780 thousand years ago at the archaeological site of Gesher Benot Ya'aqov. J.
Morphol. 277:1131-1145, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27188539
TI - Could refuge theory and rivers acting as barriers explain the genetic variability
distribution in the Atlantic Forest?
AB - The Atlantic Forest is one of the most species-rich ecoregions in the world. The
historical origins of this richness and the evolutionary processes that produced
diversification and promoted speciation in this ecosystem remain poorly
understood. In this context, focusing on Passiflora contracta, an endemic species
from the Atlantic Forest distributed exclusively at sea level along forest edges,
this study aimed to characterize the patterns of genetic variability and explore
two hypotheses that attempt to explain the possible causes of the genetic
diversity in this region: the refuge and riverine barrier theories. We employed
Bayesian methods combined with niche modeling to identify genetically homogeneous
groups, to determine the diversification age, and identify long-term climate
stability areas to species survival. The analyses were performed using molecular
markers from nuclear and plastid genomes, with samples collected throughout the
entire geographic distribution of the species, and comparisons with congeners
species. The results indicated that populations were genetically structured and
provided evidence of demographic stability. The molecular markers indicated the
existence of a clear structure and the presence of five homogeneous groups.
Interestingly, the separation of the groups coincides with the geographical
locations of local rivers, corroborating the hypothesis of rivers acting as
barriers to gene flow in this species. The highest levels of genetic diversity
and the areas identified as having long-term climate stability were found in the
same region reported for other species as a possible refuge area during the
climatic changes of the Quaternary.
PMID- 27188541
TI - HBP Builder: A Tool to Generate Hyperbranched Polymers and Hyperbranched Multi
Arm Copolymers for Coarse-grained and Fully Atomistic Molecular Simulations.
AB - Computer simulation has been becoming a versatile tool that can investigate
detailed information from the microscopic scale to the mesoscopic scale. However,
the crucial first step of molecular simulation is model building, particularly
for hyperbranched polymers (HBPs) and hyperbranched multi-arm copolymers (HBMCs)
with complex and various topological structures. Unlike well-defined polymers,
not only the molar weight of HBPs/HBMCs with polydispersity, but the HBPs/HBMCs
with the same degree of polymerization (DP) and degree of branching (DB) also
have many possible topological structures, thus making difficulties for user to
build model in molecular simulation. In order to build a bridge between model
building and molecular simulation of HBPs and HBMCs, we developed HBP Builder, a
C language open source HBPs/HBMCs building toolkit. HBP Builder implements an
automated protocol to build various coarse-grained and fully atomistic structures
of HBPs/HBMCs according to user's specific requirements. Meanwhile, coarse
grained and fully atomistic output structures can be directly employed in popular
simulation packages, including HOOMD, Tinker and Gromacs. Moreover, HBP Builder
has an easy-to-use graphical user interface and the modular architecture, making
it easy to extend and reuse it as a part of other program.
PMID- 27188542
TI - Updates on baseline characteristics of the omega-3 fatty acids (Fish oils) and
Aspirin in Vascular access OUtcomes in REnal Disease (FAVOURED) study.
PMID- 27188545
TI - A Brief Review of West Nile Virus Biology.
AB - West Nile virus (WNV) is an arbovirus with increased global incidence in the last
decade. It is also a major cause of human encephalitis in the USA. WNV is an
arthropod-transmitted virus that mainly affects birds but humans become infected
as incidental dead-end hosts which can cause outbreaks in naive populations. The
main vectors of WNV are mosquitoes of the genus Culex, which preferentially feed
on birds. As in many other arboviruses, the characteristics that allow
Flaviviruses like WNV to replicate and transmit to different hosts are encrypted
in their genome, which also contains information for the production of structural
and nonstructural proteins needed for host cell infection. WNV and other
Flaviviruses have developed different strategies to establish infection,
replication, and successful transmission. Most of these strategies include the
diversion of the host's immune responses away from the virus. In this review, we
describe the molecular structure and protein function of WNV with emphasis on
protein involvement in the modulation of antiviral immune responses.
PMID- 27188544
TI - Synthetic MUC1 Antitumor Vaccine Candidates with Varied Glycosylation Pattern
Bearing R/S-configured Pam3 CysSerLys4.
AB - The Toll-like receptor 2 ligand Pam3 CysSer is of particular interest for the
construction synthetic vaccines because of its ability to stimulate of the innate
immune system. Such vaccines usually comprise Pam3 CysSer with the natural R
configuration at the glycerol 2-position. Pam3 CysSer peptide vaccines with
natural configuration have been shown to be more efficient than the corresponding
R/S diastereomers. In order to clarify whether the effect of the configuration of
Pam3 Cys on the immune response also applies to glycopeptide vaccines, MUC1
glycopeptide-lipopeptide vaccines bearing either R- or R/S-configured Pam3
CysSerLys4 were compared for their immunological effects. In order to find out
whether glycosylated MUC1 tandem repeat domains comprise not only B-cell epitopes
but also T-cell epitopes, two-component vaccines containing the Pam3 CysSerLys4
lipopeptide and MUC1 glycopeptides with various glycosylation patterns were
synthesized, and their immune reactions in mice were studied.
PMID- 27188543
TI - Body image and eating behavior in young adults born preterm.
AB - OBJECTIVE: Previous studies have suggested that people born preterm have
increased rates of eating disorders (ED). However, a recent study suggested lower
levels of ED-related symptoms in the extreme group of adults born preterm with
very low birth weight (<1,500 g). We examined symptoms related to EDs in adults
born early (<34 weeks of gestational age) or late (34 to <37 weeks of gestational
age) preterm. METHODS: We studied young adults (mean age 24.1 years) from two
birth cohorts: ESTER (Northern Finland 1985-1989) and AYLS (Uusimaa, Finland,
1985-1986). Of the participants, 185 were born early preterm, 348 late preterm,
and 637 were term-born controls (N = 1,170). They completed three subscales of
the Eating Disorder Inventory (EDI)-2, including Drive for Thinness (DT), Body
Dissatisfaction (BD), and Bulimia (B). Group differences were examined by linear
regression. RESULTS: Young women born early preterm scored 4.1 points (95% CI
8.0, -0.2, P =.04) lower in summed EDI subscale scores than women born at term,
when adjusted for age and cohort. This difference was observed also in DT and BD
but not for B subscales. The differences persisted after adjustments for current,
pre- and neonatal characteristics. We did not observe differences in EDI scores
among men or women born late preterm when compared to controls. DISCUSSION: Women
born early preterm have significantly fewer symptoms related to EDs in early
adulthood when compared to their peers born at term, which may protect from
developing an ED. (c) 2016 Wiley Periodicals, Inc. (Int J Eat Disord 2016; 49:572
580).
PMID- 27188546
TI - Overview of West Nile Virus Transmission and Epidemiology.
AB - West Nile virus (WNV) is a mosquito-borne flavivirus that can cause mild-to
severe disease in humans and horses. WNV was first documented in Uganda in 1937
and passed through the majority of Africa, West Asia, and Europe before arriving
in the USA (with infections in New York City in 1999). After the spread of the
virus on the US east coast, it traveled westward, northward, and southward
through the USA and into Central and South America. WNV can cause fever, rashes,
nausea, vomiting, and potentially neuroinvasive disease or death. The virus is
sustained through a mosquito-bird-mosquito cycle and there are many species that
are competent vectors. Unfortunately, there are no vaccines and the only
treatment is supportive care. This chapter highlights the epidemiology and
transmission of WNV and provides insight into some of the challenges of
controlling WNV disease.
PMID- 27188547
TI - Propagation and Titration of West Nile Virus on Vero Cells.
AB - The propagation and titration of viruses are key virological techniques. Unlike
other flaviviruses, such as the dengue viruses, West Nile virus (WNV) grows and
plaques very efficiently on Vero cells, usually inducing strong cytopathic effect
(CPE) and forming clear plaques. Here, we outline the steps for propagating WNV
from culture supernatant stocks and homogenized organ/mosquito samples, as well
as for determining virus titers in samples by serial-dilution plaque assay using
neutral red or crystal violet stains.
PMID- 27188548
TI - Generating West Nile Virus from an Infectious Clone.
AB - WNV infectious clones are valuable tools for elucidating WNV biology.
Nevertheless, relatively few infectious WNV clones have been generated because
their construction is hampered by the instability of flaviviral genomes. More
recently, advances in cloning techniques as well as the development of several
two-plasmid WNV infectious clone systems have facilitated the generation of WNV
infectious clones. Here we described a protocol for recovering WNV from a two
plasmid system. In this approach, large quantities of these constructs are
digested with restriction enzymes to produce complementary restriction sites at
the 3' end of the upstream fragment and the 5' end of the downstream fragment.
These fragments are then annealed to produce linear template for in vitro
transcription to synthesize infectious RNA. The resulting RNA is transfected into
cells and after several days WNV is recovered in the culture supernatant. This
method can be used to generate virus from infectious clones encoding high- and
low-pathogenicity strains of WNV, as well as chimeric virues.
PMID- 27188550
TI - Lessons from the Murine Models of West Nile Virus Infection.
AB - West Nile virus (WNV), a mosquito-borne, single positive-stranded RNA virus, has
been the leading cause of arboviral encephalitis in the U.S. and other parts of
the world over the past decade. Up to 50 % of WNV convalescent patients were
reported to have long-term neurological sequelae or chronic kidney diseases.
However, there are neither antiviral drugs nor vaccines available for humans. The
underlying mechanism of the long-term sequelae is not clearly understood either.
Animal models have been an effective tool to investigate viral pathogenesis and
host immunity in humans. Here, we will review several commonly used murine models
of WNV infection.
PMID- 27188552
TI - Examination of West Nile Virus Neuroinvasion and Neuropathogenesis in the Central
Nervous System of a Murine Model.
AB - West Nile virus (WNV) is a neurotropic virus that causes inflammation and
neuronal loss in the Central Nervous System leading to encephalitis and death. In
this chapter, detailed methods to detect WNV in the murine brain tissue by
quantitative real-time polymerase chain reaction and viral plaque assays are
described. Determination of WNV neuropathogenesis by Hematoxylin and Eosin
staining and immunohistochemical procedures are provided. In addition, TUNEL
assays to determine neuronal loss during WNV neuropathogenesis are discussed in
detail. Collectively, the methods mentioned in this chapter provide an overview
to understand neuroinvasion and neuropathogenesis in a murine model of WNV
infection.
PMID- 27188551
TI - Exploration of West Nile Virus Infection in Mouse Models.
AB - West Nile virus (WNV) causes neurological diseases by penetrating the central
nervous system (CNS)-an immune-privileged system. Although the CNS residential
cells can produce antiviral immune responses, the blood leukocytes are required
to contain virus spread. However, infiltrating leukocytes may also contribute to
immunopathology if they overreact. Thus analyses of WNV infectivity and leukocyte
numbers in the CNS are critical for understanding of WNV pathogenesis in
experimental mouse models. Here I describe two basic assays for quantification of
viral titers and infiltrating leukocytes in the mouse brain after WNV infection.
PMID- 27188549
TI - Functional Analysis of West Nile Virus Proteins in Human Cells.
AB - West Nile Virus (WNV) lineage 2 strains have been responsible for large outbreaks
of neuroinvasive disease in the United States and Europe between 1999 and 2012.
Different strains in this lineage have previously been shown to produce either
severe or mild neuroinvasive disease in mice. Phylogenetic and amino acid
comparisons between highly or less virulent lineage 2 strains have demonstrated
that the nonstructural (NS) gene(s) were most variable. However, the roles of
some of the NS proteins in virus life cycle are unknown. The aim of this chapter
is to describe simple computational and experimental approaches that can be used
to: (1) explore the possible roles of the NS proteins in virus life cycle and (2)
test whether the subtle amino acid changes in WNV NS gene products contributed to
the evolution of more virulent strains. The computational approaches include
methods based on: (1) sequence similarity, (2) sequence motifs, and (3) protein
membrane topology predictions. Highlighted experimental procedures include: (1)
isolation of viral RNA from WNV-infected cells, (2) cDNA synthesis and PCR
amplification of WNV genes, (3) cloning into GFP expression vector, (4) bacterial
transformation, (5) plasmid isolation and purification, (6) transfection using
activated dendrimers (Polyfect), and (7) immunofluorescence staining of
transfected mammalian cells.
PMID- 27188556
TI - Safe Handling of West Nile Virus in the Insectary.
AB - Working with West Nile virus (WNV) in the insectary requires specific facilities
and protocols to prevent laboratory-acquired infection. Here, we review case
reports of individuals infected with members of the Flaviviridae while performing
biomedical research in traditional laboratories and insectaries. We highlight the
most common transmission route and summarize recommendations for facilities and
protocols designed to prevent laboratory-acquired infections.
PMID- 27188554
TI - Methodology for Identifying Host Factors Involved in West Nile Virus Infection.
AB - The West Nile virus (WNV) infection is a major medical problem for humans and
some domesticated animals. WNV infection of host cells involves the interplay of
the virus with several host factors. Identification of the host factors impacting
on WNV infection can enhance our understanding of virus infection mechanisms,
host immune defense mechanisms, and also reveal novel host targets that can be
developed as antivirals. RNA interference (RNAi) is a highly efficient genetic
tool to discover host genes involved in WNV infection at a genome scale. Here, we
describe a protocol for conducting human genome wide RNAi screen to discover
novel host factors associated with WNV infection of human cells.
PMID- 27188557
TI - Techniques for Experimental Infection of Mosquitoes with West Nile Virus.
AB - West Nile virus is a typical mosquito-borne flavivirus, and it is transmitted
between mosquitoes and birds in nature. As an incidental host, humans are
susceptible to WNV infection. WNV infection in humans can result in fever,
meningitis, and encephalitis. Approved human vaccines or therapies are not
available for WNV infection. In this chapter, we focus on the techniques for WNV
infection and detection in mosquitoes. The technical details include: (1) WNV
infection in cell culture; (2) Mosquito rearing; (3) WNV infection in mosquitoes
via thoracic microinjection; (4) Detection of WNV infection in mosquitoes; (5)
Determination of WNV M.I.D50 in mosquitoes; (6) WNV infection in mosquitoes via
membrane blood feeding; (7) WNV infection via blood feeding on mice; (8)
Immunofluorescence staining of WNV infected mosquito tissues.
PMID- 27188555
TI - ELISA and Neutralization Methods to Measure Anti-West Nile Virus Antibody
Responses.
AB - Measurements of humoral immune responses to West Nile virus (WNV) infection in
mouse or other animal models are valuable components of basic laboratory
investigations to assess immunogenicity of candidate vaccines or to evaluate
seroconversion following challenge with WNV. Here, we outline the steps for
screening or titrating of total antibodies by indirect enzyme linked
immunosorbent assay (ELISA) as well as assessment of neutralizing antibody titers
by immunofocus detection.
PMID- 27188558
TI - Field Surveillance Methods for West Nile Virus.
AB - Surveillance of West Nile virus (WNV) in populations of mosquitoes or sentinel
animals is of primary importance when assessing the risks to human health posed
by naturally circulating WNV. In this chapter we focus on methods for detection
of both WNV and its enzootic transmission. Methods for virus detection include
CDC mini light trap, CDC gravid trap, and dead bird surveillance. Methods for
transmission detection include passive box traps, chicken-baited traps, and
sentinel chickens.
PMID- 27188553
TI - In Vitro and In Vivo Blood-Brain Barrier Models to Study West Nile Virus
Pathogenesis.
AB - The blood-brain barrier (BBB), a specialized interface between the peripheral
blood circulation and the central nervous system, specifically regulates
molecular and cellular flux between the two. It plays a critical role in the
maintenance of brain hemostasis. The BBB restricts the entry of pathogens into
the brain, and thus its permeability is a critical factor that determines their
central effects. Once the permeability of BBB is compromised, it has serious
implications in the etiology of many brain pathologies including West Nile virus
(WNV) disease. In this chapter, we describe protocols for preparation,
maintenance, infection and permeability measurement of monolayer and bilayer in
vitro BBB models to study WNV pathogenesis. We also describe Evans blue dye
assay, a well-established method to test vascular permeability in vivo after WNV
infection.
PMID- 27188560
TI - Field Methods and Sample Collection Techniques for the Surveillance of West Nile
Virus in Avian Hosts.
AB - Avian hosts play an important role in the spread, maintenance, and amplification
of West Nile virus (WNV). Avian susceptibility to WNV varies from species to
species thus surveillance efforts can focus both on birds that survive infection
and those that succumb. Here we describe methods for the collection and sampling
of live birds for WNV antibodies or viremia, and methods for the sampling of dead
birds. Target species and study design considerations are discussed.
PMID- 27188559
TI - Detection Protocols for West Nile Virus in Mosquitoes, Birds, and Nonhuman
Mammals.
AB - West Nile virus is the most widespread mosquito-borne virus in the world, and the
most common cause of encephalitis in the USA. Surveillance for this medially
important mosquito-borne pathogen is an important part of public health practice.
Here we present protocols for testing environmental samples such as mosquitoes,
nonvertebrate mammals, and birds for this virus, including RT-PCR, virus
isolation in cell culture, and antigenic assays, as well as serologic assays for
antibody detection.
PMID- 27188563
TI - An Overview of Current Approaches Toward the Treatment and Prevention of West
Nile Virus Infection.
AB - The persistence of West Nile virus (WNV) infections throughout the USA since its
inception in 1999 and its continuous spread throughout the globe calls for an
urgent need of effective treatments and prevention measures. Although the
licensing of several WNV vaccines for veterinary use provides a proof of concept,
similar efforts on the development of an effective vaccine for humans remain
still unsuccessful. Increased understanding of biology and pathogenesis of WNV
together with recent technological advancements have raised hope that an
effective WNV vaccine may be available in the near future. In addition, rapid
progress in the structural and functional characterization of WNV and other
flaviviral proteins have provided a solid base for the design and development of
several classes of inhibitors as potential WNV therapeutics. Moreover, the
therapeutic monoclonal antibodies demonstrate an excellent efficacy against WNV
in animal models and represent a promising class of WNV therapeutics. However,
there are some challenges as to the design and development of a safe and
efficient WNV vaccine or therapeutic. In this chapter, we discuss the current
approaches, progress, and challenges toward the development of WNV vaccines,
therapeutic antibodies, and antiviral drugs.
PMID- 27188565
TI - We must remain nurse-focused.
PMID- 27188564
TI - Efficient synthesis of longer Abeta peptides via removable backbone modification.
AB - Longer amyloid-beta (Abeta) peptides (43 to 49 amino acids) play essential roles
in the pathology of Alzheimer's disease (AD). The difficulty in the preparation
of longer Abeta peptides is still an obstacle to elucidate their roles in AD.
Herein we report a robust and efficient strategy for the chemical synthesis of
longer Abeta peptides (Abeta48 and Abeta49). A key feature of this method is the
installation of removable Arg4-tagged backbone modification groups into the
hydrophobic region of Abeta. This modification can improve the handling
properties of the purification, ligation and mass characterization of longer
Abeta peptides. The practicability of the new method has been demonstrated by the
successful synthesis of Abeta48 and Abeta49 peptides.
PMID- 27188561
TI - Statistical Tools for the Interpretation of Enzootic West Nile virus Transmission
Dynamics.
AB - Interpretation of enzootic West Nile virus (WNV) surveillance indicators requires
little advanced mathematical skill, but greatly enhances the ability of public
health officials to prescribe effective WNV management tactics. Stepwise
procedures for the calculation of mosquito infection rates (IR) and vector index
(VI) are presented alongside statistical tools that require additional
computation. A brief review of advantages and important considerations for each
statistic's use is provided.
PMID- 27188567
TI - Exploring recruitment issues in stroke research: a qualitative study of nurse
researchers' experiences.
AB - AIM: To explore the practice of experienced stroke nurse researchers to
understand the issues they face in recruiting participants. BACKGROUND:
Participant recruitment is one of the greatest challenges in conducting clinical
research, with many trials failing due to recruitment problems. Stroke research
is a particularly difficult area in which to recruit; however various strategies
can improve participation. DISCUSSION: Analysis revealed three main types of
problems for recruiting participants to stroke research: those related to
patients, those related to the nurse researcher, and those related to the study
itself. Impairments affecting capacity to consent, the acute recruitment time
frame of most stroke trials, paternalism by nurse researchers, and low public
awareness were especially pertinent. CONCLUSION: The disabling nature of a
stroke, which often includes functional and cognitive impairments, and the acute
stage of illness at which patients are appropriate for many trials, make
recruiting patients particularly complex and challenging. IMPLICATIONS FOR
PRACTICE: An awareness of the issues surrounding the recruitment of stroke
patients may help researchers in designing and conducting trials. Future work is
needed to address the complexities of obtaining informed consent when patient
capacity is compromised.
PMID- 27188569
TI - Fundamentals of estimating sample size.
AB - BACKGROUND: Estimating sample size is an integral requirement in the planning
stages of quantitative studies. However, although abundant literature is
available that describes techniques for calculating sample size, many are in
depth and have varying degrees of complexity. AIM: To provide an overview of four
basic parameters that underpin the determination of sample size and to explain
sample-size estimation for three study designs common in nursing research.
DISCUSSION: Researchers can estimate basic sample size if they have a
comprehension of four parameters, such as significance level, power, effect size,
and standard deviation (for continuous data) or event rate (for dichotomous
data). In this paper, these parameters are applied to determine sample size for
the following well-established study designs: a comparison of two independent
means, the paired mean study design and a comparison of two proportions.
CONCLUSION: An informed choice of parameter values to input into estimates of
sample size enables the researcher to derive the minimum sample size required
with sufficient power to detect a meaningful effect. An understanding of the
parameters provides the foundation from which to generalise to more complex size
estimates. It also enables more informed entry of required parameters into sample
size software. IMPLICATIONS FOR PRACTICE: Underpinning the concept of evidence
based practice in nursing and midwifery is the application of findings that are
statistically sound. Researchers with a good understanding of parameters, such as
significance level, power, effect size, standard deviation and event rate, are
enabled to calculate an informed sample size estimation and to report more
clearly the rationale for applying any particular parameter value in sample size
determination.
PMID- 27188566
TI - Undertaking healthcare research with vulnerable populations: lessons to share.
PMID- 27188568
TI - Exploring how to increase response rates to surveys of older people.
AB - AIM: To address the special considerations that need to be taken into account
when collecting data from older people in healthcare research. BACKGROUND: An
objective of all research studies is to ensure there is an adequate sample size.
The final sample size will be influenced by methods of recruitment and data
collection, among other factors. There are some special considerations that need
to be addressed when collecting data among older people. DATA SOURCES:
Quantitative surveys of people aged 60 or over in 2009-2014 were analysed using
statistical methods. A quantitative study of patients aged 75 or over in an
emergency department was used as an example. REVIEW METHODS: A methodological
approach to analysing quantitative studies concerned with older people.
CONCLUSION: The best way to ensure high response rates in surveys involving
people aged 60 or over is to collect data in the presence of the researcher;
response rates are lowest in posted surveys and settings where the researcher is
not present when data are collected. Response rates do not seem to vary according
to the database from which information about the study participants is obtained
or according to who is responsible for recruitment to the survey. Implications
for research/practice To conduct coherent studies with older people, the data
collection process should be carefully considered.
PMID- 27188570
TI - Participatory action research for women with anorexia nervosa.
AB - AIM: To discuss the application of the principles of participatory action
research (PAR) in a project that developed and evaluated a mentor-mentee support
programme for women with anorexia nervosa. BACKGROUND: A programme was developed
and implemented in which mentors and mentees participated in workshops, social
activities and focus groups that sought to support and develop resilience for
those experiencing anorexia nervosa. DISCUSSION: PAR principles were mirrored in
the programme, paying respect to the views and needs of each participant, an open
trajectory to possible conclusions and a continuous feedback cycle. Mentees had a
sense of empowerment, ownership of the programme and hope that recovery was
possible. It allowed their voices to be heard and provided them with belief they
could begin new relationships and friendships. CONCLUSION: The principles of PAR
suited a project aimed at developing self-determination and resilience in women
with anorexia nervosa. IMPLICATIONS FOR RESEARCH/PRACTICE: PAR would be readily
transferable to a number of mental health settings where empowerment is of
paramount concern.
PMID- 27188562
TI - Role of Immune Aging in Susceptibility to West Nile Virus.
AB - West Nile virus (WNV) can cause severe neuroinvasive disease in humans and
currently no vaccine or specific treatments are available. As aging is the most
prominent risk factor for WNV, age-related immune dysregulation likely plays an
essential role in host susceptibility to infection with WNV. In this review, we
summarize recent findings in effects of aging on immune responses to WNV
infection. In particular, we focus on the age-dependent dysregulation of innate
immune cell types-neutrophils, macrophages, and dendritic cells-in response to
WNV infection, as well as age-related alterations in NK cells and gammadelta T
cells that may associate with increased WNV susceptibility in older people. We
also highlight two advanced technologies, i.e., mass cytometry and microRNA
profiling, which significantly contribute to systems-level study of immune
dysregulation in aging and should facilitate new discoveries for therapeutic
intervention against WNV.
PMID- 27188572
TI - Variation of Kozinets' framework and application to nursing research.
AB - BACKGROUND: Online communities are new sites for undertaking research, with their
textual interactions providing a rich source of data in real time.
'Ethnonetnography' is a research methodology based on ethnography that can be
used in these online communities. In this study, the researcher and a specialist
breast care nurse (SBCN) were immersed in the online community, adding to
patients' breast cancer care and providing a nursing research component to the
community. AIM: To examine Kozinets' ( 2010 ) framework for ethnonetnography and
how it may be varied for use in a purpose-built, disease-specific, online support
community. DISCUSSION: The online community provided an area where members could
communicate with each other. Kozinets' ( 2010 ) framework was varied in that the
research was carried out in a purpose-built community opf which an SBCN was a
member who could provide support and advice. The application of the
ethnonetnographic methodology has wide implications for clinical nursing practice
and research. CONCLUSION: Ethnonetnography can be used to study disease-specific
communities in a focused manner and can provide immediate benefits through the
inclusion of an expert nurse and contemporaneous application of research findings
to patient care. IMPLICATIONS FOR PRACTICE: With ethical permission and the
permission of online community members, nurse researchers can enter already
established online communities. Ethnonetnography is ideally suited to nursing
research as it provides the immediacy of evidence-based interaction with an
expert nurse. These real-time responses improve support for those experiencing a
critical life event.
PMID- 27188571
TI - Capturing the carer's experience: a researcher's reflections.
AB - AIM: To reflect on the methodological challenges of conducting a study exploring
the effects on quality of life of being an informal carer for a person with
palliative heart failure, as well as the factors that influence a carer's
perception of caring. BACKGROUND: There are multi-faceted influences on the
positive and negative effects of being a carer for a patient with palliative
heart failure. By conducting a mixed methods study the aim was to examine and
explore similarities and differences of the phenomenon of being a carer. DATA
SOURCES: Quantitative data obtained from the Family Quality of Life Questionnaire
(FAMQOL), and qualitative data obtained from 14 interviews with informal carers
of patients living with palliative heart failure. REVIEW METHODS: The study was
conducted as part of a PhD, University of Sheffield, and the supervisory team
reviewed the research process throughout the study. DISCUSSION: The study had a
two-phase sequential mixed methods design. A sample of carers was recruited from
heart failure nurse service caseloads in a UK urban setting. Carers were invited
to complete the Family Quality of Life Questionnaire, a tool developed for carers
of patients with heart failure. Participants were also asked to provide contact
details if they were willing to be interviewed for the second phase of the study.
CONCLUSION: The study highlights important methodological considerations for
recruiting carers. As the intention was to begin the analysis of the
questionnaires before beginning the second phase of the study, the researcher was
compelled to consider how integration was maintained and how to improve access to
carers for research. IMPLICATIONS FOR PRACTICE: The complexities associated with
the population in this study led the researcher to use a pragmatic design to
address research questions. When reflecting on the research and the challenges
associated with recruiting to the quantitative phase of the study, the researcher
used an iterative approach to meet the unfolding complexities. Such an approach
could prove beneficial for mixed methods studies that aim to engage with hard to
reach populations.
PMID- 27188576
TI - Non-small-cell lung cancer.
AB - Lung cancer is one of the most frequently diagnosed cancers and is the leading
cause of cancer-related death worldwide. Non-small-cell lung cancer (NSCLC), a
heterogeneous class of tumours, represents approximately 85% of all new lung
cancer diagnoses. Tobacco smoking remains the main risk factor for developing
this disease, but radon exposure and air pollution also have a role. Most
patients are diagnosed with advanced-stage disease owing to inadequate screening
programmes and late onset of clinical symptoms; consequently, patients have a
very poor prognosis. Several diagnostic approaches can be used for NSCLC,
including X-ray, CT and PET imaging, and histological examination of tumour
biopsies. Accurate staging of the cancer is required to determine the optimal
management strategy, which includes surgery, radiochemotherapy, immunotherapy and
targeted approaches with anti-angiogenic monoclonal antibodies or tyrosine kinase
inhibitors if tumours harbour oncogene mutations. Several of these driver
mutations have been identified (for example, in epidermal growth factor receptor
(EGFR) and anaplastic lymphoma kinase (ALK)), and therapy continues to advance to
tackle acquired resistance problems. Also, palliative care has a central role in
patient management and greatly improves quality of life. For an illustrated
summary of this Primer, visit: http://go.nature.com/rWYFgg.
PMID- 27188575
TI - Axitinib in the Treatment of Head and Neck Malignancies.
AB - Head and neck cancers (thyroid and head and neck squamous cell carcinoma (HNSCC))
are relatively common malignancies and although often curable at initial
diagnosis, treatment of advanced disease is palliative in intent. There is a
scarcity of treatment options, many of which are traditionally associated with
significant toxicities and poor survival. Translational studies have identified
numerous dysregulated pathways amongst head and neck cancers, including VEGFR and
EGFR, highlighting the potential role for targeted therapies. This review details
the role of VEGFR in both head and neck cancers and discusses recent trials
utilizing axitinib, a multi-tyrosine kinase inhibitor with targets including
VEGFR-1, -2, and -3. The article discusses the toxicity data as well as ongoing
clinical trials.
PMID- 27188580
TI - Episodic Abdominal Pain Characteristics Are Not Associated with Clinically
Relevant Improvement of Health Status After Cholecystectomy.
AB - BACKGROUND: Cholecystectomy is the therapy of first choice in patients with
uncomplicated symptomatic cholecystolithiasis, but it remains unclear which
patients truly benefit in terms of health status improvement. Patients generally
present with episodic abdominal pain of varying frequency, duration, and
intensity. We assessed whether characteristics of abdominal pain episodes are
determinants of clinically relevant improvement of health status after
cholecystectomy. METHODS: In a post hoc analysis of a prospective multicenter
cohort study, patients of >=18 years of age with uncomplicated symptomatic
cholecystolithiasis subjected to cholecystectomy were included. Preoperatively,
patients received a structured interview and a questionnaire consisting of the
visual analogue scale (VAS; range 0-100) and gastrointestinal quality of life
index (GIQLI). At 12 weeks after cholecystectomy, the GIQLI was again
administered. Logistic regression analyses were performed to determine
significant associations. RESULTS: Questionnaires were sent to 261 and returned
by 166 (63.6 %) patients (128 females, mean age at surgery 49.5 +/- 13.8). A
total of 131 (78.9 %) patients reported a clinically relevant improvement of
health status. The median (interquartile range) frequency, duration, and
intensity of abdominal pain episodes were 0.38 (0.18-0.75) a week, 4.00 (2.00
8.00) hours, and 92 (77-99), respectively. None of the characteristics was
associated with a clinically relevant improvement of health status at 12 weeks
after cholecystectomy. CONCLUSIONS: Characteristics of abdominal pain episodes
cannot be used to inform patients with symptomatic cholecystolithiasis who are
skeptic about the timing of cholecystectomy for optimal benefit. Timing of
cholecystectomy should therefore be based on other characteristics and
preferences.
PMID- 27188582
TI - The occurrence of the strongylid nematodes Kalicephalus brachycephalus, K.
bungari and K. indicus in snake species from Hunan Province, China.
AB - Kalicephalus is a genus of strongylid nematodes infecting snakes and causing
serious diseases and even death when it is complicated with secondary bacterial
infections. The infection of snakes with Kalicephalus has been reported in many
countries in the world. However, little information is available on the
prevalence of Kalicephalus in snakes in China. In the present study, the
prevalence of Kalicephalus in snakes was investigated. The worms were examined,
counted and identified to species according to existing keys and descriptions.
Three species of Kalicephalus, namely K. indicus, K. bungari and K.
brachycephalus, were found in six species of snakes (Elaphe carinata, Zaocys
dhumnade, Naja najaatra, Elaphe taeniura, Bungarus multicinctus and Dinodon
rufozonatum). The total prevalence of Kalicephalus in snakes in Hunan Province
was 39.7%. The most common species was K. indicus, with the highest prevalence
72.8%, followed by K. bungari (24.0%). The prevalence of K. brachycephalus was
0.9%. This is the first report on the prevalence of Kalicephalus species in
snakes in China, and the findings have important implications for the control of
Kalicephalus infections in snakes in China.
PMID- 27188577
TI - Disruption of Notch signaling aggravates irradiation-induced bone marrow injury,
which is ameliorated by a soluble Dll1 ligand through Csf2rb2 upregulation.
AB - Physical and chemical insult-induced bone marrow (BM) damage often leads to
lethality resulting from the depletion of hematopoietic stem and progenitor cells
(HSPCs) and/or a deteriorated BM stroma. Notch signaling plays an important role
in hematopoiesis, but whether it is involved in BM damage remains unclear. In
this study, we found that conditional disruption of RBP-J, the transcription
factor of canonical Notch signaling, increased irradiation sensitivity in mice.
Activation of Notch signaling with the endothelial cell (EC)-targeted soluble
Dll1 Notch ligand mD1R promoted BM recovery after irradiation. mD1R treatment
resulted in a significant increase in myeloid progenitors and monocytes in the
BM, spleen and peripheral blood after irradiation. mD1R also enhanced
hematopoiesis in mice treated with cyclophosphamide, a chemotherapeutic drug that
induces BM suppression. Mechanistically, mD1R increased the proliferation and
reduced the apoptosis of myeloid cells in the BM after irradiation. The beta
chain cytokine receptor Csf2rb2 was identified as a downstream molecule of Notch
signaling in hematopoietic cells. mD1R improved hematopoietic recovery through up
regulation of the hematopoietic expression of Csf2rb2. Our findings reveal the
role of Notch signaling in irradiation- and drug-induced BM suppression and
establish a new potential therapy of BM- and myelo-suppression induced by
radiotherapy and chemotherapy.
PMID- 27188583
TI - Inbreeding depression under mixed outcrossing, self-fertilization and sib-mating.
AB - BACKGROUND: Biparental inbreeding, mating between two relatives, occurs at a low
frequency in many natural plant populations, which also often have substantial
rates of self-fertilization. Although biparental inbreeding is likely to
influence the dynamics of inbreeding depression and the evolution of selfing
rates, it has received limited theoretical attention in comparison to selfing.
The only previous model suggested that biparental inbreeding can favour the
maintenance of stable intermediate selfing rates, but made unrealistic
assumptions about the genetic basis of inbreeding depression. Here we extend a
genetic model of inbreeding depression, describing nearly recessive lethal
mutations at a very large number of loci, to incorporate sib-mating. We also
include a constant component of inbreeding depression modelling the effects of
mildly deleterious, nearly additive alleles. We analyze how observed rates of sib
mating influence the mean number of heterozygous lethals alleles and inbreeding
depression in a population reproducing by a mixture of self-fertilization, sib
mating and outcrossing. We finally use the ensuing relationship between
equilibrium inbreeding depression and population selfing rate to infer the
evolutionarily stable selfing rates expected under such a mixed mating system.
RESULTS: We show that for a given rate of inbreeding, sib-mating is more
efficient at purging inbreeding depression than selfing, because homozygosity of
lethals increases more gradually through sib-mating than through selfing. Because
sib-mating promotes the purging of inbreeding depression and the evolution of
selfing, our genetic model of inbreeding depression also predicts that sib-mating
is unlikely to maintain stable intermediate selfing rates. CONCLUSIONS: Our
results imply that even low rates of sib-mating affect plant mating system
evolution, by facilitating the evolution of selfing via more efficient purging of
inbreeding depression. Alternative mechanisms, such as pollination ecology, are
necessary to explain stable mixed selfing and outcrossing.
PMID- 27188581
TI - Stool-based biomarkers of interstitial cystitis/bladder pain syndrome.
AB - Interstitial cystitis/bladder pain syndrome (IC) is associated with significant
morbidity, yet underlying mechanisms and diagnostic biomarkers remain unknown.
Pelvic organs exhibit neural crosstalk by convergence of visceral sensory
pathways, and rodent studies demonstrate distinct bacterial pain phenotypes,
suggesting that the microbiome modulates pelvic pain in IC. Stool samples were
obtained from female IC patients and healthy controls, and symptom severity was
determined by questionnaire. Operational taxonomic units (OTUs) were identified
by16S rDNA sequence analysis. Machine learning by Extended Random Forest (ERF)
identified OTUs associated with symptom scores. Quantitative PCR of stool DNA
with species-specific primer pairs demonstrated significantly reduced levels of
E. sinensis, C. aerofaciens, F. prausnitzii, O. splanchnicus, and L.
longoviformis in microbiota of IC patients. These species, deficient in IC pelvic
pain (DIPP), were further evaluated by Receiver-operator characteristic (ROC)
analyses, and DIPP species emerged as potential IC biomarkers. Stool metabolomic
studies identified glyceraldehyde as significantly elevated in IC. Metabolomic
pathway analysis identified lipid pathways, consistent with predicted metagenome
functionality. Together, these findings suggest that DIPP species and metabolites
may serve as candidates for novel IC biomarkers in stool. Functional changes in
the IC microbiome may also serve as therapeutic targets for treating chronic
pelvic pain.
PMID- 27188585
TI - Delayed healing of oral mucosa in a diabetic rat model: Implication of TNF-alpha,
IL-1beta and FGF-2.
PMID- 27188586
TI - Bosentan reverses the hypoxia-induced downregulation of the bone morphogenetic
protein signaling in pulmonary artery smooth muscle cells.
AB - AIMS: Pulmonary hypertension (PH) is a common complication of chronic hypoxic
lung diseases. Bone morphogenetic protein (BMP) and endothelin-1 signaling
pathways have been shown to be altered in hypoxic PH and to play crucial roles in
the associated pulmonary artery remodeling. We, therefore, aimed to study the
potential link between hypoxia and the alteration of BMP and endothelin-1
signaling observed in pulmonary artery smooth muscle cells (PA-SMCs) in hypoxic
PH. MATERIALS AND METHODS: Human PA-SMCs were treated with hypoxia-mimetic agent
cobalt chloride (CoCl2; 100MUM), with or without pretreatment with a dual
endothelin receptor antagonist bosentan (10MUM). Expressions of preproendothelin
1 (PPET1), BMP type 2 receptor (BMPR-2), and one BMP signaling target gene, the
inhibitor of DNA binding 1 (ID1) were evaluated by real time quantitative
polymerase chain reaction. BMP2-treated PA-SMCs were assessed for Smad1/5/8
signaling activation by Western Blotting. KEY FINDINGS: Treatment of PA-SMCs with
CoCl2 increased PPET1 gene expression, while it did not alter expressions of
endothelin converting enzyme, endothelin receptor type A or type B. Hypoxia
mimetic agent CoCl2 decreased the expressions of BMPR-2 and ID1 maximally after 3
and 6-hour treatment respectively, while CoCl2 treatment progressively increased
noggin expression. Bosentan pretreatment restored expressions of BMPR-2 and ID1,
as well as the activation (by phosphorylation) of Smad1/5/8 signaling induced by
BMP2. SIGNIFICANCE: Hypoxia induces the downregulation of the BMP signaling in PA
SMCs, at least, partly through the endothelin system. In hypoxic PH, increased
endothelin-1 production might therefore contribute to the altered BMP signaling
and subsequent PA-SMC hyperplasia.
PMID- 27188590
TI - Portal hypertensive polyps, a new entity?
AB - We present a case of a 62 year old woman with history of liver cirrhosis
secondary to autoimmune hepatitis, with portal hypertension and coagulopathy.
Gastroscopy findings were a polypoid and polylobed lesions in the gastric antrum.
These were removed and the pathological study described hyperplastic polyps with
edema, vascular congestion and hyperplasia of smooth muscle, corresponding to
"portal hypertensive polyps" (PHP).
PMID- 27188589
TI - Clinicopathologic Features of Inverted Serrated Lesions of the Large Bowel.
AB - BACKGROUND/AIMS: Serrated lesions (SLs) of the large bowel occasionally manifest
as inverted growths with endophytic expansion within the muscularis mucosa. The
aims of this investigation were to investigate the incidence of inverted SLs
(ISLs) among SLs and to describe the clinicopathologic features. METHODS: We
reviewed the colonoscopy records from 2006 to 2014 at our institution and
identified cases of endoscopically or surgically resected SLs, including
hyperplastic polyps (HPs), sessile serrated adenomas/polyps (SSA/Ps) and
traditional serrated adenomas (TSAs). The incidence of ISLs among the SLs and
their colonoscopic findings were investigated retrospectively. RESULTS: There
were 35 HPs in 30 patients, 80 SSA/Ps in 65 patients and 70 TSAs in 65 patients.
The incidence of ISLs was significantly higher among SSA/Ps (8.8%) and HPs (5.7%)
than among TSAs (0%; p = 0.04). A predominant right-sided location, a flat
elevated configuration with a central depression and round-open pit pattern or
expanded crypt openings were characteristic of ISLs of the SSA/P type.
CONCLUSIONS: Right-sided flat lesions with a central depression and round or
expanded crypts are indicative of ISLs of the SSA/P type.
PMID- 27188588
TI - Housekeeping promoter 5'pcmah-2 of pig CMP-N-acetylneuraminic acid hydroxylase
gene for NeuGc expression.
AB - In the present study, we isolated pCMAH house-keeping promoter regions (Ph),
which are responsible for transcriptional regulation and which are located
upstream of the alternative transcript pcmah-2. Luciferase reporter assays using
serial construction of each deleted promoter demonstrated that the Ph promoter
was highly active in pig-derived kidney PK15. Ph promoter of pcmah lacked a TATA
box, but contained three putative Sp1 binding sites. Mutations of these Sp1
binding sites always resulted in the reduction of luciferase activities in Ph
334. In addition, treatment with mithramycin A (25-100 nM) decreased the
luciferase activities of the Ph promoters and NeuGc expression in a dose
dependent manner. Electrophoretic mobility shift assay analysis revealed that the
probes containing each Sp1 binding site bound to Sp1. Taken together, the results
indicate that Sp1 bind to their putative binding sites on the Ph promoter regions
of pcmah and positively regulate the promoter activity in pig kidney cells.
Interspecies comparison of 5'UTRs and 5'flanking regions shows high homology
between pig and cattle, and Sp1 binding sites existing in genomic regions
corresponding Ph region are evolutionally conserved.
PMID- 27188579
TI - A Historical Perspective on Sports Concussion: Where We Have Been and Where We
Are Going.
AB - The approach to sports concussion diagnosis and management has been evolving at
an unprecedented rate over the last several years. So much so, that committees at
all level of sports have implemented concussion protocols and made adjustments to
certain league rules in an effort to minimize the risk of head injury. With this
newfound attention has come an even greater push by the scientific community to
address the many questions that remain. The aim of this review article is to
present the topic of sports concussion by means of discreet eras. It begins by
introducing the very first mentions of concussion, dating back to ancient Greece,
to present day, highlighting important periods along the way. It then goes on to
review emerging scientific data, from biomarkers and serum studies, to imaging
modalities, and brain networking. All of which will hopefully contribute to both
the diagnostic and therapeutic approach to sports concussion.
PMID- 27188591
TI - Successful balloon overtube-guided colorectal endoscopic submucosal dissection by
a gastroscope.
AB - Colorectal ESD can achieve en-bloc resection. However, cecum, hepatic and splenic
flexures are difficult locations because of unstable scope maneuvers and narrow
working space. In these cases, balloon overtube-guided ESD may confer a better
approach, improving the access to the lesion and scope manoeuvres. This overtube
has a large outer diameter and enables the use of a therapeutic gastroscope. The
procedure can also facilitate traction-assisted techniques allowing a high-rate
of en-bloc resections. In addition, large specimens can be easily retrieved
through.
PMID- 27188584
TI - Spin-texture inversion in the giant Rashba semiconductor BiTeI.
AB - Semiconductors with strong spin-orbit interaction as the underlying mechanism for
the generation of spin-polarized electrons are showing potential for applications
in spintronic devices. Unveiling the full spin texture in momentum space for such
materials and its relation to the microscopic structure of the electronic wave
functions is experimentally challenging and yet essential for exploiting spin
orbit effects for spin manipulation. Here we employ a state-of-the-art
photoelectron momentum microscope with a multichannel spin filter to directly
image the spin texture of the layered polar semiconductor BiTeI within the full
two-dimensional momentum plane. Our experimental results, supported by
relativistic ab initio calculations, demonstrate that the valence and conduction
band electrons in BiTeI have spin textures of opposite chirality and of
pronounced orbital dependence beyond the standard Rashba model, the latter giving
rise to strong optical selection-rule effects on the photoelectron spin
polarization. These observations open avenues for spin-texture manipulation by
atomic-layer and charge carrier control in polar semiconductors.
PMID- 27188593
TI - Family planning services in a tertiary hospital in a semi-urban area of south
western Nigeria: Uptake and determinants of contraceptive use.
AB - An audit of 954 family planning clinic attendees, who received counselling from
2006 to 2010, was conducted at the only federal government owned tertiary
hospital in Ondo state, Nigeria. Of these, 637 (66.8%) accepted a family planning
method: 47.6% chose injectables, 23.2% intrauterine device, 19.5% oral
contraceptive pills, 4.4% barrier methods, 3.3% implants and 2% tubal ligation.
Clients who had some primary education [OR: 2.79; (95% CI: 1.14-6.84; p < 0.05]
had statistically significant higher odds of accepting any contraceptive method
while those with elevated blood pressure at first visit [OR: 0.23; 95% CI: 0.12
0.45; p < 0.001] and those with previous episode(s) of induced abortion OR: 0.57;
95% CI: 0.43-0.76; p < 0.001] had statistically significant lower odds of using
any method. Concerted efforts at increasing uptake is advocated to bridge the gap
between client counselling and uptake.
PMID- 27188592
TI - Endoscopic diagnosis of asymptomatic perforation of colonic diverticulum.
AB - Colonic perforation as complication of acute diverticulitis is a relatively
frequent pathology and generally very symptomatic. Nevertheless, when it occurs
in the mesenteric border the course can be indolent.
PMID- 27188594
TI - Tunable Picosecond Laser Pulses via the Contrast of Two Reverse Saturable
Absorption Phases in a Waveguide Platform.
AB - How to enhance the optical nonlinearity of saturable absorption materials is an
important question to improve the functionality of various applications ranging
from the high power laser to photonic computational devices. We demonstrate the
saturable absorption (SA) of VO2 film attributed to the large difference of
optical nonlinearities between the two states of the phase-transition materials
(VO2). Such VO2 film demonstrated significantly improved performance with
saturation intensity higher than other existing ultrathin saturable absorbers by
3 orders due to its unique nonlinear optical mechanisms in the ultrafast phase
change process. Owing to this feature, a Q-switched pulsed laser was fabricated
in a waveguide platform, which is the first time to achieve picosecond pulse
duration and maintain high peak power. Furthermore, the emission of this VO2
waveguide laser can be flexibly switched between the continuous-wave (CW) and
pulsed operation regimes by tuning the temperature of the VO2 film, which enables
VO2-based miniature laser devices with unique and versatile functions.
PMID- 27188600
TI - A pseudo-icosahedral cage {Gd12} based on aminomethylphosphonate.
AB - Reaction of (aminomethyl)phosphonic acid (ampH2) with a mixture of gadolinium and
cobalt pivalates under solvothermal conditions, led to a pseudo-icosahedral cage
{Gd12}, which shows a large magnetocaloric effect (MCE).
PMID- 27188587
TI - Embryoglycan: a highly branched poly-N-acetyllactosamine in pluripotent stem
cells and early embryonic cells.
AB - Embryonal carcinoma cells, stem cells of teratocarcinomas, are pluripotent stem
cells and also prototypes of embryonic stem cells. Embryonal carcinoma cells
contain large amounts of a highly branched poly-N-acetyllactosamine called
embryoglycan, which has a molecular weight of approximately 10,000 or greater,
and is asparagine-linked. This glycan was found by analyses of fucose-labeled
glycopeptides, and its characteristics were established by biochemical analyses.
The content of embryoglycan progressively decreases during the in vitro
differentiation of embryonal carcinoma cells. Embryoglycan is also abundant in
mouse embryonic stem cells and preimplantation mouse embryos, and decreases
during embryogenesis. Embryoglycan carries a number of carbohydrate markers of
murine pluripotent stem cells. Lewis x markers, such as SSEA-1, 4C9 antigen, and
binding sites for Lotus tetragonolobus agglutinin are of particular importance.
4C9 antigenicity requires clustering of Lewis x, best accomplished by poly-N
acetyllactosamine branching, whereas SSEA-1 does not. Although in vivo evidence
is lacking, these epitopes have been suggested to participate in cell-to-cell and
cell-to-substratum adhesion. Other markers on embryoglycan include alpha
galactosyl antigens such as ECMA-2, and binding sites for Dolichos biflorus
agglutinin, the epitope of which is considered to be identical to Sda antigen,
namely, GalNAcbeta1-4(NeuAcalpha2-3)Galbeta1-4GlcNAc. While embryoglycan is also
present in human teratocarcinoma cells, the carbohydrate markers characterized in
human pluripotent stem cells to date are largely carried by glycolipids and
keratan sulfate. Information on embryoglycan and markers carried by it may assist
in the development of new markers of human pluripotent stem cells and their
progenies.
PMID- 27188599
TI - Are there bad foods or just bad diets?
PMID- 27188601
TI - Paenibacillus cisolokensis sp. nov., isolated from litter of a geyser.
AB - A Gram-stain-positive, endospore-forming, aerobic and thermophilic bacterium,
designated strain LC2-13AT, was isolated from Cisolok geyser, West Java,
Indonesia, at 50 degrees C. The isolate was rod-shaped and motile by means of
peritrichous flagella. The major cellular fatty acids were iso-C16 : 0, C16 : 0
and anteiso-C15 : 0 and the major quinone was menaquinone 7. The major polar
lipids were diphosphatidylglycerol, phosphatidylglycerol and
phosphatidylethanolamine. The genomic DNA G+C content was 56.6 mol% and the major
diagnostic diamino acid in the cell-wall peptidoglycan was meso-diaminopimelic
acid. Phylogenetic analysis based on the 16S rRNA gene sequence indicated that
strain LC2-13AT is related most closely to Paenibacillus kobensis DSM 10249T
(94.86 % similarity), Paenibacillus tarimensis SA-7-6T (94.77 %) and
Paenibacillus barengoltzii SAFN-016T (94.77 %). On the basis of phenotypic,
chemotaxonomic and phylogenetic evidence, strain LC2-13AT is affiliated to the
genus Paenibacillus, but could be distinguished from recognized species of this
genus. A novel species with the name Paenibacillus cisolokensis sp. nov. is thus
proposed. The type strain is LC2-13AT (=UICC B-42T=NRRL B-65368T=DSM 101873T).
PMID- 27188595
TI - Impaired Mobilization of Vascular Reparative Bone Marrow Cells in Streptozotocin
Induced Diabetes but not in Leptin Receptor-Deficient db/db Mice.
AB - Diabetes is associated with impaired mobilization of bone marrow stem/progenitor
cells that accelerate vascularization of ischemic areas. This study characterized
mobilization of vascular reparative bone marrow progenitor cells in mouse models
of diabetes. Age-matched control or streptozotocin (STZ)-induced diabetic, and
db/db mice with lean-controls were studied. Mobilization induced by G-CSF,
AMD3100 or ischemia was evaluated by flow cytometric enumeration of circulating
Lin(-)Sca-1(+)cKit(+) (LSK) cells, and by colony forming unit (CFU) assay. The
circulating WBCs and LSKs, and CFUs were reduced in both models with a shorter
duration (10-12 weeks) of diabetes compared to their respective controls. Longer
duration of STZ-diabetes (>=20 weeks) induced impairment of G-CSF- or AMD3100
mobilization (P < 0.01, n = 8). In db/db mice, mobilization by G-CSF or AMD3100
was either increased or unaffected (P < 0.05, n = 6 to 8). Proliferation,
migration, and ischemia-induced mobilization, of LSK cells were impaired in both
models. Leptin receptor antagonist, PESLAN-1, increased G-CSF- or AMD3100
mobilization of WBCs and LSKs, compared to the untreated. Leptin increased basal
WBCs, decreased basal and AMD3100-mobilized LSK cells, and had no effect on G
CSF. These results suggest that mobilopathy is apparent in STZ-diabetes but not
in db/db mice. Leptin receptor antagonism would be a promising approach for
reversing diabetic bone marrow mobilopathy.
PMID- 27188597
TI - Impact of individual metabolic risk components or its clustering on endothelial
and smooth muscle cell function in men.
AB - BACKGROUND: Impaired vasoreactivity is often observed in subjects with metabolic
syndrome, a condition that includes the presence of a specific cluster of risk
factors for obesity and cardiovascular disease. However, hierarchical causes in
the impaired vasoreactivity have not been clarified. We evaluated the impact of
individual metabolic risk components or its clustering under the condition of
insulin resistance on endothelial and smooth muscle cell function. METHODS:
Vascular reactivity to acetylcholine (Ach), with or without nitric oxide synthase
(NOS) inhibitor N (G)-monomethyl-L-arginine (L-NMMA), or sodium nitroprusside
(SNP) by forearm venous occlusion plethysmography and insulin sensitivity index
(M mg/kg/min) in euglycemic clamp were measured in men without (n = 18, control
group) or with (n = 19, metabolic syndrome group) metabolic syndrome. RESULTS:
(1) Ach-induced maximal forearm blood flow (maxFBF) was impaired in subjects with
metabolic syndrome. In particular, the NOS-dependent component of Ach-induced
maxFBF was selectively decreased, while the NOS-independent component remained
relatively unchanged. (2) Ach-induced maxFBF and ?Ach-induced maxFBF with L-NMMA
were correlated with waist circumference, glucose, and triglycerides, and most
strongly correlated with visceral fat area, adiponectin, and M. (3) Multivariate
regression analysis indicated that individual metabolic risk components explained
Ach-induced maxFBF by 4-21 %. Clustering of all metabolic risk components
increased this to 35 %, and the presence of metabolic syndrome explained 30 %,
indicating that defining metabolic syndrome can effectively predict impairment of
endothelial dysfunction. CONCLUSIONS: Endothelial dysfunction was correlated with
individual metabolic risk components, but more strongly with clustering of the
components under a condition with low insulin sensitivity. We suggest that in
subjects with metabolic syndrome, endothelial function is impaired by multiple
cardiovascular risk factors exclusively when under the condition of insulin
insensitivity and also that defining metabolic syndrome can effectively predict
impairment of endothelial dysfunction.
PMID- 27188602
TI - [The underlying mechanisms of overcoming EGFR-TKIs resistance by casein kinase 2
inhibitor].
AB - Nowadays, EGFR-TKIs are important treatment strategy in lung cancer, but the
resistance to EGFR-TKIs remains an unsolved issue preventing the patients from
further benefits. Recent studies have shown that casein kinase (CK2) plays an
important role in carcinogenesis and development of cancer. CK2 inhibitor has
also demonstrated anti-tumor effects. Here we reviewed the mechanism of EGFR-TKIs
and the potential reasons of resistance. Interestingly, there is a crosstalk
between CK2 and EGFR downstream signaling pathways, therefore, it may be possible
that CK2 inhibitor can overcome the EGFR-TKIs resistance.
PMID- 27188598
TI - Longitudinal brain structural alterations and systemic inflammation in
obstructive sleep apnea before and after surgical treatment.
AB - BACKGROUND: Systemic inflammation, neurocognitive impairments, and morphologic
brain changes are associated with obstructive sleep apnea (OSA). Understanding
their longitudinal evolution and interactions after surgical treatment provides
clues to the pathogenesis of cognitive impairment and its reversibility. In the
present study, we investigate clinical disease severity, systemic inflammation,
cognitive deficits, and corresponding gray matter volume (GMV) changes in OSA,
and the modifications following surgery. METHODS: Twenty-one patients with OSA
(apnea-hypopnea index, AHI > 5) and 15 healthy volunteers (AHI < 5) underwent
serial evaluation, including polysomnography, flow cytometry for leukocyte
apoptosis categorization, cognitive function evaluation, and high-resolution
brain scan. Disease severity, leukocyte apoptosis, cognitive function, and
imaging data were collected to assess therapeutic efficacy 3 months after
surgery. RESULTS: Pre-operatively, patients presented with worse cognitive
function, worse polysomnography scores, and higher early leukocyte apoptosis
associated with increased insular GMV. There was reduced GMV in the anterior
cingulate gyrus before and after surgery in the cases compared to that in
controls, suggesting an irreversible structural deficit. Post-operatively, there
were significant improvements in different cognitive domains, including
attention, executive and visuospatial function, and depression, and in early
leukocyte apoptosis. There was also a significant decrease in GMVs after
treatment, suggesting recovery from vasogenic edema in the precuneus, insula, and
cerebellum. Improvement in early leukocyte apoptosis post-surgery predicted
better recovery of precuneus GMV. CONCLUSIONS: In OSA, increased disease severity
and systemic inflammation can alter GMV in vulnerable regions. Surgical treatment
may improve disease severity and systemic inflammation, with subsequent recovery
in brain structures and functions.
PMID- 27188604
TI - [Treatment of liver cancer in vitro and in mice by monoclonal antibody targeting
epithelial specific antigen-positive liver cancer stem cells in combination with
cisplatin].
AB - OBJECTIVE: To investigate the biological characteristics of monoclonal antibodies
against human liver cancer stem cells and its therapeutic effect in combination
with cisplatin in the treatment of hepatocellular carcinoma. METHODS: Cell
culture in serum-free medium and PKH26 staining were used to determine the
existence of cancer stem cells in human liver Bel7402-V3 cell line. The co
expression of antigen recognized by monoclonal antibody (McAb) 15D2 and
epithelial specific antigen (ESA) and PKH26-positive cells in the Bel7402-V3
cells were detected by immunofluorescence assay. Serum-free suspension culture
was used to detect the self-renewal ability of 15D2-positive Bel7402-V3 cells
sorted by flow cytometry and the effect of 15D2 on the self-renewal ability of
Bel7402-V3 cells. The effect of 15D2 on cisplatin resistance in the cells was
examined by CCK8 method. The inhibitory effect of 15D2 combined with cisplatin on
the transplanted tumor growth in mice was also observed. RESULTS: Single PKH26
positive cells were observed in the Bel7402-V3 cell spheroids cultured for 11
days. Immunofluorescence assay showed that the 15D2-recognized antigen could be
conjugated with PKH26 and ESA and co-localized on Bel7402-V3 cells. The spheroid
formation rate of 15D2-positive cells in serum-free medium was significantly
higher than that of 15D2-negative cells [(30.4+/-3.4)% vs. (8.8+/-1.8)%, P<0.01].
The cisplatin resistance of 15D2-positive cells was obviously higher than that of
15D2-negative cells (IC50: 1.014 MUmol/L vs. 0.365 MUmol/L). McAb 15D2
significantly suppressed the spheroid formation of Bel7402-V3 cells, with an
inhibition rate of 37.5%. McAb 15D2 also notably inhibited the cisplatin
resistance of Bel7302-V3 cells. The IC50 was 0.211 MUg/ml in the 15D2 group and
0.325 MUg/ml in the control group. The mouse experiment showed that the tumor
growth rates of 50 mg/kg, 25 mg/kg and 12.5 mg/kg 15D2-treatment groups were
82.6%, 71.4% and 60.0%, respectively; that of the 50 mg/kg 15D2 + cisplatin group
was 91.0%, and that of the cisplatin monotherapy was 56.7%. CONCLUSION: McAb 15D2
is a functional monoclonal antibody targeting liver cancer stem cells, which
could be a potential monoclonal antibody drug for the stem cell-targeted therapy
of liver cancer.
PMID- 27188603
TI - [Effect of bufalin on proliferation and apoptosis through ERK/RSK2 pathway in
human esophageal carcinoma cell line xenografts in nude mice].
AB - OBJECTIVE: To investigate the effect of bufalin on proliferation and apoptosis
through ERK/RSK2 pathway in esophageal squamous cell carcinoma xenografts in nude
mice. METHODS: The subcutaneous xenograft model of esophageal cancer ECA109 cells
in nude mice was established. The mice were divided into the model group, low
dose bufalin group, medium-dose bufalin group, high-dose bufalin group, PD98059
group and combination group to evaluate the effect of bufalin on the xenografts.
The morphology of xenografts was observed by microscopy. The cell apoptosis index
of xenografts was detected by TUNEL assay. The expression of ERK and RSK2 mRNA of
human ECA109 cell transplantation tumor in nude mice was examined by real-time
quantitative PCR. The protein levels of ERK, p-ERK, RSK2, p-RSK2, GSK3beta, p
GSK3beta, Bad and p-Bad in the xenografts were examined by Western blot and
Immunohistochemistry. RESULTS: The tumor size of nude mice in the model group,
low-dose bufalin group (BL), medium -dose bufalin group (BM), high-dose bufalin
group (BH), PD98059 group and combined therapy group (BP) was (1.758+/-0.181)
cm(3,) (1.680+/-0.150) cm(3,) (1.285+/-0.134) cm(3,) (0.873+/-0.095) cm(3,)
(0.815+/-0.108) cm(3) and (0.530+/-0.104) cm(3,) respectively. Histological
examination showed that the xenografts of each group had varying degrees of
necrosis, and the most extensive necrosis was observed in the BP group. The TUNEL
assay showed that the cell apoptosis index of xenografts in the model, BL, BM,
BH, PD98059 and BP groups was (6.0+/-0.6)%, (11.0+/-0.7)%, (19.1+/-0.9)%, (25.1+/
1.4)%, (20.0+/-1.2)% and (17.1+/-0.7)%, respectively, which is highest in the BH
group. The real-time quantitative PCR results showed that the DeltaCT values of
ERK mRNA in the model, BL, BM, BH, PD98059 and BP groups were 0.270+/-0.084,
0.293+/-0.081, 0.596+/-0.224, 0.857+/-0.183, 0.868+/-0.187 and 1.313+/-0.282,
respectively. The DeltaCT values of RSK2 mRNA in the model, BL, BM, BH, PD98059
and BP groups were 0.340+/-0.062, 0.337+/-0.071, 0.642+/-0.226, 0.915+/-0.170,
0.923+/-0.176 and 1.413+/-0.269, respectively. The relative expression of ERK and
RSK2 mRNA was gradually decreased. Western blot and immunohistochemistry results
showed that the protein levels of ERK, RSK2 and Bad in each group were not
significantly different (P>0.05). The protein levels of p-ERK in the model, BL,
BM, BH, PD98059 and BP groups were 0.721+/-0.094, 0.695+/-0.095, 0.555+/-0.080,
0.388+/-0.052, 0.341+/-0.060, 0.235+/- 0.056, respectively. The median
immunoreactivity scores of p-ERK in each group were 8, 8, 6, 4, 5 and 3. The
protein levels of p-RSK2 in the model, BL, BM, BH, PD98059 and BP groups were
0.613+/-0.085, 0.612+/-0.084, 0.427+/-0.089, 0.305+/-0.056, 0.258+/-0.051,
0.158+/-0.058, respectively. The median immunoreactivity scores of p-RSK in each
group were 8, 8, 5, 3, 3 and 1. The protein level of GSK3beta in the model, BL,
BM, BH, PD98059 and BP groups were increased gradually, while the protein level
of p-GSK3beta and p-Bad were decreased gradually. CONCLUSIONS: Bufalin exerts
significant inhibitory effect on the esophageal squamous cell carcinoma
xenogragts in nude mice. Bufalin may suppress the growth of xenogragts in nude
mice by down-regulating the level of ERK and RSK2 phosphorylation, inhibit the
proliferation of xenogragts via inactivating GSK3beta and promote apoptosis
through down-regulation of p-Bad.
PMID- 27188605
TI - [Inhibitory effect of imrecoxib combined with lobaplatin on tumor growth and
lymph node metastasis of human lung cancer xenografts in nude mice].
AB - OBJECTIVE: To evaluate the inhibitory effect of imrecoxib combined with
lobaplatin on tumor growth and lymph node metastasis of human lung adenocarcinoma
xenografts in nude mice, and to explore its possible mechanisms. METHODS: Human
lung cancer A549 cells were injected into Bal B/c nude mice subcutaneously.
Twenty-eight healthy male nude mice were randomly divided into 4 groups: the
control group, imrecoxib group, lobaplatin group and imrecoxib combined with
lobaplatin group. Each group was treated with appropriate drugs and the tumor
size was measured every five days. The expression of ezrin and E-cadherin protein
was detected by immunohistochemistry and flow cytometry. Ezrin and E-cadherin
mRNA were detected by real-time PCR. RESULTS: The tumor inhibition rates of
imrecoxib group, lobaplatin group and combination group were 36.7%, 54.6% and
69.2%, respectively. The tumor volumes of imrecoxib group [(905.33+/-113.31)
mm(3)] and combination group [(507.74+/-77.50) mm(3)] were significantly lower
than that of the control group (1355.33+/-189.04) mm(3) (P<0.05), and the tumor
weights were significantly reduced [(1.13+/-0.14) g, (0.63+/-0.10) g
respectively] vs. (1.69+/-0.24) g (P<0.05). The expressions of ezrin protein and
mRNA in the imrecoxib group and combined treatment group were significantly lower
than that of the control group (136.53+/-35.52, 74.72+/-19.48 vs. 175.62+/-21.16
for protein expression level; 0.54+/-0.03, 0.36+/-0.03 vs. 1.02+/-0.02 for mRNA
expression level, respectively, P<0.05 for both), while the expression of E
cadherin protein and mRNA in the imrecoxib group and combined treatment group was
significantly higher than that of the control group (253.78+/-38.87, 308.94+/
24.67 vs. 213.66+/-30.31 for protein expression level; 2.19+/-0.02, 3.02+/-0.02
vs. 1.05+/-0.03 for mRNA expression level, respectively, P<0.05 for both). There
was a significant negative correlation between ezrin protein and E-cadherin
protein (r=-0.737, P<0.01), as well as between ezrin mRNA and E-cadherin mRNA (r=
0.977, P<0.01). CONCLUSIONS: Administration of imrecoxib combined with lobaphatin
has inhibitory effects on the growth of non-small cell lung cancer xenografts and
lymph node metastasis via down-regulated ezrin and upregulated E-cadherin.
Imrecoxib and lobaplatin have a synergistic antitumor effect.
PMID- 27188606
TI - [Expression of annexin A7 and its clinical significance in gastric carcinoma].
AB - OBJECTIVE: To investigate the expression of annexin A7 (ANXA7) in the
differentiation and lymphatic metastasis of gastric cancer (GC), and to
investigate the relationship between ANXA7 and biological characteristics of GC.
METHODS: The clinicopathological data of 124 patients with gastric cancer who
underwent surgical treatment in our hospital were retrospectively reviewed and
analyzed. Immunohistochemical staining and Western blot were performed to analyze
the expression of ANXA 7 in primary GC tissues. Logistic regression analysis was
conducted to evaluate the association between ANXA7 expression level and
differentiation of the GC. RESULTS: A total of 124 GC patients were enrolled in
this study, and the expression rate of ANXA7 was 65.3% in the GC. The survival
rate of ANXA7-positive patients was significantly lower than that in the patients
with negative expression (P<0.001). The results of Cox regression analysis showed
that the positive expression of ANXA7, submucosal confinement and pathological
stage of GC were associated with poor clinical outcomes. The ratio of pixel
density value of primary GC tissues with lymph node metastasis was significantly
higher than those in the tissues without lymph node metastasis (0.51+/-0.07 vs.
0.39+/-0.06, P<0.001). ROC analysis showed a high area under the curve for the
ratio of pixel density value of annexin A7 in the primary GC tissues. At a cut
off level of >0.419, the ratio of pixel density value of ANXA7 exhibited a
sensitivity of 91.2% and a specificity of 72.7% for detecting lymph node
metastasis of GC. CONCLUSION: High annexin A7 expression is associated with poor
differentiation of gastric cancer, and it may become a predictor for lymphatic
metastasis of GC.
PMID- 27188607
TI - [Effects of Rad9 mutants with impaired DNA mismatch repair function on
tumorigenesis of colorectal cancer].
AB - OBJECTIVE: The aim of this study was to investigate the effects of Rad9 mutants
with impaired DNA mismatch repair (MMR) function on the tumorigenesis of
colorectal cancer. METHODS: The colorectal cancer tumor samples were collected
from 100 patients. The mutation profiles of human Rad9 (hRad9) gene in these
samples were detected by reverse transcriptase-polymerase chain reaction (RT-PCR)
and sequencing. The plasmid of pFLAG-hRad9 (L101M) was constructed following the
QuickChange mutagenesis procedure and transfected into mRad9-deleted mouse cells
(mRad9(-/-) cells). The expression of hRad9 protein was measured by western blot
analysis. The MMR activity in live cells was detected by flow cytometry using the
reporter plasmid for MMR function. RESULTS: Mutation from Leu to Met at the
residue 101 (L101M) of hRad9 gene was detected in 7 of the 100 samples. The
mismatch repair efficiency of mRad9(-/-)+ L101M cells (mRad9-deleted mouse cells
with ectopic expression of L101M hRad9 gene) was (34.0+/-5.6)%, which was
significantly lower than that in the mRad9(-/-)+ hRad9 cells [mRad9-deleted mouse
cells with ectopic expression of hRad9 gene, (48.0+/-7.5)%, P<0.05]. After N
nitroso-N-methylurea (MNU) treatment, the survival rate of mRad9(-/-)+ L101M
cells was (33.7+/-5.9)%, which was significantly higher than that in the mRad9(-/
)+ hRad9 cells [(21.3+/-4.7)%, P<0.05]. Thus, ectopic expression of L101M hRad9
gene resulted in significantly reduced MMR activity and increased resistance to
MNU. Furthermore, ectopic expression of hRad9 gene with mutation at the target
residues of post-translational modification in mRad9(-/-) cells also led to a
reduced MMR activity. CONCLUSION: Rad9 mutants with impaired DNA mismatch repair
function may promote tumorigenesis of colorectal cancer.
PMID- 27188610
TI - [Relationship between circulating tumor cells and coagulation factors in primary
lung cancer patients].
AB - OBJECTIVE: To investigate the relationship between the presence of circulating
tumor cells (CTC) and the values of coagulation factors including D-dimer (D-D),
fibrinogen (FIB) and platelet (PLT) in primary lung cancer patients. METHODS:
Peripheral venous blood samples were collected from 79 patients with previously
untreated primary lung cancer. The levels of D-D, FIB and PLT were detected. The
CTCs were enriched by negative immunomagnetic separation with anti-CD45 antibody
and then detected by immunocytochemistry with Anti-pan Cytokeratin antibody. The
relationship between these parameters and clinicopathologic characteristics of
the patients was analyzed. RESULTS: The levels of D-D, FIB and PLT were( 1.74+/
2.04) mg/L, (3.51+/-1.46 )g/L, (311+/-139)*10(9)/L, respectively. The level of D
D was associated with distant metastasis of lung cancer (P=0.046). The level of
FIB was associated with clinical stage and distant metastasis (P<0.05). The level
of PLT was associated with age, clinical stage and distant metastasis (all
P<0.05). Among the 79 patients, there were 45 CTC-positive and 34 CTC-negative
cases. The levels of D-D in the CTC-positive and CTC-negative groups were (2.31+/
2.41)mg/L and (0.99+/-1.02)mg/L, those of FIB were (3.79+/-1.56)g/L and (3.14+/
1.25)g/L, and those of PLT were (338+/-130)*10(9)/L and (229+/-129)*10(9)/L,
respectively(P<0.05 for all). The positive rate of CTC was significantly higher
in the metastasis group (82.8%), significantly higher than that in the non
metastatic group (42.0%, P<0.001). The levels of D-D, FIB and PLT in the
metastasis group were (2.33+/-1.95)mg/L, (4.13+/-1.43)g/L and (433+/-74)*10(9)/L,
but were (1.40+/-2.03) mg/L, (3.15+/-1.37)g/L and (206+/-88)*10(9)/L in the non
metastatic group (P<0.05 for all). CONCLUSIONS: The detection of circulating
tumor cells may facilitate early prediction of distant metastasis of lung cancer.
The hypercoagulation state is more-likely correlated with the distant metastasis
of lung cancer.
PMID- 27188608
TI - [Factors related to adjuvant ovarian function suppression in premenopausal breast
cancer patients].
AB - OBJECTIVE: To analyze the applied condition of ovary function suppression (OFS)
before and after joint analysis of TEXT and SOFT trials and SOFT trial, and to
identify the relevant factors of OFS usage. METHODS: The analysis was performed
in premenopausal women with hormone receptor (HR) positive breast cancer
receiving surgical treatment from Apr 2013 to Oct 2015 in Ruijin Hospital,
Shanghai Jiaotong University School of Medicine. Adjuvant treatment strategy was
made in the multidisciplinary team (MDT) meetings. We analyzed the applied
condition of OFS before and after joint analysis, SOFT trial and its relevant
factors. RESULTS: Among 454 patients, 114 (25.1%) patients received OFS. Before
the results of joint analysis came out, all the patients (38/38) received OFS
together with tamoxifen (TAM); after the results came out, clinicians began to
put OFS with exemestane into practice, among 76 patients, 41(53.9%) patients
received OFS with exemestane while 35 (46.1%) patients received OFS together with
TAM. Before the results of SOFT trial came out, 71 out of 310 (22.9%) patients
received OFS while 43 out of 144 (29.9%) patients received OFS after that. No
significant difference was found between the proportion of patients receiving OFS
before and after the results of SOFT trial came out (P=0.112). Age, histological
grade, pN status, Ki-67 status, molecular subtype and acceptance of chemotherapy
were correlated with OFS treatment (P<0.05). Age, tumor grade and pN were
independent significant predictors of OFS usage. CONCLUSIONS: After the results
of joint analysis came out, clinicians began to apply OFS with exemestane to
premenopausal women with HR positive breast cancer. There is no significant
difference between the proportion of patients receiving OFS before and after SOFT
trial. Age, tumor grade and pN status are independent significant predictors of
OFS treatment. Patients younger than 40, with histological grade II or III tumor
and with pN1 or pN2 status are prone to receive OFS.
PMID- 27188609
TI - [Expression and intratumoral heterogeneity of LN-5gamma2 in esophageal squamous
cell carcinoma].
AB - OBJECTIVE: The present study aimed to evaluate the expression and intratumoral
heterogeneity of LN-5gamma2 in esophageal squamous cell carcinoma (ESCC).
METHODS: The expression of LN-5gamma2 protein was examined in 135 ESCC cases by
immunohistochemistry, and to analyze its relationship with the clinical relevance
of patients. The protein expressions in different regions in the same tumor as
well as different nests in the same region were compared. RESULTS: Moderate and
high expression of LN-5gamma2 protein was detected in 40.0% (54/135) of tumor
tissues. Positive immunohistochemical staining was observed in 31.1% (23/74) of
early stage (stages I/II) cases and 50.8% (31/61) of late stage (stage III)
cases, with a significant difference between these two groups (P=0.023). There
was no statistical association of LN-5gamma2 expression with age, sex of
patients, PT sage, lymph node metastasis and degree of tumor differentiation
(P>0.05). However, differential expression of LN-5gamma2 protein was found at
different sampling sites in the same tumor and the same sampling site in
different carcinomas. CONCLUSION: High expression of LN-5gamma2 is positively
correlated with tumor clinical stages and there existed intratumoral
heterogeneity of LN-5gamma2 expression in ESCC tissues.
PMID- 27188612
TI - [Prognostic analysis of gastrointestinal stromal tumors complicated with
gastrointestinal bleeding].
AB - OBJECTIVE: To study the relationship between clinicopathological characteristics,
prognosis and gastrointestinal bleeding in primary gastrointestinal stromal
tumors (GIST). METHODS: The clinicopathological and follow-up data of 200
patients with gastrointestinal stromal tumors treated in our hospital from April
2008 to December 2014 were retrospectively reviewed. The correlation of
gastrointestinal bleeding with gastrointestinal stromal tumor clinicopathological
characteristics and prognosis were analyzed. RESULTS: The 200 GIST patients were
divided into two groups according to the bleeding in the digestive tract,
including 57 gastrointestinal bleeding patients and 143 non-bleeding patients.
The mean tumor diameter was 6.5 cm (range 1.8-22 cm) in the bleeding group and
2.5 cm (range 0.4-18 cm) in the non-bleeding group (P<0.05). Of the 57 bleeding
patients, 31 located in the stomach, 25 in the small intestine, and one had
colorectal bleeding. Fifty patients had mitotic index (MI) <= 5/50 HPF, other 6
patients ranged between 5 and 10/50 HPF and one patient had MI >10/50 HPF. Six
GIST patients were complicated with tumor rapture. But in the non-bleeding group,
125 patients had gastric GIST, 8 in the small intestine, one colorectum, and 9
had esophageal or other GIST. 141 patients had MI <=5/50 HPF, 1 patients ranged
between 5 and 10/50 HPF and one patient had MI >10/50 HPF. Only 1 GIST patients
was complicated with tumor rapture. The gastrointestinal bleeding was closely
associated with tumor size, mitotic index, tumor location, risk classifications,
tumor rapture and tumor recurrence (P<0.05 for all). The 3-year and 5-year
survival rates of the 200 patients were 96.5% and 86.8%, respectively. 16
patients developed recurrence or metastasis, and 11 died of GIST. The 5-year
survival rate of patients with gastrointestinal bleeding was 76.2%, significantly
lower than that of patients without gastrointestinal bleeding (91.6%, P<0.05).
CONCLUSIONS: GIST patients complicated with gastrointestinal bleeding have poor
prognosis, and attention should be paid to stratifying patients for therapy.
PMID- 27188613
TI - [Clinical analysis of liver transplantation in treatment of liver metastatic
cancer].
AB - OBJECTIVE: To evaluate the feasibility and outcome of liver transplantation in
the treatment of liver metastatic cancer. METHODS: Four patients with
pathologically confirmed liver metastatic cancer underwent liver transplantation,
including one of liver metastases from pancreatic endocrine tumor, one from
rectal endocrine tumor, one from stomach stromal tumor and one from colorectal
carcinoma. Classic surgical method was adopted, i. e. orthotopic liver
transplantation for the recipients and transplants came from cadaveric donors.
RESULTS: All the four patients had a smooth operation, an uneventful early
postoperative recovery and good living quality. The patient with liver metastases
from pancreatic endocrine tumor had liver tumor recurrence at four and a half
years after the transplantation, and then underwent left lateral hepatic
lobectomy, without any recurrence until now. The patient with liver metastases
from rectal endocrine tumor had right renal and pelvic tumor metastasis at 16
months after the operation, and died of tumor recurrence 5 years after the liver
transplantation. The patient with liver metastases from gastric stromal tumor had
extensive pelvic metastases at five and a half months after the transplantation,
and survived with tumor for 4 years and 6 months after the operation. The patient
with liver metastases from colorectal carcinoma had extensive bilateral lung
metastases at 3 months after the transplantation and died one and a half years
after the operation. CONCLUSION: For well-differentiated unresectable metastatic
liver cancers, liver transplantation may serve as a treatment option and better
treatment results can be achieved for some highly selected patients.
PMID- 27188578
TI - Calcific aortic stenosis.
AB - Calcific aortic stenosis (AS) is the most prevalent heart valve disorder in
developed countries. It is characterized by progressive fibro-calcific
remodelling and thickening of the aortic valve leaflets that, over years, evolve
to cause severe obstruction to cardiac outflow. In developed countries, AS is the
third-most frequent cardiovascular disease after coronary artery disease and
systemic arterial hypertension, with a prevalence of 0.4% in the general
population and 1.7% in the population >65 years old. Congenital abnormality
(bicuspid valve) and older age are powerful risk factors for calcific AS.
Metabolic syndrome and an elevated plasma level of lipoprotein(a) have also been
associated with increased risk of calcific AS. The pathobiology of calcific AS is
complex and involves genetic factors, lipoprotein deposition and oxidation,
chronic inflammation, osteoblastic transition of cardiac valve interstitial cells
and active leaflet calcification. Although no pharmacotherapy has proved to be
effective in reducing the progression of AS, promising therapeutic targets
include lipoprotein(a), the renin-angiotensin system, receptor activator of NF
kappaB ligand (RANKL; also known as TNFSF11) and ectonucleotidases. Currently,
aortic valve replacement (AVR) remains the only effective treatment for severe
AS. The diagnosis and staging of AS are based on the assessment of stenosis
severity and left ventricular systolic function by Doppler echocardiography, and
the presence of symptoms. The introduction of transcatheter AVR in the past
decade has been a transformative therapeutic innovation for patients at high or
prohibitive risk for surgical valve replacement, and this new technology might
extend to lower-risk patients in the near future.
PMID- 27188615
TI - [Multi-disciplinary treatment increases the survival rate of late stage
pharyngeal, laryngeal or cervical esophageal cancers treated by free jejunal flap
reconstruction after cancer resection].
AB - OBJECTIVE: To investigate the survival status of patients with pharyngeal,
laryngeal or cervical esophageal cancers, who received free jejunal flap (FJF) to
repair the defects following tumor resection, and to analyze the effect of multi
disciplinary treatment on their survival. METHODS: Fifty-eight patients with
pharyngeal, laryngeal or cervical esophageal cancer underwent free jejunal flap
(FJF) reconstruction after cancer resection between 2010 and 2013. All their
clinical records were reviewed and analyzed. RESULTS: The success rate of flap
transplantation was 91.4% (53/58). The 2-year overall survival rates (OSR) of
cervical esophageal cancer and hypopharyngeal cancer patients were 67.5% and
49.3%, respectively, both were significantly better than that of laryngeal
cancer. The main causes of death were local recurrence and distant metastases.
The group with no short-term complications had a better two-year OSR (59.0%) than
the group with short-term complications (46.6%), however, the difference between
them was not significant (P=0.103). The 2-year survival rate of the initial
treatment group was 65.0%, better than that of the salvage treatment group
(49.4%), but the difference was not significant (P=0.051). For the stage III and
IV patients, the multi-disciplinary treatment group had a significantly better 2
year OSR (64.7%) than the single or sequential treatment group (37.0%, P=0.016).
CONCLUSIONS: Free jejunal flap reconstruction is an ideal option for repairing
the cervical digestive tract circumferential defects caused by tumor resection
with a high success rate and a low mortality. Compared with the single or
sequential treatment, multi-disciplinary treatment can significantly improve the
survival rate of late-stage hypopharyngeal and cervical esophageal cancer
patients.
PMID- 27188616
TI - [Analysis of the diagnosis and treatment for six patients with gammadelta T-cell
lymphoma or leukemia].
PMID- 27188611
TI - [Laparoscopic versus open wedge resection of gastric gastrointestinal stromal
tumors: a non-randomized case-matched study].
AB - OBJECTIVE: To investigate the safety and feasibility of laparoscopic wedge
resection for gastric gastrointestinal stromal tumors (GIST). METHODS: One
hundred GIST patients treated in our department between 2010 and 2014 were
retrospectively enrolled, and their clinicopathological data were reviewed. Fifty
patients underwent laparoscopic wedge resection, and open surgery cases were 1?1
matched by tumor diameter. The clinicopathological characteristics, perioperative
related factors, postoperative nutritional status and short-term outcome were
compared between the two groups. RESULTS: Among the clinicopathological
characteristics, only the age was of statistical significance (61.58+/-10.43 vs.
56.40+/-9.82, P=0.012). Over fifty percent of the tumors occurred in the gastric
body. Although the short-term survival did not show statistical significance, the
laparoscopic group showed predominant advantages in estimated blood loss
[(48.60+/-48.89) ml vs. (137.60+/-140.69) ml, P<0.001], average hospital stay[
(12.14+/-4.32) d vs.(17.22+/-7.11) d, P<0.001], oral intake time[ (3.76+/-1.73)d
vs. (6.28+/-3.73)d, P<0.01], decline of prealbumin [(0.07+/-0.04)g/L vs. (0.11+/
0.05)g/L, P<0.001)], decline of transferrin [(0.51+/-0.29)g/L vs. (0.64+/
0.30)g/L, P=0.034]and complication (2.0% vs. 14.0%, P=0.027). CONCLUSION:
Laparoscopic wedge resection is feasible and safe for GIST surgery, allows a
rapid recovery and having a better nutritional status in patients, and is a less
invasive approach for patients.
PMID- 27188614
TI - [Application of three-dimensional ultrasonography to assess the abdominal lymph
node metastasis of gastric carcinoma].
AB - OBJECTIVE: To investigate the clinical value of three-dimensional ultrasonography
(3D-US) in the diagnosis of abdominal lymph node metastasis of gastric carcinoma.
METHODS: A total of 95 patients with gastric cancer diagnosed by gastroscopy and
confirmed by pathology after operation were examined by 3D-US preoperatively.The
characteristics of gastric carcinoma was assessed using QLAB software. The tumor
volume, lesion diameter and invasion depth of gastric cancer were measured.
RESULTS: All of the 95 subjects were divided into two groups according to their
lymph nodes status postoperatively: group N+ , 71 patients with lymph node
metastasis; and group N0, 24 patients without lymph node metastasis.The
quantitative 3D-US analysis and pathological results of these two groups were
compared with each other. The tumor volume of group N+ was significantly higher
than that of the group N0 [(26.02+/-6.87)cm(3) vs. (13.11+/-2.79)cm(3), P<0.05],
the invasion depth was significantly deeper in the group N+ than in the group N0
[(1.57+/-0.29)cm VS (1.10+/-0.29)cm, P<0.05]. However, there was no significant
difference of the lesion diameters between these two groups. Multivariate
analysis showed that tumor volume and depth of invasion are independently
correlated with lymph node metastasis. A cut-off value=16.8 cm(3) of the tumor
volume for assessing the lymph node metastasis had a sensitivity of 90.1% and
specificity of 95.8%, respectively, obtained by the area under the ROC curve.
CONCLUSION: The tumor volume of gastric cancer can be obtained by 3D
ultrasonography, and the tumor volume can be considered as a new potential index
to evaluate the abdominal lymph node metastasis of gastric cancer before surgery.
PMID- 27188618
TI - The experience of depression, anxiety, and mania among perinatal women.
AB - We assessed differential item functioning (DIF) based on computerized adaptive
testing (CAT) to examine how perinatal mood disorders differ from adult
psychiatric disorders. The CAT-Mental Health (CAT-MH) was administered to 1614
adult psychiatric outpatients and 419 perinatal women with IRB approval. We
examined individual item-level differences using logistic regression and overall
score differences by scoring the perinatal data using the original bifactor model
calibration based on the psychiatric sample data and a new bifactor model
calibration based on the perinatal data and computing their correlation. To
examine convergent validity, we computed correlations of the CAT-MH with
contemporaneously administered Edinburgh Postnatal Depression Scales (EPDS). The
rate of major depression in the perinatal sample was 13 %. Rates of anxiety,
mania, and suicide risk were 5, 6, and 0.4 %, respectively. One of 66 depression
items, one of 69 anxiety items, and 15 of 53 mania items exhibited DIF (i.e.,
failure to discriminate between high and low levels of the disorder) in the
perinatal sample based on the psychiatric sample calibration. Removal of these
items resulted in correlations of the original and perinatal calibrations of r =
0.983 for depression, r = 0.986 for anxiety, and r = 0.932 for mania. The 91.3 %
of cases were concordantly categorized as either "at-risk" or "low-risk" between
the EPDS and the perinatal calibration of the CAT-MH. There was little evidence
of DIF for depression and anxiety symptoms in perinatal women. This was not true
for mania. Now calibrated for perinatal women, the CAT-MH can be evaluated for
longitudinal symptom monitoring.
PMID- 27188617
TI - [Insights on colorectal carcinoma based on the biological differences between
left-sided and right- sided colon cancers].
AB - Previous studies have demonstrated that there are biological differences between
colon and rectal cancers. Recently, some authors have proposed that colorectal
cancer could be classified into several categories according to the primary sites
of the tumor, proximal or distal. It is speculated that the differences are due
to different embryologic origin and time to initial diagnosis. Further evidence
supports that the molecular differences in the status of K-ras, BRAF, and
microsatellite instability (MSI) based on primary sites in the bowel are the
basis that impacts the response to therapeutic agents and patient prognosis.
PMID- 27188621
TI - [Food intolerances caused by enzyme defects and carbohydrate malassimiliations :
Lactose intolerance and Co].
AB - Apart from allergic conditions, carbohydrate malassimiliations (sugar metabolism
disorders) are classified within the group of food intolerances. These dose
dependent, yet non-immunological reactions require gastroenterological or
internal diagnosis following nutritional therapy. Intolerances to carbohydrates
such as lactose (milk sugar) and fructose (fruit sugar) in addition to sugar
alcohols (sorbitol, mannitol, lactitol etc.) have been gaining increasing
attention in recent decades as they are the cause of a wide range of
gastrointestinal symptoms. There are currently various options for both diagnosis
and therapy that differ notably in terms of effort, costs, and efficiency.
Nutritional change and patient education are the bases of therapy. Non-observance
of the trigger will result in increasing complaints and possibly even more
infections, e.g., diverticula, rectal disorders, bacterial miscolonization, bile
acid malabsorption). For an optimal therapy, the following sugar metabolism
disorders have to be differentiated: hypolactasia versus lactose maldigestion,
fructose malabsorption versus fructose overload, combined lactose and fructose
intolerance, and isolated adverse reactions against sorbitol.For the medical
conditions listed above, a three- or four-stage treatment regimen is recommended.
Extensive dietary restrictions with regard to the relevant sugar, except for
lactose, should not be maintained over a longer period of time.
PMID- 27188622
TI - Dispersibility of vapor phase oxygen and nitrogen functionalized multi-walled
carbon nanotubes in various organic solvents.
AB - The synthesis and characterization of gas phase oxygen- and nitrogen
functionalized multi-walled carbon nanotubes (OMWCNTs and NMWCNTs) and the
dispersibility of these tubes in organic solvents were investigated. Recently,
carbon nanotubes have shown supreme capacity to effectively enhance the
efficiency of organic solar cells (OSCs). A critical challenge is to
individualize tubes from their bundles in order to provide homogenous nano
domains in the active layer of OSCs. OMWCNTs and NMWCNTs were synthesized via
HNO3 vapor and NH3 treatments, respectively. Surface functional groups and the
structure of the tubes were analyzed by temperature-programmed desorption,
Fourier transform infrared spectroscopy, transmission electron microscopy, and
Raman spectroscopy which confirmed the formation of functional groups on the tube
surface and the enhancement of surface defects. Elemental analysis demonstrated
that the oxygen and nitrogen content increased with increasing treatment time of
the multi-walled carbon nanotube (MWCNT) in HNO3 vapor. According to ultra-violet
visible spectroscopy, modification of the MWCNT increased the extinction
coefficients of the tubes owing to enhanced compatibility of the functionalized
tubes with organic matrices.
PMID- 27188623
TI - Conjunctival flora of healthy and diseased eyes of grey seals (Halichoerus
grypus): implications for treatment.
AB - Ocular pathology is relatively common in stranded seals admitted to wildlife
rehabilitation hospitals. Some have pre-existing problems, while others develop
eye problems in captivity, and in particular ulcerative keratitis, due to factors
such as large prominent eyes, suboptimal water quality, trauma and infighting.
Despite treatment, corneal ulcerations can rapidly progress to 'melting' ulcers
with subsequent rupture of the globe. In this case series, 32 grey seals
(Halichoerus grypus) had conjunctival swabs taken on admission to a UK wildlife
hospital to identify ocular bacterial flora and nine had subsequent swabs taken
after four weeks to see if this changed in captivity. Additionally, nine seals
with ocular pathology were also swabbed. Although a wide range of bacteria were
cultured on admission, the most common isolates were Gemella haemolysans,
Escherichia coli and Clostridium perfringens All 'melting' ulcers were associated
with Pseudomonas aeruginosa, which suggests this bacterial species may be
significant in the pathogenesis of progressive stromal ulceration in grey seals.
PMID- 27188619
TI - Cases of human brucellosis in Sweden linked to Middle East and Africa.
AB - BACKGROUND: Human brucellosis cases are still reported each year in Sweden
despite eradication of the disease in animals. Epidemiological investigation has
never been conducted to trace back the source of human infection in the country.
The purpose of the study was to identify the source of infection for 16 human
brucellosis cases that occurred in Sweden, during the period 2008-2012. RESULTS:
The isolates were identified as Brucella melitensis and MLVA-16 genotyping
revealed 14 different genotypes of East Mediterranean and Africa lineages. We
also reported one case of laboratory-acquired brucellosis (LAB) that was shown to
be epidemiological linked to one of the cases in the current study. CONCLUSIONS:
Brucella melitensis was the only species diagnosed, confirming its highest
zoonotic potential in the genus Brucella, and MLVA-16 results demonstrated that
the cases of brucellosis in Sweden herein investigated, are imported and linked
to travel in the Middle East and Africa. Due to its zoonotic concerns, any acute
febrile illness linked to recent travel within those regions should be
investigated for brucellosis and samples should be processed according to
biosafety level 3 regulations.
PMID- 27188620
TI - [Percutaneous coronary interventions : Use between 2004 and 2012 in Germany].
AB - BACKGROUND AND OBJECTIVE: Percutaneous coronary interventions (PCIs) are
increasingly being performed in the treatment of coronary artery disease. The aim
of this study is to describe the frequency of PCIs by age, sex, type, and setting
of the intervention in Germany. METHODS: Based on routine data of more than eight
million insurants from three statutory health insurance funds, quarterly sex- and
age-specific intervention rates were calculated between 2004 and 2012. Moreover,
inpatient PCIs were subdivided into PCIs with conventional bare metal stents
(BMS) and PCIs with drug-eluting stents (DES). Rates were age- and sex
standardized according to the age and sex distribution of the particular years in
Germany. RESULTS: Standardized rates increased from 277.3 to 382.2 per 100,000
person-years between 2004 and 2012. The intervention rate was three times higher
in men than in women. The relative increase in the overall rate and in the rate
of PCI with DES during the study period were 38 and 548 % respectively, whereas
the rate of PCI with BMS declined by 48 %. Of all PCIs, 7-11 % were outpatient
PCIs during the study period. CONCLUSIONS: PCIs are increasingly being performed
in Germany, particularly PCI with DES. The frequency of PCI with BMS implantation
is decreasing. Sex-specific differences in the frequency of PCI go beyond
differences that would have been expected because of a differing morbidity
profile. Our analyses indicate that comparatively few outpatient PCIs are
performed.
PMID- 27188624
TI - Associations in the continuum of care for maternal, newborn and child health: a
population-based study of 12 sub-Saharan Africa countries.
AB - BACKGROUND: Despite the progress in the Millennium Development Goals (MDGs) 4 and
5, inequity in the utilization of maternal, newborn and child health (MNCH) care
services still remain high in sub-Saharan Africa (SSA). The continuum of care for
MNCH that recognizes a tight inter-relationship between maternal, newborn and
child health at different time periods and location is key towards reducing
inequity in health. In this study, we explored the distributions in the
utilization MNCH services in 12 SSA countries and further investigated the
associations in the continuum of care for MNCH. METHODS: Using Demographic and
Health Surveys data of 12 countries in SSA, structural equation modeling approach
was employed to analyze the complex relationships in continuum of care for MNCH
model. The Full Information Maximum Likelihood estimation procedure which account
for the Missing at Random (MAR) and Missing Completely at Random (MCAR)
assumptions was adopted in LISREL 8.80. The distribution of MNCH care utilization
was presented before the estimated association in the continuum of care for MNCH
model. RESULTS: Some countries have a consistently low (Mali, Nigeria, DR Congo
and Rwanda) or high (Namibia, Senegal, Gambia and Liberia) utilization in at
least two levels of MNCH care. The path relationships in the continuum of care
for MNCH from 'adequate antenatal care' to 'adequate delivery care' (0.32) and to
'adequate child's immunization' (0.36); from 'adequate delivery care' to
'adequate postnatal care' (0.78) and to 'adequate child's immunization' (0.15)
were positively associated and statistically significant at p < 0.001. Only the
path relationship from 'adequate postnatal care' to 'adequate child's
immunization' (-0.02) was negatively associated and significant at p < 0.001.
CONCLUSIONS: In conclusion, utilization of each level of MNCH care is related to
the next level of care, that is - antenatal care is associated with delivery care
which is then associated with postnatal and subsequently with child's
immunization program. At the national level, identification of communities which
are greatly contributing to overall disparity in health and a well laid out
follow-up mechanism from pregnancy through to child's immunization program could
serve towards improving maternal and infant health outcomes and equity.
PMID- 27188625
TI - Comment on Fard et al.'s Candidate gene variants of the immune system and sudden
infant death syndrome.
PMID- 27188626
TI - Genetic polymorphisms of 26 Y-STR loci in the Mongolian minority from Horqin
district, China.
AB - To study the population data of Y chromosome STR (Y-STRs) of the Mongolian
minority population residing in the Horqin district, we analyzed haplotypes of 26
Y-STRs (DYS19, DYS385a/b, DYS389I, DYS389II, DYS390, DYS391, DYS392, DYS393,
DYS437, DYS438, DYS439, DYS448, DYS456, DYS458, DYS481, DYS533, DYS549, DYS570,
DYS576, DYS635, DYS643, DYS388, DYS449, DYS460, and YGATAH4) in 298 unrelated
Chinese Mongolian individuals using the commercially available Goldeneye(r) DNA
ID 26Y system. We also investigated blood stains, saliva spots, semen spots, hair
follicles, fingernails, and sweat latent fingerprints from ten healthy males for
testing the efficiency of direct amplification of this new Y-STRs system. The
calculated average gene diversity values of the Mongolian population ranged from
0.3024 to 0.9510 for the DYS389I and DYS385a/b loci, respectively. The
discriminatory capacity was 92.95 % with 277 observed haplotypes using 23 Y-STR
loci (DYS19, DYS385a/b, DYS389I, DYS389II, DYS390, DYS391, DYS392, DYS393,
DYS437, DYS438, DYS439, DYS448, DYS456, DYS458, DYS481, DYS533, DYS549, DYS570,
DYS576, DYS635, DYS643, and YGATAH4). By adding three more Y-STRs (DYS388,
DYS449, and DYS460) to the 26Y system, the discriminatory capacity was increased
to 94.63 % with a total of 282 observed haplotypes. Population relationships were
calculated and compared with seven populations available from the Y chromosome
haplotype reference database and data from ten Asian populations published
previously. The Mongolian minority population residing in Horqin district is
significantly different from other populations. Our results indicated that these
26 Y-STRs were highly genetically polymorphic in the Mongolian group and this
contributes greatly to existing Chinese ethnic genetic information. As a result
of direct amplification, we have obtained full profile from all blood stains,
saliva spots, hair follicles, and fingernails; six semen spots; and one sweat
latent fingerprint. It revealed that the 26 Y-STR system was a valuable tool for
male sex analysis in forensic field and the kit was highly adaptive to direct
amplification of various samples including blood stain, saliva spot, hair
follicle, and fingernail.
PMID- 27188628
TI - Erratum to: Is immunosenescence influenced by our lifetime "dose" of exercise?
PMID- 27188627
TI - Co-effect of silk and amniotic membrane for tendon repair.
AB - The objective of the present study was to determine the feasibility and
biocompatibility of a silk scaffold and a composite silk scaffold in terms of new
tendon generation using a rabbit Achilles tendon model. The silk scaffold was
constructed using a weaving machine, then soaked in a 1% collagen-hyaluronan (HA)
solution and air-dried, whereas the composite silk scaffold was composed of a
silk scaffold containing a lyophilized collagen-HA substrate. Tenocytes were
cultured in vitro to compare cell populations in the two groups. The cellular
densities on composite silk scaffolds were 40% higher on average than those on
silk scaffolds in 30-day tenocyte cultures. The tendon scaffolds had implanted
into Achilles tendon defects in 16 white New Zealand rabbits. Rabbits were
randomly divided into the following three groups: group I, silk scaffold alone;
group II, composite silk scaffold; and group III, composite silk scaffold wrapped
by an amniotic membrane. Implants were harvested 2, 8, and 12 weeks post
implantation. Histological examinations were conducted using hematoxylin-eosin
(H&E), Masson's trichrome, and by performing immunohistochemical staining for
CD34. After 12 weeks, the three groups were distinguishable based on gross
examination. The histological examination revealed more organized collagen
fibrils in groups III, which showed a dense, parallel, linear organization of
collagen bundles. CD34 staining revealed neoangiogenesis in groups III. The
results of this research showed that collagen-HA substrates with amniotic
membrane accelerate cellular migration and angiogenesis in neotendons.
PMID- 27188629
TI - Biogenesis of antibiotics-viewing its history and glimpses of the future.
AB - This review aims at comparing some historical data with the current situation in
the study of biogenesis of natural compounds, antibiotics in the first place.
Biogenesis of tetracyclines and cycloheximide and related compounds serves as
example. Examples of molecular biological and bioinformatics methods used in the
study of antibiotic biogenesis are described both in terms of its historical
aspects and the current knowledge.
PMID- 27188630
TI - Gender of the Clinician, Child, and Guardian and the Association With Receipt of
Routine Adolescent Vaccines.
AB - PURPOSE: To analyze the relationship of the gender of the clinician, child, and
guardian to the child's receipt of human papilloma virus (HPV) vaccine, which
prevents a sexually transmitted infection, compared to tetanus, diphtheria,
acellular pertussis (Tdap) and meningococcal dose 1 (MCV4) vaccines, which do
not. METHODS: We extracted electronic health record data from visits by
adolescents 11-18 years of age to 27 primary care practices from 2009 to 2014.
Visits with pediatricians, physician assistants, and nurse practitioners were
included if they were the first at which HPV vaccine was due (n = 102,736). After
stratifying by visit type (preventive/acute), generalized estimating equations
with robust variance estimators accounted for clustering of visits within
practices. Adjusting for all covariates, these models were used to estimate
standardized proportions of "captured opportunities" (vaccine due and given) for
HPV dose 1 (HPV), Tdap, and MCV4. RESULTS: Fewer opportunities were captured at
acute versus preventive visits. Although rates were low, female clinicians
delivered all three vaccines at higher rates than male clinicians at acute (HPV:
2.7% vs. 1.2%, p < .001; Tdap: 6.4% vs. 4.1%, p = .013; MCV4: 6.0% vs. 3.7%, p =
.013) but not preventive visits. Girls received HPV vaccine at higher rates than
boys early in the study period, but the gap closed over time (p < .001). Guardian
gender was not associated with vaccination. CONCLUSIONS: Clinician gender was
associated with vaccination at acute, but not preventive, visits. In the context
of efforts to increase acute visit vaccination, differences between female and
male clinicians' rates might reflect alternate practice styles by gender that
deserve future study.
PMID- 27188631
TI - Synthesis of isoalkanes over a core (Fe-Zn-Zr)-shell (zeolite) catalyst by CO2
hydrogenation.
AB - A kind of core-shell catalyst with Fe-Zn-Zr as the core and a zeolite (HZSM-5,
Hbeta, and HY) as the shell was synthesized by a simple cladding method. The
catalyst has an obvious confinement effect on the synthesis of isoalkanes by CO2
hydrogenation. Especially, the Fe-Zn-Zr@HZSM-5-Hbeta catalyst with a double
zeolite shell exhibits an extraordinary high i-HC/t-HC ratio.
PMID- 27188633
TI - Semen characteristics and reaction time of Yankasa rams experimentally infected
with Trypanosoma evansi infection.
AB - Trypanosomosis is a serious, often fatal disease of domestic animals and humans,
and a major constraint to livestock productivity and agricultural development in
areas of Africa, Latin America, the Middle East, and Asia. It is caused by
hemoflagelate protozoan of the genus Trypanosoma. Several species of Trypanosoma
such as Trypanosoma congolense, Trypanosoma vivax, Trypanosoma brucei, and
Trypanosoma evansi are known to infect domestic animals. Trypanosoma evansi is
one of the most widespread pathogenic trypanosomes in the world causing disease
known as "Surra" in animals. The effects of experimental T evansi infection on
some aspects of reproduction in Yankasa rams were investigated over a 108-day
period. Rams in the infected group A (n = 7) were each inoculated with 1 * 10(6)
trypanosomes in 1 mL of donor blood via the jugular vein, whereas the control
group B (n = 5) were administered 1 mL of normal saline. Semen volume, gross
motility, live and/or dead sperm ratio, sperm morphologic abnormalities, and
concentration as well as reaction time of infected and control rams were
evaluated on a weekly basis. The results showed a nonsignificant (P > 0.05)
decrease in semen volume and a significant (P < 0.05) decrease in concentration
compared to the control rams. Reaction time showed considerable significant (P <
0.05) increase from preinfection values 26.7 +/- 4.54 to 94.7 +/- 7.54 seconds
compared to control 32.9 +/- 2.64 to 33.4 +/- 4.78 seconds. Furthermore, semen
gross motility for infected rams differed significantly (P < 0.05) from those of
the control. There was a significant surge (P < 0.05) in the total sperm
morphologic abnormalities in the infected rams to 90.75 +/- 2.73% by week 20 (14
weeks after infection), compared to preinfection value of 20.9 +/- 0.52%. The
outcome of this study suggests that infection with T evansi in Yankasa rams has
far reaching severe effects on their reproductive performance.
PMID- 27188632
TI - Viruses and bacteria in Th2-biased allergic airway disease.
AB - Allergic airway diseases are typically characterized by a type 2-biased
inflammation. Multiple distinct viruses and bacteria have been detected in the
airways. Recently, it has been confirmed that the microbiome of allergic
individuals differs from that of healthy subjects, showing a close relationship
with the type 2 response in allergic airway disease. In this review, we summarize
the recent findings on the prevalence of viruses and bacteria in type 2-biased
airway diseases and on the mechanisms employed by viruses and bacteria in
propagating type 2 responses. The understanding of the microbial composition and
postinfectious immune programming is critical for the reconstruction of the
normal microflora and immune status in allergic airway diseases.
PMID- 27188634
TI - Coassembly of Tobacco Mosaic Virus Coat Proteins into Nanotubes with Uniform
Length and Improved Physical Stability.
AB - Using tobacco mosaic virus coat proteins (TMVcp) from both sources of the plant
and bacterial expression systems as building blocks, we demonstrate here a
coassembly strategy of TMV nanotubes in the presence of RNA. Specifically, plant
expressed cp (cpp) efficiently dominates the genomic RNA encapsidation to
determine the length of assembled TMV nanotubes, whereas the incorporated
Escherichia coli-expressed cp (cpec) improves the physical stability of TMV
nanotubes by introducing disulfide bonds between the interfaces of subunits. We
expect this coassembly strategy can be expanded to other virus nanomaterials to
obtain desired properties based on rationally designed protein-RNA and protein
protein interfacial interactions.
PMID- 27188635
TI - Anger in psychological disorders: Prevalence, presentation, etiology and
prognostic implications.
AB - Anger is present as a key criterion in five diagnoses within DSM-5: Intermittent
Explosive Disorder, Oppositional Defiant Disorder, Disruptive Mood Dysregulation
Disorder, Borderline Personality Disorder and Bipolar Disorder. This review
amasses scientific literature demonstrating that within each of these disorders,
anger is a central clinical feature that is highly prevalent and predictive of
important outcomes. For each disorder, we also discuss the phenomenology and
etiology of anger. Although models of anger have been quite distinct across these
disorders, few empirical studies have truly tested whether anger stems from
different etiological factors across these different conditions. We end with a
discussion of transdiagnostic research that draws from cognitive psychology,
affective science, and the neuroscience of anger, and that also fits with
integrative approaches to treatment.
PMID- 27188636
TI - Bilateral Stereotactic Thalamotomy for Bilateral Musician's Hand Dystonia.
AB - BACKGROUND: Focal hand dystonia in musicians, also known as musician's dystonia,
is a task-specific movement disorder characterized by unwanted involuntary muscle
contractions occurring only when playing a musical instrument. CASE DESCRIPTION:
Case 1 was a 50-year-old female professional pianist who underwent staged
bilateral ventro-oral (Vo) thalamotomy, with an interval between the first and
second surgery of 4 years. The first surgery (right Vo thalamotomy) led to
significant improvements in dystonic symptoms without any complications. Pre- and
postoperative Tubiana's musician's dystonia scale (TMDS) scores were 2 and 5,
respectively. The second surgery (left Vo thalamotomy) also led to significant
improvements in dystonic symptoms, with dysarthria and verbal recall disturbance
resolving within 3 months. Pre- and postoperative TMDS scores were 2 and 5,
respectively. The patient was subsequently able to return to live-stage
performances. Case 2 was a 48-year-old male clarinet repair technician who
underwent staged bilateral Vo thalamotomy, with an interval between the first and
second surgery of 13 months. The first surgery (right Vo thalamotomy) led to
dramatic improvements in symptoms without any complications. Pre- and
postoperative TMDS scores were 2 and 5, respectively. The second surgery (left Vo
thalamotomy) also led to significant improvements in symptoms with transient
hypophonia. Pre- and postoperative TMDS scores were 2 and 5, respectively. The
patient was subsequently able to return to work without difficulty. CONCLUSIONS:
The findings in these 2 cases indicate the utility of bilateral stereotactic Vo
thalamotomy in the treatment of medically intractable musician's dystonia
affecting both hands.
PMID- 27188637
TI - Expanding the Horizon of the Suboccipital Retrosigmoid Approach to the Middle
Incisural Space by Cutting the Tentorium Cerebelli: Anatomic Study and
Illustration of 2 Cases.
AB - OBJECTIVE: Complex skull base approaches are often used to treat lesions within
the middle incisural space; yet the well-known retrosigmoid route may provide an
effective avenue to this difficult-to-reach region. The purpose of this study was
to quantify the exposure advantages on the middle incisural space provided by
cutting of the tentorium cerebelli via a standard suboccipital retrosigmoid
approach (i.e., via the cerebellopontine cistern route). Also, 2 illustrative
cases are presented. METHODS: A suboccipital retrosigmoid approach to the middle
incisural space was performed bilaterally in 3 specimens in the semisitting
position. A quantitative analysis of the brainstem surface exposed above the
origin of the trigeminal nerve was performed before and after tentorial incision.
RESULTS: Tentorial cutting significantly improved the exposure of the middle
incisural space cisternal structures such as the oculomotor and trochlear nerves
and the superior cerebellar and posterior cerebral arteries. The mean brainstem
surface exposed more than doubled (2.13-fold increase) after tentorial incision
with an average increase from 73.18 mm(2) to 155.76 mm(2). When the endoscope was
used, it was possible to follow the entire course of the cisternal,
infratentorial trochlear nerve segment during the opening of the tentorial free
edge, facilitating its preservation. In the illustrative cases, 2
cerebellopontine angle meningiomas with supratentorial and infratentorial
extension were removed without any postoperative complication. CONCLUSIONS:
Tentorial incision may be useful to extend the rostral exposure of the middle
incisural space via a simple retrosigmoid approach, avoiding the need for more
complex skull base routes.
PMID- 27188639
TI - Application of 4-Dimensional Digital Subtraction Angiography for Dural
Arteriovenous Fistulas.
AB - BACKGROUND: Three-dimensional reconstruction of digital subtraction angiography
(3D-DSA) is a useful imaging modality for assessing complex cerebrovascular
lesions. However, due to the importance of flow over time in certain vascular
lesions, 3D-DSA is of less value as it lacks the temporal resolution. Dural
arteriovenous fistulas (AVFs) are complex lesions in which an arteriovenous shunt
exists between meningeal arteries and a dural venous sinus or cortical vein.
Traditional 2D-DSA, especially with superselective injections of feeding
arteries, is currently the gold standard for assessment, but overlapping of
opacified vessels can complicate interpretation. A novel imaging technique, 4D
DSA, merges 3D reconstructions of multiple temporal series. It offers a unique
perspective on complex cerebrovascular lesions and may offer several advantages
in the assessment of dural AVF. METHODS: 4D-DSA images were acquired in 5
patients who presented with dural AVFs. All relevant clinical data, imaging, and
procedural/operative reports were reviewed retrospectively. 4D-DSA images were
reconstructed on a separate 3D workstation and compared to 2D and 3D-DSA images
in an offline fashion. RESULTS: In all 5 cases, 4D-DSA proved to be useful in
lesion assessment and treatment planning. This included observation (n = 2),
microsurgery (n = 1), and endovascular embolization (n = 2). CONCLUSIONS: In the
small series of patients in which it has been evaluated, 4D-DSA offers several
advantages in assessing dural AVFs. The ability to see and manipulate feeding
arteries in 3D combined with temporal resolution was useful in assessment and
treatment planning. Continued experience with this imaging technique will be
needed to identify its optimal use.
PMID- 27188638
TI - Delayed Presentation of an Extracranial Internal Carotid Artery Pseudoaneurysm
and Massive Epistaxis Secondary to a Nasal Foreign Body: Case Report and Review
of the Literature.
AB - BACKGROUND: Epistaxis is a very common medical condition and can often be
controlled with conservative measures. Rarely, uncontrolled and life-threatening
epistaxis can occur. CASE DESCRIPTION: We present the case of a 58-year-old man
who developed delayed, massive epistaxis caused by an extracranial left internal
carotid artery pseudoaneurysm caused by an intranasal foreign object without
apparent recent trauma. The patient was successfully treated with endovascular
stenting of the affected vessel segment. CONCLUSIONS: Massive epistaxis is a
potentially lethal condition. Although the source uncommonly originates from the
internal carotid artery, pseudoaneurysm rupture needs to be considered on the
differential diagnosis in selected patients. This case illustrates the need for
vigilance for the presence of foreign objects and/or vessel injuries in the
setting of acute, massive epistaxis. Additionally, we describe treatment options
and review the literature.
PMID- 27188641
TI - My Crooked and Aching Neck-Surgical Management of Cervical Deformity.
PMID- 27188640
TI - Neuroendoscopy in Kuwait: Evolution, Current Status, and Future Directions.
AB - An overview of the development of neuroendoscopy at the neurosurgery department,
Ibn Sina Hospital in Kuwait, is presented with an outline of difficulties and
obstacles faced by the field until it reached its current status. The factors and
solutions that helped us overcome these problems are also elaborated on. After a
modest beginning few years ago, endoscopic skull base procedures,
intraventricular neuroendoscopy, and spinal endoscopy are regularly performed in
the department. Although neuroendoscopy is not per se a neurosurgical
subspecialty, it is an area that requires special training. Achieving an
appropriate level of care necessitates these highly trained neurosurgeons to
collaborate together and with other specialties to create teamsgeared towards
offering such treatment options topatients. Importantly, a multitude of essential
facilities should be available to make such a pattern of practice possible. In
our experience, this was made possible through continued efforts that have
finally paid off and gradually led to a complete shift of the face of
neuroendoscopic practice in our department. Our future endeavors aim at further
development of neuroendoscopy in the department to create a center of excellence.
PMID- 27188642
TI - Study of Changing Intracranial Venous Drainage Patterns in Petroclival
Meningioma.
AB - OBJECTIVE: To elucidate venous drainage patterns to avoid damage to the venous
drainage route in the middle cranial fossa and superior petrosal sinus when
employing the transpetrosal approach. METHODS: Venous drainage patterns were
assessed using three-dimensional computed tomography venography in 22 hemispheres
of petroclival meningioma (PCM) cases from patients who underwent primary surgery
and 40 hemispheres of control cases. Intracranial venous drainage patterns were
compared between control cases and PCM cases. RESULTS: The proportion of
hemispheres with complete and medial superior petrosal sinus drainage patterns
was lower in PCM cases. With regard to the superficial middle cerebral vein
drainage pattern, the proportion of hemispheres with the cavernous sinus capture
type was lower and the proportion with the emissary type was higher in PCM cases.
The proportion of hemispheres with multiple greater anastomoses of the
superficial middle cerebral vein was higher in PCM cases without the emissary
type and cavernous sinus capture-type patterns. When the venous drainage route of
the cavernous sinus capture type and/or emissary type was disturbed, in
particular, greater anastomosis via the vein of Labbe and the vein of Trolard was
needed to control venous drainage flow. CONCLUSIONS: In cases of venous drainage
impairment secondary to PCM progression, the drainage route changed to the
pterygoid plexus route through the emissary foramen and/or superior sagittal
sinus and to the transverse sinus route through the greater anastomosis of the
superficial middle cerebral vein. In the anterior transpetrosal approach, peeling
off the dura propria of the trigeminal nerve of the foramen rotundum for petrous
apex exposure may be associated with the potential risk of pterygoid plexus
drainage route impairment.
PMID- 27188643
TI - Proximal epithelioid sarcoma after a history of malignant melanoma: a diagnostic
challenge.
PMID- 27188644
TI - Hybrid systems based on "drug - in cyclodextrin - in nanoclays" for improving
oxaprozin dissolution properties.
AB - A combined approach based on drug complexation with cyclodextrins, and complex
entrapment in nanoclays has been investigated, to join in a single delivery
system the benefits of these carriers and potentiate their ability to improve the
dissolution properties of oxaprozin (OXA), a poorly water-soluble anti
inflammatory drug. Based on previous studies, randomly methylated beta
cyclodextrin (RAMEB) was chosen as the most effective cyclodextrin for OXA
complexation. Adsorption equilibrium studies performed on three different clays
(sepiolite, attapulgite, bentonite) allowed selection of sepiolite (SV) for its
greater adsorption power towards OXA. DSC and XRPD studies indicated drug
amorphization in both binary OXA-RAMEB coground and OXA-SV cofused products, due
to its complexation or very fine dispersion in the clay structure, respectively.
The drug amorphous state was maintained also in the ternary OXA-RAMEB-SV cofused
system. Dissolution studies evidenced a clear synergistic effect of RAMEB
complexation and clay nanoencapsulation in improving the OXA dissolution
properties, with an almost 100% increase in percent dissolved and dissolution
efficiency compared to the OXA-RAMEB coground system. Therefore, the proposed
combined approach represents an interesting tool for improving the therapeutic
effectiveness of poorly soluble drugs, and reducing the CD amount necessary for
obtaining the desired drug solubility and dissolution rate increase.
PMID- 27188645
TI - Bioconjugated gold nanoparticles enhance cellular uptake: A proof of concept
study for siRNA delivery in prostate cancer cells.
AB - The chemistry of gold nanoparticles (AuNPs) facilitates surface modifications and
thus these bioengineered NPs have been investigated as a means of delivering a
variety of therapeutic cargos to treat cancer. In this study we have developed
AuNPs conjugated with targeting ligands to enhance cell-specific uptake in
prostate cancer cells, with a purpose of providing efficient non-viral gene
delivery systems in the treatment of prostate cancer. As a consequence, two novel
AuNPs were synthesised namely AuNPs-PEG-Tf (negatively charged AuNPs with the
transferrin targeting ligands) and AuNPs-PEI-FA (positively charged AuNPs with
the folate-receptor targeting ligands). Both bioconjugated AuNPs demonstrated low
cytotoxicity in prostate cancer cells. The attachment of the targeting ligand Tf
to AuNPs successfully achieved receptor-mediated cellular uptake in PC-3 cells, a
prostate cancer cell line highly expressing Tf receptors. The AuNPs-PEI-FA
effectively complexed small interfering RNA (siRNA) through electrostatic
interaction. At the cellular level the AuNPs-PEI-FA specifically delivered siRNA
into LNCaP cells, a prostate cancer cell line overexpressing prostate specific
membrane antigen (PSMA, exhibits a hydrolase enzymic activity with a folate
substrate). Following endolysosomal escape the AuNPs-PEI-FA.siRNA formulation
produced enhanced endogenous gene silencing compared to the non-targeted
formulation. Our results suggest both formulations have potential as non-viral
gene delivery vectors in the treatment of prostate cancer.
PMID- 27188646
TI - Hepatotoxicity evaluation of dextran stabilized iron oxide nanoparticles in
Wistar rats.
AB - Cellular and organ responses to nanoparticles are relevant in the context of use
of nanoparticles for biomedical applications. The purpose of the present study
was to determine the potential of dextran stabilized iron oxide nanoparticles
(DIONPs) to influence hepatic uptake and consequently induce hepatotoxic response
in rats following intravenous administration. Inductively coupled plasma atomic
emission spectroscopy analysis revealed that DIONPs are rapidly taken up into the
liver, progressively broken down to iron constituents and exported into blood,
with a part of it being retained in the liver. The potential of DIONPs to induce
oxidative stress response was determined by evaluating the time-dependent redox
defense status. Maximum alterations in antioxidant activities were observed to
occur within a period of 7days. However, this effect was not followed by
significant increase in lipid peroxidation or modulation of hepatic enzymes such
as alkaline phosphatase, alanine aminotransferase, aspartate aminotransferase and
bilirubin levels. Overall, these data imply that the liver retains functional
integrity with a dose of 10mg/kg DIONPs, although with brief activation of redox
defenses.
PMID- 27188647
TI - F8-SIP mediated targeted photodynamic therapy leads to microvascular dysfunction
and reduced glioma growth.
AB - The extra domain A (ED A) of fibronectin has been identified as a tumor vessel
specific neovascular marker in glioma. Antibody based vascular targeting against
ED A of fibronectin allows precise accumulation of photosensitizer in glioma
microvasculature and thereby promises to overcome drawbacks of current
photodynamic therapy (PDT) for glioma treatment. Our aim was to characterize
microcirculatory consequences of F8-small immunoprotein (SIP) mediated PDT by
intravital microscopy (IVM) and to analyze the effects on glioma growth. For IVM
SF126 glioma cells were implanted into dorsal skinfold-chamber of nude mice. PDT
was performed after intravenous injection of photosensitizer (PS)-coupled F8-SIP
or PBS (n = 4). IVM was performed before and after PDT for 4 days. Analysis
included total and functional (TVD, FVD) vessel densities, perfusion index (PI),
microvascular permeability and blood flow rate (Q). To assess tumor growth SF126
glioma cells were implanted subcutaneously. PDT was performed as a single and
repetitive treatment after PS-F8-SIP injection (n = 5). Subcutaneous tumors were
treated after uncoupled F8-SIP injection as control group (n = 5). PDT induced
microvascular stasis and thrombosis with reduced FVD (24 h: 115.98 +/- 0.7 vs.
200.8 +/- 61.9 cm/cm(2)) and PI (39 +/- 11 vs. 70 +/- 10 %), whereas TVD was not
altered (298 +/- 39.2 vs. 278.2 +/- 51 cm/cm(2)). Microvascular dysfunction
recovered 4 days after treatment. Microvascular dysfunction led to a temporary
reduction of glioma growth in the first 48 h after treatment with complete
recovery 5 days after treatment. Repetitive PDT resulted in sustained reduction
of tumor growth. F8-SIP mediated PDT leads to microvascular dysfunction and
reduced glioma growth in a preclinical glioma model with recovery of
microcirculation 4 days after treatment. Repetitive application of PDT overcomes
microvascular recovery and leads to prolonged antiglioma effects.
PMID- 27188648
TI - Single-incision totally extraperitoneal inguinal hernia repair is safe and
feasible in elderly patients: A single-center experience of 365 procedures.
AB - The aim of this study was to evaluate the feasibility and safety of SILS for
totally extraperitoneal inguinal hernia repair in elderly patients. A
retrospective analysis of 365 patients who underwent of SILS for totally
extraperitoneal inguinal hernia repair from January 2012 to November 2015 at
Osaka Police Hospital was performed, and the outcomes of patients aged <80 years
and those aged >=80 years were compared. There was a greater proportion of
patients with an ASA score >=3 among those >=80 years than among those <80 years.
The mean operative time for unilateral inguinal hernia was 94 min in patients <80
years and 98 min in patients >=80 years. The mean operative time for bilateral
inguinal hernia was 133 min in patients <80 years and 130 min in patients >=80.
Intraoperative bleeding was minimal in all patients. Conversion to a different
operative procedure occurred in 3% (10/322) of patients <80 years and in 5%
(2/43) of patients >=80 years (P = 0.6). The mean postoperative hospital stay was
2.2 days for patients <80 years and 2.2 days for patients >=80 years. The mean
follow-up period 21 +/- 14 months (range, 3-50 months) for patients <80 years and
17 +/- 14 months (range, 3-50 months) for patients >=80 years (P = 0.3).
Postoperative complications were seen in 12% (38/322) of patients <80 years and
in 14% (6/43) of patients >=80 years (P = 0.7). A seroma was seen in 9% (28/322)
of patients <80 years and in 12% (5/43) of patients >=80 years (P = 0.6). A wound
infection occurred in 2% (8/322) of patients <80 years. These seromas and wound
infections were managed conservatively. Pulmonary embolism was seen in one
patient <80 years (0.3%). There was no mortality or recurrence in either group.
SILS for totally extraperitoneal inguinal hernia repair has good cosmesis and can
be performed in elderly patients with acceptable morbidity and mortality.
PMID- 27188651
TI - The McGurk effect: An investigation of attentional capacity employing response
times.
AB - This paper proposes a novel approach to assess audiovisual integration for both
congruent and incongruent speech stimuli using reaction times (RT). The
experiments are based on the McGurk effect, in which a listener is presented with
incongruent audiovisual speech signals. A typical example involves the auditory
consonant/b/combined with a visually articulated/g/, often yielding a perception
of/d/. We quantify the amount of integration relative to the predictions of a
parallel independent model as a function of attention and congruency between
auditory and visual signals. We assessed RT distributions for congruent and
incongruent auditory and visual signals in a within-subjects signal detection
paradigm under conditions of divided versus focused attention. Results showed
that listeners often received only minimal benefit from congruent auditory visual
stimuli, even when such information could have improved performance. Incongruent
stimuli adversely affected performance in divided and focused attention
conditions. Our findings support a parallel model of auditory-visual integration
with interactions between auditory and visual channels.
PMID- 27188649
TI - Long-Term Follow-Up of a Phase II Trial of Six Cycles of Dose-Dense R-CHOP-14 for
First-Line Treatment of Diffuse Large B-Cell Lymphoma in Young and Elderly
Patients.
AB - BACKGROUND/AIMS: Rituximab-cyclophosphamide, doxorubicin, vincristine, and
prednisone (R-CHOP) every 14 days seems to achieve better outcomes than R-CHOP
every 21 days in diffuse large B-cell lymphoma (DLBCL) patients. Currently, the
standard regimen is R-CHOP every 21 days. METHODS: This is a phase II clinical
trial of treatment with 6 cycles of R-CHOP-14 with pegfilgrastim support in 2
populations of previously untreated DLBCL patients aged >=65 years (n = 73) or
<65 years (n = 51) with low-risk International Prognostic Index scores (0-2).
RESULTS: With a median follow-up of 63.7 months, the 5-year event-free survival
rate was 53.8% in patients aged >=65 years and 71.0% in patients aged <65 years.
The 5-year overall survival rate was 71.4 and 89.8%, respectively. The complete
remission rate was 69.9% for older and 80.4% for younger patients. The median
relative dose intensity of cytotoxic drugs was 143.2% in the elderly and 149.1%
in the young patients. Febrile neutropenia was the most common grade 3-4 adverse
event, being higher in elderly patients (21.3 vs. 9.3%). Eight deaths (7 in
elderly patients) were considered treatment related. CONCLUSION: In conclusion,
the R-CHOP-14 regimen is feasible and very active, though it is more toxic in
elderly patients mainly due to an increased incidence of infections. New
strategies, such as new monoclonal antibodies or new targeted therapies, are
needed to improve the outcomes of DLBCL patients.
PMID- 27188653
TI - Selective attention modulates the effect of target location probability on
redundant signal processing.
AB - We investigated the decision process underlying the detection of targets at
multiple locations. In three experiments using the same observers, target
location probability and attentional instructions were manipulated. A redundant
target detection task was conducted in which participants were required to detect
a dot presented at one of two locations. When the dot appeared at the two
locations with equal frequency (Experiment 1), those participants who were found
to have limited to unlimited capacity were shown to adopt a parallel, self
terminating strategy. By contrast, those participants who had supercapacity were
shown to process redundant targets in a coactive manner. When targets were
presented with unequal probability, two participants adopted a parallel, self
terminating strategy regardless of whether they were informed the target location
probability (Experiment 3) or not (Experiment 2). For the remaining two
participants, the strategy changed from parallel, self-terminating to serial,
self-terminating as a result of the probability instructions. In Experiments 2
and 3, all the participants were of unlimited to limited capacity. Taken
together, these results suggest that target location probability differently
affects the selection of a decision strategy and highlight the role of controlled
attention in selecting a decision strategy.
PMID- 27188652
TI - Choosing attentional control settings in a dynamically changing environment.
AB - Goal-directed attentional control supports efficient visual search by
prioritizing relevant stimuli in the environment. Previous research has shown
that goal-directed control can be configured in many ways, and often multiple
control settings can be used to achieve the same goal. However, little is known
about how control settings are selected. We explored the extent to which the
configuration of goal-directed control is driven by performance maximization
(optimally configuring settings to maximize speed and accuracy) and effort
minimization (selecting the least effortful settings). We used a new paradigm,
adaptive choice visual search, which allows participants to choose one of two
available targets (a red or a blue square) on each trial. Distractor colors vary
predictively across trials, such that the optimal target switches back and forth
throughout the experiment. Results (N = 43) show that participants chose the
optimal target most often, updating to the new target when the environment
changed, supporting performance maximization. However, individuals were sluggish
to update to the optimal color, consistent with effort minimization.
Additionally, we found a surprisingly high rate of nonoptimal choices and
switching between targets, which could not be explained by either factor.
Analysis of participants' self-reported search strategy revealed substantial
individual differences in the control strategies used. In sum, the adaptive
choice visual search enables a fresh approach to studying goal-directed control.
The results contribute new evidence that control is partly determined by both
performance maximization and effort minimization, as well as at least one
additional factor, which we speculate to include novelty seeking.
PMID- 27188654
TI - Transplantation of human amniotic mesenchymal stem cells promotes neurological
recovery in an intracerebral hemorrhage rat model.
AB - Human amniotic membrane mesenchymal stem cells (hAMSCs) have recently been
suggested as ideal candidate stem cells for cell-based therapy. Many studies have
reported the therapeutic effects of hAMSCs in numerous disease models. However,
no studies have used hAMSCs to treat intracerebral hemorrhage (ICH). In the
present study, we examined the therapeutic potential of hAMSCs in a rat model of
ICH, and characterized the possible mechanisms of action. Adult male Wistar rats
were subjected to ICH by intrastriatal injection of VII collagenase, and then
were intracerebrally administered hAMSCs, fibroblasts, or phosphate-buffered
saline (PBS) at 24 h after ICH. Compared with the fibroblasts and the PBS
control, hAMSCs treatment significantly promoted neurological recovery, and
reduced the numbers of ED1(+) activated microglia, as well as myeloperoxidase
(MPO(+)), and caspase-3(+) cells in the brain injury model. In addition, hAMSCs
treatment significantly increased the expression of brain-derived neurotrophic
factor (BDNF) and vascular endothelial growth factor (VEGF) in the injured brain,
and promoted neurogenesis and angiogenesis, compared with the fibroblasts and the
PBS control. The transplanted hAMSCs survived for at least 27 days and were
negative for beta-tubulin III and glial fibrillary acidic protein (GFAP). Taken
together, the results suggest that hAMSCs treatment significantly promotes
neurological recovery in rats after ICH. The mechanism of action could be
mediated by inhibition of inflammation and apoptosis, increasing neurotrophic
factor expression, and promotion of neurogenesis and angiogenesis. Thus, hAMSCs
are candidate stem cells for the treatment of ICH.
PMID- 27188655
TI - Louse-borne relapsing fever - report of four cases in Switzerland, June-December
2015.
AB - BACKGROUND: Louse-borne relapsing fever (LBRF) is a neglected disease that has
been restricted to East Africa for many decades. Several cases in refugees from
the Horn of Africa have been recently diagnosed in four European countries. CASE
PRESENTATION: We report four additional cases of LBRF in asylum seekers from
Somalia and Eritrea who presented with fever shortly after arriving in
Switzerland during a seven-month period. Multiple spirochetes were visualized on
stained blood films which were identified as Borrelia recurrentis by 16S rRNA
gene sequencing. All patients recovered after antibiotic treatment with
ceftriaxone and/or doxycycline. Concurrent infections (malaria and tuberculosis)
were diagnosed in half of our patients. Possible modes of transmission and
preventive measures are discussed. CONCLUSIONS: These reported cases highlight
the ongoing transmission of LBRF in migrants from East Africa. Diagnosis of LBRF
cases and prevention of autochthonous transmission in asylum seeker camps are
important steps for the near future.
PMID- 27188657
TI - Leishmania major and Trypanosoma cruzi present distinct DNA damage responses.
AB - Leishmania major and Trypanosoma cruzi are medically relevant parasites and
interesting model organisms, as they present unique biological processes. Despite
increasing data regarding the mechanisms of gene expression regulation, there is
little information on how the DNA damage response (DDR) occurs in
trypanosomatids. We found that L. major presented a higher radiosensitivity than
T. cruzi. L. major showed G1 arrest and displayed high mortality in response to
ionizing radiation as a result of the inefficient repair of double-strand breaks
(DSBs). Conversely, T. cruzi exhibited arrest in the S/G2 cell cycle phase, was
able to efficiently repair DSBs and did not display high rates of cell death
after exposure to gamma irradiation. L. major showed higher resistance to
alkylating DNA damage, and only L. major was able to promote DNA repair and
growth recovery in the presence of MMS. ASF1c overexpression did not interfere
with the efficiency of DNA repair in either of the parasites but did accentuate
the DNA damage checkpoint response, thereby delaying cell fate after damage. The
observed differences in the DNA damage responses of T. cruzi and L. major may
originate from the distinct preferred routes of genetic plasticity of the two
parasites, i.e., DNA recombination versus amplification.
PMID- 27188658
TI - Characterization of nine microsatellite loci for Dicrocoelium dendriticum, an
emerging liver fluke of ungulates in North America, and their use to detect
clonemates and random mating.
AB - This study characterizes polymorphic microsatellite loci from adults of the liver
fluke Dicrocoelium dendriticum sampled from a population of sympatric beef cattle
and wapiti in a region of emergence in southern Alberta, Canada. We also
scrutinized the markers to validate their use in studying the population genetics
of this complex life cycle parasite. Among the nine loci described, four deviated
significantly from Hardy Weinberg Equilibrium (HWE) due to technical artefacts.
The remaining five loci were in HWE. These five provided sufficient resolution to
identify clonemates produced from the obligate asexual reproduction phase of the
life cycle in snails and to assess the impact of non-random transmission of
clonemates on measures of FIS, FST and genotypic disequilibrium. Excluding
clonemates, we show that the sub-population of worms was in HWE, that average FIS
within hosts was 0.003 (p=0.4922) and that there was no population genetic
structure among hosts FST=0.001 (p=0.3243). These markers will be useful for
studies of Dicrocoelium dendriticum ecology, transmission, and evolution.
PMID- 27188659
TI - Menopause.
AB - Menopause is an inevitable component of ageing and encompasses the loss of
ovarian reproductive function, either occurring spontaneously or secondary to
other conditions. It is not yet possible to accurately predict the onset of
menopause, especially early menopause, to give women improved control of their
fertility. The decline in ovarian oestrogen production at menopause can cause
physical symptoms that may be debilitating, including hot flushes and night
sweats, urogenital atrophy, sexual dysfunction, mood changes, bone loss, and
metabolic changes that predispose to cardiovascular disease and diabetes. The
individual experience of the menopause transition varies widely. Important
influential factors include the age at which menopause occurs, personal health
and wellbeing, and each woman's environment and culture. Management options range
from lifestyle assessment and intervention through to hormonal and non-hormonal
pharmacotherapy, each of which has specific benefits and risks. Decisions about
therapy for perimenopausal and postmenopausal women depend on symptomatology,
health status, immediate and long-term health risks, personal life expectations,
and the availability and cost of therapies. More effective and safe therapies for
the management of menopausal symptoms need to be developed, particularly for
women who have absolute contraindications to hormone therapy. For an illustrated
summary of this Primer, visit: http://go.nature.com/BjvJVX.
PMID- 27188660
TI - Alcohol industry self-regulation: who is it really protecting?
AB - Self-regulation has been promoted by the alcohol industry as a sufficient means
of regulating alcohol marketing activities. However, evidence suggests that the
guidelines of self-regulated alcohol marketing codes are violated routinely,
resulting in excessive alcohol marketing exposure to youth and the use of content
that is potentially harmful to youth and other vulnerable populations. If the
alcohol industry does not adhere to its own regulations the purpose and design of
these codes should be questioned. Indeed, implementation of alcohol marketing
self-regulation in Brazil, the United Kingdom and the United States was likely to
delay statutory regulation rather than to promote public health. Moreover,
current self-regulation codes suffer from vague language that may allow the
industry to circumvent the guidelines, loopholes that may obstruct the
implementation of the codes, lax exposure guidelines that can allow excessive
youth exposure, even if properly followed, and a standard of review that may be
inappropriate for protecting vulnerable populations. Greater public health
benefits may be realized if legislative restrictions were applied to alcohol
marketing, and strict statutory alcohol marketing regulations have been
implemented and defended successfully in the European Union, with European courts
declaring that restrictions on alcohol marketing are proportional to the benefits
to public health. In contrast, attempts to restrict alcohol marketing activities
in the United States have occurred through private litigation and have been
unsuccessful. None the less, repeated violations of industry codes may provide
legislators with sufficient justification to pass new legislation and for such
legislation to withstand constitutional review in the United States and
elsewhere.
PMID- 27188661
TI - Wall motion at 4D-CT angiography and surgical correlation in unruptured
intracranial aneurysms: a pilot study.
AB - BACKGROUND: Unruptured intracranial aneurysms (UIAs) are reported more frequently
nowadays but their management is not well established; it depends on different
structural features of the aneurysms and on multiple patient's risk factors. With
this prospective observational study we report our preliminary experience by
using four-dimensional (4D) computed tomographic (CT) angiography with ECG-gated
reconstructions in the evaluation of dynamic modifications of the aneurysm wall,
as a potential predicting factor of growth or rupture. The novelty of this study
consists in correlation between Angio 4D-CT angiography images and surgical
findings; only few scientific papers, in fact, have studied this issue. METHODS:
Thirty-one patients (1 male, 30 females; 59.0+/-12.7 years old) with 43
unruptured aneurysms (medium size: 5.2+/-3.0 mm) were studied. ECG-triggered 4D
CT angiography was performed with a 320-detector CT system (Aquilion ONE; Toshiba
Medical Systems Corporation, Japan); ECG-gated reconstruction was performed for
visualizing local displacement of the aneurysmal wall over a heart cycle. In the
aneurysms surgically treated in our institution we were able to compare the
macroscopic features of the aneurysm wall with 4D-CTA findings. We performed long
term follow-up on untreated patients. RESULTS: Pulsation was detected in 13 of 43
unruptured aneurysms. Eighteen aneurysms were treated: thirteen were surgically
treated and five underwent embolization with detachable coil placement. In nine
aneurysms surgically treated in our institution (5 with pulsation and 4 without)
we observed a significant correlation between 4D-CTA findings and macroscopic
features of the aneurysms wall, in particular the pulsations detected at 4D-CTA
study have shown to correspond to dark-reddish thinner wall at surgery.
CONCLUSION: Our data confirm the previous reports concerning the reliability of
4D-CT angiography with ECG-gated reconstructions in defining the dynamic and
structural features of the aneurysm wall. Moreover, optimal correlation rate
between the findings provided by the 4D-CTA and the macroscopic surgical
evaluation support a possible role of this technique to identify aneurysms with a
higher risk of rupture.
PMID- 27188662
TI - As2 O3 combined with leflunomide prolongs heart xenograft survival via
suppressing the response of Th1, Th2, and B cells in a rat model.
AB - Xenotransplantation remits the severe shortage of human organs and tissues for
transplantation, which is a problem that severely limits the application of
transplantation to the treatment of human disease. However, severe immune
rejection significantly limits the efficacy of xenotransplantation. In this
study, we systematically investigated the immunosuppressive effect and mechanism
of action of As2 O3 and leflunomide using a hamster-to-rat heart
xenotransplantation model. We initially examined heart xenograft survival
following As2 O3 and leflunomide treatment alone or combined treatment. We found
that treatment with As2 O3 combined with leflunomide can significantly prolong
the survival of heart xenograft by inhibiting Th1 and Th2 differentiation and
reducing the production of IgG and IgM. Interestingly, As2 O3 and leflunomide
showed low toxicity to the organs of the recipient. Taken together, these
observations indicate that treatment with As2 O3 combined with leflunomide may be
a promising immunosuppressive schedule for xenotransplantation.
PMID- 27188663
TI - An evaluation of the clinical and cost-effectiveness of alternative care
locations for critically ill adult patients with acute traumatic brain injury.
AB - BACKGROUND: For critically ill adult patients with acute traumatic brain injury
(TBI), we assessed the clinical and cost-effectiveness of: (a) Management in
dedicated neurocritical care units versus combined neuro/general critical care
units within neuroscience centres. (b) 'Early' transfer to a neuroscience centre
versus 'no or late' transfer for those who present at a non-neuroscience centre.
METHODS: The Risk Adjustment In Neurocritical care (RAIN) Study included
prospective admissions following acute TBI to 67 UK adult critical care units
during 2009-11. Data were collected on baseline case-mix, mortality, resource
use, and at six months, Glasgow Outcome Scale Extended (GOSE), and quality of
life (QOL) (EuroQol 5D-3L). We report incremental effectiveness, costs and cost
per Quality-Adjusted Life Year (QALY) of the alternative care locations,
adjusting for baseline differences with validated risk prediction models. We
tested the robustness of results in sensitivity analyses. FINDINGS: Dedicated
neurocritical care unit patients (N = 1324) had similar six-month mortality,
higher QOL (mean gain 0.048, 95% CI -0.002 to 0.099) and increased average costs
compared with those managed in combined neuro/general units (N = 1341), with a
lifetime cost per QALY gained of L14,000. 'Early' transfer to a neuroscience
centre (N = 584) was associated with lower mortality (odds ratio 0.52, 0.34
0.80), higher QOL for survivors (mean gain 0.13, 0.032-0.225), but positive
incremental costs (L15,001, L11,123 to L18,880) compared with 'late or no
transfer' (N = 263). The lifetime cost per QALY gained for 'early' transfer was
L11,000. CONCLUSIONS: For critically ill adult patients with acute TBI, within
neuroscience centres management in dedicated neurocritical care units versus
combined neuro/general units led to improved QoL and higher costs, on average,
but these differences were not statistically significant. This study finds that
'early' transfer to a neuroscience centre is associated with reduced mortality,
improvement in QOL and is cost-effective.
PMID- 27188664
TI - A New Method for Preparing Mesenchymal Stem Cells and Labeling with Ferumoxytol
for Cell Tracking by MRI.
AB - Mesenchymal stem cells (MSCs) are among the major stem cells used for cell
therapy and regenerative medicine. In-vivo cell-tracking by magnetic resonance
imaging (MRI) is crucial for regenerative medicine, allowing verification that
the transplanted cells reach the targeted sites. Cellular MRI combined with
superparamagnetic iron-oxide (SPIO) contrast agents is an effective cell-tracking
method. Here, we are reporting a new "bio-mimicry" method by making use of the
"in-vivo environment" of MSCs to prepare native MSCs, so that (i) the phagocytic
activity of cultured MSCs can be recovered and expanded MSCs can be ex-vivo
labeled with Ferumoxytol, which is currently the only FDA approved SPIO
nanoparticles for human use. Using our new method, 7-day cultured MSCs regain the
capability to take up Ferumoxytol and exhibit an intracellular iron concentration
of 2.50 +/- 0.50 pg/MSC, comparable to that obtained by using Ferumoxytol-heparin
protamine nanocomplex; and (ii) cells can be re-sized to more native size,
reducing from 32.0 +/- 7.2 MUm to 19.5 +/- 5.2 MUm. Our method can be very useful
for expanding MSCs and labeling with Ferumoxytol, without the need for
transfection agents and/or electroporation, allowing cell-tracking by MRI in both
pre-clinical and clinical studies.
PMID- 27188666
TI - Chronic Pain Types Differ in Their Reported Prevalence of Post -Traumatic Stress
Disorder (PTSD) and There Is Consistent Evidence That Chronic Pain Is Associated
with PTSD: An Evidence-Based Structured Systematic Review.
AB - Objectives: The hypotheses of this systematic review were the following: 1)
Prevalence of post-traumatic stress disorder (PTSD) will differ between various
types of chronic pain (CP), and 2) there will be consistent evidence that CP is
associated with PTSD. Methods: Of 477 studies, 40 fulfilled the
inclusion/exclusion criteria of this review and were grouped according to the
type of CP. The reported prevalence of PTSD for each grouping was determined by
aggregating all the patients in all the studies in that group. Additionally all
patients in all groupings were combined. Percentage of studies that had found an
association between CP and PTSD was determined. The consistency of the evidence
represented by the percentage of studies finding an association was rated
according to the Agency for Health Care Policy and Research guidelines. Results:
Grouping PTSD prevalence differed ranging from a low of 0.69% for chronic low
back pain to a high of 50.1% in veterans. Prevalence in the general population
with CP was 9.8%. Of 19 studies, 16 had found an association between CP and PTSD
(84.2%) generating an A consistency rating (consistent multiple studies). Three
of the groupings had an A or B (generally consistent) rating. The veterans
grouping received a C (finding inconsistent) rating. Conclusion: The results of
this systematic review confirmed the hypotheses of this review.
PMID- 27188668
TI - Targeting PARP in Prostate Cancer: Novelty, Pitfalls, and Promise.
AB - Metastatic prostate cancer remains a highly lethal disease with no curative
therapeutic options. A significant subset of patients with prostate cancer harbor
either germline or somatic mutations in DNA repair enzyme genes such as BRCA1,
BRCA2, or ATM. Emerging data suggest that drugs that target poly(adenosine
diphosphate [ADP]-ribose) polymerase (PARP) enzymes may represent a novel and
effective means of treating tumors with these DNA repair defects, including
prostate cancers. Here we will review the molecular mechanism of action of PARP
inhibitors and discuss how they target tumor cells with faulty DNA repair
functions and transcriptional controls. We will review emerging data for the
utility of PARP inhibition in the management of metastatic prostate cancer.
Finally, we will place PARP inhibitors within the framework of precision medicine
based care of patients with prostate cancer.
PMID- 27188669
TI - PARP Inhibition in Prostate Cancer: A Promising Approach.
PMID- 27188667
TI - The composition of immune cells serves as a predictor of adaptive immunity in a
cohort of 50- to 74-year-old adults.
AB - Influenza causes significant morbidity and mortality annually. Although
vaccination offers a considerable amount of protection, it is far from perfect,
especially in aging populations. This is due to age-related defects in immune
function, a process called immunosenescence. To date, there are no assays or
methods to predict or explain variations in an individual's level of response to
influenza vaccination. In this study, we measured levels of several immune cell
subsets at baseline (Day 0) and at Days 3 and 28 post-vaccination using flow
cytometry. Statistical modelling was performed to assess correlations between
levels of cell subsets and Day 28 immune responses - haemagglutination inhibition
(HAI) assay, virus neutralizing antibody (VNA) assay, and memory B cell ELISPOT.
Changes in several groups of cell types from Day 0 to Day 28 and Day 3 to Day 28
were found to be significantly associated with immune response. Baseline levels
of several immune cell subsets, including B cells and regulatory T cells, were
able to partially explain variation in memory B-cell ELISPOT results. Increased
expression of HLA-DR on plasmacytoid dendritic cells after vaccination was
correlated with increased HAI and VNA responses. Our data suggest that the
expression of activation markers (HLA-DR and CD86) on various immune cell
subsets, as well as the relative distribution of cell subsets, both have value in
predicting immune responses to influenza vaccination in older individuals.
PMID- 27188670
TI - Using Medical Cannabis in an Oncology Practice.
PMID- 27188671
TI - Applications of Genomic Sequencing in Pediatric CNS Tumors.
AB - Recent advances in genome-scale sequencing methods have resulted in a significant
increase in our understanding of the biology of human cancers. When applied to
pediatric central nervous system (CNS) tumors, these remarkable technological
breakthroughs have facilitated the molecular characterization of multiple tumor
types, provided new insights into the genetic basis of these cancers, and
prompted innovative strategies that are changing the management paradigm in
pediatric neuro-oncology. Genomic tests have begun to affect medical decision
making in a number of ways, from delineating histopathologically similar tumor
types into distinct molecular subgroups that correlate with clinical
characteristics, to guiding the addition of novel therapeutic agents for patients
with high-risk or poor-prognosis tumors, or alternatively, reducing treatment
intensity for those with a favorable prognosis. Genomic sequencing has also had a
significant impact on translational research strategies in pediatric CNS tumors,
resulting in wide-ranging applications that have the potential to direct the
rational preclinical screening of novel therapeutic agents, shed light on tumor
heterogeneity and evolution, and highlight differences (or similarities) between
pediatric and adult CNS tumors. Finally, in addition to allowing the
identification of somatic (tumor-specific) mutations, the analysis of patient
matched constitutional (germline) DNA has facilitated the detection of pathogenic
germline alterations in cancer genes in patients with CNS tumors, with critical
implications for genetic counseling and tumor surveillance strategies for
children with familial predisposition syndromes. As our understanding of the
molecular landscape of pediatric CNS tumors continues to advance, innovative
applications of genomic sequencing hold significant promise for further improving
the care of children with these cancers.
PMID- 27188672
TI - Pediatric Neuro-Oncology: Time to Go Molecular.
PMID- 27188673
TI - Oncocytic Neoplasm on Renal Mass Biopsy: A Diagnostic Conundrum.
PMID- 27188674
TI - The Role of Intralesional Therapies in Melanoma.
AB - The US Food and Drug Administration has been rapidly approving new checkpoint
inhibitors and targeted therapies for melanoma and other tumors. Recently, it
approved the first intralesional therapy, talimogene laherparepvec (T-VEC), for
the treatment of metastatic melanoma lesions in the skin and lymph nodes. Several
other intralesional therapies (PV-10, interleukin-12 electroporation,
coxsackievirus A21 [CVA21]) are entering later-stage testing. Locally injected
agents have clearly shown their ability to produce local responses that can be
durable. The possibility that they also stimulate a regional and even systemic
immune response is exciting, as this potential effect may have utility in
combination regimens; such regimens are an area of active research. Favorable
responses with minimal toxicities in monotherapy trials have led to the first
melanoma studies of T-VEC in combination with the cytotoxic T-lymphocyte
associated antigen 4 inhibitor ipilimumab and, separately, with the programmed
death 1-blocking antibody pembrolizumab. Studies of PV-10 with pembrolizumab and
of CVA21 with pembrolizumab are also being initiated. Preliminary analyses of the
results of the first combination trials, which show higher response rates than
with either agent alone, offer some optimism that these locoregional therapies
will find application--as treatment for patients who cannot tolerate systemic
immunotherapies, to alleviate locoregional morbidity, and perhaps even to "prime"
the immune system.
PMID- 27188675
TI - A Review of Novel Intralesional Therapies for Melanoma, With an Emphasis on a
Potential Combination Approach.
PMID- 27188665
TI - Varicella zoster virus infection.
AB - Infection with varicella zoster virus (VZV) causes varicella (chickenpox), which
can be severe in immunocompromised individuals, infants and adults. Primary
infection is followed by latency in ganglionic neurons. During this period, no
virus particles are produced and no obvious neuronal damage occurs. Reactivation
of the virus leads to virus replication, which causes zoster (shingles) in
tissues innervated by the involved neurons, inflammation and cell death - a
process that can lead to persistent radicular pain (postherpetic neuralgia). The
pathogenesis of postherpetic neuralgia is unknown and it is difficult to treat.
Furthermore, other zoster complications can develop, including myelitis, cranial
nerve palsies, meningitis, stroke (vasculopathy), retinitis, and
gastroenterological infections such as ulcers, pancreatitis and hepatitis. VZV is
the only human herpesvirus for which highly effective vaccines are available.
After varicella or vaccination, both wild-type and vaccine-type VZV establish
latency, and long-term immunity to varicella develops. However, immunity does not
protect against reactivation. Thus, two vaccines are used: one to prevent
varicella and one to prevent zoster. In this Primer we discuss the pathogenesis,
diagnosis, treatment, and prevention of VZV infections, with an emphasis on the
molecular events that regulate these diseases. For an illustrated summary of this
Primer, visit: http://go.nature.com/14xVI1.
PMID- 27188676
TI - Management of Pregnant Patients With Cancer.
PMID- 27188677
TI - Novel Combination Treatments in Multiple Myeloma.
AB - The last decade has witnessed the identification of several novel druggable
targets in multiple myeloma, leading to identification of novel therapies with
clinically proven efficacy, both in the newly diagnosed and relapsed setting.
More importantly, a common theme of good outcomes was observed among prospective
randomized studies that have utilized combinations of agents with different
mechanisms of action. The correlation between achieving a deeper response and the
improvement in progression-free survival and overall survival has never been so
clear. In this article, we elucidate the rationale for use of novel drug
combinations in patients with myeloma, and review current evidence-based data
supporting the use of specific combinations in various settings. We also attempt
to craft a framework to guide clinicians in optimizing the use of combination
therapies, to enable patients to derive maximal benefit.
PMID- 27188678
TI - Treatment of Multiple Myeloma: Finding the Right Combination.
PMID- 27188680
TI - Injecting Hope--A Review of Breast Cancer Vaccines.
AB - There is significant interest in investigating immunotherapeutic strategies to be
used for the treatment of breast cancer patients. One form of immunotherapy under
active investigation is the cancer vaccine. Vaccines are a form of active immune
therapy designed to stimulate the immune system to recognize tumor cells as
foreign. Vaccines include an antigen that serves as the target for the immune
response, and an immunoadjuvant, which is a nonspecific stimulator of the immune
response that promotes an environment conducive to immune stimulation. Vaccines
are an appealing therapeutic strategy because they are specific and are
associated with minimal toxicity. In addition, they stimulate the adaptive immune
system, thereby producing a memory response allowing for sustained effect without
repeated therapy. Currently, there are no US Food and Drug Administration
approved breast cancer vaccines; however, there are multiple vaccines and
treatment strategies employing these vaccines that are being actively
investigated in clinical trials.
PMID- 27188679
TI - How the Affordable Care Act Has Affected Cancer Care in the United States: Has
Value for Cancer Patients Improved?
PMID- 27188681
TI - Primary Immunoprevention: The Great Unmet Need for Controlling Breast Cancer.
PMID- 27188682
TI - 2-Aminoxazole and 2-Aminothiazole Dasatinib Derivatives as Potent Inhibitors of
Chronic Myeloid Leukemia K562 Cells.
AB - Dasatinib is an important drug against chronic myeloid leukemia (CML). In this
paper, we describe the preparation and anti-CML activity of 2-aminoxazole and 2
aminothiazole dasatinib derivatives. Biological activity was measured by the
inhibition of proliferation of human CML K562 cells. The 2-aminoxazole
derivatives had similar activities as the 2-aminothiazole derivatives. All newly
synthesized compounds demonstrated more potent antiproliferative activity than
imatinib. A few compounds (8b, 8c, 9b) showed nanomolar inhibitory activity,
similar to that of dasatinib.
PMID- 27188683
TI - Evaluation of three co-morbidity measures to predict mortality in patients
undergoing total joint arthroplasty.
AB - OBJECTIVE: To evaluate the 90 days and 1 year mortality predictive ability of the
RxRisk-V, Charlson, and Elixhauser co-morbidity measures in total hip
arthroplasty (THA) and total knee arthroplasty (TKA) patients. METHOD: A
retrospective study of 11,848 THAs and 18,972 TKAs (2001-2002) was conducted.
Death within 90 days and 1 year of the surgery were the main endpoints. Co
morbidity measures were calculated using either medication or hospitalisation
history. Logistic regression models were employed and discrimination and
calibration were assessed. Specifically, models with unweighted and weighted
measure scores, models with the specific conditions, and a model combining
conditions identified by all measures were assessed. RESULTS: In THAs, the best
performing prediction models included co-morbidities from all three measures (90
days: c = 0.84, P = 0.284, 1 year: c = 0.79, P = 0.158). Individually, the model
with Charlson conditions performed best at 90 days mortality (c = 0.80, P =
0.777) and the Charlson and Elixhauser performed similarly at 1 year (both c =
0.77, P > 0.05). In TKAs, the best performing prediction model included co
morbidities from all measures (90 days: c = 0.82, P = 0.349, 1 year: c = 0.78, P
= 0.873). Individually, the model with Elixhauser conditions performed best with
90 days mortality (c = 0.79, P = 0.435) and all performed similarly at 1 year (c
= 0.74-0.75, all P > 0.05). CONCLUSIONS: A combined model with co-morbidities
identified by the Elixhauser, Charlson, and RxRisk-V was the best mortality
prediction model. The RxRisk-V did not perform as well as the others. Because of
the Elixhauser and Charlson's similar performance we suggest basing the choice of
measurement use on factors such as the need of specific conditions and modelling
limitations.
PMID- 27188684
TI - The prevalence of patellofemoral osteoarthritis: a systematic review and meta
analysis.
AB - OBJECTIVE: To determine the prevalence of radiographic patellofemoral
osteoarthritis (OA) from population- and symptom-based cohorts and to evaluate if
knee pain, physical function and quality of life (QOL) differ between people with
isolated patellofemoral OA, isolated tibiofemoral OA and combined patellofemoral
and tibiofemoral OA. METHOD: Terms associated with "patellofemoral OA",
"prevalence" and "clinical features" were used to search Medline, EMBASE, CINAHL,
SCOPUS, AMED and Web of Science databases with no language restriction' from
inception to August 2014. Two independent reviewers screened papers for
eligibility. Studies were included if they reported prevalence of compartmental
patterns of radiographic knee OA in population- or symptom-based cohorts. Studies
were excluded if they evaluated a targeted sample (e.g., occupation-specific
participants) or repeated already reported data from the same cohorts. Point
prevalence estimates of patellofemoral OA were extracted from eligible studies,
pooled and quantitatively analysed. A critical appraisal tool was used to
evaluate methodological quality. RESULTS: The search yielded 1891 records. The
inclusion criteria were met by 32 studies. The crude prevalence of patellofemoral
OA was 25% in the population-based cohorts (aged >20 years) and 39% in the
symptom-based cohorts (aged >30 years). Eight studies reported knee pain,
physical function and QOL in people with different compartmental disease; however
no significant differences were found. CONCLUSION: These findings confirm the
substantial prevalence of patellofemoral OA, demonstrating the need to
specifically consider the patellofemoral joint in knee OA research and clinical
settings.
PMID- 27188685
TI - Knee kinematics and kinetics are associated with early patellofemoral
osteoarthritis following anterior cruciate ligament reconstruction.
AB - OBJECTIVE: Patellofemoral osteoarthritis (PFOA) commonly occurs following
anterior cruciate ligament reconstruction (ACLR). Our study aimed to compare knee
kinematics and kinetics during a hop-landing task between individuals with and
without early PFOA post-ACLR. DESIGN: Forty-five individuals (mean +/- SD 26 +/-
5 years) 1-2 years post-ACLR underwent 3T isotropic MRI scans and 3D
biomechanical assessment of a standardised forward hop task. Knee kinematics
(initial contact, peak, excursion) in all three planes and sagittal plane
kinetics (peak) were compared between 15 participants with early PFOA (MRI
defined patellofemoral cartilage lesion) and 30 participants with no PFOA
(absence of patellofemoral cartilage lesion on MRI) using analysis of covariance
(ANCOVA), adjusted for age, BMI, sex and the presence of early tibiofemoral OA.
RESULTS: Compared to participants without PFOA, those with early PFOA exhibited
smaller peak knee flexion angles (mean difference, 95% confidence interval [CI]:
5.2 degrees , -9.9 to -0.4; P = 0.035) and moments (-4.2 Nm/kg.m, -7.8 to -0.6; P
= 0.024), and greater knee internal rotation excursion (5.3 degrees , 2.0 to 8.6;
P = 0.002). CONCLUSIONS: Individuals with early PFOA within the first 2-years
following ACLR exhibit distinct kinematic and kinetic features during a high-load
landing task. These findings provide new information regarding common post-ACLR
biomechanical patterns and PFOA. Since management strategies, such as altering
knee load, are more effective during the early stages of disease, this knowledge
will help to inform clinical management of early PFOA post-ACLR.
PMID- 27188686
TI - Cerebral palsy.
AB - Cerebral palsy is the most common cause of childhood-onset, lifelong physical
disability in most countries, affecting about 1 in 500 neonates with an estimated
prevalence of 17 million people worldwide. Cerebral palsy is not a disease entity
in the traditional sense but a clinical description of children who share
features of a non-progressive brain injury or lesion acquired during the
antenatal, perinatal or early postnatal period. The clinical manifestations of
cerebral palsy vary greatly in the type of movement disorder, the degree of
functional ability and limitation and the affected parts of the body. There is
currently no cure, but progress is being made in both the prevention and the
amelioration of the brain injury. For example, administration of magnesium
sulfate during premature labour and cooling of high-risk infants can reduce the
rate and severity of cerebral palsy. Although the disorder affects individuals
throughout their lifetime, most cerebral palsy research efforts and management
strategies currently focus on the needs of children. Clinical management of
children with cerebral palsy is directed towards maximizing function and
participation in activities and minimizing the effects of the factors that can
make the condition worse, such as epilepsy, feeding challenges, hip dislocation
and scoliosis. These management strategies include enhancing neurological
function during early development; managing medical co-morbidities, weakness and
hypertonia; using rehabilitation technologies to enhance motor function; and
preventing secondary musculoskeletal problems. Meeting the needs of people with
cerebral palsy in resource-poor settings is particularly challenging.
PMID- 27188689
TI - The spatial scale of local adaptation in a stochastic environment.
AB - The distribution of phenotypes in space will be a compromise between adaptive
plasticity and local adaptation increasing the fit of phenotypes to local
conditions and gene flow reducing that fit. Theoretical models on the evolution
of quantitative characters on spatially explicit landscapes have only considered
scenarios where optimum trait values change as deterministic functions of space.
Here, these models are extended to include stochastic spatially autocorrelated
aspects to the environment, and consequently the optimal phenotype. Under these
conditions, the regression of phenotype on the environmental variable becomes
steeper as the spatial scale on which populations are sampled becomes larger.
Under certain deterministic models - such as linear clines - the regression is
constant. The way in which the regression changes with spatial scale is
informative about the degree of phenotypic plasticity, the relative scale of
effective gene flow and the environmental dependency of selection. Connections to
temporal models are discussed.
PMID- 27188688
TI - The body project 4 all: A pilot randomized controlled trial of a mixed-gender
dissonance-based body image program.
AB - OBJECTIVE: The Body Project is a cognitive dissonance-based body image
improvement program with ample research support among female samples. More
recently, researchers have highlighted the extent of male body dissatisfaction
and disordered eating behaviors; however, boys/men have not been included in the
majority of body image improvement programs. This study aims to explore the
efficacy of a mixed-gender Body Project compared with the historically female
only body image intervention program. METHOD: Participants included male and
female college students (N = 185) across two sites. We randomly assigned women to
a mixed-gender modification of the two-session, peer-led Body Project (MG), the
two-session, peer-led, female-only (FO) Body Project, or a waitlist control (WL),
and men to either MG or WL. Participants completed self-report measures assessing
negative affect, appearance-ideal internalization, body satisfaction, and eating
disorder pathology at baseline, post-test, and at 2- and 6-month follow-up.
RESULTS: Linear mixed effects modeling to estimate the change from baseline over
time for each dependent variable across conditions were used. For women, results
were mixed regarding post-intervention improvement compared with WL, and were
largely non-significant compared with WL at 6-month follow-up. Alternatively,
results indicated that men in MG consistently improved compared with WL through 6
month follow-up on all measures except negative affect and appearance-ideal
internalization. DISCUSSION: Results differed markedly between female and male
samples, and were more promising for men than for women. Various explanations are
provided, and further research is warranted prior to drawing firm conclusions
regarding mixed-gender programming of the Body Project. (c) 2016 Wiley
Periodicals, Inc.(Int J Eat Disord 2016; 49:591-602).
PMID- 27188691
TI - On the general constraints in single qubit quantum process tomography.
AB - We briefly review single-qubit quantum process tomography for trace-preserving
and nontrace-preserving processes, and derive explicit forms of the general
constraints for fitting experimental data. These forms provide additional insight
into the structure of the process matrix. We illustrate this with several
examples, including a discussion of qubit leakage error models and the intuition
which can be gained from their process matrices.
PMID- 27188690
TI - Mechanisms for Imparting Conductivity to Nonconductive Polymeric Biomaterials.
AB - Traditionally, conductive materials for electrodes are based on high modulus
metals or alloys. Development of bioelectrodes that mimic the mechanical
properties of the soft, low modulus tissues in which they are implanted is a
rapidly expanding field of research. Many polymers exist that more closely match
tissue mechanics than metals; however, the majority do not conduct charge.
Integrating conductive properties via incorporation of metals and other
conductors into nonconductive polymers is a successful approach to producing
polymers that can be used in electrical interfacing devices. When combining
conductive materials with nonconductive polymer matrices, there is often a
tradeoff between the electrical and mechanical properties. This review analyzes
the advantages and disadvantages of approaches involving coating or layer
formation, composite formation via dispersion of conductive inclusions through
polymer matrices, and in situ growth of a conductive network within polymers.
PMID- 27188692
TI - The effect of spatial frequency information and visual similarity in threat
detection.
AB - In the current research, we sought to examine the role of spatial frequency on
the detection of threat using a speeded visual search paradigm. Participants
searched for threat-relevant (snakes or spiders) or non-threat-relevant (frogs or
cockroaches) targets in an array of neutral (flowers or mushrooms) distracters,
and we measured search performance with images filtered to contain different
levels (high and low) of spatial frequency information. The results replicate
previous work demonstrating more rapid detection of threatening versus non
threatening stimuli [e.g. LoBue, V. & DeLoache, J. S. (2008). Detecting the snake
in the grass: Attention to fear-relevant stimuli by adults and young children.
Psychological Science, 19, 284-289. doi:10.1111/j.1467-9280.2008.02081.x]. Most
importantly, the results suggest that low spatial frequency or relatively coarse
levels of visual information is sufficient for the rapid and accurate detection
of threatening stimuli. Furthermore, the results also suggest that visual
similarity between the stimuli used in the search tasks plays a significant role
in speeded detection. The results are discussed in terms of the theoretical
implications for the rapid detection of threat and methodological implications
for properly accounting for similarity between the stimuli in visual search
studies.
PMID- 27188693
TI - Impact of Intraoperative Cone Beam Computed Tomography on Reduction Quality and
Implant Position in Treatment of Tibial Plafond Fractures.
AB - BACKGROUND: The intraoperative assessment of the articular surface in displaced
intra-articular distal tibia fractures can be challenging using conventional
fluoroscopy. The aim of the study was to determine the frequency and the method
of intraoperative corrections of fracture reductions or implant placements during
open reduction, internal fixation by using cone beam computed tomography (CT)
after conventional fluoroscopy. METHODS: Displaced intra-articular distal tibia
fractures were retrospectively analyzed from August 2001 until December 2011. The
fractures were classified according to the standards of the AO/OTA as type B or C
and treated with open reduction and internal plate fixation. After primary
reduction using conventional fluoroscopy, an additional cone beam CT scan was
used to determine the alignment of the joint line and the implant position. The
number of intraoperative revisions of the primary reduction due to the use of
cone beam CT was analyzed. RESULTS: A total of 143 patients with an intra
articular tibial plafond fracture were included in the analysis. In 43 patients
(30%), an intraoperative correction was performed after the cone beam CT scan. In
34 (24%) of these cases, intraoperative correction was required because of
inadequate joint line reduction. Nine (6%) corrections were required as a result
of a malposition of the implant. The revision rate did not differ by fracture
classification. CONCLUSION: Despite its acceptance as the standard method of
imaging, intraoperative conventional fluoroscopy for the assessment of implant
positioning and fracture reduction of tibial plafond fractures is limited. The
intraoperative utilization of cone beam CT provided additional information for
the surgeon to detect insufficient reduction or implant malposition. LEVEL OF
EVIDENCE: Level III, retrospective comparative series.
PMID- 27188694
TI - Radiographic Evaluation of Ankle Joint Stability After Calcaneofibular Ligament
Elevation During Open Reduction and Internal Fixation of Calcaneus Fracture.
AB - BACKGROUND: The aim of this prospective study was to evaluate the influence of
sectioning the calcaneofibular ligament (CFL) during an extensile lateral
approach during open reduction and internal fixation (ORIF) of calcaneal
fractures on ankle joint stability. METHODS: Forty-two patients with calcaneal
fractures that received ORIF were included. Talar tilt stress and anterior drawer
radiographs were performed on the operative and contralateral ankles 6 months
postoperatively. RESULTS: The average degree of talar tilt on stress radiographs
was 3.4 degrees (range, 0-12 degrees) on the operative side and 3.2 degrees
(range, 0-14 degrees) on the contralateral side. The mean anterior drawer on
stress radiographs of the CFL incised ankle was 6.1 mm (range, 2.4-11.8 mm) and
on the contralateral ankle was 5.7 mm (range, 2.6-8.6 mm). There was no
statistically significant difference of talar tilt and anterior drawer between
the CFL incised side and the contralateral side (P = .658 and .302,
respectively). CONCLUSION: The results suggest that sectioning of the CFL without
any repair during ORIF of a calcaneal fracture does not have a negative effect on
stability of the ankle. Repair of the CFL is, thus, probably not necessary
following extended lateral approach for ORIF of calcaneal fractures. LEVEL OF
EVIDENCE: Level II, comparative study.
PMID- 27188695
TI - Supramalleolar Osteotomy With or Without Fibular Osteotomy for Varus Ankle
Arthritis.
AB - BACKGROUND: Supramalleolar osteotomy (SMOT) is an alternative operative procedure
for the management of early and midstage varus ankle arthritis. However, whether
fibular osteotomy is needed is controversial. The purpose of the current study
was to evaluate the functional and radiologic outcomes of pre- and postoperative
SMOT, and to compare the outcomes between patients with and without fibular
osteotomy. METHODS: Forty-one Takakura stage 2 and 3 varus ankle osteoarthritis
patients treated with SMOT were included. Fourteen males and 27 females with a
mean age of 50.7 (range, 32-71) years were followed with a mean of 36.6 (range,
17-61) months. There were 22 cases with fibular osteotomy and 19 without. The
American Orthopaedic Foot & Ankle Society (AOFAS) ankle-hindfoot score, Maryland
foot score, and Ankle Osteoarthritis Score (AOS) were used for pre- and
postoperative functional evaluation. The tibial articular surface angle (TAS),
talar tilt (TT), tibiocrural angle (TC), and tibial lateral surface angle (TLS)
were evaluated pre- and postoperatively. RESULTS: At the last follow-up, the mean
AOFAS score (from 50.8 to 83.1 points) and Maryland score (from 58.3 to 81.6
points) in overall were improved (P < .01); the mean AOS pain (from 42.6 to 26.1
points) and function (from 53.4 to 36.8 points) scores were decreased (P < .01).
For radiologic evaluation, all the included parameters were improved (P < .05)
except TLS. The mean Takakura stage was decreased (P < .01). No significant
difference could be detected in comparing the functional outcomes between those
with and without fibular osteotomy. However, in the fibular osteotomy group, TT
was decreased (P < .05) and TC was improved (P < .01) significantly. CONCLUSION:
SMOT was promising, with substantial functional improvement and malalignment
correction for varus ankle arthritis. Fibular osteotomy may be necessary in cases
with large TT and small TC angles. LEVEL OF EVIDENCE: Level III, retrospective
comparative study.
PMID- 27188687
TI - Kidney stones.
AB - Kidney stones are mineral deposits in the renal calyces and pelvis that are found
free or attached to the renal papillae. They contain crystalline and organic
components and are formed when the urine becomes supersaturated with respect to a
mineral. Calcium oxalate is the main constituent of most stones, many of which
form on a foundation of calcium phosphate called Randall's plaques, which are
present on the renal papillary surface. Stone formation is highly prevalent, with
rates of up to 14.8% and increasing, and a recurrence rate of up to 50% within
the first 5 years of the initial stone episode. Obesity, diabetes, hypertension
and metabolic syndrome are considered risk factors for stone formation, which, in
turn, can lead to hypertension, chronic kidney disease and end-stage renal
disease. Management of symptomatic kidney stones has evolved from open surgical
lithotomy to minimally invasive endourological treatments leading to a reduction
in patient morbidity, improved stone-free rates and better quality of life.
Prevention of recurrence requires behavioural and nutritional interventions, as
well as pharmacological treatments that are specific for the type of stone. There
is a great need for recurrence prevention that requires a better understanding of
the mechanisms involved in stone formation to facilitate the development of more
effective drugs.
PMID- 27188696
TI - Radiographic and CT Assessment of Reduction of Calcaneus Fractures Using a
Limited Sinus Tarsi Incision.
AB - BACKGROUND: The lateral extensile incision for fixation of displaced intra
articular calcaneus fractures allows for fracture reduction but has been
associated with high rates of soft tissue complications. This has prompted a
search for less invasive methods of fracture fixation. The purpose of the present
study was to determine the adequacy of reduction and rate of complications
associated with operative fixation of calcaneal fractures using a limited sinus
tarsi approach. METHODS: A limited sinus tarsi incision with plate fixation was
utilized for treatment of 39 displaced intra-articular calcaneal fractures in 35
consecutive patients as part of a single surgeon series. Imaging assessment of
previously described fracture displacement measures was undertaken in
preoperative and postoperative radiographs and CT. A retrospective chart review
was conducted to identify postoperative complications. RESULTS: Mean preoperative
Bohler angle measurement was 7.7 (range, -26.0 to 30.0) degrees and the mean
final postoperative standing Bohler angle was 25.5 (range, 12.3 to 37.7) degrees.
Postoperative CT demonstrated that subtalar articular reduction was within 2 mm
of anatomic in 91% of patients. There were 2 instances of superficial wound
dehiscence (5.1%) and 1 deep infection (2.6%) that required debridement and
complete hardware removal. Visual analog score (VAS) for pain averaged 3 of 10 in
the 32 available patients at 1-year follow-up. Eight of these patients (25%)
reported no pain (0/10) at final follow-up. CONCLUSION: Operative fixation of
displaced intra-articular calcaneal fractures utilizing the limited sinus tarsi
approach resulted in acceptable fracture reduction and a low rate of
complications. LEVEL OF EVIDENCE: Level IV, retrospective case series.
PMID- 27188697
TI - Evolution of Moire Profiles from van der Waals Superstructures of Boron Nitride
Nanosheets.
AB - Two-dimensional (2D) van der Waals (vdW) superstructures, or vdW solids, are
formed by the precise restacking of 2D nanosheet lattices, which can lead to
unique physical and electronic properties that are not available in the parent
nanosheets. Moire patterns formed by the crystalline mismatch between adjacent
nanosheets are the most direct features for vdW superstructures under microscopic
imaging. In this article, transmission electron microscopy (TEM) observation of
hexagonal Moire patterns with unusually large micrometer-sized lateral areas (up
to ~1 MUm(2)) and periodicities (up to ~50 nm) from restacking of liquid
exfoliated hexagonal boron nitride nanosheets (BNNSs) is reported. This
observation was attributed to the long range crystallinity and the contaminant
free surfaces of these chemically inert nanosheets. Parallel-line-like Moire
fringes with similarly large periodicities were also observed. The simulations
and experiments unambiguously revealed that the hexagonal patterns and the
parallel fringes originated from the same rotationally mismatched vdW stacking of
BNNSs and can be inter-converted by simply tilting the TEM specimen following
designated directions. This finding may pave the way for further structural
decoding of other 2D vdW superstructure systems with more complex Moire images.
PMID- 27188698
TI - The effect of tranexamic acid on the risk of death and hysterectomy in women with
post-partum haemorrhage: statistical analysis plan for the WOMAN trial.
AB - BACKGROUND: Severe haemorrhage is a leading cause of maternal death worldwide.
Most haemorrhage deaths occur soon after childbirth. Severe post-partum bleeding
is sometimes managed by the surgical removal of the uterus (hysterectomy). Death
and hysterectomy are important health consequences of post-partum haemorrhage,
and clinical trials of interventions aimed at preventing these outcomes are
needed. METHODS: The World Maternal Antifibrinolytic trial aims to determine the
effect of tranexamic acid on death, hysterectomy and other health outcomes in
women with post-partum haemorrhage. It is an international, multicentre,
randomised trial. Approximately 20,000 women with post-partum haemorrhage will be
randomly allocated to receive an intravenous injection of either tranexamic acid
or matching placebo in addition to usual care. The primary outcome measure is a
composite of death in hospital or hysterectomy within 42 days of delivery. The
cause of death will be described. Secondary outcomes include death, death due to
bleeding, hysterectomy, thromboembolic events, blood transfusion, surgical and
radiological interventions, complications, adverse events and quality of life.
The health status and occurrence of thromboembolic events in breastfed babies
will also be reported. We will conduct subgroup analyses for the primary outcome
by time to treatment, type of delivery and cause of haemorrhage. We will conduct
an analysis of treatment effect adjusted for baseline risk. DISCUSSION: The World
Maternal Antifibrinolytic trial should provide reliable evidence for the efficacy
of tranexamic acid in the prevention of death, hysterectomy and other outcomes
that are important to patients. We present a protocol update and the statistical
analysis plan for the trial. TRIAL REGISTRATION: Current Controlled Trials
ISRCTN76912190 (Registration date 08 December 2008), Clinicaltrials.gov
NCT00872469 (Registration date 30 March 2009) and Pan African Clinical Trials
Registry: PACTR201007000192283 (Registration date 02 September 2010).
PMID- 27188699
TI - A Treatment Approach to Catamenial Epilepsy.
AB - OPINION STATEMENT: The cyclic hormonal underpinnings of catamenial seizure
exacerbations are consistent with the neurophysiologic activity of estrogen and
progesterone. For women with catamenial epilepsy who have regular menses,
intermittent treatment approaches may be utilized. These interventions are
targeted at adding or increasing anti-seizure treatments during established
vulnerable days of the menstrual cycle, such as perimenstrually (C1 pattern), at
ovulation (C2 pattern), and during the luteal phase (C3 pattern). The single
large study of natural progesterone treatment showed benefit for women with clear
perimenstrual seizure exacerbations (C1 pattern), but not for subjects with other
catamenial patterns or for randomized women with epilepsy of reproductive age who
did not have catamenial seizure exacerbations. In this protocol, natural
progesterone was given at a high dose during the luteal phase and was generally
well tolerated. Other intermittent cyclic treatments include benzodiazepine use,
increasing the dose of an anti-seizure drug already in use, or acetazolamide. For
women with irregular menses, or those in which the intermittent cyclic treatments
are not effective, pharmacologically stopping the menstrual cycle altogether by
using synthetic hormones such as medroxyprogesterone (Depo-Provera) or sustained
oral contraceptives may be considered.
PMID- 27188701
TI - Economic impact of recurrent respiratory papillomas in a UK adult population.
AB - OBJECTIVE: To calculate the financial burden of recurrent respiratory papilloma.
This study is UK-based, where up until now no financial estimates have been
calculated for this group of patients. BACKGROUND: Recurrent respiratory
papilloma is caused by the human papilloma virus (subtypes 6 and 11). The burden
for the patient and the healthcare system is significant given the recurrent
nature of the disease. METHODS: Data were collected, using a questionnaire
completed during routine clinical follow up, from a single centre managing
recurrent respiratory papilloma in Glasgow, Scotland. Cost information was
sourced from the Scottish Government's Information Services Division. RESULTS:
Fourteen patients with active recurrent respiratory papilloma between 2013 and
2014 were identified. The direct measurable cost to NHS Greater Glasgow and Clyde
amounted to L107 478. CONCLUSION: Recurrent respiratory papilloma is a benign
condition, but the financial implications of diagnosis are significant. Recurrent
respiratory papilloma has a natural history of relapse and remission, and
patients may require healthcare input over a period of several years.
PMID- 27188700
TI - Use of the Physician Orders for Life-Sustaining Treatment among California
Nursing Home Residents.
AB - BACKGROUND: Physician Orders for Life-Sustaining Treatment (POLST) is a tool that
facilitates the elicitation and continuity of life-sustaining care preferences.
POLST was implemented in California in 2009, but how well it disseminated across
a large, racially diverse population is not known and has implications for end-of
life care. OBJECTIVE: To evaluate the use of POLST among California nursing home
residents, including variation by resident characteristics and by nursing home
facility. DESIGN: Observational study using California Minimum Data Set Section
S. PARTICIPANTS: A total of 296,276 people with a stay in 1,220 California
nursing homes in 2011. MAIN MEASURES: The proportion of residents with a
completed POLST (containing a resuscitation status order and resident/proxy and
physician signatures) and relationship to resident characteristics; change in
POLST use during 2011; and POLST completion and unsigned forms within nursing
homes. KEY RESULTS: During 2011, POLST completion increased from 33 to 49 % of
California nursing home residents. Adjusting for age and gender using a mixed
effects logistic model, long-stay residents were more likely than short-stay
residents to have a completed POLST [OR = 2.36 (95 % CI 2.30, 2.42)]; severely
cognitively impaired residents were less likely than unimpaired to have a
completed POLST [OR = 0.89 (95 % CI 0.87, 0.92)]; and there was little difference
by functional status. There was no difference in POLST completion among White non
Hispanic, Black, and Hispanic residents. Variation in POLST completion among
nursing homes far exceeded that attributable to resident characteristics with 40
% of facilities having >=80 % of long-stay residents with a completed POLST,
while 20 % of facilities had <=10 % of long-stay residents with a completed
POLST. Thirteen percent of nursing home residents had a POLST containing a
resuscitation preference but lacked a signature, rendering the POLST invalid.
CONCLUSIONS: Statewide nursing home data show broad uptake of POLST in California
without racial disparity. However, variation in POLST completion among nursing
homes identifies potential areas for quality improvement.
PMID- 27188702
TI - Phase 3 Trials of Thermosensitive Ciprofloxacin Gel for Middle Ear Effusion in
Children with Tubes.
AB - OBJECTIVE: To investigate the efficacy, safety, and microbiology of a
thermosensitive otic suspension of ciprofloxacin (OTO-201) in children with
bilateral middle ear effusion undergoing tympanostomy tube placement. STUDY
DESIGN: Two randomized, double-blind, sham-controlled phase 3 trials. Patients
were randomized to intratympanic OTO-201 or sham. SETTING: Children with
bilateral middle ear effusion undergoing tympanostomy tube placement.
SUBJECTS/METHODS: Studies evaluated 532 patients (6 months to 17 years old) in a
combined analysis of efficacy (treatment failure: presence of otorrhea, otic or
systemic antibiotic use, lost to follow-up, missed visits), safety (audiometry,
otoscopy, tympanometry), and microbiology. RESULTS: There was a lower cumulative
proportion of treatment failures in patients receiving OTO-201 vs tympanostomy
tubes alone (1) on days 4, 8, 15, and 29; (2) on day 15, primary end point (23.0%
vs 45.1%; age-adjusted odds ratio, 0.341; P < .001; reduction in relative risk,
49%); and (3) on day 15, blinded-assessor otorrhea treatment failure (7.0% vs
19.4%; age-adjusted odds ratio, 0.303; P < .001; reduction in relative risk,
64%). Per-protocol and subgroup analyses (baseline demographics, pathogen type,
culture status, effusion type, microbiologic response) supported these findings.
There were no drug-related serious adverse events; the most frequent treatment
emergent adverse events in both groups were pyrexia, postoperative pain,
nasopharyngitis, cough, and upper respiratory tract infection. OTO-201
administration had no evidence of increased tube occlusion and no negative effect
on audiometry, tympanometry, or otoscopy. CONCLUSIONS: Combined analysis of 2
phase 3 trials demonstrated a lower cumulative proportion of treatment failures
through day 15 compared with TT alone when OTO-201 was administered
intratympanically for otitis media with bilateral middle ear effusion at time of
tympanostomy tube placement.
PMID- 27188703
TI - Psychometric Validation of a Moroccan Version of the 22-Item Sino-Nasal Outcome
Test.
AB - OBJECTIVE: The objective of this study paper was to culturally adapt and validate
the 22-item Sino-Nasal Outcome Test (SNOT-22) questionnaire for Moroccan Arabic
speaking patients. STUDY DESIGN: Prospective cohort study. SETTING: Tertiary
referral center; Ibn Rochd University Hospital, Casablanca, Morocco. SUBJECTS AND
METHODS: The SNOT-22 was conducted in patients with chronic rhinosinusitis (CRS)
undergoing sinonasal surgery and in healthy volunteers, from January 2012 to
December 2013. It was translated into Moroccan Arabic language. To evaluate this
questionnaire, internal consistency, test-retest reliability, responsiveness to
treatment, and validity were analyzed. RESULTS: Preoperative SNOT-22 scores were
completed by 88 patients. Six-month postoperative SNOT-22 scores were available
for 74 patients. The Cronbach's alpha coefficient for the SNOT-22 was 0.968,
indicating high internal consistency. The test-retest reliability coefficient was
0.993, indicating high reliability when administering the instrument on repeated
occasions. The Moroccan version of the SNOT-22 was able to highly discriminate
between patients with CRS and group of healthy volunteers (P < .0001). There was
a statistically significant reduction in patient reported SNOT-22 scores at 6
months after surgery (P < .0001). CONCLUSION: The present study has found the
Moroccan version of SNOT-22 to be valid and easy to use with good reliability,
validity, and responsiveness. It can be used to measure the impact of CRS on the
patient's quality of life and may also be used to evaluate CRS treatment.
PMID- 27188705
TI - Improving Access to Head and Neck Cancer Surgical Services through the
Incorporation of Associate Providers.
AB - OBJECTIVE: The urgent nature of head and neck cancer referrals often results in
overbooked schedules, access delays, and patient, physician, and staff
dissatisfaction. The goal of this study is to examine how incorporation of
associate providers (APs) into a head and neck tumor clinic (HNTC) can improve
access. METHODS: Scheduling data for the Dartmouth-Hitchcock HNTC 2 years prior
(January 2011 to December 2012) and 2 years subsequent (January 2013 to December
2014) to program initiation were abstracted, including number of new patients
seen per month, third available for new and established patients, overbooked
hours, surgeon productivity, and patient satisfaction scores. RESULTS: New
patients seen per month increased from 44 +/- 4 to 60 +/- 5 (P < .001). Third
available for new patients decreased from 56 +/- 4 to 27 +/- 2 days and from 43
+/- 3 to 35 +/- 2 days for follow-ups (P < .001). Overbooked hours decreased from
14.7 +/- 3.1 to 8.6 +/- 1.7 hours (P < .001). Surgeon productivity remained
stable (109% +/- 11% vs 113% +/- 6%, P = .56). Patient satisfaction in seeing APs
versus surgeons was comparable (94 +/- 2 vs 94 +/- 3, P = .79). DISCUSSION:
Incorporation of APs into the HNTC increases the number of new patients seen by
the surgeon, decreases wait times for all appointments, and decreases overbooking
while maintaining patient satisfaction and surgeon productivity. IMPLICATIONS FOR
PRACTICE: AP incorporation significantly improves access to head and neck
surgical services. With improved access, new cancer patients could start
treatment sooner, potentially affecting outcome.
PMID- 27188704
TI - The Use of Ibuprofen in Posttonsillectomy Analgesia and Its Effect on
Posttonsillectomy Hemorrhage Rate.
AB - OBJECTIVE: To determine the effect of ibuprofen on posttonsillectomy bleeding
when compared with codeine in posttonsillectomy analgesia. STUDY DESIGN: Case
series with chart review. SETTING: Tertiary care children's hospital,
Philadelphia, Pennsylvania. SUBJECTS AND METHODS: On July 1, 2012, our
institution transitioned from acetaminophen with codeine to ibuprofen for
posttonsillectomy analgesia. Pediatric patients (0-18 years old) who underwent
surgery from July 1, 2010, to June 30, 2012, were placed in the codeine cohort,
and those who underwent surgery from July 1, 2012, to June 30, 2014, were placed
in the ibuprofen cohort. RESULTS: A total of 6014 patients underwent
tonsillectomy between July 1, 2010, and June 30, 2014, and 211 patients presented
for posttonsillectomy hemorrhage during the same period. The incidence of
readmission for posttonsillectomy hemorrhage was 3.4% and 3.6% (P = .63; odds
ratio [OR] = 1.07; 95% confidence interval [95% CI]: 0.811-1.410) for the codeine
and ibuprofen groups, respectively, and the incidence of second operation for
control of posttonsillectomy bleeding for the codeine and ibuprofen groups was
1.9% and 2.2% (P = .54; OR = 1.117; 95% CI: 0.781-1.600), respectively. Patients
aged 11 to 18 years demonstrated a higher incidence of posttonsillectomy bleeding
events overall. When age is controlled, multivariate logistic regression
demonstrated no statistically significant increase in posttonsillectomy bleeding
events among pediatric patients treated with ibuprofen versus patients treated
with codeine (readmission: P = .617; OR = 0.932; 95% CI: 0.707-1.228;
reoperation: P = .513; OR = 0.887; 95% CI: 0.618-1.272). CONCLUSION: Age is an
independent risk factor for posttonsillectomy bleeding. When age is controlled,
there is no statistically significant increase in the incidence of
posttonsillectomy bleeding events among patients treated with ibuprofen when
compared to patients treated with codeine.
PMID- 27188706
TI - Adverse Event Reporting for Proton Pump Inhibitor Therapy: An Overview of
Systematic Reviews.
AB - OBJECTIVE: To assist clinicians in counseling patients regarding the risk of
adverse events from proton pump inhibitors (PPIs), by synthesizing evidence from
published systematic reviews of antireflux therapy. DATA SOURCES: Cochrane
Library, CINAHL, PubMed, Web of Knowledge. REVIEW METHODS: Overview based on
PRISMA reporting standards (preferred reporting items for systematic reviews and
meta-analyses) of English-language meta-analyses and systematic reviews of PPI
therapy for reflux disease through December 2014. Two independent investigators
assessed study eligibility, rated the review quality with AMSTAR criteria
(assessing the methodological quality of systematic reviews), and abstracted data
for adverse events. RESULTS: Thirty-three systematic reviews met inclusion
criteria. The most commonly reported adverse events were community-acquired
pneumonia (odds ratios, 1.04-1.92), with a greater association noted with shorter
duration of therapy and higher doses. Hip fractures were also associated with PPI
use (odds ratios, 1.16-1.50), especially with long-term therapy. Last, enteric
infection with Clostridium difficile was more common with PPI therapy (odds
ratios, 1.69-1.33). Other less commonly reported adverse events included
electrolyte and vitamin deficiency. Risk factors for adverse events are reported
in the text. CONCLUSION: Our overview shows that PPI therapy is associated with
significant and potentially serious adverse events that should be discussed with
patients. The effect sizes and risk factors provided should facilitate this
discussion and promote shared decision making.
PMID- 27188708
TI - Same-Day Evaluation and Surgery for Otitis Media and Tympanostomy Tube Placement:
A Feasibility Study.
AB - OBJECTIVE: To determine the feasibility of providing streamlined same-day
evaluation and surgical management of children with recurrent otitis media or
chronic serous otitis media who meet criteria for tympanostomy tube (TT)
placement. STUDY DESIGN: Retrospective matched case series. SETTING: Tertiary
care children's hospital. METHODS: A comparison group (age, sex, insurance
product) was utilized to determine if the same-day process decreased facility
time and surgical time for the care episode. A parent satisfaction survey was
administered. RESULTS: Thirty children, with a median age of 16 months (range, 12
22 months), participated in the same-day surgery process for TT. Twenty-one
patients (70.0%) were male, and these patients were matched to a comparison group
(similar age, sex, and insurance product) having non-same-day (routine) TT
placement. The same-day patients spent significantly less time in clinic for the
preoperative physician visit (average, 15 minutes) when compared with the non
same-day patients (average, 51.5 minutes; P < .001). The operative experience for
the same-day patients was similar to the non-same-day patients (average, 145 vs
137 minutes, respectively; P = .35), but the overall experience was significantly
shorter for the same-day patients (average, 151 vs 196 minutes for comparisons; P
< .001). All parents surveyed in the same-day group were satisfied with the
efficiency of the experience. CONCLUSION: The same-day surgery process for
management of children who meet the criteria for TT placement is a model of
improved efficiency of care for children who suffer from otitis media.
PMID- 27188710
TI - Postcolonial Ecologies of Parasite and Host: Making Parasitism Cosmopolitan.
AB - The interest of F. Macfarlane Burnet in host-parasite interactions grew through
the 1920s and 1930s, culminating in his book, Biological Aspects of Infectious
Disease (1940), often regarded as the founding text of disease ecology. Our
knowledge of the influences on Burnet's ecological thinking is still incomplete.
Burnet later attributed much of his conceptual development to his reading of
British theoretical biology, especially the work of Julian Huxley and Charles
Elton, and regretted he did not study Theobald Smith's Parasitism and Disease
(1934) until after he had formulated his ideas. Scholars also have adduced
Burnet's fascination with natural history and the clinical and public health
demands on his research effort, among other influences. I want to consider here
additional contributions to Burnet's ecological thinking, focusing on his
intellectual milieu, placing his research in a settler society with exceptional
expertise in environmental studies and pest management. In part, an ''ecological
turn'' in Australian science in the 1930s, derived to a degree from British
colonial scientific investments, shaped Burnet's conceptual development. This
raises the question of whether we might characterize, in postcolonial fashion,
disease ecology, and other studies of parasitism, as successful settler colonial
or dominion science.
PMID- 27188707
TI - Dystonia-Causing Mutations as a Contribution to the Etiology of Spasmodic
Dysphonia.
AB - OBJECTIVE: Spasmodic dysphonia is a focal dystonia of the larynx with
heterogeneous manifestations and association with familial risk factors. There
are scarce data to allow precise understanding of etiology and pathophysiology.
Screening for dystonia-causing genetic mutations has the potential to allow
accurate diagnosis, inform about genotype-phenotype correlations, and allow a
better understanding of mechanisms of disease. STUDY DESIGN: Cross-sectional
study. SETTING: Tertiary academic medical center. SUBJECTS AND METHODS: We
enrolled patients presenting with spasmodic dysphonia to the voice clinic of our
academic medical center. Data included demographics, clinical features, family
history, and treatments administered. The following genes with disease-causing
mutations previously associated with spasmodic dysphonia were screened: TOR1A
(DYT1), TUBB4 (DYT4), and THAP1 (DYT6). RESULTS: Eighty-six patients were
recruited, comprising 77% females and 23% males. A definite family history of
neurologic disorder was present in 15% (13 of 86). Average age (+/- standard
deviation) of symptom onset was 42.1 +/- 15.7 years. Most (99%; 85 of 86) were
treated with botulinum toxin, and 12% (11 of 86) received oral medications.
Genetic screening was negative in all patients for the GAG deletion in TOR1A
(DYT1) and in the 5 exons currently associated with disease-causing mutations in
TUBB4 (DYT4). Two patients tested positive for novel/rare variants in THAP1
(DYT6). CONCLUSION: Genetic screening targeted at currently known disease-causing
mutations in TOR1A, THAP1, and TUBB4 appears to have low diagnostic yield in
sporadic spasmodic dysphonia. In our cohort, only 2 patients tested positive for
novel/rare variants in THAP1. Clinicians should make use of genetic testing
judiciously and in cost-effective ways.
PMID- 27188709
TI - The relationship between mitochondrial DNA haplotype and the reproductive
capacity of domestic pigs (Sus scrofa domesticus).
AB - BACKGROUND: The maternally inherited mitochondrial genome encodes key proteins of
the electron transfer chain, which produces the vast majority of cellular ATP.
Mitochondrial DNA (mtDNA) present in the mature oocyte acts as a template for all
mtDNA that is replicated during development to meet the specific energy
requirements of each tissue. Individuals that share a maternal lineage cluster
into groupings known as mtDNA haplotypes. MtDNA haplotypes confer advantages and
disadvantages to an organism and this affects its phenotype. In livestock,
certain mtDNA haplotypes are associated with improved milk and meat quality,
whilst, other species, mtDNA haplotypes have shown increased longevity, growth
and susceptibility to diseases. In this work, we have set out to determine
whether mtDNA haplotypes influence reproductive capacity. This has been
undertaken using a pig model. RESULTS: To determine the genetic diversity of
domestic pigs in Australia, we have sequenced the D-loop region of 368 pigs, and
identified five mtDNA haplotypes (A to E). To assess reproductive capacity, we
compared oocyte maturation, fertilization and development to blastocyst, and
found that there were significant differences for maturation and fertilization
amongst the haplotypes. We then determined that haplotypes C, D and E produced
significantly larger litters. When we assessed the conversion of developmentally
competent oocytes and their subsequent developmental stages to offspring, we
found that haplotypes A and B had the lowest reproductive efficiencies. Amongst
the mtDNA haplotypes, the number of mtDNA variants harbored at >25 % correlated
with oocyte quality. MtDNA copy number for developmentally competent oocytes
positively correlated with the level of the 16383delC variant. This variant is
located in the conserved sequence box II, which is a regulatory region for mtDNA
transcription and replication. CONCLUSIONS: We have identified five mtDNA
haplotypes in Australian domestic pigs indicating that genetic diversity is
restricted. We have also shown that there are differences in reproductive
capacity amongst the mtDNA haplotypes. We conclude that mtDNA haplotypes affect
pig reproductive capacity and can be used as a marker to complement current
selection methods to identify productive pigs.
PMID- 27188711
TI - Alanine aminotransferase controls seed dormancy in barley.
AB - Dormancy allows wild barley grains to survive dry summers in the Near East. After
domestication, barley was selected for shorter dormancy periods. Here we isolate
the major seed dormancy gene qsd1 from wild barley, which encodes an alanine
aminotransferase (AlaAT). The seed dormancy gene is expressed specifically in the
embryo. The AlaAT isoenzymes encoded by the long and short dormancy alleles
differ in a single amino acid residue. The reduced dormancy allele Qsd1 evolved
from barleys that were first domesticated in the southern Levant and had the long
dormancy qsd1 allele that can be traced back to wild barleys. The reduced
dormancy mutation likely contributed to the enhanced performance of barley in
industrial applications such as beer and whisky production, which involve
controlled germination. In contrast, the long dormancy allele might be used to
control pre-harvest sprouting in higher rainfall areas to enhance global
adaptation of barley.
PMID- 27188712
TI - Best Albuminuria Measurement to Predict Cardiovascular and Renal Events.
AB - BACKGROUND: Kidney Disease Improving Global Outcomes (KDIGO) guidelines encourage
clinicians to estimate 24-hour albuminuria as albumin to creatinine ratio (ACR)
from spot urine samples. However, ACR underestimates 24-hour albumin excretion in
muscular individuals. Equations that adjust ACR for surrogates of muscle mass to
yield an estimated albumin excretion rate (eAER) were developed. We hypothesised
that eAER is a better predictor of cardiovascular and renal outcomes than ACR.
METHODS: We determined ACR and eAER among 443 patients with chronic kidney
disease G2-G4 recruited into the CARE FOR HOMe study. Patients were classified
into KDIGO albuminuria categories, and followed for cardiovascular and renal
events. The primary analysis was the net reclassification improvement (NRI) for
those with and without events within 3 years of follow-up. RESULTS: Eighty five
patients experienced cardiovascular events during 3 years of follow-up, 13 of
whom were reclassified to a more advanced albuminuria category, and 1 patient to
a less advanced category by eAER compared to ACR (NRIevent: 14.1% (95% CI 5.8
22.4)). Among 358 patients without a cardiovascular event, 17 patients were
reclassified to a more advanced albuminuria category, and 2 patients to a less
advanced category by eAER (NRIno event: -4.2%, 95% CI -8.5 to -1.8). Sixty
patients went through renal events, and 383 patients had event-free 3-year follow
up. NRIevent was 6.7% (95% CI -1.2 to 14.5), and NRIno event was -6.0% (95% CI
10.6 to 3.4) for renal events. CONCLUSION: Compared to ACR albuminuria
categories, eAER categories are better associated with future cardiovascular
events, but not with renal events.
PMID- 27188713
TI - A new data-driven model for post-transplant antibody dynamics in high risk kidney
transplantation.
AB - The dynamics of donor specific human leukocyte antigen antibodies during early
stage after kidney transplantation are of great clinical interest as these
antibodies are considered to be associated with short and long term clinical
outcomes. The limited number of antibody time series and their diverse patterns
have made the task of modelling difficult. Focusing on one typical post
transplant dynamic pattern with rapid falls and stable settling levels, a novel
data-driven model has been developed for the first time. A variational Bayesian
inference method has been applied to select the best model and learn its
parameters for 39 time series from two groups of graft recipients, i.e. patients
with and without acute antibody-mediated rejection (AMR) episodes. Linear and
nonlinear dynamic models of different order were attempted to fit the time
series, and the third order linear model provided the best description of the
common features in both groups. Both deterministic and stochastic parameters are
found to be significantly different in the AMR and no-AMR groups showing that the
time series in the AMR group have significantly higher frequency of oscillations
and faster dissipation rates. This research may potentially lead to better
understanding of the immunological mechanisms involved in kidney transplantation.
PMID- 27188715
TI - Quantum Critical Behavior in a Concentrated Ternary Solid Solution.
AB - The face centered cubic (fcc) alloy NiCoCrx with x ~ 1 is found to be close to
the Cr concentration where the ferromagnetic transition temperature, Tc, goes to
0. Near this composition these alloys exhibit a resistivity linear in temperature
to 2 K, a linear magnetoresistance, an excess -TlnT (or power law) contribution
to the low temperature heat capacity, and excess low temperature entropy. All of
the low temperature electrical, magnetic and thermodynamic properties of the
alloys with compositions near x ~ 1 are not typical of a Fermi liquid and suggest
strong magnetic fluctuations associated with a quantum critical region. The limit
of extreme chemical disorder in this simple fcc material thus provides a novel
and unique platform to study quantum critical behavior in a highly tunable
system.
PMID- 27188714
TI - The role of electrical coupling in generating and modulating oscillations in a
neuronal network.
AB - A simplified model of the crustacean gastric mill network is considered. Rhythmic
activity in this network has largely been attributed to half center oscillations
driven by mutual inhibition. We use mathematical modeling and dynamical systems
theory to show that rhythmic oscillations in this network may also depend on, or
even arise from, a voltage-dependent electrical coupling between one of the cells
in the half-center network and a projection neuron that lies outside of the
network. This finding uncovers a potentially new mechanism for the generation of
oscillations in neuronal networks.
PMID- 27188716
TI - Analysis of the dose-dependent stage-specific in vitro efficacy of a multi-stage
malaria vaccine candidate cocktail.
AB - BACKGROUND: The high incidence and mortality rate of malaria remains a serious
burden for many developing countries, and a vaccine that induces durable and
highly effective immune responses is, therefore, desirable. An earlier analysis
of the stage-specific in vitro efficacy of a malaria vaccine candidate cocktail
(VAMAX) considered the general properties of complex multi-component, multi-stage
combination vaccines in rabbit immunization experiments using a hyper
immunization protocol featuring six consecutive boosts and a strong,
lipopolysaccharide-based adjuvant. This follow-up study investigates the effect
of antigen dose on the in vitro efficacy of the malaria vaccine cocktail using a
conventional vaccination scheme (one prime and two boosts) and a human-compatible
adjuvant (Alhydrogel((r))). RESULTS: IgG purified from rabbits immunized with
0.1, 1, 10 or 50 ug doses of the VAMAX vaccine candidate cocktail was analysed
for total IgG and antigen-cocktail-specific titers. An increase in cocktail
specific titers was observed between 0.1 and 1 ug and between 10 and 50 ug,
whereas no significant difference in titers was observed between 1 and 10 ug.
Antigen component-specific antibody titers and stage-specific in vitro efficacy
assays were performed with pooled IgG from animals immunized with 1 and 50 ug of
the VAMAX cocktail. Here, the component-specific antibody levels showed clear
dose dependency whereas the determined stage-specific in vitro IC50 values (as a
correlate of efficacy) were only dependent on the titer amounts of stage-specific
antibodies. CONCLUSIONS: The stage-specific in vitro efficacy of the VAMAX
cocktail strongly correlates with the corresponding antigen-specific titers,
which for their part depend on the antigen dose, but there is no indication that
the dose has an effect on the in vitro efficacy of the induced antibodies. A
comparison of these results with those obtained in the previous hyper
immunization study (where higher levels of antigen-specific IgG were observed)
suggests that there is a significant need to induce an immune response matching
efficacy requirements, especially for a PfAMA1-based blood stage vaccine, by
using higher doses, better adjuvants and/or better formulations.
PMID- 27188718
TI - Marinirhabdus gelatinilytica gen. nov., sp. nov., isolated from seawater.
AB - A Gram-stain-negative, aerobic and slightly halophilic bacterium was isolated
from the South China Sea, and was subjected to characterization using a
polyphasic taxonomic approach. Cells of the isolate, designated NH83T, were non
motile and rod-shaped. On the basis of 16S rRNA gene sequence analysis, strain
NH83Twas closely related to members of the genera Aureisphaera (with sequence
similarity of 92.9 %), Jejudonia (92.8 %), Marixanthomonas (92.6 %), Altuibacter
(92.6 %), Ulvibacter (91.5-91.9 %), Gilvibacter (91.8 %) and Aequorivita (89.6
91.2 %), all of which belong to the family Flavobacteriaceae. Phylogenetic
analysis indicated that it represented an independent lineage and its closest
relatives belonged to the genus Marixanthomonas. The sole respiratory quinone was
MK-6. The major polar lipids were phosphatidylethanolamine, two aminolipids, one
aminophospholipid and one unidentified lipid. The principal fatty acids were
branched fatty acids, including iso-C15 : 0, iso-C17 : 0 3-OH, iso-C16 : 0, iso
C15 : 1 G and summed feature 3 (iso-C15 : 0 2-OH and/or C16 : 1omega7c). The
genomic DNA G+C content was 41.0 mol%. Strain NH83T was positive for hydrolysis
of aesculin, gelatin and Tween 60. Phylogenetic distinctiveness and
chemotaxonomic differences, together with differential phenotypic properties,
revealed that strain NH83T could be differentiated from closely related genera.
Therefore, it is proposed that strain NH83T represents a novel species in a new
genus, for which the name Marinirhabdus gelatinilytica gen. nov., sp. nov. (type
strain NH83T=CGMCC 1.15462T=DSM 101478T) is proposed.
PMID- 27188717
TI - An 18 gene expression-based score classifier predicts the clinical outcome in
stage 4 neuroblastoma.
AB - BACKGROUND: The prognosis of children with metastatic stage 4 neuroblastoma (NB)
has remained poor in the past decade. PATIENTS AND METHODS: Using microarray
analyses of 342 primary tumors, we here developed and validated an easy to use
gene expression-based risk score including 18 genes, which can robustly predict
the outcome of stage 4 patients. RESULTS: This classifier was a significant
predictor of overall survival in two independent validation cohorts [cohort 1 (n
= 214): P = 6.3 * 10(-5); cohort 2 (n = 27): P = 3.1 * 10(-2)]. The prognostic
value of the risk score was validated by multivariate analysis including the
established markers age and MYCN status (P = 0.027). In the pooled validation
cohorts (n = 241), integration of the risk score with the age and/or MYCN status
identified subgroups with significantly differing overall survival (ranging from
35 to 100 %). CONCLUSION: Together, the 18-gene risk score classifier can
identify patients with stage 4 NB with favorable outcome and may therefore
improve risk assessment and treatment stratification of NB patients with
disseminated disease.
PMID- 27188719
TI - Patient attitudes towards outpatient cervical ripening prior to induction of
labour at an Australian tertiary hospital.
AB - A prospective patient questionnaire was conducted to assess attitudes and
opinions towards outpatient cervical ripening in women attending an Australian
tertiary hospital's labour and birth suite for a booked induction of labour.
Questionnaires were distributed over a three-month period and information
collected included demographic data, pregnancy and obstetric history, attitudes
towards cervical ripening and willingness to undergo cervical ripening in the
outpatient setting. Responses to 57 completed questionnaires were analysed. Forty
one patients (72%) underwent cervical ripening with Foley Catheter Balloon (FCB)
only, eight (14%) with FCB and vaginal prostaglandins (VP), two (3.5%) with VP
only and six patients (10.5%) did not require cervical ripening. One-third (33%)
of patients stated, both before the commencement of cervical ripening and after
delivery, that they would feel happy to undergo outpatient cervical ripening.
Patient acceptance of outpatient cervical ripening has potential economic and
psychosocial benefits for the healthcare system and patient respectively.
PMID- 27188721
TI - A mononuclear nonheme cobalt(iii)-hydroperoxide complex with an amphoteric
reactivity in electrophilic and nucleophilic oxidative reactions.
AB - A mononuclear nonheme cobalt(iii)-hydroperoxide complex bearing a tetramethylated
cyclam ligand, [(12-TMC)Co(III)(OOH)](2+), was synthesized and characterized
spectroscopically and computationally; the cobalt(iii)-hydroperoxide complex
exhibited an amphoteric reactivity in electrophilic and nucleophilic oxidative
reactions.
PMID- 27188720
TI - SOX7 co-regulates Wnt/beta-catenin signaling with Axin-2: both expressed at low
levels in breast cancer.
AB - SOX7 as a tumor suppressor belongs to the SOX F gene subfamily and is associated
with a variety of human cancers, including breast cancer, but the mechanisms
involved are largely unclear. In the current study, we investigated the
interactions between SOX7 and AXIN2 in their co-regulation on the Wnt/beta
catenin signal pathway, using clinical specimens and microarray gene expression
data from the GEO database, for their roles in breast cancer. We compared the
expression levels of SOX7 and other co-expressed genes in the Wnt/beta-catenin
pathway and found that the expression of SOX7, SOX17 and SOX18 was all reduced
significantly in the breast cancer tissues compared to normal controls. AXIN2 had
the highest co-relativity with SOX7 in the Wnt/beta-catenin signaling pathway.
Clinicopathological analysis demonstrated that the down-regulated SOX7 was
significantly correlated with advanced stages and poorly differentiated breast
cancers. Consistent with bioinformatics predictions, SOX7 was correlated
positively with AXIN2 and negatively with beta-catenin, suggesting that SOX7 and
AXIN2 might play important roles as co-regulators through the Wnt-beta-catenin
pathway in the breast tissue to affect the carcinogenesis process. Our results
also showed Smad7 as the target of SOX7 and AXIN2 in controlling breast cancer
progression through the Wnt/beta-catenin signaling pathway.
PMID- 27188722
TI - Discovery of ERBB3 inhibitors for non-small cell lung cancer (NSCLC) via virtual
screening.
AB - As a member of the epidermal growth factor receptor family (EGFR) of receptor
tyrosine kinases, ERBB3 plays an important role in mediating cellular growth and
differentiation. Recent research works identified that CD74-NRG1 fusions lead to
overexpression of the EGF-like domain of NRG1, and thus activate ERBB3 and PI3K
AKT signaling pathways. The fusion was detected in lung adenocarcinomas, and
served as an important oncogenic factor for ERBB3 driven cancers. A sequential
virtual screening strategy has been applied to ERBB3 crystal structure using
databases of natural products and Chinese traditional medicine compounds, and led
to identification of a group of small molecular compounds potentially capable of
blocking ERBB3. Six small molecular compounds were selected for in vitro
analysis. Five of these molecules significantly inhibited the growth of A549
cells. Among them, compound VS1 is the most promising one with IC50 values of
269.75 MUM, comparing to the positive control of nimustine hydrochloride with
IC50 values of 264.14 MUM. With good specificity and predicted ADMET results, our
results support the feasibility by using a pharmacophore of the compound VS1 for
designing and optimization of ERBB3 inhibitors.
PMID- 27188723
TI - Application of the quantum mechanical IEF/PCM-MST hydrophobic descriptors to
selectivity in ligand binding.
AB - We have recently reported the development and validation of quantum mechanical
(QM)-based hydrophobic descriptors derived from the parametrized IEF/PCM-MST
continuum solvation model for 3D-QSAR studies within the framework of the
Hydrophobic Pharmacophore (HyPhar) method. In this study we explore the
applicability of these descriptors to the analysis of selectivity fields. To this
end, we have examined a series of 88 compounds with inhibitory activities against
thrombin, trypsin and factor Xa, and the HyPhar results have been compared with
3D-QSAR models reported in the literature. The quantitative models obtained by
combining the electrostatic and non-electrostatic components of the octanol/water
partition coefficient yield results that compare well with the predictive
potential of standard CoMFA and CoMSIA techniques. The results also highlight the
potential of HyPhar descriptors to discriminate the selectivity of the compounds
against thrombin, trypsin, and factor Xa. Moreover, the graphical representation
of the hydrophobic maps provides a direct linkage with the pattern of
interactions found in crystallographic structures. Overall, the results support
the usefulness of the QM/MST-based hydrophobic descriptors as a complementary
approach for disclosing structure-activity relationships in drug design and for
gaining insight into the molecular determinants of ligand selectivity. Graphical
Abstract Quantum Mechanical continuum solvation calculations performed with the
IEF/PCM-MST method are used to derived atomic hydrophobic descriptors, which are
then used to discriminate the selectivity of ligands against thrombin, trypsin
and factor Xa. The descriptors provide complementary view to standard 3D-QSAR
analysis, leading to a more comprehensive understanding of ligand recognition.
PMID- 27188724
TI - Theoretical study of the structures and first hyperpolarizabilities of C60Cl n
and Li@C60Cl n (n = 4, 6, 8, 10).
AB - We recently reported (Song Y-D et al., 2016, J Mol Model 22:50) that doping with
Li greatly affects the static first hyperpolarizability of C60Cl2. In this work,
with a view to creating nonlinear optical materials with high thermodynamic
stability and wide transparent regions, a series of Li@C60Cl n (n = 4, 6, 8, 10)
were designed. The structures, electrostatic potentials, electronic structures,
absorption spectra, and linear and nonlinear optical properties of C60Cl n and
Li@C60Cl n were systematically investigated using density functional theory (DFT)
methods. The results of our calculations indicated that the stability of these
molecules decreases in the order Li@C60Cl10 > Li@C60Cl8 > Li@C60Cl6 > Li@C60Cl4.
It is clear that the number of Cl atoms greatly influences the stability of
Li@C60Cl n . Li@C60Cl n showed greater thermodynamic stability than Li@C60Cl2. We
also investigated the first hyperpolarizabilities of Li@C60Cl n and found them to
be 2973, 3640, 4307, and 2627 au for n = 4, 6, 8, and 10, respectively-higher
than that of Li@C60Cl2. Finally, we noted that the transparent region could be
modulated by increasing the number of Cl atoms: Li@C60Cl n possess wider
transparent regions than that of Li@C60Cl2. We therefore believe that this study
has highlighted an effective method for designing nonlinear optical materials
with high thermodynamic stability and wide transparent regions.
PMID- 27188725
TI - Thermal reaction of the ionic liquid 1,2-dimethyl-(3-aminoethyl) imidazolium
tetrafluoroborate: a kinetic and theoretical study.
AB - Since the thermal stabilities of ionic liquids (ILs) are of significance for
their application, an amine-functionalized IL 1,2-dimethyl-(3-aminoethyl)
imidazolium tetrafluoroborate [aEMMIM][BF4] was chosen to study thermal
decomposition mechanisms via the methods of FT-IR, (1)H NMR, TGA, TGA-MS and
density functional theory (DFT) calculations. Theoretical and experimental
results indicated that amine-functionalization reduces the thermal stability of
[aEMMIM][BF4] compared to its non-functionalized counterpart. Moreover, we found
that [aEMMIM][BF4] follows a unimolecular nucleophilic substitution (SN1)
decomposition (98.8 %), whereas the bimolecular nucleophilic substitution (SN2)
decomposition (1.2 %) is unfavorable. The SN1 and SN2 reactions were fully
optimized at B3LYP/6-311++G(d,p) level, and the energies of reactant (R),
intermediates (IM), transition state (TS) and product (P) were obtained and
analyzed by reaction mechanism. The energy of the intermediate is higher than
that of the reactants by 18.92 kJ mol(-1), and the energy of the TS is higher
than that of the IM by 155.23 kJ mol(-1). This result indicates that the IM are
also more stable than the P2 product, thus the reaction is endothermic. The
chemical nature of the covalent and hydrogen bonds was analyzed by vibrational
modes analysis (VMA), nature bond orbital (NBO) and the theory of atoms in
molecules (AIM). Graphical Abstract Proposed thermal decomposition of
[aEMMIM][BF4] via unimolecular ( SN1) and bimolecular( SN2) nucleophilic
substitution mechanisms. The electrostatic potential surface (ESP) of the
transition state illustrates that hydrogen bonds are generated when [BF4](-) is
close to [aEMMIM](+), and SN1 decomposition is much favorable than SN2
decomposition.
PMID- 27188727
TI - Overexpression of X chromosome-linked inhibitor of apoptosis by inhibiting
microRNA-24 protects periodontal ligament cells against hydrogen peroxide-induced
cell apoptosis.
AB - Hydrogen peroxide (H2O2), a common oral clinical drug for the tooth bleaching,
induces severe cell apoptosis of periodontal ligament cells (PDLCs). The
excessive cell apoptosis of PDLCs impairs periodontal tissue damage and repair.
However, the underlying mechanism is incompletely understood. Here, we showed
that microRNA-24 (miR-24) played an important role in regulating H2O2-induced
cell apoptosis of PDLCs. We found that miR-24 expression was increased in PDLCs
in response to H2O2 treatment. Down-regulation of miR-24 obviously rescued H2O2
induced cell apoptosis in PDLCs. By bioinformatic analysis, X chromosome-linked
inhibitor of apoptosis (XIAP) was identified as a candidate target gene of miR
24, which was further verified by the dual-luciferase reporter assay.
Furthermore, the protein expression level of phosphatase and tensin homolog
deleted on chromosome ten was significantly decreased by miR-24 silencing,
whereas the phosphorylation of Akt was remarkably increased by miR-24 silencing.
In addition, the gene silencing of XIAP significantly reduced Akt activity and
blocked the protective effect of the miR-24 inhibitor against H2O2-induced cell
apoptosis. Overall, our findings suggest that miR-24 plays an important role in
regulating the cell survival of PDLCs through targeting XIAP.
PMID- 27188728
TI - Cell-free fetal DNA in amniotic fluid supernatant for prenatal diagnosis.
AB - In widespread conviction, amniotic fluid is utilized for prenatal diagnosis.
Amniotic fluid supernatant is usually discarded, notwithstanding being a good
source of fetal DNA. The aim of the present study was to assess cell-free fetal
DNA extracted from amniotic fluid supernatant for application in prenatal
diagnosis such as gender determination and early diagnosis of beta-thalassemia.
Samples of amniotic fluid of 70 pregnant women were collected and went through
routine tests along with tests for cell-free fetal DNA from amniotic fluid
supernatant. The DNA in the amniotic fluid supernatant was extracted and analyzed
for gender determination by PCR and Real-time PCR. ARMS-PCR was applied to test
early diagnosis of IVS II-I mutation (common beta-thalassemia mutation) and E7V
mutation for sickle cell anemia using DNA extracted from the amniotic fluid
supernatant. Using the cell-free fetal DNA extracted from the amniotic fluid
supernatant, the sensitivity of PCR and Real-time PCR for gender detection was
compared with the routine cytogenetic method. The fetus tested for sickle cell
anemia and beta-thalassemia was observed to be healthy but heterozygous for IVS
II-I mutation. The findings indicated that cell-free fetal DNA from amniotic
fluid supernatant can be a good source of fetal DNA and be used in early prenatal
diagnosis since because of its fast and accurate application. Therefore, it would
be suggested that the amniotic fluid supernatant's disposal is prevented because
if the tests needs to be repeated, cell-free fetal DNA extracted from the
amniotic fluid supernatant can be used as an alternative source for prenatal
diagnosis.
PMID- 27188726
TI - G2013 modulates TLR4 signaling pathway in IRAK-1 and TARF-6 dependent and miR
146a independent manner.
AB - Inflammation is inseparable part of different diseases especially cancer and
autoimmunity. During inflammation process toll like receptor 4(TLR4) responds to
lipopolysaccharide (LPS), one of the bacterial components, and TLR4 signaling
leads to interleukine-1 receptor associated kinase-1 (IRAK1) and tumor necrosis
factor (TNF) receptor associated factor6 (TRAF6) activation which ultimately
results in nuclear factor- KB (NF-KB) activation as the main transcription factor
of inflammatory cytokines. Conversely, NF-KB over activation induces miR-146a in
innate immune cells which can consequently reduce TRAF6, IRAK1, and NF-KB
activation in a negative feedback. G2013 is a novel designed non-steroidal anti
inflammatory drug (NSAID) which was recently shown to be effective in
experimental autoimmune encephalomyelitis (EAE) mouse model. The aim of this
study was to evaluate G2013 effects on inflammatory (IRAK1 and TRAF6) and anti
inflammatory (miR-146a) factors of TLR4 signaling pathway. For this purpose,
cytotoxicity of G2013 has been evaluated by MTT assay. Expression level of miR
146a in PBMCs and IRAK1 along with TRAF6 in HEK-293 TLR4 cells have been
determined using real time PCR. Our results showed that IC50 of G2013 was
25MUg/ml, thus 5 and 25 MUg/ml concentrations used for further treatments as low
dose and high dose concentrations. Our results showed that IRAK1 expression
reduced between 5 to 8 fold after treatment by G2013 in a dose dependent manner
(p<0.001). In parallel TRAF6 expression declined between 3 to 10 fold dose
dependently (p<0.05). However, miR-146a expression was not affected after
treatment with low dose and high dose of G2013. In conclusion our data showed
that G2013 can regulate TLR4 signaling pathway during inflammation by reducing
downstream signaling molecules, IRAK1 and TRAF6 without altering miR-146a
expression.
PMID- 27188729
TI - Perfluorocarbon inhibits lipopolysaccharide-induced macrophage inflammatory
protein-2 expression and activation of ATF-2 and c-Jun in A549 pulmonary
epithelial cells.
AB - The signaling pathway that mediates the anti-inflammatory effects of
perfluorocarbon (PFC) in alveolar epithelial cells treated with
lipopolysaccharide (LPS) remains unclear. To evaluate the role of macrophage
inflammatory protein-2 (MIP-2), four A549 treatment groups were utilized: (1)
untreated control, (2) 10 MUg/mL of LPS, (3) 10 MUg/mL of LPS+30% PFC and (4) 30%
PFC. MIP-2 mRNA expression was determined by qPCR and ELISA. Mitogen-activated
protein kinase (MAPK) activation was determined by Western blot analysis, and MIP
2 expression was determined by qPCR following treatment with MAPK inhibitors. PFC
suppressed LPS-induced MIP-2 mRNA levels (P<=0.035) and MIP-2 secretion
(P<=0.046). LPS induced ATF-2 and c-Jun phosphorylation, which was suppressed by
PFC. Finally, inhibitors of ERK, JNK, and p38 suppressed LPS-induced MIP-2 mRNA
expression. Thus, PFC inhibits LPS-induced MIP-2 expression and ATF-2 and c-Jun
phosphorylation. To fully explore the therapeutic potential of PFC for acute lung
injury (ALI), in vivo analyses are required to confirm these effects.
PMID- 27188730
TI - The role of Iron on breast cancer stem-like cells.
AB - Iron is a fundamental nutrient that enables the functions of vital enzymes
involved in cell replication, metabolism and growth. Cancer cells contain higher
systemic iron levels relative to normal cells. In breast cancer cells, human
epidermal growth factor receptor 2 (HER2) is overexpressed more than 30% of
normal and its poorly prognosis results in elevated the proportion of cancer stem
cells (CSCs) which are the main drivers in cancer recurrence. Finding a relation
between increases of iron levels, HER2 expression and CSC population may provide
new tools for breast cancer therapy. In this study, therefore, iron dependency in
HER2 overexpression and CSC survival is examined in breast cancer cell line,
MCF7. It has shown that cells overexpressing HER2 require iron more than their
vector counterparts and HER2-increased CSCs are vulnerable to iron chelation.
Additionally, this sensitivity of CSCs to iron reduction is obviously indicated
in various breast cancer cell lines; HCC1954, MDA-MB-435 and Hs578T. Finally, the
concept is also shown in neoplastically transformed breast cancer cell line,
HMLER. Altogether, this study demonstrates that iron depletion causes toxicity
for CSCs.
PMID- 27188731
TI - Detection of the novel IL-1 family cytokines by QAH-IL1F-1 assay in rheumatoid
arthritis.
AB - The interleukin (IL)-1 family of cytokines comprises 11 members, including 7 pro
inflammatory cytokines (IL-1alpha, IL-1beta, IL-18, IL-33, IL-36alpha, IL
36beta,IL-36gamma) and 4 anti-inflammatory cytokines (IL-1R antagonist (IL-1Ra),
IL-36Ra, IL-37 and IL-38), and play central roles in mediating immune responses.
In this study, we detected serum levels of IL-36 subfamily cytokines (including
IL-36alpha, IL-36beta, IL-36gamma, IL-36Ra and IL-38), IL-37, IL-33 and aimed to
investigate the roles of these cytokines in rheumatoid arthritis (RA)
preliminarily. A total of 10 RA patients and 10 healthy controls (HCs) were
involved in this study, we measured IL-36 subfamily cytokines, IL-37 and IL-33
levels in the serum of the experiment subjects by QAH-IL1F-1 assay. Clinical and
laboratory data of the subjects were collected and analyzed by Spearman's rank
test. Compared to that of HCs, IL-36alpha, IL-36beta, IL-36Ra, IL-38 and IL-33
levels were significantly increased in RA patients. We also found RA patients
with elevated IL-36Ra had a higher ESR and RF-IgM, and there was a positive
correlation between increased IL-36alpha and CRP. Our study suggests that parts
of the novel members of IL-1 family cytokines were involved in the pathogenesis
of RA, and may provide a novel target for therapies of RA.
PMID- 27188732
TI - Enhancing immune responses of EV71 VP1 DNA vaccine by co-inoculating plasmid IL
12 or GM-CSF expressing vector in mice.
AB - Enterovirus 71 (EV71) is a major causative viral agent for large outbreaks of
hand, foot, and mouth disease in children and infants, yet there is no vaccine or
effective antiviral treatment for severe EV71 infection. The immunogenicity of
EV71 VP1 DNA vaccine and the immunoregulatory activity of interleukin-12 (IL-12)
or granulocyte-monocyte colony stimulating factor (GM-CSF) were investigated. DNA
vaccine plasmids, pcDNA-VP1, pcDNA-IL-12 and pcDNA-GM-CSF were constructed and
inoculated into BALB/c mice with or without pcDNA-IL-12 or pcDNA-GM-CSF by
intramuscular injection. Cellular and humoral immune responses were assessed by
indirect ELISA, lymphocyte proliferation assays, cytokine release assay and FACS.
The VP1 DNA vaccine had good immunogenicity and can induce specific humoral and
cellular immunity in BALB/c mice, while IL-2 or GM-CSF plays an immunoadjuvant
role and enhances specific immune responses. This study provides a frame of
reference for the design of DNA vaccines against EV71.
PMID- 27188733
TI - The roles of SPBC409.08 and SPAC9.02c hypothetical genes in cell cycle and stress
response, in Schizosaccharomyces pombe.
AB - Polyamine molecules are known to have important roles in the cell cycle control
and fighting against stress in the cell. The mechanism and modification of
polyamines are regulated by the cooperation of many proteins such as polyamine
transporter proteins and polyamine acetyltransferases. In this study, our aim is
to characterize two hypothetical Schizosaccharomyces pombe genes, SPBC409.08 and
SPAC9.02c, which show sequence similarity to spermine family transporters and
polyamine N-acetyltransferases, respectively. To this end, we generated deletion
mutants of SPBC409.08 and SPAC9.02c genes using Bahler method and checked the
cell cycle progression and stress responses of these mutants. Our results showed
that SPBC409.08Delta cells showed some defects in the cell size, while
SPAC9.02cDelta cells showed some sensitivity to UV irradiation. These data
support their potential roles in the cell cycle and stress response. To our
knowledge our results are the first experimental characterization of these genes.
PMID- 27188734
TI - Efficacy of hematopoietic stem cell formultiple sclerosis, an evidence based meta
analysis.
AB - Multiple sclerosis (MS) is thought to be a serious autoimmune disease. However,
few therapy method was efficient for MS. The hematopoietic cell transplant (HCT)
has been reported for a long time and can be used for MS. The clinical trials
consisted of small samples and gave confusing results. This systematic review and
meta-analysis aims to estimate the effects of HCT for adults with MS. We searched
the database of CNKI, PUBMED, EMBASE, WEB of SCIENCE and the Cochrane Center
Register of Controlled Trials to find initial studies and selected the
appropriate researches included in the meta-analysis based on the inclusion and
exclusion criteria. I2 was used to evaluate the heterogeneity and meta-regression
was used for finding the source. Random effort model was performed to pool the
data and funnel plot was drawn to determine publication bias. Six or eight single
arm clinical trials studies were included. The I2 value was 0.77 and 0.93,
suggesting a heavy heterogeneity between studies. However, meta-regression
analysis did not find the source of heterogeneity in which the publication
country and follow up time were the influencing factors. Compared with baseline,
the EDSS score of MS patients after HCT has a statistical decrease of 0.62 (95%
CI 0.14, 1.10) at the 12th month and 1.26 (95%CI: 0.38, 2.14) at the follow up
time ending point respectively. Available evidence suggests some clinical
benefits of HCT combined with immunotherapy on MS. Due to wide confidence
intervals that are characteristics of small evidence bases, further
investigations to provide enough baseline information according to the RCTs are
needed for further analysis, such as subgroup analysis and meta-regression
analysis.
PMID- 27188735
TI - Genetic diversity of Iranian honey bee (Apis mellifera meda Skorikow, 1829)
populations based on ISSR markers.
AB - Honey bee is one of the most important insects considering its role in
agriculture,ecology and economy as a whole. In this study, the genetic diversity
of different Iranian honey bee populations was evaluated using inter simple
sequence repeat (ISSR) markers. During May to September 2014, 108 young worker
honey bees were collected from six different populations in 30 different
geoclimatic locations from Golestan, Mazendaran, Guilan, West Azerbaijan, East
Azerbaijan, Ardebil provinces of Iran. DNA was extracted from the worker honey
bees. The quality and quantity of extracted DNA were measured. A set of ten
primers were screened with the laboratory populations of honey bees. The number
of fragments produced in the different honey bee populations varied from 3 to 10,
varying within 150 to 1500 bp. The used ten ISSR primers generated 40 polymorphic
fragments, and the average heterozygosity for each primer was 0.266. Maximum
numbers of bands were recorded for primer A1. A dendrogram based on the
Unweighted Pair Group Method with Arithmetic mean (UPGMA) method generated two
sub-clusters. Honey bee populations of Golestan, Mazendaran, Guilan provinces
were located in the first group. The second group included honey bee populations
of Ardebil, West Azerbaijan, East Azerbaijan provinces, but this group showed a
close relationship with other populations. The results showed obviously the
ability of the ISSR marker technique to detect the genetic diversity among the
honey bee populations.
PMID- 27188737
TI - Effect of ketamine anesthesia on cognitive function and immune function in young
rats.
AB - The aim of the current study was to explore the effect of the ketamine on the
immune function and cognitive function in young rats. The young rats (40) rats
were randomly divided into two groups where each group contains 20 rats, such as
Group I: normal control; Group II: Ketamine treated group. All group rats
received the intravenous injection of treatment for three times and the
hippocampal neuronal apoptosis and the immune parameters such as IL-2, IL-4 and
IL-10 and whole brain IL-1beta level were estimated. The cognitive ability effect
of the young rats was also tested using the Morris water maze test. In Morris
water maze test, it has been found, as the time increases, the latency of the
control group and ketamine treated groups rats were gradually decreased, with a
significant difference. The latency rate of the control group was unchanged
significantly (P<0.05), but after 3 days, the latency has been decreased
significantly. The hippocampal neuronal apoptosis of the control group and
ketamine treated group rats were found to be 13.5*5.8 % and (2.1*1.4) %,
respectively. The level of the serum IL-4 and IL-10 were also found significantly
(P<0.05) higher in the ketamine group as compared to the control group rats. The
level of the IL-2 was found to be almost similar in both normal control and
ketamine group rats. Markedly, the level of the whole brain IL-1beta was found to
be significantly higher in the ketamine treated group in comparison to the
control group rats. On the basis of the above fact, it has been conclude that the
ketamine might be able to inhibit the cognitive function as well as immune
function.
PMID- 27188736
TI - Expression and significance of aquaporin protein in sprague-dawley rats after
experimental intraventricular hemorrhage.
AB - The AQP4 protein in Sprague-Dawley (SD) rats was expressed after experimental
intraventricular hemorrhage to investigate the possible pathogenesis of chronic
posthemorrhagic hydrocephalus. Seventy SD rats were randomly divided into two
groups - sham-operated group (n=35) and experimental group (n=35). Saline and
citrated autologous blood were injected into the lateral ventricle of the SD rats
in the two groups, respectively. The rats in experimental group were further
divided into seven subgroups based on different time points at 3 h, 6 h, 12 h, 1
d, 3 d, 5 d and 7 d. The change of expression of AQP4 protein at different time
of bleeding were detected by immumohistochemical techniques and mRNA of AQP4 was
observed by in situ hybridization. It was found that 75% rats in experimental
group suffered from chronic hydrocephalus at 12 h after intraventricular
hemorrhage. High protein expression of AQP4 was observed in the apical of
cuboidal epithelium of choroids plexus. The protein expression of AQP4 gradually
weakened in experimental group after 3 days of intraventricular hemorrhage and
dropped to the minimum at the 7th day, which was significantly different from the
control and operative groups (P<0.05). The mRNA expression of AQP4 was weaker
than the protein expression, and the locations of expression were generally in
agreement with each other.
PMID- 27188739
TI - Expression and variability of lipid metabolism genes in intracranial aneurysm.
AB - The objective of this study was to investigate the association between mRNA
expression and single nucleotide polymorphisms (SNPs) of the ATP-binding cassette
transporter (ABCA1) gene, apolipoprotein A1 (APOA1) gene, low-density lipoprotein
(LDLR) gene and RNA gene located in the CDKN2B-CDKN2A cluster (CDKN2B-AS1)
involved in lipid metabolism and the occurrence of intracranial aneurysm (IA).
Fifty three IA patients, and 27 controls (IA-free) were enrolled in this study
and were genotyped for seven single nucleotide polymorphisms. Increased
expression of the LDLR gene in IA patients was observed. The A/G genotype and the
A allele of the c. -113G>A polymorphism of the APOA1 gene were associated with
increased occurrence of IA (ORs 12.36 and 14.14, respectively), while the G/G
genotype and G allele showed the opposite tendency (ORs 0.06 and 0.07,
respectively). We also detected that the A/A-G/A combined genotype of the c.
113G>A - APOA1 and g.46859A>G - LDLR SNPs was associated with a decreased
occurrence of IA. Moreover, the A/G-G/G combined genotype of the c.656G>A - ABCA1
and c. -113G>A - APOA1 was associated with a decreased occurrence of IA. The
results of our study suggest the association between expression and variability
of lipid metabolism genes and occurrence of IA.
PMID- 27188740
TI - Amyloid beta directed antibody for Alzheimer's disease, an evidence based meta
analysis.
AB - In several preclinical researches, antibody of Aacting directly in the central
nervous system showed a great efficacy on the clearance of plaques. However, the
other researches were opposite. We performed a meta-analysis to evaluate the
amyloid-beta-directed antibody treatment of Alzheimer's disease. We searched
Pubmed, Web of science, Embase and Cochrane library. Pooled data was calculated
by standard mean difference. The heterogeneity and publication bias were
evaluated by I2 and funnel plot. Totally, 5 RCTs (randomized clinical trials)
with high qualities were included. There weas no difference of mean change form
baseline between therapy and placebo group based on Mini-Mental State Examination
(MMSE, SMD = 0.00, p = 0.97, 95% CI = -0.23 0.22) and Clinical Dementia Rating
Sum of Boxes (CDR-SB, SMD = 0.22, p = 0.39, 95% CI = -0.28 0.71), but a
significant decrease according to Alzheimer's Disease Assessment Scale (ADAS-cog,
SMD = 0.07, p = 0.01, 95% CI = -0.02 0.13). In conclusion, Antibody was not
benefit for AD based on MMSE and CDR-SB but had a little effect according to ADAS
cog.
PMID- 27188738
TI - Molecular and characterization of NnPPO cDNA from lotus (Nelumbo nucifera) in
rhizome browning.
AB - The complete cDNA (NnPPO) of polyphenol oxidase in Nelumbo nucifera was
successfully isolated, using Rapid amplification cDNA end (RACE) assays. The full
length cDNA of NnPPO was 2069 bp in size, containing a 1791 bp open reading frame
coding 597 amino acids. The putative NnPPO possessed the conserved active sites
and domains for PPO function. Phylogenetic analysis revealed that NnPPO shared
high homology with PPO of high plants, and the homology modeling proved that
NnPPO had the typical structure of PPO family. In order to characterize the role
of NnPPO, Real-time PCR assay demonstrated that NnPPO mRNA was expressed in
different tissues of N. nucifera including young leave, rhizome, flower, root and
leafstalk, with the highest expression in rhizome. Patterns of NnPPO expression
in rhizome illustrated its mRNA level was significantly elevated, which was
consistent with the change of NnPPO activity during rhizome browning. Therefore,
transcriptional activation of NnPPO was probably the main reason causing rhizome
browning.
PMID- 27188741
TI - Meta-analysis of traditional herbal medicine in the treatment of nonalcoholic
fatty liver disease.
AB - Traditional Chinese herbal medicine (TCM) has been found effective for
nonalcoholic fatty liver disease (NAFLD) based on its unique theory system and
substantial herb remedies. The aim of this meta-analysis was to evaluate the
efficiency and safety of one of the TCM, Danshen in the treatment of NAFLD. Seven
English and Chinese databases were searched from inceptions to December 2015.
RCTs which compared Danshen with placebo in adult patients with NAFLD were
included. Totally, 8 RCTs with a total of 800 patients were identified. The
results showed that compared with placebo, Danshen had increased total
effectiveness rate, lower level of ALT, AST, TC and TG, LDL and higher level of
liver/spleen computed tomography ratio. The present meta-analysis suggests that
Danshen may have positive effects on nonalcoholic fatty liver disease. Future
multicenter large-sample randomized clinical trials are still needed to confirm
the efficacy and safety of our study.
PMID- 27188742
TI - In Vitro and in Vivo antioxidant activity of ethyl acetate extraction of purple
rice.
AB - The antioxidant activities of ethyl acetate extraction of purple rice (EAEPR)
were evaluated by various methods in vitro and in vivo. In in vitro antioxidant
assays, EAEPR was found to have strong 2, 2-diphenyl-1-picrylhydrazyl radical
scavenging activity hydroxyl radical, reducing power and metal-ion chelating
activity. In in vivo antioxidant assays, mice were administered with EAEPR via
gavage for 42 consecutive days. As a result, administration of EAEPR
significantly enhanced the activities of glutathione peroxidase in serums and
livers of mice. EAEPR could improve the lipid status, especially total
cholesterol and low-density lipoprotein cholesterol levels. In addition, total
phenolic content of EAEPR was 188.21mg/g. The main phenolic compounds in EAEPR
analyzed by ultra-high performance liquid chromatography tandem mass spectrometry
were determined as ferulic acid and quercetin. The contents of ferulic acid and
quercetin in EAEPR were 14.21mg/g and 35.28mg/g, respectively. The Nrf2
expression was significantly elevated after administration of EAEPR.These results
suggested that EAEPR had potent antioxidant activity and could be explored as a
novel natural antioxidant.
PMID- 27188743
TI - Hsa-miRNA-31 regulates epithelial cell barrier function by inhibiting TNFSF15
expression.
AB - Ulcerative colitis (UC) is characterized by epithelial barrier disruption and
alterations in immune regulation but with the etiology unknown. MicroRNA-31 is
the most consistent differentially expressed miRNA in ulcerative colitis tissue.
The aim of this project is to study the important roles of miRNA-31 in regulation
of intestinal epithelial barrier function. We found that expression of miRNA-31
is proportional to the proliferation of Caco2-BBE cells and overexpression of
miRNA-31 can increase its trans-epithelial resistance (TER) by decreasing the
transepithelial permeability. miRNA-31 can directly bind to the 3-UTR of TNFSF15,
thereafter negatively regulating its expression in Caco2-BBE cells. BrdU and
TUNEL analysis demonstrated that transfection of miRNA-31 stimulates
proliferation or apoptosis-resistance. Taken together, these results revealed a
novel mecha-nism underlying the regulation of epithelial barrier function by
miRNA-31 during its regulation on proliferation of epithelial cells.
PMID- 27188744
TI - The efficacy of high-intensity, focused ultrasound treatment for non-neoplastic
epithelial disorders of the vulva.
AB - Non-neoplastic epithelial disorders of the vulva (NNEDV) are common types of
vulval lesions. Although corticosteroids represent a first-line treatment for
NNEDV, concerns exist about the safety associated with long-term topical
corticosteroid use. Recently, several clinical trials have identified high
intensity focused ultrasound (HIFU) as a promising treatment modality for NNEDV.
The aim of this multi-center, randomized, controlled clinical trial was to
investigate the efficacy of HIFU therapy in women with NNEDV based on
histological alterations. We enrolled patients who were clinically diagnosed with
NNEDV. They were randomized into 2 treatment groups: 1) halcinonide for 3 months
or 2) HIFU once. A total of 123 patients were biopsied both prior to and after
the therapy, and 62 and 61 patients were assigned to the HIFU and halcinonide
groups, respectively. The histological changes were then analyzed. After the
treatments, the therapeutic effects were observed in both groups. Comparing the
diagnosis and alterations in lichenoid and sclerotic patterns and in chronic
inflammation, we found statistically significant differences. Furthermore, when
compared with the halcinonide group, the HIFU group exhibited enhanced curative
effects that were statistically significant (P = 0.039). Based on the
histological evidence from this randomized, controlled trial, HIFU represents an
effective method for the treatment of NNEDV.
PMID- 27188745
TI - Edible bird's nest enhances antioxidant capacity and increases lifespan in
Drosophila Melanogaster.
AB - In this study, we aims to investigate the effects of edible bird's nest (EBN) on
anti-aging efficacy. In order to investigate lifespan and mortality rate of
flies, we treated flies with various doses of EBN. Besides, fecundity, water
content and food are determined and heat-stress test is conducted after flies
treating with different medium. Effects of EBN on total antioxidant activity (T
AOC), super-oxide dismutase activity (SOD), catalase activity (CAT), and
malondialdehyde (MDA) were examined in drosophila melanogaster. Results indicated
that flies in EBN treated group illustrated significantly lower mortality rates
and longer median and maximum lifespan compared to control group (P<0.05). The
fecundity in EBN-treated group was increased compared to control group. SOD
levels and CAT activity were significantly increased, and MDA levels decreased in
EBN-treated group compared to control group (P<0.01). In conclusion, EBN can
extend lifespan, decrease mortality rate and increase survival rate in heat
stress test, and which can also promote SOD and CAT activity and reduce MDA
levels. EBN is able to delay drosophila melanogaster aging, attributing to the
increasing antioxidant enzyme activities and decreasing content of lipid
peroxidation products in drosophila melanogaster.
PMID- 27188746
TI - Icariin induces S-phase arrest and apoptosis in medulloblastoma cells.
AB - Medulloblastoma is the most common type of malignant brain tumor in children.
Despite a relatively high long-term survival rate, complications still represent
great burden for the majority of patients receiving traditional therapy.
Therefore, the development of new effective treatments and drugs is urgently
needed. A cell counting kit-8 (CCK-8) and colony formation assay were used to
evaluate medulloblastoma cell proliferation and colony formation, respectively.
Cell cycles and apoptosis were assessed by flow cytometry. A western blot was
performed to determine the levels of protein expression. Axenograft model of
medulloblastoma was established to evaluate the in vivo anticancer effects of
icariin. The CCK-8 assay showed that icariin decreased cell viability in a dose-
and time-dependent manner. The colony formation assay indicated that icariin
potently inhibited the colony formation ability of Daoy and D341 cells. Icariin
induced proliferation inhibition may be due to S-phase arrest in medulloblastoma
cells. In addition, icariin induced apoptosis in a dose-dependent manner, as
shown by the results of annexin V/propidium iodide (PI) double staining and
Hoechst 33342 staining. Icariin progressively inhibited tumor growth and induced
apoptosis in a mouse model. Moreover, cell cycle regulators Cyclin A, CDK2, and
Cyclin B1, and apoptosis-related proteins caspase-3, caspase-9, poly (ADP-ribose)
polymerase (PARP), and Bcl-2 were modulated in response to treatment with icariin
in vitro and in vivo. Our results suggest that icariin may exert anticancer
effects. Thus, it is a promising drug for medulloblastoma treatment.
PMID- 27188747
TI - Pfizer blocks sales of its drugs for executions.
PMID- 27188748
TI - Superparamagnetic Properties of Hemozoin.
AB - We report that hemozoin nanocrystals demonstrate superparamagnetic properties,
with direct measurements of the synthetic hemozoin magnetization. The results
show that the magnetic permeability constant varies from MU = 4585 (at -20
degrees C) to 3843 (+20 degrees C), with the values corresponding to a
superparamagnetic system. Similar results were obtained from the analysis of the
diffusion separation of natural hemozoin nanocrystals in the magnetic field
gradient, with MU = 6783 exceeding the value obtained in direct measurements by
the factor of 1.8. This difference is interpreted in terms of structural
differences between the synthetic and natural hemozoin. The ab initio analysis of
the hemozoin elementary cell showed that the Fe(3+) ion is in the high-spin state
(S = 5/2), while the exchange interaction between Fe(3+) electron-spin states was
much stronger than kBT at room temperature. Thus, the spin dynamics of the
neighboring Fe(3+) ions are strongly correlated, lending support to the
superparamagnetism.
PMID- 27188749
TI - The first detection of species of Babesia Starcovici, 1893 in moose, Alces alces
(Linnaeus), in Norway.
AB - Babesiosis is an emerging zoonotic disease and various wildlife species are
reservoir hosts for zoonotic species of Babesia Starcovici, 1893. The objective
of the present study was to investigate the presence and prevalence of Babesia
spp. in moose Alces alces (Linnaeus) in two regions of Norway. A total of 99
spleen samples were collected from animals of various ages from an area with the
occurrence of the tick Ixodes ricinus (Linnaeus, 1758), and from an area where
the ticks are known to be absent. Infection was detected by the amplification of
different regions of the 18S rRNA gene by using two different PCR primer sets
specific of Babesia. Babesia spp. were found in the spleen samples of four moose.
All Babesia-infected animals were from an area where ticks occur, with an
infection rate of 6% (4 of 70). Babesia-positive samples were obtained from a
five-month old moose calf and three adults. Two Babesia species, Babesia capreoli
(Enigk et Friedhoff, 1962) and a B. odocoilei-like, were identified. Co-infection
with Anaplasma phagocytophilum was obtained in two animals. This is the first
report of the occurrence of B. capreoli and B. odocoilei-like species in moose.
PMID- 27188751
TI - Surgical teams' attitudes and opinions towards the safety of surgical procedures
in public hospitals in the Brazilian Federal District.
AB - BACKGROUND: According to the World Health Organization, the WHO surgical safety
checklist can prevent complications, improve communication and contribute to
postsurgical safety culture; hence, there is a need to investigate the attitudes
and opinions of surgical teams regarding safety utilizing the WHO instrument. The
aim of this study was to assess the attitudes and opinions towards surgical
safety among operating room professionals in three public hospitals in the
Brazilian Federal District. METHODS: A cross-sectional study was conducted with
the use of a checklist based on the safety attitudes questionnaire-operating
room, sent out during the pre- and post-intervention surveys of the WHO surgical
safety checklist (period I and period II) between 2012 and 2014. RESULTS: About
470 professionals, mostly nurse technicians, responded to the questionnaire in
both periods. Regarding the perception of safety and agreement about the
collaboration of the operating team, a significant statistical improvement of the
nursing staff and anesthesiologists was observed in the operating room after the
checklist was implemented. After utilizing the checklist before each surgical
procedure, concerns about patient safety and compliance with standards as well as
rules and hand-washing practices in the operating room statistically improved
after the post-intervention, especially by the nursing staff. The checklist was
considered easy and quick to use by most respondents. They also believed that the
checklist inclusion improved communication, reflecting significant differences.
At least 90.0 % of respondents from each team agreed that the checklist helps
prevent errors in the operating room. CONCLUSIONS: The study results showed
progress in relation to the attitudes and opinions regarding surgical safety from
operating teams in relation to the checklist response in the surveyed units.
However, difficulties in its implementation are experienced, especially in
relation to checklist use acceptance by the surgeons. New studies are needed to
verify the sustainability of the surgical teams' changes in attitudes in the
hospitals studied.
PMID- 27188752
TI - Long-term disability and prognostic factors in polyneuropathy associated with
anti-myelin-associated glycoprotein (MAG) antibodies.
AB - AIM OF THE STUDY: Neuropathy associated with IgM monoclonal gammopathy (MGUS)
represents distinctive clinical syndrome, characterized by male predominance,
late age of onset, slow progression, predominantly sensory symptoms, deep sensory
loss, ataxia, minor motor impairment. More than 50% of patients with neuropathy
associated MGUS possess antibodies against myelin-associated glycoprotein (MAG).
Purpose of our study was to assess effects on disease progression of demographic,
clinical and neurophysiological variables in our large cohort of patients.
MATERIALS AND METHODS: Forty-three Caucasians patients were followed every eight
months for median duration time of 93 months. Extremity strength was assessed
with Medical Research Council (MRC) Scale, disability with overall disability
status scale (ODSS), modified Rankin Scale and sensory function with Inflammatory
Neuropathy Cause and Treatment (INCAT) sensory scale (ISS). Statistical analyses
were conducted with parametric or non-parametric measures as appropriate.
Survival analysis was used to test predictive value of clinical, demographical
and neurophysiological variables. Variance analysis was conducted to explain
difference on MRC between patients and groups at different time from onset.
RESULTS: Results showed that demyelinating pattern, older age and absence of
treatment were significant risk factors for disability worsening. No other
factors emerged as predictors including gender, ataxia and tremor at baseline,
level of anti-MAG and IgM protein concentration in serum. Despite worsening of
all outcome measures between first and last visit, quality of life (HRQol) judged
by patients did not vary significantly. CONCLUSIONS: Our study provides evidence
that electrophysiologic pattern, age of onset and absence of treatment are strong
predictor of prognosis in anti-MAG polyneuropathy.
PMID- 27188754
TI - Introduction to the Culture, Health & Sexuality Virtual Special Issue on sex,
sexuality and sex work.
AB - This article provides an editorial introduction to a virtual special issue on sex
work and prostitution. It offers a brief history of sex work studies as published
in the journal Culture, Health & Sexuality; reflects on the breadth and scope of
papers the journal has published; considers the contribution of the journal's
papers to the wellbeing and sexuality of people who sell sex; and envisions
future areas of inquiry for sex work studies. As authors, we identify major
themes within the journal's archive, including activism, agency, context,
discourse, hazard, health, legalisation, love, place, power, race, relationships,
stigma and vulnerabilities. In particular, we reflect on how HIV has created an
environment in which issues of culture, health and sexuality have come to be
disentangled from the moral agendas of earlier years. As a venue for the
dissemination of a reinvigorated scholarship, Culture, Health & Sexuality
provides a platform for a community of often like-minded, rigorous thinkers, to
provide new and established perspectives, methods and voices and to present
important developments in studies of sex, sexuality and sex work.
PMID- 27188753
TI - Identifying microRNAs targeting Wnt/beta-catenin pathway in end-stage idiopathic
pulmonary arterial hypertension.
AB - MicroRNAs (miRNAs) play important roles in the pathogenesis of pulmonary arterial
hypertension (PAH). However, the pathways targeted by miRNAs in PAH have not been
systematically investigated. We aim to identify dysregulated miRNAs for patients
with idiopathic PAH (IPAH). miRNA profiling was performed on lung tissue total
RNA from eight IPAH patients and eight control subjects. Real-time quantitative
RT-PCR (qRT-PCR) was used for validation of miRNA and mRNA expression levels in
14 IPAH patients and 14 control subjects. Pathway enrichment analysis showed that
Wnt/beta-catenin signaling is among the top PAH-related pathways enriched in
target genes of dysregulated miRNAs. We confirmed the significant increased
expression levels of five miRNAs (let-7a-5p, miR-26b-5p, miR-27b-3p, miR-199a-3p
and miR-656) targeting major PAH-related pathways. Moreover, qRT-PCR validation
of Wnt/beta-catenin pathway activation indicated multiple genes including
receptors (FZD4, FZD5), core molecule (CTNNB1), and downstream targets (CCND1,
VEGFA, and AXIN2) were significantly upregulated. The expression level of miR
199b-5p was positively correlated with patients' hemodynamics (PVR: r = 0.522, p
= 0.038) and pulmonary vascular remodeling (muscularization: r = 0.540, p =
0.021). We confirmed overexpression of miR-199b-5p in hypoxic pulmonary arterial
endothelial cells that negatively regulates GSK3B expression. In summary, miRNAs
influence the pathogenesis of PAH by regulating major PAH-related pathways
including Wnt/beta-catenin in end-stage IPAH. KEY MESSAGE: It is the first miRNA
profiling study in lung tissue from end-stage idiopathic PAH. We identified
dysregulated miRNAs and major pathways (e.g., Wnt signaling) in IPAH. Levels of
miRNA expression were correlated with hemodynamics and pathological changes. We
observed aberrant expression of target genes in the Wnt/beta-catenin pathway.
miRNAs influence the pathogenesis of PAH by regulating major PAH-related
pathways.
PMID- 27188756
TI - A simple solution for antibody signal enhancement in immunofluorescence and
triple immunogold assays.
AB - Immunolocalization techniques are standard in biomedical research. Tissue
fixation with aldehydes and cell membrane permeabilization with detergents can
distort the specific binding of antibodies to their high affinity epitopes. In
immunofluorescence protocols, it is desirable to quench the sample's
autofluorescence without reduction of the antibody-dependent signal. Here we show
that adding glycine to the blocking buffer and diluting the antibodies in a
phosphate saline solution containing glycine, Triton X-100, Tween20 and hydrogen
peroxide increase the specific antibody signal in tissue immunofluorescence and
immunogold electron microscopy. This defined antibody signal enhancer (ASE)
solution gives similar results to the commercially available Pierce Immunostain
Enhancer (PIE). Furthermore, prolonged tissue incubation in resin and fixative
and application of ASE or PIE are described in an improved protocol for triple
immunogold electron microscopy that is used to show co-localization of GABA-A
rho2 and dopamine D2 receptors in GFAP-positive astrocytes in the mouse striatum.
The addition of glycine, Triton X-100, Tween20 and hydrogen peroxide during
antibody incubation steps is recommended in immunohistochemistry methods.
PMID- 27188757
TI - Aluminum resistance in wheat involves maintenance of leaf Ca(2+) and Mg(2+)
content, decreased lipid peroxidation and Al accumulation, and low photosystem II
excitation pressure.
AB - The phytotoxic aluminum species (Al(3+)) is considered as the primary factor
limiting crop productivity in over 40 % of world's arable land that is acidic. We
evaluated the responses of two wheat cultivars (Triticum aestivum L.) with
differential Al resistance, cv. Yecora E (Al-resistant) and cv. Dio (Al
sensitive), exposed to 0, 37, 74 and 148 MUM Al for 14 days in hydroponic culture
at pH 4.5. With increasing Al concentration, leaf Ca(2+) and Mg(2+) content
decreased, as well as the effective quantum yield of photosystem II (PSII)
photochemistry (Phi PSII ), while a gradual increase in leaf membrane lipid
peroxidation, Al accumulation, photoinhibition (estimated as F v /F m ), and PSII
excitation pressure (1 - q p ) occurred. However, the Al-resistant cultivar with
lower Al accumulation, retained larger concentrations of Ca(2+) and Mg(2+) in the
leaves and kept a larger fraction of the PSII reaction centres (RCs) in an open
configuration, i.e. a higher ratio of oxidized to reduced quinone A (QA), than
plants of the Al-sensitive cultivar. Four times higher Al concentration in the
nutrient solution was required for Al-resistant plants (148 MUM Al) than for Al
sensitive (37 MUM Al), in order to establish the same closed RCs. Yet, the
decline in photosynthetic efficiency in the cultivar Dio was not only due to
closure of PSII RCs but also to a decrease in the quantum yield of the open RCs.
We suggest that Al(3+) toxicity may be mediated by nutrient deficiency and
oxidative stress, and that Al-resistance of the wheat cultivar Yecora E, may be
due at least partially, from the decreased Al accumulation that resulted to
decreased reactive oxygen species (ROS) formation. However, under equal internal
Al accumulation (exposure Al concentration: Dio 74 MUM, Yecora E 148 MUM) that
resulted to the same oxidative stress, the reduced PSII excitation pressure and
the better PSII functioning of the Al-resistant cultivar was probably due to the
larger concentrations of Ca(2+) and Mg(2+) in the leaves. We propose that the
different sensitivities of wheat cultivars to Al(3+) toxicity can be correlated
to differences in the redox state of QA. Thus, chlorophyll fluorescence
measurements can be a promising tool for rapid screening of Al resistance in
wheat cultivars.
PMID- 27188755
TI - Clopidogrel treatment may associate with worsening of endothelial function and
development of new digital ulcers in patients with systemic sclerosis: results
from an open label, proof of concept study.
AB - BACKGROUND: Activated platelets release serotonin that binds 5-HT2B receptor on
fibroblasts leading to fibroblast activation. Clopidogrel, an inhibitor of ADP
dependent platelet activation prevents fibrosis in animal models of systemic
sclerosis (SSc). We aimed at assessing whether i) ADP-dependent platelet
activation is increased in patients with SSc compared to healthy subjects and
patients with rheumatoid arthritis (RA) and ii) whether clopidogrel can
effectively suppress ADP-dependent activation, reduce circulating serotonin
levels and hence, favorably affect fibrosis or vasculopathy in patients with
systemic sclerosis. METHODS: Thirteen patients with SSc were recruited. Platelet
activation was assessed by aggregometry prior to and following 14 days of
clopidogrel treatment. At the same time points serotonin and soluble vascular
cell adhesion molecule 1 (s-VCAM1), a marker of endothelial dysfunction, were
measured. RESULTS: ADP-dependent platelet activation was similar between patients
with SSc (n = 13), patients with RA (n = 28) and healthy subjects (n = 22) (mean
+/- SEM AU*min: 392.1 +/- 58.4, 535.5 +/- 61.33 and 570.9 +/- 42.9 in patients
with SSc, patients with RA and healthy subjects respectively, p = 0.14).
Clopidogrel treatment significantly reduced platelet activation in patients with
SSc (mean +/- SEM AU*min: 392.1 +/- 58.4 vs 163.8 +/- 51.7, p = 0.014).
Clopidogrel treatment did not affect serotonin levels but led to a significant
increase in s-VCAM1 (p = 0.03). Three patients developed new digital ulcers
during the study. The potential association of the study drug with the
development of new digital ulcers led to early termination of the study.
CONCLUSION: Clopidogrel may worsen markers of endothelial function and associate
with development of new digital ulcers in patients with SSc. CLINICAL TRIAL
REGISTRATION: ISRCTN63206606 . Registered 02/Dec/2014.
PMID- 27188759
TI - Utilization of Patient Electronic Messaging to Promote Advance Care Planning in
the Primary Care Setting.
AB - BACKGROUND: Advance care planning (ACP) is an instrumental mechanism aimed at
preserving patient autonomy. Numerous interventions have been proposed to
facilitate the implementation of ACP; however, rates of completed advance
directives (ADs) are universally low. Patient electronic portal messaging is a
newer tool in patient-provider communication which has not been studied as a
method to promote ACP. In this study, we hypothesized that the use of ACP
specific patient electronic messages would increase rates of AD completion in
patients aged 65 years and older in an academic primary care practice. METHODS:
All primary care patients, aged 65+, who had previously enrolled in a patient
electronic messaging system, within an academic primary care practice, were
included for randomization. Two hundred patients were randomized to receive an
electronic message. The primary outcome was the proportion of patients in each
group who completed an AD, 3 months after intervention. Secondary outcomes
included clinical utility of the completed ADs and proportion of patients who
viewed their electronic messages. RESULTS: The intervention group completed an AD
5.5% of the time when compared to 2% in the control group (odds ratio 3.2 [1.6
6.3]). Up to 74.5% of patients opened their electronic messages. CONCLUSION:
Among primary care patients aged 65 years and older, use of AD-specific
electronic messaging statistically significantly increased the rate of AD
completion, but the absolute number of completed AD remained relatively low.
These data suggest that this valuable communication tool holds opportunities for
further improvement. Older, frailer adults were more likely to complete an AD,
and prompted directives were more likely to include a written expression of the
individual's health-care values and preference.
PMID- 27188758
TI - Nurse Knowledge, Work Environment, and Turnover in Highly Specialized Pediatric
End-of-Life Care.
AB - OBJECTIVE: To examine the relationship between nurse knowledge, work environment,
and registered nurse (RN) turnover in perinatal hospice and palliative care
organizations. METHODS: Using nurse intellectual capital theory, a multivariate
analysis was conducted with 2007 National Home and Hospice Care Survey data.
RESULTS: Perinatal hospice and palliative care organizations experienced a 5%
turnover rate. The professional experience of advanced practice nurses (APNs) was
significantly related to turnover among RNs (beta = -.032, P < .05). Compared to
organizations with no APNs professional experience, clinical nurse specialists
and nurse practitioners significantly reduced RN turnover by 3 percentage points.
No other nurse knowledge or work environment variables were associated with RN
turnover. Several of the control variables were also associated with RN turnover
in the study; Organizations serving micropolitan (beta = -.041, P < .05) and
rural areas (beta = -.037, P < .05) had lower RN turnover compared to urban
areas. Organizations with a technology climate where nurses used electronic
medical records had a higher turnover rate than those without (beta = .036, P <
.05). CONCLUSION: The findings revealed that advanced professional experience in
the form of APNs was associated with reductions in RN turnover. This suggests
that having a clinical nurse specialist or nurse practitioner on staff may
provide knowledge and experience to other RNs, creating stability within the
organization.
PMID- 27188760
TI - Transitions to End-of-Life Care for Patients With Chronic Critical Illness: A
Meta-Synthesis.
AB - BACKGROUND: Adults with chronic critical illness (CCI) frequently experience a
terminal trajectory but receive varying degrees of palliation and end-of-life
care (EOLC) in intensive care units (ICUs). Why palliation (over curative
treatment) is not augmented earlier for patients with CCI in ICU is not well
understood. PURPOSE: To identify the social structures that contribute to timely,
context-dependent decisions for transition from acute care to EOLC for patients
with CCI and their families. METHODS: We conducted a meta-synthesis of
qualitative and/or mixed-method studies that recruited adults with CCI, their
families or close friends, and/or health-care providers (HCPs) in an ICU
environment. RESULTS: Five studies reported data from 83 patients, 109 family
members, and 57 HCPs across 5 institutions in Canada and the United States.
Overall, we found that morally ambiguous social expectations of treatment tended
to lock in HCPs to focus on prescriptive work of preserving life, despite
pathways that could "open" access to augmenting palliation and EOLC. This process
limited space for families' reflexivity and reappraisal of CCI as a phase liminal
to active dying. Notably, EOLC mechanisms were informal and less visible.
CONCLUSION: The management of dying is one of the central tenets of ICU care. Our
findings suggest that patients and families need help in negotiating meanings of
this situation and in using mechanisms that allow reappraisal and permit
understanding of CCI as a phase liminal to dying. Moreover, these mechanisms may
paradoxically reduce the ambiguity of patients' future, allowing them to live
more fully in the present.
PMID- 27188761
TI - Functionalized Nanolipobubbles Embedded Within a Nanocomposite Hydrogel: a
Molecular Bio-imaging and Biomechanical Analysis of the System.
AB - The purpose of this study was to explore the use of molecular bio-imaging systems
and biomechanical dynamics to elucidate the fate of a nanocomposite hydrogel
system prepared by merging FITC-labeled nanolipobubbles within a cross-linked
hydrogel network. The nanocomposite hydrogel system was characterized by size
distribution analysis and zeta potential as well as shears thinning behavior,
elastic modulus (G'), viscous loss moduli (G"), TEM, and FTIR. In addition,
molecular bio-imaging via Vevo ultrasound and Cell-viZio techniques evaluated the
stability and distribution of the nanolipobubbles within the cross-linked
hydrogel. FITC-labeled and functionalized nanolipobubbles had particle sizes
between 135 and 158 nm (PdI = 0.129 and 0.190) and a zeta potential of -34 mV.
TEM and ultrasound imaging revealed the uniformity and dimensional stability of
the functionalized nanolipobubbles pre- and post-embedment into the cross-linked
hydrogel. Biomechanical characterization of the hydrogel by shear thinning
behavior was governed by the polymer concentration and the cross-linker,
glutaraldehyde. Ultrasound analysis and Cell-viZio bio-imaging were highly
suitable to visualize the fluorescent image-guided nanolipobubbles and their
morphology post-embedment into the hydrogel to form the NanoComposite system.
Since the nanocomposite is intended for targeted treatment of neurodegenerative
disorders, the distribution of the functionalized nanolipobubbles into PC12
neuronal cells was also ascertained via confocal microscopy. Results demonstrated
effective release and localization of the nanolipobubbles within PC12 neuronal
cells. The molecular structure of the synthetic surface peptide remained intact
for an extended period to ensure potency for targeted delivery from the hydrogel
ex vivo. These findings provide further insight into the properties of
nanocomposite hydrogels for specialized drug delivery.
PMID- 27188763
TI - Controllable Eu valence for photoluminescence tuning in apatite-typed phosphors
by the cation cosubstitution effect.
AB - By cosubstituting [Ca(2+)-P(5+)] for [La(3+)-Si(4+)] in the Eu-doped Ca(2->8)La(8
>2)(SiO4)6-x(PO4)xO2 (0 <= x <= 6) system, Eu(3+) ions are controllably and
gradually transformed to Eu(2+). Thus, the emission colors consecutively changed
from red to blue/green light. Furthermore, excellent warm-white lights with the
low correlated color temperature (CCT) range of 3500-3800 K and a high color
rendering index (Ra) (88.4-93.2) have been achieved by mixing the as-prepared
phosphors at different cation cosubstitution ratios.
PMID- 27188764
TI - A comprehensive picture of the ultrafast excited-state dynamics of retinal.
AB - All-trans retinal is the chromophore of microbial rhodopsins initiating energy
conversion and cellular signalling by subpicosecond photoinduced switching. Here,
we provide detailed UV-Vis transient absorption experiments to disentangle the
complex photochemistry of this polyene, which is governed by its terminal
aldehyde group. After photoexcitation to the S2((1)Bu(+)) state, the system
exhibits polarity-dependent branching, populating separate S1((1)Ag(-)) and
intramolecular charge transfer (ICT) species. In all solvents, population of a
singlet npi* state from S1 is observed which represents the precursor of the T1
triplet state. While triplet formation dominates in nonpolar solvents (67%
quantum yield), it is dramatically reduced in polar solvents (4%). The channel
closes completely upon replacing the aldehyde by a carboxyl group, due to an
energetic up-shift of (1)npi*. In that case, internal conversion via the ICT
species becomes the main pathway, with preferential formation of the initially
excited isomer.
PMID- 27188762
TI - Displacement and HIV: Factors Influencing Antiretroviral Therapy Use by Ethnic
Shan Migrants in Northern Thailand.
AB - Migrant populations face increased HIV vulnerabilities, including limited access
to antiretroviral therapy. Civil conflict in Myanmar has displaced thousands of
people from the minority Shan ethnic group into northern Thailand, where they
bear a disproportionate HIV burden. To identify barriers and facilitators of
antiretroviral therapy use in this population, we conducted a rapid ethnographic
assessment and case study with a clinical sample of Shan migrants receiving
treatment for HIV in a district hospital in Chiang Mai, Thailand, Thai nurses
providing their care, and health care administrators (n = 23). Barriers included
fears of arrest and deportation, communication difficulties, perceived social
marginalization, limited HIV knowledge, and lack of finances. Facilitating
factors included hospital-based migrant registration services and community
outreach efforts involving support group mobilization, referral practices, and
radio broadcasts. These findings provided a contextualized account to inform
policies, community interventions, and nursing practice to increase treatment
access for minority migrant groups.
PMID- 27188765
TI - Clinical Investigation of Matrix Metalloproteinases, Tissue Inhibitors of Matrix
Metalloproteinases, and Matrix Metalloproteinase/Tissue Inhibitors of Matrix
Metalloproteinase Complexes and Their Networks in Apical Periodontitis.
AB - INTRODUCTION: This clinical study investigated the levels of metalloproteinases
(MMPs) and their tissue inhibitors (TIMPs) and respective forms (MMP/TIMP
complexes) in apical periodontitis to determine their networks in the development
of clinical/radiographic features, thus quantifying the levels of endotoxins
(lipopolysaccharides) present in primarily infected root canals with apical
periodontitis. METHODS: Twenty primarily infected root canals with apical
periodontitis were selected. The presence of pain on palpation, tenderness to
percussion, and the size of the radiographic lesion were recorded. The levels of
MMPs (MMP-1, -2, and -9), TIMPs (TIMP-1 and -2), and their MMP/TIMP complexes
(MMP-1/TIMP-1, MMP-1/TIMP-2, MMP-2/TIMP-1, MMP-2/TIMP-2, MMP9/TIMP-1, and MMP
9/TIMP-2) present in the periapical interstitial fluid were measured using the
enzyme-linked immunosorbent assay. The kinetic chromogenic LAL test was used to
quantify endotoxins. RESULTS: A higher mean level of MMP-9 (968.35 +/- 342.00
pg/mL) was followed by MMP-2 (894.00 +/- 591.62 pg/mL) and MMP-1 (789.43 +/-
342.83 pg/mL). The linear regression analysis revealed a positive association of
MMP-1 with both MMP-2 and MMP-9 (all P < .001). TIMP-1 (481.79 +/- 86.09 pg/mL)
(24/24) was found in higher levels than TIMP-2 (206.45 +/- 86.09 pg/mL) (P <
.05), including a positive correlation of MMP-1 with both TIMP-1 and TIMP-2 (all
P < .05). Higher mean levels of MMP1, -2, and -9 were found in teeth with larger
size radiolucent lesions (>7 mm) compared with smaller ones (<=7 mm) (all P <
.01). Higher levels of MMP-1 decreased the chance of TTP, whereas MMP-9 (odds
ratio = 0.97) increased the chance of pain on percussion (odds ratio = 1.01).
Higher levels of endotoxins present in root canals were positively correlated
with larger amounts of MMP -9 (P < .05). CONCLUSIONS: MMPs, TIMPs, and their
complexes (MMP/TIMP) are involved in apical periodontitis by interacting with
complex networks in the development of clinical features and the severity of bone
destruction.
PMID- 27188766
TI - Urinary metabolomic profiling of asthmatics can be related to clinical
characteristics.
AB - Metabolomics has been increasingly explored to achieve an improved understanding
of asthma. In the current observational and exploratory study, the first to have
examined the relationship between oxidative stress extension, eosinophilic
inflammation, and disease severity in asthmatic patients, metabolomics (using
target aliphatic aldehydes and alkanes) was carried out using solid-phase
microextraction (SPME) followed by a comprehensive two-dimensional gas
chromatography coupled to mass spectrometry with a high-resolution time-of-flight
analyzer (GC*GC-ToFMS). We were able to demonstrate that metabolomics can give
valuable insights into asthma mechanisms once lipidic peroxidation assessed by
urinary metabolomics is related to the clinical characteristics of nonobese
asthmatics, such as disease severity, lung function, and eosinophilic
inflammation. Nevertheless, considering our sample size, the obtained results
require further validation using a much larger sample cohort.
PMID- 27188768
TI - Veterinary Dermatology in Brazil.
PMID- 27188769
TI - Canine atopic dermatitis: breed risk in Australia and evidence for a susceptible
clade.
AB - BACKGROUND: Genetic studies on canine atopic dermatitis (CAD) indicate that large
populations from one geographical location are preferred for the identification
of relevant susceptibility genes. Australian dogs are relatively isolated;
studies on CAD in this population are limited. HYPOTHESIS/OBJECTIVES: To identify
breeds at risk in the Australian dog population and to compare with worldwide
breed predisposition. ANIMALS: Case records (n = 23,000) from University
Veterinary Teaching Hospital (UVTH) dogs, including 722 with CAD. METHODS: The
breed proportion of CAD and odds risk (OR) were calculated. A systematic review
of 13 previous studies (1971-2010) was performed and compared to the study
results by implementing an atopic dermatitis (AD)-to-reference population ratio
(ADRPR). RESULTS: Eleven dog breeds with significant increased OR (>=1.0) were
identified; all with breed CAD cases proportionally higher than their base
hospital population. Gender risk in males from the pug dog breed (P = 0.007) was
detected and the bichon frise breed had a similar trend (P = 0.05). Sixteen
predisposed dog breeds were identified by systematic review. All breeds with
significant increased OR in UVTH had ADRPR > 1.4; five (boxer, bulldog, Labrador
retriever, pug, West Highland white terrier) were recognized as predisposed
worldwide. One clade of breeds with common ancestry was highly represented in CAD
cases worldwide and in Australia (81% of the significant OR cases). CONCLUSION
AND CLINICAL IMPORTANCE: The use of a large population from one geographical
location and ADRPR provided an objective comparison between worldwide AD studies;
it identified one common clade of susceptible breeds. Breed genetics and related
clinical presentation may help CAD diagnosis and treatment.
PMID- 27188767
TI - Conservative fluid management prevents age-associated ventilator induced
mortality.
AB - BACKGROUND: Approximately 800 thousand patients require mechanical ventilation in
the United States annually with an in-hospital mortality rate of over 30%. The
majority of patients requiring mechanical ventilation are over the age of 65 and
advanced age is known to increase the severity of ventilator-induced lung injury
(VILI) and in-hospital mortality rates. However, the mechanisms which predispose
aging ventilator patients to increased mortality rates are not fully understood.
Ventilation with conservative fluid management decreases mortality rates in acute
respiratory distress patients, but to date there has been no investigation of the
effect of conservative fluid management on VILI and ventilator associated
mortality rates. We hypothesized that age-associated increases in susceptibility
and incidence of pulmonary edema strongly promote age-related increases in
ventilator associated mortality. METHODS: 2month old and 20month old male C57BL6
mice were mechanically ventilated with either high tidal volume (HVT) or low
tidal volume (LVT) for up to 4h with either liberal or conservative fluid
support. During ventilation, lung compliance, total lung capacity, and hysteresis
curves were quantified. Following ventilation, bronchoalveolar lavage fluid was
analyzed for total protein content and inflammatory cell infiltration. Wet to dry
ratios were used to directly measure edema in excised lungs. Lung histology was
performed to quantify alveolar barrier damage/destruction. Age matched non
ventilated mice were used as controls. RESULTS: At 4h, both advanced age and HVT
ventilation significantly increased markers of inflammation and injury, degraded
pulmonary mechanics, and decreased survival rates. Conservative fluid support
significantly diminished pulmonary edema and improved pulmonary mechanics by 1h
in advanced age HVT subjects. In 4h ventilations, conservative fluid support
significantly diminished pulmonary edema, improved lung mechanics, and resulted
in significantly lower mortality rates in older subjects. CONCLUSION: Our study
demonstrates that conservative fluid alone can attenuate the age associated
increase in ventilator associated mortality.
PMID- 27188771
TI - Investigation and treatment of ovine psoroptic otoacariasis.
AB - BACKGROUND: Psoroptic otoacariasis has been described worldwide and is caused by
a mite morphologically indistinguishable from the sheep scab mite Psoroptes ovis.
A single treatment of affected sheep with 200 MUg/kg of injectable ivermectin is
reported to be curative. CASE REPORT: Psoroptes mites were isolated following
treatment with ivermectin, but treatment with moxidectin at 1 mg/kg caused
complete cessation of clinical signs. Affected animals were seropositive to Pso o
2 antigen enzyme-linked immunosorbent assay (ELISA) and had serum haptoglobin
concentrations that overlapped with those described for field infections of
classical sheep scab. CONCLUSIONS AND CLINICAL IMPORTANCE: Psoroptic otoacariasis
is not controlled by single treatments of injectable ivermectin but resolves
after a single treatment with injectable moxidectin. Pso o 2 ELISA can detect
infection with Psoroptes spp. mites but cannot distinguish between sheep scab and
psoroptic otoacariasis.
PMID- 27188770
TI - Carriage of meticillin-resistant staphylococci between humans and animals on a
small farm.
AB - BACKGROUND: Meticillin-resistant staphylococci (MRS) are pathogens of increasing
importance to human and animal health worldwide. Transmission of meticillin
resistant Staphylococcus aureus (MRSA) between animals and humans has been well
documented. By contrast, information about transmission of other Staphylococcus
spp. is limited. HYPOTHESIS/OBJECTIVES: The aim of this study was to screen
animals and humans on a small farm for nasal carriage of MRS and to assess
interspecies exchange. METHODS: After detection of MRSA in a lung sample of a
deceased cat, which lived on a small mixed farm, nasal swabs were taken within
two weeks, four and 16 months from other animals of various species and humans
living on the farm. Swabs were cultured for MRS which were then characterized
molecularly. RESULTS: MRSA and meticillin-resistant coagulase negative
staphylococci (MRCoNS), including Staphylococcus haemolyticus, S. epidermidis and
S. fleurettii, were isolated from humans and different animal species. Typing of
the MRS revealed isolates with the same characteristics in different human and
animal hosts. CONCLUSIONS AND CLINICAL IMPORTANCE: To the best of the authors'
knowledge, this is the first report of carriage of both MRSA and MRCoNS among
humans and various animals within a shared environment. The detection of strains
with indistinguishable molecular characteristics strongly suggested transmission
of these MRS between the various animal species and humans.
PMID- 27188772
TI - Initial characterization of stiff skin-like syndrome in West Highland white
terriers.
AB - BACKGROUND: Stiff skin syndrome and systemic or localized scleroderma are
cutaneous disorders characterized by dermal fibrosis and present clinically with
induration of the skin, with or without joint, internal organ or vascular
involvement. OBJECTIVES: To provide clinical, histological and preliminary
genetic analysis of two West Highland white terrier siblings presenting with
indurated skin resembling stiff skin syndrome in humans. ANIMALS: Two client
owned full sibling West Highland white terriers from two different litters.
METHODS: Clinical examination, histopathological examination and whole genome
sequencing analysis of affected and unaffected West Highland white terriers.
RESULTS: Affected dogs exhibited markedly indurated skin that was attached firmly
to the underlying tissue and incomplete closure of the mouth and eyes. No
abnormalities were found by neurological or orthopaedic examination, radiographs
of the head or whole body computed tomography. Histologically, the dermis and
pannicular septa were thickened by a marked increase in coarse collagen fibres
and a mild to moderate increase in collagen fibre diameter. The syndrome most
likely follows an autosomal recessive mode of inheritance. The sequence analysis
did not reveal any obvious causative variant in the investigated candidate genes
ADAMTSL2 and FBN1. CONCLUSION AND CLINICAL IMPORTANCE: The clinical phenotype and
histopathological features of two West Highland white terrier siblings resembled
stiff skin syndrome in humans. Unlike in humans, or previously described beagles
with stiff skin, there was no restriction of joint mobility. Genetic analysis did
not detect a candidate causative variant and warrants further research.
PMID- 27188773
TI - Bowenoid in situ carcinomas in two Devon Rex cats: evidence of unusually
aggressive neoplasm behaviour in this breed and detection of papillomaviral gene
expression in primary and metastatic lesions.
AB - BACKGROUND: Bowenoid in situ carcinomas (BISCs) are rare feline tumours that are
thought to be caused by papillomavirus infection. Although they usually develop
in old cats and are slowly progressive, multiple aggressive BISCs have been
reported previously in a comparatively young Devon Rex cat. ANIMALS: A 5-year-old
(Case 1) and an 8-year-old (Case 2) Devon Rex cat developed numerous BISCs. Rapid
progression resulted in euthanasia of both cats after 8 months. A postmortem
examination was possible only for Case 2 and revealed pulmonary metastases.
METHODS AND RESULTS: Consensus PCR amplified only Felis catus papillomavirus type
2 (FcaPV-2) DNA from lesions from both cats. High FcaPV-2 copy number and FcaPV-2
E6/E7 gene expression were detected in a BISC from Case 1. High FcaPV-2 copy
number and FcaPV-2 gene expression were detected in a BISC, a cutaneous squamous
cell carcinoma (SCC) and the pulmonary metastases from Case 2, but not in two
other cutaneous SCCs. CONCLUSIONS: The results provide additional evidence that
BISCs develop at a younger age in Devon Rex cats and that BISCs in Devon Rex cats
have a more aggressive behaviour than BISCs in other cat breeds. These unusual
features should be considered when evaluating and treating skin disease in Devon
Rex cats. The detection of FcaPV-2 gene expression in the lung neoplasms suggests
a potential role of FcaPV-2 in the development of metastatic disease. However,
the absence of FcaPV-2 gene expression in two cutaneous SCCs suggests that other
factors could have also promoted cancer development.
PMID- 27188774
TI - 8p11 Myeloproliferative Syndrome with t(7;8) Translocation Presenting as Acute
Myeloid Leukemia: A Case Report and Literature Review.
PMID- 27188775
TI - Microbial inoculation of seed for improved crop performance: issues and
opportunities.
AB - There is increasing interest in the use of beneficial microorganisms as
alternatives to chemical pesticides and synthetic fertilisers in agricultural
production. Application of beneficial microorganisms to seeds is an efficient
mechanism for placement of microbial inocula into soil where they will be well
positioned to colonise seedling roots and protect against soil-borne diseases and
pests. However, despite the long history of inoculation of legume seeds with
Rhizobia spp. and clear laboratory demonstration of the ability of a wide range
of other beneficial microorganisms to improve crop performance, there are still
very few commercially available microbial seed inoculants. Seed inoculation
techniques used for research purposes are often not feasible at a commercial
scale and there are significant technical challenges in maintaining viable
microbial inocula on seed throughout commercial seed treatment processes and
storage. Further research is needed before the benefits of a wide range of
environmentally sensitive potential seed inoculants can be captured for use in
agriculture, ecosystem restoration and bioremediation. There is no single
solution to the challenge of improving the ability of seed inoculants to
establish and function consistently in the field. Development of novel
formulations that maintain the viability of both inoculant and seed during
storage will result from multidisciplinary research in microbial and seed
physiology and adjuvant chemistry.
PMID- 27188776
TI - Enzymes useful for chiral compound synthesis: structural biology, directed
evolution, and protein engineering for industrial use.
AB - Biocatalysts (enzymes) have many advantages as catalysts for the production of
useful compounds as compared to chemical catalysts. The stereoselectivity of the
enzymes is one advantage, and thus the stereoselective production of chiral
compounds using enzymes is a promising approach. Importantly, industrial
application of the enzymes for chiral compound production requires the discovery
of a novel useful enzyme or enzyme function; furthermore, improving the enzyme
properties through protein engineering and directed evolution approaches is
significant. In this review, the significance of several enzymes showing
stereoselectivity (quinuclidinone reductase, aminoalcohol dehydrogenase, old
yellow enzyme, and threonine aldolase) in chiral compound production is
described, and the improvement of these enzymes using protein engineering and
directed evolution approaches for further usability is discussed. Currently,
enzymes are widely used as catalysts for the production of chiral compounds;
however, for further use of enzymes in chiral compound production, improvement of
enzymes should be more essential, as well as discovery of novel enzymes and
enzyme functions.
PMID- 27188777
TI - Microbial diversity and community structure in an antimony-rich tailings dump.
AB - To assess the impact of antimony (Sb) on microbial community structure, 12
samples were taken from an Sb tailings pile in Guizhou Province, Southwest China.
All 12 samples exhibited elevated Sb concentrations, but the mobile and
bioaccessible fractions were small in comparison to total Sb concentrations.
Besides the geochemical analyses, microbial communities inhabiting the tailing
samples were characterized to investigate the interplay between the
microorganisms and environmental factors in mine tailings. In all samples,
Proteobacteria and Actinobacteria were the most dominant phyla. At the genus
level, Thiobacillus, Limnobacter, Nocardioides, Lysobacter, Phormidium, and
Kaistobacter demonstrated relatively high abundances. The two most abundant
genera, Thiobacillus and Limnobacter, are characterized as sulfur-oxidizing
bacteria and thiosulfate-oxidizing bacteria, respectively, while the genus
Lysobacter contains arsenic (As)-resistant bacteria. Canonical correspondence
analysis (CCA) indicates that TOC and the sulfate to sulfide ratio strongly
shaped the microbial communities, suggesting the influence of the environmental
factors in the indigenous microbial communities.
PMID- 27188778
TI - Antibacterial metabolites secreted under glucose-limited environment of the
mimicked proximal colon model by lactobacilli abundant in infant feces.
AB - The most abundance of anti-Salmonella lactic acid bacteria (LAB) was found in
feces of naturally born, exclusively breastfed Thai infants. Six strains of
Lactobacillus plantarum and one strain of Lactobacillus paracasei were selected
and identified. In the co-cultivation assay, L. plantarum subsp. plantarum I62
showed the strongest and broadest antibacterial activity against Escherichia
coli, Shigella sonnei, Salmonella Paratyphi A, and Salmonella Typhimurium SA 2093
under the mimicked proximal colon condition, in which glucose and other nutrients
were limited. According to GC-MS analysis, the major antibacterial contribution
of organic acids secreted by L. plantarum I62 grown in the presence of glucose
was dramatically reduced from 95.8 to 41.9 % under glucose-limited niche. The
production of low-pK a acids, such as lactic, 1,2-benzenedicarboxylic, and 3
phenyllactic acids, was remarkably dropped. Surprisingly, higher-pK a acids such
as 5-chlorobenzimidazole-2-carboxylic, pyroglutamic, palmitic, and oleic acids
were enhanced. Moreover, cyclic dipeptides, ketones, alkanes, alcohols, and
miscellaneous compounds, which were pH-independent antibacterial metabolites,
became dominant. The electron microscopy strongly supported the synergistic
attacks of the multiple antibacterial components targeting outer and cytoplasmic
membranes leading to severe leakage and cell disruption of Salmonella
Typhimurium. This strain poses to be a potential probiotic candidate for
effectively controlling and treating human foodborne bacterial infection.
PMID- 27188779
TI - Released polysaccharides (RPS) from Cyanothece sp. CCY 0110 as biosorbent for
heavy metals bioremediation: interactions between metals and RPS binding sites.
AB - Bioremediation of heavy metals using microorganisms can be advantageous compared
to conventional physicochemical methods due to the use of renewable resources and
efficiencies of removal particularly cations at low concentrations. In this
context, cyanobacteria/cyanobacterial extracellular polymeric substances (EPS)
emerge as a valid alternative due to the anionic nature and particular
composition of these polymers. In this work, various culture fractions of the
unicellular cyanobacterium Cyanothece sp. CCY 0110 were employed in bioremoval
assays using three of the most common heavy metal pollutants in water bodies
copper, cadmium, and lead-separately or in combined systems. Our study showed
that the released polysaccharides (RPS) were the most efficient fraction,
removing the metal(s) by biosorption. Therefore, this polymer was subsequently
used to evaluate the interactions between the metals/RPS binding sites using SEM
EDX, ICP-OES, and FTIR. Acid and basic pretreatments applied to the polymer
further improve the process efficiency, and the exposure to an alkaline solution
seems to alter the RPS conformation. The differences observed in the specific
metal bioremoval seem to be mainly due to the RPS organic functional groups
available, mainly carboxyl and hydroxyl, than to an ion exchange mechanism.
Considering that Cyanothece is a highly efficient RPS-producer and that RPS can
be easily separated from the culture, immobilized or confined, this polymer can
be advantageous for the establishment/improvement of heavy metal removal systems.
PMID- 27188782
TI - Neuro-oncology Virtual Special Issue.
PMID- 27188781
TI - Comparison of Phytochemicals and Antioxidant Capacity in Three Bean Varieties
Grown in Central Malawi.
AB - The aims of the current work were: (1) to study the influence of variety and
geographical production area on the total phenolic content, total anthocyanin
content, total flavonoid content, total carotenoid content and antioxidant
activity in bean varieties (Dimeta, Napirira and Nanyati) from different growing
areas in central Malawi, and (2) to evaluate the possibility of establishing a
classification based on the geographical areas of the growing regions. A total of
47 bean samples were collected from Makowe, Mphathi, Chuma-Chitsala and
Khulungira Zone. These four locations were segregated based on altitude, latitude
and longitude. Principal component and hierarchical cluster analysis were used to
distinguish and classify among these samples. Significant differences (P < 0.05)
in total phenolic content (2.92-4.97 mg/g), total anthocyanin content (14.52
152.31 MUg/g), total flavonoid content (2.01-6.38 mg/g) and oxygen radical
absorbance capacity (16.75-24.51 MUmol/g) were found among the different sampled
villages, showing a significant effect of the producing region on these
parameters. The beans in Makowe had lower polyphenols than in other locations.
Results of principal component analysis indicate that phytochemicals and
antioxidant capacity could serve as parameters to establish a bean classification
according to the geographical area of production.
PMID- 27188780
TI - Stimulation of Phenolics, Antioxidant and alpha-Glucosidase Inhibitory Activities
During Barley (Hordeum vulgare L.) Seed Germination.
AB - The rationale of this study was to enhance the nutritional quality of dry barley
seeds. In this study we are evaluating the effect of germination on barley seeds
relevant to total phenolic contents, antioxidant activity (in terms of DPPH free
radical scavenging) and the in vitro alpha-glucosidase inhibitory activities.
Barley seeds were germinated for 18.5, 24, 30, 48, and 67 h and then extracted in
water. The total phenolic contents, antioxidant activities and alpha-glucosidase
inhibitory activities changed with germination time. More specifically, within
the first 48 h of germination the total phenolic content increased from 1.1 mg/g
fresh weight (0 h) to 3.4 mg/g fresh weight (48 h) and then slightly reduced by
67 h. Similarly, alpha-glucosidase inhibitory activity was significantly
increased from an IC50 128.82 mg/mL (0 h) to an IC50 18.88 mg/mL (48 h) and then
slightly reduced by 67 h. Significant maltase inhibitory activity was observed
only with 48 h-germinated extract. Antioxidant activities increased continuously
from an IC50 15.72 mg/mL at 0 h to and IC50 5.72 mg/mL after 48 h of germination.
Based on our observations, barley seed germination was over after 48 h. During
the progress of germination phenolic compounds are becoming available and are
more easily extracted. After 48 h, lignification is initiated resulting to the
decreased total phenolic content and observed antioxidant and carbohydrate
hydrolyzing enzyme inhibition activities. The above results indicate the positive
effect of germination in barley seeds for enhanced antioxidant and alpha
glucosidase inhibitory activities.
PMID- 27188783
TI - Individual and collective modes of surface magnetoplasmon in thiolate-protected
silver nanoparticles studied by MCD spectroscopy.
AB - Large magneto-optical (MO) responses at the energy of localized surface plasmon
resonance (LSPR), namely, surface magnetoplasmons, are demonstrated for the first
time in thiolate-protected silver nanoparticles with magnetic circular dichroism
(MCD) spectroscopy. The samples examined are decanethiol (DT)-, azobenzenethiol
(ABT)-, and ABT/DT mixed-monolayer-protected Ag nanoparticles. ABT-protected Ag
nanoparticles are somewhat aggregated and thus exhibit a broad, collective mode
of plasmonic absorption, whereas other samples with highly-dispersed
nanoparticles show an individual mode of LSPR absorption. In all Ag
nanoparticles, a derivative-like MCD signal is observed under an applied magnetic
field of 1.6 T, which can be explained in terms of two circular modes of
magnetoplasmon caused by the increase (or decrease) in the Lorentz force imparted
on the free electrons that oscillate in the left (or right) circular orbits in
the nanosphere. For the Ag nanoparticles exhibiting an individual LSPR mode, in
particular, simultaneous deconvolution analysis of UV-vis absorption and MCD
spectra reveal that (i) the amplitude of the magnetoplasmonic component with
lower frequency (omega-), resulting from the reduction in the confinement
strength of collective electrons by the Lorentz force, is stronger than that with
a higher frequency (omega+); (ii) the accurate shift or cyclotron frequency
between two magnetoplasmonic modes (omegac = omega+-omega-) is size-dependent,
and presents a very large value with implications for the apparent enhancement of
the local magnetic-field in the Ag nanoparticles. These results strongly suggest
that the Ag-thiolate layer or Ag-S bonding on the nanoparticle surface plays a
significant role in the MO enhancement.
PMID- 27188784
TI - Successful antibiotic treatment of pulmonary disease caused by Mycobacterium
abscessus subsp. abscessus with C-to-T mutation at position 19 in erm(41) gene:
case report.
AB - BACKGROUND: Mycobacterium abscessus complex (MABC) is the most drug resistant of
the mycobacterial pathogens. M. abscessus subsp. abscessus encodes a functional
erythromycin ribosomal methylase gene, erm(41), causing inducible macrolide
resistance. However, some clinical isolates of M. abscessus subsp. abscessus
harboring nonfunctional erm(41) were susceptible to macrolide, even after
extended incubation of 14 days. Loss of function of the erm(41) genes was
associated with a T-to-C substitution at position 28 of the gene (T28C), leading
to an amino acid change from Trp to Arg at codon 10. Pulmonary disease caused by
M. abscessus subsp. abscessus strains with an nonfunctional erm(41) (C28
sequevar) may be responsive to macrolide-containing antibiotic regimens.
Therefore, all M. abscessus subsp. abscessus strains with a functional erm(41)
(T28 sequevar) were thought to be resistant to macrolide with extended
incubation. Here, we report the first case of pulmonary disease caused by a
strain of M. abscessus subsp. abscessus which was susceptible to macrolide due to
T19 sequevar of erm(41) gene. CASE PRESENTATION: A 62-year-old Korean female was
referred to our hospital due to chronic cough, sputum, and hemoptysis lasting
more than 5 months. The patient's sputum was positive for acid-fast bacilli
staining and nontuberculous mycobacteria (NTM) were isolated twice from sputum
specimens. The isolate was identified as M. abscessus subsp. abscessus. The
isolate had a point mutation of C -> T at position 19 (C19 -> T) in the erm(41)
gene, instead of expected C28 sequevar of erm(41), and had no rrl mutation. The
isolate displayed a clarithromycin susceptible phenotype with an Arg -> Stop
codon change in erm(41). The patient was successfully treated with a macrolide
containing regimen. CONCLUSION: This is the first case of pulmonary disease
caused by a strain of M. abscessus subsp. abscessus showing clarithromycin
susceptible phenotype due to T19 sequevar of the erm(41) gene. The erm(41) gene
is clinically important, and non-functional erm alleles may be an important issue
for the management of MABC lung disease. The presence of a non-functional erm(41)
allele in M. abscessus subsp. abscessus isolates may be associated with better
outcomes.
PMID- 27188787
TI - An evaluation of the efficacy of solifenacin and darifenacin for prevention of
catheter related bladder discomfort: a prospective, randomized, placebo
controlled, double blind study.
AB - BACKGROUND: Urinary catheterization during surgical interventions causes
postoperative catheter related bladder discomfort (CRBD). Antimuscarinic agents
are the mainstay of treatment for overactive bladder (OAB). As the symptoms of
CRBD mimic to OAB, so we designed this study to assess the efficacy of
solifenacin and darifenacin for prevention of CRBD. METHODS: Ninety patients of
either sex undergoing elective spine surgery and requiring urinary
catheterization were randomly assigned into three groups to receive oral
solifenacin 5 mg (Group S), darifenacin 7.5 mg (Group D) and placebo (Group C) 1
hour prior to induction of anesthesia. Anesthesia technique was identical in all
the groups. Catheter related bladder discomfort (CRBD) was evaluated in 4-point
scale (1 = no discomfort, 2 = mild, 3 = moderate, 4 = severe), on arrival (0
hour) and at 1, 2, and 6 hours postoperatively. Patients were provided patient
controlled analgesia with fentanyl for postoperative pain relief. RESULTS: There
were no significant differences in demographic profile and fentanyl requirements
(P >0.05). The prevalence and severity of CRBD were significantly less in the
group D and group S compared with the group C at all time intervals (P <0.05).
CONCLUSION: Pretreatment with oral solifenacin or darifenacin reduces catheter
related bladder discomfort with no clinically relevant significant side effects.
PMID- 27188785
TI - Media multitasking in adolescence.
AB - Media use has been on the rise in adolescents overall, and in particular, the
amount of media multitasking-multiple media consumed simultaneously, such as
having a text message conversation while watching TV-has been increasing. In
adults, heavy media multitasking has been linked with poorer performance on a
number of laboratory measures of cognition, but no relationship has yet been
established between media-multitasking behavior and real-world outcomes.
Examining individual differences across a group of adolescents, we found that
more frequent media multitasking in daily life was associated with poorer
performance on statewide standardized achievement tests of math and English in
the classroom, poorer performance on behavioral measures of executive function
(working memory capacity) in the laboratory, and traits of greater impulsivity
and lesser growth mindset. Greater media multitasking had a relatively
circumscribed set of associations, and was not related to behavioral measures of
cognitive processing speed, implicit learning, or manual dexterity, or to traits
of grit and conscientiousness. Thus, individual differences in adolescent media
multitasking were related to specific differences in executive function and in
performance on real-world academic achievement measures: More media multitasking
was associated with poorer executive function ability, worse academic
achievement, and a reduced growth mindset.
PMID- 27188786
TI - A reference library of peripheral blood mononuclear cells for SWATH-MS analysis.
AB - PURPOSE: Peripheral blood mononuclear cells (PBMCs) play quite diverse and
important roles in monitoring immune homeostasis. Thus, this subset of blood
cells may provide access to potential physiological relevant biomolecules, namely
proteins. For this reason, PBMCs represent a promising alternative biological
sample in scientific research, particularly as a source of potential biomarkers.
Prior proteomic studies of PBMCs from healthy individuals focused only on a
qualitative analysis, lacking the quantitative analysis information crucial for
biomarker discovery, since most of the biological alterations result in slight
changes in protein levels, not affecting the overall proteome composition.
Therefore, this study aimed to provide a comprehensive PBMCs proteome library to
be use in protein quantification by SWATH-MS. EXPERIMENTAL DESIGN: A SWATH-MS
library was generated by a comprehensive 2D-LC-MS/MC analysis of a pooled sample
of PBMCs from 6 different donors. GeLC-SWATH-MS analysis of the 6 donors was
further used to test the generated library. RESULTS: The generated library
comprises 1102 proteins involved in diverse human diseases and in immune system
related pathways. When tested in biological samples this library allowed the
quantification of 920 different proteins, and around 700 per individual.
CONCLUSIONS AND CLINICAL RELEVANCE: The provided PBMCs proteome library will be
useful in further studies that aim to reproducibly quantify a large number of
PBMCs' proteins without the need to perform protein identification. Furthermore,
this robust microLC-SWATH-MS analysis is suitable with clinical practice.
PMID- 27188788
TI - Point-of-care-based protocol with first-line therapy with coagulation factor
concentrates is associated with decrease allogenic blood transfusion and costs in
cardiovascular surgery: an Italian single-center experience.
AB - BACKGROUND: Transfusion in patients having cardiac surgery has been associated
with increased morbidity, mortality, and costs. This analysis assessed the impact
of a rotational thromboelastometry (ROTEM(r))- and functional platelet assessment
(Multiplate(r))-based protocol for bleeding management on perioperative outcomes
and costs in patients undergoing cardiac surgery. METHODS: This retrospective
analysis of the records of all patients who underwent cardiac surgery at the
Hesperia Hospital, Modena, Italy, from December 2012 to December 2013 compared
outcomes and costs of bleeding management for the two 6-month periods
before/after introduction of the ROTEM- and Multiplate-based protocol.
Descriptive and correlation analysis were performed as appropriate. Propensity
score matching and its correlation analysis were performed. RESULTS: Data from
768 consecutive patients (mean age ~69 years, ~66% male) were included; 50.7% and
49.3% of patients had surgery before and after protocol introduction,
respectively. Significantly fewer patients required transfusions of packed red
blood cells after the protocol introduction over the 24 hours postsurgery (100
vs. 197 patients; P<0.001) and during ICU stay (134 vs. 221 patients; P<0.001). A
significantly greater proportion of patients treated after protocol introduction
received prothrombin complex concentrate (31 vs. 16; P<0.05) and fibrinogen
concentrate (36 vs. 13; P<0.001). A significantly greater proportion of patients
treated after protocol introduction had an ICU stay duration <48 hours (81.5% vs.
71.5%; P<0.001). ROTEM-based bleeding management was associated with a saving of
?128,676.23 for the 379 patients undergoing surgery post-protocol introduction
(?339.52 per patient). CONCLUSIONS: ROTEM-guided bleeding management in patients
undergoing cardiac surgery was cost-effective and associated with an increase of
administration of coagulation factor concentrates and a decrease of ICU length of
stay.
PMID- 27188789
TI - A multiband perfect absorber based on hyperbolic metamaterials.
AB - In recent years, considerable research efforts have been focused on near-perfect
and perfect light absorption using metamaterials spanning frequency ranges from
microwaves to visible frequencies. This relatively young field is currently
facing many challenges that hampers its possible practical applications. In this
paper, we present grating coupled-hyperbolic metamaterials (GC-HMM) as multiband
perfect absorber that can offer extremely high flexibility in engineering the
properties of electromagnetic absorption. The fabricated GC-HMMs exhibit several
highly desirable features for technological applications such as polarization
independence, wide angle range, broad- and narrow- band modes, multiband perfect
and near perfect absorption in the visible to near-IR and mid-IR spectral range.
In addition, we report a direct application of the presented system as an
absorption based plasmonic sensor with a record figure of merit for this class of
sensors.
PMID- 27188790
TI - Glioma.
AB - Gliomas are primary brain tumours that are thought to derive from neuroglial stem
or progenitor cells. On the basis of their histological appearance, they have
been traditionally classified as astrocytic, oligodendroglial or ependymal
tumours and assigned WHO grades I-IV, which indicate different degrees of
malignancy. Tremendous progress in genomic, transcriptomic and epigenetic
profiling has resulted in new concepts of classifying and treating gliomas.
Diffusely infiltrating gliomas in adults are now separated into three overarching
tumour groups with distinct natural histories, responses to treatment and
outcomes: isocitrate dehydrogenase (IDH)-mutant, 1p/19q co-deleted tumours with
mostly oligodendroglial morphology that are associated with the best prognosis;
IDH-mutant, 1p/19q non-co-deleted tumours with mostly astrocytic histology that
are associated with intermediate outcome; and IDH wild-type, mostly higher WHO
grade (III or IV) tumours that are associated with poor prognosis. Gliomas in
children are molecularly distinct from those in adults, the majority being WHO
grade I pilocytic astrocytomas characterized by circumscribed growth, favourable
prognosis and frequent BRAF gene fusions or mutations. Ependymal tumours can be
molecularly subdivided into distinct epigenetic subgroups according to location
and prognosis. Although surgery, radiotherapy and alkylating agent chemotherapy
are still the mainstay of treatment, individually tailored strategies based on
tumour-intrinsic dominant signalling pathways and antigenic tumour profiles may
ultimately improve outcome. For an illustrated summary of this Primer, visit:
http://go.nature.com/TXY7Ri.
PMID- 27188791
TI - RalA employs GRK2 and beta-arrestins for the filamin A-mediated regulation of
trafficking and signaling of dopamine D2 and D3 receptor.
AB - Filamin A (FLNA) is known to act as platform for the signaling and intracellular
trafficking of various GPCRs including dopamine D2 and D3 receptors (D2R, D3R).
To understand molecular mechanisms involved in the FLNA-mediated regulation of
D2R and D3R, comparative studies were conducted on the signaling and
intracellular trafficking of the D2R and D3R in FLNA-knockdown cells, with a
specific focus on the roles of the proteins that interact with FLNA and the D2R
and D3R. Lowering the level of cellular FLNA caused an elevation in RalA activity
and resulted in selective interference with the normal intracellular trafficking
and signaling of the D2R and D3R, through GRK2 and beta-arrestins, respectively.
Knockdown of FLNA or coexpression of active RalA interfered with the recycling of
the internalized D2R and resulted in the development of receptor tolerance.
Active RalA was found to interact with GRK2 to sequester it from D2R. Knockdown
of FLNA or coexpression of active RalA prevented D3R from coupling with G
protein. The selective involvement of GRK2- and beta-arrestins in the RalA
mediated cellular processes of the D2R and D3R was achieved via their different
modes of interactions with the receptor and their distinct functional roles in
receptor regulation. Our results show that FLNA is a multi-functional protein
that acts as a platform on which D2R and D3R can interact with various proteins,
through which selective regulation of these receptors occurs in combination with
GRK2 and beta-arrestins.
PMID- 27188792
TI - beta-III tubulin modulates the behavior of Snail overexpressed during the
epithelial-to-mesenchymal transition in colon cancer cells.
AB - Class III beta-tubulin (TUBB3) is a marker of drug resistance expressed in a
variety of solid tumors. Originally, it was described as an important element of
chemoresistance to taxanes. Recent studies have revealed that TUBB3 is also
involved in an adaptive response to a microenvironmental stressor, e.g. low
oxygen levels and poor nutrient supply in some solid tumors, independently of the
microtubule targeting agent. Furthermore, it has been demonstrated that TUBB3 is
a marker of biological aggressiveness associated with modulation of metastatic
abilities in colon cancer. The epithelial-to-mesenchymal transition (EMT) is a
basic cellular process by which epithelial cells lose their epithelial behavior
and become invasive cells involved in cancer metastasis. Snail is a zinc-finger
transcription factor which is able to induce EMT through the repression of E
cadherin expression. In the presented studies we focused on the analysis of the
TUBB3 role in EMT-induced colon adenocarcinoma cell lines HT-29 and LS180. We
observed a positive correlation between Snail presence and TUBB3 upregulation in
tested adenocarcinoma cell lines. The cellular and behavioral analysis revealed
for the first time that elevated TUBB3 level is functionally linked to increased
cell migration and invasive capability of EMT induced cells. Additionally, the
post-transcriptional modifications (phosphorylation, glycosylation) appear to
regulate the cellular localization of TUBB3 and its phosphorylation, observed in
cytoskeleton, is probably involved in cell motility modulation.
PMID- 27188793
TI - Adenosine signalling mediates the anti-inflammatory effects of the COX-2
inhibitor nimesulide.
AB - Extracellular adenosine formation from ATP is controlled by ecto-nucleoside
triphosphate diphosphohydrolase (E-NTPDase/CD39) and ecto-5'-nucleotidase (e
5NT/CD73); the latter converts AMP to adenosine and inorganic phosphate,
representing the rate limiting step controlling the ratio between extracellular
ATP and adenosine. Evidence that cellular expression and activity of CD39 and
CD73 may be subject to changes under pathophysiological conditions has identified
this pathway as an endogenous modulator in several diseases and was shown to be
involved in the molecular mechanism of drugs, such as methotrexate, salicylates ,
interferon-beta. We evaluated whether CD73/adenosine/A2A signalling pathway is
involved in nimesulide anti-inflammatory effect, in vivo and in vitro. We found
that the adenosine A2A agonist, 4-[2-[[6-amino-9-(N-ethyl-beta-d
ribofuranuronamidosyl)-9H-purin-2-yl]amino]ethyl]benzenepropanoic acid
hydrochloride (CGS21680, 2mg/kg ip.), inhibited carrageenan-induced rat paw
oedema and the effect was reversed by co-administration of the A2A antagonist -(2
[7-amino-2-[2-furyl][1,2,4]triazolo[2,3-a][1,3,5]triazin-5-yl-amino]ethyl)phenol
(ZM241385; 3mg/kg i.p.). Nimesulide (5mg/kg i.p.) anti-inflammatory effect was
inhibited by pre-treatment with ZM241385 (3mg/kg i.p.) and by local
administration of the CD73 inhibitor, adenosine 5'-(alpha,beta
methylene)diphosphate (APCP; 400MUg/paw). Furthermore, we found increased
activity of 5'-nucleotidase/CD73 in paws and plasma of nimesulide treated rats,
4h following oedema induction. In vitro, the inhibitory effect of nimesulide on
nitrite and prostaglandin E2 production by lipopolysaccharide-activated J774 cell
line was reversed by ZM241385 and APCP. Furthermore, nimesulide increased CD73
activity in J774 macrophages while it did not inhibit nitrite accumulation by
lipopolysaccharide-activated SiRNA CD73 silenced J774 macrophages. Our data
demonstrate that the anti-inflammatory effect of nimesulide in part is mediated
by CD73-derived adenosine acting on A2A receptors.
PMID- 27188794
TI - An Evaluation of Indian Consumers' Reporting of Suspected Adverse Drug Reactions
with a Designated Reporting Form.
AB - BACKGROUND: The Pharmacovigilance Program of India recently initiated a process
for direct patient reporting of Adverse Drug Reactions (ADRs) with a designated
form. PATIENTS AND METHODS: A survey of 200 patients reporting ADRs filling the
form. Forms were analysed for patient data, the suspected medication(s), ADRs and
possible causality. RESULTS: 54.3% of respondents provided their contact
information; the implicated medicine was mentioned in 60% and the description of
ADRs in 80% although 46.2% were not interpretable. The severity of ADRs was
mentioned in 73.5%. No responder filled the expiry date component of the
implicated modification and a causality assessment from most forms was
unclassifiable (57%) or unclassified (26%). Details of concomitant drugs were
missing. CONCLUSION: Missing information was a deterrent in analysing the
consumer ADR reports for signal detection. It is recommended that the following
fields are highlighted in the form: consumer's initials, address, date suspected
reaction started, description of event, name, dose, and the reason for the use
the medication as well as its expiry date. These should be mandatory in the
existing form and new fields added for weight and height, batch number for
vaccines and biological products, de challenge and rechallenge results to the
suspected medicine and concomitantly used medicines. To improve the quality of
information in the consumer reporting form an awareness campaign is also
suggested.
PMID- 27188796
TI - Aqueous ozone solutions for pesticide removal from potatoes.
AB - The presence of pesticide residues in potatoes is of concern because of the
potential impact to human health due to the high consumption of this vegetable.
In this study, aqueous solutions with and without ozone saturation as postharvest
wash treatment at pH 4.0, 7.0, and 9.0 were tested to remove chlorothalonil from
potatoes. The method used for pesticide analysis has been validated, presenting
recovery values of 94-103%, with variations in the repeatability coefficients of
<=10.6%, and a quantification limit of 0.05 mg kg-1 Regardless of pH, treatment
with aqueous ozone solutions removed 70-76% of the pesticide present in the
potato. In the no-ozone treatments, the percentage average removal of
chlorothalonil residues in potatoes was only 36%. Over 24 days of storage, the
quality of potatoes washed with aqueous ozone solutions was not significantly
different from those washed with pure water.
PMID- 27188795
TI - Efficacy of transcranial direct current stimulation (tDCS) in reducing
consumption in patients with alcohol use disorders: study protocol for a
randomized controlled trial.
AB - BACKGROUND: Approximately 15 million persons in the European Union and 10 million
persons in the USA are alcohol-dependent. The global burden of disease and injury
attributable to alcohol is considerable: worldwide, approximately one in 25
deaths in 2004 was caused by alcohol. At the same time, alcohol use disorders
remain seriously undertreated. In this context, alternative or adjunctive
therapies such as brain stimulation may play a prominent role. The early results
of studies using transcranial direct current stimulation found that stimulations
delivered to the dorsolateral prefrontal cortex result in a significant reduction
of craving and an improvement of the decision-making processes in various
additive disorders. We, therefore, hypothesize that transcranial direct current
stimulation can lead to a decrease in alcohol consumption in patients suffering
from alcohol use disorders. METHODS/DESIGN: We report the protocol of a
randomized, double-blind, placebo-controlled, parallel-group trial, to evaluate
the efficacy of transcranial direct current stimulation on alcohol reduction in
patients with an alcohol use disorder. The study will be conducted in 14 centers
in France and Monaco. Altogether, 340 subjects over 18 years of age and diagnosed
with an alcohol use disorder will be randomized to receive five consecutive twice
daily sessions of either active or placebo transcranial direct current
stimulation. One session consists in delivering a current flow continuously
(anode F4; cathode F3) twice for 13 minutes, with treatments separated by a rest
interval of 20 min. Efficacy will be evaluated using the change from baseline
(alcohol consumption during the 4 weeks before randomization) to 24 weeks in the
total alcohol consumption and number of heavy drinking days. Secondary outcome
measures will include alcohol craving, clinical and biological improvements, and
the effects on mood and quality of life, as well as cognitive and safety
assessments, and, for smokers, an assessment of the effects of transcranial
direct current stimulation on tobacco consumption. DISCUSSION: Several studies
have reported a beneficial effect of transcranial direct current stimulation on
substance use disorders by reducing craving, impulsivity, and risk-taking
behavior, and suggest that transcranial direct current stimulation may be a
promising treatment in addiction. However, to date, no studies have included
sufficiently large samples and sufficient follow-up to confirm the hypothesis.
Results from this large randomized controlled trial will give a better overview
of the therapeutic potential of transcranial direct current stimulation in
alcohol use disorders. TRIAL REGISTRATION: Clinical Trials Gov, NCT02505126
(registration date: July 15 2015).
PMID- 27188797
TI - Donor risk indices in pancreas allocation in the Eurotransplant region.
AB - Pancreas donor selection and recognition are important to cope with increasing
organ shortage. We aim to show that the PDRI is more useful than the P-PASS to
predict acceptance and should thus be preferred over P-PASS. Eurotransplant
donors from 2004 until 2014 were included in this study. PDRI logistical factors
were set to reference to purely reflect donor quality (PDRI donor ). PDRI and P
PASS association with allocation outcome was studied using area under the
receiver operating characteristic curve (AUROC). Regional differences in donor
quality were also investigated. Of the 10 444 pancreata that were reported, 6090
(58.3%) were accepted and 2947 (28.2%) were transplanted. We found that P-PASS
was inferior to PDRIdonor in its ability to predict organ reporting, acceptance,
and transplantation: AUC 0.63, 0.67 and 0.73 for P-PASS vs. 0.78, 0.79 and 0.84
for PDRIdonor , respectively. Furthermore, there were significant differences in
donor quality among different Eurotransplant countries, both in reported donors
and in transplanted organs. PDRI is a powerful predictor of allocation outcome
and should be preferred over P-PASS. Proper donor selection and recognition, and
possibly a more liberal approach toward inferior quality donors, may increase
donation and transplant rates.
PMID- 27188798
TI - Correction.
PMID- 27188800
TI - Correction.
PMID- 27188799
TI - Analogue simulation of pharyngeal airflow response to Twin Block treatment in
growing patients with Class II(1) and mandibular retrognathia.
AB - The flow dynamics of respiratory airflow is the basic factor that influences the
ventilation function of the upper airway. This research aimed to investigate the
pharyngeal flow field characteristics after Twin Block (TB) treatment in growing
patients with Class II(1) and mandibular retrognathia by computation fluid
dynamics (CFD) simulation. Cone beam computed tomography (CBCT) scans of patients
who have completed TB treatment (n = 30) and about to accept TB treatment (n =
30) were reconstructed. After CFD simulation, correlations between the pharyngeal
pressure drop and morphological parameters were further analyzed. During
inspiration, we found that the pressure minimum occurred in the hypopharynx,
while the maximum pressure drop and velocity was located in the oropharynx. After
TB treatment, the oropharynx and hypopharynx showed significant differences in
airflow features, and the most obvious change was observed in the oropharynx. A
significant correlation was discovered between the change amount of oropharyngeal
pressure drop and volume (r = 0.694, p = 0.001), mean cross-sectional area (r =
0.859, p = 0.000), and ratio of the minimum and mean cross-sectional area (r =
0.898, p = 0.000) of the oropharynx. Our research suggested that the pharyngeal
airflow characteristics response positively to mandibular advancement with the
enlargement in volume, cross-sectional area and more uniform oropharyngeal area
distribution.
PMID- 27188801
TI - Tunnelled haemodialysis catheter and haemodialysis outcomes: a retrospective
cohort study in Zagreb, Croatia.
AB - OBJECTIVES: Studies have reported that the tunnelled dialysis catheter (TDC) is
associated with inferior haemodialysis (HD) patient survival, in comparison with
arteriovenous fistula (AVF). Since many cofactors may also affect survival of HD
patients, it is unclear whether the greater risk for survival arises from TDC per
se, or from associated conditions. Therefore, the aim of this study was to
determine, in a multivariate analysis, the long-term outcome of HD patients, with
respect to vascular access (VA). DESIGN: Retrospective cohort study.
PARTICIPANTS: This retrospective cohort study included all 156 patients with a
TDC admitted at University Hospital Merkur, from 2010 to 2012. The control group
consisted of 97 patients dialysed via AVF. The groups were matched according to
dialysis unit and time of VA placement. The site of choice for the placement of
the TDC was the right jugular vein. Kaplan-Meier analysis with log-rank test was
used to assess patient survival. Multivariate Cox regression analysis was used to
determine independent variables associated with patient survival. PRIMARY OUTCOME
MEASURES: Patient survival with respect to VA. RESULTS: The cumulative 1-year
survival of patients who were dialysed exclusively via TDC was 86.4% and of those
who were dialysed exclusively via AVF, survival was 97.1% (p=0.002). In
multivariate Cox regression analysis, male sex and older age were independently
negatively associated with the survival of HD patients, while shorter HD vintage
before the creation of the observed VA, hypertensive renal disease and
glomerulonephritis were positively associated with survival. TDC was an
independent risk factor for survival of HD patients (HR 23.0, 95% CI 6.2 to
85.3). CONCLUSION: TDC may be an independent negative risk factor for HD patient
survival.
PMID- 27188802
TI - A cross-sectional study of hyponatraemia among elderly patients with heart
failure in Uganda.
AB - BACKGROUND: Hyponatraemia is a common electrolyte disturbance among older
patients. We determined the prevalence of and factors associated with
hyponatraemia among older patients with predominantly acute decompensated heart
failure attending a tertiary hospital in Kampala, Uganda. OBJECTIVES: Main study
aim: (1) to determine the prevalence of hyponatraemia among patients aged 60
years and above with heart failure attending Mulago National Referral Hospital;
(2) to describe the factors associated with hyponatraemia among patients aged 60
years and above with heart failure attending Mulago National Referral Hospital.
SETTING: The study was conducted in one tertiary hospital located in the
northeast of Kampala, Uganda. PARTICIPANTS: 400 adults aged 60 years and above
were identified for the study. Of these, 188 were excluded as they did not fulfil
the inclusion criteria and one declined to participate, leaving a final study
group of 211 older adults aged 60 years and above, with a clinical diagnosis of
heart failure using Framingham's criteria. RESULTS: The prevalence of
hyponatraemia was 24.2% (51/211). Hyponatraemia was mainly found in patients with
mild-to-moderate heart failure, New York Heart Association classes 2 and 3. Of
the 51 patients with hyponatraemia, 27 (52.9%) had mild hyponatraemia, while 24
(47.1%) had moderate to severe hyponatraemia of 130-125 mmol/L. History of
vomiting (OR=2.94, 95% CI 1.29 to 6.70, p=0.010) and use of loop diuretics
(OR=2.71, 95% CI 1.13 to 6.52, p=0.026) were identified as independent factors
associated with hyponatraemia among older patients with heart failure.
CONCLUSIONS: Our study revealed a relatively high prevalence of hyponatraemia
among older patients with mild to moderate heart failure. Patients presenting
with a history of vomiting from any cause or use of loop diuretics were more
likely to have hyponatraemia.
PMID- 27188803
TI - Telerehabilitation after total knee replacement in Italy: cost-effectiveness and
cost-utility analysis of a mixed telerehabilitation-standard rehabilitation
programme compared with usual care.
AB - OBJECTIVES: To assess cost-effectiveness and cost utility of telerehabilitation
(TR) versus standard rehabilitation (SR) after total knee replacement (TKR).
DESIGN: Markov decision modelling of cost-effectiveness and cost-utility analysis
based on patient-level and secondary data sources employing Italian National
Health Service (NHS; Ita-NHS) and Society perspectives. SETTING: Primary care
units (PCUs) in Italy. PARTICIPANTS: Patients discharged after TKR.
INTERVENTIONS: Mixed SR-TR service (10 face-to-face sessions and 10 telesessions)
versus SR (20 face-to-face sessions) PRIMARY AND SECONDARY OUTCOME MEASURES: The
incremental cost per additional knee flexion range of motion (ROM) and per QALY
gained by SR-TR compared with SR. Second, we considered the probability of being
cost-effective and the probability of being more effective and less expensive.
RESULTS: TR appears to be the cost-effective in the base case and in all of the
considered scenarios, but is no longer more effective and less expensive if
transportation costs are excluded. Comparing SR-TR with SR, the incremental cost
effectiveness ratio (ICER) adopting the Ita-NHS perspective for the base case was
-?117/ROM gained. The cost-effectiveness probability for SR-TR was 0.98 (ceiling
ratio: ?50/ROM), while the joint probability of being more effective and less
expensive was 0.87. Assuming that TR would increase health-related quality of
life (HRQOL) utilities by 2.5%, the ICER adopting Ita-NHS perspective is
?960/QALY (cost-effectiveness probability: 1; ceiling ratio: ?30 000/QALY). All
the performed sensitivity analyses did not change the conclusions, but if
transportation costs were excluded, the probability for SR-TR of being more
clinically effective and less expensive reduced to 0.56. CONCLUSIONS: The
analysis suggested SR-TR to be cost-effective, even less expensive and more
effective if the PCUs provide ambulance transportations. However, the uncertainty
related to TR costs, HRQOL and long-term clinical outcomes raises important
topics for future research, which should be addressed to confirm our estimates.
TRIAL REGISTRATION NUMBER: ISRCTN45837371.
PMID- 27188804
TI - Study on the safety and efficacy of miltefosine for the treatment of children and
adolescents with post-kala-azar dermal leishmaniasis in Bangladesh, and an
association of serum vitamin E and exposure to arsenic with post-kala-azar dermal
leishmaniasis: an open clinical trial and case-control study protocol.
AB - INTRODUCTION: Post-kala-azar dermal leishmaniasis (PKDL) is a dermatological
complication that occurs primarily among treated visceral leishmaniasis (VL)
patients, and sporadically in a few without a history of VL. It mostly affects
children and adolescents but is also common in adults. The conventional treatment
with 120 intramuscular injections of sodium stibogluconate (SSG) is phasing out.
Miltefosine (MF) is the only eventual alternative to SSG; however, its efficacy
and safety profiles for treatment of children and adolescents with PKDL are
lacking. In addition, risk factors for PKDL are poorly investigated. Host
genetic, nutritional and environmental factors could be potential risk factors.
As such, here we propose to evaluate the efficacy and safety of MF for 12 weeks
at an allometric dose for children and adolescents with PKDL, and also to explore
potential risk factors for PKDL. METHODS AND ANALYSIS: A cross-sectional survey
will look for suspected participants with PKDL among treated VL children and
adolescents, a subsequent open clinical trial with MF at allometric dose, with a
follow-up at 12 months. A case-control study will be carried out for PKDL risk
factors. Assuming 95% cure rate, 95% CI and alpha=0.05, a sample size of 73
children with PKDL is needed. Considering an attrition rate of 10%, the final
sample size is 80 children in each group. Descriptive and analytical analyses
will be performed. Primary outcome is safety and cure rate of 12 weeks of
treatment with MF. ETHICS AND DISSEMINATION: International Centre for Diarrhoeal
Disease Research, Bangladesh (ICDDR,B) Ethical Review Committee (ERC) approved
the protocol (PR#013045). Written informed consent will be taken from all
participants and their guardians (in case of minor). A Data and Safety Monitoring
Board (DSMB) of ICDDR,B ERC will monitor all study activities to ensure the
safety of the participants. TRIAL REGISTRATION NUMBER: NCT02193022; Pre-results.
PMID- 27188805
TI - Nationwide population-based cohort study of uterine rupture in Belgium: results
from the Belgian Obstetric Surveillance System.
AB - OBJECTIVES: We aimed to assess the prevalence of uterine rupture in Belgium and
to evaluate risk factors, management and outcomes for mother and child. DESIGN:
Nationwide population-based prospective cohort study. SETTING: Emergency
obstetric care. Participation of 97% of maternity units covering 98.6% of the
deliveries in Belgium. PARTICIPANTS: All women with uterine rupture in Belgium
between January 2012 and December 2013. 8 women were excluded because data
collection forms were not returned. RESULTS: Data on 90 cases of confirmed
uterine rupture were obtained, of which 73 had a previous Caesarean section (CS),
representing an estimated prevalence of 3.6 (95% CI 2.9 to 4.4) per 10 000
deliveries overall and of 27 (95% CI 21 to 33) and 0.7 (95% CI 0.4 to 1.2) per 10
000 deliveries in women with and without previous CS, respectively. Rupture
occurred during trial of labour after caesarean section (TOLAC) in 57 women
(81.4%, 95% CI 68% to 88%), with a high rate of augmented (38.5%) and induced
(29.8%) labour. All patients who underwent induction of labour had an
unfavourable cervix at start of induction (Bishop Score <=7 in 100%). Other
uterine surgery was reported in the history of 22 cases (24%, 95% CI 17% to 34%),
including 1 case of myomectomy, 3 cases of salpingectomy and 2 cases of
hysteroscopic resection of a uterine septum. 14 cases ruptured in the absence of
labour (15.6%, 95% CI 9.5% to 24.7%). No mothers died; 8 required hysterectomy
(8.9%, 95% CI 4.6% to 16.6%). There were 10 perinatal deaths (perinatal mortality
rate 117/1000 births, 95% CI 60 to 203) and perinatal asphyxia was observed in 29
infants (34.5%, 95% CI 25.2% to 45.1%). CONCLUSIONS: The prevalence of uterine
rupture in Belgium is similar to that in other Western countries. There is scope
for improvement through the implementation of nationally adopted guidelines on
TOLAC, to prevent use of unsafe procedures, and thereby reduce avoidable
morbidity and mortality.
PMID- 27188807
TI - Influence of advancing age on clinical presentation, treatment efficacy and
safety, and long-term outcome of pre-excitation syndromes: a retrospective cohort
study of 961 patients included over a 25-year period.
AB - OBJECTIVES: There are very little data on pre-excitation syndrome (PS) in the
elderly. We investigated the influence of advancing age on clinical presentation,
treatment and long-term outcome of PS. SETTING: Single-centre retrospective study
of patient files. PARTICIPANTS: In all, 961 patients (72 patients >=60 years
(mean 68.5+/-6), 889 patients <60 years (mean 30.5+/-14)) referred for overt pre
excitation and indication for electrophysiological study (EPS) were followed for
5.3+/-5 years. Usual care included 24 h Holter monitoring, echocardiography and
EPS. Patients underwent accessory pathway (AP) ablation if necessary. PRIMARY AND
SECONDARY OUTCOME MEASURES: Occurrence of atrial fibrillation (AF) or procedure
induced adverse event. RESULTS: Electrophysiological data and recourse to AP
ablation (43% vs 48.5%, p=0.375) did not significantly differ between the groups.
Older patients more often had symptomatic forms (81% vs 63%, p=0.003), history of
spontaneous AF (8% vs 3%, p=0.01) or adverse presentation (poorly tolerated
arrhythmias: 18% vs 7%, p=0.0009). In multivariable analysis, patients >=60 years
had a significantly higher risk of history of AF (OR=4.2, 2.1 to 8.3, p=0.001)
and poorly tolerated arrhythmias (OR=3.8, 1.8 to 8.1, p=0.001). Age >=60 years
was associated with an increased major AP ablation complication risk (10% vs
1.9%, p=0.006). During follow-up, occurrence of AF (13.9% vs 3.6%, p<0.001) and
incidence of poorly tolerated tachycardia (4.2% vs 0.6%, p=0.001) were more
frequent in patients >=60 years, although frequency of ablation failure or
recurrence was similar (20% vs 15.5%, p=0.52). In multivariable analysis,
patients >=60 years had a significantly higher risk of AF (OR=2.9, 1.2 to 6.8,
p<=0.01). CONCLUSIONS: In this retrospective monocentre study, patients >=60
years referred for PS work up appeared at higher risk of AF and adverse
presentation, both prior and after the work up. These results suggest that, in
elderly patients, the decision for EPS and AP ablation should be discussed in
light of their suspected higher risk of events and ablation complications.
However, these findings should be further validated in future prospective
multicentre studies.
PMID- 27188806
TI - Geographical variation in glaucoma prescribing trends in England 2008-2012: an
observational ecological study.
AB - OBJECTIVES: To explore (1) the national trend in population-adjusted prescription
rates for glaucoma and ocular hypertension (OHT) in England and (2) any
geographical variation in glaucoma/OHT prescribing trends and its association
with established risk factors for primary open-angle glaucoma (POAG) at the
population level. DESIGN: Observational ecological study. SETTING: Primary care
in England 2008-2012. PARTICIPANTS: All patients who received 1 or more of the 37
778 660 glaucoma/OHT prescription items between 2008 and 2012. PRIMARY AND
SECONDARY OUTCOME MEASURE METHODS: Glaucoma/OHT prescription statistics for
England and its constituent primary care trusts (PCTs) between 2008 and 2012 were
divided by annual population estimates to give prescription rates per 100 000
population aged >=40 years. To examine regional differences, prescription rates
and the change in prescription rates between 2008 and 2012 for PCTs were
separately entered into multivariable linear regression models with the
population proportion aged >=60 years; the proportion of males; the proportion of
West African Diaspora (WAD) ethnicity; PCT funding per capita; Index of Multiple
Deprivation 2010 score and its domains. RESULTS: Between 2008 and 2012,
glaucoma/OHT prescriptions increased from 28 029 to 31 309 items per 100 000
population aged >=40 years. Between PCTs, nearly a quarter of the variation in
prescription rates in 2008 and 2012 could be attributed to age, WAD ethnicity and
male gender. The change in prescription rates between 2008 and 2012 was only
modestly correlated with age (p=0.003, beta=0.234), and income deprivation
(p=0.035, beta=-0.168). CONCLUSIONS: Increased population-adjusted glaucoma/OHT
prescription rates in the study period were likely due to increased detection of
POAG and OHT cases at risk of POAG. Between PCTs, regional variation in overall
prescription rates was partly attributable to demographic risk factors for POAG,
although the change in prescription rates was only modestly correlated with the
same risk factors, suggesting potential variation in practice.
PMID- 27188808
TI - Perceptions of coping with non-disease-related life stress for women with
osteoarthritis: a qualitative analysis.
AB - OBJECTIVE: Coping with arthritis-related stress has been extensively studied.
However, limited evidence exists regarding coping with stress extraneous to the
disease (life stress). This study explored life stress and coping in a subset of
older women with osteoarthritis from a larger longitudinal study. SETTING: An
Australian regional university. DESIGN: This qualitative study involved
semistructured telephone interviews. Potential participants were mailed a letter
of invitation/participant information statement by the Australian Longitudinal
Study on Women's Health (ALSWH). Invitations were sent out in small batches
(primarily 10). Interviews were conducted until data saturation was achieved
using a systematic process (n=19). Digitally recorded interviews were transcribed
verbatim and deidentified. Data were thematically analysed. PARTICIPANTS: Women
who indicated being diagnosed or treated for arthritis in the previous 3 years in
the fifth survey of the ALSWH (conducted in 2007) provided the sampling frame.
Potential participants were randomly sampled by a blinded data manager using a
random number generator. RESULTS: Coping with life stress involved both
attitudinal coping processes developed early in life (ie, stoicism) and transient
cognitive and support-based responses. Women also described a dualistic process
involving a reduction in the ability to cope with ongoing stress over time,
coupled with personal growth. CONCLUSIONS: This is the first study to examine how
individuals cope with non-arthritis-related stress. The findings add to the
current understanding of stress and coping, and have implications regarding the
prevention of arthritis in women. Importantly, this study highlighted the
potential detrimental impact of persistent coping patterns developed early in
life. Public health campaigns aimed at stress mitigation and facilitation of
adaptive coping mechanisms in childhood and adolescence may assist with arthritis
prevention.
PMID- 27188810
TI - Determinants of adherence to seasonal influenza vaccination among healthcare
workers from an Italian region: results from a cross-sectional study.
AB - OBJECTIVES: Notwithstanding decades of efforts to increase the uptake of seasonal
influenza (flu) vaccination among European healthcare workers (HCWs), the
immunisation rates are still unsatisfactory. In order to understand the reasons
for the low adherence to flu vaccination, a study was carried out among HCWs of
two healthcare organisations in Liguria, a region in northwest Italy. METHODS: A
cross-sectional study based on anonymous self-administered web questionnaires was
carried out between October 2013 and February 2014. Through univariate and
multivariate regression analysis, the study investigated the association between
demographic and professional characteristics, knowledge, beliefs and attitudes of
the study participants and (i) the seasonal flu vaccination uptake in the
2013/2014 season and (ii) the self-reported number of flu vaccination uptakes in
the six consecutive seasons from 2008/2009 to 2013/2014. RESULTS: A total of 830
HCWs completed the survey. Factors statistically associated with flu vaccination
uptake in the 2013/2014 season were: being a medical doctor and agreeing with the
statements 'flu vaccine is safe', 'HCWs have a higher risk of getting flu' and
'HCWs should receive flu vaccination every year'. A barrier to vaccination was
the belief that pharmaceutical companies influence decisions about vaccination
strategies. DISCUSSION: All the above-mentioned factors, except the last one,
were (significantly) associated with the number of flu vaccination uptakes self
reported by the respondents between season 2008/2009 and season 2013/2014. Other
significantly associated factors appeared to be level of education, being
affected by at least one chronic disease, and agreeing with mandatory flu
vaccination in healthcare settings. CONCLUSIONS: This survey allows us to better
understand the determinants of adherence to vaccination as a fundamental
preventive strategy against flu among Italian HCWs. These findings should be used
to improve and customise any future promotion campaigns to overcome identified
barriers to immunisation.
PMID- 27188809
TI - Access to primary care for socioeconomically disadvantaged older people in rural
areas: a realist review.
AB - OBJECTIVE: The aim of this review is to identify and understand the contexts that
effect access to high-quality primary care for socioeconomically disadvantaged
older people in rural areas. DESIGN: A realist review. DATA SOURCES: MEDLINE and
EMBASE electronic databases and grey literature (from inception to December
2014). ELIGIBILITY CRITERIA FOR SELECTING STUDIES: Broad inclusion criteria were
used to allow articles which were not specific, but might be relevant to the
population of interest to be considered. Studies meeting the inclusion criteria
were assessed for rigour and relevance and coded for concepts relating to
context, mechanism or outcome. ANALYSIS: An overarching patient pathway was
generated and used as the basis to explore contexts, causal mechanisms and
outcomes. RESULTS: 162 articles were included. Most were from the USA or the UK,
cross-sectional in design and presented subgroup data by age, rurality or
deprivation. From these studies, a patient pathway was generated which included 7
steps (problem identified, decision to seek help, actively seek help, obtain
appointment, get to appointment, primary care interaction and outcome). Important
contexts were stoicism, education status, expectations of ageing, financial
resources, understanding the healthcare system, access to suitable transport,
capacity within practice, the booking system and experience of healthcare.
Prominent causal mechanisms were health literacy, perceived convenience, patient
empowerment and responsiveness of the practice. CONCLUSIONS: Socioeconomically
disadvantaged older people in rural areas face personal, community and healthcare
barriers that limit their access to primary care. Initiatives should be targeted
at local contextual factors to help individuals recognise problems, feel welcome,
navigate the healthcare system, book appointments easily, access appropriate
transport and have sufficient time with professional staff to improve their
experience of healthcare; all of which will require dedicated primary care
resources.
PMID- 27188811
TI - Symptoms of depression and all-cause mortality in farmers, a cohort study: the
HUNT study, Norway.
AB - OBJECTIVES: To explore all-cause mortality and the association between symptoms
of depression and all-cause mortality in farmers compared with other occupational
groups, using a prospective cohort design. METHODS: We included adult
participants with a known occupation from the second wave of the Nord-Trondelag
Health Study (Helseundersokelsen i Nord-Trondelag 2 (HUNT2) 1995-1997), Norway.
Complete information on emigration and death from all causes was obtained from
the National Registries. We used the depression subscale of the Hospital Anxiety
and Depression Scale (HADS) to measure symptoms of depression. We compared
farmers to 4 other occupational groups. Our baseline study population comprised
32 618 participants. Statistical analyses were performed using the Cox
proportional hazards models. RESULTS: The estimated mortality risk in farmers was
lower than in all other occupations combined, with a sex and age-adjusted HR
(0.91, 95% CI 0.82 to 1.00). However, farmers had an 11% increased age-adjusted
and sex-adjusted mortality risk compared with the highest ranked socioeconomic
group (HR 1.11, 95% CI 0.98 to 1.25). In farmers, symptoms of depression were
associated with a 13% increase in sex-adjusted and age-adjusted mortality risk
(HR 1.13, 95% CI 0.88 to 1.45). Compared with other occupations this was the
lowest HR, also after adjusting for education, marital status, long-lasting
limiting somatic illness and lifestyle factors (HR 1.08, 95% CI 0.84 to 1.39).
CONCLUSIONS: Farmers had lower all-cause mortality compared with the other
occupational groups combined. Symptoms of depression were associated with an
increased mortality risk in farmers, but the risk increase was smaller compared
with the other occupational groups.
PMID- 27188812
TI - Prevalence and trends of sensitisation to aeroallergens in patients with allergic
rhinitis in Guangzhou, China: a 10-year retrospective study.
AB - OBJECTIVE: To investigate the prevalence and trends of sensitisation to common
aeroallergens among outpatients with allergic rhinitis (AR) in Guangzhou, China,
over the past decade. DESIGN: A retrospective study; linear-by-linear association
and simple linear regression were used to determine the trends in the prevalence
of aeroallergen sensitisation. SETTING: One grade-A hospital in Guangzhou, the
largest city in southern China. PARTICIPANTS: A total of 5486 patients (2297
males and 2489 females) who visited the ear, nose and throat outpatient clinic,
from January 2005 to December 2014, were enrolled. All patients who presented
with nasal hyper-reactive symptoms and who completed serological allergy testing,
measuring specific IgE (sIgE) in the serum, were included. Among them, 4085
participants (2269 males and 1816 females) were diagnosed as being patients with
AR. OUTCOME MEASURES: Prevalence and trends of sensitisation to various types of
aeroallergens were assessed. RESULTS: The overall prevalence of sIgE-mediated
sensitisation to aeroallergens in these patients with AR were as follows: 84.4%
for house dust mites (HDMs), 23.4% for pet allergens, 21.1% for cockroaches, 9.1%
for mould allergens, 7.7% for tree pollen and 6.0% for weed pollen. When all
patients with nasal hyper-reactivity were stratified by decade of age, increasing
age was associated with a decrease in sIgE positivity by ~5.13% (95% CI -7.28% to
-2.98%, p<0.01). Within the past decade, the prevalence of sensitisation to pet
allergens in patients with AR increased at an annual rate of 1.3% (95% CI 0.85%
to 1.67%, p<0.01). CONCLUSIONS: This study demonstrated that HDMs comprised the
most common aeroallergen in Guangzhou. The prevalence of sensitisation to
aeroallergens decreased with increasing age. During the past decade, the
prevalence of sensitisation to pet allergens showed an upward trend, suggesting
an urgent need for its prevention and treatment.
PMID- 27188813
TI - A qualitative analysis of Maori and Pacific smokers' views on informed choice and
smoking.
AB - OBJECTIVES: Tobacco companies frame smoking as an informed choice, a strategy
that holds individuals responsible for harms they incur. Few studies have tested
this argument, and even fewer have examined how informed indigenous smokers or
those from minority ethnicities are when they start smoking. We explored how
young adult Maori and Pacific smokers interpreted 'informed choice' in relation
to smoking. PARTICIPANTS: Using recruitment via advertising, existing networks
and word of mouth, we recruited and undertook qualitative in-depth interviews
with 20 Maori and Pacific young adults aged 18-26 years who smoked. ANALYSES:
Data were analysed using an informed-choice framework developed by Chapman and
Liberman. We used a thematic analysis approach to identify themes that extended
this framework. RESULTS: Few participants considered themselves well informed and
none met more than the framework's initial two criteria. Most reflected on their
unthinking uptake and subsequent addiction, and identified environmental factors
that had facilitated uptake. Nonetheless, despite this context, most agreed that
they had made an informed choice to smoke. CONCLUSIONS: The discrepancy between
participants' reported knowledge and understanding of smoking's risks, and their
assessment of smoking as an informed choice, reflects their view of smoking as a
symbol of adulthood. Policies that make tobacco more difficult to use in social
settings could help change social norms around smoking and the ease with which
initiation and addiction currently occur.
PMID- 27188814
TI - Does n-3 LCPUFA supplementation during pregnancy increase the IQ of children at
school age? Follow-up of a randomised controlled trial.
AB - INTRODUCTION: Despite recommendations that pregnant women increase their
docosahexaenoic acid (DHA) intake to support fetal brain development, a recent
systematic review found a lack of high-quality data to support the long-term
effects of DHA supplementation on children's neurodevelopment. METHODS AND
ANALYSIS: We will assess child neurodevelopment at 7 years of age in follow-up of
a multicentre double-blind randomised controlled trial of DHA supplementation in
pregnancy. In 2010-2012, n=2399 Australian women with a singleton pregnancy <21
weeks' gestation were randomised to receive 3 capsules daily containing a total
dose of 800 mg DHA/day or a vegetable oil placebo until birth. N=726 children
from Adelaide (all n=97 born preterm, random sample of n=630 born at term) were
selected for neurodevelopmental follow-up and n=638 (preterm n=85) are still
enrolled at 7 years of age. At the 7-year follow-up, a psychologist will assess
the primary outcome, IQ, with the Wechsler Abbreviated Scale of Intelligence,
Second Edition. Specific measures of executive functioning (Fruit Stroop and the
Rey Complex Figure), attention (Test of Everyday Attention for Children), memory
and learning (Rey Auditory Verbal Learning Test), language (Clinical Evaluation
of Language Fundamentals, Fourth Edition) and basic educational skills (Wide
Range Achievement Test, Fourth Edition) will also be administered. Caregivers
will be asked to complete questionnaires measuring behaviour and executive
functioning. Families, clinicians and research personnel are blinded to group
assignment with the exception of families who requested unblinding prior to the
follow-up. All analyses will be conducted according to the intention-to-treat
principal. ETHICS AND DISSEMINATION: All procedures will be approved by the
relevant institutional ethics committees prior to start of the study. The results
of this study will be disseminated in peer-reviewed journal publications and
academic presentations. TRIAL REGISTRATION NUMBERS: ACTRN12605000569606 and
ACTRN12614000770662.
PMID- 27188816
TI - Polymer-Graphene Nanocomposite Materials for Electrochemical Biosensing.
AB - Biosensing is an important and rapidly developing field, with numerous potential
applications in health care, food processing, and environmental control. Polymer
graphene nanocomposites aim to leverage the unique, attractive properties of
graphene by combining them with those of a polymer matrix. Molecular imprinted
polymers, in particular, offer the promise of artificial biorecognition elements.
A variety of polymers, including intrinsically conducting polymers (polyaniline,
polypyrrole), bio-based polymers (chitosan, polycatechols), and polycationic
polymers (poly(diallyldimethylammonium chloride), polyethyleneimine), have been
utilized as matrices for graphene-based nanofillers, yielding sensitive
biosensors for various biomolecules, such as proteins, nucleic acids, and small
molecules.
PMID- 27188815
TI - Service factors causing delay in specialist assessment for TIA and minor stroke:
a qualitative study of GP and patient perspectives.
AB - OBJECTIVE: To understand how service factors contribute to delays to specialist
assessment following transient ischaemic attack (TIA) or minor stroke. DESIGN:
Qualitative study using semistructured interviews, analysis by constant
comparison. SETTING: Leicester, UK. PARTICIPANTS: Patients diagnosed with TIA or
minor stroke, at hospital admission or in a rapid-access TIA clinic (n=42),
general practitioners (GPs) of participating patients if they had been involved
in the patients' care (n=18). DATA: Accounts from patients and GPs of factors
contributing to delay following action to seek help from a healthcare
professional (HCP). RESULTS: The following categories of delay were identified.
First, delay in assessment in general practice following contact with the
service; this related to availability of same day appointments, and the role of
the receptionist in identifying urgent cases. Second, delays in diagnosis by the
HCP first consulted, including GPs, optometrists, out-of-hours services, walk-in
centres and the emergency department. Third, delays in referral after a suspected
diagnosis; these included variable use of the ABCD(2) (Age, Blood pressure,
Clinical features, Duration, Diabetes) risk stratification score and referral
templates in general practice, and referral back to the patients' GP in cases
where he/she was not the first HCP consulted. CONCLUSIONS: Primary and emergency
care providers need to review how they can best handle patients presenting with
symptoms that could be due to stroke or TIA. In general practice, this may
include receptionist training and/or triage by a nurse or doctor. Mechanisms need
to be established to enable direct referral to the TIA clinic when patients whose
symptoms have resolved present to other agencies. Further work is needed to
improve diagnostic accuracy by non-specialists.
PMID- 27188817
TI - Huntington disease.
AB - Huntington disease is devastating to patients and their families - with autosomal
dominant inheritance, onset typically in the prime of adult life, progressive
course, and a combination of motor, cognitive and behavioural features. The
disease is caused by an expanded CAG trinucleotide repeat (of variable length) in
HTT, the gene that encodes the protein huntingtin. In mutation carriers,
huntingtin is produced with abnormally long polyglutamine sequences that confer
toxic gains of function and predispose the protein to fragmentation, resulting in
neuronal dysfunction and death. In this Primer, we review the epidemiology of
Huntington disease, noting that prevalence is higher than previously thought,
geographically variable and increasing. We describe the relationship between CAG
repeat length and clinical phenotype, as well as the concept of genetic modifiers
of the disease. We discuss normal huntingtin protein function, evidence for
differential toxicity of mutant huntingtin variants, theories of huntingtin
aggregation and the many different mechanisms of Huntington disease pathogenesis.
We describe the genetic and clinical diagnosis of the condition, its clinical
assessment and the multidisciplinary management of symptoms, given the absence of
effective disease-modifying therapies. We review past and present clinical trials
and therapeutic strategies under investigation, including impending trials of
targeted huntingtin-lowering drugs and the progress in development of biomarkers
that will support the next generation of trials. For an illustrated summary of
this Primer, visit: http://go.nature.com/hPMENh.
PMID- 27188818
TI - Towards the minimal nitrogen-fixing symbiotic genome.
AB - diCenzo and coworkers have reverse engineered a rhizobium into a non-nitrogen
fixer, creating a genomic platform for gain-of-function genetics studies, which
should aid to identify the minimal nitrogen fixing symbiotic genome.
PMID- 27188819
TI - Gut microbiota: How to build healthy growth-promoting gut communities.
PMID- 27188820
TI - Gut microbiota: FMT - enduring strains.
PMID- 27188821
TI - IBD: Dysbiosis underlies CARD9 risk alleles in colitis.
PMID- 27188822
TI - Pancreatic cancer: A multipronged approach to pancreatic cancer treatment.
PMID- 27188824
TI - Granular cell tumors in the central nervous system: a report on eight cases and a
literature review.
AB - OBJECTIVE: Granular cell tumors (GCTs) in the central nervous system (CNS) are
extremely rare. We report here a series of eight GCTs at various locations in the
CNS and provide a full review of the published literature. METHODS: Eight
patients with pathologically confirmed GCT in the CNS were retrospectively
reviewed. The patients were followed up via telephone interview or an outpatient
department. RESULTS: Five patients were female, and three were male. Of the eight
tumors, three were located at the sellar region, two were located in the spinal
canal, one was located at the cerebral hemisphere, one was parasellar-nasal
communicating, and one was combined with an enterogenous cyst at the ventral
aspect of the medulla oblongata. Six patients were symptomatic, and two patients
were found incidentally. Most GCTs tended to be homogeneous and well defined on
radiological images. The parasellar-nasal communicating GCT showed destruction of
the skull base and an evident cystic component in the sphenoid sinus. The various
GCTs had similar histological features, and they tended to be histologically
benign. Most tumors did not recur after the operation. After subtotal resection,
one residual spinal GCT regrew during the follow-up period. The patient with the
parasellar-nasal communicating GCT developed progressive visual loss in the right
eye after the operation; and she received adjuvant radiation therapy. CONCLUSION:
GCTs at different locations in the CNS can have significantly different clinical
features and should be considered distinct entities. Except for the granular cell
astrocytoma (GCA), most GCTs in the CNS tended to be benign. Malignant GCTs are
rare and difficult to treat.
PMID- 27188825
TI - Blood parasites in hooded crows (Corvus corone cornix) in Northwest Italy.
AB - Haemoparasites and their effects on hooded crows (Corvus corone cornix) are
poorly studied. The aims are to evaluate the prevalence of Haemoproteus
spp./Plasmodium spp. or Leucocytozoon spp., to correlate this with gross and
histopathological findings, and to investigate the association among infection
and geographical origin, age, gender, parasite distribution and prevalence among
organs. Hooded crows (n = 47) were collected within a regional culling programme
from 3 districts in the province of Turin (Italy) and subjected to necropsy.
Histological and molecular analyses were carried out on some tissues.
Leucocytozoon spp. was detected in 46 crows (97.9%) by polymerase chain reaction
(PCR), whereas 28 birds (59.6%) were found to be positive for Haemoproteus
spp./Plasmodium spp. The distribution of parasites in several organs varied
significantly, showing that Leucocytozoon spp. is ubiquitous in organs in
contrast with Haemoproteus spp./Plasmodium spp., which have a specific
predilection for spleen and lungs. The prevalence of Haemoproteus spp./Plasmodium
spp. also differed significantly among the crows captured in the areas of the
study. The high prevalence of haemoparasites emphasizes the success of
ornithophilic vectors and the susceptibility of this species to infection.
Differences in prevalence among the sites are probably due to orographic features
of the areas, variations in vector species and density, or to crow population
size or structure. In spite of the high infection rate, no gross and histological
lesions were found. This finding further suggests an evolutionary adaptation
between crows and avian blood parasites.
PMID- 27188826
TI - Survey on intestinal helminth fauna of woodcocks (Scolopax rusticola) in Italy.
AB - Every year populations of the European woodcock (Scolopax rusticola) migrate from
Central and Northern Europe to the Mediterranean basin. South of Italy is one of
the most common wintering site for this species. Given that information on
parasites of woodcocks is scarce, the present study aimed at identifying the
parasitic species affecting woodcocks migrating in Italy. The gastrointestinal
tract of 206 woodcocks hunted in Southern Italy was removed and examined for
parasites. From each animal a faecal sample was analysed by flotation test. The
necropsy showed the presence of cestodes, i.e. Paricterotaenia paradoxa (59.4%)
and Aploparaksis filum (49.5%), and of acanthocephalan Prosthorhynchus
scolopacidis (22.4%). In one bird we also detected Parastrigea robusta, which is
a trematode until now reported only in mallards (Anas platyrhynchos). Mixed
infections (i.e., polyspecific infections) were detected in 53 (27.6%) animals.
The most common were those caused by A. filum and P. paradoxa (12.5%), and by P.
paradoxa and P. scolopacidis (8.3%). Copromicroscopic examinations revealed the
presence of eggs belonging to nematodes Syngamus spp. (1.94%) in 4 woodcocks and
of eggs of cestodes Aploparaksis spp. (37.86%) in 78 woodcocks. The present
results fill a gap in the knowledge on parasites affecting woodcocks.
PMID- 27188823
TI - Alcohol: taking a population perspective.
AB - Alcohol consumption is a global phenomenon, as is the resultant health, social
and economic harm. The nature of these harms varies with different drinking
patterns and with the societal and political responses to the burden of harm;
nevertheless, alcohol-related chronic diseases have a major effect on health.
Strong evidence exists for the effectiveness of different strategies to minimize
this damage and those policies that target price, availability and marketing of
alcohol come out best, whereas those using education and information are much
less effective. However, these policies can be portrayed as anti-libertarian and
so viewing them in the context of alcohol-related harm to those other than the
drinker, such as the most vulnerable in society, is important. When this strategy
is successful, as in Scotland, it has been possible to pass strong and effective
legislation, such as for a minimum unit price for alcohol.
PMID- 27188827
TI - Diets of deepwater oreos (Oreosomatidae) and orange roughy Hoplostethus
atlanticus.
AB - The diets of black oreo Allocyttus niger, smooth oreo Pseudocyttus maculatus,
spiky oreo Neocyttus rhomboidalis and orange roughy Hoplostethus atlanticus were
determined from examination of contents of 240, 311, 76 and 415 non-empty
stomachs, from fishes sampled by bottom trawl on Chatham Rise to the east of
South Island, New Zealand. Hoplostethus atlanticus had an opportunistic predatory
strategy with a broad diet dominated by prawns and mesopelagic teleosts, but with
substantial components of mysids and cephalopods. Pseudocyttus maculatus was
strongly specialized on gelatinous zooplankton (jellyfish and salps). Allocyttus
niger consumed mainly salps and hyperiid amphipods, and to a lesser extent
fishes, prawns, mysids and copepods. Neocyttus rhomboidalis primarily consumed
salps, along with mysids, euphausiids and fishes. Only P. maculatus did not
exhibit significant ontogenetic variation in diet. The diets were also influenced
by year and bottom depth. Differences in the distributions and diets of the four
species probably reduce conflicts in resource use.
PMID- 27188828
TI - History repeating itself: Arnaud's case of pathological deja vu.
AB - We present a translation of Arnaud's (1896) case report of Patient Louis, a case
he describes as having a pathological form of deja vu. Louis has the delusional
belief that the present moment is a repetition of an exact same previous event.
Arnaud's paper is critical for two reasons. Firstly, it is amongst the first
articles in the scientific literature to describe the deja vu experience using
the term 'deja vu'. Secondly, the case report of someone with delusional and
persistent deja vu, anticipates recently reported cases with similar symptoms,
which are beginning to gain interest as a particular form of memory disorder. We
offer a contemporary analysis of Louis and conclude that, whilst the article was
critical in the development of deja vu as a scientific concern, Louis's distorted
memory is not best described as deja vu, but rather as a form of reduplicative
paramnesia described as recollective confabulation.
PMID- 27188829
TI - Topological distortion and reorganized modular structure of gut microbial co
occurrence networks in inflammatory bowel disease.
AB - The gut microbiome plays a key role in human health, and alterations of the
normal gut flora are associated with a variety of distinct disease states. Yet,
the natural dependencies between microbes in healthy and diseased individuals
remain far from understood. Here we use a network-based approach to characterize
microbial co-occurrence in individuals with inflammatory bowel disease (IBD) and
healthy (non-IBD control) individuals. We find that microbial networks in
patients with IBD differ in both global structure and local connectivity
patterns. While a "core" microbiome is preserved, network topology of other
densely interconnected microbe modules is distorted, with potent inflammation
mediating organisms assuming roles as integrative and highly connected inter
modular hubs. We show that while both networks display a rich-club organization,
in which a small set of microbes commonly co-occur, the healthy network is more
easily disrupted by elimination of a small number of key species. Further
investigation of network alterations in disease might offer mechanistic insights
into the specific pathogens responsible for microbiome-mediated inflammation in
IBD.
PMID- 27188831
TI - The phonological neighbourhood effect on short-term memory for order.
AB - There is a growing body of literature that suggests that long-term memory (LTM)
and short-term memory (STM) structures that were once thought to be distinct are
actually co-dependent, and that LTM can aid retrieval from STM. The mechanism
behind this effect is commonly argued to act on item memory but not on order
memory. The aim of the current study was to examine whether LTM could exert an
influence on STM for order by examining an effect attributed to LTM, the
phonological neighbourhood effect, in a task that reduced the requirement to
retain item information. In Experiment 1, 18 participants completed a serial
reconstruction task where neighbourhood density alternated within the lists. In
Experiment 2, 22 participants completed a serial reconstruction task using pure
lists of dense and sparse neighbourhood words. In Experiment 3, 22 participants
completed a reconstruction task with both mixed and pure lists. There was a
significant effect of neighbourhood density with better recall for dense than
sparse neighbourhood words in pure lists but not in mixed lists. Results suggest
that LTM exerts an influence prior to that proposed by many models of memory for
order.
PMID- 27188833
TI - CORR Insights((r)): How Often Does Spindle Failure Occur in Compressive
Osseointegration Endoprostheses for Oncologic Reconstruction?
PMID- 27188832
TI - A Prognostic Model of Surgical Site Infection Using Daily Clinical Wound
Assessment.
AB - BACKGROUND: Surgical site infection (SSI) remains a common, costly, and morbid
health care-associated infection. Early detection can improve outcomes, yet
previous risk models consider only baseline risk factors (BF) not incorporating a
proximate and timely data source-the wound itself. We hypothesize that
incorporation of daily wound assessment improves the accuracy of SSI
identification compared with traditional BF alone. STUDY DESIGN: A prospective
cohort of 1,000 post open abdominal surgery patients at an academic teaching
hospital were examined daily for serial features (SF), for example, wound
characteristics and vital signs, in addition to standard BF, for example, wound
class. Using supervised machine learning, we trained 3 Naive Bayes classifiers
(BF, SF, and BF+SF) using patient data from 1 to 5 days before diagnosis to
classify SSI on the following day. For comparison, we also created a simplified
SF model that used logistic regression. Control patients without SSI were matched
on 5 similar consecutive postoperative days to avoid confounding by length of
stay. Accuracy, sensitivity/specificity, and area under the receiver operating
characteristic curve were calculated on a training and hold-out testing set.
RESULTS: Of 851 patients, 19.4% had inpatient SSIs. Univariate analysis showed
differences in C-reactive protein, surgery duration, and contamination, but no
differences in American Society of Anesthesiologists scores, diabetes, or
emergency surgery. The BF, SF, and BF+SF classifiers had area under the receiver
operating characteristic curves of 0.67, 0.76, and 0.76, respectively. The best
performing classifier (SF) had optimal sensitivity of 0.80, specificity of 0.64,
positive predictive value of 0.35, and negative predictive value of 0.93.
Features most associated with subsequent SSI diagnosis were granulation degree,
exudate amount, nasogastric tube presence, and heart rate. CONCLUSIONS: Serial
features provided moderate positive predictive value and high negative predictive
value for early identification of SSI. Addition of baseline risk factors did not
improve identification. Features of evolving wound infection are discernable
before the day of diagnosis, based primarily on visual inspection.
PMID- 27188834
TI - CORR Insights(r): A Novel System for the Surgical Staging of Primary High-grade
Osteosarcoma: The Birmingham Classification.
PMID- 27188837
TI - When evidence is not enough: a case study on alcohol marketing legislation in
Brazil.
AB - AIMS: This case study identifies the influence and mechanisms that the alcohol
industry in Brazil has been able to bring to bear to maintain self-regulation in
the marketing of beer and many wines set against a trend of increasing alcohol
consumption in Brazil, particularly among young people and women. It identifies
the forms of power and strategies used by the alcohol industry in Brazil that may
be useful for other countries to consider in seeking to move from self-regulation
to state regulation of alcohol marketing. METHOD: A review was conducted of
recent legal documents and court cases, as well as the activities of alcoholic
beverage industries. RESULTS: Because of an exemption, Brazilian law had
established that both beer and many wines are not alcoholic beverages for
marketing purposes. These beverages are subjected to industry self-regulation
codes. Research shows that beer and wine marketing often violates industry codes,
with little or no enforcement of penalties for non-compliance. Attempts to
include beer and wine in the legal definition of alcohol have been opposed by the
alcohol industry, and the courts have delegated responsibility to the
legislature. The recent legal activities surrounding alcohol sales during the
2014 World Cup games in Brazil provide evidence of the alcohol industry's
influence on the legislative process. CONCLUSION: The alcohol industry in Brazil
plays a significant role in the formulation of public policies on alcohol,
especially regarding the regulation of marketing. This power is exercised by
strong lobbying of government officials responsible for public policies.
PMID- 27188836
TI - Early Subsidence Predicts Failure of a Cemented Femoral Stem With Minor Design
Changes.
AB - BACKGROUND: Radiostereometry (RSA) measurements of early micromotion can predict
later failure in hip and knee prostheses. In hip implants, RSA has been
particularly helpful in the evaluation of composite-beam stem designs. The
Spectron EF Primary stem (Smith & Nephew, London, UK) has shown inferior
performance compared with its predecessors in both clinical studies and registry
reports. Early RSA studies have shown somewhat greater subsidence for the
Spectron EF Primary stem compared with the earlier Spectron EF, but still within
boundaries considered to be safe. QUESTIONS/PURPOSES: Our primary research
question was whether stem subsidence and rotation for this stem design measured
with RSA at 2 years can predict later stem failure. A secondary question was
whether high femoral stem offset and small stem sizes, both features specific to
the Spectron EF Primary stem compared with its predecessors, are associated with
stem failure rate. METHODS: Two hundred forty-seven hips (209 patients with
median age 63 years [range, 29-80 years], 65% female, and 77% primary
osteoarthritis) with a valid RSA examination at 2 years were selected from four
different RSA studies (totaling 279 hips in 236 patients) in our department. The
studies were primarily aimed at evaluating cup fixation, bone cement, and
polyethylene types. All study patients received a cemented Spectron EF Primary
stem. The selected hips had complete followup until stem failure, death, or the
end of the followup period. Stem failure was defined as revision of a loose
femoral stem or radiological failure with significant osteolysis in Gruen zones 2
to 6. Cox regression analyses were performed to evaluate if stem subsidence and
rotation after 2 years, adjusted for age, sex, stem size, standard/high stem
offset, and conventional/highly crosslinked polyethylene, could predict later
clinical aseptic failure of the stem. We identified 32 stem failures (27
revisions, five radiological failures) at 14 years median followup (range, 3-18
years). Ten-year stem survival was 94% (95% confidence interval [CI], 90%-96%).
RESULTS: Stem subsidence at 2 years (adjusted hazard ratio [HR], 6.0; 95% CI, 2.5
15; p < 0.001) and retrotorsion of the stem (adjusted HR, 1.7; 95% CI, 1.1-2.5; p
= 0.018) were associated with later stem failure. Further risk factors were male
sex (subsidence analysis HR, 6.9; p > 0.001), use of the two smallest stem sizes
(HRsize 1, 8.0; p > 0.001, HRsize 2, 1 [reference], HRsize 3+, 0.06; p = 0.035),
and the high offset option (HR, 3.1; p = 0.005). CONCLUSIONS: Stem subsidence and
retrotorsion at 2 years can predict later failure in the Spectron EF Primary
stem, consistent with earlier findings on composite-beam cemented stems. Small
stem size and high-offset stems comprise the main group of underperforming stems.
We recommend that premarket small-scale RSA studies be performed after any design
change to a THA femoral component, because even seemingly minor design changes
may unexpectedly result in inferior performance. LEVEL OF EVIDENCE: Level III,
therapeutic study.
PMID- 27188830
TI - Acute rheumatic fever and rheumatic heart disease.
AB - Acute rheumatic fever (ARF) is the result of an autoimmune response to
pharyngitis caused by infection with group A Streptococcus. The long-term damage
to cardiac valves caused by ARF, which can result from a single severe episode or
from multiple recurrent episodes of the illness, is known as rheumatic heart
disease (RHD) and is a notable cause of morbidity and mortality in resource-poor
settings around the world. Although our understanding of disease pathogenesis has
advanced in recent years, this has not led to dramatic improvements in diagnostic
approaches, which are still reliant on clinical features using the Jones
Criteria, or treatment practices. Indeed, penicillin has been the mainstay of
treatment for decades and there is no other treatment that has been proven to
alter the likelihood or the severity of RHD after an episode of ARF. Recent
advances - including the use of echocardiographic diagnosis in those with ARF and
in screening for early detection of RHD, progress in developing group A
streptococcal vaccines and an increased focus on the lived experience of those
with RHD and the need to improve quality of life - give cause for optimism that
progress will be made in coming years against this neglected disease that affects
populations around the world, but is a particular issue for those living in
poverty.
PMID- 27188835
TI - Poor Survivorship and Frequent Complications at a Median of 10 Years After Metal
on-Metal Hip Resurfacing Revision.
AB - BACKGROUND: High short-term failure rates have been reported for several metal-on
metal hip resurfacing (MoMHR) designs. Early observations suggested that MoMHRs
revised to total hip arthroplasties (THAs) for pseudotumor had more major
complications and inferior patient-reported outcomes compared with other revision
indications. However, little is known about implant survivorship and patient
reported outcomes at more than 5 years after MoMHR revision. QUESTIONS/PURPOSES:
(1) What are the implant survivorship, proportion of complications and abnormal
radiological findings, and patient-reported outcomes at a median of 10 years
after MoMHR revision surgery? (2) Are survivorship, complications, and patient
reported outcomes influenced by revision indication? (3) Do any other factors
predict survivorship, complications, and patient-reported outcomes? METHODS:
Between 1999 and 2008, 53 MoMHR revision procedures in 51 patients (mean age, 55
years; 62% female) were performed at one center and were all included in this
retrospective study. Two patients (4%) were lost to followup and two patients
(4%) died before a minimum followup of 7 years (median, 10.3 years; range 7-15
years). Revision indications included pseudotumor (n = 16), femoral neck fracture
(n = 21), and other causes (n = 16). In most cases (62%, n = 33) both components
were revised to a non-MoM bearing THA with the remainder (38%, n = 20: fracture,
loosening, or head collapse) undergoing femoral-only revision to a large-diameter
MoM THA. Postrevision complications, rerevision, Oxford Hip Score (OHS), and UCLA
score were determined using both a longitudinally maintained institutional
database and postal questionnaire. Implant survivorship was assessed using the
Kaplan-Meier method (endpoint was rerevision surgery). Radiographs at latest
followup were systematically assessed for any signs of failure (loosening,
migration, osteolysis) by one observer blinded to all clinical information and
not involved in the revision procedures. RESULTS: Overall, 45% (24 of 53)
experienced complications and 38% (20 of 53) underwent rerevision. Ten-year
survival free from rerevision for revised MoMHRs was 63% (95% confidence interval
[CI], 48%-74%). Revision indications were not associated with differences in the
frequency of complications or repeat revisions. With the numbers available, 10
year survival free from rerevision for pseudotumor revisions (56%; 95% CI, 30%
76%) was not different from the fracture (68%; 95% CI, 42%-85%; p = 0.359) and
other groups (63%; 95% CI, 35%-81%; p = 0.478). Pseudotumor revisions had
inferior OHSs (median, 21; range, 2-46; p = 0.007) and UCLA scores (median, 2;
range, 2-7; p = 0.0184) compared with fracture and other revisions. Ten-year
survival free from rerevision after femoral-only revision using another large
diameter MoM bearing was lower (p = 0.0498) compared with all component revisions
using non-MoM bearings. After controlling for potential confounding variables
such as age, sex, and revision indication, we found femoral-only revision as the
only factor predicting rerevision (hazard ratio, 5.7; 95% CI, 1.1-29; p = 0.040).
CONCLUSIONS: Poor implant survivorship and frequent complications were observed
at a median of 10 years after MoMHR revision. However, patients undergoing
femoral-only revisions with large-diameter MoM bearings had the worst
survivorship, whereas patients revised for pseudotumor had the most inferior
patient-reported outcomes. Our findings suggest these two patient subgroups
require regular surveillance after MoMHR revision. LEVEL OF EVIDENCE: Level III,
therapeutic study.
PMID- 27188838
TI - Design, synthesis and in vitro splicing inhibition of desmethyl and carba
derivatives of herboxidiene.
AB - Herboxidiene is a potent inhibitor of spliceosomes. It exhibits excellent
anticancer activity against multiple human cancer cell lines. Herein, we describe
an enantioselective synthesis of a desmethyl derivative and the corresponding
carba-derivatives of herboxidiene. The synthesis involved Suzuki coupling of a
vinyl iodide with boronate as the key reaction. For the synthesis of carba
derivatives, the corresponding optically active cyclohexane-1,3-dicarbonyl
derivatives were synthesized using an enantioselective desymmetrization of meso
anhydride. The biological properties of these derivatives were evaluated in an in
vitro splicing assay.
PMID- 27188839
TI - Successful intestinal Echinococcus multilocularis oncosphere invasion and
subsequent hepatic metacestode establishment in resistant RccHanTM:WIST rats
after pharmacological immunosuppression.
AB - Susceptibility/resistance to larval Echinococcus multilocularis infection varies
greatly depending on host species and strains. Whereas several mice strains and
non-human primates are highly susceptible to alveolar echinococcosis, rats and
most of humans are considered as more resistant. In this study, we aimed to
elucidate factors responsible for host resistance in rats (Experiments A-D). (A)
The parasite establishment was not observed in immunocompetent Wistar rats orally
inoculated with sodium hypochlorite resistant eggs with/without pig bile, or
activated/non-activated oncospheres (NAO). Peritoneal inoculation with NAO or
metacestode tissue allowed the parasite establishment in rats. (B) T-cell
deficient athymic nude rats showed complete resistance against the metacestode
establishment after oral inoculation with parasite eggs. This finding suggests
that T-cell-independent parasite clearance occurred in the animals during early
phase of the parasite invasion. Finally, Wistar rats that received
pharmacological immunosuppression using either dexamethasone (DMS) alone or
methotrexate (MTX) i.p. alone or a combination of these compounds were orally
inoculated with the parasite's eggs. As a result (D), successful establishment of
metacestode with protoscoleces was observed in all 3 rats treated with DMS (s.c.)
alone or in all 6 rats treated with DMS (s.c.) plus MTX but not in 8 rats with
MTX alone, suggesting that factors affected by DMS treatment are responsible to
regulate the parasite invasion and establishment.
PMID- 27188840
TI - Challenges in Rare Variant Association Studies for Complex Kidney Traits: CFHR5
and IgA Nephropathy.
PMID- 27188841
TI - Sex Differences and Renal Protection: Keeping in Touch with Your Feminine Side.
PMID- 27188842
TI - Coordinated Control of ENaC and Na+,K+-ATPase in Renal Collecting Duct.
AB - Tubular reabsorption of filtered sodium is tightly controlled to maintain body
volume homeostasis. The rate of sodium transport by collecting duct (CD) cells
varies widely in response to dietary sodium intake, GFR, circulating hormones,
neural signals, and local regulatory factors. Reabsorption of filtered sodium by
CD cells occurs via a two-step process. First, luminal sodium crosses the apical
plasma membrane along its electrochemical gradient through epithelial sodium
channels (ENaC). Intracellular sodium is then actively extruded into the
interstitial space by the Na(+),K(+)-ATPase located along the basolateral
membrane. Mismatch between sodium entry and exit induces variations in sodium
intracellular concentration and cell volume that must be maintained within narrow
ranges for control of vital cell functions. Therefore, renal epithelial cells
display highly coordinated apical and basolateral sodium transport rates. We
review evidence from experiments conducted in vivo and in cultured cells that
indicates aldosterone and vasopressin, the two major hormones regulating sodium
reabsorption by CD, generate a coordinated stimulation of apical ENaC and
basolateral Na(+),K(+)-ATPase. Moreover, we discuss evidence suggesting that
variations in sodium entry per se induce a coordinated change in Na(+),K(+)
ATPase activity through the signaling of protein kinases such as protein kinase A
and p38 mitogen-activated protein kinase.
PMID- 27188844
TI - Relief from detrimental consequences of chronic psychosocial stress in mice
deficient for the metabotropic glutamate receptor subtype 7.
AB - Chronic stress-related psychiatric conditions and comorbid somatic pathologies
are an enormous public health concern in modern society. The etiology of these
disorders is complex, with stressors holding a chronic and psychosocial component
representing the most acknowledged risk factor. During the last decades, research
on the metabotropic glutamate receptor (mGlu) system advanced dramatically and
much attention was given to the role of the metabotropic glutamate receptor
subtype 7 (mGlu7) in acute stress-related behavior and physiology. However,
virtually nothing is known about the potential involvement of mGlu7 in chronic
psychosocial stress-related conditions. Using the chronic subordinate colony
housing (CSC, 19 days) in male mice, we addressed whether central mGlu7 is
altered upon chronic psychosocial stressor exposure and whether genetic ablation
of mGlu7 interferes with the multitude of chronic stress-induced alterations. CSC
exposure resulted in a downregulation of mGlu7 mRNA transcript levels in the
prefrontal cortex, a brain region relevant for stress-related behaviors and
physiology. Interestingly, mGlu7 deficiency relieved multiple chronic stress
induced alterations including the CSC-induced anxiety-prone phenotype; mGlu7
ablation also ameliorated CSC-induced physiological and immunological
consequences such as hypothalamo-pituitary-adrenal (HPA) axis dysfunctions and
colonic inflammation, respectively. Together, our findings provide first evidence
for the involvement of mGlu7 in a wide range of behavioral and physiological
alterations in response to chronic psychosocial stressor exposure. Moreover, the
stress-protective phenotype of genetic mGlu7 ablation suggests mGlu7
pharmacological blockade to be a relevant option for the treatment of chronic
stress-related emotional and somatic dysfunctions. This article is part of the
Special Issue entitled 'Metabotropic Glutamate Receptors, 5 years on'.
PMID- 27188843
TI - Blood coagulation factor XII drives adaptive immunity during neuroinflammation
via CD87-mediated modulation of dendritic cells.
AB - Aberrant immune responses represent the underlying cause of central nervous
system (CNS) autoimmunity, including multiple sclerosis (MS). Recent evidence
implicated the crosstalk between coagulation and immunity in CNS autoimmunity.
Here we identify coagulation factor XII (FXII), the initiator of the intrinsic
coagulation cascade and the kallikrein-kinin system, as a specific immune cell
modulator. High levels of FXII activity are present in the plasma of MS patients
during relapse. Deficiency or pharmacologic blockade of FXII renders mice less
susceptible to experimental autoimmune encephalomyelitis (a model of MS) and is
accompanied by reduced numbers of interleukin-17A-producing T cells. Immune
activation by FXII is mediated by dendritic cells in a CD87-dependent manner and
involves alterations in intracellular cyclic AMP formation. Our study
demonstrates that a member of the plasmatic coagulation cascade is a key mediator
of autoimmunity. FXII inhibition may provide a strategy to combat MS and other
immune-related disorders.
PMID- 27188845
TI - Physiological maturation and drug responses of human induced pluripotent stem
cell-derived cortical neuronal networks in long-term culture.
AB - The functional network of human induced pluripotent stem cell (hiPSC)-derived
neurons is a potentially powerful in vitro model for evaluating disease
mechanisms and drug responses. However, the culture time required for the full
functional maturation of individual neurons and networks is uncertain. We
investigated the development of spontaneous electrophysiological activity and
pharmacological responses for over 1 year in culture using multi-electrode arrays
(MEAs). The complete maturation of spontaneous firing, evoked responses, and
modulation of activity by glutamatergic and GABAergic receptor
antagonists/agonists required 20-30 weeks. At this stage, neural networks also
demonstrated epileptiform synchronized burst firing (SBF) in response to pro
convulsants and SBF suppression using clinical anti-epilepsy drugs. Our results
reveal the feasibility of long-term MEA measurements from hiPSC-derived neuronal
networks in vitro for mechanistic analyses and drug screening. However,
developmental changes in electrophysiological and pharmacological properties
indicate the necessity for the international standardization of culture and
evaluation procedures.
PMID- 27188847
TI - In vivo dosimetry using Gafchromic films during pelvic intraoperative electron
radiation therapy (IOERT).
AB - OBJECTIVE: To characterize in vivo dose distributions during pelvic
intraoperative electron radiation therapy (IOERT) for rectal cancer and to assess
the alterations introduced by irregular irradiation surfaces in the presence of
bevelled applicators. METHODS: In vivo measurements were performed with
Gafchromic films during 32 IOERT procedures. 1 film per procedure was used for
the first 20 procedures. The methodology was then optimized for the remaining 12
procedures by using a set of 3 films. Both the average dose and two-dimensional
dose distributions for each film were determined. Phantom measurements were
performed for comparison. RESULTS: For flat and concave surfaces, the doses
measured in vivo agree with expected values. For concave surfaces with step-like
irregularities, measured doses tend to be higher than expected doses. Results
obtained with three films per procedure show a large variability along the
irradiated surface, with important differences from expected profiles. These
results are consistent with the presence of surface hotspots, such as those
observed in phantoms in the presence of step-like irregularities, as well as
fluid build-up. CONCLUSION: Clinical dose distributions in the IOERT of rectal
cancer are often different from the references used for prescription. Further
studies are necessary to assess the impact of these differences on treatment
outcomes. In vivo measurements are important, but need to be accompanied by
accurate imaging of positioning and irradiated surfaces. ADVANCES IN KNOWLEDGE:
These results confirm that surface irregularities occur frequently in rectal
cancer IOERT and have a measurable effect on the dose distribution.
PMID- 27188846
TI - Imaging follow-up after liver transplantation.
AB - Liver transplantation (LT) represents the best treatment for end-stage chronic
liver disease, acute liver failure and early stages of hepatocellular carcinoma.
Radiologists should be aware of surgical techniques to distinguish a normal
appearance from pathological findings. Imaging modalities, such as ultrasound, CT
and MR, provide for rapid and reliable detection of vascular and biliary
complications after LT. The role of imaging in the evaluation of rejection and
primary graft dysfunction is less defined. This article illustrates the main
surgical anastomoses during LT, the normal appearance and complications of the
liver parenchyma and vascular and biliary structures.
PMID- 27188848
TI - A novel RNAseq-assisted method for MHC class I genotyping in a non-model species
applied to a lethal vaccination-induced alloimmune disease.
AB - BACKGROUND: MHC class I genotyping is essential for a wide range of biomedical,
immunological and biodiversity applications. Whereas in human a comprehensive MHC
class I allele catalogue is available, respective data in non-model species is
scarce in spite of decades of research. RESULTS: Taking advantage of the new high
throughput RNA sequencing technology (RNAseq), we developed a novel RNAseq
assisted method (RAMHCIT) for MHC class I typing at nucleotide level. RAMHCIT is
performed on white blood cells, which highly express MHC class I molecules
enabling reliable discovery of new alleles and discrimination of closely related
alleles due to the high coverage of alleles with reads. RAMHCIT is more
comprehensive than previous methods, because no targeted PCR pre-amplification of
MHC loci is necessary, which avoids preselection of alleles as usually
encountered, when amplification with MHC class I primers is performed prior to
sequencing. In addition to allele identification, RAMHCIT also enables
quantification of MHC class I expression at allele level, which was remarkably
consistent across individuals. CONCLUSIONS: Successful application of RAMHCIT is
demonstrated on a data set from cattle with different phenotype regarding a
lethal, vaccination-induced alloimmune disease (bovine neonatal pancytopenia),
for which MHC class I alleles had been postulated as causal agents.
PMID- 27188850
TI - Amyotrophic Lateral Sclerosis in Northern Spain 40 Years Later: What Has Changed?
AB - BACKGROUND: In the last years different studies have reported an increase of
amyotrophic lateral sclerosis (ALS) incidence, highlighting the role of the
environment in this disease. This prompted us to review ALS cases diagnosed at
our hospital in the last decade and to compare them with a previous ALS series
reported in our region 30 years ago. METHODS: We reviewed those ALS cases
diagnosed at our centre between 2004 and 2013. Subsequently, we compared them
with the previous series regarding clinical and epidemiological features.
RESULTS: A total of 53 patients (30 males, 23 females) were included. The annual
incidence was 1.7 cases per 100,000 inhabitants (2.2 and 1.2 per 100,000 in males
and females, respectively), which was significantly higher than in the previous
series (1 case per 100,000 inhabitants). Otherwise, the clinical and
epidemiological features were similar in both series. The median age at symptom
onset was 67 years, with a median diagnosis delay of 6 months. About two thirds
of the patients presented with systemic ALS, whereas the remaining had a bulbar
onset. Weakness, dysphagia, and dysarthria were the most common clinical symptoms
at diagnosis. The median survival from symptom onset was 22 months. CONCLUSION:
After 3 decades, the annual incidence of ALS has almost doubled in our region. We
did not find significant differences regarding other clinical or epidemiological
features.
PMID- 27188849
TI - Altered Cyclooxygenase-2 Expression in Pulmonary Sarcoidosis is not Related to
Clinical Classifications.
AB - Elevated COX-2 activity is associated with the development of chronic lung
diseases leading to bronchial obstruction, including sarcoidosis. The aim of the
study was to examine expression pattern of COX-2 messenger RNA (mRNA). Expression
was performed by q-PCR method in bronchoalveolar lavage (BAL) cells and
peripheral blood (PB) lymphocytes in sarcoidosis patients (n = 61) and control
group (n = 30). Analysis of COX-2 mRNA expression level in BAL fluid and PB
revealed downregulation in sarcoidosis and control groups. In PB lymphocytes, the
statistically significant difference between patients and controls was observed
(P = 0.003, Mann-Whitney U test), with higher expression in patients. There were
no statistically significant differences between patients without and with
parenchymal involvement (stages I vs. II-IV), between patients with acute vs.
insidious onset of disease and between patients with abnormal vs. normal
spirometry (P > 0.05, Mann-Whitney U test). Results suggest that expression of
COX-2 mRNA in patients with pulmonary sarcoidosis is not related to clinical
classifications.
PMID- 27188852
TI - Risk factors in ectopic pregnancy and differences between adults and adolescents,
is consanguinity important?
AB - The aim of the study was to determine the risk factors of ectopic pregnancy (EP)
and to compare them between women over and under 20 years of age. 308 cases of EP
(case group) were compared with 616 cases of normal pregnancy. Smoking [Ad OR
=5.7 (CI 95%=2.8-11.6), p < 0.001], previous EP [Ad OR= 11.4 (CI 95%=3-42.4), p =
0.000], previous STD [Ad OR =3.2 (CI 95%=2.1-4.9), p < 0.001], previous use of
IUD [Ad OR =4.1 (CI 95%=2.1-8), p < 0.001], history of infertility [Ad OR= 1.8
(CI 95%=1.1-3), p = 0.01], previous caesarean delivery [Ad OR= 1.5 (CI 95%=1.1
2.3), p = 0.03] and consanguinity [Ad OR =2.2 (CI 95%=1.3-3.6), p = 0.002] were
considered as risk factors for EP. Prior abortion [p = 0.000], prior STD [p =
0.004], previous caesarean delivery [p = 0.000] and consanguinity [p = 0.04] were
more in the >20-year-old group. Negative Rh [p = 0.02], good economic status [p =
0.000] and prior STD [p = 0.03] were more common in Afghan women. However,
previous caesarean delivery [p = 0.04] was more in Iranian women. Smoking,
previous EP, history of STD, IUD, infertility, previous caesarean delivery and
consanguinity are all risk factors for EP.
PMID- 27188853
TI - A novel strategy to enhance the luminescence performance of NaGdF4:Ln(3+)
nanocrystals.
AB - Promoting excitation efficiency is a direct route to enhance the luminescence
properties of lanthanide doped materials. Here, we describe a novel strategy to
enhance the luminescence performance of NaGdF4:Ln(3+) (Ln = Tb, Eu, Dy)
nanoparticles by surface modification with benzoate (BA) and 1,10-phenanthroline
(Phen) ligands via the sensitization of surface dopants. It was found that the
surface ligands provide a new path for energy transfer to the activators due to
the matching in energy levels between the two. Moreover, the overlap in
excitation peaks for both Gd(3+) ions and ligands ensures the simultaneous energy
transfer of Gd(3+)-> Ln(3+) and ligands -> Ln(3+) under a single wavelength
excitation. The obtained NaGdF4 nanocomposite was characterized in detail using
XRD, FT-IR, TGA, SEM, and EDS. The luminescence performance of the surface
modified NaGdF4:Ln(3+) nanoparticles was also optimized by tuning the loading
amount of modified ligands. In addition, luminescence thermometry was realized by
monitoring emission intensity at different temperatures.
PMID- 27188851
TI - Chiral amino acid metabolomics for novel biomarker screening in the prognosis of
chronic kidney disease.
AB - D-Amino acids, the enantiomers of L-amino acids, are increasingly recognized as
novel biomarkers. Although the amounts of D-amino acids are usually very trace in
human, some of them have sporadically been detected in blood from patients with
kidney diseases. This study examined whether multiple chiral amino acids would be
associated with kidney functions, comorbidities, and prognosis of chronic kidney
disease (CKD) by enantioselective analyses of all chiral amino acids with a micro
two-dimensional high-performance liquid chromatograph (2D-HPLC)-based analytical
platform. 16 out of 21 D-amino acids were detected in plasma from 108 CKD
patients in a longitudinal cohort. The levels of D-Ser, D-Pro, and D-Asn were
strongly associated with kidney function (estimated glomerular filtration ratio),
the levels of D-Ala and D-Pro were associated with age, and the level of D-Asp
and D-Pro were associated with the presence of diabetes mellitus. D-Ser and D-Asn
were significantly associated with the progression of CKD in mutually-adjusted
Cox regression analyses; the risk of composite end point (developing to ESKD or
death before ESKD) was elevated from 2.7- to 3.8-fold in those with higher levels
of plasma D-Ser and D-Asn. These findings identified chiral amino acids as
potential biomarkers in kidney diseases.
PMID- 27188855
TI - Measurement of 1,5-anhydroglucitol in blood and saliva: from non-targeted
metabolomics to biochemical assay.
AB - BACKGROUND: Diabetes testing using saliva, rather than blood and urine, could
facilitate diabetes screening in public spaces. We previously identified 1,5
anhydro-D-glucitol (1,5-AG) in saliva as a diabetes biomarker. The GlycomarkTM
assay kit is FDA approved for 1,5-AG measurement in blood. Here we evaluated its
applicability for 1,5-AG quantification in saliva. METHODS: Using pooled saliva
samples, we validated GlycomarkTM assay use with a RX Daytona(+) clinical
chemistry analyser. We then used this set-up to analyse 82 paired blood and
saliva samples from a diabetes case-control study, for which broad mass
spectrometry-based characterization of the blood and saliva metabolome was also
available. Osmolality was measured to account for potential variability in saliva
samples. RESULTS: The technical variability of the read-outs for the pooled
saliva samples (CV = 2.05 %) was comparable to that obtained with manufacturer
provided blood surrogate quality controls (CV = 1.38-1.8 %). We found a high
correlation between Glycomark assay and mass spectrometry measurements of serum
1,5-AG (r(2) = 0.902), showing reproducibility of the non-targeted metabolomics
results. The significant correlation between the osmolality measurements
performed at two independent platforms with the time interval of 2 years (r(2) =
0.887), also indicates the sample integrity. The assay read-out for saliva was
not correlated with the mass spectrometry-based 1,5-AG saliva measurements.
Comparison with the full saliva metabolome revealed a high correlation of the
saliva assay read-outs with galactose. CONCLUSIONS: GlycomarkTM assay read-outs
for saliva were stable and replicable. However, the signal was dominated by
galactose, which is biochemically similar to 1,5-AG and absent in blood. Adapting
the 1,5-AG kit for saliva analysis will require enzymatic depletion of galactose.
This should be feasible, since the assay already includes a similar step for
glucose depletion from blood samples.
PMID- 27188856
TI - Rothia aerolata sp. nov., isolated from exhaust air of a pig barn.
AB - A Gram-stain-positive, coccoid, oxidase-negative, non-motile isolate from exhaust
air of a pig barn, collected on 17 September 2014 and designated strain 140917
MRSA-09T, was subjected to a comprehensive taxonomic investigation. A comparative
analysis of the 16S rRNA gene sequence showed highest similarities to Rothia
amarae, Rothia terrae and Rothia endophytica (all <97.8 %). The G+C content of
the genomic DNA was 58.9 mol %. The quinone system consisted of the major
menaquinones MK-8 and MK-7. The polar lipid profile of strain 140917-MRSA-09T
contained the major lipids diphosphatidylglycerol and phosphatidylglycerol and
moderate amounts of dimannosylglyceride and trimannosyldiacylglycerol. The
polyamine pattern was composed of the major amines putrescine and spermidine. In
the fatty acid profile, iso- and anteiso-branched acids predominated (anteiso-C15
: 0, anteiso-C17 : 0, iso-C16 : 0). The strain showed a chemoheterotrophic
metabolism and was able to grow aerobically well on nutrient-rich media at
temperatures from 15-36 degrees C (weak at 42 degrees C), pH 5.5-9.5 and NaCl
concentrations ranging from 0 to 7 % (w/v). Growth under anaerobic conditions was
weak. Physiological traits as well as unique traits in the quinone pattern and
the fatty acid pattern distinguished strain 140917-MRSA-09T from the most closely
related species. All these data showed that strain 140917-MRSA-09T is a
representative of a novel species of the genus Rothia, for which we propose the
name Rothia aerolata sp. nov. The type strain is 140917-MRSA-09T (=LMG 29446T=CCM
8669T).
PMID- 27188854
TI - Pre-clinical evaluation of CYP 2D6 dependent drug-drug interactions between
primaquine and SSRI/SNRI antidepressants.
AB - BACKGROUND: The liver-stage anti-malarial activity of primaquine and other 8
aminoquinoline molecules has been linked to bio-activation through CYP 2D6
metabolism. Factors such as CYP 2D6 poor metabolizer status and/or co
administration of drugs that inhibit/interact with CYP 2D6 could alter the
pharmacological properties of primaquine. METHODS: In the present study, the
inhibitory potential of the selective serotonin reuptake inhibitor (SSRI) and
serotonin norepinephrine reuptake inhibitor (SNRI) classes of antidepressants for
CYP 2D6-mediated primaquine metabolism was assessed using in vitro drug
metabolism and in vivo pharmacological assays. RESULTS: The SSRI/SNRI classes of
drug displayed a range of inhibitory activities on CYP 2D6-mediated metabolism of
primaquine in vitro (IC50 1-94 MUM). Fluoxetine and paroxetine were the most
potent inhibitors (IC50 ~1 uM) of CYP 2D6-mediated primaquine metabolism, while
desvenlafaxine was the least potent (IC50 ~94 uM). The most potent CYP 2D6
inhibitor, fluoxetine, was chosen to investigate the potential pharmacological
consequences of co-administration with primaquine in vivo. The pharmacokinetics
of a CYP 2D6-dependent primaquine metabolite were altered upon co-administration
with fluoxetine. Additionally, in a mouse malaria model, co-administration of
fluoxetine with primaquine reduced primaquine anti-malarial efficacy.
CONCLUSIONS: These results are the first from controlled pre-clinical experiments
that indicate that primaquine pharmacological properties can be modulated upon co
incubation/administration with drugs that are known to interact with CYP 2D6.
These results highlight the potential for CYP 2D6-mediated drug-drug interactions
with primaquine and indicate that the SSRI/SNRI antidepressants could be used as
probe molecules to address the primaquine-CYP 2D6 DDI link in clinical studies.
Additionally, CYP 2D6-mediated drug-drug interactions can be considered when
examining the possible causes of human primaquine therapy failures.
PMID- 27188857
TI - Inflammatory cytokine levels, disease activity, and function of patients with
rheumatoid arthritis treated with combined conventional disease-modifying
antirheumatic drugs or biologics.
AB - The objective of this study was to compare the effects of treatment by combined
conventional disease-modifying antirheumatic drugs (cDMARDs) or biologics on
cytokines, disease activity, and function in rheumatoid arthritis (RA). Sera from
a cohort of 81 patients with long-standing RA treated with combined cDMARDs or
biologics were measured for 12 cytokines. Comparisons of serum cytokine
concentrations with treatment types (combination 2, 3 cDMARDs or biologics),
serologic status (positivity for RF and anti-cyclic citrullinated peptide
antibody (anti-CCP Ab)), DAS28-ESR, and function were performed. Spearman
correlation coefficients between individual cytokines and clinical parameters
were explored. Approximately half of the patients were prescribed two cDMARDs.
Mean duration of current treatment was 42 months. More than 70 % had moderate
disease activity or normal function/slight disability. Serum concentrations of
interleukin (IL)-1beta, IL-2, IL-4, IL-6, IL-8, IL-10, IL-17A, IL-23, IL-33,
interferon (IFN)-gamma, granulocyte monocyte-colony stimulating factor (GM-CSF),
and TNF-alpha in patients taking combined cDMARDs did not significantly differ
from those on biologics. Seventy-nine serum samples (97.5 %) had undetectable
levels of 1 to 10 cytokines. Concentrations of several cytokines were
significantly higher in patients with moderate to high disease activity,
seropositive or poor functional status. Weak correlations between cytokine levels
and RA disease activity or function were demonstrated. The highest correlation
coefficients were observed with IL-33, IL-8, and IL-6. Long-term treatment with
cDMARDs did not differ from biologics with respect to cytokine concentrations,
disease activity, and function. The cytokine profiles in established RA were
mainly those produced from effector cells, especially IL-6, IL-8, and IL-33. Both
IL-8 and IL-33 may be potential biomarkers and/or treatment targets in patients
with late RA.
PMID- 27188859
TI - Politics, culture, and the legitimacy of disease: the case of Buerger's disease.
AB - Thromboangiitis obliterans (TAO) or Buerger's disease is a rare form of
vasculitis with distinctive clinical and pathological features that carries
significant morbidity, often leading to amputation, and is strongly associated
with tobacco smoking. Despite its distinctive clinicopathological
characteristics, the existence of TAO as an entity sui generis was challenged for
many years as it languished in relative obscurity. Then, as societal attitudes
towards smoking changed, TAO not only became accepted as a disease entity, it
quite literally became a poster child to illustrate the ills of smoking. Herein,
we examine the history of TAO to illustrate the power of societal attitudes and
politics in shaping medicine.
PMID- 27188858
TI - Association of IL1Beta (-511 A/C) and IL6 (-174 G > C) polymorphisms with higher
disease activity and clinical pattern of psoriatic arthritis.
AB - The objective of this study is to analyze whether IL1beta (-511G > A) and IL6 (
174 G > C) polymorphisms are associated with inflammatory activity, radiographic
damage or clinical pattern of psoriatic arthritis (PsA). One hundred twenty-five
patients classified as PsA according to the Classification of Psoriatic Arthritis
(CASPAR) criteria were included. Patients were stratified according to their
clinical pattern at inclusion as peripheral, axial, or mixed involvement. Disease
activity in peripheral or mixed forms was measured using the number of swollen
and tender joints, pain analog visual scale, erythrocyte sedimentation rate
(ESR), C-reactive protein (CRP), and disease activity score 28 (DAS28). Bath
Ankylosing Spondylitis Disease Activity Index (BASDAI) was used for axial and
mixed forms, as were pain visual analog scale, ESR and CRP. Radiographic damage
was evaluated using a modified Sharp score and modified stoke ankylosing
spondylitis spinal score (SASSSm). The polymorphisms for the promoter region of
IL1beta (-511 G/A) and IL-6 (-174 G/C) were analyzed. The G allele of IL1B (
511G/A) polymorphism was associated with higher peripheral joint disease activity
(OR 3.13; p < 0.0004; CI 95 % 1.43-6.82, p (corrected) <0.008), while the G
allele of the IL6 (174G > C) polymorphism presented a strong trend to be
associated with peripheral forms (70.86 %) (OR 1.89; p < 0.03; CI 95 % 1.06-3.39,
p-corrected 0.05). In addition, this allele showed a lower association with HLA
B27 (15.78 %) compared with C allele (28.57 %) (OR 0.469; p = 0.02; CI 95 % 0.238
0.923, p-corrected 0.03). This study suggests that the G allele polymorphism of
IL1B (-511 A/C) is associated with higher peripheral joint disease activity. On
the other hand, the IL6 (-174 G/C) polymorphism showed a strong trend to be
associated with the peripheral pattern of PsA.
PMID- 27188861
TI - Editorial.
AB - To optimize treatment, we need to understand biology of different diseases in
much more detail with emphasis on morphological, proteomic, genetic and
epigenetic grounds. Keeping in view the facts and stimulating developments in
molecular pathology, it is worthwhile to present an up-date on this topic.It is
becoming progressively more understandable that exciting fields of
pharmacogenomics and pharmacogenetics have revolutionized field of medicine.
Better understanding of underlying mechanisms of different diseases has provided
us with better ways to treat illnesses. There cannot be a distinct definition of
'discipline' of pathology, mainly because investigation of human disease
encompasses all the scientific disciplines of biomedical research. Sen et al
reported that hyperbaric oxygen (HBO) administration affected the
endocrinological functions of fat tissue. Observation of significant increases in
leptin, visfatin and IL-10 levels, leads to the consideration that in near future
HBO administration may be applied as treatment for obesity, DM, eating disorders
and obesity related diseases...
PMID- 27188860
TI - Effect of prenatal selective serotonin reuptake inhibitor (SSRI) exposure on
birthweight and gestational age: a sibling-controlled cohort study.
AB - Background: Up to 10% of women are exposed to selective serotonin reuptake
inhibitors (SSRIs) during pregnancy. Information on their effect on birthweight
and gestational age remains conflicting. The aim of this sibling-controlled
prospective cohort study is to address shared geneticand family-level confounding
to investigate the effects of prenatal SSRI exposure and maternal depression on
birthweight and gestational age. Methods: We used the Norwegian Mother and Child
Cohort Study (MoBa) and the Medical Birth Registry of Norway (MBRN). Our study
population consisted of 27 756 siblings; 194 were prenatally exposed to SSRIs and
27 500 were unexposed to any antidepressant medication. Random and fixed effects
analysis with propensity score adjustment was used to evaluate the effectson
birthweight and gestational age. Results: SSRI exposure during two or more
trimesters was associated with a decrease in birthweight of 205 g [95% confidence
interval (CI) -372 to - 38] and a decrease in gestational length of 4.9 days (95%
CI - 9.1 to - 1.4). Neither maternal SSRI use in one trimester, lifetime history
of major depression nor depressive symptoms during pregnancy were associated with
these pregnancy outcomes (for non-pharmacologically treated depression in two
periods in pregnancy, +5 g (95% CI - 56 to + 67) and +4.9 days (95% CI - 4.7 to +
14.7), respectively). Conclusions: Prenatal exposure to SSRIs during two or more
trimesters may decrease birthweight and gestational length. Our results indicate
that neither maternal depression nor shared genetics and family environment fully
explain this association.
PMID- 27188862
TI - The effect of glycyrrhizic acid on traumatic spinal cord injury in rats.
AB - Spine injury associated with traumatic spinal cord injury eventuates in oxidative
stress, inflammation and neuronal apoptosis. The aim of this study is to find out
whether the glycyrrhizic acid treatment protects spinal cord from traumatic
injuries in rats. To this end, the rats were divided into three groups: group I;
control group (no drug or operation, n=8), group II; traumatic spinal cord injury
group (TSCI, n=8) and group III; glycyrrhizic acid group (TSCI-GA, 80 mg/kg,
n=8). Total laminectomy was performed at T10 level. A balloon angioplasty
catheter was inserted into the T9 level thoracic spinal cord extradurally. The
rats were evaluated with the Tarlov Scale. After 24 hours, spinal cord tissues
were taken for biochemical and histopathological examinations. TSCI effectuates
unwanted results on tissues, antioxidant systems and cell membranes. Antioxidant
enzyme level decreased and lipid peroxidation increased. However, TSCI led to
inflammation and apoptosis. Glycyrrhizic acid treatment provided a significant
decrease in lipid peroxidation in group III in comparison with group II.
Moreover, nuclear respiratory factor 1 levels and superoxide dismutase activity
of group III were significantly higher than group II (p<0.05). The
histopathological and immunohistochemical results revealed that the numbers of
apoptotic and necrotic neuron, edema, hemorrhage, inflammatory cells, NF-kappaB
and S100B expressions were significantly lower than group II (p<0.05). Our study
showed that the glycyrrhizic acid treatment reduced oxidative stress and
inflammation, and promoted the neuronal functions in traumatic spinal cord
injury.
PMID- 27188863
TI - The effects of Advanced Glycation End Products (RAGE)-374T/A and Gly82Ser
variants and soluble-RAGE levels to obesity in children.
AB - In recent years, studies related to advanced glycation end products (AGE) and
their interaction with their receptors (RAGE) have advanced our knowledge of the
roles of these molecules in different diseases. However, studies concerning AGE
RAGE interaction in obesity are limited and the results are conflicting. RAGE
gene is located on 6p21.3, has several polymorphic sites including -374T/A, a
functional polymorphism in the promoter region, and Gly82Ser, present within the
ligand-binding domain. In the present study, the determination of possible risks
in the development of obesity according to RAGE polymorhisms and plasma levels of
RAGE (sRAGE) was aimed. 87 obese and 78 healthy children were included in this
study. Genomic DNA was isolated with salting-out procedure. RAGE polymorphisms
were analyzed by PCR based techniques. In contrast to Gly82Ser, -374T/A allelic
and genotypic frequencies were not different between study groups.
Ser(SerSer+GlySer genotype) allele frequency was higher in obese cases than
controls (74.20%->25.80%,OR:2.573,95%CI:1.789-3.699;p<0.01). In obese cases,
blood glycose (92.50+/-2.80->87.00+/-1.16; p=0.025) and HDL-C (46.14+/-2.75
>39.84+/-1.82;p=0.057) levels were higher than TT genotype carriers. As for
Gly82Ser polymorphism, HDL-C (p=0.004) and FT4 (p=0.020) levels were different in
obese cases, the order was SerSer>GlySer>GlyGly for HDL-C, and opposite for FT4.
Besides, Ser carriers had lower insulin (p=0.038) and homa-IR (p=0.081) levels
than GG genotype. sRAGE levels were different between obese and control
seperately or in combination with RAGE polymorphisms (p<0.05), the order of sRAGE
was TT>TA>AA for -374T/A and SerSer>GlyGly>GlySer for Gly82Ser. According to our
results SerSer genotype could have significant effects on sRAGE levels, and
increased sRAGE levels and Gly82Ser polymorphism either combinatorially or
seperately increased the propensity towards obesity.
PMID- 27188864
TI - Investigation of endocrine and immunological response in fat tissue to hyperbaric
oxygen administration in rats.
AB - Though HBO treatment is becoming more common, the mechanism of action is not
fully known. The positive effects of HBO administration on the inflammatory
response is thought to be a possible basic mechanism. As a result, we aimed to
research whether endocrine and immunological response of fat tissue changes in
rats given HBO treatment model. This research was carried out on Wistar albino
rats, they were treated with hyperbaric oxygen therapy. Their fatty tissue were
taken from the abdomen, gene expression of the cytokines and adipokines were
analyzed with Real time PCR method. When the gene expression of hormones and
cytokines by fat tissue was examined, the leptin, visfatin, TNF-alpha, IL-1beta
and IL-10 levels in the HBO treatment group were statistically significantly
increased compared to the control group (p=0.0313, p=0.0156, p=0.0156, p=0.0156,
p=0.0313). In conclusion, in our study we identified that HBO administration
affected the endochrinological functions of fat tissue.
PMID- 27188865
TI - Relationship between betatrophin levels and metabolic parameters in patients with
polycystic ovary syndrome.
AB - To evaluate the status of serum betatrophin levels and potential relations
between metabolic parameters and betatrophin levels in patients with polycystic
ovary syndrome. We included patients newly diagnosed with PCOS in our study.
Fifty-seven female patients (30 patients with PCOS and 27 healthy control
subjects) were enrolled in this study. Serum betatrophin levels were measured
using a betatrophin enzyme-linked immunosorbent assay kit. Insulin resistance was
calculated using the homeostasis model of the assessment-insulin resistance index
formula. The betatrophin level was 1538,85 ng/L in the patient group and 2440,46
ng/L in the control group, and the difference was statistically significant
(p=0.003). A significantly negative correlation was found between betatrophin
level and insulin, HOMA-IR, and BMI. Betatrophin levels in patients with PCOS are
lower than those without PCOS and inversely related to insulin resistance.
PMID- 27188867
TI - Hypoxia and laser enhance expression of SDF-1 in muscles cells.
AB - Targeted homing of transplanted mesenchymal stem cells (MSCs) is a decades old
discussion in regenerative medicine. It has been proved that stromal cell-derived
factor-1 (SDF-1alpha) is a potent chemoattractant of MSCs. Therefore, different
strategies have been used to increase secretion of SDF-1alpha in damaged tissues
to elevate targeted homing of MSCs. Previous studies have revealed that increased
SDF-1alpha expression in hypoxic necrotic tissues and also low-level laser
exposure enhanced angiogenesis in injured tissues. Herein, human skeletal and
cardiac muscle cells (HSKM and HCM) were treated with hypoxia and low level laser
to see their effects on expression of SDF-1alpha and on MSCs migration towards
these treated cells. The optimal treatment conditions were determined by
investigating the cellular viability after treatment. Real-Time PCR and Western
blot analysis were done to study the expression of SDF-1alpha in treated cells.
Migration potential of MSCs toward hypoxic and laser treated cells was
investigated via migration assay. MTT assay revealed that laser and hypoxia
treatment had no effect on the viability of HCM, HSKM compared with Glioblastoma
cells. Real-Time PCR showed 16- and 90-fold elevation in mRNA of SDF-1alpha in
HSKM and HCM cells, respectively, in laser treated with 12 J/cm2 intensity. In
these two groups, selected as optimal conditions, HIF-1alpha expression showed
maximum fold changes that might be partly because of response to treatments help
to SDF-1alpha expression. It can be concluded that hypoxia and laser treatments
may recruit MSCs and applied as a useful strategy for the further targeted stem
cell homing.
PMID- 27188866
TI - Are there possible associations between MnSOD and GPx1 gene variants for
laryngeal cancer risk or disease progression?
AB - Laryngeal squamous cell carcinoma (LSCC) is a multifaceted and genomically
complex disease and cellular and preclinical studies have demystified wide
ranging molecular mechanisms which underpin its development and progression and
resistance against wide ranging molecular therapeutics. Oxidative stress is a
widely studied molecular mechanism and reportedly involved in carcinogenesis.
Increasingly it is being realized that accumulation of Reactive Oxygen Species
(ROS) activates defensive mechanism to counteract oxidative stress induced
damage. Manganese superoxide dismutase (MnSOD) and glutathione peroxidase (GPx)
are important members of defensive machinery. We investigated whether the
polymorphisms of MnSOD (Ala-9Val, rs4880) and GPx1 (Pro198Leu, rs1050450) are
associated with LSCC and also evaluated possible interactions between these
polymorphisms and various lifestyle factors or pathological features of patients.
For this purpose, 67 LSCC patients and 73 healty controls were enrolled.
Molecular assessment of MnSOD and GPx1 variants were determined with polymerase
chain reaction-restriction fragment length polymorphism techniques. We found that
the frequency of both heterozygous PL genotype and P allele was considerably
higher in patients with advanced tumor stage (T3/T4) than in those with early
tumor stage (T1/T2) (OR= 5.106; 95% CI=1.372-19.004; p<0.001, OR=5.787; 95% CI
=1.564-21.414; p<0.001 respectively). Although the frequency of ValVal/LL combine
genotype was significantly decreased (OR=0.204, 95% CI=0.055-0.760; p=0.021), the
frequency of ValAla/PL combine genotypes was higher in patients with stage T3/T4
than in those patients with stage T1/T2 (p=0.027). Consequently, we have
concluded that variants of GPx1 and MnSOD should not be considered as a risk
factor of LSCC, only may be accepted as a prognostic markers. Use of new
technologies such as metabolomics and deep DNA sequencing will prove to be
helpful in developing a deeper knowledge related to how cancer cell metabolism
adapts and provides a buffer against increased oxidative stress.
PMID- 27188868
TI - Tudor tells about new twists in the story tale of SMURFs.
AB - Smad ubiquitin regulatory factors (SMURFS) belong to the HECT- family of E3
ubiquitin ligases. This family has two members, SMURF1 and SMURF2. SMURFs have
emerged as well studied negative regulators of TGF induced intracellular
signaling. However, increasingly it is being realized that SMURFs tactfully
modulate an array of proteins in different cancers. This review sets spotlight on
how SMURF1 and SMURF2 communicate with effectors of different signaling pathways
during the multistep progression to cancer. We also summarize how microRNAs
(miRNAs) effectively control SMURFs in different cancers. Role of SMURFs is
context dependent in different cancers and better concepts related to miRNA
regulation of SMURFs in different stages and steps of cancer will be helpful in
efficient translation of laboratory findings to clinic.
PMID- 27188870
TI - Ganoderma lucidum total triterpenes attenuate DLA induced ascites and EAC induced
solid tumours in Swiss albino mice.
AB - G. lucidum total triterpenes were assessed for its apoptosis-inducing and anti
tumour activities. The ability of the total triterpenes to induce apoptosis was
evaluated in Dalton's lymphoma ascites (DLA) and Ehrlich's ascites carcinoma
(EAC) cell lines. Total triterpenes were found to be highly cytotoxic to DLA and
EAC cell lines with IC50 values 5 +/- 0.32 and 7.9 +/- 0.2 ug/ml respectively.
Total triterpenes induced apoptosis in both cell lines which is evident from the
DNA fragmentation assay. Anti-tumour activity was accessed using DLA induced
solid and EAC induced ascites tumour models in Swiss albino mice. Administration
of 10, 50 and 100 mg/kg b. wt. total triterpenes showed 11.86, 27.27 and 40.57%
increase in life span of animals in ascites tumour model. Treatment with 10, 50
and 100 mg/kg b. wt. total triterpenes exhibited 76.86, 85.01 and 91.03%
inhibition in tumour volume and 67.96, 72.38 and 77.90% inhibition in tumour
weight respectively in the solid tumour model. The study reveals the significant
dose-dependent anti-tumour activity of total triterpenes in both models. Total
triterpenes were more active against the solid tumour than the ascites tumour.
The anti-oxidant potential and ability to induce cell-specific apoptosis could be
contributing to its anti-tumour activities.
PMID- 27188869
TI - Adipose derived mesenchymal stem cells express keratinocyte lineage markers in a
co-culture model.
AB - Cutaneous wound healing is a complex type of biological event involving
proliferation, differentiation, reprograming, trans/de-differentiation,
recruitment, migration, and apoptosis of a number of cells (keratinocytes,
fibroblasts, endothelial cells, nerve cells and stem cells) to regenerate a multi
layered tissue that is damaged by either internal or external factors. The exact
regeneration mechanism of damaged skin is still unknown but the epithelial and
other kinds of stem cells located in skin play crucial roles in the healing
process. In this work, a co-culture model composed of adipose derived mesenchymal
stem cells and keratinocytes was developed to understand the cellular
differentiation behaviour in wound healing. Human mesenchymal stem cells were
isolated from waste lipoaspirates. Keratinocytes were isolated from neonatal rats
skin as well from human adult skin. Both types of cells were cultured and their
culturing behaviour was observed microscopically under regular intervals of time.
The identity of both cells was confirmed by flow cytometry and qRT-PCR. Cells
were co-cultured under the proposed co-culturing model and the model was observed
for 7, 14 and 21 days. The cellular behaviour was studied based on change in
morphology, colonization, stratification, migration and expression of molecular
markers. Expression of molecular markers was studied at transcriptional level and
change in cellular morphology and migration capabilities was observed under the
invert microscope regularly. Successfully isolated and characterized mesenchymal
stem cells were found to express keratinocyte lineage markers i.e. K5, K10, K14,
K18, K19 and Involucrin when co-cultured with keratinocytes after 14 and 21 days.
Their expression was found to increase by increasing the time span of cell
culturing. The keratinocyte colonies started to disappear after 10 days of
culturing which might be due to stratification process initiated by possibly
transdifferentiated stem cells. It can be concluded that mesenchymal stem cells
can regenerate the damaged skin if transplanted to damaged area but for their
successful differentiation and enhanced regeneration, they need a population of
keratinocytes in situ which need further experiments for validation of co-culture
model and its potential for being used in clinics.
PMID- 27188872
TI - How similar are biosimilars?
PMID- 27188871
TI - Anticancer activity of Cynodon dactylon and Oxalis corniculata on Hep2 cell line.
AB - Bioactive chemicals isolated from plants have attracted considerable attention
over the years and overwhelmingly increasing laboratory findings are emphasizing
on tumor suppressing properties of these natural agents in genetically and
chemically induced animal carcinogenesis models. We studied in vitro anticancer
activity of organic extracts of Cynodon dactylon and Oxalis corniculata on Hep2
cell line and it was compared with normal human corneal epithelial cells (HCEC)
by using MTT assay. Real Time PCR was conducted for p53 and PTEN genes in treated
cancer cell line. DNA fragmentation assay was also carried out to note DNA
damaging effects of the extracts. The minimally effective concentration of
ethanolic extract of Cynodon dactylon and methanolic extract of Oxalis
corniculata that was nontoxic to HCEC but toxic to Hep2 was recorded (IC50) at a
concentration of 0.042mg/ml (49.48 % cell death) and 0.048mg/ml (47.93% cell
death) respectively, which was comparable to the positive control. Our results
indicated dose dependent increase in cell death. P53 and PTEN did not show
significant increase in treated cell line. Moreover, DNA damaging effects were
also not detected in treated cancer cell line. Anticancer activity of these
plants on the cancer cell line showed the presence of anticancer components which
should be characterized to be used as anticancer therapy.
PMID- 27188873
TI - Triazole resistance mediated by mutations of a conserved active site tyrosine in
fungal lanosterol 14alpha-demethylase.
AB - Emergence of fungal strains showing resistance to triazole drugs can make
treatment of fungal disease problematic. Triazole resistance can arise due to
single mutations in the drug target lanosterol 14alpha-demethylase
(Erg11p/CYP51). We have determined how commonly occurring single site mutations
in pathogenic fungi affect triazole binding using Saccharomyces cerevisiae Erg11p
(ScErg11p) as a target surrogate. The mutations Y140F/H were introduced into full
length hexahistidine-tagged ScErg11p. Phenotypes and high-resolution X-ray
crystal structures were determined for the mutant enzymes complexed with short
tailed (fluconazole and voriconazole) or long-tailed (itraconazole and
posaconazole) triazoles and wild type enzyme complexed with voriconazole. The
mutations disrupted a water-mediated hydrogen bond network involved in binding of
short-tailed triazoles, which contain a tertiary hydroxyl not present in long
tailed triazoles. This appears to be the mechanism by which resistance to these
short chain azoles occurs. Understanding how these mutations affect drug affinity
will aid the design of azoles that overcome resistance.
PMID- 27188875
TI - Palliative lumboperitoneal shunt for leptomeningeal metastasis-related
hydrocephalus: A case series.
AB - BACKGROUND: Leptomeningeal metastasis-related hydrocephalus causes distress to
patients with end-stage cancer through headache and other symptoms by elevating
intracranial pressure, thus reducing quality of life. Ventriculoperitoneal shunt
has been used as a treatment option in palliative care. We review four cases of
patients who underwent lumboperitoneal shunt for leptomeningeal metastasis
related hydrocephalus. CASES: All patients suffered from severe headache and
nausea. The primary lesion was histologically diagnosed as lung adenocarcinoma in
each case. The duration from diagnosis to onset of hydrocephalus symptoms ranged
from 0 to 52 (mean 26) months. Cerebrospinal fluid pressure in every case was
above the normal range due to high intracranial pressure. Case management:
Conventional procedures for lumboperitoneal shunt were employed for all patients.
Adjustable pressure valves were retrofitted into the shunt system. Case outcome:
Three patients demonstrated significant improvement of clinical symptoms and
quality of life after placement of lumboperitoneal shunts. In two cases, not only
did performance status improve to independent daily activity but also
comparatively long-term survival was achieved due to subsequent chemotherapies
after surgery. No symptoms of peritoneal dissemination by floating cancer cells
in cerebrospinal fluid were seen in any patients. CONCLUSION: Lumboperitoneal
shunt appears to improve quality of life if the patient is suffering from
symptoms of leptomeningeal metastasis-related hydrocephalus. Compared to
ventriculoperitoneal shunt, lumboperitoneal shunt is less invasive and simpler,
providing a suitable option for frail patients with end-stage cancer. Adjustable
pressure shunt valves can cope with varying symptoms and ventricle sizes.
PMID- 27188874
TI - Novel skin chamber for rat ischemic flap studies in regenerative wound repair.
AB - BACKGROUND: In plastic surgery, skin flap is an important approach to
reconstructive wound repairs. The rat dorsal skin flap is a clinically relevant
and popular animal model to investigate and evaluate flap survival and necrosis.
Nonetheless, flap survival is often unstable with unpredictable outcomes,
regardless of previous attempts at design modification. METHODS & RESULTS: In the
present study, we report a novel flap chamber that provides stable and
reproducible outcomes by separating the dorsal skin flap from its surrounding
skin by in situ immobilization. The flap chamber blocks circulation that disturbs
flap ischemia from both basal and lateral sides of the flap tissue. Demarcation
of skin necrosis is macroscopically evident on the flap and supported by distinct
changes in histological architecture under microscopic examination. The utility
of the novel skin flap chamber is further proven by applying it to the
examination of flap survival in streptozotocin-induced diabetic rats with an
increase in skin necrosis. The flap chamber also affords size modifications where
a narrower flap chamber increases ischemia and provides manipulable therapeutic
windows for studying cell therapies. Accordingly, intradermal injection of
endothelial cells 3 days before flap ischemia significantly increases the
survival of skin flaps. CONCLUSIONS: The novel flap chamber not only may
stabilize the skin flap and provide reproducible outcomes that overcome the
shortfalls of the traditional ischemic flap but also may afford size
modifications that support research designs and test therapeutic approaches to
regenerative repair.
PMID- 27188876
TI - In and out of love with hip-hop: saliency of sexual scripts for young adult
African American women in hip-hop and Black-oriented television.
AB - Hip-hop media and Black-oriented reality television are powerful mechanisms for
conveying and promoting stereotypes of Black women. Black women's sexuality is
frequently presented as highly-salient in each medium. However, little is known
about the impact of those images on Black women's sexuality and identity. The
current study uses focus-group methodology to engage young adult Black in
critical discussion of two predominant sexual scripts found in hip-hop music and
Black-oriented reality television - the Freak and the Gold Digger. Analyses
revealed shared and distinct aspects of each sexual script represented in both
media and the impact of those scripts on participants' experiences. Implications
for future research are discussed.
PMID- 27188877
TI - Preoperative pain catastrophizing and postoperative pain after total knee
arthroplasty: a prospective cohort study with one year follow-up.
AB - BACKGROUND: Pain relief is likely to be the most important long-term outcome for
patients undergoing total knee arthroplasty (TKA). However, research indicates
that persistent pain (> 3 months) is a considerable problem, affecting up to 34 %
of patients. Pain catastrophizing might contribute to acute and persistent pain
experienced after surgery. The primary aim of the present study was to examine
the association between preoperative pain catastrophizing and postoperative pain
in patients undergoing TKA up to one year after surgery. Second, we wanted to
investigate a possible shift in postoperative catastrophizing. METHODS: In this
prospective cohort study, 71 TKA patients were included consecutively between
January and June 2013. Pain was assessed with the Brief Pain Inventory (BPI) and
the item "average pain" was used as the main outcome. Pain catastrophizing was
measured by the Pain Catastrophizing Scale (PCS). Questionnaires were completed
prior to surgery (baseline) and at two days, two weeks, eight weeks and one year
postoperatively. RESULTS: Mean (SD) preoperative pain score was 5.4 (2.2),
reduced to 2.9 (2.3) after eight weeks and 2.4 (2.4) after one year (p < 0.001).
The overall median preoperative PCS score was 17.0 (7.8-28.3). The overall model
estimated PCS mean score was 7.6 at eight weeks and 6.5 at one year follow-up.
The results at eight weeks and one year follow-up were both significantly lower
than the preoperative value (p < 0.001). The preoperative PCS score was not
associated with the postoperative pain score (p = 0.942), while preoperative pain
was a significant covariate in the mixed linear model (p < 0.001). CONCLUSIONS:
No associations were found between preoperative pain catastrophizing and pain
eight weeks or one year after surgery. The decrease in PCS-scores challenges
evidence regarding the stability of pain catastrophizing. However, larger studies
of psychological risk factors for pain after TKA are warranted.
PMID- 27188878
TI - Prolonged dry apnoea: effects on brain activity and physiological functions in
breath-hold divers and non-divers.
AB - PURPOSE: The aim of the study was to investigate the effects of voluntary breath
holding on brain activity and physiological functions. We hypothesised that
prolonged apnoea would trigger cerebral hypoxia, resulting in a decrease of brain
performance; and the apnoea's effects would be more pronounced in breath-hold
divers. METHODS: Trained breath-hold divers and non-divers performed maximal dry
breath-holdings. Lung volume, alveolar partial pressures of O2 and CO2, attention
and anxiety levels were estimated. Heart rate, blood pressure, arterial blood
oxygenation, brain tissue oxygenation, EEG, and DC potential were monitored
continuously during breath-holding. RESULTS: There were a few significant changes
in electrical brain activity caused by prolonged apnoea. Brain tissue oxygenation
index and DC potential were relatively stable up to the end of the apnoea in
breath-hold divers and non-divers. We also did not observe any decrease of
attention level or speed of processing immediately after breath-holding.
Interestingly, trained breath-hold divers had some peculiarities in EEG activity
at resting state (before any breath-holding): non-spindled, sharpened alpha
rhythm; slowed-down alpha with the frequency nearer to the theta band; and
untypical spatial pattern of alpha activity. CONCLUSION: Our findings
contradicted the primary hypothesis. Apnoea up to 5 min does not lead to notable
cerebral hypoxia or a decrease of brain performance in either breath-hold divers
or non-divers. It seems to be the result of the compensatory mechanisms similar
to the diving response aimed at centralising blood circulation and reducing
peripheral O2 uptake. Adaptive changes during apnoea are much more prominent in
trained breath-hold divers.
PMID- 27188880
TI - Single-Dose Fluconazole Therapy for Oral Thrush in Hospice and Palliative
Medicine Patients.
AB - BACKGROUND: Oral thrush is a common fungal infection of the mouth experienced by
palliative medicine and hospice patients who have advanced cancer. Individuals
often experience distressing symptoms that affect their oral intake with most
regimens adding to pill burden. This is an open-label prospective observational
study to assess the efficacy of a single-dose fluconazole 150 mg for oral thrush.
METHODS: Palliative medicine and hospice patients with a clinical diagnosis of
oral thrush, a life expectancy of more than 1 week, and were able to swallow were
enrolled. Signs and symptoms were evaluated on day 1 prior to administration of
150 mg of fluconazole and between days 3 to 5 after treatment. RESULTS: Of 57
patients, 55 (96.5%) had more than 50% improvement in signs and symptoms. Both
the number and severity of symptoms changed significantly posttreatment ( P <
.001). Side effects were few. CONCLUSION: Single-dose fluconazole 150 mg is an
effective treatment of oral thrush for individuals with advanced cancer.
PMID- 27188879
TI - Historical Incidence of Spontaneous Lesions in Kidneys from Naive Swine Utilized
In Interventional Renal Denervation Studies.
AB - The use of preclinical animal models is integral to the safety assessment,
pathogenesis research, and testing of diagnostic technologies and therapeutic
interventions. With inherent similarity to human anatomy and physiology, various
porcine models have been the preferred preclinical model in some research areas
such as medical devices, wound healing, and skin therapies. The porcine model has
been the cornerstone for interventional cardiology for the evaluation and
development of this catheter-based renal denervation (RDN) therapy. The porcine
model provides similar vascular access and renal neurovascular anatomy to humans.
In these preclinical studies, the downstream kidneys from treated arteries are
assessed for possible histopathological changes in the vessel dependent
territories. In assessing renal safety following RDN, it becomes critical to
distinguish treatment-related changes from pre-existing background pathologies.
The incidence of background pathological changes in porcine kidneys has not been
previously established in normal clinically healthy. Samples from the cranial,
middle, and caudal portion of 331 naive kidneys from 181 swine were processed
histologically to slides and evaluated microscopically. The most commonly
encountered spontaneous changes were chronic pyelonephritis found in nearly half
of the evaluated naive kidneys (~40 %; score 1 = 91 %, score 2 = 8.4 %, score 3 =
0.76 %) followed by chronic interstitial inflammation in 9.7 % of the kidneys
(score 1 = 90.6 %, score 2 = 9.4 %). Interestingly, there were a few rare
spontaneous vascular changes that could potentially affect data interpretation in
interventional and toxicology studies: arteritis and arteriolar dissection. The
presence of pelvic cysts was a common occurrence (6.3 %) in the kidney. The
domestic swine is a widely used preclinical species in interventional research,
namely in the emerging field of transcatheter renal denervation. This
retrospective study presents the historical incidence of spontaneous lesions
recorded in the kidneys from naive pigs enrolled in renal denervation studies.
There were commonly encountered changes of little pathological consequence such
as pyelonephritis or pelvic cysts and rare vascular changes such as arteritis and
arteriolar dissection that were of greater potential impact on study data
interpretation. These results offer a benchmark by which to gage the potential
effect of a procedure or treatment on renal histopathology in swine and assist in
data interpretation.
PMID- 27188881
TI - The cardiopulmonary effects and quality of anesthesia after induction with
alfaxalone in 2-hydroxypropyl-beta-cyclodextrin in dogs and cats: a systematic
review.
AB - To systematically review the quality of evidence comparing the cardiopulmonary
effects and quality of anesthesia after induction with alfaxalone vs. other
anesthetic agents in dogs and cats. Studies published from 2001 until 20th May
2013 were identified with the terms 'alfaxan' OR 'alfaxalone' OR 'alphaxalone' in
electronic databases: Discovery, PubMed, ScienceDirect, and Wiley Interscience.
The study design and risk of bias of all included studies were assessed. Twenty
two studies from 408 (22 of 408, 5.39%) satisfied the inclusion criteria.
Fourteen studies (14 of 22, 64%) focused on dogs and nine (9 of 22, 40%) on cats.
One study had both dogs and cats as subjects. (Hunt et al., 2013) Twelve studies
were rated an LOE1, and six of these as ROB1. One, seven, and two studies were
rated as LOE2, LOE3, and LOE5, respectively. In dogs, strong evidence shows that
induction quality with either alfaxalone-HPCD or propofol is smooth. Moderate
evidence supports this finding in cats. In dogs, moderate evidence shows that
there is no significant change in heart rate after induction with either
alfaxalone-HPCD or propofol. In cats, moderate evidence shows no significant
difference in postinduction respiratory rate and heart rate between alfaxalone
HPCD and propofol induction. Strong evidence shows dogs and cats have smooth
recoveries after induction using either alfaxalone-HPCD or propofol, before
reaching sternal recumbency.
PMID- 27188882
TI - Description of Teunomyces gen. nov. for the Candida kruisii clade, Suhomyces gen.
nov. for the Candida tanzawaensis clade and Suhomyces kilbournensis sp. nov.
AB - DNA sequence analysis has shown that species of the Candida kruisii clade and
species of the C. tanzawaensis clade represent phylogenetically circumscribed
genera, which are described as Teunomyces gen. nov., type species T kruisii, and
Suhomyces gen. nov., type species S tanzawaensis Many of the species are
distributed worldwide and they are often isolated from fungus-feeding insects and
their habitats. Included is the description of S. kilbournensis (type strain NRRL
Y-17864, CBS 14276), a species found almost exclusively on maize kernels (Zea
mays) in IL, USA.
PMID- 27188883
TI - Identification and characterization of Candida utilis multidrug efflux
transporter CuCdr1p.
AB - The edible, nitrate assimilating, yeast Candida utilis is a commercial food
additive, and it is a potentially useful host for heterologous protein
expression. A number of ATP-binding cassette (ABC) transporters are multidrug
efflux pumps that can cause multidrug resistance in opportunistic pathogens. In
order to develop optimal novel antimicrobial agents it is imperative to
understand the structure, function and expression of these transporters. With the
ultimate aim of developing an alternative yeast host for the heterologous
expression of eukaryotic membrane transporters, and to identify ABC transporters
potentially associated with C. utilis multidrug resistance, we classified the
entire repertoire of 30 C. utilis ABC proteins. We named the open reading frame
most similar to the archetype multidrug efflux pump gene C. albicans CDR1 as
CuCDR1 Overexpression of CuCDR1 in Saccharomyces cerevisiae ADDelta caused
multidrug resistance similar to that of cells overexpressing CaCDR1 Unlike
CaCdr1p, however, the C-terminally green fluorescent protein (GFP) tagged CuCdr1p
GFP was functionally impaired and did not properly localize to the plasma
membrane. CuCdr1p function could be recovered however by adding a 15 amino acid
linker -GAGGSAGGSGGAGAG- between CuCdr1p and the C-terminal GFP tag.
PMID- 27188884
TI - Genomic analysis and D-xylose fermentation of three novel Spathaspora species:
Spathaspora girioi sp. nov., Spathaspora hagerdaliae f. a., sp. nov. and
Spathaspora gorwiae f. a., sp. nov.
AB - Three novel D-xylose-fermenting yeast species of Spathaspora clade were recovered
from rotting wood in regions of the Atlantic Rainforest ecosystem in Brazil.
Differentiation of new species was based on analyses of the gene encoding the
D1/D2 sequences of large subunit of rRNA and on 642 conserved, single-copy,
orthologous genes from genome sequence assemblies from the newly described
species and 15 closely-related Debaryomycetaceae/Metschnikowiaceae species.
Spathaspora girioi sp. nov. produced unconjugated asci with a single elongated
ascospore with curved ends; ascospore formation was not observed for the other
two species. The three novel species ferment D-xylose with different
efficiencies. Spathaspora hagerdaliae sp. nov. and Sp. girioi sp. nov. showed
xylose reductase (XR) activity strictly dependent on NADPH, whereas Sp. gorwiae
sp. nov. had XR activity that used both NADH and NADPH as co-factors. The genes
that encode enzymes involved in D-xylose metabolism (XR, xylitol dehydrogenase
and xylulokinase) were also identified for these novel species. The type strains
are Sp. girioi sp. nov. UFMG-CM-Y302(T) (=CBS 13476), Sp. hagerdaliae f.a., sp.
nov. UFMG-CM-Y303(T) (=CBS 13475) and Sp. gorwiae f.a., sp. nov. UFMG-CM-Y312(T)
(=CBS 13472).
PMID- 27188885
TI - MFS transporters of Candida species and their role in clinical drug resistance.
AB - ABC (ATP-binding cassette) and MFS (major facilitator superfamily) exporters,
belonging to two different superfamilies, are one of the most prominent
contributors of multidrug resistance (MDR) in yeast. While the role of ABC efflux
pump proteins in the development of MDR is well documented, the MFS transporters
which are also implicated in clinical drug resistance have not received due
attention. The MFS superfamily is the largest known family of secondary active
membrane carriers, and MFS exporters are capable of transporting a host of
substrates ranging from small molecules, including organic and inorganic ions, to
complex biomolecules, such as peptide and lipid moieties. A few of the members of
the drug/H(+) antiporter family of the MFS superfamily function as multidrug
transporters and employ downhill transport of protons to efflux their respective
substrates. This review focuses on the recent developments in MFS of Candida and
highlights their role in drug transport by using the example of the relatively
well characterized promiscuous Mdr1 efflux pump of the pathogenic yeast C.
albicans.
PMID- 27188886
TI - Identification of novel transcriptional regulators of PKA subunits in
Saccharomyces cerevisiae by quantitative promoter-reporter screening.
AB - The cAMP-dependent protein kinase (PKA) signaling is a broad pathway that plays
important roles in the transduction of environmental signals triggering precise
physiological responses. However, how PKA achieves the cAMP-signal transduction
specificity is still in study. The regulation of expression of subunits of PKA
should contribute to the signal specificity. Saccharomyces cerevisiae PKA
holoenzyme contains two catalytic subunits encoded by TPK1, TPK2 and TPK3 genes,
and two regulatory subunits encoded by BCY1 gene. We studied the activity of
these gene promoters using a fluorescent reporter synthetic genetic array screen,
with the goal of systematically identifying novel regulators of expression of PKA
subunits. Gene ontology analysis of the identified modulators showed enrichment
not only in the category of transcriptional regulators, but also in less expected
categories such as lipid and phosphate metabolism. Inositol, choline and
phosphate were identified as novel upstream signals that regulate transcription
of PKA subunit genes. The results support the role of transcription regulation of
PKA subunits in cAMP specificity signaling. Interestingly, known targets of PKA
phosphorylation are associated with the identified pathways opening the
possibility of a reciprocal regulation. PKA would be coordinating different
metabolic pathways and these processes would in turn regulate expression of the
kinase subunits.
PMID- 27188888
TI - Superselective transcatheter arterial embolization in patients with acute
peripancreatic bleeding complications: review of 44 cases.
AB - PURPOSE: To evaluate the efficacy of superselective transcatheter arterial
embolization (TAE) in the treatment of acute peripancreatic bleeding
complications. METHODS: During a 9-year period, 44 patients with acute bleeding
of the peripancreatic arteries underwent TAE in our institution. Thirty-eight
patients were treated using microcatheters and 6 patients with a diagnostic
catheter. Embolic agents included coils (n = 38), polyvinyl alcohol (PVA)
particles (n = 2), isobutyl cyanoacrylate (n = 2), coils plus PVA particles (n =
1), and coils plus isobutyl cyanoacrylate (n = 1). Outcome measures included
technical success, clinical success, and the rate of complications. RESULTS:
Identified bleeding sources included gastroduodenal artery (n = 14), splenic
artery (n = 9), pancreaticoduodenal artery (n = 6), common hepatic artery (n =
5), superior mesenteric artery branches (n = 4), proper hepatic artery (n = 3),
and dorsal/transverse pancreatic artery (n = 3). Technical success with effective
control of active bleeding was achieved in 41/44 patients (93 %). Clinical
success attributed to TAE alone was documented in 40/44 patients (91 %). The rate
of major complications was 2 % including death in one patient. CONCLUSIONS:
Superselective TAE allows effective, minimally invasive control of acute
peripancreatic bleeding complications with a low rate of therapeutically relevant
complications.
PMID- 27188889
TI - Response to letter on Caroli disease.
PMID- 27188887
TI - Environmental distribution of Cryptococcus neoformans and C. gattii around the
Mediterranean basin.
AB - In order to elucidate the distribution of Cryptococcus neoformans and C. gattii
in the Mediterranean basin, an extensive environmental survey was carried out
during 2012-2015. A total of 302 sites located in 12 countries were sampled, 6436
samples from 3765 trees were collected and 5% of trees were found to be colonized
by cryptococcal yeasts. Cryptococcus neoformans was isolated from 177 trees and
C. gattii from 13. Cryptococcus neoformans colonized 27% of Ceratonia, 10% of
Olea, Platanus and Prunus trees and a lower percentage of other tree genera. The
13 C. gattii isolates were collected from five Eucalyptus, four Ceratonia, two
Pinus and two Olea trees. Cryptococcus neoformans was distributed all around the
Mediterranean basin, whereas C. gattii was isolated in Greece, Southern Italy and
Spain, in agreement with previous findings from both clinical and environmental
sources. Among C. neoformans isolates, VNI was the prevalent molecular type but
VNII, VNIV and VNIII hybrid strains were also isolated. With the exception of a
single VGIV isolate, all C. gattii isolates were VGI. The results confirmed the
presence of both Cryptococcus species in the Mediterranean environment, and
showed that both carob and olive trees represent an important niche for these
yeasts.
PMID- 27188890
TI - Food preferences, personality and parental rearing styles: analysis of factors
influencing health of left-behind children.
AB - PURPOSE: To understand the health status and problems of left-behind children
(LBC) in rural China, those whose parents have moved to urban areas without them,
and to focus on ways to improve their physical and mental health. METHODS: The
study examined 827 children between 7 and 15 years old, selected using stratified
cluster random sampling from five towns in Xiji County of the Ningxia Hui
Autonomous Region. Each child was classified as either LBC or non-LBC. Measures
included age- and sex-specific height and body mass index (kg/m2), a food
preference questionnaire, the Revised Junior Eysenck Personality Questionnaire,
and the Egna Minnen av Barndoms Uppfostran-My Memories of Upbringing (EMBU).
RESULTS: Malnutrition rates for LBC and non-LBC were 14.83 % (70/472) and 7.04 %
(25/355) (chi 2 = 11.86, p < 0.01). More LBC reported hating vegetables and
fruits. Eysenck Personality Questionnaire profiles of LBC revealed a
significantly higher degree of neuroticism and psychoticism, and a significantly
lower lie scale score (p < 0.01). LBC's EMBU profiles showed that the paternal
approach lacked emotional warmth and understanding and the maternal approach was
characterized by favoritism, over-interference and overprotection. There were a
significant negative correlation between the personality characteristic of
neuroticism and liking vegetables and fruits (p < 0.01), and a negative
correlation between psychoticism and liking vegetables (p < 0.05). CONCLUSION:
The health status of LBC is problematic. Food preferences, personality type and
parenting styles should be taken into account when measures are developed to
improve the health of these children.
PMID- 27188892
TI - Cancer Associated Thrombosis: Focus on Prevention and Treatment of Venous
Thromboembolism.
AB - Cancer-associated thrombosis (CAT) accounts for about 20% of all thrombosis
worldwide. It is the second leading cause of death in cancer patients. The risk
of venous thromboembolism (VTE) is 4 -7 times higher and the risk of recurrent
VTE three times higher in the cancer patients, compared to the non-cancer
patients. The survival of cancer patients with VTE is lower than that of patients
without VTE. In the last two decades, the incidence of CAT has risen in the
ambulatory patients than in the inpatient setting. While the role of
pharmacologic thromboprophylaxis (PTP) is established in the hospitalized cancer
patients, ambulatory PTP is not, except in patients with multiple myeloma and
myeloproliferative neoplasms. In the last decade, the low-molecular-weight
heparin (LMWH) has emerged as the standard of care for the treatment of acute
cancer-associated VTE. Many questions remain unanswered with regards to the
optimal duration of LMWH therapy in the CAT, the role of direct oral
anticoagulants (DOACs) in CAT, and the optimal anticoagulation management in
thrombocytopenic cancer patients. Research trials are necessary to define a
subset of ambulatory solid tumor patients who may benefit from PTP and to define
the role of DOACs in the prevention and treatment of CAT.
PMID- 27188891
TI - Increased concentrations of soluble B7-H3 and interleukin 36 in bronchoalveolar
lavage fluid of Children with Mycoplasma pneumoniae pneumonia.
AB - BACKGROUND: The purpose of this study is to explore the correlations of
interleukin 36 (IL-36) and Soluble B7-H3 (sB7-H3) levels in bronchoalveolar
lavage fluid (BALF) with clinical characteristics and laboratory findings.
METHODS: A total of 35 children with M. pneumnoiae pneumonia (MPP) and 15 control
subjects were enrolled. BALF concentrations of sB7-H3 and IL-36 were detected
using enzyme-linked immunosorbent assays and clinical profiles of children with
MPP were obtained. RESULTS: Children with MPP had significantly higher levels of
sB7-H3 and IL-36 compared to control subjects (both P < 0.05). Meanwhile,
children with pleural effusion had significantly higher levels of sB7-H3 and IL
36 compared to children without pleural effusion (both P < 0.05). BALF
concentration of sB7-H3 was strongly associated with concentration of IL-36 (r =
0.796, P < 0.0001) and sB7-H3 was correlated with duration of fever (r = 0.427, P
= 0.11) and length of stay (r = 0.345, P = 0.043). Both concentrations of sB7-H3
and IL-36 were significantly decreased in convalescent phase after treatment
(both P < 0.05). CONCLUSION: Both soluble B7-H3 and IL-36 may play an important
role in pathogenesis of M. pneumoniae infection and sB7-H3 could be useful as a
prognostic predictor or biomarker of MPP.
PMID- 27188893
TI - Hematological and Serological Investigation of Dogs during Experimental
Echinococcosis.
AB - OBJECTIVES: To study the hematological findings of dogs infected with
echinococcosis and the possibility of using in vitro reared Echinococcus
granulosus excretory-secretory antigen (ES-Ag) as a reagent for serological
diagnosis of canine echinococcosis. METHODS: Eight dogs were infected orally with
protoscoleces, extracted from ovine fertile hydatid cysts. Two additional dogs
were infected with Cysticercus tenuicollis, obtained from infested sheep. The
hematological parameters of dogs were determined with the ADVIA 2120i automatic
hematology analyzer with a blood smear staining module. Adult E. granulosus
and/or Taenia hydatigena that were collected from small pieces of the open gut
and the larval cestodes that were extracted from infested sheep during slaughter
were cultured in an incomplete RPMI-1640 medium. The parasite-ES-Ag-containing
supernatant was used as an antigen in enzyme linked immunosorbent assay (ELISA)
to detect antibodies in the sera of infected dogs. RESULTS: A significant
increase in hemoglobin concentration and erythrocyte count was found during the
infection, as well as an increasing proportion of lymphocytes and segmented
neutrophils, accompanied by a significant reduction of the leukocyte count and a
growth of both the absolute and the relative eosinophil count. ELISA found a
strengthening antigenicity of echinococcus preparations during infection. This
property was more pronounced in the protoscoleces ES-Ag, compared to the
eponymous antigen of an adult parasite. The latter gave specificity to ELISA,
which allowed differentiating it from the similar antigen of the closely related
tapeworm T. hydatigena. CONCLUSION: In vitro reared adult E. granulosus ES-Ag can
be used as an antigen in the serological diagnosis of canine echinococcosis.
Hematological parameters and serological results have predictive value in the
screening of dogs for echinococcosis; however, in some individuals, they may
reflect the state of resistance to invasion.
PMID- 27188894
TI - Measuring Health Literacy Levels of a Patient Portal Using the CDC's Clear
Communication Index.
AB - Once promised to revolutionize health care, patient portals have yet to fully
achieve their potential of improving communication between patients and
clinicians. In fact, their use can be detrimental to many consumers due to their
limited literacy and numeracy skills. This study demonstrates how applying the
Centers for Disease Control and Prevention's Clear Communication Index to a
patient portal can be used to identify opportunities for better patient
communication and engagement. The Clear Communication Index contains 20 scored
items grounded in communication science to enhance patients' understanding of
health information. The Index was applied to one portal used by over 80,000
patients in 12 primary care practices: MyPreventiveCare. This portal was selected
because of its ability to personalize preventive and chronic care information by
internally using content featuring health literacy principles and linking
patients' externally to trusted materials. Thirty-seven frequently visited portal
pages (17 internal and 20 external) were evaluated based on the Index's four main
variables. The overall score for the portal was 72%, which falls below the 90%
threshold to be considered clear communication. Internal content scored higher
than external (75% vs. 69%). Specific changes to improve the score include
simpler language, more specific examples, and clearer numerical explanations.
PMID- 27188895
TI - A combination of omega-3 fatty acids, folic acid and B-group vitamins is superior
at lowering homocysteine than omega-3 alone: A meta-analysis.
AB - The aim of the study was to assess whether omega-3 polyunsaturated fatty acid
supplementation alone or in combination with folic acid and B-group vitamins is
effective in lowering homocysteine. The Medline Ovid, Embase and Cochrane
databases were searched for randomized-controlled trial studies that intervened
with omega-3 supplementation (with or without folic acid) and measured changes in
homocysteine concentration. Studies were pooled using a random effects model for
meta-analysis. Three different models were analyzed: all trials combined, omega-3
polyunsaturated fatty acid trials, and omega-3 polyunsaturated fatty acids with
folic acid and B-group vitamin trials. Nineteen studies were included, consisting
of 3267 participants completing 21 trials. Studies were heterogeneous; varying by
dose, duration and participant health conditions. Across all trials, omega-3
supplementation was effective in lowering homocysteine by an average of
1.18MUmol/L (95%CI: (-1.89, -0.48), P=.001). The average homocysteine-lowering
effect was greater when omega-3 supplementation was combined with folic acid and
B-group vitamins (-1.37MUmol/L, 95%CI: (-2.38, -0.36), P<.01) compared to omega-3
supplementation alone (-1.09MUmol/L 95%CI: (-2.04, -0.13), P=.03). Omega-3
polyunsaturated fatty acid supplementation was associated with a modest reduction
in homocysteine. For the purposes of reducing homocysteine, a combination of
omega-3s (0.2-6g/day), folic acid (150 - 2500MUg/day) and vitamins B6 and B12 may
be more effective than omega-3 supplementation alone.
PMID- 27188897
TI - High dietary calcium intake and a lack of dairy consumption are associated with
metabolic syndrome in obese males: the Korean National Health and Nutrition
Examination Survey 2010 to 2012.
AB - Metabolic syndrome (MetS) has been increasing rapidly worldwide and is associated
with elevated risks of cardiovascular disease and mortality. The relationship
between MetS and dietary calcium intake is controversial. We hypothesized that
high dietary calcium intake is associated with MetS in males and that the
associations vary according to obesity status. Using data from the Korean
National Health and Nutrition Examination Survey V, a cross-sectional survey of
Korean civilians was conducted from January 2010 to December 2012 to test these
hypotheses. Data from a total of 5946 males were analyzed with no association
observed between dietary calcium intake and the presence of MetS. However, males
deriving high calcium intake levels from dairy products had a lower prevalence of
MetS than did those who did not ingest such products (adjusted odds ratio [OR],
0.75; 95% confidence interval [CI], 0.58-0.96). In obese males, the highest
dietary calcium intake was positively associated with the presence of MetS
(adjusted OR, 1.61; 95% CI, 1.12-2.36). However, obese males who consume dairy
products had a lower prevalence of MetS than did those who did not consume such
products (adjusted OR, 0.51; 95% CI, 0.29-0.90). In conclusion, the results
suggest that the high dietary calcium intake, in the absence of dairy product
consumption, was associated with the increased prevalence of MetS in obese males.
Additional studies are required to determine whether dietary calcium intake
affects the development of MetS.
PMID- 27188896
TI - Trans fatty acid intake is related to emotional affect in the Adventist Health
Study-2.
AB - Trans fatty acids in Western diets increase health risks, and have been
associated with the risk of depression. We hypothesized that intakes of trans
fatty acids (primarily from margarines and baked goods) were inversely associated
with positive affect and positively associated with negative affect in a
longitudinal study. Church attendees residing in North America completed a food
frequency questionnaire in 2002-6 as part of the Adventist Health Study-2. A
subset in which we excluded participants with established cardiovascular disease
(n=8,771) completed the Positive and Negative Affect Schedule (PANAS) in 2006-7.
The associations between dietary intakes of fatty acids to positive and negative
affect were tested with linear regression analysis controlling for age, gender,
ethnicity, education, body mass index, exercise, sleep, sleep squared,
Mediterranean diet, total energy intake and alcohol. Intakes of trans fatty acids
were inversely associated with positive affect (beta=-0.06, B=-0.27 [95% CI
0.37, -0.17], p<.001) and positively associated with negative affect (beta=0.05,
B=0.21 [95% CI 0.11, 0.31], p<.001). In comparison, we found no association
between n-3 polyunsatured fatty acids (PUFA) intakes with affect. The n-6:n-3
PUFA ratio was inversely associated with positive affect (beta=-0.03, B=-0.34
[95% CI -0.58, -0.10], p=0.006). The findings suggest that a lower dietary trans
fatty acid intake has beneficial effects on emotional affect while the n-6: n-3
ratio is detrimental to positive affect.
PMID- 27188898
TI - Medium-chain triglycerides and conjugated linoleic acids in beverage form
increase satiety and reduce food intake in humans.
AB - Both developed and developing countries are seeing increasing trends of obesity
in people young and old. It is thought that satiety may play a role in the
prevention of obesity by increasing satiety and reducing energy intake. We
hypothesized that medium-chain triglycerides (MCT) would increase satiety and
decrease food intake compared with conjugated linoleic acid (CLA) and a control
oil. Nineteen healthy participants were tested on 3 separate occasions, where
they consumed a beverage test breakfast containing (1) vegetable oil (control),
(2) CLA, or (3) MCT. Participants self-requested an ad libitum sandwich buffet
lunch. Time between meals, satiety from visual analog scales, energy intake at
lunch, and intake for the rest of the day using weighed food diaries were
measured. The results indicated that the time until a meal request was
significantly different between the 3 meals (P=.016); however, there were no
differences in intakes at the ad libitum lunch (P>.05). The CLA breakfast
generated the greatest delay in meal time request. There was a difference between
the control lipid compared with both the CLA and MCT for energy intake over the
remainder of the test day and for total energy intake on the test day (P<.001 for
both), with the CLA and MCT resulting in a lower intake than the control
throughout the day. There were no significant differences in satiety from visual
analog scale scores (P>.05). Both CLA and MCT increased satiety and reduced
energy intake, indicating a potential role in aiding the maintenance of energy
balance.
PMID- 27188899
TI - Plasma riboflavin is a useful marker for studying riboflavin requirement in
Chinese male adults.
AB - Urinary riboflavin excretion and erythrocyte glutathione reductase activation
coefficient are frequently applied in determining riboflavin requirement.
Previously, we found that plasma riboflavin is a sensitive marker in the
assessment of riboflavin status in rat models. Here, we hypothesize that plasma
riboflavin is a useful maker in studying riboflavin requirement. This study
examines the changes of fasting plasma riboflavin and urinary riboflavin
excretion in response to different riboflavin intake levels in Chinese male
adults. The estimated average requirement (EAR) of riboflavin was extrapolated.
Seventy-eight participants were randomly divided into the control and 5
riboflavin-supplemented groups. A 6-week riboflavin supplementation was performed
at the doses of 0, 0.2, 0.4, 0.6, 0.8, or 1.0 mg daily. The energy expenditure
was 15.4 +/- 1.9 MJ/d, as estimated by the 24-hour physical activity recording
method. Dietary riboflavin intake was 1.0 +/- 0.2 mg/d, based on chemical
analysis. The fasting plasma riboflavin was increased significantly in a dose
dependent manner when the supplemented riboflavin exceeded 0.4 mg/d and the EAR
of riboflavin was suggested to be between 1.3 and 1.5 mg/d. In addition, we found
a significant increase in fasting urinary riboflavin excretion when the
supplemented riboflavin exceeded 0.6 mg/d. The critical point was calculated as
1.4 mg/d, based on the intersecting point of the 2 regression lines at lower and
higher riboflavin intakes. These findings demonstrate that plasma riboflavin is a
sensitive marker for riboflavin status, and the EAR of riboflavin for Chinese
male adults is 1.4 mg.
PMID- 27188900
TI - Oat beta-glucan depresses SGLT1- and GLUT2-mediated glucose transport in
intestinal epithelial cells (IEC-6).
AB - Oat beta-glucan consumption is linked to reduced risk factors associated with
diabetes and obesity by lowering glycemic response and serum level of low-density
lipoproteins. The purpose of this study was to identify the mechanism of action
of oat beta-glucan at the interface between the gut wall and the lumen
responsible for attenuating glucose levels. We proposed that viscous oat beta
glucan acts as a physical barrier to glucose uptake in normally absorptive gut
epithelial cells IEC-6 by affecting the expression of intestinal glucose
transporters. Concentration and time-dependent changes in glucose uptake were
established by using a nonmetabolizable glucose analog 2-[N-(7-nitrobenz-2-oxa
1,3-diazol-4-yl)amino]-2-deoxy-d-glucose. The effectiveness of nutrient transport
in IEC-6 cells was shown by significant differences in glucose uptake and
corresponding transporter expression. The expressions of glucose transporters
sodium-glucose-linked transport protein 1 (SGLT1) and glucose transporter 2
(GLUT2) increased with time (0-60 minutes) and glucose levels (5-25 mmol/L). The
suppression of glucose uptake and SGLT1 and GLUT2 expression by increasing
concentrations (4-8 mg/mL) of oat beta-glucan demonstrated a direct effect of the
physical properties of oat beta-glucan on glucose transport. These results
affirmed oat beta-glucan as a dietary agent for minimizing postprandial glucose
and showed that modulating the activity of the key intestinal glucose
transporters with oat beta-glucan could be an effective way of lowering blood
glucose levels in patients with diabetes.
PMID- 27188901
TI - beta-Hydroxy-beta-methylbutyrate attenuates cytokine response during sustained
military training.
AB - This study tested the hypothesis that of 23 days of beta-hydroxy-beta
methylbutyrate (HMB) supplementation can maintain muscle mass and attenuate the
immune and inflammatory response in combat soldiers during highly intense
military training. Soldiers were randomly assigned to either a HMB (n = 6) or
placebo (PL; n = 7) group and provided with 3 g . day(-1) of either HMB or PL.
During the final week of supplementation soldiers participated in extreme
physical training, which included night navigation of 6-8 hours across difficult
terrain carrying heavy loads combined with sleep deprivation (3.8 +/- 3.0 h per
night). Blood draws were performed prior to and following the supplementation
period. Magnetic resonance imaging, which included diffusion tensor imaging
sequence, was used for muscle fiber tracking analysis. Data was analyzed using a
two-way mixed factorial analysis of variance. Magnitude-based inferences were
used to provide inferences on the true effects that HMB may have had on the
dependent variables compared to PL, calculated from 90% confidence intervals.
Changes in tumor necrosis factor-alpha for HMB (-3.9 +/- 8.2 pg . mL(-1)) were
significantly lower (P = .043) compared to the change in PL (+4.0 +/- 3.7 pg .
mL(-1)). HMB ingestion was also very likely (92%-95% Likelihood) to lower
granulocyte colony-stimulating factor and interleukin 10 compared to PL. In
addition, HMB supplementation was likely (78%-87% likelihood) to reduce
interferon-gamma, interleukin 8, CX3CL1, and increase muscle volume for the
adductor magnus (77% likelihood) compared to PL. In summary, the results of this
study provides evidence that HMB supplementation may attenuate the inflammatory
response to high intense military training, and maintain muscle quality.
PMID- 27188903
TI - Supplemental arginine above the requirement during suckling causes obesity and
insulin resistance in rats.
AB - Nutrition in early life is important in determining susceptibility to adult
obesity, and arginine may promote growth acceleration in infants. We hypothesized
that maternal arginine supplementation may promote growth in their pups and
contribute to obesity and alteration of the metabolic system in later life. Dams
and pups of Wistar rats were given a normal diet (15% protein) as a control (CN)
or a normal diet with 2% arginine (ARG). Altered profiles of free amino acids in
breast milk were observed in that the concentrations of threonine and glycine
were lower in the ARG dams compared with the CN dams. The offspring of the CN and
ARG dams were further subdivided into normal-diet (CN-CN and ARG-CN) groups and a
high fat-diet groups (CN-HF and ARG-HF). In response to the high fat-diet
feeding, the visceral fat deposits were significantly increased in the ARG-HF
group (although not compared with the CN-HF group); no difference was observed
between the CN-CN and ARG-CN groups. The blood glucose and insulin levels after
glucose loading were significantly higher in the ARG-HF group compared with the
CN-HF group. The results suggest that the offspring of dams supplemented with
arginine during lactation acquired increased susceptibility to a high-fat diet,
resulting in visceral obesity and insulin resistance. The lower supply of
threonine and glycine to pups may be one of the contributing causes to the
programming of lifelong obesity risk in offspring. Our findings also indicated
that maternal arginine supplementation during suckling causes obesity and insulin
resistance in rats.
PMID- 27188902
TI - The ACTN3 R577X polymorphism affects the lipid profile and the prognosis of
nutritional intervention in HIV-positive patients.
AB - The hypothesis of the present study is that the polymorphisms in the APOC3, CEPT,
ACE, and ACTN3 genes can affect the outcome of nutritional intervention and the
plasma lipid profile of HIV+ patients. To test the hypothesis, genetic material
was collected from buccal cells, and serum was collected for biochemical
analysis. Sixty-five patients were analyzed. The incorporation of protease
inhibitor (PI) was more frequent in women (77% vs 33% in men). Nutritional
intervention improved anthropometric parameters independent of the genotype.
Patients with the RR genotype for the ACTN3 R577X polymorphism had lower glycemia
(RR = 95.4 +/- 6.5 mg/dL, RX = 102.6 +/- 10.6 mg/dL, XX = 110.1 +/- 16.3 mg/dL; P
= .03) and a greater reduction in low-density lipoproteins (LDL) after
intervention (LDL: RR = -23.7 +/- 15.8 mg/dL, RX = 1.32 +/- 5.13 mg/dL, XX =
30.21 +/- 24.4 mg/dL; P = .01). Patients using PI had a negative response to
dietary intervention regarding the levels of high-density lipoprotein (-2.4 +/-
1.70 with PI, 2.56 +/- 1.60 mg/dL without PI; P = .02), very low density
lipoprotein (0.84 +/- 2.73 with IP, -5.46 +/- 3.37 mg/dL without PI; P = .03),
and triglycerides (1.79 +/- 13.22 with PI, -34.00 +/- 17.67 mg/dL without PI; P =
.052). This response was also independent of the genotype (P > 0.05) and
suggested the need for oral lipid-lowering drugs in all HIV+ patients using PI.
Our results indicate that the ACTN3 R577X polymorphism is a good predictor of
both the lipid profile and the prognosis of nutritional intervention in reducing
LDL in HIV+ patients.
PMID- 27188905
TI - Early sugar-sweetened beverage consumption frequency is associated with poor
quality of later food and nutrient intake patterns among Japanese young children:
the Osaka Maternal and Child Health Study.
AB - Evidence from Western countries shows that higher consumption of sugar-sweetened
beverages (SSBs) is associated with lower quality of young children's diets, but
little is known about these relations in non-Western countries with relatively
low consumption levels of SSBs. We hypothesized that SSB consumption in infancy
would be associated with poor quality of later food and nutrient intake patterns
among Japanese young children. The study subjects were 493 Japanese mother-child
pairs from a prospective birth cohort study. Dietary data on children were
collected from the mothers using self-administered questionnaires when the
children were aged 16-24 months and 41-49 months. Multiple linear regression
analyses were used to examine the relationships between SSB consumption frequency
in infancy and later intake of foods and nutrients. At 16-24 months of age, more
than half of the children (56.4%) consumed SSBs less than once a week, whereas
11.6% consumed SSBs at least once daily. More frequent consumption of SSBs in
infancy was associated with higher intake of confectionaries and SSBs and lower
intake of fruits and vegetables at 41-49 months of age. These associations were
still evident after adjustment for maternal SSB consumption and socioeconomic
status. At the nutrient level, SSB consumption frequency was positively
associated with energy intake and inversely associated with intake of many
nutrients, such as protein, dietary fiber, and most of the micronutrients
examined. In conclusion, higher consumption frequency of SSBs at an early age is
associated with poor quality of overall dietary intake among young Japanese
children 1.5-2.5 years later.
PMID- 27188906
TI - Time-restricted feeding reduces adiposity in mice fed a high-fat diet.
AB - Disruption of the circadian rhythm contributes to obesity. This study tested the
hypothesis that time-restricted feeding (TRF) reduces high-fat diet-induced
increase in adiposity. Male C57BL/6 mice were fed the AIN93G or the high-fat diet
ad libitum (ad lib); TRF of the high-fat diet for 12 or 8hours during the dark
cycle was initiated when high-fat diet-fed mice exhibited significant increases
in body weight. Energy intake of the TRF 12-hour group was not different from
that of the high-fat ad lib group, although that of the TRF 8-hour group was
slightly but significantly lower. Restricted feeding of the high-fat diet reduced
body fat mass and body weight compared with mice fed the high-fat diet ad lib.
There were no differences in respiratory exchange ratio (RER) among TRF and high
fat ad lib groups, but the RER of these groups was lower than that of the AIN93G
group. Energy expenditure of the TRF groups was slightly but significantly lower
than that of the high-fat ad lib group. Plasma concentrations of ghrelin were
increased in TRF groups compared with both AIN93G and high-fat ad lib groups.
Elevations of plasma concentrations of insulin, leptin, monocyte chemoattractant
protein-1, and tissue inhibitor metalloproteinase-1 by high-fat ad lib feeding
were reduced by TRF to the levels of mice fed the AIN93G diet. In conclusion, TRF
during the dark cycle reduces high-fat diet-induced increases in adiposity and
proinflammatory cytokines. These results indicate that circadian timing of food
intake may prevent obesity and abate obesity-related metabolic disturbance.
PMID- 27188904
TI - Alternate-day fasting protects the livers of mice against high-fat diet-induced
inflammation associated with the suppression of Toll-like receptor 4/nuclear
factor kappaB signaling.
AB - Because of unhealthy lifestyles, a large number of people are suffering from
hepatic lipid accumulation and nonalcoholic steatohepatitis. Energy restriction
(ER) is an effective nutritional intervention for preventing chronic disease.
However, poor compliance with continuous ER limits its effectiveness. As an
alternative to daily ER, alternate-day fasting (ADF) may be more effective. We
hypothesized that ADF would improve obesity, hyperglycemia, and insulin
resistance and protect the liver against high-fat diet (HFD)-induced steatosis
and inflammation. In this study, we used C57BL/6 mice to test the beneficial
effects of ADF. Thirty male 6-week-old C57BL/6 mice were divided into 3 groups
(10 per group, total N = 30): 1 group was fed chow diet, the second was fed HFD
ad libitum, and the third group was submitted to ADF. The mice in the third group
were fed the HFD ad libitum every other day and fasted the following day. After
12 months, the mice submitted to ADF exhibited reduced body weights and fasting
glucose levels and improved insulin resistance and hepatic steatosis compared
with continuous HFD-fed mice. In addition, the serum transaminase levels in the
mice of the ADF group were lower than those of the HFD group. Moreover, the ADF
regimen suppressed the expression levels of Toll-like receptor 4 and nuclear
factor kappaB protein in the liver and suppressed the inflammatory pathway genes
interleukin 1beta, tumor necrosis factor alpha, and serum amyloid A. These
finding indicate that long-term ADF protects mouse livers against HFD-induced
hepatic steatosis and hepatocellular damage associated with the suppression of
Toll-like receptor 4/nuclear factor kappaB signaling.
PMID- 27188907
TI - Fiber content of diet affects exhaled breath volatiles in fasting and
postprandial state in a pilot crossover study.
AB - Our pilot study examined the potential of exhaled breath analysis in studying the
metabolic effects of dietary fiber (DF). We hypothesized that a high-fiber diet
(HFD) containing whole grain rye changes volatile organic compound (VOC) levels
in exhaled breath and that consuming a single meal affects these levels. Seven
healthy men followed a week-long low-fiber diet (17 g/d) and HFD (44 g/d) in a
randomized crossover design. A test meal containing 50 g of the available
carbohydrates from wheat bread was served as breakfast after each week. Alveolar
exhaled breath samples were analyzed at fasting state and 30, 60, and 120 minutes
after this meal parallel to plasma glucose, insulin, and serum lipids. We used
solid-phase microextraction and gas chromatography-mass spectrometry for
detecting changes in 15 VOCs. These VOCs were acetone, ethanol, 1-propanol, 2
propanol, 1-butanol, acetic acid, propionic acid, butyric acid, valeric acid,
isovaleric acid, 2-methylbutyric acid, hexanoic acid, acetoin, diacetyl, and
phenol. Exhaled breath 2-methylbutyric acid in the fasting state and 1-propanol
at 120 minutes decreased (P = .091 for both) after an HFD. Ingestion of the test
meal increased ethanol, 1-propanol, acetoin, propionic acid, and butyric acid
levels while reducing acetone, 1-butanol, diacetyl, and phenol levels. Both DF
diet content and having a single meal affected breathVOCs. Exploring exhaled
breath further could help to develop tools for monitoring the metabolic effects
of DF.
PMID- 27188908
TI - Associations of red and processed meat with survival among patients with cancers
of the upper aerodigestive tract and lung.
AB - The effect of red and processed meats on cancer survival is unclear. We sought to
examine the role of total and processed red meat consumption on all-cause
mortality among patients with cancers of the upper aerodigestive tract (UADT) and
lung, in order to test our hypothesis that red or processed meat was associated
with overall mortality in these patients. Using data from a population-based case
control study conducted in Los Angeles County, we conducted a case-only analysis
to examine the association of red or processed meat consumption on mortality
after 12 years of follow-up, using a diet history questionnaire. Cox regression
was used to estimate adjusted hazard ratios (HRs) with 95% confidence intervals
(CIs), adjusting for potential confounders. Of 601 UADT cancer cases and 611 lung
cancer cases, there were 248 and 406 deaths, respectively, yielding crude
mortality rates of 0.07 and 0.12 deaths per year. Comparing the highest with
lowest quartile of red meat consumption, the adjusted HR was 1.64 (95% CI, 1.04
2.57) among UADT cancer cases; for red or processed meat, the adjusted HR was
1.76 (95% CI, 1.10-2.82). A dose-response trend was observed. A weaker
association was observed with red meat consumption and overall mortality among
lung cancer cases. In conclusion, this case-only analysis demonstrated that
increased consumption of red or processed meats was associated with mortality
among UADT cancer cases and WAS weakly associated with mortality among lung
cancer cases.
PMID- 27188911
TI - Claws, Disorder, and Conformational Dynamics of the C-Terminal Region of Human
Desmoplakin.
AB - Multicellular organisms consist of cells that interact via elaborate adhesion
complexes. Desmosomes are membrane-associated adhesion complexes that
mechanically tether the cytoskeletal intermediate filaments (IFs) between two
adjacent cells, creating a network of tough connections in tissues such as skin
and heart. Desmoplakin (DP) is the key desmosomal protein that binds IFs, and the
DP.IF association poses a quandary: desmoplakin must stably and tightly bind IFs
to maintain the structural integrity of the desmosome. Yet, newly synthesized DP
must traffic along the cytoskeleton to the site of nascent desmosome assembly
without "sticking" to the IF network, implying weak or transient DP...IF
contacts. Recent work reveals that these contacts are modulated by post
translational modifications (PTMs) in DP's C-terminal tail (DPCTT). Using
molecular dynamics simulations, we have elucidated the structural basis of these
PTM-induced effects. Our simulations, nearing 2 MUs in aggregate, indicate that
phosphorylation of S2849 induces an "arginine claw" in desmoplakin's C-terminal
tail. If a key arginine, R2834, is methylated, the DPCTT preferentially samples
conformations that are geometrically well-suited as substrates for processive
phosphorylation by the cognate kinase GSK3. We suggest that DPCTT is a molecular
switch that modulates, via its conformational dynamics, DP's overall efficacy as
a substrate for GSK3. Finally, we show that the fluctuating DPCTT can contact
other parts of DP, suggesting a competitive binding mechanism for the modulation
of DP...IF interactions.
PMID- 27188910
TI - Electroacupuncture to treat gastroesophageal reflux disease: study protocol for a
randomized controlled trial.
AB - BACKGROUND: Gastroesophageal reflux disease lowers the quality of life and
increases medical costs. Electroacupuncture has been used to ease symptoms and
improve gastrointestinal motility in patients with gastroesophageal reflux
disease. The main purposes of this study are to evaluate the efficacy and safety
of this procedure. METHODS/DESIGN: This is a protocol for a randomized, patient
blinded, assessor-blinded, sham-controlled trial. Sixty participants with
symptoms of gastroesophageal reflux disease, who have previously undergone
standard treatment, will be recruited from August 2015 at Kyung Hee University
Korean Medicine Hospital. The participants will be allocated to either the
electroacupuncture (n = 30) or the sham electroacupuncture group (n = 30); the
allocation will be concealed from both the participants and the assessors. The EA
group will undergo penetrating acupuncture at 18 fixed points and two optional
points chosen using the pattern identification for gastroesophageal reflux
disease. Electrical stimulation will be applied at some of the acupoints. The
sham electroacupuncture group will undergo nonpenetrating acupuncture without
electrical stimulation at 18 nonspecific points, each of which will be only 2 cm
away from the true acupoints used in the electroacupuncture group. In both
groups, the procedure will be performed using the Park device. The treatment will
last for 6 weeks (with two sessions each week), and the outcome will be evaluated
at baseline, 3 weeks, and 6 weeks. The primary outcome will be the proportion of
responders with adequate symptom relief, whereas the secondary outcomes will
comprise the results of the Nepean dyspepsia index; the Korean gastrointestinal
symptom rating scale; the EQ-5DTM; levels of gastrin, motilin, and inflammatory
cytokines; the perceived stress scale; the qi-stagnation questionnaire; the
patient global impression of change; and the spleen qi deficiency questionnaire.
DISCUSSION: The results of this trial will provide information about the efficacy
and safety of electroacupuncture in the treatment of gastroesophageal reflux
disease symptoms, as well as evidence regarding the use of electroacupuncture to
treat gastroesophageal reflux disease in real clinical practice. TRIAL
REGISTRATION: Clinical Research Information Service Identifier, KCT0001653 .
Registered on 12 October 2015.
PMID- 27188909
TI - Fibroblasts and Mesenchymal Stromal/Stem Cells Are Phenotypically
Indistinguishable.
AB - BACKGROUND/AIMS: Human mesenchymal stromal/stem cells (MSCs), derived from many
different tissues, are characterized by a fibroblast-like morphology, the
expression of certain cell surface markers and their ability to differentiate
into adipocytes, chondrocytes and osteoblasts. A number of studies have shown
that MSCs share many characteristics with fibroblasts; however, there is no well
defined set of phenotypic characteristics that could distinguish between these 2
types of cells. METHODS: We used 4 well-established human fibroblast strains from
3 different tissue sources and several human MSC strains from 2 different tissue
sources to compare the phenotypic and immunological characteristics of these
cells. RESULTS: Fibroblast strains had a similar morphology to MSCs, expressed
the same cell surface markers as MSCs and could also differentiate into
adipocytes, chondrocytes and osteoblasts. Also, similar to MSCs, these
fibroblasts were capable of suppressing T cell proliferation and modulating the
immunophenotype of macrophages. We also show that MSCs deposit extracellular
matrices of collagen type I and fibronectin, and express FSP1 in patterns similar
to fibroblasts. CONCLUSIONS: Based on currently accepted definitions for cultured
human MSCs and fibroblasts, we could not find any immunophenotypic property that
could make a characteristic distinction between MSCs and fibroblasts.
PMID- 27188912
TI - Bacillus Calmette-Guerin-inoculation at different time points influences the
outcome of C57BL/6 mice infected with Plasmodium chabaudi chabaudi AS.
AB - Bacillus Calmette-Guerin (BCG) is an attenuated Mycobacterium tuberculosis
vaccine. We performed a series of co-infection experiments with BCG-Plasmodium
chabaudi chabaudi Landau, 1965 AS using C57BL/6 mice to analyse whether BCG can
affect the development of protective immunity to infection with Plasmodium spp.
and the mechanism of this protection. We divided mice into four groups: BCG
inoculation 4 weeks prior to P. c. chabaudi AS infection (B-4w-Pc); simultaneous
BCG-inoculation and P. c. chabaudi AS infection (Pc+B); BCG-inoculation 3 days
post P. c. chabaudi AS (Pc-3-B) infection; and mono-P. c. chabaudi AS infection
as control (Pc). The parasitemia level in the B-4w-Pc group was noticeably higher
than control group at 6-19 days post infection (dpi). Compared with the control
group, the proportion of CD4(+)CD69(+) T cells was significantly reduced 5, 8 and
12 dpi, but the proportion of CD4(+)CD25(+)Foxp3(+) Tregs was significantly
increased in the B-4w-Pc group on 5 and 8 dpi. The B-4w-Pc group also
demonstrated reduced levels of IFN-gamma and TNF-alpha on 5 and 8 dpi and
significantly elevated level of IL-10 on 12 dpi. There were significantly fewer
mDCs (CD11c(+)CD11b(+)) and pDCs (CD11c(+)B220(+)) in the B-4w-Pc group than the
control group at all the time points post infection and the expression of MHC II
was noticeably reduced on day 8 pi. Our findings confirmed that BCG inoculation
prior to Plasmodium infection resulted in excessive activation and proliferation
of Tregs and upregulation of anti-inflammatory mediators, which inhibited
establishment of a Th1-dominant immune response during the early stages of
Plasmodium infection by inhibiting dendritive cells response. BCG inoculation
prior to P. c. chabaudi AS infection may contribute to overgrowth of parasites as
well as mortality in mice.
PMID- 27188914
TI - Harnessing Lewis acidic open metal sites of metal-organic frameworks: the
foremost route to achieve highly selective benzene sorption over cyclohexane.
AB - pi-Complexation triggered Lewis acid-base interactions between open metal sites
(OMS) of metal-organic frameworks (MOFs), and pi-e(-) rich adsorptive benzene
(Bz) is exploited to establish M-MOF-74 as the best Bz-selective MOF sorbent,
marking the first report of utilizing OMS behind benzene/cyclohexane separation;
a key advance from the energy-economy standpoint of industrial separation.
PMID- 27188913
TI - Transthyretin Cardiac Amyloidosis in Black Americans.
AB - Transthyretin-related cardiac amyloidosis is a progressive infiltrative
cardiomyopathy that mimics hypertensive and hypertrophic heart disease and often
goes undiagnosed. In the United States, the hereditary form disproportionately
afflicts black Americans, who when compared with whites with wild-type
transthyretin amyloidosis, a phenotypically similar condition, present with more
advanced disease despite having a noninvasive method for early identification
(genetic testing). Although reasons for this are unclear, this begs to consider
the inadequate access to care, societal factors, or a biological basis. In an
effort to improve awareness and explore unique characteristics, we review the
pathophysiology, epidemiology, and therapeutic strategies for transthyretin
amyloidosis and highlight diagnostic pitfalls and clinical pearls for identifying
patients with amyloid heart disease.
PMID- 27188915
TI - Liver-related safety assessment of green tea extracts in humans: a systematic
review of randomized controlled trials.
AB - There remain liver-related safety concerns, regarding potential hepatotoxicity in
humans, induced by green tea intake, despite being supposedly beneficial.
Although many randomized controlled trials (RCTs) of green tea extracts have been
reported in the literature, the systematic reviews published to date were only
based on subjective assessment of case reports. To more objectively examine the
liver-related safety of green tea intake, we conducted a systematic review of
published RCTs. A systematic literature search was conducted using three
databases (PubMed, EMBASE and Cochrane Central Register of Controlled Trials) in
December 2013 to identify RCTs of green tea extracts. Data on liver-related
adverse events, including laboratory test abnormalities, were abstracted from the
identified articles. Methodological quality of RCTs was assessed. After excluding
duplicates, 561 titles and abstracts and 119 full-text articles were screened,
and finally 34 trials were identified. Of these, liver-related adverse events
were reported in four trials; these adverse events involved seven subjects (eight
events) in the green tea intervention group and one subject (one event) in the
control group. The summary odds ratio, estimated using a meta-analysis method for
sparse event data, for intervention compared with placebo was 2.1 (95% confidence
interval: 0.5-9.8). The few events reported in both groups were elevations of
liver enzymes. Most were mild, and no serious liver-related adverse events were
reported. Results of this review, although not conclusive, suggest that liver
related adverse events after intake of green tea extracts are expected to be
rare.
PMID- 27188916
TI - Iodine nutrition status and thyroid disorders: a cross-sectional study from the
Xinjiang Autonomous Region of China.
AB - BACKGROUND/OBJECTIVES: Iodine nutritional status and its influence on thyroid
function have been thoroughly investigated in many places in China, but little is
known about Xinjiang province, where multiple minorities are living in a special
geographical location and have different lifestyles compared with people from
other parts of China. The aim of this study was to evaluate iodine status and
thyroid disorders in two major ethnic groups living in the Xinjiang region of
China. SUBJECTS/METHODS: A total of 2253 residents over the age of 18 years who
had lived in Xinjiang for more than 3 years were enrolled. Urinary iodine
concentration (UIC), serum thyroid hormone concentrations and thyroid
autoantibodies were measured, and thyroid ultrasonography was performed. RESULTS:
The median UIC was 130.7 MUg/l in adults. Among the subjects, those with
deficient UIC levels were 34.4%. The prevalence of overt hyper- and
hypothyroidism in the iodine-deficient group was higher than in the iodine
sufficient group (1.3% vs 0%, chi2=3.891, P=0.049; 4.8% vs 2.8%, chi2=8.715,
P=0.003, respectively), and subclinical hypothyroidism was more frequent in the
iodine-deficient group than in the iodine-excess group (19.6% vs 12.5%,
chi2=4.529, P=0.033). CONCLUSIONS: One-third of the population is still iodine
deficient in Xinjiang. Uyghur females are more susceptible to hypothyroidism and
thyroid autoimmune diseases. Thyroid disorders, especially hyper/hypothyroidism
and subclinical hypothyroidism, are more likely to be prevalent in an iodine
deficient population.
PMID- 27188917
TI - Effects of two therapeutic dietary regimens on primary chylomicronemia in
paediatric age: a retrospective data analysis.
AB - BACKGROUND/OBJECTIVE: Subjects suffering from lipoprotein lipase (LPL) deficiency
show very severe hypertriglyceridemia, often accompanied by recurrent bouts of
pancreatitis. Dietary intervention is currently considered first-line treatment
of this condition in paediatric age. The aim of our study was to compare the
effects of dietary treatment with a low-fat diet alone and a low-fat diet
enriched with omega-3-fatty acids. SUBJECTS/METHODS: The data of 11 patients with
LPL deficiency who were diagnosed in our lipid clinic between October 1997 and
October 2007 were summarised. All patients had been treated with a low-fat diet,
and in addition a group of five patients received supplements of omega-3-fatty
acids over a period of at least 5 months. RESULTS: After adjustment for pre
intervention TG concentration, there was a statistically significant difference
in post-intervention TG concentrations between the interventions, F(1,8)=13.529,
P=0.006, partial eta2=0.628. Post-intervention-adjusted TG concentrations were
statistically significantly greater in the low-fat diet group vs the w3 diet
group (P <0.05). CONCLUSIONS: We provide first evidence that a low-fat diet
supplemented with omega-3-fatty acids results in a pronounced decrease in TG in
paediatric patients affected with LPL deficiency. However, further studies are
necessary to evaluate the long-term effects and safety of omega-3-fatty acids.
PMID- 27188918
TI - Perception of lactose intolerance impairs health-related quality of life.
AB - BACKGROUND/OBJECTIVES: Chronic conditions impair perception of well-being.
Malabsorption of lactose is the most frequent form of malabsorption and manifests
as lactose intolerance. There is a lack of information regarding their impact on
self-perception of health. The objective of this study is to determine the
subjective impact of self-reported lactose intolerance or objective lactose
malabsorption on patient health by using a patient-reported outcome to measure
health-related quality of life (HRQOL) and modification of lactose-containing
food diet. SUBJECTS/METHODS: A 3-year prospective, cross-sectional study was
performed in patients referred for a lactose hydrogen breath test. Patients were
asked about their subjective opinion relative to their lactose tolerance and
completed a validated, specific questionnaire to determine symptoms of
intolerance during habitual consumption of dairy. A 50-g lactose breath test was
then performed. Patients were grouped as absorbers vs malabsorbers and tolerant
vs intolerants. RESULTS: A total of 580 patients were included (median age 30
years, 419 female). Overall, 324 patients (56%) considered themselves lactose
intolerant and that perception was associated with avoidance of dairy consumption
(55% vs only 9% of self-defined tolerants). Self-perception of intolerance was
associated with lower HRQOL scores (median, 60 vs 70, P<0.01). In contrast,
lactose objective malabsorption was not clearly associated with dairy avoidance
(41% of malabsorbers avoided dairy vs 31% of absorbers). However, HRQOL scores
were also significantly lower in malabsorbers than in absorbers (60 vs 70
respectively, P<0.001). CONCLUSIONS: Subjective perception of lactose intolerance
affects the decision to avoid dairy even more than objective malabsorption.
However, both self-perception of lactose intolerance and objective lactose
malabsorption are associated with poorer perceived quality of life.
PMID- 27188919
TI - Incidence of gastroesophageal reflux associated with percutaneous endoscopic
gastrostomy contrast agent viscosity: a randomized controlled crossover trial.
AB - BACKGROUND/OBJECTIVES: Semisolid formulae are increasingly used in Japan to
reduce the risks of gastroesophageal reflux (GER) and aspiration pneumonia in
patients undergoing percutaneous endoscopic gastrostomy (PEG). We compared the
incidences of GER after the use of liquid or semisolid contrast agents during
PEG. SUBJECTS/METHODS: Patients who planned to undergo PEG were randomly assigned
to the liquid-first (LF) group (liquid contrast agent on day 1 after PEG and a
semisolid contrast agent on day 2) or the semisolid-first (SF) group (reversed
order). A 200-ml agent bolus was administered via a PEG tube to the patient in
the supine position. The upper gastrointestinal tract was radiologically examined
from onset to 1 min after the end of administration. The incidences of GER were
compared. We also evaluated the daily changes in GER incidence, effect of hiatal
hernia severity and adverse events. RESULTS: We analyzed the results of 66
patients (32, LF group; 34, SF group). The incidence of GER observed using only
liquid contrast was 27% (18/66) and that using only semisolid was 11% (7/66)
(P=0.028). There was no difference in the GER incidences between day 1 and day 2.
In patients with severe, mild and no hiatal hernia, GER incidences in the liquid
contrast agent were 80% (4/5), 61% (11/18) and 33% (13/43), respectively
(P=0.031). GER incidence after using the semisolid contrast agent was lower in
patients with no and mild hiatal hernia. The predominant adverse event was
diarrhea; aspiration was not observed. CONCLUSIONS: Semisolid contrast agents
reduced the incidence of GER after PEG.
PMID- 27188920
TI - Engineering and Characterization of Peptides and Proteins at Surfaces and
Interfaces: A Case Study in Surface-Sensitive Vibrational Spectroscopy.
AB - Understanding molecular structures of interfacial peptides and proteins impacts
many research fields by guiding the advancement of biocompatible materials, new
and improved marine antifouling coatings, ultrasensitive and highly specific
biosensors and biochips, therapies for diseases related to protein amyloid
formation, and knowledge on mechanisms for various membrane proteins and their
interactions with ligands. Developing methods for measuring such unique systems,
as well as elucidating the structure and function relationship of such
biomolecules, has been the goal of our lab at the University of Michigan. We have
made substantial progress to develop sum frequency generation (SFG) vibrational
spectroscopy into a powerful technique to study interfacial peptides and
proteins, which lays a foundation to obtain unique and valuable insights when
using SFG to probe various biologically relevant systems at the solid/liquid
interface in situ in real time. One highlighting feature of this Account is the
demonstration of the power of combining SFG with other techniques and methods
such as ATR-FTIR, surface engineering, MD simulation, liquid crystal sensing, and
isotope labeling in order to study peptides and proteins at interfaces. It is
necessary to emphasize that SFG plays a major role in these studies, while other
techniques and methods are supplemental. The central role of SFG is to provide
critical information on interfacial peptide and protein structure (e.g.,
conformation and orientation) in order to elucidate how surface engineering
(e.g., to vary the structure) can ultimately affect surface function (e.g., to
optimize the activity). This Account focuses on the most significant recent
progress in research on interfacial peptides and proteins carried out by our
group including (1) the development of SFG analysis methods to determine
orientations of regular as well as disrupted secondary structures, and the
successful demonstration and application of an isotope labeling method with SFG
to probe the detailed local structure and microenvironment of peptides at buried
interfaces, (2) systematic research on cell membrane associated peptides and
proteins including antimicrobial peptides, cell penetrating peptides, G proteins,
and other membrane proteins, discussing the factors that influence interfacial
peptide and protein structures such as lipid charge, membrane fluidity, and
biomolecule solution concentration, and (3) in-depth discussion on solid surface
immobilized antimicrobial peptides and enzymes. The effects of immobilization
method, substrate surface, immobilization site on the peptide or protein, and
surrounding environment are presented. Several examples leading to high impact
new research are also briefly introduced: The orientation change of alamethicin
detected while varying the model cell membrane potential demonstrates the
feasibility to apply SFG to study ion channel protein gating mechanisms. The
elucidation of peptide secondary structures at liquid crystal interfaces shows
promising results that liquid crystal can detect and recognize different peptides
and proteins. The method of retaining the native structure of surface immobilized
peptides or proteins in air demonstrates the feasibility to protect and preserve
such structures via the use of hydromimetic functionalities when there is no bulk
water. We hope that readers in many different disciplines will benefit from the
research progress reported in this Account on SFG studies of interfacial
structure-function relationships of peptides and proteins and apply this powerful
technique to study interfacial biomolecules in the future.
PMID- 27188921
TI - Diabetic kidney disease.
AB - The kidney is arguably the most important target of microvascular damage in
diabetes. A substantial proportion of individuals with diabetes will develop
kidney disease owing to their disease and/or other co-morbidity, including
hypertension and ageing-related nephron loss. The presence and severity of
chronic kidney disease (CKD) identify individuals who are at increased risk of
adverse health outcomes and premature mortality. Consequently, preventing and
managing CKD in patients with diabetes is now a key aim of their overall
management. Intensive management of patients with diabetes includes controlling
blood glucose levels and blood pressure as well as blockade of the renin
angiotensin-aldosterone system; these approaches will reduce the incidence of
diabetic kidney disease and slow its progression. Indeed, the major decline in
the incidence of diabetic kidney disease (DKD) over the past 30 years and
improved patient prognosis are largely attributable to improved diabetes care.
However, there remains an unmet need for innovative treatment strategies to
prevent, arrest, treat and reverse DKD. In this Primer, we summarize what is now
known about the molecular pathogenesis of CKD in patients with diabetes and the
key pathways and targets implicated in its progression. In addition, we discuss
the current evidence for the prevention and management of DKD as well as the many
controversies. Finally, we explore the opportunities to develop new interventions
through urgently needed investment in dedicated and focused research. For an
illustrated summary of this Primer, visit: http://go.nature.com/NKHDzg.
PMID- 27188922
TI - PPVT-I administration rules significantly shorten PPVT-III/IV administration.
AB - This study evaluated whether using the Peabody Picture Vocabulary Test-First
Edition (PPVT-I) basal and ceiling criteria would result in similar estimates of
receptive vocabulary while permitting administration of fewer test items when
using the third and fourth editions of the PPVT. Data were retrospectively
collected from 119 adult inpatients who completed a neuropsychological screen
that included the PPVT-III or PPVT-IV, which were re-scored using PPVT-I
criteria. PPVT-III/IV raw scores were not significantly different from PPVT-I raw
scores. Although the difference between the PPVT-III/IV and PPVT-I standard
scores was statistically significant, the difference was less than 8 points in
95% of cases. On average, 15 fewer items would be administered using the PPVT-I
rules, leading to shorter administration time.
PMID- 27188924
TI - Between fighting and tolerance: reproductive biology of wingless males in the ant
Cardiocondyla venustula.
AB - Male reproductive tactics vary widely across the species of the ant genus
Cardiocondyla, from obligatory lethal combat among co-occurring males to complete
mutual tolerance. The African species C. venustula Wheeler, 1908 has an
intermediate phylogenetic position between taxa with fighting males and taxa with
tolerant males and also shows an intermediate male behavior. Males from 2 native
populations in South Africa and a population introduced to Puerto Rico attacked
and killed freshly eclosing rivals but rarely engaged in deadly fights with adult
competitors. Instead, several males per colony established small "territories" in
their natal nests and defended them against other males. Males with a stable
territory had more contact with female sexuals than nonterritorial males and more
frequently engaged in mating attempts. In controlled choice experiments, female
sexuals did not show any preference for particular males. We suggest that male
territoriality in C. venustula is an adaptation to the seasonal production of
large numbers of female sexuals by multiple mothers.
PMID- 27188925
TI - Ag2S atomic switch-based 'tug of war' for decision making.
AB - For a computing process such as making a decision, a software controlled chip of
several transistors is necessary. Inspired by how a single cell amoeba decides
its movements, the theoretical 'tug of war' computing model was proposed but not
yet implemented in an analogue device suitable for integrated circuits. Based on
this model, we now developed a new electronic element for decision making
processes, which will have no need for prior programming. The devices are based
on the growth and shrinkage of Ag filaments in alpha-Ag2+deltaS gap-type atomic
switches. Here we present the adapted device design and the new materials. We
demonstrate the basic 'tug of war' operation by IV-measurements and Scanning
Electron Microscopy (SEM) observation. These devices could be the base for a CMOS
free new computer architecture.
PMID- 27188926
TI - Structural traits and catalytic versatility of the lipases from the Candida
rugosa-like family: A review.
AB - Lipases and sterol esterases are enzymes with broad biotechnological
applications, which catalyze the hydrolysis or synthesis of long-chain
acylglycerols and sterol esters, respectively. In this paper, we review the
current knowledge on the so-called Candida rugosa-like family of enzymes, whose
members display in most cases affinity against the two substrates mentioned
above. The family includes proteins with the alpha/beta-hydrolase folding,
sharing conserved motifs in their sequences, and common structural features. We
will go through their production and purification, relate their described
structures and catalytic activity, and discuss the influence of the hydrophobic
character of these lipases on their aggregation state and activity. On the basis
of the few crystal structures available, the role of each of the functional areas
in catalysis will be analyzed. Considering the particular characteristics of this
group, we propose their classification as "Versatile Lipases" (EC 3.1.1.x).
PMID- 27188927
TI - MALDI mass spectrometry imaging: A cutting-edge tool for fundamental and clinical
histopathology.
AB - Histopathological diagnoses have been done in the last century based on
hematoxylin and eosin staining. These methods were complemented by
histochemistry, electron microscopy, immunohistochemistry (IHC), and molecular
techniques. Mass spectrometry (MS) methods allow the thorough examination of
various biocompounds in extracts and tissue sections. Today, mass spectrometry
imaging (MSI), and especially matrix-assisted laser desorption ionization (MALDI)
imaging links classical histology and molecular analyses. Direct mapping is a
major advantage of the combination of molecular profiling and imaging. MSI can be
considered as a cutting edge approach for molecular detection of proteins,
peptides, carbohydrates, lipids, and small molecules in tissues. This review
covers the detection of various biomolecules in histopathological sections by
MSI. Proteomic methods will be introduced into clinical histopathology within the
next few years.
PMID- 27188928
TI - The usefulness of neutrophil CD64 expression for diagnosing infection after
orthopaedic surgery in dialysis patients.
AB - BACKGROUND: Dialysis patients undergoing orthopaedic surgery are at high risk for
postoperative infection. Diagnosis of postoperative infection is difficult in
dialysis patients due to presentation of signs and symptoms similar to infection,
such as fever and elevated inflammatory marker levels. Neutrophil CD64 expression
(CD64), a marker of infection, is upregulated by microbial wall components and
several cytokines (interferon-gamma and tumor necrosis factor-alpha). The purpose
of this study is to evaluate the usefulness of CD64 for diagnosing postoperative
infection in dialysis patients post orthopaedic surgery. PATIENTS AND METHODS:
Between 2013 and 2014, we prospectively studied 36 dialysis patients (18 men, 18
women; mean age 65.9 years; 49 to 83) who underwent orthopaedic surgery. Dialysis
patients were classified into three groups according to their postoperative
course as follows; non-infected patients, infection suspected patients, and
infected patients. Inflammatory markers such as white blood cell count (WBC), C
reactive protein (CRP) and CD64 were measured before operation and one week after
surgery. Using the receiver-operating characteristic (ROC) curve and Akaike's
Information Criterion (AIC), the cutoff value of CD64 and CRP was calculated
leading to a determination of which inflammatory marker is best accurate for
detecting postoperative infection. RESULTS: We found that postoperative CD64 and
CRP levels presented a statistically significant difference between infected
patients and non-infected patients (p < 0.05). Furthermore, comparison of the ROC
curve and AIC value between postoperative CD64 and CRP levels exhibited that CD64
was more accurate infectious marker than CRP. CONCLUSION: CD64 is a useful marker
for detecting postoperative infection after orthopaedic surgery in dialysis
patients.
PMID- 27188929
TI - Enough positive rate of paraspinal mapping and diffusion tensor imaging with
levels which should be decompressed in lumbar spinal stenosis.
AB - INTRODUCTION: In lumbar spinal stenosis, correlating symptoms and physical
examination findings with decompression levels based on common imaging is not
reliable. Paraspinal mapping (PM) and diffusion tensor imaging (DTI) may be
possible to prevent the false positive occurrences with MRI and show clear
benefits to reduce the decompression levels of lumbar spinal stenosis than
conventional magnetic resonance imaging (MRI) + neurogenic examination (NE).
However, they must have enough positive rate with levels which should be
decompressed at first. The study aimed to confirm that the positive of DTI and PM
is enough in levels which should be decompressed in lumbar spinal stenosis.
MATERIALS AND METHODS: The study analyzed the positive of DTI and PM as well as
compared the preoperation scores to the postoperation scores, which were assessed
preoperatively and at 2 weeks, 3 months 6 months, and 12 months postoperatively.
RESULTS: 96 patients underwent the single level decompression surgery. The
positive rate among PM, DTI, and (PM or DTI) was 76%, 98%, 100%, respectively.
All post-operative Oswestry Disability Index (ODI), visual analog scale for back
pain (VAS-BP) and visual analog scale for leg pain (VAS-LP) scores at 2 weeks
postoperatively were measured improvement than the preoperative ODI, VAS-BP and
VAS-LP scores with statistically significance (p-value = 0.000, p-value = 0.000,
p-value = 0.000, respectively). CONCLUSIONS: In degenetive lumbar spinal
stenosis, the positive rate of (DTI or PM) is enough in levels which should be
decompressed, thence using the PM and DTI to determine decompression levels will
not miss the level which should be operated.
PMID- 27188930
TI - Comparison of fixation properties between coil-type and screw-type anchors for
rotator cuff repair: A virtual pullout testing using 3-dimensional finite element
method.
AB - BACKGROUND: Pullout of inserted anchor constitutes one of the pathomechanisms of
re-tearing after rotator cuff repair. The purpose of the present study was to
investigate the fixation properties of suture anchors using 3-dimensional finite
element method. METHODS: The computer models of three types of anchors (TwinFix
Ti, HEALICOIL PK and HEALICOIL RG) were inserted into the isotropic cube model
that simulated cancellous bone. In the virtual pullout testing, a tensile load
(500 N) along the long axis of the inserted anchor was applied to the site of
suture thread attachment to simulate a traction force. The distribution of von
Mises equivalent stress, the failure patterns of elements inside the cube and the
anchor displacement were compared among the three anchors. RESULTS: In TwinFix
Ti, the highest stress concentration was seen around the anchor threads close to
the surface of the cube, which caused element failure at this site. On the other
hand, both HEALICOIL PK and HEALICOIL RG demonstrated a high stress concentration
as well as element failure around the anchor tip. Comparing the anchor
displacement, HEALICOIL RG showed the smallest displacement among the three
anchors. The tensile loads that required a 0.1-mm displacement for TwinFix Ti,
HEALICOIL PK and HEALICOIL RG were 400 N, 370 N, and greater than 500 N,
respectively. CONCLUSIONS: The bony structures close to the footprint surface may
be damaged during surgery due to preparation for the bony bed as well as the
insertion of anchors. Thus, we assumed that HEALICOIL RG represented the best
initial fixation properties among the three anchors tested. Virtual pullout
testing using 3-dimensional finite element method could reveal the detailed
biomechanical characteristics of each suture anchor, which would be important for
shoulder surgeons to improve the clinical outcomes of rotator cuff repair.
PMID- 27188931
TI - A novel protocol to test age-related decreases in sit-to-stand movement abilities
in healthy subjects.
AB - BACKGROUND: The development of simple approaches to quantitatively estimate
functional motor performance in a wide range of ages is crucial for early
detection of locomotive syndrome (LS). Sit-to-stand (STS) movements from a chair
are important in activities of daily living (ADL), although such tasks are the
most mechanically demanding among all ADL. Here, we propose a novel test to score
STS abilities to estimate individual functional motor status and investigated age
related changes in STS scores as a method to evaluate reduction in performance.
METHODS: A total of 606 healthy subjects (average age, 48.4 years; age range, 20
87 years) without neuromusculoskeletal disorders participated in the study. We
designed a test to score STS abilities, based on STS determinant variables,
including seat height, foot positioning, and both-leg- or one-leg-standing tasks.
STS scores ranged from 0 to 14 points, depending on the difficulty or mechanical
demands of the STS task. We evaluated the STS score of the subjects divided into
seven 10-year age groups. RESULTS: A significant and negative correlation was
observed between STS scores and subject age (men: r = -0.65, P < 0.001; women: r
= -0.62, P < 0.001). The proportion of subjects able to stand on one leg from a
chair seat height of 100% of knee height decreased with age from 100% for both
men and women aged 20-29 years to 0.0% and 7.1% for men and women aged 80-87
years, respectively. A large variation in STS scores was observed for subjects
aged >60 years. There was a negative linear correlation between mean STS score
per age group and mean age (men: R(2) = 0.93, slope = -0.10, intercept = 16.63
points; women: R(2) = 0.92, slope = -0.08, intercept = 14.76 points).
CONCLUSIONS: The ability to perform STS was negatively correlated with age among
healthy subjects aged 20-87 years. For subjects aged >60 years, STS abilities
decreased, but within-group variability increased. This test was useful to
identify the deterioration of functional motor performance and prevent early LS.
PMID- 27188933
TI - "It's not my organization, it's ours".
PMID- 27188932
TI - A high intrapatient variability in tacrolimus exposure is associated with poor
long-term outcome of kidney transplantation.
AB - Tacrolimus is a critical dose drug with a considerable intrapatient variability
(IPV) in its pharmacokinetics. We investigated whether a high IPV in tacrolimus
exposure is associated with adverse long-term renal transplantation outcomes.
Tacrolimus IPV was calculated from predose concentrations measured between 6 and
12 months post-transplantation of 808 renal transplant recipients (RTRs)
transplanted between 2000 and 2010. One hundred and eighty-eight (23.3%) patients
reached the composite end point consisting of graft loss, late biopsy-proven
rejection, transplant glomerulopathy, or doubling of serum creatinine
concentration between month 12 and the last follow-up. The cumulative incidence
of the composite end point was significantly higher in patients with high IPV
than in patients with low IPV (hazard ratio: 1.41, 95% CI: 1.06-1.89; P = 0.019).
After the adjustment for several factors, the higher incidence of the composite
end point for RTRs with a high IPV remained statistically significant (hazard
ratio: 1.42, 95% CI: 1.06-1.90; P = 0.019). Younger recipient age at
transplantation, previous transplantation, worse graft function (at month 6 post
transplantation), and low mean tacrolimus concentration at 1 year post
transplantation were additional predictors for worse long-term transplant
outcome. A high tacrolimus IPV is an independent risk factor for adverse kidney
transplant outcomes that can be used as an easy monitoring tool to help identify
high-risk RTRs.
PMID- 27188934
TI - Alzheimer's disease.
AB - Alzheimer's disease is a chronic illness with long preclinical and prodromal
phases (20 years) and an average clinical duration of 8-10 years. The disease has
an estimated prevalence of 10-30% in the population >65 years of age with an
incidence of 1-3%. Most patients with Alzheimer's disease (>95%) have the
sporadic form, which is characterized by a late onset (80-90 years of age), and
is the consequence of the failure to clear the amyloid-beta (Abeta) peptide from
the interstices of the brain. A large number of genetic risk factors for sporadic
disease have been identified. A small proportion of patients (<1%) have inherited
mutations in genes that affect processing of Abeta and develop the disease at a
much younger age (mean age of ~45 years). Detection of the accumulation of Abeta
is now possible in preclinical and prodromal phases using cerebrospinal fluid
biomarkers and PET. Several approved drugs ameliorate some of the symptoms of
Alzheimer's disease, but no current interventions can modify the underlying
disease mechanisms. Management is focused on the support of the social networks
surrounding the patient and the treatment of any co-morbid illnesses, such as
cerebrovascular disease.
PMID- 27188935
TI - Association of Genetic Risk for Schizophrenia With Nonparticipation Over Time in
a Population-Based Cohort Study.
AB - Progress has recently been made in understanding the genetic basis of
schizophrenia and other psychiatric disorders. Longitudinal studies are
complicated by participant dropout, which could be related to the presence of
psychiatric problems and associated genetic risk. We tested whether common
genetic variants implicated in schizophrenia were associated with study
nonparticipation among 7,867 children and 7,850 mothers from the Avon
Longitudinal Study of Parents and Children (ALSPAC; 1991-2007), a longitudinal
population cohort study. Higher polygenic risk scores for schizophrenia were
consistently associated with noncompletion of questionnaires by study mothers and
children and nonattendance at data collection throughout childhood and
adolescence (ages 1-15 years). These associations persisted after adjustment for
other potential correlates of nonparticipation. Results suggest that persons at
higher genetic risk for schizophrenia are likely to be underrepresented in cohort
studies, which will underestimate risk of this and related psychiatric,
cognitive, and behavioral phenotypes in the population. Statistical power to
detect associations with these phenotypes will be reduced, while analyses of
schizophrenia-related phenotypes as outcomes may be biased by the nonrandom
missingness of these phenotypes, even if multiple imputation is used. Similarly,
in complete-case analyses, collider bias may affect associations between genetic
risk and other factors associated with missingness.
PMID- 27188937
TI - Associations of Blood Pressure With Geographical Latitude, Solar Radiation, and
Ambient Temperature: Results From the Chilean Health Survey, 2009-2010.
PMID- 27188936
TI - Measures of Body Size and Composition and Risk of Incident Atrial Fibrillation in
Older People: The Cardiovascular Health Study.
AB - Various anthropometric measures, including height, have been associated with
atrial fibrillation (AF). This raises questions about the appropriateness of
using ratio measures such as body mass index (BMI), which contains height squared
in its denominator, in the evaluation of AF risk. Among older adults, the optimal
anthropometric approach to risk stratification of AF remains uncertain.
Anthropometric and bioelectrical impedance measures were obtained from 4,276
participants (mean age = 72.4 years) free of cardiovascular disease in the
Cardiovascular Health Study. During follow-up (1989-2008), 1,050 cases of AF
occurred. BMI showed a U-shaped association, whereas height, weight, waist
circumference, hip circumference, fat mass, and fat-free mass were linearly
related to incident AF. The strongest adjusted association occurred for height
(per each 1-standard-deviation increment, hazard ratio = 1.38, 95% confidence
interval: 1.25, 1.51), which exceeded all other measures, including weight
(hazard ratio = 1.21, 95% confidence interval: 1.13, 1.29). Combined assessment
of log-transformed weight and height showed regression coefficients that departed
from the 1 to -2 ratio inherent in BMI, indicating a loss of predictive
information. Risk estimates for AF tended to be stronger for hip circumference
than for waist circumference and for fat-free mass than for fat mass, which was
explained largely by height. These findings highlight the prominent role of body
size and the inadequacy of BMI as determinants of AF in older adults.
PMID- 27188938
TI - Physical Frailty Assessment in Older Women: Can Simplification Be Achieved
Without Loss of Syndrome Measurement Validity?
AB - Different phenotypes have increasingly been used as tools for clinical
characterization of frailty among older adults. Although there have been studies
about the comparability and effectiveness of various simplifications and
approximations of existing frailty phenotypes for risk prediction, there have
been no studies in which investigators evaluated the stability of the clinical
characterization achieved. In the present study, we used baseline (1992-1996)
data from 786 community-dwelling women who were 70-79 years of age in the Women's
Health and Aging Study I and II to compare physical frailty phenotypes (PFPs).
Using the 5 criteria set forth by Fried, we created 15 PFPs that were positive
for various combinations of 3 or 4 of those criteria and compared them with the
PFP that included all 5 criteria in order to assess construct validity with
regard to frailty syndrome characterization and predictive validity for adverse
outcomes of aging. All PFPs exhibited high specificity and negative predictive
values for identifying frailty syndrome. Three-item PFPs were insensitive but
were the best performers for positive predictive value, with the highest positive
predictive value of 0.86 seen in the PFP characterized by the combination of
weakness, exhaustion, and weight loss. In comparison, the 5-criterion PFP
achieved a sensitivity of 0.82 but a positive predictive value of only 0.53. With
regard to predictive validity, it was not merely the number of criteria used to
characterize the PFPs but rather the specific criteria combinations that
predicted the risk of adverse outcomes. Our findings show that there clinically
important contexts in which simplified PFPs cannot be used interchangeably.
PMID- 27188939
TI - Bayesian Correction of Misclassification of Pertussis in Vaccine Effectiveness
Studies: How Much Does Underreporting Matter?
AB - Diagnosis of pertussis remains a challenge, and consequently research on the risk
of disease might be biased because of misclassification. We quantified this
misclassification and corrected for it in a case-control study of children in
Philadelphia, Pennsylvania, who were 3 months to 6 years of age and diagnosed
with pertussis between 2011 and 2013. Vaccine effectiveness (VE; calculated as (1
- odds ratio) * 100) was used to describe the average reduction in reported
pertussis incidence resulting from persons being up to date on pertussis-antigen
containing vaccines. Bayesian techniques were used to correct for purported
nondifferential misclassification by reclassifying the cases per the 2014 Council
of State and Territorial Epidemiologists pertussis case definition. Naive VE was
50% (95% confidence interval: 16%, 69%). After correcting for misclassification,
VE ranged from 57% (95% credible interval: 30, 73) to 82% (95% credible interval:
43, 95), depending on the amount of underreporting of pertussis that was assumed
to have occurred in the study period. Meaningful misclassification was observed
in terms of false negatives detected after the incorporation of infant apnea to
the 2014 case definition. Although specificity was nearly perfect, sensitivity of
the case definition varied from 90% to 20%, depending on the assumption about
missed cases. Knowing the degree of the underreporting is essential to the
accurate evaluation of VE.
PMID- 27188940
TI - Body Mass Index and Risk of Infections Among Women in the Danish National Birth
Cohort.
AB - We investigated the possible association between body mass index (BMI; weight
(kg)/height (m)(2)) and hospitalization or treatment for acute infection in a
prospective cohort study. We linked 75,001 women enrolled in the Danish National
Birth Cohort from 1996 to 2002, who had information on BMI and a broad range of
confounders, to data on infectious diseases and use of antimicrobial agents from
the National Patient Register and the Danish Prescription Register. Associations
were tested using Cox proportional hazards models. During 12 years of follow-up,
we observed a U-shaped association between baseline BMI and later hospitalization
for 1) any infectious disease and 2) infections of the respiratory tract, whereas
a dose-response relationship was seen for skin infections. The most pronounced
associations were seen for acute upper respiratory infections at multiple and
unspecified sites (underweight (BMI <18.5): hazard ratio (HR) = 4.26, 95%
confidence interval (CI): 1.69, 10.7; obesity (BMI >=30): HR = 3.64, 95% CI:
1.62, 8.18), erysipelas (obesity: HR = 5.19, 95% CI: 3.38, 7.95), and fungal
infections (underweight: HR = 3.19, 95% CI: 1.53, 6.66). Slightly greater use of
antimicrobials was observed among overweight (BMI 25-<30; HR = 1.08, 95% CI:
1.06, 1.10) and obese (HR = 1.21, 95% CI: 1.17, 1.24) women. Among Danish women,
underweight and obesity were associated with increased risk of community-acquired
infectious diseases, especially infections of the upper respiratory tract and
skin.
PMID- 27188941
TI - Exposure to Ambient Air Pollution and Premature Rupture of Membranes.
AB - Premature rupture of membranes (PROM) is a major factor that predisposes women to
preterm delivery. Results from previous studies have suggested that there are
associations between exposure to air pollution and preterm birth, but evidence of
a relationship with PROM is sparse. Modified Community Multiscale Air Quality
models were used to estimate mean exposures to particulate matter less than 10 um
or less than 2.5 um in aerodynamic diameter, nitrogen oxides, carbon monoxide,
sulfur dioxide, and ozone among 223,375 singleton deliveries in the Air Quality
and Reproductive Health Study (2002-2008). We used log-linear models with
generalized estimating equations to estimate adjusted relative risks and 95%
confidence intervals for PROM per each interquartile-range increase in pollutants
across the whole pregnancy, on the day of delivery, and 5 hours before delivery.
Whole-pregnancy exposures to carbon monoxide and sulfur dioxide were associated
with an increased risk of PROM (for carbon monoxide, relative risk (RR) = 1.09,
95% confidence interval (CI): 1.04, 1.14; for sulfur dioxide, RR = 1.15, 95% CI:
1.06, 1.25) but not preterm PROM. Ozone exposure increased the risk of PROM on
the day of delivery (RR = 1.06, 95% CI: 1.02, 1.09) and 1 day prior (RR = 1.04,
95% CI: 1.01, 1.07). In the 5 hours preceding delivery, there were 3%-7%
increases in risk associated with exposure to ozone and particulate matter less
than 2.5 um in aerodynamic diameter and inverse associations with exposure to
carbon monoxide and nitrogen oxides. Acute and long-term air pollutant exposures
merit further study in relation to PROM.
PMID- 27188942
TI - Acquired Color Vision Defects and Hexane Exposure: A Study of San Francisco Bay
Area Automotive Mechanics.
AB - Occupational exposure to solvents, including n-hexane, has been associated with
acquired color vision defects. Blue-yellow defects are most common and may be due
to neurotoxicity or retinal damage. Acetone may potentiate the neurotoxicity of n
hexane. We present results on nonhexane solvent and hexane exposure and color
vision from a cross-sectional study of 835 automotive repair workers in the San
Francisco Bay Area, California (2007-2013). Cumulative exposure was estimated
from self-reported work history, and color vision was assessed using the Lanthony
desaturated D-15 panel test. Log-binomial regression was used to estimate
prevalence ratios for color vision defects. Acquired color vision defects were
present in 29% of participants, of which 70% were blue-yellow. Elevated
prevalence ratios were found for nonhexane solvent exposure, with a maximum of
1.31 (95% confidence interval (CI): 0.86, 2.00) for blue-yellow. Among
participants aged <=50 years, the prevalence ratio for blue-yellow defects was
2.17 (95% CI: 1.03, 4.56) in the highest quartile of nonhexane solvent exposure
and 1.62 (95% CI: 0.97, 2.72) in the highest category of exposure to hexane with
acetone coexposure. Cumulative exposures to hexane and nonhexane solvents in the
highest exposure categories were associated with elevated prevalence ratios for
color vision defects in younger participants.
PMID- 27188943
TI - Reevaluating Cumulative HIV-1 Viral Load as a Prognostic Predictor: Predicting
Opportunistic Infection Incidence and Mortality in a Ugandan Cohort.
AB - Recent studies have evaluated cumulative human immunodeficiency virus type 1 (HIV
1) viral load (cVL) for predicting disease outcomes, with discrepant results. We
reviewed the disparate methodological approaches taken and evaluated the
prognostic utility of cVL in a resource-limited setting. Using data on the
Infectious Diseases Institute (Makerere University, Kampala, Uganda) cohort, who
initiated antiretroviral therapy in 2004-2005 and were followed up for 9 years,
we calculated patients' time-updated cVL by summing the area under their viral
load curves on either a linear scale (cVL1) or a logarithmic scale (cVL2). Using
Cox proportional hazards models, we evaluated both metrics as predictors of
incident opportunistic infections and mortality. Among 489 patients analyzed,
neither cVL measure was a statistically significant predictor of opportunistic
infection risk. In contrast, cVL2 (but not cVL1) was a statistically significant
predictor of mortality, with each log10 increase corresponding to a 1.63-fold
(95% confidence interval: 1.02, 2.60) elevation in mortality risk when cVL2 was
accumulated from baseline. However, whether cVL is predictive or not hinges on
difficult choices surrounding the cVL metric and statistical model employed.
Previous studies may have suffered from confounding bias due to their focus on
cVL1, which strongly correlates with other variables. Further methodological
development is needed to illuminate whether the inconsistent predictive utility
of cVL arises from causal relationships or from statistical artifacts.
PMID- 27188944
TI - Association of Clomiphene and Assisted Reproductive Technologies With the Risk of
Neural Tube Defects.
AB - Clomiphene and assisted reproductive technologies (ART) are methods used to help
subfertile couples become pregnant. ART has been reported to be associated with
neural tube defects (NTDs) in offspring. To evaluate these associations, we
studied mothers of 219 cases and 4,262 controls from the Slone Epidemiology
Center Birth Defects Study (1993-2012) who were interviewed within 6 months after
delivery about pregnancy events, including use of fertility treatments. We
considered exposures to clomiphene (without ART) and ART during the
periconceptional period. Logistic regression models were used to calculate
adjusted odds ratios and 95% confidence intervals, controlling for education and
study center. We observed elevated adjusted odds ratios of 2.1 (95% confidence
interval: 0.9, 4.8) and 2.0 (95% confidence interval: 1.1, 3.6) for clomiphene
and ART exposure, respectively. We performed a mediation analysis to assess
whether the observed elevated NTD risk was mediated through multiple births. For
clomiphene exposure without ART use, the direct effect estimate of the adjusted
odds ratio (aORDE) was 1.7 and the indirect effect estimate (aORIE) was 1.4.
Conversely, for ART exposure, the aORDE was 0.9 and the aORIE was 2.5. Our
findings suggest that relatively little of the clomiphene-NTD association is
mediated through the pathway of multiple births, while the ART-NTD association
was explained by the multiple-births pathway.
PMID- 27188947
TI - Re: "Premenstrual Syndrome and Subsequent Risk of Hypertension in a Prospective
Study".
PMID- 27188946
TI - Neighborhood Environments and Incident Hypertension in the Multi-Ethnic Study of
Atherosclerosis.
AB - We examined relationships between neighborhood physical and social environments
and incidence of hypertension in a cohort of 3,382 adults at 6 sites in the
United States over 10 years of follow-up (2000-2011), using data from the Multi
Ethnic Study of Atherosclerosis. The sample was aged 45-84 years (mean = 59
years) and free of clinical cardiovascular disease and hypertension at baseline.
Of the participants, 51% were female, 44% white, 23% Hispanic, 21% black, and 13%
Chinese-American; 39% of participants developed hypertension during an average of
7.2 years of follow-up. Cox models were used to estimate associations of time
varying cumulative average neighborhood features (survey-based healthy food
availability, walking environment, social cohesion, safety, and geographic
information system-based density of favorable food stores and recreational
resources) with incident hypertension. After adjustment for individual and
neighborhood-level covariates, a 1-standard-deviation increase in healthy food
availability was associated with a 12% lower rate of hypertension (hazard ratio =
0.88, 95% confidence interval: 0.82, 0.95). Other neighborhood features were not
related to incidence of hypertension. The neighborhood food environment is
related to the risk of hypertension.
PMID- 27188945
TI - Herpes Simplex Virus Type 2 Seroprevalence and Ultrasound-Diagnosed Uterine
Fibroids in a Large Population of Young African-American Women.
AB - For decades reproductive tract infections (RTIs) have been hypothesized to play a
role in uterine fibroid development. The few previous studies conducted used self
reported history of RTIs and had inconsistent findings. We investigated this
hypothesis further using serological analysis, an immunological measure of past
exposure. We focused on herpes simplex virus type 2 (HSV-2) because prior
published data have suggested a possible association with fibroids, and serology
for HSV-2 is much more sensitive than self-report. We used cross-sectional
enrollment data from African-American women enrolled in a prospective study of
fibroid incidence and growth (recruited 2010-2012) in the Detroit, Michigan,
area. The women were aged 23-34 years and were screened for fibroids using a
standardized ultrasound examination at their enrollment. Age- and multivariable
adjusted logistic regression models were used to estimate odds ratios. Of 1,696
participants, 1,658 had blood samples and HSV-2 serology results; 22% of
participants with serology results had fibroids. There was no significant
association between HSV-2 seropositivity and the presence of fibroids
(multivariable-adjusted odds ratio = 0.94, 95% confidence interval: 0.73, 1.20),
nor were there any associations with size of the largest fibroid, number of
fibroids, or total fibroid volume. Our data provide no evidence for an influence
of HSV-2 exposure on fibroid risk in young African-American women. Further study
of other serologically measured RTIs is warranted.
PMID- 27188948
TI - Changes in Susceptibility to Heat During the Summer: A Multicountry Analysis.
AB - Few studies have examined the variation in mortality risk associated with heat
during the summer. Here, we apply flexible statistical models to investigate the
issue by using a large multicountry data set. We collected daily time-series data
of temperature and mortality from 305 locations in 9 countries, in the period
1985-2012. We first estimated the heat-mortality relationship in each location
with time-varying distributed lag non-linear models, using a bivariate spline to
model the exposure-lag-response over lag 0-10. Estimates were then pooled by
country through multivariate meta-analysis. Results provide strong evidence of a
reduction in risk over the season. Relative risks for the 99th percentile versus
the minimum mortality temperature were in the range of 1.15-2.03 in early summer.
In late summer, the excess was substantially reduced or abated, with relative
risks in the range of 0.97-1.41 and indications of wider comfort ranges and
higher minimum mortality temperatures. The attenuation is mainly due to shorter
lag periods in late summer. In conclusion, this multicountry analysis suggests a
reduction of heat-related mortality risk over the summer, which can be attributed
to several factors, such as true acclimatization, adaptive behaviors, or
harvesting effects. These findings may have implications on public health
policies and climate change health impact projections.
PMID- 27188949
TI - Relating Pneumococcal Carriage Among Children to Disease Rates Among Adults
Before and After the Introduction of Conjugate Vaccines.
AB - The use of pneumococcal conjugate vaccines (PCVs) in children has a strong
indirect effect on disease rates in adults. When children are vaccinated with
PCVs, other serotypes that are not targeted by the vaccine can increase in
frequency (serotype replacement) and reduce the direct and indirect benefits of
the vaccine. To understand and predict the likely impacts of serotype
replacement, it is important to know how patterns in the transmission of
serotypes among children relate to disease rates in adults. We used data on
pneumococcal carriage and disease from Navajo Nation children and adults
collected before and after the routine use of PCVs (1998-2012). Using regression
models within a Bayesian framework, we found that serotype-specific carriage and
invasiveness (disease incidence divided by carriage prevalence) had similar
patterns in children and adults. Moreover, carriage in children, invasiveness in
children, and a serotype-specific random intercept (which captured additional
variation associated with the serotypes) could predict the incidence serotype
specific pneumococcal disease in adults 18-39 years of age and those 40 years of
age or older in the era of routine use of PCVs. These models could help us
predict the effects of future pneumococcal vaccine use in children on disease
rates in adults, and the modeling approach developed here could be used to test
these findings in other settings.
PMID- 27188950
TI - What Transmission Precautions Best Control Influenza Spread in a Hospital?
AB - Influenza is a significant problem within hospitals, leading to extended hospital
stays, excess morbidity and mortality, and economic loss. Prevention and control
strategies are generally "bundled"; therefore, the individual effects of
particular strategies and the value of combined strategies cannot be determined
directly, making it difficult to discern the optimal strategy. To quantify the
individual and joint effectiveness of several known influenza infection control
measures used in general hospitals, we simulated influenza transmission at a
hypothetical hospital in Ann Arbor, Michigan, during a 1-year seasonal epidemic
(June 2012-June 2013), using a susceptible-exposed-infected-recovered (SEIR)
compartmental model. The hospital population comprised patients and health-care
workers, interacting with its larger community population. Parameter ranges and
values were determined from the literature (both national and local to Ann Arbor)
and took into account coverage levels and effects of vaccination. The most
effective individual strategies, based on percent reduction of cases, were: hand
washing (11%-27%), health-care worker vaccination (6%-19%), prevaccination of
patients (4%-17%), patient isolation (5%-16%), antiviral treatment (4%-14%), and
use of face masks (3%-10%). Use of all strategies together with ideal levels of
compliance could potentially halve the number of observed hospital cases of
influenza; under a more realistic scenario, an almost 40% reduction could be
achieved. A multifaceted approach is imperative to control and prevent nosocomial
influenza in health-care settings.
PMID- 27188952
TI - Trends in the Incidence of Parkinson Disease in the General Population: The
Rotterdam Study.
AB - We investigated trends in the incidence of parkinsonism and Parkinson disease
(PD) by comparing data from the first 2 subcohorts of the Rotterdam Study, a
prospective, population-based cohort study (first subcohort: baseline 1990 with
10 years of follow-up; second subcohort, baseline 2000 with 10 years of follow
up). From the baseline years, we observed differences in the second subcohort
that were associated with a lower risk of PD for some but not all baseline risk
factors. Participants in both subcohorts were followed for a maximum of 10 years
and monitored for the onset of parkinsonism, the onset of dementia, or death,
until January 1, 2011. We used Poisson regression models to compare the
incidences of parkinsonism, both overall and by cause (PD and secondary causes),
and competitive events (incident dementia and death) as well as the mortality of
parkinsonism patients in the 2 subcohorts. In the 1990 subcohort, there were 182
cases of parkinsonism (84 of which were PD) during 57,052 person-years. In the
2000 subcohort, we observed 28 cases of parkinsonism (10 with PD) during 22,307
person-years. The overall age- and sex-adjusted incidence of parkinsonism was
lower in the 2000 subcohort (incidence rate ratio = 0.55, 95% confidence
interval: 0.36, 0.81), and PD incidence declined sharply (incidence rate ratio =
0.39, 95% confidence interval: 0.19, 0.72). Competitive event rates were lower in
the 2000 subcohort, and mortality rates among persons with parkinsonism remained
stable. These findings suggest that the incidence of parkinsonism in general, and
of PD in particular, decreased between 1990 and 2011.
PMID- 27188951
TI - Cost-Effectiveness of Pertussis Vaccination During Pregnancy in the United
States.
AB - Vaccination against pertussis has reduced the disease burden dramatically, but
the most severe cases and almost all fatalities occur in infants too young to be
vaccinated. Recent epidemiologic evidence suggests that targeted vaccination of
mothers during pregnancy can reduce pertussis incidence in their infants. To
evaluate the cost-effectiveness of antepartum maternal vaccination in the United
States, we created an age-stratified transmission model, incorporating empirical
data on US contact patterns and explicitly modeling parent-infant exposure.
Antepartum maternal vaccination incurs costs of $114,000 (95% prediction
interval: 82,000, 183,000) per quality-adjusted life-year, in comparison with the
strategy of no adult vaccination, and is cost-effective in the United States
according to World Health Organization criteria. By contrast, vaccinating a
second parent is not cost-effective, and vaccination of either parent postpartum
is strongly dominated by antepartum maternal vaccination. Nonetheless, postpartum
vaccination of mothers who were not vaccinated antepartum improves upon the
current recommendation of untargeted adult vaccination. Additionally, the
temporary direct protection of the infant due to maternal antibody transfer has
efficacy for infants comparable to that conferred to toddlers by the full primary
vaccination series. Efficient protection against pertussis for infants begins
before birth. We highly recommend antepartum vaccination for as many US mothers
as possible.
PMID- 27188954
TI - Efficient visible light photocatalytic NOx removal with cationic Ag clusters
grafted (BiO)2CO3 hierarchical superstructures.
AB - A facile method was developed to graft cationic Ag clusters on (BiO)2CO3
hierarchical superstructures (BHS) surface to improve their visible light
activity. Significantly, the resultant Ag clusters-grafted BHS displayed a highly
enhanced visible light photocatalytic performance for NOx removal due to the
direct interfacial charge transfer (IFCT) from BHS to Ag clusters. The chemical
and coordination state of the cationic Ag clusters was determined with the
extended X-ray absorption fine structure (EXAFS) and a theoretical structure
model was proposed for this unique Ag clusters. The charge transfer process and
the dominant reactive species (OH) were revealed on the basis of electron spin
resonance (ESR) trapping. A new photocatalysis mechanism of Ag clusters-grafted
BHS under visible light involving IFCT process was uncovered. In addition, the
cationic Ag clusters-grafted BHS also demonstrated high photochemical and
structural stability under repeated photocatalysis runs. The perspective of
enhancing photocatalysis through combination of microstructural optimization and
IFCT could provide a new avenue for the developing efficient visible light
photocatalysts.
PMID- 27188955
TI - Clinical Abstracts of the British Small Animal Veterinary Association Congress
2016, Birmingham, UK, April 7-10, 2016.
PMID- 27188953
TI - Applying Multiple Methods to Comprehensively Evaluate a Patient Portal's
Effectiveness to Convey Information to Patients.
AB - BACKGROUND: Patient portals have yet to achieve their full potential for
enhancing health communication and improving health outcomes. Although the
Patient Protection and Affordable Care Act in the United States mandates the
utilization of patient portals, and usage continues to rise, their impact has not
been as profound as anticipated. OBJECTIVE: The objective of our case study was
to evaluate how well portals convey information to patients. To demonstrate how
multiple methodologies could be used to evaluate and improve the design of
patient-centered portals, we conducted an in-depth evaluation of an exemplar
patient-centered portal designed to promote preventive care to consumers.
METHODS: We used 31 critical incident patient interviews, 2 clinician focus
groups, and a thematic content analysis to understand patients' and clinicians'
perspectives, as well as theoretical understandings of the portal's use. RESULTS:
We gathered over 140 critical incidents, 71.8% (102/142) negative and 28.2%
(40/142) positive. Positive incident categories were (1) instant medical
information access, (2) clear health information, and (3) patient vigilance.
Negative incident categories were (1) standardized content, (2) desire for direct
communication, (3) website functionality, and (4) difficulty interpreting
laboratory data. Thematic analysis of the portal's immediacy resulted in high
scores in the attributes enhances understanding (18/23, 78%), personalization
(18/24, 75%), and motivates behavior (17/24, 71%), but low levels of
interactivity (7/24, 29%) and engagement (2/24, 8%). Two overarching themes
emerged to guide portal refinements: (1) communication can be improved with
directness and interactivity and (2) perceived personalization must be greater to
engage patients. CONCLUSIONS: Results suggest that simple modifications, such as
increased interactivity and personalized messages, can make portals customized,
robust, easily accessible, and trusted information sources.
PMID- 27188956
TI - Computational approach to analyze isolated ssDNA aptamers against angiotensin II.
AB - Aptamers are oligonucleotides with highly structured molecules that can bind to
their targets through specific 3-D conformation. Commonly, not all the
nucleotides such as primer binding fixed region and some other sequences are
vital for aptamers folding and interaction. Elimination of unnecessary regions
needs trustworthy prediction tools to reduce experimental efforts and errors.
Here we introduced a manipulated in-silico approach to predict the 3-D structure
of aptamers and their target interactions. To design an approach for
computational analysis of isolated ssDNA aptamers (FLC112, FLC125 and their
truncated core region including CRC112 and CRC125), their secondary and tertiary
structures were modeled by Mfold and RNA composer respectively. Output PDB files
were modified from RNA to DNA in the discovery studio visualizer software. Using
ZDOCK server, the aptamer-target interactions were predicted. Finally, the
interaction scores were compared with the experimental results. In-silico
interaction scores and the experimental outcomes were in the same descending
arrangement of FLC112>CRC125>CRC112>FLC125 with similar intensity. The consistent
results of innovative in-silico method with experimental outputs, affirmed that
the present method may be a reliable approach. Also, it showed that the exact in
silico predictions can be utilized as a credible reference to find aptameric
fragments binding potency.
PMID- 27188957
TI - Stable and enhanced gene expression in Clostridium acetobutylicum using synthetic
untranslated regions with a stem-loop.
AB - Gene overexpression is one of the most basic strategies in metabolic engineering,
but the factors determining gene expression levels have been poorly studied in
Clostridium species. In this study, we found that a short single-stranded 5'
untranslated region (UTR) sequence led to decreased gene expression in
Clostridium acetobutylicum. Using an in vitro enzyme assay and reverse
transcription-quantitative PCR, we found that addition of a small stem-loop at
the 5' end of mRNA increased mRNA levels and thereby protein expression levels up
to 4.6-fold, possibly protecting mRNA from exonuclease attack. Gene-expression
levels were apparently independent of the stability of the added stem-loop; the
existence of a stem-loop itself appears to be more important. Our results
indicate that efficient expression cassettes can be designed by taking the 5' UTR
into consideration, as the expression levels can vary even though the same
promoter and RBS are used. These findings will be useful for developing a more
reliable gene expression system for metabolic engineering of Clostridium strains.
PMID- 27188958
TI - Chronological clinical and pathological documentation of porcine ulcerative
dermatitis.
AB - BACKGROUND: Porcine ulcerative dermatitis syndrome (PUDS) is a rare disease of
breeding sows with an unknown pathogenesis. OBJECTIVE: To describe the evolution
of clinical and histopathological lesions over the course of the disease and to
elucidate the pathogenesis. ANIMAL: A 24-month-old, pluriparous, large white sow
presented during gestation with ulcerations around the teats compatible with
PUDS. METHODS AND RESULTS: Clinical and histopathological lesions were monitored
over the course of the disease (i.e. during and after the subsequent pregnancy).
A clear gestation-dependent flare of the lesions was observed with partial
resolution occurring postpartum. The histological pattern presented as a
lymphocytic interface dermatitis. CONCLUSIONS AND CLINICAL IMPORTANCE: The
findings in this case report link gestation with the development of clinical
signs and histological changes. Multiparity appears to enhance severity and may
finally result in a self-perpetuating disease. Therefore, it seems advisable to
cull breeding sows after they have developed PUDS.
PMID- 27188959
TI - Glycans affect DNA extraction and induce substantial differences in gut
metagenomic studies.
AB - Exopolysaccharides produced by bacterial species and present in feces are
extremely inhibitory to DNA restriction and can cause discrepancies in
metagenomic studies. We determined the effects of different DNA extraction
methods on the apparent composition of the gut microbiota using Illumina MiSeq
deep sequencing technology. DNA was extracted from the stool from an obese female
using 10 different methods and the choice of DNA extraction method affected the
proportional abundance at the phylum level, species richness (Chao index, 227 to
2,714) and diversity (non parametric Shannon, 1.37 to 4.4). Moreover DNA was
extracted from stools obtained from 83 different individuals by the fastest
extraction assay and by an extraction assay that degradated exopolysaccharides.
The fastest extraction method was able to detect 68% to 100% genera and 42% to
95% species whereas the glycan degradation extraction method was able to detect
56% to 93% genera and 25% to 87% species. To allow a good liberation of DNA from
exopolysaccharides commonly presented in stools, we recommend the mechanical
lysis of stools plus glycan degradation, used here for the first time. Caution
must be taken in the interpretation of current metagenomic studies, as the
efficiency of DNA extraction varies widely among stool samples.
PMID- 27188961
TI - Cortical astrogliosis and increased perivascular aquaporin-4 in idiopathic
intracranial hypertension.
AB - The syndrome idiopathic intracranial hypertension (IIH) includes symptoms and
signs of raised intracranial pressure (ICP) and impaired vision, usually in
overweight persons. The pathogenesis is unknown. In the present prospective
observational study, we characterized the histopathological changes in biopsies
from the frontal brain cortical parenchyma obtained from 18 IIH patients.
Reference specimens were sampled from 13 patients who underwent brain surgery for
epilepsy, tumors or acute vascular diseases. Overnight ICP monitoring revealed
abnormal intracranial pressure wave amplitudes in 14/18 IIH patients, who
underwent shunt surgery and all responded favorably. A remarkable
histopathological observation in IIH patients was patchy astrogliosis defined as
clusters of hypertrophic astrocytes enclosing a nest of nerve cells. Distinct
astrocyte domains (i.e. no overlap between astrocyte processes) were lacking in
most IIH biopsy specimens, in contrast to their prevalence in reference
specimens. Evidence of astrogliosis in IIH was accompanied with significantly
increased aquaporin-4 (AQP4) immunoreactivity over perivascular astrocytic
endfeet, compared to the reference specimens, measured with densitometry.
Scattered CD68 immunoreactive cells (activated microglia and macrophages) were
recognized, indicative of some inflammation. No apoptotic cells were
demonstrable. We conclude that the patchy astrogliosis is a major finding in
patients with IIH. We propose that the astrogliosis impairs intracranial pressure
volume reserve capacity, i.e. intracranial compliance, and contributes to the IIH
by restricting the outflow of fluid from the cranium. The increased perivascular
AQP4 in IIH may represent a compensatory mechanism to enhance brain fluid
drainage.
PMID- 27188962
TI - Witnesses of causal nonseparability: an introduction and a few case studies.
AB - It was recently realised that quantum theory allows for so-called causally
nonseparable processes, which are incompatible with any definite causal order.
This was first suggested on a rather abstract level by the formalism of process
matrices, an extension of the quantum formalism which only assumes that quantum
theory holds locally in some observers' laboratories, but does not impose a
global causal structure; it was then shown, on a more practical level, that the
quantum switch-a new, already implementable resource for quantum computation that
goes beyond causally ordered circuits-provided precisely a physical example of a
causally nonseparable process. To demonstrate that a given process is causally
nonseparable, the concept of witnesses of causal nonseparability was introduced.
Here we present a shorter introduction to this concept, and concentrate on some
explicit examples-by considering in particular different noise models for the
quantum switch-to show how to construct and use such witnesses in practice.
PMID- 27188960
TI - On-treatment HCV RNA as a predictor of sustained virological response in HCV
genotype 3-infected patients treated with daclatasvir and sofosbuvir.
AB - BACKGROUND AND AIMS: Many currently available direct-acting antiviral (DAA)
regimens are less effective against HCV genotype 3 than against other HCV
genotypes. The all-oral, pangenotypic DAA combination of daclatasvir (NS5A
inhibitor) + sofosbuvir (nucleotide NS5B inhibitor) was studied in genotype 3
infected treatment-naive and -experienced patients (ALLY-3) who achieved rates of
sustained virological response at post-treatment Week 12 (SVR12) of 90 and 86%
respectively. In this analysis, we assessed whether on-treatment responses to
daclatasvir + sofosbuvir in genotype 3-infected patients could predict treatment
outcome. METHODS: In ALLY-3, treatment-naive and -experienced patients, with or
without cirrhosis, were treated with daclatasvir + sofosbuvir for 12 weeks. HCV
RNA kinetics and categorical virological responses on treatment were assessed.
The proportions of responders and nonresponders by study week, and time to first
undetectable HCV RNA, were analysed for utility in predicting treatment outcome.
RESULTS: Overall, HCV RNA levels declined rapidly during Week 1 of treatment in
both treatment-naive and -experienced cohorts. Although patients with cirrhosis
had a slower initial virological response as measured by the proportion of
patients with HCV RNA below the lower limit of quantification at Week 1,
responses converged thereafter. Positive and negative predictive values
calculated for on-treatment responses were generally comparable with the overall
SVR12 rate and were therefore limited indicators of outcome. SVR12 rates were not
impacted by time to first undetectable HCV RNA. CONCLUSIONS: On-treatment
responses are not useful predictors of ultimate virological response to the
daclatasvir + sofosbuvir regimen.
PMID- 27188963
TI - Escherichia coli bacteraemias in intensive care unit patients.
AB - BACKGROUND: Although bacterial infections are common in critically ill patients,
isolation of bacteria from the sample is not always unambiguous. The authors
addressed Escherichia coli bacteraemia in patients treated in the Intensive Care
Unit in the Teaching Hospital in Gdansk in 2002-2009. METHODS: Using a computer
database, the names of Escherichia coli positive patients and dates of blood
sampling were found, followed by a retrospective assessment whether positive
blood cultures were accompanied by the clinical features of sepsis or
asymptomatic bacteraemia. RESULTS: Positive cultures were found in 40 blood
samples (36 patients). Bacteraemia was diagnosed in 11, sepsis in 10, severe
sepsis in 6 and septic shock in 13 cases. In the bacteraemia group, the condition
originated from the gastrointestinal tract - 4 cases; from the lungs - 1; while
in 6 cases, the aetiology was not detected. In patients with an infection, the
likely source was the gastrointestinal tract - 12 cases; the lungs - 4; and
pyothorax - 2. In 11 cases, the aetiology remained unidentified. In 3 patients in
the bacteraemia group, cultures of other microorganisms were found to be
positive, while there were 4 cases among the septic patients. In the bacteraemia
group, 8 patients died in the intensive care unit, without relation to
bacteraemia. Amongst septic patients 17 died, including 12 whose death was
probably attributable to Escherichia coli infection. CONCLUSIONS: Escherichia
coli bacteraemias and infections have been and will remain an everyday problem in
hospital wards. The differentiation of asymptomatic bacteraemia from infection is
essential for rational antibiotic therapy, which is particularly important
considering the increasing resistance of microorganisms.
PMID- 27188964
TI - Efficiency of goal-directed oxygen delivery in ICU patients.
AB - BACKGROUND: Current clinical practice guidelines promote a goal-directed approach
for oxygen delivery with respect to SpO2 objectives. We evaluated the efficiency
of a strategy based on goal-directed O2 delivery in the ICU. METHODS: A group of
30 patients (Group 1) with a proven history of chronic obstructive pulmonary
disease suffering from acute hypercarbic exacerbation was compared to 2 other
groups of patients admitted for acute respiratory failure with no history of
pulmonary disease: 30 patients requiring oxygen supply and/or non-invasive
ventilation (Group 2) and 30 requiring invasive ventilation (Group 3). The
delivery of oxygen was based on SpO2 measurement: 88-94% for Group 1 and 90-96%
for others. The time spent with an SpO2 below, within and above the prescribed
limits was collected. RESULTS: The mean time spent within the prescribed range
was for Groups 1, 2 and 3, respectively as follows: 61.9% [60.5-63.2], 63.7%
[62.3-65] and 56.4% [55.3-57.6] (P < 0.001 for each group). A history of chronic
obstructive pulmonary disease was not correlated with better results (P = 0.11),
while invasive ventilation was related to the time spent out of the prescribed
range (P < 0.001; OR 1.3 [1.22-1.28]) especially in hyperoxaemia (40.7% [39.6
41.8] P < 0.001). Efficiency seems unrelated to nursing workload or night team
exhaustion (r = -0.09, P = 0.77). CONCLUSIONS: Goal-directed oxygen delivery
based on SpO2 objectives in ICU patients ensures that in only approximately 64%
of the time, SpO2 stays within the prescribed range.
PMID- 27188965
TI - Patent foramen ovale.
AB - Patent foramen ovale (PFO) is the most common congenital heart abnormality of
fetal origin and is present in approximately ~25% of the worldwide adult
population. PFO is the consequence of failed closure of the foramen ovale, a
normal structure that exists in the fetus to direct blood flow directly from the
right to the left atrium, bypassing the pulmonary circulation. PFO has
historically been associated with an increased risk of stroke, the mechanism of
which has been attributed to the paradoxical embolism of venous thrombi that
shunt through the PFO directly to the left atrium. However, several studies have
failed to show an increased risk of stroke in asymptomatic patients with a PFO,
and the risk of stroke recurrence is low in patients who have had a stroke that
may be attributed to a PFO. With the advent of transoesophageal and transthoracic
echocardiography, as well as transcranial Doppler, a PFO can be routinely
detected in clinical practice. Medical treatment with either antiplatelet or
anticoagulation therapy is recommended. At the current time, closure of the PFO
by percutaneous interventional techniques does not appear to reduce the risk of
stroke compared to conventional medical treatment, as shown by three large
clinical trials. Considerable controversy remains regarding the optimal treatment
strategy for patients with both cryptogenic stroke and PFO. This Primer discusses
the epidemiology, mechanisms, pathophysiology, diagnosis, screening, management
and effects on quality of life of PFO.
PMID- 27188966
TI - Good concordance between patients and their non-professional carers about factors
associated with a 'good death' and other important end-of-life decisions.
AB - OBJECTIVES: The aim of this study was to investigate concordance between patients
and non-professional carers about factors associated with a 'good death' and
other end-of-life decisions. METHODS: Patients completed a questionnaire about
end-of-life care issues, and were asked to rank the importance of factors linked
to a 'good death'. Carers also completed a questionnaire about end-of-life care
issues relating to the patient, and whether or not they agreed with those choices
(ie, medical treatments, PPD). Carers were also asked to rank the importance of
factors linked to a 'good death' to the patient, and to them personally at that
point in time. RESULTS: Only 69% of patients stated they had discussed their
preferences for end-of-life care with their respective carer. The rankings were
similar for the patient and the carer's views of what was important for the
patient, although the patients ranked 'to be involved in decisions about my care'
as less important than the carers, while the carers ranked 'to have sorted out my
personal affairs' as less important than the patients. CONCLUSIONS: When
discussions around end-of-life choices do occur, carers generally appear to agree
with the patients' preferences around end-of-life treatment, and preferred place
of death.
PMID- 27188967
TI - The predictive value of fall assessment tools for patients admitted to hospice
care.
AB - OBJECTIVES: Fall assessment tools are commonly used to evaluate the likelihood of
fall. For patients found to be at high risk, patient-specific fall prevention
interventions are implemented. The purposes of this study were to describe the
population, evaluate and compare the efficacy of fall assessment tools, and
suggest the best use for these tools in hospice. METHODS: Data were downloaded
from the electronic medical record for all patients who were admitted to and died
in hospice care in 2013. Variables included demographic, clinical and initial
fall assessment scores that had been computed on admission to hospice care, using
our standard fall assessment tool. To facilitate comparison among three tools,
additional fall assessment calculations were made for each patient using the
Morse Fall Scale and MACH-10, two tools commonly used in a variety of healthcare
settings. RESULTS: Data were available for 3446 hospice patients. Female patients
were less likely to fall than males; Fallers lived longer than Nonfallers; and
patients with a primary dementia diagnosis fell 10 days sooner than those with a
primary non-dementia diagnosis. A comparison of three fall assessment tools
revealed that no tool had a good positive predictive value, but each demonstrated
a good negative predictive value. CONCLUSIONS: Fall assessment scores should not
be used as the sole predictor of likelihood of fall, and are best used as a
supplement to clinical judgement. Patients with a primary dementia diagnosis are
likely to fall earlier in their hospice care than those with other primary
diagnoses.
PMID- 27188968
TI - Accuracy of Multiparametric Magnetic Resonance Imaging for Extracapsular
Extension of Prostate Cancer in Community Practice.
AB - INTRODUCTION: The presence of extracapsular extension (ECE) in prostate cancer
(PCa) can influence a surgeon's decision to perform a nerve-sparing approach
during radical prostatectomy (RP). Preoperatively, multiparametric MRI (mp-MRI)
is often used to stage PCa. More recently, the use of mp-MRI has gained wide
acceptance in fusion biopsy of the prostate. In this framework, the reported
accuracy of mp-MRI has been highly variable, with data often originating from
large referral centers with experienced radiologists. We sought to determine the
sensitivity and specificity of mp-MRI for detecting ECE in the community.
MATERIALS AND METHODS: We reviewed a prospectively maintained database of men
with PCa who had undergone RP. We recorded the prevalence of ECE at RP and
determined the sensitivity, specificity, positive predictive value, and negative
predictive value of MRI for detecting ECE. We assessed these values according to
the D'Amico risk groups and compared the predictive value of MRI to that of the
Partin tables. RESULTS: The prevalence of ECE was 11.5%, 28.1%, and 47.1% in the
low-, intermediate, and high-risk groups, respectively, with an overall
prevalence of 24.1%. The overall sensitivity, specificity, positive predictive
value, and negative predictive value of MRI was 12.5%, 93.1%, 36.4%, and 77.0%,
respectively. CONCLUSION: The reduction in the sensitivity of preoperative mp-MRI
to determine ECE in the community setting is significant. Even with
stratification using the D'Amico criteria and Partin tables, the performance of
mp-MRI was not significantly improved. Because most cases of PCa are diagnosed
and treated in the community, it is questionable whether mp-MRI is a suitable
staging modality in the community.
PMID- 27188970
TI - Regeneration-Based Quantification of Coumarins (Scopoletin and Scoparone) in
Abutilon indicum In Vitro Cultures.
AB - Abutilon indicum exploited for its immense value has been propagated successfully
through multiple shoot induction and somatic embryogenesis. Direct regeneration
(8.20 +/- 0.83 shoots) was achieved from nodal explants using 0.5 mg/l kinetin
(Kn) in MS media. The basal callus from nodal explants turned embryogenic on
subsequent introduction of 0.2 mg/l TDZ into the Kn-supplemented media, giving
rise to somatic embryos. The embryogenic potential of calli expressed in terms of
embryo-forming capacity (EFC) increased from 8.15 EFC to 20.95 EFC after
plasmolysis. The phytochemical analysis (HPLC) for the presence of scopoletin and
scoparone has revealed a unique accumulation pattern, with higher levels of
scopoletin during the earlier stages and scoparone in the later stages of
development. The embryogenic calli contained the highest amount of coumarins
(99.20 +/- 0.97 and 61.03 +/- 0.47 MUg/gFW, respectively) followed by regenerated
plant (9.43 +/- 0.20 and 36.36 +/- 1.19 MUg/gFW, respectively), obtained via
somatic embryogenesis. Rapid multiplication of A. indicum equipped with two
potent coumarins is important in order to meet the commercial demand for combat
against dreadful diseases, thereby providing a new platform for plant-based drugs
and their manufacture on a commercial scale.
PMID- 27188969
TI - TRPV1 is crucial for proinflammatory STAT3 signaling and thermoregulation
associated pathways in the brain during inflammation.
AB - Transient receptor potential vanilloid receptor 1 (TRPV1) is a non-selective
cation channel that is stimulated by heat (>43 degrees C), mechanical/osmotic
stimuli, and low pH. The importance of TRPV1 in inflammatory responses has been
demonstrated, whereas its participation in brains remains unclear. In the present
study, the intracerebroventricular (icv) administration of the TRPV1 agonist
resiniferatoxin (RTX) induced the activation of signal transducer and activator
of transcription 3 (STAT3) in circumventricular organs (CVOs) and
thermoregulation-associated brain regions with a similar patttern to the
peripheral and icv administration of lipopolysaccharide (LPS). With the
peripheral and icv LPS stimuli, STAT3 activation was significantly lower in
Trpv1(-/-) mice than in Trpv1(+/+) mice. The icv administration of RTX induced
transient hypothermia, whereas that of the TRPV1 antagonist capsazepine enhanced
the magnitude and period of LPS-induced hyperthermia. These results indicate that
TRPV1 is important for activating proinflammatory STAT3 signaling and
thermoregulation-associated brain pathways in the brain.
PMID- 27188971
TI - Wet and Dry Forms of Bacterial Cellulose Synthetized by Different Strains of
Gluconacetobacter xylinus as Carriers for Yeast Immobilization.
AB - The present study aimed to explore and describe the properties of bacterial
cellulose (BC) membranes obtained from three different strains of
Gluconacetobacter xylinus for 72, 120, and 168 h, used as a carrier support for
the immobilization of Saccharomyces cerevisiae. The experiments also included the
analysis of glucose consumption and alcohol production during the fermentation
process displayed by yeasts immobilized on the BC surface. The results of the
present study demonstrate that the number of immobilized yeast cells is dependent
on the type of cellulose-synthesizing strain, cellulose form, and duration of its
synthesis. The BC in the form of wet membranes obtained after 3 days of synthesis
displayed the most favorable properties as a carrier for yeast immobilization.
The immobilization of yeast cells on BC, regardless of its form, increased the
amount of the produced alcohol as compared to free cells. The yeast cells
immobilized in BC were able to multiply on its surface during the fermentation
process.
PMID- 27188972
TI - Collagen-Immobilized Lipases Show Good Activity and Reusability for Butyl
Butyrate Synthesis.
AB - Candida rugosa lipases were immobilized onto collagen fibers through
glutaraldehyde cross-linking method. The immobilization process has been
optimized. Under the optimal immobilization conditions, the activity of the
collagen-immobilized lipase reached 340 U/g. The activity was recovered of 28.3 %
by immobilization. The operational stability of the obtained collagen-immobilized
lipase for hydrolysis of olive oil emulsion was determined. The collagen
immobilized lipase showed good tolerance to temperature and pH variations in
comparison to free lipase. The collagen-immobilized lipase was also applied as
biocatalyst for synthesis of butyl butyrate from butyric acid and 1-butanol in n
hexane. The conversion yield was 94 % at the optimal conditions. Of its initial
activity, 64 % was retained after 5 cycles for synthesizing butyl butyrate in n
hexane.
PMID- 27188973
TI - Screening and Characterization of Cold-Active beta-Galactosidase Producing
Psychrotrophic Enterobacter ludwigii from the Sediments of Arctic Fjord.
AB - Low-temperature-tolerant microorganisms and their cold-active enzymes could be an
innovative and invaluable tool in various industrial applications. In the present
study, bacterial isolates from the sediment samples of Kongsfjord, Norwegian
Arctic, were screened for beta-galactosidase production. Among the isolates,
KS25, KS85, KS60, and KS92 have shown good potential in beta-galactosidase
production at 20 degrees C. 16SrRNA gene sequence analysis revealed the
relatedness of the isolates to Enterobacter ludwigii. The optimum growth
temperature of the isolate was 25 degrees C. The isolate exhibited good growth
and enzyme production at a temperature range of 15-35 degrees C, pH 5-10. The
isolate preferred yeast extract and lactose for the maximum growth and enzyme
production at conditions of pH 7.0, temperature of 25 degrees C, and agitation
speed of 100 rpm. The growth and enzyme production was stimulated by Mn2+ and
Mg2+ and strongly inhibited by Zn2+, Ni2+, and Cu+. beta-Galactosidases with high
specific activity at low temperatures are very beneficial in food industry to
compensate the nutritional problem associated with lactose intolerance. The
isolate exhibited a remarkable capability to utilize clarified whey, an
industrial pollutant, for good biomass and enzyme yield and hence could be well
employed in whey bioremediation.
PMID- 27188974
TI - Liquid Crystalline Nanoparticles as an Ophthalmic Delivery System for
Tetrandrine: Development, Characterization, and In Vitro and In Vivo Evaluation.
AB - The purpose of this study was to develop novel liquid crystalline nanoparticles
(LCNPs) that display improved pre-ocular residence time and ocular
bioavailability and that can be used as an ophthalmic delivery system for
tetrandrine (TET). The delivery system consisted of three primary components,
including glyceryl monoolein, poloxamer 407, and water, and two secondary
components, including Gelucire 44/14 and amphipathic octadecyl-quaternized
carboxymethyl chitosan. The amount of TET, the amount of glyceryl monoolein, and
the ratio of poloxamer 407 to glyceryl monoolein were selected as the factors
that were used to optimize the dependent variables, which included encapsulation
efficiency and drug loading. A three-factor, five-level central composite design
was constructed to optimize the formulation. TET-loaded LCNPs (TET-LCNPs) were
characterized to determine their particle size, zeta potential, entrapment
efficiency, drug loading capacity, particle morphology, inner crystalline
structure, and in vitro drug release profile. Corneal permeation in excised
rabbit corneas was evaluated. Pre-ocular retention was determined using a
noninvasive fluorescence imaging system. Finally, pharmacokinetic study in the
aqueous humor was performed by microdialysis technique. The optimal formulation
had a mean particle size of 170.0 +/- 13.34 nm, a homogeneous distribution with
polydispersity index of 0.166 +/- 0.02, a positive surface charge with a zeta
potential of 29.3 +/- 1.25 mV, a high entrapment efficiency of 95.46 +/- 4.13 %,
and a drug loading rate of 1.63 +/- 0.07 %. Transmission electron microscopy
showed spherical particles that had smooth surfaces. Small-angle X-ray scattering
profiles revealed an inverted hexagonal phase. The in vitro release assays showed
a sustained drug release profile. A corneal permeation study showed that the
apparent permeability coefficient of the optimal formulation was 2.03-fold higher
than that of the TET solution. Pre-ocular retention capacity study indicated that
the retention of LCNPs was significantly longer than that of the solution (p <
0.01). In addition, a pharmacokinetic study of rabbit aqueous humors demonstrated
that the TET-LCNPs showed 2.65-fold higher ocular bioavailability than that of
TET solution. In conclusion, a LCNP system could be a promising method for
increasing the ocular bioavailability of TET by enhancing its retention time and
permeation into the cornea.
PMID- 27188975
TI - Atypical Location of a Bartholin Cyst in Adolescence.
AB - BACKGROUND: Cystic lesions associated with the blockage of Bartholin gland ducts
are frequently seen, but occurrence outside the normal vestibular localization is
quite rare. CASE: A 16-year-old patient presented with a localized cystic lump
approximately 4 cm in size on one of her labia minora. After an excision, she was
diagnosed with an atypically localized Bartholin cyst. SUMMARY AND CONCLUSION: It
is very difficult to make an early diagnosis for an atypically localized
Bartholin cystic lesion in adolescence; it can be diagnosed only after a
pathological examination. In the differential diagnosis of a vulvar lump, an
atypically localized Bartholin cyst should also be considered as a possibility.
PMID- 27188976
TI - Support networks for Chinese older immigrants accessing English health and social
care services: the concept of Bridge People.
AB - As Chinese immigrants in the United Kingdom age, they experience an increasing
need to access health and care services. It has, however, been reported that
older Chinese immigrants have difficulties in accessing these services. This
study explored the experiences of this population in using health and care
services and the strategies that they adopted to address their difficulties. A
grounded theory method with a two-staged research design was used. Stage 1
explored the participants' experiences of ageing and use of health and social
care services through focus group interviews. Stage 2 investigated the strategies
individuals used to support access to and use of services through individual
interviews. Forty-four older Chinese people and 15 supporters participated in
interviews during August 2011 and May 2013. These older Chinese immigrants were
challenged in knowing about and in accessing services. Their difficulties were
attributed to language barriers, lack of information and instrumental support,
and emotional and cultural issues regarding use of health and care services.
Their supporters facilitated access to services and acted as a bridge between the
service and the user; therefore, they were given the title 'Bridge People'.
Bridge People have different backgrounds: family and friends, public sector
workers and staff from community-based Chinese organisations. The defining
attributes of these supporters were: bilinguality, bicultural, multifunctionality
and accessibility. There is no charge for this support; and the relationship
between the Bridge Person and recipient involves trust and influence over
decisions regarding use of health and care services. Bridge People should be
recognised and identified by health, social care and housing services to promote
engagement and use of services by older immigrant Chinese people.
PMID- 27188977
TI - Pain management in critically ill patients: a review of multimodal treatment
options.
AB - Pain management for critically ill patients provides physicians with the
challenge of maximizing patient comfort while avoiding the risks that arise with
oversedation. Preventing oversedation has become increasingly important as we
better understand the negative impact it has on patients' experiences and
outcomes. Current research suggests that oversedation can result in complications
such as thromboembolism, pulmonary compromise, immunosuppression and delirium.
Fortunately, the analgesic options available for physicians to limit these
complications are growing as more treatment modalities are being researched and
implemented in the intensive care unit. Our goal is to outline some of the
effective and widely utilized tools available to physicians to appropriately and
safely manage pain while avoiding oversedation in the critically ill population.
PMID- 27188980
TI - Pressing movements and perceived force and displacement are influenced by object
stiffness.
AB - Despite many previous studies on stiffness perception, few have investigated the
exploratory procedures involved. This study evaluated whether stiffness range
influences pressing movements and perception of force and displacement during
stiffness discrimination tasks. Force and displacement data were obtained from 30
participants. Peak values of force and displacement, pressing duration and number
of presses were analyzed. Two kinds of subjective evaluations were also recorded:
perceived difference in force/displacement used to discriminate between
specimens, and perceived effort. Although the number of presses and pressing
duration were constant across a wide stiffness range, pressing strength was
adjusted for the stiffness of objects, with harder specimens pressed more
strongly. Further, even if the stiffnesses of two compared specimens were
different, the pressing forces applied to the specimens approached the same
magnitude at a higher stiffness range. Differences in force were most easily
perceived at lower stiffness ranges, while displacement differences were
perceived more readily at higher stiffness ranges. These results were consistent
with those of previous studies. Finally, the reasons why stiffness range
influenced pressing movements and perceived differences in force/displacement are
discussed.
PMID- 27188978
TI - Identification of proliferative progenitors associated with prominent postnatal
growth of the pons.
AB - The pons controls crucial sensorimotor and autonomic functions. In humans, it
grows sixfold postnatally and is a site of paediatric gliomas; however, the
mechanisms of pontine growth remain poorly understood. We show that the murine
pons quadruples in volume postnatally; growth is fastest during postnatal days 0
4 (P0-P4), preceding most myelination. We identify three postnatal proliferative
compartments: ventricular, midline and parenchymal. We find no evidence of
postnatal neurogenesis in the pons, but each progenitor compartment produces new
astroglia and oligodendroglia; the latter expand 10- to 18-fold postnatally, and
are derived mostly from the parenchyma. Nearly all parenchymal progenitors at P4
are Sox2(+)Olig2(+), but by P8 a Sox2(-) subpopulation emerges, suggesting a
lineage progression from Sox2(+) 'early' to Sox2(-) 'late' oligodendrocyte
progenitor. Fate mapping reveals that >90% of adult oligodendrocytes derive from
P2-P3 Sox2(+) progenitors. These results demonstrate the importance of postnatal
Sox2(+)Olig2(+) progenitors in pontine growth and oligodendrogenesis.
PMID- 27188981
TI - Individual differences in cortisol stress response predict increases in voice
pitch during exam stress.
AB - Despite a long history of empirical research, the potential vocal markers of
stress remain unclear. Previous studies examining speech under stress most
consistently report an increase in voice pitch (the acoustic correlate of
fundamental frequency, F0), however numerous studies have failed to replicate
this finding. In the present study we tested the prediction that these
inconsistencies are tied to variation in the severity of the stress response,
wherein voice changes may be observed predominantly among individuals who show a
cortisol stress response (i.e., an increase in free cortisol levels) above a
critical threshold. Voice recordings and saliva samples were collected from
university psychology students at baseline and again immediately prior to an oral
examination. Voice recordings included both read and spontaneous speech, from
which we measured mean, minimum, maximum, and the standard deviation in F0. We
observed an increase in mean and minimum F0 under stress in both read and
spontaneous speech, whereas maximum F0 and its standard deviation showed no
systematic changes under stress. Our results confirmed that free cortisol levels
increased by an average of 74% (ranging from 0 to 270%) under stress. Critically,
increases in cortisol concentrations significantly predicted increases in mean F0
under stress for both speech types, but did not predict variation in F0 at
baseline. On average, stress-induced increases in voice pitch occurred only when
free cortisol levels more than doubled their baseline concentrations. Our results
suggest that researchers examining speech under stress should control for
individual differences in the magnitude of the stress response.
PMID- 27188979
TI - Enhanced neural response to anticipation, effort and consummation of reward and
aversion during bupropion treatment.
AB - BACKGROUND: We have previously shown that the selective serotonergic reuptake
inhibitor, citalopram, reduces the neural response to reward and aversion in
healthy volunteers. We suggest that this inhibitory effect might underlie the
emotional blunting reported by patients on these medications. Bupropion is a
dopaminergic and noradrenergic reuptake inhibitor and has been suggested to have
more therapeutic effects on reward-related deficits. However, how bupropion
affects the neural responses to reward and aversion is unclear. METHOD: Seventeen
healthy volunteers (9 female, 8 male) received 7 days bupropion (150 mg/day) and
7 days placebo treatment, in a double-blind crossover design. Our functional
magnetic resonance imaging task consisted of three phases; an anticipatory phase
(pleasant or unpleasant cue), an effort phase (button presses to achieve a
pleasant taste or to avoid an unpleasant taste) and a consummatory phase
(pleasant or unpleasant tastes). Volunteers also rated wanting, pleasantness and
intensity of the tastes. RESULTS: Relative to placebo, bupropion increased
activity during the anticipation phase in the ventral medial prefrontal cortex
(vmPFC) and caudate. During the effort phase, bupropion increased activity in the
vmPFC, striatum, dorsal anterior cingulate cortex and primary motor cortex.
Bupropion also increased medial orbitofrontal cortex, amygdala and ventral
striatum activity during the consummatory phase. CONCLUSIONS: Our results are the
first to show that bupropion can increase neural responses during the
anticipation, effort and consummation of rewarding and aversive stimuli. This
supports the notion that bupropion might be beneficial for depressed patients
with reward-related deficits and blunted affect.
PMID- 27188982
TI - Rotating carbon nanotube membrane filter for water desalination.
AB - We have designed a porous nanofluidic desalination device, a rotating carbon
nanotube membrane filter (RCNT-MF), for the reverse osmosis desalination that can
turn salt water into fresh water. The concept as well as design strategy of RCNT
MF is modeled, and demonstrated by using molecular dynamics simulation. It has
been shown that the RCNT-MF device may significantly improve desalination
efficiency by combining the centrifugal force propelled reverse osmosis process
and the porous CNT-based fine scale selective separation technology.
PMID- 27188983
TI - Obstetric benefits of health insurance: A comparative analysis of obstetric
indices and outcome of enrollees and non-enrollees in southeast Nigeria.
AB - This study determined the obstetric benefits and compared the obstetric indices
and pregnancy outcome of enrollees and non-enrollees of the national health
insurance scheme (NHIS). A prospective cohort study of enrollees and non
enrollees of NHIS was conducted over 2 years. Data was analysed with Epi-info
statistical software. Malaria (25.3% versus 8.0%, p value <=0.001), anaemia
(11.3% versus 3.3%, p value <=0.0001), preterm delivery (8.0% versus 2.7% p value
= 0.00001), antenatal default rate (22.7% versus 6.7%, p value = 0.0001) and
maternal death (2.7% versus 0.7%, p value = 0.00001) were higher in the non
insured. Singleton low birth weight (9.3% versus 2.7%, p value = 0.00001) and new
born admission (10.7% versus 4.7%, p value = 0.00001) were also more in non
enrollee, with higher perinatal deaths (6.7% versus 2.0%, p value = 0.00001).
Women managed under the Nigerian NHIS scheme had better maternal and perinatal
indices, therefore, effort should be scaled up to ensure universal health
insurance coverage for all parturient and their newborn.
PMID- 27188984
TI - Selective forces and mutational biases drive stop codon usage in the human
genome: a comparison with sense codon usage.
AB - BACKGROUND: The three stop codons UAA, UAG, and UGA signal the termination of
mRNA translation. As a result of a mechanism that is not adequately understood,
they are normally used with unequal frequencies. RESULTS: In this work, we showed
that selective forces and mutational biases drive stop codon usage in the human
genome. We found that, in respect to sense codons, stop codon usage was affected
by stronger selective forces but was less influenced by neutral mutational
biases. UGA is the most frequent termination codon in human genome. However, UAA
was the preferred stop codon in genes with high breadth of expression, high level
of expression, AT-rich coding sequences, housekeeping functions, and in gene
ontology categories with the largest deviation from expected stop codon usage.
Selective forces associated with the breadth and the level of expression favoured
AT-rich sequences in the mRNA region including the stop site and its proximal 3'
UTR, but acted with scarce effects on sense codons, generating two regions,
upstream and downstream of the stop codon, with strongly different base
composition. By favouring low levels of GC-content, selection promoted labile
local secondary structures at the stop site and its proximal 3'-UTR. The
compositional and structural context favoured by selection was surprisingly
emphasized in the class of ribosomal proteins and was consistent with sequence
elements that increase the efficiency of translational termination. Stop codons
were also heterogeneously distributed among chromosomes by a mechanism that was
strongly correlated with the GC-content of coding sequences. CONCLUSIONS: In
human genome, the nucleotide composition and the thermodynamic stability of stop
codon site and its proximal 3'-UTR are correlated with the GC-content of coding
sequences and with the breadth and the level of gene expression. In highly
expressed genes stop codon usage is compositionally and structurally consistent
with highly efficient translation termination signals.
PMID- 27188985
TI - Identification of biomarkers for development of end-stage kidney disease in
chronic kidney disease by metabolomic profiling.
AB - A critical issue in the management of chronic kidney disease (CKD) is to prevent
patients from the progression to end-stage kidney disease (ESKD), however, there
is only limited number of biomarkers for the discrimination of the high-risk CKD
patients. We aimed to identify the metabolites which possess the ability to
predict the earlier kidney deterioration. We performed capillary electrophoresis
and liquid chromatography mass spectrometry (CE-MS)-based metabolic profiling in
a prospective cohort, which consisted of referred 112 CKD patients with median
follow-up period of 4.4 years. The association between the levels of candidate
metabolites and the outcomes (progression to ESKD alone or in combination with
death before ESKD) were assessed by multivariate Cox proportional hazard models
after adjusting for the baseline covariates. A total of 218 metabolites were
detected in the plasma of CKD patients. We identified 16 metabolites which have
predictive values for the composite outcome: The risk for composite outcome was
elevated from 2.0- to 8.0-fold in those with higher levels of 16 plasma
metabolites. Our results suggest that the measurement of these metabolites may
facilitate CKD management by predicting the risk of progression to ESKD.
PMID- 27188986
TI - Neurofilament light chain in FTD is elevated not only in cerebrospinal fluid, but
also in serum.
PMID- 27188989
TI - Boron difluorides with formazanate ligands: redox-switchable fluorescent dyes
with large stokes shifts.
AB - The synthesis of a series of (formazanate)boron difluorides and their 1-electron
reduction products is described. The neutral compounds are fluorescent with large
Stokes shifts. DFT calculations suggest that a large structural reorganization
accompanies photoexictation and accounts for the large Stokes shift. Reduction of
the neutral boron difluorides occurs at the ligand and generates the
corresponding radical anions. These complexes are non-fluorescent, allowing
switching of the emission by changing the ligand oxidation state.
PMID- 27188988
TI - The antioxidant activity of some curcuminoids and chalcones.
AB - The antioxidant properties of the synthetic compound (C1)-(C8), which comprised 7
curcuminoids and a chalcone, were evaluated by two complementary assays, DPPH and
beta-carotene/linoleic acid. It was found that, in general, the free radical
scavenging ability of (C1)-(C8) was concentration-dependent. Compounds (C1) and
(C4), which contained (4-OH) phenolic groups, were found to be highly potent
antioxidants with higher antioxidant values than BHT suggesting that synthetic
curcuminoids are more potent antioxidants than standard antioxidants like BHT.
Using beta-carotene-linoleic acid assay, only the water-soluble 2, 4,6
trihydroxyphenolic chalcone (C5) showed 85.2 % inhibition of the formation of
conjugated dienes reflecting on its potent antioxidant activity.
PMID- 27188990
TI - The Prevalence and Characteristics of Charles Bonnet Syndrome in Turkish Patients
with Retinal Disease.
AB - PURPOSE: The aim of this study is to investigate the prevalence and clinical
characteristics of Charles Bonnet syndrome (CBS) in a group of Turkish patients
with various retinal diseases. METHODS: Two hundred and sixty-four patients with
a best-corrected visual acuity of <=20/40 in the better-seeing eye were asked
with a standardized question whether they had symptoms of CBS. If they responded
positively, a questionnaire was verbally administered to learn more about the
details of the symptoms. RESULTS: There were 125 (47.3%) females and 139 (52.7%)
males with a mean age of 72.1 years (range 31-90). Seventeen (6.4%) patients were
diagnosed with CBS. Three (17.7%) patients had noncomplex hallucinations and 14
(82.3%) had complex hallucinations. CONCLUSION: CBS is not uncommon in visually
impaired patients with retinal disease. Clinicians who care for visually impaired
patients should be aware of CBS.
PMID- 27188987
TI - Alkylglycerols reduce serum complement and plasma vascular endothelial growth
factor in obese individuals.
AB - Alkylglycerols (AKGs), isolated or present in shark liver oil have anti
inflammatory properties. Complement 3 (C3) and 4 (C4) participate in lipid
metabolism and in obesity, contributing to the metabolic syndrome and to the low
grade inflammation associated with obesity. In a randomized, controlled,
crossover study, 26 non-diabetes obese individuals were assigned two preparations
with low (LAC, 10 mg AKGs) and high (HAC, 20 mg AKGs) AKG content. Intervention
periods were of 3 weeks preceded by 2-week washout periods in which shark liver
oil was avoided. Cholesterol, C3, C4, and vascular endothelial growth factor
(VEGF) decreased in a linear trend (P < 0.01) from baseline (control) to LAC and
HAC. Values after HAC were significantly lower (P < 0.05) versus both baseline
and after LAC. No adverse effects were observed or reported. Data from this pilot
study open a promising field for the study of the beneficial effects of AKGs on
cardiovascular risk factors in obese individuals.
PMID- 27188991
TI - What proportion of Salmonella Typhi cases are detected by blood culture? A
systematic literature review.
AB - Blood culture is often used in definitive diagnosis of typhoid fever while, bone
marrow culture has a greater sensitivity and considered reference standard. The
sensitivity of blood culture measured against bone marrow culture results in
measurement bias because both tests are not fully sensitive. Here we propose a
combination of the two cultures as a reference to define true positive S. Typhi
cases. Based on a systematic literature review, we identified ten papers that had
performed blood and bone marrow culture for S. Typhi in same subjects. We
estimated the weighted mean of proportion of cases detected by culture measured
against true S. Typhi positive cases using a random effects model. Of 529 true
positive S. Typhi cases, 61 % (95 % CI 52-70 %) and 96 % (95 % CI 93-99 %) were
detected by blood and bone marrow cultures respectively. Blood culture
sensitivity was 66 % (95 % CI 56-75 %) when compared with bone marrow culture
results. The use of blood culture sensitivity as a proxy measure to estimate the
proportion of typhoid fever cases detected by blood culture is likely to be an
underestimate. As blood culture sensitivity is used as a correction factor in
estimating typhoid disease burden, epidemiologists and policy makers should
account for the underestimation.
PMID- 27188993
TI - [Concurrent achalasia and severe obesity].
AB - Achalasia is a rare oesophageal motility disorder which classically is not
associated with obesity. We present the case of a 50-year-old woman who underwent
gastric bypass and afterwards was diagnosed with achalasia. Following, she was
treated successfully with peroral endoscopic myotomy (POEM). A thorough medical
history revealed that symptoms of achalasia had been present for 25 years and
were the cause of inappropriate eating habits and consequently morbid obesity.
This case story illustrates the importance of being aware of simultaneous
occurrence of achalasia and morbid obesity. Furthermore, it demonstrates that
POEM is safe and effective for symptomatic relief of achalasia after previous
gastric bypass.
PMID- 27188992
TI - [Acute aortic syndrome].
AB - Acute aortic syndrome is a group of pathogenic conditions including aortic
dissection, intramural haematoma, penetrating ulcer and aortic aneurysm. The
syndrome is a challenging clinical diagnosis because the symptoms are numerous
and diverse with similarity to other more common conditions. Delayed diagnosis
and treatment can be fatal. Computed tomography angiography is the most important
diagnostic tool and is the first choice when acute aortic syndrome is suspected.
With this article, we wish to raise awareness of the incidence of acute aortic
syndrome and the clinical features of the syndrome.
PMID- 27188994
TI - [Sleep impairment is a threat to good health].
AB - Long-term sleep impairment is related to an increased risk of somatic health
problems, e.g. overweight, Type 2 diabetes, cardiovascular disease and premature
death. Avoidance of caffeine, alcohol, energy-rich or fatty foods and light from
computer screens close to bedtime may counteract impaired sleep. A quiet, dark
and well-tempered bedroom and physical activity during the day may have a
positive impact on sleep. Impaired sleep may be related to stress and conditions
at home or at work. Psychological sleep treatment is free of adverse side effects
with effects comparable to effects of medical treatment.
PMID- 27188995
TI - [Harlekinsyndrom].
PMID- 27188996
TI - Flavobacterium fulvum sp. nov., Flavobacterium pedocola sp. nov. and
Flavobacterium humicola sp. nov., three new members of the family
Flavobacteriaceae, isolated from soil.
AB - Four Gram-stain-negative, non-endospore-forming, non-motile strains were found in
soil, South Korea. Based on their 16S rRNA gene sequences, strains UCM-R15T and
UCM-R21 are most closely related to Flavobacterium enshiense DK69T (97.4-97.5 %,
pairwise similarity) while strains UCM-R36T and UCM-46T are most closely related
to Flavobacterium suncheonense GH29-5T (97.5 % and 98.3 %, respectively), with
all four strains sharing less than 97 % pairwise similarity to the type strain of
any other species of the genus Flavobacterium. None of the four strains can
reduce/digest nitrate or urea. The only menaquinone detected was MK-6 and the
major fatty acids were iso-C15 : 0, iso-C17 : 0 3-OH, iso-C15 : 1 G and summed
feature 9 in all the type strains. Phosphatidylethanolamine was found in three
strains as the major polar lipid, phosphatidylserine was found in both strains
UCM-R15T and UCM-R36T, but not UCM-46T, and phosphatidylmonomethylethanolamine
only occurred in strain UCM-R15T. The genomic DNA G+C content values of strains
UCM-R15T, UCM-R21, UCM-R36T and UCM-46T were 35.3-39.0 mol%. Taking into account
their physiological and biochemical characteristics, we suggest that three of the
strains are novel members of the genus Flavobacterium. We propose the names
Flavobacterium fulvum sp. nov. for type strain UCM-R15T (=KACC 18666T=NBRC
111764T), and strain UCM-R21 as an additional strain Flavobacterium pedocola sp.
nov. for type strain UCM-R36T (=KACC 18668T=NBRC 111765T), and Flavobacterium
humicola sp. nov. for type strain UCM-46T (=KACC 18575T=NBRC 111657T).
PMID- 27188997
TI - Impaired CD8(+) T cell responses upon Toll-like receptor activation in common
variable immunodeficiency.
AB - BACKGROUND: Infections caused by bacteria or viruses are frequent in common
variable immunodeficiency (CVID) patients due to antibody deficiencies, which may
be associated with altered T cell function. CVID patients are frequently in
contact with pathogen-associated molecular patterns (PAMPs), leading to the
activation of innate immunity through Toll-like receptors (TLR) affecting T cell
activation. We evaluated the effect of TLR activation on T cells in CVID patients
undergoing intravenous immunoglobulin (IVIg) replacement using synthetic ligands.
METHODS: Expression of exhaustion, activation and maturation markers on T cells
from peripheral blood as well as regulatory T cells and follicular T cells in
peripheral blood mononuclear cells (PBMCs) from CVID and healthy individuals were
evaluated by flow cytometry. PBMCs cultured with TLR agonists were assessed for
intracellular IFN-gamma, TNF, IL-10, IL-17a or IL-22 secretion as monofunctional
or polyfunctional T cells (simultaneous cytokine secretion) by flow cytometry.
RESULTS: We found increased expression of the exhaustion marker PD-1 on effector
memory CD4(+) T cells (CD45RA(-)CCR7(-)) in the peripheral blood and increased
expression of CD38 in terminally differentiated CD8(+) T cells (CD45RA(+)CCR7(
)). Furthermore, a decreased frequency of naive regulatory T cells
(CD45RA(+)Foxp3(low)), but not of activated regulatory T cells (CD45RA(
)Foxp3(high)) was detected in CVID patients with splenomegaly, the non-infectious
manifestation in this CVID cohort (43.7 %). Moreover, the frequency of peripheral
blood follicular helper T cells (CD3(+)CD4(+)CXCR5(+)PD-1(+)ICOS(+)) was similar
between the CVID and control groups. Upon in vitro TLR3 activation, a decreased
frequency of CD8(+) T cells secreting IFN-gamma, IL-17a or IL-22 was detected in
the CVID group compared to the control group. However, a TLR7/TLR8 agonist and
staphylococcal enterotoxin B induced an increased Th22/Tc22 (IL-22(+), IFN-gamma(
), IL-17a(-)) response in CVID patients. Both TLR2 and TLR7/8/CL097 activation
induced an increased response of CD4(+) T cells secreting three cytokines (IL
17a, IL-22 and TNF)in CVID patients, whereas CD8(+) T cells were unresponsive to
these stimuli. CONCLUSION: The data show that despite the unresponsive profile of
CD8(+) T cells to TLR activation, CD4(+) T cells and Tc22/Th22 cells are
responsive, suggesting that activation of innate immunity by TLRs could be a
strategy to stimulate CD4(+) T cells in CVID.
PMID- 27188998
TI - An SNP site in pri-miR-124, a brain expressed miRNA gene, no contribution to
mesial temporal lobe epilepsy in an Italian sample.
AB - Mesial temporal lobe epilepsy (MTLE) is the most common type of refractory
epilepsy and is usually associated with hippocampal sclerosis (Hs). The
pathogenesis of MTLE involves many biological pathways, some of which seem to be
regulated by microRNAs (miRNAs). Increasing evidence shows that single nucleotide
polymorphisms (SNPs) or mutations in miRNAs sequence may affect the processing
and function of miRNAs and participate in the occurrence of diseases. In this
study, the effect of the SNP of one neuronal miRNA, miR-124, on susceptibility to
MTLE was investigated using a case control study. To understand the role, a
common C/G polymorphism designated rs531564 in the molecular mechanisms of MTLE,
we sought to determine whether this genetic variant could influence
susceptibility to disease in a cohort of 307 MTLE patients and 306 healthy
controls, using TaqMan allelic discrimination assay, on an Applied Biosystems PCR
platform. No statistically significant differences were found in the allele or
genotype distributions of the miR-124 rs531564 polymorphism among MTLE patients
and MTLE-free control subjects (p > 0.05). Our results demonstrate that this SNP
has no major role in genetic susceptibility to MTLE, at least in the population
studied here.
PMID- 27188999
TI - Association between the IL7R T244I polymorphism and multiple sclerosis risk: a
meta analysis.
AB - The aim of this study was to explore the association between the IL7R T244I
polymorphism (rs6897932) and susceptibility of multiple sclerosis (MS). A
comprehensive literature search for relevant studies was conducted on Google
scholar, PubMed, the Chinese National Knowledge Infrastructure (CNKI) and the
Chinese Biomedical Literature Database (CBM). This meta-analysis was performed
using the STATA 11.0 software and the pooled odds ratio (OR) with 95 % confidence
interval (CI) was calculated. Seventeen case-control studies were included in
this meta-analysis. In total, 17 articles provided data for 15,270 cases and
17,971 controls. The results showed significant association between the IL7R
T244I polymorphism and susceptibility to MS (OR = 1.125, 95 % CI: 1.016-1.245, p
= 0.024 for C vs. T; OR = 1.176, 95 % CI: 1.078-1.282, p < 0.001 for CC + CT vs.
TT; OR = 1.243, 95 % CI: 1.088-1.421, p = 0.001 for CC vs. TT). Stratified
analysis of ethnicities also showed significant association in Europeans.
However, no association was found in Asians. This study suggested that the IL7R C
allele was associated with an increased risk of MS and larger-scale studies of
populations are needed to explore the roles played by the IL7R T244I polymorphism
during the pathogenesis of MS.
PMID- 27189000
TI - Late mortality after sepsis: propensity matched cohort study.
AB - OBJECTIVES: To determine whether late mortality after sepsis is driven
predominantly by pre-existing comorbid disease or is the result of sepsis itself.
DEIGN: Observational cohort study. SETTING: US Health and Retirement Study.
PARTICIPANTS: 960 patients aged >=65 (1998-2010) with fee-for-service Medicare
coverage who were admitted to hospital with sepsis. Patients were matched to 777
adults not currently in hospital, 788 patients admitted with non-sepsis
infection, and 504 patients admitted with acute sterile inflammatory conditions.
MAIN OUTCOME MEASURES: Late (31 days to two years) mortality and odds of death
at various intervals. RESULTS: Sepsis was associated with a 22.1% (95%
confidence interval 17.5% to 26.7%) absolute increase in late mortality relative
to adults not in hospital, a 10.4% (5.4% to 15.4%) absolute increase relative to
patients admitted with non-sepsis infection, and a 16.2% (10.2% to 22.2%)
absolute increase relative to patients admitted with sterile inflammatory
conditions (P<0.001 for each comparison). Mortality remained higher for at least
two years relative to adults not in hospital. CONCLUSIONS: More than one in five
patients who survives sepsis has a late death not explained by health status
before sepsis.
PMID- 27189001
TI - Parent-child proximity and personality: basic human values and moving distance.
AB - BACKGROUND: An important event in many young people's lives is moving out of the
parental home. This event is often operationalized as the distance between
parents and their children, i.e., parent-child proximity. METHODS: The present
study (N = 1,451) analyzed correlates of parent-child proximity through the lens
of human value theory (Schwartz, Advances in experimental social psychology,
1992). Besides a classical proximity measure (i.e., parent-child), we also
calculated the distance between childhood and current place of residence (i.e.,
childhood-now), as well as parent-childhood proximity (distance between
children's childhood place of residence and the current place of residence of
parents), which acts as a control group because this distance is most probably
chosen by the parents. RESULTS: As hypothesized, we found that participants
valuing universalism and self-direction as important (i.e., associated with
growth and anxiety-freedom) moved further away from the place where their parents
live and the place where they grew up than participants valuing self-protection
and anxiety-avoidance (e.g., tradition, security, conformity). CONCLUSIONS: This
study not only adds to research on psychological motivations to move, it endorses
value theory as being a useful lens through which to analyze migration behavior.
PMID- 27189004
TI - Projection of Romantic and Sexual Desire in Opposite-Sex Friendships: How Wishful
Thinking Creates a Self-Fulfilling Prophecy.
AB - In two studies, the authors examined the projection of romantic and sexual desire
in opposite-sex friendships. In both studies, perceivers who strongly desired
their friends projected this desire onto their friends, believing that their
desire was more reciprocated than was actually the case. In turn, projection of
desire appeared to motivate perceivers into enacting relationship initiation
behaviors, which predicted changes in targets' romantic and sexual desires over
time (Study 2). Projection was elevated for perceivers who saw themselves as high
in mate value, and targets appeared to be influenced by perceivers' overtures
primarily when they believed perceivers were high in mate value. This research
suggests that, for perceivers high in mate value, romantic and sexual desire
creates biased perceptions that initiate self-fulfilling prophecies.
PMID- 27189003
TI - Erratum to: QTL mapping of carrot resistance to leaf blight with connected
populations: stability across years and consequences for breeding.
PMID- 27189002
TI - Detecting the QTL-allele system of seed isoflavone content in Chinese soybean
landrace population for optimal cross design and gene system exploration.
AB - KEY MESSAGE: Utilizing an innovative GWAS in CSLRP, 44 QTL 199 alleles with 72.2
% contribution to SIFC variation were detected and organized into a QTL-allele
matrix for cross design and gene annotation. The seed isoflavone content (SIFC)
of soybeans is of great importance to health care. The Chinese soybean landrace
population (CSLRP) as a genetic reservoir was studied for its whole-genome
quantitative trait loci (QTL) system of the SIFC using an innovative restricted
two-stage multi-locus genome-wide association study procedure (RTM-GWAS). A
sample of 366 landraces was tested under four environments and sequenced using
RAD-seq (restriction-site-associated DNA sequencing) technique to obtain 116,769
single nucleotide polymorphisms (SNPs) then organized into 29,119 SNP linkage
disequilibrium blocks (SNPLDBs) for GWAS. The detected 44 QTL 199 alleles on 16
chromosomes (explaining 72.2 % of the total phenotypic variation) with the allele
effects (92 positive and 107 negative) of the CSLRP were organized into a QTL
allele matrix showing the SIFC population genetic structure. Additional
differentiation among eco-regions due to the SIFC in addition to that of genome
wide markers was found. All accessions comprised both positive and negative
alleles, implying a great potential for recombination within the population. The
optimal crosses were predicted from the matrices, showing transgressive
potentials in the CSLRP. From the detected QTL system, 55 candidate genes related
to 11 biological processes were chi (2)-tested as an SIFC candidate gene system.
The present study explored the genome-wide SIFC QTL/gene system with the
innovative RTM-GWAS and found the potentials of the QTL-allele matrix in optimal
cross design and population genetic and genomic studies, which may have provided
a solution to match the breeding by design strategy at both QTL and gene levels
in breeding programs.
PMID- 27189007
TI - Great tumour burden in the axilla may influence lymphatic drainage in breast
cancer patients.
AB - We investigated whether great tumour burden in the primary draining lymph node
would lead to obstructed lymphatic flow in breast cancer patients. Breast cancer
patients with false-negative sentinel lymph nodes (SLNs) were enrolled from
January 2001 to March 2011, retrospectively. A further 45 breast cancer patients
were recruited prospectively from December 2013 to November 2014. Carbon
nanoparticles, a lymphatic tracer, were injected into the subareolar area 24 h
before surgery, followed by axillary lymph node dissection. In the SLN cohort,
among the 28 false-negative cases, >50 % showed great tumour burden in the
axilla. In the carbon nanoparticles cohort, we found that cases with <3 nodes
involved in the pathology had more lymph nodes stained by carbon nanoparticles
than the subgroup with >=3 involved nodes (P = 0.003). Nodes stained with carbon
nanoparticles showed smaller tumour burdens compared with unstained nodes (P <
0.05). Furthermore, five cases showed metastatic nodes that were not stained with
carbon nanoparticles, and all the lymph nodes that were free of metastasis were
stained with carbon nanoparticles. Great tumour burden in the axilla might lead
to lymphatic flow obstructions in clinical practice. Nevertheless, clinical
trials are still needed to validate our findings.
PMID- 27189005
TI - Health-related quality of life and clinical outcomes following medial open wedge
high tibial osteotomy: a prospective study.
AB - BACKGROUND: Open wedge high tibial osteotomy (HTO) is an established method for
the treatment of patients with varus malalignment and medial compartment
osteoarthritis. In these patients, health-related quality of life (HRQL) can be
improved by using this procedure. The purpose of the present study consisted in
evaluating HRQL up to 18 months after HTO, comparing the results to values of the
German normal population, and in analyzing the impact of preoperative HRQL on the
postoperative clinical result. It was hypothesized that normal values in physical
and mental health can be achieved within 18 months after operation. STUDY DESIGN:
Prospective case series. LEVEL OF EVIDENCE: IV. METHODS: 120 patients were
included in this prospective case series from 12/2008 to 12/2011. All patients
underwent open wedge HTO without a bone graft using the TomoFix(TM) plate. HRQL
was assessed by using the SF-36 questionnaire, preoperatively, as well as 6, 12,
and 18 months postoperatively. Regular scoring, norm-based scaling, and the
physical and mental component summary scores (PCS and MCS) were evaluated.
Clinical outcome was assessed by using Lequesne, Lysholm, HSS and IKDC Score.
RESULTS: HRQL could be described in 96 patients. The PCS of HRQL showed a
statistically significant pre- to postoperative improvement (30.2 +/- 13.4 to
45.9 +/- 13.5 after 18 months). A reduced preoperative mental component summary
score (MCS) resulted in lower values of each clinical score (p < 0.05) and in a
prolonged duration of incapacity for work (MCS < 50:15.0 +/- 12.8 weeks, vs. MCS
>= 50: 9.1 +/- 4.8 weeks, p < 0.05). MCS values improved from the pre- to
postoperative measurements and comparable values to the normal population were
reached already within 6 months after surgery (46.0 +/- 14.9 pre-operatively and
48.5 +/- 13.7 after 6 months), and after 18 months even a score of 49.5 +/- 12.4
was achieved. CONCLUSION: Lower preoperative mental component score results in
reduced postoperative clinical outcome and prolonged duration of incapacity for
work after HTO. In contrast to PCS, MCS showed comparable values to the normal
population within 6 months after HTO.
PMID- 27189008
TI - Real-world outcomes in young women with breast cancer treated with neoadjuvant
chemotherapy.
AB - Breast cancer in young women has been shown to have an aggressive behavior and
worse prognosis. Studies evaluating young women enrolled in clinical trials of
neoadjuvant chemotherapy have shown that age is a determinant factor in the
achievement of a pathological complete response (pCR). In this study, we sought
to analyze the outcomes of young patients treated with neoadjuvant chemotherapy
at a single institution. 1639 patients treated with neoadjuvant chemotherapy were
included. 316 patients <=40 years were compared with 1323 patients aged >40 years
regarding the achievement of a pCR (defined as no invasive residual tumor in the
breast or lymph nodes). Disease-free survival (DFS) and overall survival were
compared between groups according to pCR status and subtype, defined by hormone
receptor (HR) and HER2 status. Young women were more likely to have a pCR than
their older counterparts (37.4 vs. 26.3 %, P < 0.001). This difference was
significant both for HR+/HER2- and triple-negative (TN) tumors. Young age and
achieving less than pCR were associated with a greater chance of recurrence for
the entire population. Age was not an independent factor for recurrence in TN and
HER2+ disease. However, being younger than 40 increased recurrence risk in
HR+/HER2- tumors. The achievement of a pCR was not associated with improved DFS
in young women with HR+/HER2- tumors. Although young women have a high rate of
pCR, they also have a worse prognosis. In a real-world clinical setting, the
achievement of a pCR was an independently significant protective factor for
recurrence across all subtypes and ages, except for HR+, HER2- disease in young
women.
PMID- 27189009
TI - Genetic and root phenotype diversity in Sri Lankan rice landraces may be related
to drought resistance.
AB - BACKGROUND: The development of relatively cheap and high throughput methods of
genotyping and phenotyping plants offers the opportunity to explore local
germplasm more thoroughly than before and should accelerate the identification of
sources of genetic variation suitable for breeding. In this study, 135 Sri Lankan
accessions, mostly identified as landraces, for which data was available at the
International Rice Research Institute on drought scores were genotyped using a
384 SNP array and assessed for root depth using a newly developed buried
herbicide method. Roots of 36 accessions were assessed using hydroponics and 12
using soil-filled rhizotrons to establish if variation in herbicide score could
be attributed to root traits. RESULTS: Population structure based on the SNPs
using STRUCTURE revealed six groups, being tropical japonica, aus and four indica
subpopulations. Three of these indica subpopulations do not seem to be
represented in the Rice Diversity Panel I (RDP1) of 372 global rice accessions
and appear to represent genetic diversity so far poorly studied by the global
scientific community. The herbicide score was highly discriminatory between
landraces and correlated very strongly with hydroponic and rhizotron root traits.
The mean herbicide score strongly differentiated between landraces according to
the province and the latitude from which they were collected. It also differed
between subpopulations, being high in indica 2 and tropical japonica and low in
indica 1 and aus. Drought scores suggest that indica 2 is more drought resistant
than the other groups. Correlations indicate that those landraces with high
herbicide scores are more drought resistant in the vegetative stage. The landrace
Niyan Wee, whose name in Sinhalese means "drought rice" belongs to the indica 2
subgroup, has high herbicide scores and deep roots. CONCLUSIONS: Niyan Wee and
other cultivars within the indica 2 subgroup should be a valuable source of
breeding for drought resistance at least partly because of their superior root
traits, not normally associated with the indica rice cultivars.
PMID- 27189006
TI - Identification and cloning of class II and III chitinases from alkaline floral
nectar of Rhododendron irroratum, Ericaceae.
AB - MAIN CONCLUSION: Class II and III chitinases belonging to different glycoside
hydrolase families were major nectarins in Rhododendron irroratum floral nectar
which showed significant chitinolytic activity. Previous studies have
demonstrated antimicrobial activity in plant floral nectar, but the molecular
basis for the mechanism is still poorly understood. Two chitinases, class II
(Rhchi2) and III (Rhchi3), were characterized from alkaline Rhododendron
irroratum nectar by both SDS-PAGE and mass spectrometry. Rhchi2 (27 kDa) and
Rhchi3 (29 kDa) are glycoside hydrolases (family 19 and 18) with theoretical pI
of 8.19 and 7.04. The expression patterns of Rhchi2 and Rhchi3 were analyzed by
semi-quantitative RT-PCR. Rhchi2 is expressed in flowers (corolla nectar pouches)
and leaves while Rhchi3 is expressed in flowers. Chitinase in concentrated
protein and fresh nectar samples was visualised by SDS-PAGE and chitinolytic
activity in fresh nectar was determined spectrophotometrically via chitin-azure.
Full length gene sequences were cloned with Tail-PCR and RACE. The amino acid
sequence deduced from the coding region for these proteins showed high identity
with known chitinases and predicted to be located in extracellular space. Fresh
R. irroratum floral nectar showed significant chitinolytic activity. Our results
demonstrate that class III chitinase (GH 18 family) also exists in floral nectar.
The functional relationship between class II and III chitinases and the role of
these pathogenesis-related proteins in antimicrobial activity in nectar is
suggested.
PMID- 27189010
TI - Augmenting water supply by combined desalination/water recycling methods: an
economic assessment.
AB - Dry coastal communities increasingly need to consider non-traditional methods of
augmenting their water supply. This study presents a preliminary economic
comparison of three alternatives for increasing the water supply by 50% for a
hypothetical baseline coastal scenario: increasing desalination (Scenario A),
direct potable water reuse (DPWR) (Scenario B), and a novel retrofitted
configuration of a hybrid forward osmosis-reverse osmosis (FO-RO) plant (Scenario
C). The latter used the dilution of the seawater feed to increase the recovery
and overall output water of the original RO step. To account for the time value
of money, levelised cost (LC) was used as the primary economic metric. The hybrid
FO-RO configuration had a comparable LC to DPWR (0.59 vs. 0.61 $ m-3) and was 12%
cheaper than desalination (0.67 $ m-3). Furthermore, hybrid FO-RO was 7% more
energy efficient than conventional desalination due to reduced intake and
pretreatment flows. Sensitivity analyses demonstrated that incremental reductions
in LC were possible for increased FO membrane flux, including in pressure
assisted osmosis scenarios with applied pressure ranging from 2 to 6 bar. These
findings validate the examination of hybrid FO-RO configurations that deviate
from the energy-reduction paradigms typically studied.
PMID- 27189011
TI - Treatment with a GnRH receptor agonist, but not the GnRH receptor antagonist
degarelix, induces atherosclerotic plaque instability in ApoE(-/-) mice.
AB - Androgen-deprivation therapy (ADT) for prostate cancer has been associated with
increased risk for development of cardiovascular events and recent pooled
analyses of randomized intervention trials suggest that this primarily is the
case for patients with pre-existing cardiovascular disease treated with
gonadotropin-releasing hormone receptor (GnRH-R) agonists. In the present study
we investigated the effects of the GnRH-R agonist leuprolide and the GnRH-R
antagonist degarelix on established atherosclerotic plaques in ApoE(-/-) mice. A
shear stress modifier was used to produce both advanced and more stable plaques
in the carotid artery. After 4 weeks of ADT, increased areas of necrosis was
observed in stable plaques from leuprolide-treated mice (median and IQR plaque
necrotic area in control, degarelix and leuprolide-treated mice were 0.6% (IQR 0
3.1), 0.2% (IQR 0-4.4) and 11.0% (IQR 1.0-19.8), respectively). There was also
evidence of increased inflammation as assessed by macrophage immunohistochemistry
in the plaques from leuprolide-treated mice, but we found no evidence of such
changes in plaques from control mice or mice treated with degarelix. Necrosis
destabilizes plaques and increases the risk for rupture and development of acute
cardiovascular events. Destabilization of pre-existing atherosclerotic plaques
could explain the increased cardiovascular risk in prostate cancer patients
treated with GnRH-R agonists.
PMID- 27189012
TI - Learning disease relationships from clinical drug trials.
AB - OBJECTIVE: Our objective is to test the limits of the assumption that better
learning from data in medicine requires more granular data. We hypothesize that
clinical trial metadata contains latent scientific, clinical, and regulatory
expert knowledge that can be accessed to draw conclusions about the underlying
biology of diseases. We seek to demonstrate that this latent information can be
uncovered from the whole body of clinical trials. MATERIALS AND METHODS: We
extract free-text metadata from 93 654 clinical drug trials and introduce a
representation that allows us to compare different trials. We then construct a
network of diseases using only the trial metadata. We view each trial as the
summation of expert knowledge of biological mechanisms and medical evidence
linking a disease to a drug believed to modulate the pathways of that disease.
Our network representation allows us to visualize disease relationships based on
this underlying information. RESULTS: Our disease network shows surprising
agreement with another disease network based on genetic data and on the Medical
Subject Headings (MeSH) taxonomy, yet also contains unique disease similarities.
DISCUSSION AND CONCLUSION: The agreement of our results with other sources
indicates that our premise regarding latent expert knowledge holds. The disease
relationships unique to our network may be used to generate hypotheses for future
biological and clinical research as well as drug repurposing and design. Our
results provide an example of using experimental data on humans to generate
biologically useful information and point to a set of new and promising
strategies to link clinical outcomes data back to biological research.
PMID- 27189014
TI - Upper-Extremity Deep-Vein Thrombosis: A Retrospective Cohort Evaluation of
Thrombotic Risk Factors at a University Teaching Hospital Antithrombosis Clinic.
AB - BACKGROUND: Upper-extremity deep-vein thrombosis (UEDVT) causes significant
morbidity and mortality and is not well characterized in the existing literature,
particularly in underrepresented minorities such as African Americans. OBJECTIVE:
To describe the characteristics of a cohort of patients with UEDVT seen at an
urban academic medical center. METHODS: This was a retrospective cohort study
among patients with a confirmed UEDVT at the University of Illinois Hospital and
Health Sciences System between 1996 and 2011. Patients were identified by ICD-9
code for UEDVT. Variables collected include thrombotic risk factors and outcomes,
including recurrent thrombosis and bleeding. RESULTS: We identified 229 patients
with UEDVT; 71% were African American, and 11% were diagnosed with sickle cell
disease. The average number of UEDVT risk factors was 4.40 +/- 1.5, the most
common being central venous catheter (CVC) use (178, 78%). In the year following
UEDVT, 13% experienced recurrent thrombosis, and 6% experienced major bleeding.
Of 181 patients receiving warfarin after an UEDVT, 36% of international
normalized ratio (INR) values were therapeutic. Patients with sickle cell disease
had a lower proportion of INRs within the target range (25% vs 38%, P < 0.01),
and were more likely to be lost to follow-up (67% vs 46%, P = 0.05) and
experience a recurrent thrombotic event (29% vs 11%, P = 0.02). CONCLUSION: A CVC
is the most common risk factor for UEDVT; however, patients with sickle cell
disease demonstrate additional unique demographics and risk factors. Patients
included in this underrepresented demographic cohort had a low quality of
anticoagulation control, particularly those with sickle cell disease.
PMID- 27189015
TI - Depletion of florfenicol in lactating dairy cows after intramammary and
subcutaneous administration.
AB - Eighteen Holstein dairy cows ranging in body weight from 500-700 kg and with an
average milk yield of 37 +/- 6 kg/day were used to investigate the depletion of
florfenicol (FFL) in milk and plasma of dairy cows. Three groups of six were
administered FFL: Group A, intramammary (IMM) infusion of ~2.5 mg FFL/kg BW at
three consecutive milking intervals (total amount of ~7.5 mg/kg BW); Group B, one
IMM infusion (20 mg/kg BW) into one quarter and Group C, one subcutaneous (SC)
treatment (40 mg/kg BW). IMM infusions were into the right front quarter. Cows
were milked daily at 06:00 and 18:00 h. The highest concentrations (Cmax ) and
time to Cmax (Tmax ) were: 1.6 +/- 2.2 MUg.FFL/mL milk at 22 h (Group A), 5.5 +/-
3.6 MUg.FFL/mL milk at 12 h (Group B), and 1.7 +/- 0.4 MUg.FFL/mL milk at 12 h
(Group C). The half-lives (t1/2 ) were ~19, 5.5, and 60 h, for Groups A, B, and
C, respectively. FFL was below the limit of detection (LOD) by 60 h in three
Group B cows, but above the LOD at 72, 84, and 120 h in three cows. FFL was above
the LOD in milk from Group C's cows for 432-588 h. Plasma values followed the
same trends as milk. The results demonstrate that IMM-infused FFL is bioavailable
and below the LOD within 72-120 h. The concentration of FFL was detectable in
both plasma and milk over the course of 2-3 weeks after SC administration. The
absence of residue depletion data presents problems in determining safe levels of
FFL residues in milk and edible tissues. The data presented here must not be
construed as approval for extra-label use in food animals.
PMID- 27189016
TI - The Degree of Anisocytosis Predicts Survival in Patients with Primary
Myelofibrosis.
PMID- 27189013
TI - Opportunities and challenges in developing risk prediction models with electronic
health records data: a systematic review.
AB - OBJECTIVE: Electronic health records (EHRs) are an increasingly common data
source for clinical risk prediction, presenting both unique analytic
opportunities and challenges. We sought to evaluate the current state of EHR
based risk prediction modeling through a systematic review of clinical prediction
studies using EHR data. METHODS: We searched PubMed for articles that reported on
the use of an EHR to develop a risk prediction model from 2009 to 2014. Articles
were extracted by two reviewers, and we abstracted information on study design,
use of EHR data, model building, and performance from each publication and
supplementary documentation. RESULTS: We identified 107 articles from 15
different countries. Studies were generally very large (median sample size = 26
100) and utilized a diverse array of predictors. Most used validation techniques
(n = 94 of 107) and reported model coefficients for reproducibility (n = 83).
However, studies did not fully leverage the breadth of EHR data, as they
uncommonly used longitudinal information (n = 37) and employed relatively few
predictor variables (median = 27 variables). Less than half of the studies were
multicenter (n = 50) and only 26 performed validation across sites. Many studies
did not fully address biases of EHR data such as missing data or loss to follow
up. Average c-statistics for different outcomes were: mortality (0.84), clinical
prediction (0.83), hospitalization (0.71), and service utilization (0.71).
CONCLUSIONS: EHR data present both opportunities and challenges for clinical risk
prediction. There is room for improvement in designing such studies.
PMID- 27189017
TI - Intraspecific morphometric variation in myxosporeans.
AB - Morphometric data from spores of ten myxosporean species were statistically
analysed to explore myxosporean intraspecific variation in measurements when
obtained from a sample from: (1) the same plasmodium, (2) different plasmodia
from the same host and (3) different host individuals and localities. In some
cases, significant differences in spore dimensions were found between samples
from the same plasmodium, highlighting the difficulty of obtaining representative
measurements of myxosporean spore. In addition, significant differences in spore
dimensions were found when plasmodia from the same site of infection were
compared, suggesting that measurements of spores should come from several
different plasmodia of the sampling to increase the reliability of the morphology
data. Moreover, significant differences in spore dimensions were observed for
most spore dimensions when data were compared between localities. In all cases,
there was clear overlap in ranges of dimensions even when means differed
significantly. The present statistical analysis shows that intraspecific
morphometric variation of myxosporean species commonly occurs, highlighting the
importance of reporting ranges of measurements for a species, not just the mean
dimensions, and taking into account all evidence when assigning or describing
myxosporean species.
PMID- 27189018
TI - The Family Life Course and Health: Partnership, Fertility Histories, and Later
Life Physical Health Trajectories in Australia.
AB - Life course perspectives suggest that later-life health reflects long-term social
patterns over an individual's life: in particular, the occurrence and timing of
key roles and transitions. Such social patterns have been demonstrated
empirically for multiple aspects of fertility and partnership histories,
including timing of births and marriage, parity, and the presence and timing of a
marital disruption. Most previous studies have, however, addressed particular
aspects of fertility or partnership histories singly. We build on this research
by examining how a holistic classification of family life course trajectories
from ages 18 to 50, incorporating both fertility and partnership histories, is
linked to later-life physical health for a sample of Australian residents. Our
results indicate that long-term family life course trajectories are strongly
linked to later-life health for men but only minimally for women. For men, family
trajectories characterized by early family formation, no family formation, an
early marital disruption, or high fertility are associated with poorer physical
health. Among women, only those who experienced both a disrupted marital history
and a high level of fertility were found to be in poorer health.
PMID- 27189019
TI - Clinical Utility Gene Card for: Congenital Generalized Lipodystrophy.
PMID- 27189020
TI - The effect of a decision aid on informed decision-making in the era of non
invasive prenatal testing: a randomised controlled trial.
AB - Early in pregnancy women and their partners face the complex decision on whether
or not to participate in prenatal testing for fetal chromosomal abnormalities.
Several studies show that the majority of pregnant women currently do not make
informed decisions regarding prenatal testing. As the range of prenatal tests is
expanding due to the development of new techniques such as non-invasive prenatal
testing (NIPT), autonomous reproductive decision-making is increasingly
challenging. In this study, a randomised controlled trial was conducted to
evaluate the effect of a web-based multimedia decision aid on decision-making
regarding prenatal testing. The decision aid provided both written and
audiovisual information on prenatal tests currently available, that is, prenatal
screening by first-trimester combined testing, NIPT and invasive diagnostic
testing through chorionic villus sampling or amniocentesis. Furthermore, it
contained values clarification exercises encouraging pregnant women to reflect on
the potential harms and benefits of having prenatal tests performed. The use of
the decision aid improved informed decision-making regarding prenatal testing. Of
pregnant women allocated to the intervention group (n=130) 82.3% made an informed
choice compared with 66.4% of women in the control group (n=131), P=0.004. As the
vast majority of pregnant women made decisions consistent with their attitudes
towards having prenatal testing performed, this improvement in informed decision
making could be attributed mainly to an increase in decision-relevant knowledge.
This study shows that the implementation of a web-based multimedia decision aid
directly facilitates the ultimate goal of prenatal testing for fetal chromosomal
abnormalities, which is enabling informed autonomous reproductive choice.
PMID- 27189021
TI - Multi-ethnic genome-wide association study identifies novel locus for type 2
diabetes susceptibility.
AB - Genome-wide association studies (GWAS) have traditionally been undertaken in
homogeneous populations from the same ancestry group. However, with the
increasing availability of GWAS in large-scale multi-ethnic cohorts, we have
evaluated a framework for detecting association of genetic variants with complex
traits, allowing for population structure, and developed a powerful test of
heterogeneity in allelic effects between ancestry groups. We have applied the
methodology to identify and characterise loci associated with susceptibility to
type 2 diabetes (T2D) using GWAS data from the Resource for Genetic Epidemiology
on Adult Health and Aging, a large multi-ethnic population-based cohort, created
for investigating the genetic and environmental basis of age-related diseases. We
identified a novel locus for T2D susceptibility at genome-wide significance (P<5
* 10(-8)) that maps to TOMM40-APOE, a region previously implicated in lipid
metabolism and Alzheimer's disease. We have also confirmed previous reports that
single-nucleotide polymorphisms at the TCF7L2 locus demonstrate the greatest
extent of heterogeneity in allelic effects between ethnic groups, with the lowest
risk observed in populations of East Asian ancestry.
PMID- 27189023
TI - Serotonergic modulation for Dravet Syndrome treatment.
PMID- 27189022
TI - A splice variant in the ACSL5 gene relates migraine with fatty acid activation in
mitochondria.
AB - Genome-wide association studies (GWAS) in migraine are providing the molecular
basis of this heterogeneous disease, but the understanding of its aetiology is
still incomplete. Although some biomarkers have currently been accepted for
migraine, large amount of studies for identifying new ones is needed. The
migraine-associated variant rs12355831:A>G (P=2 * 10-6), described in a GWAS of
the International Headache Genetic Consortium, is localized in a non-coding
sequence with unknown function. We sought to identify the causal variant and the
genetic mechanism involved in the migraine risk. To this end, we integrated data
of RNA sequences from the Genetic European Variation in Health and Disease
(GEUVADIS) and genotypes from 1000 GENOMES of 344 lymphoblastoid cell lines
(LCLs), to determine the expression quantitative trait loci (eQTLs) in the
region. We found that the migraine-associated variant belongs to a linkage
disequilibrium block associated with the expression of an acyl-coenzyme A
synthetase 5 (ACSL5) transcript lacking exon 20 (ACSL5-Delta20). We showed by
exon-skipping assay a direct causality of rs2256368-G in the exon 20 skipping of
approximately 20 to 40% of ACSL5 RNA molecules. In conclusion, we identified the
functional variant (rs2256368:A>G) affecting ACSL5 exon 20 skipping, as a causal
factor linked to the migraine-associated rs12355831:A>G, suggesting that the
activation of long-chain fatty acids by the spliced ACSL5-Delta20 molecules, a
mitochondrial located enzyme, is involved in migraine pathology.
PMID- 27189024
TI - Fast and accurate prediction of positive and negative urine cultures by flow
cytometry.
AB - BACKGROUND: Urinary tract infection (UTI) is a widespread infectious disease in
humans. Urine culture, a huge workload in the microbiology laboratory, is still
the standard diagnostic test for UTI, but most of the cultures are negative. A
reliable screening method could reduce unnecessary cultures and quicken reporting
of negative results. METHODS: We evaluated the usefulness of a flow cytometry
(FC) screening method in the prediction of positive urine culture to reduce the
number of urine cultures. The urine specimens sent to the laboratory for culture
were tested with the flow cytometer Accuri C6. FC bacterial counts were compared
to standard urine culture results to assess the best cut-off values. RESULTS: Two
hundred nine urine samples were included, of which 79 (37.8 %) were culture
positive. On comparing the culture and the FC data in the ROC curve, the FC
bacterial counts of >=10(6) bacteria/mL provided a reliable screening for
bacteriuria with a sensitivity and specificity of 99 and 58 %, respectively. All
negative FC results (<10(6) bacteria/mL) showed a negative predictive value of 99
% with a negative likelihood ratio of 0.02. The FC bacterial counts of >=10(8)/mL
showed a positive predictive value of 99 % with a positive likelihood ratio of
60.9. CONCLUSIONS: Counting bacteria in human urine samples by the FC is a fast,
accurate and cost-effective screening method for bacteriuria. Our results showed
that FC is able to rule out UTI, which can lead to a substantial reduction (36 %)
of urine cultures. It also demonstrated that this method predicts positive
cultures accurately.
PMID- 27189025
TI - Type 2 diabetes mellitus.
AB - Type 2 diabetes mellitus (T2DM) is an expanding global health problem, closely
linked to the epidemic of obesity. Individuals with T2DM are at high risk for
both microvascular complications (including retinopathy, nephropathy and
neuropathy) and macrovascular complications (such as cardiovascular
comorbidities), owing to hyperglycaemia and individual components of the insulin
resistance (metabolic) syndrome. Environmental factors (for example, obesity, an
unhealthy diet and physical inactivity) and genetic factors contribute to the
multiple pathophysiological disturbances that are responsible for impaired
glucose homeostasis in T2DM. Insulin resistance and impaired insulin secretion
remain the core defects in T2DM, but at least six other pathophysiological
abnormalities contribute to the dysregulation of glucose metabolism. The multiple
pathogenetic disturbances present in T2DM dictate that multiple antidiabetic
agents, used in combination, will be required to maintain normoglycaemia. The
treatment must not only be effective and safe but also improve the quality of
life. Several novel medications are in development, but the greatest need is for
agents that enhance insulin sensitivity, halt the progressive pancreatic beta
cell failure that is characteristic of T2DM and prevent or reverse the
microvascular complications. For an illustrated summary of this Primer, visit:
http://go.nature.com/V2eGfN.
PMID- 27189026
TI - Treatment of ruptured middle cerebral artery aneurysms by endovascular approach:
a single-center experience.
AB - PURPOSE: The optimal treatment modalities of ruptured middle cerebral artery
aneurysm are still controversial. The objective of this study is to analyze the
outcomes of patients with ruptured middle cerebral artery aneurysms treated by
endovascular coiling. MATERIALS AND METHODS: From October 2011 to October 2015,
67 patients with 71 ruptured middle cerebral artery aneurysms received
endovascular coiling in our hospital. We retrospectively reviewed the clinical,
radiologic records and outcomes. RESULTS: Of all the 71 aneurysms (67 patients),
42 were treated by coil embolization merely, 27 by stent-assisted coiling and 2
unruptured aneurysms in patients with bilateral middle cerebral artery aneurysms
without receiving treatment. Complete occlusion was achieved in 82.6% (57/69) of
all the procedures. Each of incomplete and partial occlusion rates was 8.7%
(6/69). Intraoperative rupture of aneurysms occurred in two procedures (2.9%).
Thrombogenesis occurred in eight procedures (11.6%). Brain infarction occurred in
eight patients (11.9%). Post-operative rebleeding occurred in seven patients
(10.4%). Sixty-three patients were followed at a mean follow-up of 8.24 +/- 7.16
months. The mortality and good outcome rate were 3.2% and 90.5%, respectively.
Aneurysm recurrence occurred in 6 (13.3%) of the 45 aneurysms at a mean follow-up
of 8.44 +/- 7.83 months. CONCLUSIONS: Endovascular coiling is effective for
patients with ruptured middle cerebral artery aneurysms. Individualized treatment
should be assessed by experienced specialist. It is essential to perform
randomized large trials to confirm the efficiency of endovascular coiling.
PMID- 27189027
TI - Effects of Antiretroviral Molecules on Survival and Gene Expression of An
Osteoblast-like Cell Line.
AB - BACKGROUND: The advent of combined antiretroviral therapy effectively undermined
the evolution of HIV disease. Nevertheless, clinical observations indicated a
clear association between therapy and the impairment of bone mineral density.
OBJECTIVE: We selected some antiretroviral compounds used in clinical practice,
to study their impact on bone health and their possible implication in the onset
of bone disease. METHOD: Scalar concentrations of several antiretroviral drugs
(used in single and in combination) were tested on an osteoblast-like cell line,
HOBIT cells, to analyse cell survival and gene expression of selected bone
markers. RESULTS: None of the tested concentrations of Tenofovir, Emtricitabine,
Nevirapine, Maraviroc or Raltegravir induced any significant apoptosis activation
at our experimental conditions. Only some protease inhibitors and Efavirenz, at
high concentration, determined a significant activation of programmed cell death.
In parallel experiments, protease inhibitors used in combination with Tenofovir
and Emtricitabine, increased apoptosis. Furthermore, we performed a study of mRNA
expression of specific genes involved in osteoblast biology and in bone synthesis
and observed that some protease inhibitors induced a selective decrease of some
osteogenic markers. CONCLUSION: All the protease inhibitors included in this
study trigger apoptosis at the highest concentration analysed, suggesting great
caution in HIV-patients co-infected with HBV or HCV, where elevated plasma
concentrations of drugs could be reached as a consequence of liver failure.
Lastly, an increased apoptosis rate and an impairment of osteogenic markers were
recorded only in the presence of Nelfinavir, suggesting a role of protease
inhibitors in the alteration of osteoblast biology.
PMID- 27189029
TI - Ernest Codman and the end result system: a pioneer of health outcomes revisited.
PMID- 27189031
TI - Redox potentials of aryl derivatives from hybrid functional based first
principles molecular dynamics.
AB - We report the redox potentials of a set of organic aryl molecules, including
quinones, juglone, tyrosine and tryptophan, calculated using a first principles
molecular dynamics (FPMD) based method. The hybrid functional HSE06 reproduces
the redox potentials spanning from -0.25 V to 1.15 V within an error of 0.2 V,
whereas the errors with the BLYP functional are much larger (up to 0.7 V). It is
found that the BLYP functional predicts consistently lower electron
affinities/ionization potentials than HSE06 both in gas phase and in an aqueous
solution. In water, the ionization potentials are significantly underestimated by
BLYP due to the exaggeration of the mixing between the solute states and the
valence band states of liquid water. Hybrid HSE06 markedly improves both the
solute levels and water band positions, leading to accurate redox potentials.
This study suggests that the current FPMD based method at the level of hybrid
functionals is able to accurately compute the redox potentials of a wide spectrum
of organic molecules.
PMID- 27189028
TI - Perfusion Pressure Cerebral Infarct (PPCI) trial - the importance of mean
arterial pressure during cardiopulmonary bypass to prevent cerebral complications
after cardiac surgery: study protocol for a randomised controlled trial.
AB - BACKGROUND: Debilitating brain injury occurs in 1.6-5 % of patients undergoing
cardiac surgery with cardiopulmonary bypass. Diffusion-weighted magnetic
resonance imaging studies have reported stroke-like lesions in up to 51 % of
patients after cardiac surgery. The majority of the lesions seem to be caused by
emboli, but inadequate blood flow caused by other mechanisms may increase
ischaemia in the penumbra or cause watershed infarcts. During cardiopulmonary
bypass, blood pressure can be below the lower limit of cerebral autoregulation.
Although much debated, the constant blood flow provided by the cardiopulmonary
bypass system is still considered by many as appropriate to avoid cerebral
ischaemia despite the low blood pressure. METHODS/DESIGN: The Perfusion Pressure
Cerebral Infarct trial is a single-centre superiority trial with a blinded
outcome assessment. The trial is randomising 210 patients with coronary vessel
and/or valve disease and who are undergoing cardiac surgery with the use of
cardiopulmonary bypass. Patients are stratified by age and surgical procedure and
are randomised 1:1 to either an increased mean arterial pressure (70-80 mmHg) or
'usual practice' (40-50 mmHg) during cardiopulmonary bypass. The cardiopulmonary
bypass pump flow is fixed and set at 2.4 L/minute/m(2) body surface area plus 10
20 % in both groups. The primary outcome measure is the volume of the new
ischaemic cerebral lesions (in mL), expressed as the difference between a
baseline, diffusion-weighted, magnetic resonance imaging scan and an equal scan
conducted 3-6 days postoperatively. Secondary endpoints are the total number of
new ischaemic cerebral lesions, postoperative cognitive dysfunction at discharge
and 3 months postoperatively, diffuse cerebral injury evaluated by magnetic
resonance spectroscopy and selected biochemical markers of cerebral injury. The
sample size will enable us to detect a 50 % reduction in the primary outcome
measure in the intervention compared to the control group at a significance level
of 0.05 and with a power of 0.80. DISCUSSION: This is the first clinical
randomised study to evaluate whether the mean arterial pressure level during
cardiopulmonary bypass influences the development of brain injuries that are
detected by diffusion-weighted magnetic resonance imaging. TRIAL REGISTRATION:
ClinicalTrials.gov, NCT02185885 . Registered on 7 July 2014.
PMID- 27189032
TI - The emergence of benchtop NMR systems and the exciting future of the technology.
PMID- 27189033
TI - Determination of Clinical Outcome in Mitral Regurgitation With Cardiovascular
Magnetic Resonance Quantification.
AB - BACKGROUND: Surgery for severe mitral regurgitation is indicated if symptoms or
left ventricular dilation or dysfunction occur. However, prognosis is already
reduced by this stage, and earlier surgery on asymptomatic patients has been
advocated if valve repair is likely, but identifying suitable patients for early
surgery is difficult. Quantifying the regurgitation may help, but evidence for
its link with outcome is limited. Cardiovascular magnetic resonance (CMR) can
accurately quantify mitral regurgitation, and we examined whether this was
associated with the future need for surgery. METHODS AND RESULTS: One hundred
nine asymptomatic patients with echocardiographic moderate or severe mitral
regurgitation had baseline CMR scans and were followed up for up to 8 years
(mean, 2.5+/-1.9 years). CMR quantification accurately identified patients who
progressed to symptoms or other indications for surgery: 91% of subjects with
regurgitant volume <=55 mL survived to 5 years without surgery compared with only
21% with regurgitant volume >55 mL (P<0.0001). A similar separation was observed
for regurgitant fraction <=40% and >40%. CMR-derived end-diastolic volume index
showed a weaker association with outcome (proportions surviving without surgery
at 5 years, 90% for left ventricular end-diastolic volume index <100 mL/m(2)
versus 48% for >=100 mL/m(2)) and added little to the discriminatory power of
regurgitant fraction/volume alone. CONCLUSIONS: CMR quantification of mitral
regurgitation was associated with the development of symptoms or other
indications for surgery and showed better discriminatory ability than the
reference-standard CMR-derived ventricular volumes. CMR may be able to identify
appropriate patients for early surgery, with the potential to change clinical
practice, although the clinical benefits of early surgery require confirmation in
a clinical trial.
PMID- 27189034
TI - Synthesis, structural and electrochemical properties of sodium nickel phosphate
for energy storage devices.
AB - Electrochemical energy production and storage at large scale and low cost, is a
critical bottleneck in renewable energy systems. Oxides and lithium transition
metal phosphates have been researched for over two decades and many technologies
based on them exist. Much less work has been done investigating the use of sodium
phosphates for energy storage. In this work, the synthesis of sodium nickel
phosphate at different temperatures is performed and its performance evaluated
for supercapacitor applications. The electronic properties of polycrystalline
NaNiPO4 polymorphs, triphylite and maricite, t- and m-NaNiPO4 are calculated by
means of first-principle calculations based on spin-polarized Density Functional
Theory (DFT). The structure and morphology of the polymorphs were characterized
and validated experimentally and it is shown that the sodium nickel phosphate
(NaNiPO4) exists in two different forms (triphylite and maricite), depending on
the synthetic temperature (300-550 degrees C). The as-prepared and triphylite
forms of NaNiPO4vs. activated carbon in 2 M NaOH exhibit the maximum specific
capacitance of 125 F g(-1) and 85 F g(-1) respectively, at 1 A g(-1); both having
excellent cycling stability with retention of 99% capacity up to 2000 cycles. The
maricite form showed 70 F g(-1) with a significant drop in capacity after just 50
cycles. These results reveal that the synthesized triphylite showed a high
performance energy density of 44 Wh kg(-1) which is attributed to the
hierarchical structure of the porous NaNiPO4 nanosheets. At a higher temperature
(>400 degrees C) the maricite form of NaNiPO4 possesses a nanoplate-like (coarse
and blocky) structure with a large skewing at the intermediate frequency that is
not tolerant of cycling. Computed results for the sodium nickel phosphate
polymorphs and the electrochemical experimental results are in good agreement.
PMID- 27189035
TI - The signaling mechanisms of hippocampal endoplasmic reticulum stress affecting
neuronal plasticity-related protein levels in high fat diet-induced obese rats
and the regulation of aerobic exercise.
AB - High fat diet (HFD)-induced obesity has been shown to reduce the levels of
neuronal plasticity-related proteins, specifically brain-derived neurotrophic
factor (BDNF) and synaptophysin (SYN), in the hippocampus. However, the
underlying mechanisms are not fully clear. Endoplasmic reticulum stress (ERS) has
been reported to play a key role in regulating gene expression and protein
production by affecting stress signaling pathways and ER functions of protein
folding and post-translational modification in peripheral tissues of obese rodent
models. Additionally, HFD that is associated with hyperglycemia could induce
hippocampal ERS, thus impairing insulin signaling and cognitive health in HFD
mice. One goal of this study was to determine whether hyperglycemia and
hyperlipidemia could cause hippocampal ERS in HFD-induced obese SD rats, and
explore the potential mechanisms of ERS regulating hippocampal BDNF and SYN
proteins production. Additionally, although regular aerobic exercise could reduce
central inflammation and elevate hippocampal BDNF and SYN levels in obese rats,
the regulated mechanisms are poorly understood. Nrf2-HO-1 pathways play roles in
anti-ERS, anti-inflammation and anti-apoptosis in peripheral tissues. Therefore,
the other goal of this study was to determine whether aerobic exercise could
activate Nrf2-HO-1 in hippocampus to alleviate obesity-induced hippocampal ERS,
which would lead to increased BDNF and SYN levels. Male SD rats were fed on HFD
for 8weeks to establish the obese model. Then, 8weeks of aerobic exercise
treadmill intervention was arranged for the obese rats. Results showed that HFD
induced obesity caused hyperglycemia and hyperlipidemia, and significantly
promoted hippocampal glucose transporter 3 (GLUT3) and fatty acid transport
protein 1 (FATP1) protein expression. These results were associated with the
activation of hippocampal ERS and ERS-mediated apoptosis. At the same time, we
found that excessive hippocampal ERS not only significantly decreased proBDNF-the
precursor of mature BDNF, but also attenuated p38/ERK-CREB signaling pathways and
activated NLRP3-IL-1beta pathways in obese rats. These results were associated
with reduced BDNF and SYN protein production. However, these adverse changes were
obviously reversed by aerobic exercise intervention through activating the Nrf2
HO-1 pathways. These results suggest that dietary obesity could induce
hippocampal ERS in male SD rats, and excessive hippocampal ERS plays a critical
role in decreasing the levels of BDNF and SYN. Moreover, aerobic exercise could
activate hippocampal Nrf2 and HO-1 to relieve ERS and heighten BDNF and SYN
production in obese rats.
PMID- 27189036
TI - In vivo administration of extracellular cGMP normalizes TNF-alpha and membrane
expression of AMPA receptors in hippocampus and spatial reference memory but not
IL-1beta, NMDA receptors in membrane and working memory in hyperammonemic rats.
AB - Patients with hepatic encephalopathy (HE) show working memory and visuo-spatial
orientation deficits. Hyperammonemia is a main contributor to cognitive
impairment in HE. Hyperammonemic rats show impaired spatial learning and learning
ability in the Y maze. Intracerebral administration of extracellular cGMP
restores learning in the Y-maze. The underlying mechanisms remain unknown. It
also remains unknown whether extracellular cGMP improves neuroinflammation or
restores spatial learning in hyperammonemic rats and if it affects differently
reference and working memory. The aims of this work were: Spatial working and
reference memory were assessed using the radial and Morris water mazes and
neuroinflammation by immunohistochemistry and Western blot. Membrane expression
of NMDA and AMPA receptor subunits was analyzed using the BS3 crosslinker.
Extracellular cGMP was administered intracerebrally using osmotic minipumps.
Chronic hyperammonemia induces neuroinflammation in hippocampus, with astrocytes
activation and increased IL-1beta, which are associated with increased NMDA
receptors membrane expression and impaired working memory. This process is not
affected by extracellular cGMP. Hyperammonemia also activates microglia and
increases TNF-alpha, alters membrane expression of AMPA receptor subunits
(increased GluA1 and reduced GluA2) and impairs reference memory. All these
changes are reversed by extracellular cGMP. These results show that extracellular
cGMP modulates spatial reference memory but not working memory. This would be
mediated by modulation of TNF-alpha levels and of membrane expression of GluA1
and GluA2 subunits of AMPA receptors.
PMID- 27189037
TI - Behavioral assessment of neuropathic pain, fatigue, and anxiety in experimental
autoimmune encephalomyelitis (EAE) and attenuation by interleukin-10 gene
therapy.
AB - Relapsing-remitting multiple sclerosis is commonly associated with motor
impairments, neuropathic pain, fatigue, mood disorders, and decreased life
expectancy. However, preclinical pharmacological studies predominantly rely on
clinical scoring of motor deficit as the sole behavioral endpoint. Thus, the
translational potential of these studies is limited. Here, we have assessed the
therapeutic potential of a novel anti-inflammatory interleukin-10 (IL-10) non
viral gene therapy formulation (XT-101-R) in a rat relapsing remitting
experimental autoimmune encephalomyelitis (EAE) model. EAE induced motor deficits
and neuropathic pain as reflected by induction of low-threshold mechanical
allodynia, suppressed voluntary wheel running, decreased social exploration, and
was associated with markedly enhanced mortality. We also noted that voluntary
wheel running was depressed prior to the onset of motor deficit, and may
therefore serve as a predictor of clinical symptoms onset. XT-101-R was
intrathecally dosed only once at the onset of motor deficits, and attenuated each
of the EAE-induced symptoms and improved survival, relative to vehicle control.
This is the first pharmacological assessment of such a broad range of EAE
symptoms, and provides support for IL-10 gene therapy as a clinical strategy for
the treatment of multiple sclerosis.
PMID- 27189039
TI - Computational quantum-classical boundary of noisy commuting quantum circuits.
AB - It is often said that the transition from quantum to classical worlds is caused
by decoherence originated from an interaction between a system of interest and
its surrounding environment. Here we establish a computational quantum-classical
boundary from the viewpoint of classical simulatability of a quantum system under
decoherence. Specifically, we consider commuting quantum circuits being subject
to decoherence. Or equivalently, we can regard them as measurement-based quantum
computation on decohered weighted graph states. To show intractability of
classical simulation in the quantum side, we utilize the postselection argument
and crucially strengthen it by taking noise effect into account. Classical
simulatability in the classical side is also shown constructively by using both
separable criteria in a projected-entangled-pair-state picture and the Gottesman
Knill theorem for mixed state Clifford circuits. We found that when each qubit is
subject to a single-qubit complete-positive-trace-preserving noise, the
computational quantum-classical boundary is sharply given by the noise rate
required for the distillability of a magic state. The obtained quantum-classical
boundary of noisy quantum dynamics reveals a complexity landscape of controlled
quantum systems. This paves a way to an experimentally feasible verification of
quantum mechanics in a high complexity limit beyond classically simulatable
region.
PMID- 27189038
TI - The effect of daily zinc and/or multivitamin supplements on early childhood
development in Tanzania: results from a randomized controlled trial.
AB - Impaired childhood development has lifelong consequences for educational
attainment and wage-earning potential. Micronutrient supplements have the
potential to improve development. The objective of this study was to determine
the effect of daily zinc and/or multivitamin (vitamins C, E and B-complex)
supplements on development among Tanzanian infants. In this randomized, 2 * 2
factorial, double-blind trial, 2400 infants were randomized to zinc (Zn),
multivitamins (MV), zinc and multivitamins (Zn + MV) or placebo at 6 weeks of
age. At approximately 15 months, a sub-sample of 247 children underwent
developmental assessment using the cognitive, language (receptive and expressive)
and motor (fine and gross) scales of the Bayley Scales of Infant and Toddler
Development Third Edition (BSID-III). Mean BSID-III scores were compared using
univariate and multivariate linear regression models adjusted for child's sex,
post-conceptual age and test administrator. Logistic regressions were used to
assess odds of low developmental scores. We did not detect a significant
difference in mean BSID-III scores in any of the five domains in univariate or
multivariate models comparing each of the four treatment groups. We also did not
detect a significant difference in mean BSID-III scores when comparing children
who received zinc supplements versus those who did not, or in comparisons of
children who received multivitamin supplements versus those who did not. There
was no significant difference in odds of a low BSID-III score in any of the five
domains in treatment arms either. Because neither daily zinc nor multivitamin
(vitamins B-complex, C and E) supplementation led to improvements in any of the
developmental domains assessed using the BSID-III, we recommend pursuing
alternative interventions to promote early childhood development in vulnerable
populations. (c) 2016 John Wiley & Sons Ltd.
PMID- 27189040
TI - Post-traumatic stress disorder.
AB - Post-traumatic stress disorder (PTSD) occurs in 5-10% of the population and is
twice as common in women as in men. Although trauma exposure is the precipitating
event for PTSD to develop, biological and psychosocial risk factors are
increasingly viewed as predictors of symptom onset, severity and chronicity. PTSD
affects multiple biological systems, such as brain circuitry and neurochemistry,
and cellular, immune, endocrine and metabolic function. Treatment approaches
involve a combination of medications and psychotherapy, with psychotherapy
overall showing greatest efficacy. Studies of PTSD pathophysiology initially
focused on the psychophysiology and neurobiology of stress responses, and the
acquisition and the extinction of fear memories. However, increasing emphasis is
being placed on identifying factors that explain individual differences in
responses to trauma and promotion of resilience, such as genetic and social
factors, brain developmental processes, cumulative biological and psychological
effects of early childhood and other stressful lifetime events. The field of PTSD
is currently challenged by fluctuations in diagnostic criteria, which have
implications for epidemiological, biological, genetic and treatment studies.
However, the advent of new biological methodologies offers the possibility of
large-scale approaches to heterogeneous and genetically complex brain disorders,
and provides optimism that individualized approaches to diagnosis and treatment
will be discovered.
PMID- 27189041
TI - Porcine epidemic diarrhea virus: An overview of current virological and
serological diagnostic methods.
AB - Porcine epidemic diarrhea virus (PEDV) is the causative agent of an acute, highly
contagious, and severe enteric disease that leads to high mortality rates in
suckling piglets. Therefore, accurate diagnosis of PEDV infection is critical for
the implementation of control measures for the virus. Many diagnostic tests have
been recently developed and are currently available for the detection of PEDV,
its proteins or nucleic acid, including virus isolation, immunofluorescence (IF)
or immunohistochemistry (IHC), polymerase chain reaction (PCR) and isothermal
amplification assays. Additionally, several serological assays have been
developed and are currently used for the detection of antibodies against PEDV.
Molecular assays such as real-time reverse transcriptase-PCR (rRT-PCR) became the
methods of choice for the diagnosis of PEDV infection, providing sensitive,
specific and rapid detection of the virus RNA in clinical samples. Whereas
serological assays have been widely used to monitor prior exposure to the virus
and to evaluate the efficacy of novel vaccine candidates or vaccination
strategies. Here we discuss the properties of current PEDV diagnostic assays and
prospects for improving diagnostic strategies in the future.
PMID- 27189042
TI - Characterization of codon usage pattern and influencing factors in Japanese
encephalitis virus.
AB - Recently, several outbreaks of Japanese encephalitis (JE), caused by Japanese
encephalitis virus (JEV), have been reported and it has become cause of concern
across the world. In this study, detailed analysis of JEV codon usage pattern was
performed. The relative synonymous codon usage (RSCU) values along with mean
effective number of codons (ENC) value of 55.30 indicated the presence of low
codon usages bias in JEV. The effect of mutational pressure on codon usage bias
was confirmed by significant correlations of A3s, U3s, G3s, C3s, GC3s, ENC
values, with overall nucleotide contents (A%, U%, G%, C%, and GC%). The
correlation analysis of A3s, U3s, G3s, C3s, GC3s, with axis values of
correspondence analysis (CoA) further confirmed the role of mutational pressure.
However, the correlation analysis of Gravy values and Aroma values with A3s, U3s,
G3s, C3s, and GC3s, indicated the presence of natural selection on codon usage
bias in addition to mutational pressure. The natural selection was further
confirmed by codon adaptation index (CAI) analysis. Additionally, relative
dinucleotide frequencies, geographical distribution, and evolutionary processes
also influenced the codon usage pattern to some extent.
PMID- 27189043
TI - First Production of Larvae Using Cryopreserved Sperm: Effects of Preservation
Temperature and Cryopreservation on European Eel Sperm Fertilization Capacity.
AB - Sperm cryopreservation is a useful tool in captive fish reproduction management,
that is to synchronize gamete production, especially in the case of species as
the European eel, where the time of female spawning readiness is unpredictable.
Several protocols to cryopreserve sperm of this species have been described, but
until recently fertilization trials were not feasible. This study evaluated the
effect of cold storage of diluted sperm prior to fertilizations and tested
whether a previously defined protocol for European eel sperm cryopreservation can
be successfully applied in fertilization trials to produce viable offspring. In
our experiment, the sperm motility was evaluated after the extraction and the
best samples were selected and pooled. Until stripping of eggs and fertilization,
diluted sperm samples were maintained at either 4 or 20 degrees C, or
cryopreserved, following existing protocols. Fertilization of two egg batches was
attempted. Diluted sperm caused a similar percentage of fertilized eggs and a
similar number of embryos and larvae, independently of storage temperature (4 or
20 degrees C). The cryopreserved sperm resulted in a lower percentage of
fertilized eggs, but embryos developed and a few larvae ('cryolarvae') were
obtained 55 h after fertilization in one of the two egg batches. This result
evidences that the tested cryopreservation protocol is applicable for eel
reproduction management, although improvements will be required to enhance
fertilization success.
PMID- 27189044
TI - Prevalence and features of chronic viral hepatitis and HIV coinfection in Italian
prisons.
PMID- 27189045
TI - Analysis of serum immune markers in seropositive and seronegative rheumatoid
arthritis and in high-risk seropositive arthralgia patients.
AB - Presence of autoantibodies precedes development of seropositive rheumatoid
arthritis (SP RA) and seropositive arthralgia patients (SAP) are at risk of
developing RA. The aims of the study are to identify additional serum immune
markers discriminating between SP and seronegative (SN) RA, and markers
identifying high-risk SAP. Sera from SAP (n = 27), SP RA (n = 22), SN RA (n = 11)
and healthy controls (n = 20) were analyzed using the Human Cytokine 25-Plex
Panel. Selected markers were validated in independent cohorts of SP RA (n = 35)
and SN RA (n = 12) patients. Eleven of 27 SAP developed RA within 8 months
(median follow-up time, range 1-32 months), and their baseline serum markers were
compared to 16 non-progressing SAP. SAP and SP RA patients showed a marked
overlap in their systemic immune profiles, while SN RA showed a distinct immune
profile. Three of 4 markers discriminating between SP and SN RA (IL-1beta, IL-15
and Eotaxin, but not CCL5) were similarly modulated in independent cohorts. SAP
progressing to RA showed trends for increases in IL-5, MIP-1beta, IL-1RA and IL
12 compared to non-progressing SAP. ROC analysis showed that serum IL-5 most
accurately discriminated between the two SAP groups (AUC > 0.8), suggesting that
baseline IL-5 levels may aid the identification of high-risk SAP.
PMID- 27189047
TI - An ecological analysis of prison overcrowding and suicide rates in England and
Wales, 2000-2014.
AB - Prisoners are at a greatly increased risk of suicides compared to the general
population. Differences in suicide risk can be partly explained by individual
risk factors, but the contribution of prison characteristics remains unclear.
Overcrowded prisons have higher suicide rates, but this may be related to prison
function, security level, population size and turnover. The aim of the current
study was to investigate the contribution of each of these prison characteristics
to suicide rates, using data from the Ministry of Justice for adult prisons in
England and Wales from 2000 to 2014. Negative binomial regression analysis showed
that larger population size, higher turnover, higher security and public
management were associated with higher suicide rates. When controlling for these
factors, overcrowding was not found to be related to suicide rates. Questions
remain about the causal mechanisms underlying variation in prison suicides and
the impact of the lived experience of overcrowding. Further research is needed to
examine the relative contribution of prison and prisoner characteristics to
suicides.
PMID- 27189046
TI - Impact of Implementing a Wiki to Develop Structured Electronic Order Sets on
Physicians' Intention to Use Wiki-Based Order Sets.
AB - BACKGROUND: Wikis have the potential to promote best practices in health systems
by sharing order sets with a broad community of stakeholders. However, little is
known about the impact of using a wiki on clinicians' intention to use wiki-based
order sets. OBJECTIVE: The aims of this study were: (1) to describe the use of a
wiki to create structured order sets for a single emergency department; (2) to
evaluate whether the use of this wiki changed emergency physicians' future
intention to use wiki-based order sets; and (3) to understand the impact of using
the wiki on the behavioral determinants for using wiki-based order sets. METHODS:
This was a pre/post-intervention mixed-methods study conducted in one hospital in
Levis, Quebec. The intervention was comprised of receiving access to and being
motivated by the department head to use a wiki for 6 months to create electronic
order sets designed to be used in a computer physician order entry system. Before
and after our intervention, we asked participants to complete a previously
validated questionnaire based on the Theory of Planned Behavior. Our primary
outcome was the intention to use wiki-based order sets in clinical practice. We
also assessed participants' attitude, perceived behavioral control, and
subjective norm to use wiki-based order sets. Paired pre- and post-Likert scores
were compared using Wilcoxon signed-rank tests. The post-questionnaire also
included open-ended questions concerning participants' comments about the wiki,
which were then classified into themes using an existing taxonomy. RESULTS:
Twenty-eight emergency physicians were enrolled in the study (response rate:
100%). Physicians' mean intention to use a wiki-based reminder was 5.42 (SD 1.04)
before the intervention, and increased to 5.81 (SD 1.25) on a 7-point Likert
scale (P =.03) after the intervention. Participants' attitude towards using a
wiki-based order set also increased from 5.07 (SD 0.90) to 5.57 (SD 0.88) (P
=.003). Perceived behavioral control and subjective norm did not change. Easier
information sharing was the most frequently positive impact raised. In order of
frequency, the three most important facilitators reported were: ease of use,
support from colleagues, and promotion by the departmental head. Although
participants did not mention any perceived negative impacts, they raised the
following barriers in order of frequency: poor organization of information, slow
computers, and difficult wiki access. CONCLUSIONS: Emergency physicians'
intention and attitude to use wiki-based order sets increased after having access
to and being motivated to use a wiki for 6 months. Future studies need to explore
if this increased intention will translate into sustained actual use and improve
patient care. Certain barriers need to be addressed before implementing a wiki
for use on a larger scale.
PMID- 27189048
TI - The efficacy of electrical stimulation in experimentally induced cutaneous wounds
in animals.
AB - BACKGROUND: Complicated cutaneous wounds and their subsequent management can be a
clinical challenge in veterinary medicine. There is still an unmet need for an
ideal wound healing therapy that is able to stimulate efficiency and quality of
repair. Skin wounds generate large and persistent endogenous electric currents
and fields termed the "current of injury". The current of injury is involved in
numerous processes of wound healing. These observations have led to the
hypothesis that applied electrical stimulation (ES) may promote wound healing by
imitating the natural electrical current that occurs in cutaneous wounds.
OBJECTIVES: This review details the use, effect and mechanism of ES in different
preclinical experimental cutaneous wound models and discusses the potential of
how ES could be translated into veterinary practice. RESULTS: Studies have found
a variable effect of ES on wound healing. Some have been positive with faster
rates of wound re-epithelialization, increased wound collagen formation and
angiogenesis noted. Other studies have shown no effect or detrimental results.
The effects of ES are highly influenced by the ES modality, polarity and
parameters. CONCLUSIONS AND CLINICAL IMPORTANCE: Electrical stimulation has the
potential to play a significant role in enhancing cutaneous wound healing in
veterinary practice. Clinical studies are necessary to corroborate the findings
from experimental studies which have shown promise including the use of
alternating pulsed and direct current and the use of bio-electric dressings. The
ideal ES device would need to be safe, easy to use, portable, noninvasive and aid
wound healing by having a beneficial effect on all wound healing stages.
PMID- 27189049
TI - Micronutrient Composition of 35 Food Fishes from India and Their Significance in
Human Nutrition.
AB - The micronutrients (vitamins and minerals) are required in small amounts but are
essential for health, development, and growth. Micronutrient deficiencies, which
affect over two billion people around the globe, are the leading cause of many
ailments including mental retardation, preventable blindness, and death during
childbirth. Fish is an important dietary source of micronutrients and plays
important role in human nutrition. In the present investigation, micronutrient
composition of 35 food fishes (includes both finfishes and shellfishes) was
investigated from varying aquatic habitats. Macrominerals (Na, K, Ca, Mg) and
trace elements (Fe, Cu, Zn, Mn, Se) were determined by either atomic absorption
spectroscopy (AAS) or inductively coupled plasma mass spectrometry (ICP
MS)/atomic emission spectrometry (ICP-AES). Phosphorus content was determined
either spectrophotometrically or by ICP-AES. Fat-soluble vitamins (A, D, E, K)
were analyzed by high-performance liquid chromatography (HPLC). The analysis
showed that, in general, the marine fishes were rich in sodium and potassium;
small indigenous fishes (SIFs) in calcium, iron, and manganese; coldwater fishes
in selenium; and the brackishwater fishes in phosphorous. The marine fishes
Sardinella longiceps and Epinephelus spp. and the SIFs were rich in all fat
soluble vitamins. All these recommendations were made according to the potential
contribution (daily value %) of the species to the recommended daily allowance
(RDA). Information on the micronutrients generated would enhance the utility of
fish in both community and clinical nutrition.
PMID- 27189050
TI - Isolated limb perfusion in Merkel cell carcinoma offers high rate of complete
response and durable local-regional control: Systematic review and institutional
experience.
AB - INTRODUCTION: Hyperthermic isolated limb perfusion (HILP) has an established role
in the management of melanoma, but its role for Merkel cell carcinoma (MCC) is
less well defined. METHODS: Retrospective review of our institutional experience
with HILP for MCC was conducted (2009-2015). Literature search was performed
through 04/2015 and 10 studies met inclusion criteria. RESULTS: Four patients
underwent HILP for MCC at our institution. There were no major complications and
complete response was achieved in all patients. Early metastatic recurrence
developed in two patients. The remaining two had no evidence of disease at last
follow-up (36 months) or death (39 months). Systematic review identified an
additional 12 pts that underwent HILP for MCC, for a total of 16 cases. Median
age was 73 [IQR 69-78] years and 56% were men. Of the patients with reported
follow-up, 12 (86%) had complete response, 1 had stable disease, and 1 partial
response. Four patients developed local-regional recurrence and six distant
metastases, all within 6 months. Overall median follow-up time was 15 [7-36]
months. CONCLUSION: Among a highly selective group of patients, regional
perfusion for MCC is safe and has a high complete response rate. HILP is an
acceptable therapeutic modality for obtaining durable loco-regional control but
early distant metastatic disease remains a significant cause of mortality. J.
Surg. Oncol. 2016;114:187-192. (c) 2016 Wiley Periodicals, Inc.
PMID- 27189052
TI - Secondary Treatment of Local Bleeding in Patients Undergoing Hepatic Resection:
In reply to Sugimachi.
PMID- 27189051
TI - Topical Delivery of Protein and Peptide Using Novel Cell Penetrating Peptide IMT
P8.
AB - Skin, being the largest organ of the body, is an important site for drug
administration. However, most of the drugs have poor permeability and thus drug
delivery through the skin is very challenging. In this study, we examined the
transdermal delivery capability of IMT-P8, a novel cell-penetrating peptide. We
generated IMT-P8-GFP and IMT-P8-KLA fusion constructs and evaluated their
internalization into mouse skin after topical application. Our results
demonstrate that IMT-P8 is capable of transporting green fluorescent protein
(GFP) and proapoptotic peptide, KLA into the skin and also in different cell
lines. Interestingly, uptake of IMT-P8-GFP was considerably higher than TAT-GFP
in HeLa cells. After internalization, IMT-P8-KLA got localized to the
mitochondria and caused significant cell death in HeLa cells signifying an intact
biological activity. Further in vivo skin penetration experiments revealed that
after topical application, IMT-P8 penetrated the stratum corneum, entered into
the viable epidermis and accumulated inside the hair follicles. In addition, both
IMT-P8-KLA and IMT-P8-GFP internalized into the hair follicles and dermal tissue
of the skin following topical application. These results suggested that IMT-P8
could be a potential candidate to be used as a topical delivery vehicle for
various cosmetic and skin disease applications.
PMID- 27189053
TI - Correlation of normal-range FMR1 repeat length or genotypes and reproductive
parameters.
AB - PURPOSE: This study aims to ascertain whether the length of normal-ranged CGG
repeats on the FMR1 gene correlates with abnormal reproductive parameters.
METHODS: We performed a retrospective, cross-sectional study of all FMR1 carrier
screening performed as part of routine care at a large university-based fertility
center from January 2011 to March 2014. Correlations were performed between
normal-range FMR1 length and baseline serum anti-Mullerian hormone (AMH), cycle
day 3 follicle stimulating hormone (FSH), ovarian volumes (OV), antral follicle
counts (AFC), and incidence of diminished ovarian reserve (DOR), while
controlling for the effect of age. RESULTS: Six hundred three FMR1 screening
results were collected. One subject was found to be a pre-mutation carrier and
was excluded from the study. Baseline serum AMH, cycle day 3 FSH, OV, and AFC
data were collected for the 602 subjects with normal-ranged CGG repeats. No
significant difference in median age was noted amongst any of the FMR1 repeat
genotypes. No significant correlation or association was found between any allele
length or genotype, with any of the reproductive parameters or with incidence of
DOR at any age (p > 0.05). However, subjects who were less than 35 years old with
low/low genotype were significantly more likely to have below average AMH levels
compared to those with normal/normal genotype (RR 3.82; 95 % CI 1.38-10.56).
CONCLUSIONS: This large study did not demonstrate any substantial association
between normal-range FMR1 repeat lengths and reproductive parameters.
PMID- 27189055
TI - Mitochondrial Dysfunction and Ca2+ Overload in Injured Sertoli Cells Exposed to
Bisphenol A.
AB - Bisphenol-A (BPA) is well known as one of endocrine-disrupting chemicals and
testicular toxicant. In this present study, we determined whether BPA caused cell
injury through mitochondria impairment and ROS overproduction. The cellular ROS
production, mitochondrial ATP synthetase activity and Ca2+ concentration were
examined. We have found BPA caused the cellular mitochondria dysfunction and
followed by cell death in Sertoli cells. Moreover cytoplasm Ca2+ overload was
also involved. Furthermore, pretreatment with N-acetyl-L-cysteine (NAC) could
alleviate the damage by causing a remarkable decrease in ROS production and
mitochondrial dysfunction. Collectively, our results showed that BPA exposure
induced Sertoli cell apoptosis because of excessive ROS generation and
mitochondrial dysfunction. (c) 2016 Wiley Periodicals, Inc. Environ Toxicol 32:
823-831, 2017.
PMID- 27189054
TI - Effect of acteoside on the re-localization and abnormal morphology of
mitochondria in porcine oocytes during in vitro maturation.
AB - PURPOSE: The aim of this study is to investigate the effect of acteoside, an
antioxidant, on in vitro maturation (IVM) of oocytes to improve early
parthenogenetic embryonic developmental competence. METHODS: Porcine immature
oocytes (total 770) were cultured in IVM medium with acteoside at various
concentrations, 0 (control), 10, 30, and 50 MUM. Each group was assessed for
maturation and subsequent development rates, reactive oxygen species (ROS) level
(15 oocytes per group and four independent experiments performed), ultrastructure
observation (15 oocytes per group), mitochondrial activity (30 oocytes per groups
and three independent experiments performed), and expression patterns of
apoptosis-related genes (100 expended parthenogenetic embryos per group and three
independent experiment performed). Main outcome measures were the rates of IVM,
blastocyst formation, ROS, mitochondria, and expression of apoptosis-related
genes in oocytes treated with acteoside. RESULT(S): Addition of acteoside during
IVM did not change the maturation efficiency of oocytes but improved the rate of
blastocyst formation with significantly decreased ROS level. Moreover, in
acteoside-treated oocytes, cytoplasmic maturation was improved with
morphologically uniform distribution of mitochondria and lipid droplets in
cytoplasm. Acteoside supplementation also increased the mRNA expression levels of
antiapoptotic genes and reduced those of pro-apoptotic genes. CONCLUSION(S):
Acteoside supplementation in IVM medium improves the oocyte quality and
subsequent development of pre-implantation embryos that would eventually
contribute to produce embryos with high embryonic development competence.
PMID- 27189056
TI - Post-transplant lymphoproliferative disorders.
AB - Post-transplant lymphoproliferative disorders (PTLDs) are a group of conditions
that involve uncontrolled proliferation of lymphoid cells as a consequence of
extrinsic immunosuppression after organ or haematopoietic stem cell transplant.
PTLDs show some similarities to classic lymphomas in the non-immunosuppressed
general population. The oncogenic Epstein-Barr virus (EBV) is a key pathogenic
driver in many early-onset cases, through multiple mechanisms. The incidence of
PTLD varies with the type of transplant; a clear distinction should therefore be
made between the conditions after solid organ transplant and after haematopoietic
stem cell transplant. Recipient EBV seronegativity and the intensity of
immunosuppression are among key risk factors. Symptoms and signs depend on the
localization of the lymphoid masses. Diagnosis requires histopathology, although
imaging techniques can provide additional supportive evidence. Pre-emptive
intervention based on monitoring EBV levels in blood has emerged as the preferred
strategy for PTLD prevention. Treatment of established disease includes reduction
of immunosuppression and/or administration of rituximab (a B cell-specific
antibody against CD20), chemotherapy and EBV-specific cytotoxic T cells. Despite
these strategies, the mortality and morbidity remains considerable. Patient
outcome is influenced by the severity of presentation, treatment-related
complications and risk of allograft loss. New innovative treatment options hold
promise for changing the outlook in the future.
PMID- 27189057
TI - Als1 and Als3 regulate the intracellular uptake of copper ions when Candida
albicans biofilms are exposed to metallic copper surfaces.
AB - Copper surfaces possess efficient antimicrobial effect. Here, we reported that
copper surfaces could inactivate Candida albicans biofilms within 40 min. The
intracellular reactive oxygen species in C. albicans biofilms were immediately
stimulated during the contact of copper surfaces, which might be an important
factor for killing the mature biofilms. Copper release assay demonstrated that
the copper ions automatically released from the surface of 1 mm thick copper
coupons with over 99.9% purity are not the key determinant for the copper
mediated killing action. The susceptibility test to copper surfaces by using C.
albicans mutant strains, which were involved in efflux pumps, adhesins, biofilms
formation or osmotic stress response showed that als1/als1 and als3/als3
displayed higher resistance to the copper surface contact than other mutants did.
The intracellular concentration of copper ions was lower in als1/als1 and
als3/als3 than that in wild-type strain. Transcriptional analysis revealed that
the expression of copper transporter-related gene, CRP1, was significantly
increased in als1/als1, als3/als3, suggesting a potential role of ALS1 and ALS3
in absorbing ions by regulating the expression of CRP1 This study provides a
potential application in treating pathogenic fungi by using copper surfaces and
uncovers the roles of ALS1 and ALS3 in absorbing copper ions for C. albicans.
PMID- 27189058
TI - Agarivorans aestuarii sp. nov., an agar-degrading bacterium isolated from a tidal
flat.
AB - A Gram-reaction-negative, aerobic, non-spore forming, rod-shaped bacterium motile
with a single polar flagellum, designated strain hydD622T, was isolated from the
sediment of a tidal flat at Asan Bay, Korea. Strain hydD622T exhibited an
agarolytic activity. Comparison of 16S rRNA gene sequences revealed that strain
hydD622T was closely related to Agarivorans litoreus KCTC 42116T, Agarivorans
albus KCTC 22256T and Agarivorans gilvus KCTC 32555T with similarities of 98.4,
98.0 and 96.5 %, respectively. Strain hydD622T was clustered distantly from the
other genera in the family Alteromonadaceae but formed a unique clade within the
genus Agarivorans based on the 16S rRNA gene sequence. The DNA-DNA relatedness
with Agarivorans litoreus KCTC 42116T and Agarivorans. albus KCTC 22256T was 39.0
and 37.8 %, respectively. The major fatty acids (>10 %) were C16 : 0,C16 :
1omega6c/C16 : 1omega7c and C18 : 1omega6c/C18 : 1omega7c. The respiratory
quinone was ubiquinone-8, and the polar lipid profile consisted of
phosphatidylethanolamine, diphosphatidylglycerol, phosphatidylglycerol and an
unidentified lipid. The DNA G+C content was 44 mol%. On the basis of
physiological, chemotaxonomic and phylogenetic analyses, strain hydD622T
represents a novel species within the genus Agarivorans, for which the name
Agarivorans aestuarii sp. nov. is proposed. The type strain of Agarivorans
aestuarii sp. nov. is hydD622T (=KCTC 32543T=CGMCC 1.12692T).
PMID- 27189059
TI - A new multiplex method for the diagnosis of peroxisomal disorders allowing
simultaneous determination of plasma very-long-chain fatty acids, phytanic,
pristanic, docosahexaenoic and bile acids by high-performance liquid
chromatography-atmospheric pressure chemical ionization-tandem mass spectrometry.
AB - Peroxisomal disorders (PDs) present with wide phenotypic variability. An
appropriate diagnosis requires a complete analysis of peroxisomal metabolites. We
developed a multiplex LC-MS/MS method, using atmospheric pressure chemical
ionization allowing the simultaneous determination in plasma of very-long-chain
fatty acids, phytanic, pristanic, docosahexaenoic acids and di- and tri
hydroxycolestanoic bile acids. Two hundred microliters of plasma extracted with
acetonitrile and 200MUl extracted with hexane after an acid hydrolysis were
combined, evaporated, dissolved in 10MUl of methanol and analyzed. The
acquisition was in negative-ion mode using multiple reaction monitoring. The
method was validated analytically and clinically. Linearity was 0.1-200MUmol/l
for docosanoic, cis-13-docosenoic, tetracosanoic, cis-15-tetracosenoic and
phytanic acids; 0.01-10MUmol/l for hexacosanoic acid; 0.02-20MUmol/l for di
hydroxycolestanoic, tri-hydroxycolestanoic and pristanic acids; 0.3-300MUmol/l
for docosahexaenoic acid. Intra-day and inter-day CVs were below 3.88 and 3.98
respectively for all compounds. Samples from patients with known peroxisomal
disorders were compared with controls and the method allowed to confirm the
diagnosis in all subjects with a 100% sensitivity. The advantage of this
multiplex method is to allow in a single chromatographic run the simultaneous
determination of a large number of peroxisome biomarkers with a simple
preparative phase without derivatization.
PMID- 27189062
TI - Chitinase 3 Like-1: An Emerging Molecule Involved in Diabetes and Diabetic
Complications.
AB - Chitinase 3 like-1 (CHI3L1) is a chitinase-like protein member of family 18
chitinases, expressed in innate immune cells and involved in endothelial
dysfunction and tissue remodelling. Since CHI3L1 is highly expressed in a variety
of inflammatory diseases of infectious and non-infectious aetiology, it is
recognised as a non-invasive prognostic biomarker for inflammation. A variety of
studies revealing the increase in CHI3L1 levels in obesity, insulin resistance
and in pathological conditions, such as atherosclerosis, coronary artery disease,
acute ischaemic stroke, nephropathy, diabetic retinopathy and osteolytic
processes, have suggested that CHI3L1 may also play a critical role in the
evolution and complication of diabetes mellitus (DM). In this review we highlight
the impact of CHI3L1 expression in DM and its contribution to the complication of
this disease.
PMID- 27189060
TI - Theobroma cacao L. pathogenesis-related gene tandem array members show diverse
expression dynamics in response to pathogen colonization.
AB - BACKGROUND: The pathogenesis-related (PR) group of proteins are operationally
defined as polypeptides that increase in concentration in plant tissues upon
contact with a pathogen. To date, 17 classes of highly divergent proteins have
been described that act through multiple mechanisms of pathogen resistance.
Characterizing these families in cacao, an economically important tree crop, and
comparing the families to those in other species, is an important step in
understanding cacao's immune response. RESULTS: Using publically available
resources, all members of the 17 recognized pathogenesis-related gene families in
the genome of Theobroma cacao were identified and annotated resulting in a set of
~350 members in both published cacao genomes. Approximately 50 % of these genes
are organized in tandem arrays scattered throughout the genome. This feature was
observed in five additional plant taxa (three dicots and two monocots),
suggesting that tandem duplication has played an important role in the evolution
of the PR genes in higher plants. Expression profiling captured the dynamics and
complexity of PR genes expression at basal levels and after induction by two
cacao pathogens (the oomycete, Phytophthora palmivora, and the fungus,
Colletotrichum theobromicola), identifying specific genes within families that
are more responsive to pathogen challenge. Subsequent qRT-PCR validated the
induction of several PR-1, PR-3, PR-4, and PR-10 family members, with greater
than 1000 fold induction detected for specific genes. CONCLUSIONS: We describe
candidate genes that are likely to be involved in cacao's defense against
Phytophthora and Colletotrichum infection and could be potentially useful for
marker-assisted selection for breeding of disease resistant cacao varieties. The
data presented here, along with existing cacao-omics resources, will enable
targeted functional genetic screening of defense genes likely to play critical
functions in cacao's defense against its pathogens.
PMID- 27189061
TI - Reciprocal activation between STAT3 and miR-181b regulates the proliferation of
esophageal cancer stem-like cells via the CYLD pathway.
AB - BACKGROUND: Recent studies have suggested that cancer cells contain
subpopulations that can initiate tumor growth, self-renew, and maintain tumor
cell growth. However, for esophageal cancer cells, the relationship between
STAT3, microRNAs and cancer stem cells remains unclear. METHODS: Serum-free
culture was used to enrich esophageal cancer stem-like cells (ECSLC). Flow
cytometry determined the proportion of ECSLC. qPCR were performed to examine
expression level of stemness factors, mesenchymal markers, ATP-binding cassette
(ABC) transporters, STAT3, miR-181b, CYLD. Western blot were performed to analyze
the expression of STAT3, p-STAT3 and CYLD (cylindromatosis). BALB/c mice
xenograft studies were conducted to evaluate the tumorigenicity of enriched
ECSLC. Sphere formation assay and colony formation assays were employed to
analyze the relationship between STAT3 and miR-181b. Luciferase assays were used
to evaluate activity which CYLD is a target of miR-181b. RESULTS: Sphere
formation cells (SFCs) with properties of ECSLC were enriched. Enriched SFCs in
serum-free suspension culture exhibited cancer stem-like cell properties and
increased single-positive CD44 + CD24-, stemness factor, mesenchymal marker
expression ABC transporters and tumorigenicity in vivo compared with the parental
cells. Additionally, we found that reciprocal activation between STAT3 and miR
181b regulated SFCs proliferation. Moreover, STAT3 directly activated miR-181b
transcription in SFCs and miR-181b then potentiated p-STAT3 activity. Luciferase
assays indicated that CYLD was a direct and functional target of miR-181b.
CONCLUSION: The mutual regulation between STAT3 and miR-181b in SFCs was required
for proliferation and apoptosis resistance. STAT3 and miR-181b control each
other's expression in a positive feedback loop that regulates SFCs via CYLD
pathway. These findings maybe is helpful for targeting ECSLC and providing
approach for esophageal cancer treatments.
PMID- 27189065
TI - Erratum to: Autophagy is a major regulator of beta cell insulin homeostasis.
PMID- 27189063
TI - Combinatorial metabolic engineering of industrial Gluconobacter oxydans DSM2343
for boosting 5-keto-D-gluconic acid accumulation.
AB - BACKGROUND: L-(+)-tartaric acid (L-TA) is an important organic acid, which is
produced from the cream of tartar or stereospecific hydrolysis of the cis
epoxysuccinate. The former method is limited by the availability of raw material
and the latter is dependent on the petrochemical material. Thus, new processes
for the economical preparation of L-TA from carbohydrate or renewable resource
would be much more attractive. Production of 5-keto-D-gluconate (5-KGA) from
glucose by Gluconobacter oxydans is the first step to produce L-TA. The aim of
this work is to enhance 5-KGA accumulation using combinatorial metabolic
engineering strategies in G. oxydans. The sldAB gene, encoding sorbitol
dehydrogenase, was overexpressed in an industrial strain G. oxydans ZJU2 under a
carefully selected promoter, P0169. To enhance the efficiency of the oxidation by
sldAB, the coenzyme pyrroloquinoline quinone (PQQ) and respiratory chain were
engineered. Besides, the role in sldAB overexpression, coenzyme and respiratory
chain engineering and their subsequent effects on 5-KGA production were
investigated. RESULTS: An efficient, stable recombinant strain was constructed,
whereas the 5-KGA production could be enhanced. By self-overexpressing the sldAB
gene in G. oxydans ZJU2 under the constitutive promoter P0169, the resulting
strain, G. oxydans ZJU3, produced 122.48 +/- 0.41 g/L of 5-KGA. Furthermore,
through the coenzyme and respiratory chain engineering, the titer and
productivity of 5-KGA reached 144.52 +/- 2.94 g/L and 2.26 g/(L . h),
respectively, in a 15 L fermenter. It could be further improved the 5-KGA titer
by 12.10 % through the fed-batch fermentation under the pH shift and dissolved
oxygen tension (DOT) control condition, obtained 162 +/- 2.12 g/L with the
productivity of 2.53 g/(L . h) within 64 h. CONCLUSIONS: The 5-KGA production
could be significantly enhanced with the combinatorial metabolic engineering
strategy in Gluconobacter strain, including sldAB overexpression, coenzyme and
respiratory chain engineering. Fed-batch fermentation could further enlarge the
positive effect and increase the 5-KGA production. All of these demonstrated that
the robust recombinant strain can efficiently produce 5-KGA in larger scale to
fulfill the industrial production of L-TA from 5-KGA.
PMID- 27189064
TI - Genetic sequence data reveals widespread sharing of Leucocytozoon lineages in
corvids.
AB - Leucocytozoon, a widespread hemosporidian blood parasite that infects a broad
group of avian families, has been studied in corvids (family: Corvidae) for over
a century. Current taxonomic classification indicates that Leucocytozoon
sakharoffi infects crows and related Corvus spp., while Leucocytozoon berestneffi
infects magpies (Pica spp.) and blue jays (Cyanocitta sp.). This intrafamily host
specificity was based on the experimental transmissibility of the parasites, as
well as slight differences in their morphology and life cycle development.
Genetic sequence data from Leucocytozoon spp. infecting corvids is scarce, and
until the present study, sequence data has not been analyzed to confirm the
current taxonomic distinctions. Here, we predict the phylogenetic relationships
of Leucocytozoon cytochrome b lineages recovered from infected American Crows
(Corvus brachyrhynchos), yellow-billed magpies (Pica nuttalli), and Steller's
jays (Cyanocitta stelleri) to explore the host specificity pattern of L.
sakharoffi and L. berestneffi. Phylogenetic reconstruction revealed a single
large clade containing nearly every lineage recovered from the three host
species, while showing no evidence of the expected distinction between L.
sakharoffi and L. berestneffi. In addition, five of the detected lineages were
recovered from both crows and magpies. This absence of the previously described
host specificity in corvid Leucocytozoon spp. suggests that L. sakharoffi and L.
berestneffi be reexamined from a taxonomic perspective.
PMID- 27189067
TI - Incidence, prevalence and mortality of type 2 diabetes requiring glucose-lowering
treatment, and associated risks of cardiovascular complications: a nationwide
study in Sweden, 2006-2013.
AB - AIMS/HYPOTHESIS: The global diabetes epidemic affects countries differently. We
aimed to describe trends in the incidence and prevalence of type 2 diabetes
mellitus requiring glucose-lowering treatment, together with associated life
expectancy and risks of significant clinical complications. METHODS: Data on
patients with type 2 diabetes who filled a prescription for any glucose-lowering
drug (GLD) during the period 2006-2013 were extracted from the Swedish Prescribed
Drug Register, Cause of Death Register and Swedish National Patient Register.
RESULTS: In 2013, the prevalence of GLD-treated type 2 diabetes was 4.4% (n =
352,436) and the incidence was 399 per 100,000 population (n = 30,620). During
2006-2013, the prevalence increased by 61% while the incidence remained
relatively stable; the prevalence of cardiovascular disease (CVD, 34% in 2013)
and microvascular disease (16% in 2013) was also stable. Insulin use increased by
29% while sulfonylurea use declined by 55%. Compared with the general population,
patients with type 2 diabetes had increased risk of myocardial infarction, stroke
and all-cause mortality, with age-standardised risks of ~1.7-, 1.5- and 1.3-fold,
respectively. These risks declined over time. Life-years lost due to diabetes was
most pronounced at younger ages and improved in women over time from 2006 to
2013. CONCLUSIONS/INTERPRETATION: The prevalence of type 2 diabetes requiring GLD
treatment in Sweden increased substantially in recent years, while the incidence
remained stable. Use of sulfonylurea declined while insulin use increased. The
high prevalence of diabetes-related comorbidities, increased risk of
complications and life-years lost highlights the need for optimised and new
preventive strategies in patients with type 2 diabetes.
PMID- 27189066
TI - Diabetes, prostate cancer screening and risk of low- and high-grade prostate
cancer: an 11 year historical population follow-up study of more than 1 million
men.
AB - AIMS/HYPOTHESIS: An inverse association has consistently been shown between
diabetes and prostate cancer incidence. We investigated whether lower prostate
cancer incidence among men with diabetes is attributable to lower detection due
to prostate cancer screening patterns. METHODS: We studied a population-based
historical cohort of 1,034,074 Israeli men aged 21-90 years, without a previous
history of cancer. The cohort was followed-up from 2002 to 2012, according to
diabetes morbidity, for frequency of prostate-specific antigen (PSA) testing,
mean PSA values and detection of prostate cancer, after adjustment for age,
ethnic origin, socioeconomic status and PSA testing. RESULTS: In January 2002,
74,756 men had prevalent diabetes. During the 11 year follow-up, 765,483 (74%)
remained diabetes-free and 193,835 developed diabetes. Approximately 10% more PSA
screening was performed in men with than without diabetes, but the rate of PSA
positivity (>4 MUg/l) was 20% lower in men with diabetes. PSA values were already
significantly lower in men who developed diabetes than in those who did not, 3
years before diabetes diagnosis. Reduced prostate cancer risk was observed among
men with incident diabetes only for low-moderate grade tumours (Gleason score 2
6: adjusted HR 0.83; 95% CI 0.77, 0.89). No association was observed for high
grade tumours (Gleason score 7-10: HR 0.99; 95% CI 0.88, 1.11).
CONCLUSIONS/INTERPRETATION: Our findings suggest that diabetes comorbidity is a
factor to be considered in prostate cancer screening strategies, and specifically
in the interpretation of PSA levels. Furthermore, our demonstration of reduced
incidence of low-moderate grade but not high-grade prostate cancer tumours among
men with diabetes supports the possibility that low PSA levels, rather than lower
tumour risk, explains the observed reduced incidence of prostate cancer in men
with diabetes. TRIAL REGISTRATION: ClinicalTrials.gov NCT02072902.
PMID- 27189069
TI - Late mortality after sepsis.
PMID- 27189068
TI - Fluoride exposure in public drinking water and childhood and adolescent
osteosarcoma in Texas.
AB - PURPOSE: The purpose of this study was to examine the association between
fluoride levels in public drinking water and childhood and adolescent
osteosarcoma in Texas; to date, studies examining this relationship have been
equivocal. Using areas with high and low naturally occurring fluoride, as well as
areas with optimal fluoridation, we examined a wide range of fluoride levels in
public drinking water. METHODS: This was a population-based case-control study,
with both cases and controls obtained from the Texas Cancer Registry. Eligible
cases were Texas children and adolescents <20 years old diagnosed with
osteosarcoma between 1996 and 2006. Controls were sampled from children and
adolescents diagnosed with either central nervous system (CNS) tumors or leukemia
during the same time frame. Using geocoded patient addresses at the time of
diagnosis, we estimated patients' drinking water fluoride exposure levels based
on the fluoride levels of their residence's public water system (PWS).
Unconditional logistic regression models were used to assess the association
between osteosarcoma and public drinking water fluoride level, adjusting for
several demographic risk factors. RESULTS: Three hundred and eight osteosarcoma
cases, 598 leukemia controls, and 604 CNS tumor controls met selection criteria
and were assigned a corresponding PWS fluoride level. PWS fluoride level was not
associated with osteosarcoma, either in a univariable analysis or after adjusting
for age, sex, race, and poverty index. Stratified analyses by sex were conducted;
no association between PWS fluoride level and osteosarcoma was observed among
either males or females. CONCLUSIONS: No relationship was found between fluoride
levels in public drinking water and childhood/adolescent osteosarcoma in Texas.
PMID- 27189070
TI - Testicular Stem Cells Express Follicle-Stimulating Hormone Receptors and Are
Directly Modulated by FSH.
AB - Testicular spermatogonial stem cells (SSCs) are a heterogeneous population of
stem cells, and definitive marker for the most primitive subset that undergoes
asymmetric cell division remains to be identified. A novel subpopulation of
pluripotent, very small embryonic-like stem cells (VSELs) has been reported in
both human and mouse testes. Follicle-stimulating hormone (FSH) receptors (FSHRs)
are expressed on Sertoli cells in testis and on granulosa cells in ovary, but
recently FSHRs are reported on VSELs in ovaries, bone marrow, and cord blood. The
present study was aimed to investigate whether FSHRs are also expressed on
testicular stem cells (VSELs and SSCs) and their possible modulation by FSH using
intact and chemoablated (25 mg/kg busulfan) mice. Chemoablated testis was a
better model to study stem cell biology since quiescent stem cells survive along
with the Sertoli cells in the tubules. Proliferating cell nuclear antigen
positive, small-sized cells presumed to be VSELs were clearly visualized, and
flow cytometry analysis revealed an increase in LIN-/CD45-/SCA-1+ VSELs from
0.045+/-0.008% to 0.1+/-0.03% of total cells in chemoablated testis after FSH
treatment. Very small embryonic-like stem cells expressing nuclear octamer
binding transcription factor 4 (OCT-4) and SSCs with cytoplasmic OCT-4 were
detected. Very small embryonic-like stem cells (Oct-4A, Sca-1, Nanog), SSCs (Oct
4), and proliferation (Pcna) specific transcripts were upregulated on FSH
treatment. Stem cells expressed FSHR and were stimulated by FSH, and Fshr3 was
the predominant transcript maximally modulated by FSH. Nuclear OCT-4 and SCA-1
(stem cell antigen 1) positive VSELs are the most primitive stem cells in testis,
and FSH stimulates them to undergo asymmetric cell division including self
renewal and give rise to SSCs, which in turn proliferate rapidly and undergo
clonal expansion and further differentiation.
PMID- 27189071
TI - Cathodal Transcranial Direct Current Stimulation (tDCS) to the Right Cerebellar
Hemisphere Affects Motor Adaptation During Gait.
AB - The cerebellum appears to play a key role in the development of internal rules
that allow fast, predictive adjustments to novel stimuli. This is crucial for
adaptive motor processes, such as those involved in walking, where cerebellar
dysfunction has been found to increase variability in gait parameters. Motor
adaptation is a process that results in a progressive reduction in errors as
movements are adjusted to meet demands, and within the cerebellum, this seems to
be localised primarily within the right hemisphere. To examine the role of the
right cerebellar hemisphere in adaptive gait, cathodal transcranial direct
current stimulation (tDCS) was administered to the right cerebellar hemisphere of
14 healthy adults in a randomised, double-blind, crossover study. Adaptation to a
series of distinct spatial and temporal templates was assessed across tDCS
condition via a pressure-sensitive gait mat (ProtoKinetics Zeno walkway), on
which participants walked with an induced 'limp' at a non-preferred pace.
Variability was assessed across key spatial-temporal gait parameters. It was
hypothesised that cathodal tDCS to the right cerebellar hemisphere would disrupt
adaptation to the templates, reflected in a failure to reduce variability
following stimulation. In partial support, adaptation was disrupted following
tDCS on one of the four spatial-temporal templates used. However, there was no
evidence for general effects on either the spatial or temporal domain. This
suggests, under specific conditions, a coupling of spatial and temporal
processing in the right cerebellar hemisphere and highlights the potential
importance of task complexity in cerebellar function.
PMID- 27189073
TI - Effectiveness and Mechanism of Preoperative Lugol Solution for Reducing Thyroid
Blood Flow in Patients with Euthyroid Graves' Disease: Reply.
PMID- 27189072
TI - Quantitative and qualitative characterization of Two PD-L1 clones: SP263 and
E1L3N.
AB - BACKGROUND: Programmed Death Ligand 1 (PD-L1) is an immune modulating protein
expressed on the surface of various inflammatory cells, including T Cells, B
Cells, dendritic cells, and macrophages. PD-L1 represents an important diagnostic
target; expression of PD-L1 on the surface of tumor cells, or within tumor
associated immune cells, is an important predictor of likely response to targeted
therapies. In this study, we describe the optimization of immunohistochemistry
(IHC) assays using two PD-L1 antibodies (SP263 and E1L3N) and compare the
performance of the optimized assays. METHODS: Fully automated immunohistochemical
assays were optimized for the VENTANA PD-L1 (SP263) Rabbit Monoclonal Antibody
and the PD-L1 (E1L3N(r)) XP(r) Rabbit mAb using instruments and detection
chemistries from Ventana Medical Systems, Inc. ("SP263 assay" and "E1L3N assay,"
respectively). Tissue microarrays (TMAs) containing formalin fixed paraffin
embedded (FFPE) non-small cell lung cancer (NSCLC) cases were used for the
optimization and comparison staining. H scores were used for membrane scoring
whereas percent positivity was used for tumor-associated immune cell scoring.
RESULTS: One-hundred NSCLC TMA case cores each stained with the SP263 and E1L3N
assays were evaluated by two pathologists in a blinded study. Analysis of these
specimens showed that the SP263 assay was more sensitive and had a wider dynamic
range than the E1L3N assay. For sensitivity, many cases were found to be negative
for membrane staining with the E1L3N assay, but had measurable staining with the
SP263 assay. Dynamic range was demonstrated by the SP263 assay having well
distributed H scores while the E1L3N assay had a significantly higher proportion
of cases clustered in the lowest H score bins. For tumor-associated immune cell
staining, the two assays identified similar amounts of cells staining in each
case, but the SP263 assay gave overall darker staining. CONCLUSION: Since PD-L1
status is important for targeted therapies, having a specific and accurate
diagnostic test is crucial for identifying patients who could benefit from these
treatments. Due to its staining intensity, scoring range, and pathologist
preference, the SP263 IHC assay has been deemed superior to the E1L3N IHC assay.
Future clinical utility remains to be determined.
PMID- 27189074
TI - Vats Versus Axillary Minithoracotomy in the Management of the Second Episode of
Spontaneous Pneumothorax: Cost-Benefit Analysis.
AB - BACKGROUND: Video-assisted thoracic surgery (VATS) was considered the gold
standard approach in recurrent spontaneous pneumothorax, with unanimous consensus
of opinions. The cost-effectiveness analysis in the surgical treatment of
recurrence of primary spontaneous pneumothorax (PSP) was carried out comparing
VATS with muscle-sparing axillary minithoracotomy (MSAM). METHODS: Between July
2006 and October 2012 we treated 56 patients with a second episode of PSP by VATS
or open approach. Time of intervention, prolonged air leaks, duration of pleural
drainage, length of hospitalization, and long-term morbidity were evaluated,
establishing the relationship between costs and quality-adjusted life for each
technique. RESULTS: The assessment of pain and threshold of tenderness was more
favorable in VATS in respect to MSAM during the 5 years of follow-up (p = 0.004
and <0.001 at 1st year; p = 0.006 and <0.002 at 5th year). The minimally invasive
method was less expensive than axillary minithoracotomy (2443.44 ? vs. 3170.80
?). The quality-adjusted life expectancy of VATS was better than that of MSAM
(57.00 vs. 49.2 at 60 months) as well as the quality-adjusted life year (0.03 at
1st year and 0.13 at 5th year). Incremental cost per life year gained of VATS
versus MSAM was between 24,245.33 ? (1st year) and 5776.31 ? (5th year), making
it advantageous at 3rd, 4th, and 5th years. CONCLUSIONS: VATS compared to MSAM in
the treatment of a second episode of PSP ensured undoubted clinical advantages
associated with significant cost savings.
PMID- 27189075
TI - Totally Implantable Venous Access Devices: Efforts Are Needed to Standardize
Procedures to Avoid Complication: Reply.
PMID- 27189076
TI - Postmenopausal Breast Cancer, Aromatase Inhibitors, and Bone Health: What the
Surgeon Should Know.
AB - Breast cancer, as the most common malignancy in women, remains a major public
health issue despite countless advances across decades. Endocrine therapy is the
cornerstone of treatment of the hormone-sensitive subtype of breast cancer. The
use of aromatase inhibitors (AIs) in the postmenopausal women has extended the
survival beyond that of Tamoxifen, but harbors a subset of side effects, most
notably accelerated bone loss. This, however, does not occur in all women
undergoing treatment. It is vital to identify susceptible patients early, to
limit such events, employ early treatment thereof, or alter drug therapy.
International trials on AIs, predominantly performed in North American and
European females, provide little information on what to expect in women in
developing countries. Here, surgeons often prescribe and manage endocrine
therapy. The prescribing surgeon should be aware of the adverse effect of the
endocrine therapy and be able to attend to side effects. This review highlights
clinical and biochemical factors associated with decrease in bone mineral density
in an, as yet, unidentified subgroup of postmenopausal women. In the era of
personalized medical care, appropriate management of bone health by surgeons
based on these factors becomes increasingly important.
PMID- 27189077
TI - The TegoTM needleless connector for hemodialysis catheters may protect against
catheter colonization.
AB - Catheter connectors used in hemodialysis patients are those with open caps to
manage high blood flows. However, current guidelines for the prevention of
catheter infections recommend closed connectors. TegoTM is a closed connector
designed to enable high blood flows. We used an in vitro model to compare the
efficacy of TegoTM against contamination with that of standard caps in a real
life practice scenario. The model consisted of 200 blood culture bottles (BCB)
with an inserted cannula closed either with TegoTM (100) or with open caps (100).
BCB were manipulated using two different methods: under aseptic conditions and
with gloves contaminated with a 0.05 McFarland Staphylococcus aureus solution.
The BCB were incubated at 37 degrees C under continuous shaking for up to 7 days
or until positive. When a BCB turned positive, 100 MUL of the fluid was cultured.
The positivity rate and time to positivity of the BCB in each method were
compared. Overall, 4.0 % of BCB with TegoTM and 52.0 % of BCB with open caps were
positive in the sterile model (p < 0.001), whereas all BCB in the contamination
model were positive. We did not find differences regarding the median time
(hours) to positivity between TegoTM and the standard cap in the contamination
model (19.04 vs. 17.87, p = 0.465). In our model, TegoTM proved to be better than
the standard cap for the prevention of contamination when the device was handled
under optimal conditions. Moreover, it was as efficient as the standard catheter
cap in the contamination model.
PMID- 27189079
TI - Surface modification of battery electrodes via electroless deposition with
improved performance for Na-ion batteries.
AB - Sodium-ion batteries (SIBs) are emerging as potential stationary energy storage
devices due to the abundance and low cost of sodium. A simple and energy
efficient strategy to develop electrodes for SIBs with a high charge/discharge
rate is highly desirable. Here we demonstrate that by surface modification of Ge,
using electroless deposition in SbCl3/ionic liquids, the stability and
performance of the anode can be improved. This is due to the formation of GexSb1
x at the surface leading to better diffusion of Na, and the formation of a stable
twin organic and inorganic SEI which protects the electrode. By judicious control
of the surface modification, an improvement in the capacity to between 50% and
300% has been achieved at high current densities (0.83-8.4 A g(-1)) in an ionic
liquid electrolyte NaFSI-[Py1,4]FSI. The results clearly demonstrate that an
electroless deposition based surface modification strategy in ionic liquids
offers exciting opportunities in developing superior energy storage devices.
PMID- 27189078
TI - Etiology and antibiotic susceptibility of bacterial pathogens responsible for
community-acquired urinary tract infections in Poland.
AB - Urinary tract infections (UTIs) are some of the most common infections in both
community and hospital settings infections. With their high rate of incidence,
recurrence, complications, diverse etiologic agents, as well as growing
antibiotic resistance, UTIs have proven to be a serious challenge for medical
professionals. The aim of this study was to obtain data on the susceptibility
patterns of pathogens responsible for UTIs in Poland to currently used
antibiotics. A total of 396 bacterial isolates were collected between March and
May 2013 from 41 centers in all regions of Poland. The majority of isolates were
from adult patients (96.2 %); 144 (37.8 %) patients were diagnosed with
uncomplicated UTI, while the remaining 237 (62.2 %) had a complicated infection.
The most prevalent pathogen was Escherichia coli (71.4 %), followed by Klebsiella
spp. (10.8 %) and the Proteae group (7.6 %). Escherichia coli was responsible for
80.6 % of cases of uncomplicated and 65.8 % of complicated infections. Only 65.8
% of E. coli isolates were susceptible to ciprofloxacin (uncomplicated 75.9 %,
complicated 58.3 %), 64.0 % to nitrofurantoin (67.2 %, 62.8 %), 65.1 % to
trimethoprim/sulfamethoxazole (68.1 %, 62.8 %), and 66.4 % to fosfomycin (77.6 %,
62.2 %). Among E. coli isolates from all UTIs, only 43.4 % were susceptible to
ampicillin, with 47.4 % from uncomplicated compared with 40.4 % from complicated
infections; 88.2 % to amoxicillin/clavulanic acid (91.4 % vs. 85.9 %
complicated); 90.1 % to cefuroxime (93.1 %, 87.8 %); and 94.1 % to cefotaxime
(98.2 %, 91.0 %). Thirty-five strains (10.4 %) were capable of producing extended
spectrum beta-lactamases (ESBLs). This study demonstrates an increase in
multidrug-resistant strains, especially among the leading pathogens associated
with UTIs, including E. coli, Klebsiella spp., and Proteus spp.
PMID- 27189080
TI - OPA1 processing in cell death and disease - the long and short of it.
AB - The regulation of mitochondrial dynamics by the GTPase OPA1, which is located at
the inner mitochondrial membrane, is crucial for adapting mitochondrial function
and preserving cellular health. OPA1 governs the delicate balance between fusion
and fission in the dynamic mitochondrial network. A disturbance of this balance,
often observed under stress and pathologic conditions, causes mitochondrial
fragmentation and can ultimately result in cell death. As discussed in this
Commentary, these morphological changes are regulated by proteolytic processing
of OPA1 by the inner-membrane peptidases YME1L (also known as YME1L1) and OMA1.
Long, membrane-bound forms of OPA1 are required for mitochondrial fusion, but
their processing to short, soluble forms limits fusion and can facilitate
mitochondrial fission. Excessive OPA1 processing by the stress-activated protease
OMA1 promotes mitochondrial fragmentation and, if persistent, triggers cell death
and tissue degeneration in vivo The prevention of OMA1-mediated OPA1 processing
and mitochondrial fragmentation might thus offer exciting therapeutic potential
for human diseases associated with mitochondrial dysfunction.
PMID- 27189081
TI - Nitric oxide mediates stretch-induced Ca2+ oscillation in smooth muscle.
AB - The stretching of smooth muscle tissue modulates contraction through augmentation
of Ca(2+) transients, but the mechanism underlying stretch-induced Ca(2+)
transients is still unknown. We found that mechanical stretching and maintenance
of mouse urinary bladder smooth muscle strips and single myocytes at 30% and 18%
beyond the initial length, respectively, resulted in Ca(2+) oscillations.
Experiments indicated that mechanical stretching remarkably increased the
production of nitric oxide (NO) as well as the amplitude and duration of muscle
contraction. Stretch-induced Ca(2+) oscillations and contractility increases were
completely abolished by the NO inhibitor L-NAME or eNOS (also known as NOS3) gene
inactivation. Moreover, exposure of eNOS-knockout myocytes to exogenous NO donor
induced Ca(2+) oscillations. The stretch-induced Ca(2+) oscillations were greatly
inhibited by the selective inositol 1,4,5-trisphosphate receptor (IP3R) inhibitor
xestospongin C and partially inhibited by ryanodine. Moreover, the stretch
induced Ca(2+) oscillations were also suppressed by the phosphoinositide 3-kinase
(PI3K) inhibitor LY294002, but not by the soluble guanylyl cyclase (sGC)
inhibitor ODQ. These results suggest that stretching myocyte and maintenance at a
certain length results in Ca(2+) oscillations that are NO dependent , and sGC and
cGMP independent, and results from the activation of PI3K in smooth muscle.
PMID- 27189082
TI - Whole genome nucleosome sequencing identifies novel types of forensic markers in
degraded DNA samples.
AB - In the case of mass disasters, missing persons and forensic caseworks, highly
degraded biological samples are often encountered. It can be a challenge to
analyze and interpret the DNA profiles from these samples. Here we provide a new
strategy to solve the problem by taking advantage of the intrinsic structural
properties of DNA. We have assessed the in vivo positions of more than 35 million
putative nucleosome cores in human leukocytes using high-throughput whole genome
sequencing, and identified 2,462 single nucleotide variations (SNVs), 128
insertion-deletion polymorphisms (indels). After comparing the sequence reads
with 44 STR loci commonly used in forensics, five STRs (TH01, TPOX, D18S51,
DYS391, and D10S1248)were matched. We compared these "nucleosome protected STRs"
(NPSTRs) with five other non-NPSTRs using mini-STR primer design, real-time PCR,
and capillary gel electrophoresis on artificially degraded DNA. Moreover,
genotyping performance of the five NPSTRs and five non-NPSTRs was also tested
with real casework samples. All results show that loci located in nucleosomes are
more likely to be successfully genotyped in degraded samples. In conclusion,
after further strict validation, these markers could be incorporated into future
forensic and paleontology identification kits, resulting in higher discriminatory
power for certain degraded sample types.
PMID- 27189083
TI - Mossbauer Spectroscopy of Iron Carbides: From Prediction to Experimental
Confirmation.
AB - The Mossbauer spectroscopy of iron carbides (alpha-Fe, gamma'-FeC, eta-Fe2C, zeta
Fe2C, chi-Fe5C2, h-Fe7C3, theta-Fe3C, o-Fe7C3, gamma'-Fe4C, gamma''-Fe4C, and
alpha'-Fe16C2) is predicted utilizing the all electron full-potential linearized
augmented plane wave (FLAPW) approach across various functionals from LDA to GGA
(PBE, PBEsol, and GGA + U) to meta-GGA to hybrid functionals. To validate the
predicted MES from different functionals, the single-phase chi-Fe5C2 and theta
Fe3C are synthesized in experiment and their experimental MES under different
temperature (from 13 K to 298 K) are determined. The result indicates that the
GGA functional (especially, the PBEsol) shows remarkable success on the
prediction of Mossbauer spectroscopy of alpha-Fe, chi-Fe5C2 and theta-Fe3C with
delocalized d electrons. From the reliable simulations, we propose a linear
relationship between Bhf and MUB with a slope of 12.81 T/MUB for iron carbide
systems and that the proportionality constant may vary from structure to
structure.
PMID- 27189084
TI - Can we improve transthoracic echocardiography training in non-cardiologist
residents? Experience of two training programs in the intensive care unit.
AB - BACKGROUND: To evaluate the diagnostic performances of two training programs for
residents with no prior ultrasound experience to reach competences in extended
basic critical care transthoracic echocardiography (CCE) including Doppler
capabilities. METHODS: This is a prospective observational study in two intensive
care units of teaching hospitals. Group I (five residents) completed a short
training program (4-h theory; 3-h practical); group II (six residents) completed
a longer training program (6-h theory; 12-h practical). The residents and an
expert examined all patients who required a transthoracic echocardiography. Their
agreement studied by Cohen's kappa coefficient, concordance coefficient
correlation (CCC) and Bland-Altman plots was used as an indicator of program
effectiveness. RESULTS: Group I performed 136 CCEs (mean/resident 27; range 22
32; 65 in ventilated patients) in 115 patients (62 men; 64 +/- 18 years;
Simplified Acute Physiologic Score [SAPS] II 37 +/- 18). Group II performed 158
CCEs (mean/resident 26; range 21-31; 65 in ventilated patients) in 108 patients
(64 men; 58 +/- 17 years; SAPS II 42 +/- 22). Both groups adequately assessed
left ventricular (LV) systolic function (kappa 0.75, 95 % confidence interval
[CI] 0.64-0.86; kappa 0.77, 95 % CI 0.66-0.88, respectively) and pericardial
effusion (kappa 0.83, 95 % CI 0.67-0.99; kappa 0.76, 95 % CI 0.60-0.93,
respectively). Group II appraised severe right ventricular dilatation and
significant left-sided valve disease with good to very good agreement (kappa
0.80, 95 % CI 0.56-0.96; kappa 0.79, 95 % CI 0.66-0.93, respectively). Regarding
left ventricular ejection fraction, E/A ratio, E/e' ratio and aortic peak
velocity assessed by group II, CCCs were all >0.70 and the bias (mean difference)
+/-SD on Bland-Altman analysis was 1.3 +/- 8.8 %, 0 +/- 0.3, 0.4 +/- 2.2 and 0.1
+/- 0.4 m/s, respectively. Detection of paradoxical septum (kappa 0.65, 95 % CI
0.37-0.93), of heterogeneous LV contraction (kappa 0.49, 95 % CI 0.33-0.65) and
of respiratory variation of the inferior vena cava (kappa 0.27, 95 % CI 0.09
0.45), as well as stroke volume measurement (CCC 0.65, 95 % CI 0.54-0.74; bias +/
SD -1.4 +/- 4.7 cm), was appraised by group II with moderate agreement requiring
probably more comprehensive training. CONCLUSIONS: Although a training program
blending 6-h theory and 12-h practical may be adapted to achieve some essential
competences, it seems to be insufficiently to perform a complete extended basic
critical care transthoracic echocardiography including Doppler capabilities.
PMID- 27189085
TI - A case of hysteroscopic resection of cervical pregnancy after successful
treatment with systematic methotrexate.
PMID- 27189086
TI - A Randomized Trial of Daily Prednisone versus Pulsed Dexamethasone in Treatment
Naive Adult Patients with Immune Thrombocytopenia: EIS 2002 Study.
AB - Oral prednisone is considered the standard first-line therapy of adult immune
thrombocytopenia, but its long-term efficacy is limited. We performed a
prospective, randomized, multicenter trial comparing daily prednisone (1-2
mg/kg/day for 2-4 weeks with subsequent dose reduction) with six 3-week cycles of
pulsed dexamethasone (0.6 mg/kg/day, days 1-4). The primary endpoint was
remission duration. Of 26 patients enrolled, 22 were evaluable for response. Nine
were treated with prednisone and 13 with dexamethasone. The median follow-up was
46 months. The initial response rate (PLT >=50 * 109/l) was 100% in both groups.
Long-term remissions were significantly more frequent with pulsed dexamethasone
than with daily prednisone (12 months posttreatment: 77 vs. 22%; p = 0.027). The
side effects were similar, but patients on dexamethasone suffered significantly
more often from insomnia, while patients on prednisone tended to have more
infectious complications. Although the cumulative cortisol equivalent dose was
comparable during the first 4 weeks of therapy, it was significantly higher in
the dexamethasone arm than in the prednisone arm during the ensuing treatment
period. We conclude that repeated cycles of pulsed dexamethasone are a good
alternative to daily prednisone as a first-line treatment of immune
thrombocytopenia. The duration and intensity of glucocorticoid therapy are
important determinants of treatment outcome.
PMID- 27189087
TI - Tian Jiu therapy for allergic rhinitis: study protocol for a randomized
controlled trial.
AB - BACKGROUND: Allergic rhinitis (AR) is one of the most common allergic diseases.
The conventional treatments of allergic rhinitis are oral anti-histamines, the
use of intranasal corticosteroids, and immunotherapy. Dissatisfied with the
ineffectiveness and side effects of these treatments, substantial numbers of
patients are turning to alternative treatments like Chinese herbal medicine,
particularly Tian Jiu (TJ). TJ is a form of moxibustion in which herbal patches
are applied to specific acupoints on the skin. This study aims to investigate the
efficacy and safety of TJ in the treatment of allergic rhinitis. METHODS/DESIGN:
This will be a prospective, randomized, single-blinded, controlled trial in
patients with AR. After a 1-week run-in period, eligible subjects will be
randomly assigned to the TJ group, placebo-control group or waitlist-control
group. The TJ and placebo-control groups will undergo a 4-week treatment with one
session per week and one 4-week post-treatment follow-up. Participants in the
waitlist-control group will not receive any treatment during the first 4 weeks
but will be required to be assessed. The primary outcome will be the change in
the weekly average of the Total Nasal Symptom Score recorded from baseline to the
end of treatment. The secondary outcomes will be change in symptoms and change in
need for medication between baseline and the end of treatment by using the
Rhinitis Quality of Life Questionnaire. Rescue medication (RM) needs will be
measured using an RM score, comprising the weekly sum of daily assessments and
any form of systemic steroids for allergic rhinitis. DISCUSSION: This study will
be the first study to compare TJ treatment for allergic rhinitis with a placebo
control group, and a waitlist-control group. The investigation of TJ for allergic
rhinitis will also suggest recommendations for clinical practice. The results of
this study are expected to provide consolidated evidence for the effectiveness
and safety of TJ for the treatment of patients with allergic rhinitis. TRIAL
REGISTRATION: NCT02470845 (17 May 2015).
PMID- 27189090
TI - [Chlamydia trachomatis infection in mother and child; the importance of a
complete history and efficient interdisciplinary communication].
AB - General practitioners and paediatricians are frequently confronted with coughing
infants. The age of the infant, the history of both mother and child, as well as
the current maternal condition may provide important diagnostic information. A 4
week-old male infant was referred to the paediatrician with a persistent cough.
He was admitted to hospital with dyspnoea and need for supplemental oxygen.
Meanwhile, his mother was admitted with unexplained abdominal pain and elevated
laboratory inflammation markers. Her history revealed an ectopic pregnancy. The
infant's condition, for which the initial differential diagnosis was viral
bronchiolitis or whooping cough, deteriorated. His medical history revealed a
purulent conjunctivitis. Chlamydia trachomatis PCR turned out to be positive in
both mother and child. C. trachomatis pneumonia is a common, yet often overlooked
cause of cough in infants. This clinical lesson emphasises the importance of a
complete history and efficient communication between medical specialists.
PMID- 27189091
TI - [Hydrofluoric acid burns].
AB - Hydrofluoric acid is increasingly used as a rust remover and detergent. Dermal
contact with hydrofluoric acid results in a chemical burn characterized by severe
pain and deep tissue necrosis. It may cause electrolyte imbalances with lethal
consequences. It is important to identify high-risk patients. 'High risk' is
defined as a total affected body area > 3% or exposure to hydrofluoric acid in a
concentration > 50%. We present the cases of three male patients (26, 31, and 39
years old) with hydrofluoric acid burns of varying severity and describe the
subsequent treatments. The application of calcium gluconate 2.5% gel to the skin
is the cornerstone of the treatment, reducing pain as well as improving wound
healing. Nails should be thoroughly inspected and possibly removed if the nail is
involved, to ensure proper healing. In high-risk patients, plasma calcium levels
should be evaluated and cardiac monitoring is indicated.
PMID- 27189089
TI - Paracoccidioides brasiliensis infection promotes thymic disarrangement and
premature egress of mature lymphocytes expressing prohibitive TCRs.
AB - BACKGROUND: Paracoccidioidomycosis, a chronic granulomatous fungal disease caused
by Paracoccidioides brasiliensis yeast cells affects mainly rural workers, albeit
recently cases in immunosuppressed individuals has been reported. Protective
immune response against P. brasiliensis is dependent on the activity of helper T
cells especially IFN-gamma-producing Th1 cells. It has been proposed that
Paracoccidioides brasiliensis is able to modulate the immune response towards a
permissive state and that the thymus plays a major role in it. METHODS: In this
paper, we show that acute infection of BALB/c mice with P. brasiliensis virulent
isolate (Pb18) might cause alterations in the thymic environment as well as the
prohibitive TCR-expressing T cells in the spleens. RESULTS: After seven days of
infection, we found yeast cells on the thymic stroma, the thymic epithelial cells
(TEC) were altered regarding their spatial-orientation and inflammatory mediators
gene expression was increased. Likewise, thymocytes (differentiating T cells)
presented higher migratory ability in ex vivo experiments. Notwithstanding, P.
brasiliensis-infected mice showed an increased frequency of prohibitive TCR
expressing T cells in the spleens, suggesting that the selection processes that
occur in the thymus may be compromised during the acute infection. CONCLUSION: In
this paper, for the first time, we show that acute infection with
Paracoccidioides brasiliensis yeast cells promotes thymic alterations leading to
a defective repertoire of peripheral T cells. The data presented here may
represent new mechanisms by which P. brasiliensis subverts the immune response
towards the chronic infection observed in humans.
PMID- 27189092
TI - [Recanalisation after occlusion of the internal carotid artery].
AB - BACKGROUND: In patients who have suffered a transient ischemic attack (TIA) or
ischaemic stroke, diagnostic imaging often reveals an occlusion in the
extracranial internal carotid artery (ICA) on the symptomatic side. It is
generally assumed that no follow-up is needed. CASE DESCRIPTION: A 57-year-old
man reported to the emergency department with global aphasia. Two weeks
previously he had been diagnosed with an occlusion of the left ICA following a
TIA. On the basis of CT angiography we diagnosed an ischaemic stroke in the left
middle cerebral artery territory and a severe stenosis of the left ICA. Several
days later the patient underwent a successful carotid endarterectomy. CONCLUSION:
Following an acute symptomatic occlusion of the extracranial ICA, recanalisation
of the artery can take place. In these patients we advise considering a repeat of
the diagnostic imaging of the ICA within a week.
PMID- 27189088
TI - HIF-1alpha-PDK1 axis-induced active glycolysis plays an essential role in
macrophage migratory capacity.
AB - In severely hypoxic condition, HIF-1alpha-mediated induction of Pdk1 was found to
regulate glucose oxidation by preventing the entry of pyruvate into the
tricarboxylic cycle. Monocyte-derived macrophages, however, encounter a gradual
decrease in oxygen availability during its migration process in inflammatory
areas. Here we show that HIF-1alpha-PDK1-mediated metabolic changes occur in mild
hypoxia, where mitochondrial cytochrome c oxidase activity is unimpaired,
suggesting a mode of glycolytic reprogramming. In primary macrophages, PKM2, a
glycolytic enzyme responsible for glycolytic ATP synthesis localizes in filopodia
and lammelipodia, where ATP is rapidly consumed during actin remodelling
processes. Remarkably, inhibition of glycolytic reprogramming with
dichloroacetate significantly impairs macrophage migration in vitro and in vivo.
Furthermore, inhibition of the macrophage HIF-1alpha-PDK1 axis suppresses
systemic inflammation, suggesting a potential therapeutic approach for regulating
inflammatory processes. Our findings thus demonstrate that adaptive responses in
glucose metabolism contribute to macrophage migratory activity.
PMID- 27189093
TI - [Coronary artery calcium score in clinical practice].
AB - Since the beginning of the 1990s it is possible to obtain images of the heart
using CT technology to visualise coronary calcifications. The amount of coronary
calcification can be quantified with a coronary artery calcium score. Today,
there is sufficient scientific evidence that justifies the use of the coronary
artery calcium score in selected patients. In asymptomatic patients, the coronary
artery calcium score can be used for more precise and tailored risk
stratification for the occurrence of future cardiovascular events. The absence of
coronary calcification is associated with a good prognosis. In addition, the
coronary artery calcium score can also be used as the initial test in symptomatic
patients, to dictate treatment strategies going forward.
PMID- 27189094
TI - [Effective communication with talkative patients: 10 tips].
AB - Consultations with talkative patients present a challenge to doctors. It is
difficult to gather all the necessary information within the available time,
without damaging the doctor-patient relationship. Based on the listed existing
literature and doctors' experiences, we present ten tips for gathering
information from talkative patients in an effective manner whilst maintaining a
good therapeutic alliance. In consultations with talkative patients, it is
important to explore the cause of patients' talkativeness and to adapt one's
communication approach accordingly.- Familiar communication strategies such as
'summarizing' can still be applied. When taking this route, a more directive
communication approach--e.g. by means of a 'closed-ended summary'--can prevent
the patient interrupting the doctor or departing from his subject. There are
strategies aimed at avoiding a damaging effect to the doctor-patient relationship
when applying this approach: don't be overly directive, make the patient co
responsible for efficient time management in the consultation, and make use of
empathic interrupting and humour.
PMID- 27189095
TI - [The Amsterdam wrist rules: the multicenter prospective derivation and external
validation of a clinical decision rule for the use of radiography in acute wrist
trauma].
AB - BACKGROUND: Although only 39% of patients with wrist trauma have sustained a
fracture, the majority of patients is routinely referred for radiography. The
purpose of this study was to derive and externally validate a clinical decision
rule that selects patients with acute wrist trauma in the Emergency Department
(ED) for radiography. METHOD: This multicenter prospective study consisted of
three components: (1) derivation of a clinical prediction model for detecting
wrist fractures in patients following wrist trauma; (2) external validation of
this model; and (3) design of a clinical decision rule. The study was conducted
in the EDs of five Dutch hospitals: one academic hospital (derivation cohort) and
four regional hospitals (external validation cohort). We included all adult
patients with acute wrist trauma. The main outcome was fracture of the wrist
(distal radius, distal ulna or carpal bones) diagnosed on conventional X-rays.
RESULTS: A total of 882 patients were analyzed; 487 in the derivation cohort and
395 in the validation cohort. We derived a clinical prediction model with eight
variables: age; sex, swelling of the wrist; swelling of the anatomical snuffbox,
visible deformation; distal radius tender to palpation; pain on radial deviation
and painful axial compression of the thumb. The Area Under the Curve at external
validation of this model was 0.81 (95% CI: 0.77-0.85). The sensitivity and
specificity of the Amsterdam Wrist Rules (AWR) in the external validation cohort
were 98% (95% CI: 95-99%) and 21% (95% CI: 15%-28). The negative predictive value
was 90% (95% CI: 81-99%). CONCLUSION: The Amsterdam Wrist Rules is a clinical
prediction rule with a high sensitivity and negative predictive value for
fractures of the wrist. Although external validation showed low specificity and
100 % sensitivity could not be achieved, the Amsterdam Wrist Rules can provide
physicians in the Emergency Department with a useful screening tool to select
patients with acute wrist trauma for radiography. The upcoming implementation
study will further reveal the impact of the Amsterdam Wrist Rules on the
anticipated reduction of X-rays requested, missed fractures, Emergency Department
waiting times and health care costs.
PMID- 27189096
TI - [Patient preferences versus evidence-based medicine: did the pioneers of evidence
based medicine take the patient's preferences into account?].
AB - A patient's values and preferences are one of the three 'pillars' of evidence
based medicine (EBM). How can we explain that this one pillar has hardly been
elaborated in the EBM-literature?? Were the EBM pioneers really committed to the
patient's preferences, were they not ready yet, or were they not committed at
all? In key international EBM publications dated between 1985 and 2000, we only
found sympathetic, yet vague, statements lacking concrete content. In the
Netherlands, a Health Council report set the tone with a sense of fear for
'consumer medicine'. In addition to an overly optimistic view of the past, in
2014 Greenhalgh sketched a vision of the future of EBM in which the sympathetic
comments about patient preferences are finally made concrete. The EBM movement
has already successfully adapted to social developments in the past; therefore,
there is reason for optimism.
PMID- 27189097
TI - [Safety and efficacy of ketamine for pain relief].
AB - Intravenous ketamine treatment is frequently used for the management of chronic
pain, especially in those patients who do not benefit from other therapies. In
this commentary we discuss the efficacy of ketamine for relief of chronic pain
and ketamine's safety profile. A review of the literature indicates that only a
few studies show that intravenous ketamine has analgesic effects that persist
beyond the infusion period, an effect that occurs in about two-thirds of
patients. Ketamine has multiple safety issues, ranging from psychotomimetic and
schizotypal symptoms, sympathetic stimulation, tachycardia and hypertension, and
damage to the liver and the urogenital tract. Damage to the urogenital tract
seems to be restricted to individuals who chronically abuse ketamine. We indicate
the need for large randomized trials in which ketamine is compared with an
'active' placebo.
PMID- 27189098
TI - [General practitioners as gatekeepers: Better health care than in countries with
self-referral to specialists?].
AB - In the Netherlands and a number of other European countries general practitioners
are the gatekeepers for specialist and hospital care. European health care
systems with gatekeeping general practitioners, i.e. those with comprehensive,
strong primary care, perform better on a number of health indicators and on
equity. However, it is less clear if gatekeeping health care systems have lower
health expenditure. There is ongoing debate on whether gatekeeping plays a role
in diagnostic delay of cancers. At health care system level research is being
hampered by small numbers and should be combined with in-depth research into
health care mechanisms.
PMID- 27189100
TI - Quantification of nitrergic neurons in the myenteric plexus of gastric antrum and
ileum of healthy and diabetic dogs.
AB - Diabetes mellitus (DM) determines a wide array of severe clinical complications
including gastrointestinal motility disorders. The present study investigates the
effects of spontaneous DM on the intramural innervation and in particular on
nitrergic neurons of the myenteric plexus (MP) of the canine gastric antrum and
ileum. Specimens of antrum and ileum from eight control-dogs and five insulin
dependent DM-dogs were collected. MP neurons were immunohistochemically
identified with the anti-HuC/HuD antibody, while nitrergic neurons were
identified with the antibody anti-neuronal nitric oxide synthase (nNOS). The
density of HuC/HuD-immunoreactive (IR) neurons was determined and the nitrergic
neurons were quantified as a relative percentage, in consideration of the total
number of HuC/HuD-IR neurons. Furthermore, the density of nitrergic fibers in the
muscular layers was calculated. Data were expressed as mean+/-standard deviation.
Compared to control-dogs, no significant differences resulted in the density of
HuC/HuD-IR neurons in the antrum and ileum of DM-dogs; however, HuC/HuD
immunolabeling showed nuclear localization and fragmentation in DM-dogs. In the
stomachs of control- and DM-dogs, the percentages of nitrergic neurons were 30+/
6% and 25+/-2%, respectively (P=0.112). In the ileum of the control-dogs, the
percentage of nitrergic neurons was 29+/-5%, while in the DM-dogs, it was
significantly reduced 19+/-5% (P=0.006). The density of nNOS-IR nervous fibers
was meaningful reduced in either the tracts considered. Notably, the ganglia of
DM-dogs showed also a thickening of the periganglionic connective tissue. These
findings indicate that DM in dogs induce modification of the myenteric neurons
and, in particular, of the nitrergic neuronal subpopulation.
PMID- 27189099
TI - Aligned Nanotopography Promotes a Migratory State in Glioblastoma Multiforme
Tumor Cells.
AB - Glioblastoma multiforme (GBM) is an aggressive, Grade IV astrocytoma with a poor
survival rate, primarily due to the GBM tumor cells migrating away from the
primary tumor site along the nanotopography of white matter tracts and blood
vessels. It is unclear whether this nanotopography influences the biomechanical
properties (i.e. cytoskeletal stiffness) of GBM tumor cells. Although GBM tumor
cells have an innate propensity to migrate, we believe this capability is
enhanced due to the influence of nanotopography on the tumor cells' biomechanical
properties. In this study, we used an aligned nanofiber film that mimics the
nanotopography in the tumor microenvironment to investigate the mechanical
properties of GBM tumor cells in vitro. The data demonstrate that the
cytoskeletal stiffness, cell traction stress, and focal adhesion area were
significantly lower in the GBM tumor cells compared to healthy astrocytes.
Moreover, the cytoskeletal stiffness was significantly reduced when cultured on
aligned nanofiber films compared to smooth and randomly aligned nanofiber films.
Gene expression analysis showed that tumor cells cultured on the aligned
nanotopography upregulated key migratory genes and downregulated key
proliferative genes. Therefore, our data suggest that the migratory potential is
elevated when GBM tumor cells are migrating along aligned nanotopographical
substrates.
PMID- 27189102
TI - [In Process Citation].
PMID- 27189101
TI - Spin-labelled photo-cytotoxic diazido platinum(iv) anticancer complex.
AB - We report the synthesis and characterisation of the nitroxide spin-labelled
photoactivatable Pt(iv) prodrug trans,trans,trans-[Pt(N3)2(OH)(OCOCH2CH2CONH
TEMPO)(Py)2] (Pt-TEMPO, where TEMPO = 2,2,6,6-tetramethylpiperidine 1-oxyl).
Irradiation with blue visible light gave rise to Pt(ii) and azidyl as well as
nitroxyl radicals. Pt-TEMPO exhibited low toxicity in the dark, but on
photoactivation was as active towards human ovarian cancer cells as the clinical
photosensitizer chlorpromazine and much more active than the anticancer drug
cisplatin under the conditions used.
PMID- 27189103
TI - [In Process Citation].
PMID- 27189104
TI - [Blaschkos linjer i akutmodtagelsen].
PMID- 27189105
TI - [Hepatitis after chewing of khat leaves].
AB - Chewing of leaves from the Catha edulis (khat) plant has amphetamine-like,
stimulating effects and is used in rituals among East African men. In recent
years, a possible liver-toxic effect has been observed in Somali immigrants in
Western countries and has in severe cases led to death or liver transplantation.
It is discussed whether the liver insufficiency represents a severe ethnic
variant of autoimmune hepatitis, or a khat-induced hepatitis with autoimmune
features. We describe six patients with Somalian background and possibly khat
induced toxic hepatitis.
PMID- 27189106
TI - [Basal cell carcinoma surgery].
AB - Basal cell carcinoma (BCC) is the most common type of cancer in humans. The
lifetime risk of developing BCC is 30%. The scope of the treatment is total
eradication of the tumour followed by preservation of functionality and optimal
cosmetic results. The risk of recurrence after treatment is highest in the
tumours with aggressive growth patterns, in tumours located in the facial H-zone
and in recurrent tumours. The optimal treatment of high-risk BCCs is surgical
excision or radiotherapy. Low-risk tumours may be treated with cryotherapy,
curettage, photodynamic therapy or topical agents.
PMID- 27189108
TI - Ideal free distribution of metabolic activity: Implications of seasonal metabolic
activity patterns on competitive coexistence.
AB - The seasonal distribution of metabolic activity determines how much individuals
experience different aspects of a periodically changing environment. Seasonal
metabolic-activity patterns of coexisting species may differ significantly
despite their shared environmental conditions, suggesting that interspecific
diversification of this trait has a major role in the coexistence of competing
species. In the present study the effect of the seasonal distribution of
metabolic activity on intra- and interspecific competition is investigated in a
consumer-resource model. It is shown that, in a periodically changing
environment, for each environmental preference pattern there is an ideal seasonal
distribution of metabolic activity, which results in maximum resource utilisation
efficiency and competitive superiority. Contrary to the common interpretation of
temporal niche segregation, opposing species-specific seasonal preferences are
not a sufficient condition for the coexistence of two species on a population
dynamical time scale. A necessary and sufficient condition for coexistence is the
temporal segregation of the species via different seasonal activity
distributions. However, coexistence is evolutionarily stable only if seasonal
metabolic activities and preferences are positively correlated.
PMID- 27189107
TI - [Analyses of deaths can provide meaningful learning].
AB - Learning based on deceased patients has provided medicine with substantial
knowledge and is still a source of new information. The basic learning approach
has been autopsies, but focus has shifted towards analysis of registry data. This
article evaluates different ways to analyse the natural deaths, including
autopsies, audits, clinical databases and hospital standardised mortality ratios
in regard of clinical learning. We claim that data-powered analysis cannot stand
alone, and recommend that clinicians should organise multidisciplinary
theoretically based audits, in order to keep learning from the deceased.
PMID- 27189109
TI - Chronic administration of Angelica sinensis polysaccharide effectively improves
fatty liver and glucose homeostasis in high-fat diet-fed mice.
AB - This study aimed to investigate the therapeutic effects of Angelica sinensis
polysaccharide (ASP), an active component derived from a water extract of
Angelica sinensis, in high-fat diet (HFD)-fed BALB/c mice. The potential
mechanisms underlying the activity of this compound were also considered.
Specifically, serum and hepatic biochemical parameters were evaluated, and key
proteins involved in the lipid/glucose metabolism were analyzed. Long-term
feeding with a HFD induced severe fatty liver and hyperglycemia. Histological
examination clearly showed that ASP reduced lipid accumulation in the liver and
attenuated hepatic steatosis in HFD-fed mice. In addition, ASP markedly
alleviated serum and liver lipid disorders and fatty liver via the upregulation
of PPARgamma expression and the activation of adiponectin-SIRT1-AMPK signaling.
Furthermore, ASP also significantly relieved severe oxidative stress,
demonstrating that ASP might attenuate nonalcoholic fatty liver disease via a
"two-hit" mechanism. In addition, ASP reduced blood glucose levels and
ameliorated insulin resistance via the regulation of related metabolic enzymes
and by activating the PI3K/Akt pathway in HFD-fed mice. Our findings revealed
that ASP might be used as an alternative dietary supplement or health care
product to ameliorate metabolic syndrome in populations that consistently consume
HFDs.
PMID- 27189110
TI - Early Lung Computed Tomography Scan after Allogeneic Hematopoietic Stem Cell
Transplantation.
AB - A lung computed tomography (CT) scan is essential for diagnosing lung diseases in
hematopoietic stem cell transplantation (HSCT) recipients. As a result, lung CT
scans are increasingly prescribed in the early phase after allogeneic HSCT, with
no assessment of the added value for global patient management. Among 250
patients who underwent allogeneic HSCT in our center over a 2-year period, we
evaluated 68 patients who had at least 1 lung CT scan within the first 30 days
post-transplantation. The median interval between allogeneic HSCT and lung CT
scan was 8.5 days. Patients who underwent an early lung CT scan were more
immunocompromised and had a more severe course. Fever was the main indication for
the CT scan (78%). The lung CT scan was abnormal in 52 patients, including 17
patients who had an abnormal pre-HSCT CT scan. A therapeutic change was noted in
37 patients (54%) within 24 hours after the lung CT scan. The main changes
included the introduction of corticosteroids (n = 23; 62%), especially in
patients with a normal CT scan (89%). In univariate models, we found that a
normal pretransplantation CT scan (P = .002), the absence of either dyspnea (P =
.029) or hypoxemia (P = .015), and a serum C-reactive protein level <10 mg/L (P =
.004) were associated with a normal post-HSCT lung CT scan. We found that the
association of these variables could predict the normality of early post-HSCT
lung CT scans. Pretransplantation lung CT scans are useful for the interpretation
of subsequent lung CT scans following allogeneic HSCT, which are frequently
abnormal. Early post-HSCT lung CT scans are helpful in patient management, but
prescriptions could be more targeted.
PMID- 27189111
TI - STAT6 Loss in Dedifferentiated Solitary Fibrous Tumor.
PMID- 27189112
TI - Bioinspired Polyelectrolyte-Assembled Graphene-Oxide-Coated C18 Composite Solid
Phase Microextraction Fibers for In Vivo Monitoring of Acidic Pharmaceuticals in
Fish.
AB - A novel solid-phase microextraction (SPME) fiber was prepared by gluing
poly(diallyldimethylammonium chloride) (PDDA) assembled graphene oxide (GO)
coated C18 composite particles (C18@GO@PDDA) onto a quartz fiber with polyaniline
(PANI). The fiber surface coating was sequentially modified with bioinspired
polynorepinephrine, which provided a smooth biointerface and makes the coating
suitable for in vivo sampling. The novel custom-made coating was used to extract
acidic pharmaceuticals, and high-performance liquid chromatography tandem mass
spectrometry (HPLC-MS/MS) was employed for analysis. The custom-made coating
exhibited a much higher extraction efficiency than the previously used commercial
polydimethylsiloxane (PDMS) and polyacrylate (PA) coatings. The custom-made
coating also possessed satisfactory stability (the relative standard deviations
(RSDs) ranged from 1.60% to 10.3% for six sampling-desorption cycles), interfiber
reproducibility (the RSDs ranged from 2.61% to 11.5%), and resistance to matrix
effects. The custom-made fibers were used to monitor the presence of acid
pharmaceuticals in dorsal-epaxial muscle of living fish, and satisfactory
sensitivities (limits of detection ranged from 0.13 ng/g to 7.56 ng/g) were
achieved. The accuracies were verified by the comparison with liquid extraction.
Moreover, the novel fibers were successfully used to monitor the presence of
acidic pharmaceuticals in living fish, which demonstrated that the custom-made
fibers were feasible for possible long-term in vivo continuous pharmaceutical
monitoring.
PMID- 27189113
TI - beta-Perfluoroalkyl-substituted pyrrole as an anion-responsive pi-electronic
system through a single NH moiety.
AB - A pyrrole derivative with two perfluorohexyl (C6F13) chains at the beta-positions
was synthesized. The significant electron-withdrawing properties of the beta
perfluoroalkyl chains induced fascinating anion-binding behaviour.
PMID- 27189115
TI - Photoswitching of Conductance of Diarylethene-Gold Nanoparticle Network Based on
the Alteration of pi-Conjugation.
AB - Diarylethenes, which have same core structures but have different positions of
thiol groups that are bound to gold nanoparticles, were prepared. In one
diarylethene, which has two thiol groups at the positions equivalent to 5,5'
positions of di(3-thienyl)ethene, the pi-connectivity between two thiol groups
increases upon photocyclization, but in the other diarylethene, which has two
thiol groups at 2- and 5-positions of one of the 3-thenyl group, the pi
connectivity decreases upon photocyclization. The gold nanoparticle networks of
these diarylethenes were prepared and the change in conductance was measured upon
alternate irradiation with UV and visible light. For two diarylethenes, the
direction of the photoswitching was opposite, reflecting the difference in the pi
connectivity. The result suggests that the topology of pi-conjugation between
electrodes is the decisive factor in the conductance of gold nanoparticle
network.
PMID- 27189114
TI - Wordbank: an open repository for developmental vocabulary data.
AB - The MacArthur-Bates Communicative Development Inventories (CDIs) are a widely
used family of parent-report instruments for easy and inexpensive data-gathering
about early language acquisition. CDI data have been used to explore a variety of
theoretically important topics, but, with few exceptions, researchers have had to
rely on data collected in their own lab. In this paper, we remedy this issue by
presenting Wordbank, a structured database of CDI data combined with a browsable
web interface. Wordbank archives CDI data across languages and labs, providing a
resource for researchers interested in early language, as well as a platform for
novel analyses. The site allows interactive exploration of patterns of vocabulary
growth at the level of both individual children and particular words. We also
introduce wordbankr, a software package for connecting to the database directly.
Together, these tools extend the abilities of students and researchers to explore
quantitative trends in vocabulary development.
PMID- 27189116
TI - Influence of Fe loadings on desulfurization performance of activated carbon
treated by nitric acid.
AB - A series of Fe supported on activated carbon treated by nitric acid are prepared
by incipient wetness impregnation with ultrasonic assistance and characterized by
N2 adsorption-desorption, X-ray diffraction, Fourier transform infrared spectrum
and X-ray photoelectron spectroscopy. It has shown that Fe loadings significantly
influence the desulfurization activity. Fe/NAC5 exhibits an excellent removal
ability of SO2, corresponding to breakthrough sulfur capacity of 323 mg/g. With
the increasing Fe loadings, the generated Fe3O4 and Fe2SiO4 increase, but
Fe2(SO4)3 is observed after desulfurization. Fe/NAC1 has a Brunauer-Emmett-Teller
(BET) surface area of 925 m2/g with micropore surface area of 843 m2/g and total
pore volume of 0.562 cm3/g including a micropore volume of 0.300 cm3/g. With the
increasing Fe loadings, BET surface area and micropore volume decrease, and those
of Fe/NAC10 decrease to 706 m2/g and 0.249 cm3/g. The Fe loadings influence the
pore-size distribution, and SO2 adsorption mainly reacts in micropores at about
0.70 nm. C=O and C-O are observed for all samples before SO2 removal. After
desulfurization, the C-O stretching is still detected, but the C=O stretching
vibration of carbonyl groups disappears. The stretching of S-O or S=O in sulfate
is observed at 592 cm-1 for the used sample, proving that the existence of
[Formula: see text].
PMID- 27189117
TI - Structural evaluations and temperature dependent photoluminescence
characterizations of Eu(3+)-activated SrZrO3 hollow spheres for luminescence
thermometry applications.
AB - This research is focused on the temperature sensing ability of perovskite
SrZrO3:Eu(3+) hollow spheres synthesized via the sol-gel method followed by
heating. The Rietveld refinement indicated that the precursors annealed at 1100
degrees C were crystallized to form orthorhombic SrZrO3. SrZrO3 particles
exhibited non-agglomerated hollow spherical morphology with an average particle
size of 300 nm. The UV-excited photoluminescence spectrum of SrZrO3:Eu(3+)
consisted of two regions. One region was associated with SrZrO3 trap emission,
and the other one was related to the emission of Eu(3+) ions. The intensity ratio
of the emission of Eu(3+) ions to the host emission (FIR) and the emission
lifetime of Eu(3+) ions were measured in the temperature range of 300-550 K. The
sensitivity obtained via the lifetime method was 7.3* lower than that measured
via the FIR. Within the optimum temperature range of 300-460 K, the as-estimated
sensor sensitivity was increased from 0.0013 to 0.028 K(-1). With a further
increase in temperatures, the sensitivity started to decline. A maximum relative
sensitivity was estimated to be 2.22%K(-1) at 460 K. The resolutions in both
methods were below 1K in the above temperature range. The results indicated the
suitability of SrZrO3:Eu(3+) for the distinct high temperature sensing
applications.
PMID- 27189118
TI - New natural products isolated from Metarhizium robertsii ARSEF 23 by chemical
screening and identification of the gene cluster through engineered biosynthesis
in Aspergillus nidulans A1145.
AB - To rapidly identify novel natural products and their associated biosynthetic
genes from underutilized and genetically difficult-to-manipulate microbes, we
developed a method that uses (1) chemical screening to isolate novel microbial
secondary metabolites, (2) bioinformatic analyses to identify a potential
biosynthetic gene cluster and (3) heterologous expression of the genes in a
convenient host to confirm the identity of the gene cluster and the proposed
biosynthetic mechanism. The chemical screen was achieved by searching known
natural product databases with data from liquid chromatographic and high
resolution mass spectrometric analyses collected on the extract from a target
microbe culture. Using this method, we were able to isolate two new meroterpenes,
subglutinols C (1) and D (2), from an entomopathogenic filamentous fungus
Metarhizium robertsii ARSEF 23. Bioinformatics analysis of the genome allowed us
to identify a gene cluster likely to be responsible for the formation of
subglutinols. Heterologous expression of three genes from the gene cluster
encoding a polyketide synthase, a prenyltransferase and a geranylgeranyl
pyrophosphate synthase in Aspergillus nidulans A1145 afforded an alpha-pyrone
fused uncyclized diterpene, the expected intermediate of the subglutinol
biosynthesis, thereby confirming the gene cluster to be responsible for the
subglutinol biosynthesis. These results indicate the usefulness of our
methodology in isolating new natural products and identifying their associated
biosynthetic gene cluster from microbes that are not amenable to genetic
manipulation. Our method should facilitate the natural product discovery efforts
by expediting the identification of new secondary metabolites and their
associated biosynthetic genes from a wider source of microbes.
PMID- 27189119
TI - Efficacy of ampicillin against methicillin-resistant Staphylococcus aureus
restored through synergy with branched poly(ethylenimine).
AB - beta-Lactam antibiotics kill Staphylococcus aureus bacteria by inhibiting the
function of cell wall penicillin-binding proteins (PBPs) 1 and 3. However, beta
lactams are ineffective against PBP2a, used by methicillin-resistant S. aureus
(MRSA) to perform essential cell wall crosslinking functions. PBP2a requires
teichoic acid to properly locate and orient the enzyme, and thus MRSA is
susceptible to antibiotics that prevent teichoic acid synthesis in the bacterial
cytoplasm. As an alternative, we have used branched poly(ethylenimine), BPEI, to
target teichoic acid in the bacterial cell wall. The result is restoration of
MRSA susceptibility to the beta-lactam antibiotic ampicillin with a MIC of 1 MUg
ml-1, superior to that of vancomycin (MIC=3.7 MUg ml-1). A checkerboard assay
shows synergy of BPEI and ampicillin. NMR data show that BPEI alters the teichoic
acid chemical environment. Laser scanning confocal microscopy images show BPEI
residing on the bacterial cell wall, where teichoic acids and PBPs are located.
PMID- 27189121
TI - Antibacterial activity of the novel semisynthetic lantibiotic NVB333 in vitro and
in experimental infection models.
AB - NVB333 is a novel semisynthetic lantibiotic derived from the amide coupling of
3,5-dichlorobenzylamine to the C-terminal of deoxyactagardine B. The in vitro
activity of NVB333 includes efficacy against clinically relevant pathogens
including methicillin-resistant Staphylococcus aureus and vancomycin-resistant
Enterococcus spp. NVB333 shows no cross-resistance with other antibiotics tested
and a very low propensity for resistance development. After intravenous dosing
NVB333 has high exposure in mouse plasma and shows generally improved in vivo
activity compared with vancomycin in mouse infection models despite modest MIC
values. In thigh infection models, promising efficacy was demonstrated against
several strains of S. aureus including methicillin-resistant S. aureus (MRSA) and
vancomycin-intermediate S. aureus (VISA) strains, and against Enterococcus
faecalis UNT126-3. Area under the concentration curve (AUC)/MIC was shown to be
the best predictor of efficacy against S. aureus UNT103-3 with an AUC/MIC of 138
(uncorrected for protein binding) achieving a static effect. NVB333 was also
effective in a disseminated infection model where it conferred complete survival
from the MRSA strain ATCC 33591. NVB333 showed rather modest lung penetration
after intravenous dosing (AUC in lung 2-3% of plasma AUC), but because of very
high plasma exposure, therapeutic levels of compound were achieved in the lung.
Efficacy at least equal to vancomycin was demonstrated against an MRSA strain
(UNT084-3) in a bronchoalveolar infection model. The impressive in vivo efficacy
of NVB333 and strong resistance prognosis makes this compound an interesting
candidate for development for treating systemic Gram-positive infections.
PMID- 27189122
TI - Preclinical development of Ramizol, an antibiotic belonging to a new class, for
the treatment of Clostridium difficile colitis.
AB - Antibiotic-resistant bacteria is a major threat to human health and is predicted
to become the leading cause of death from disease by 2050. Despite the recent
resurgence of research and development in the area, few antibiotics have reached
the market, with most of the recently approved antibiotics corresponding to new
uses for old antibiotics, or structurally similar derivatives thereof. We have
recently reported an in silico approach that led to the design of an entirely new
class of antibiotics for the bacteria-specific mechanosensitive ion channel of
large conductance: MscL. Here, we present the preclinical development of one such
antibiotic, Ramizol, a first generation antibiotic belonging to that class. We
present the lack of interaction between Ramizol and other mammalian channels
adding credibility to its MscL selectivity. We determine the pharmacokinetic
profile in a rat model and show <0.1% of Ramizol is absorbed systemically. We
show this non-systemic nature of the antibiotic translates to over 70% survival
of hamsters in a Clostridium difficile colitis model. Lastly, initial in vitro
data indicate that resistance to Ramizol occurs at a low frequency. In
conclusion, we establish the potential of Ramizol as an effective new treatment
for C. difficile associated disease.
PMID- 27189120
TI - Design, synthesis and microbiological evaluation of ampicillin-tetramic acid
hybrid antibiotics.
AB - Exploiting iron-uptake pathways by conjugating beta-lactam antibiotics with iron
chelators, such as catechol and hydroxamic acid is a proven strategy to overcome
permeability-related resistance in Gram-negative bacteria. As naturally occurring
iron-chelating tetramic acids have not been previously examined for this purpose,
an exploratory series of novel ampicillin-tetramic acid hybrids that structurally
resemble ureidopenicillins was designed and synthesized. The new analogs were
evaluated for the ability to chelate iron and their MIC activities determined
against a representative panel of clinically significant bacterial pathogens. The
tetramic acid beta-lactam hybrids demonstrated a high affinity to iron in the
order of 10-30 M3. The hybrids were less active against Gram-positive bacteria.
However, against Gram-negative bacteria, their activity was species dependent
with several hybrids displaying improved activity over ampicillin against wild
type Pseudomonas aeruginosa. The anti-Gram-negative activities of the hybrids
improved in the presence of clavulanic acid revealing that the tetramic acid
moiety did not provide added protection against beta-lactamases. In addition, the
hybrids were found to be efflux pump substrates as their activities markedly
improved against pump-inactivated strains. Unlike the catechol and hydroxamic
acid siderophore beta-lactam conjugates, the activities of the hybrids did not
improve under iron-deficient conditions. These results suggest that the tetramic
acid hybrids gain permeability via different membrane receptors, or they are
outcompeted by native bacterial siderophores with stronger affinities for iron.
This study provides a foundation for the further exploitation of the tetramic
acid moiety to achieve novel beta-lactam anti-Gram-negative agents, providing
that efflux and beta-lactamase mediated resistance is addressed.
PMID- 27189123
TI - Quinovosamycins: new tunicamycin-type antibiotics in which the alpha, beta-1",11'
linked N-acetylglucosamine residue is replaced by N-acetylquinovosamine.
AB - Tunicamycins (TUN) are potent inhibitors of polyprenyl phosphate N
acetylhexosamine 1-phosphate transferases (PPHP), including essential eukaryotic
GPT enzymes and bacterial HexNAc 1-P translocases. Hence, TUN blocks the
formation of eukaryotic N-glycoproteins and the assembly of bacterial call wall
polysaccharides. The genetic requirement for TUN production is well-established.
Using two genes unique to the TUN pathway (tunB and tunD) as probes we identified
four new prospective TUN-producing strains. Chemical analysis showed that one
strain, Streptomyces niger NRRL B-3857, produces TUN plus new compounds, named
quinovosamycins (QVMs). QVMs are structurally akin to TUN, but uniquely in the
1",11'-HexNAc sugar head group, which is invariably d-GlcNAc for the known TUN,
but is d-QuiNAc for the QVM. Surprisingly, this modification has only a minor
effect on either the inhibitory or antimicrobial properties of QVM and TUN. These
findings have unexpected consequences for TUN/QVM biosynthesis, and for the
specificity of the PPHP enzyme family.
PMID- 27189125
TI - [Suppression of sexual activity and reproduction in male small ruminants].
AB - Handling and husbandry of male small ruminants after sexual maturity often become
difficult. Castration is currently the most reliable solution to this problem.
Medicinal procedures for temporary inhibition of the gonad function could provide
an alternative. Following a short overview of surgical castration, the current
knowledge on the application of vaccines against gonadotropin-releasing hormone
(GnRH) and GnRH agonist in rams and billy goats is presented in a literature
overview. In rams, GnRH vaccination has been used successfully for temporary
suppression of the reproduction function, regardless of an animal's age at the
time of therapy initiation. Fewer investigations are available for the billy
goat. A complete suppression of spermatogenesis was not achieved in all cases.
Currently, treatment with GnRH agonists does not represent a relible method for
the suppression of gonad function.
PMID- 27189124
TI - Using quantum chemical computations of NMR chemical shifts to assign relative
configurations of terpenes from an engineered Streptomyces host.
AB - Density functional theory calculations of (1)H and (13)C chemical shifts are used
to assign the relative configurations of isohirsut-1-ene, isohirsut-4-ene and
tsukubadiene, three terpenes previously isolated from an engineered Streptomyces.
PMID- 27189126
TI - An investigation of factors related to the use of respite care services for
children with severe motor and intellectual disabilities (SMID) living at home in
Japan.
AB - Limited time away from the child is cited as the main factor that increases the
burden for the primary caregiver of severely disabled children. The aim of this
study was to quantitatively elucidate the factors related to the desire to use
social services and the actual use of respite care services by the primary
caregivers of severely disabled children in Japan. In this study, we investigated
the use of respite care services in accordance with the primary caregivers'
wishes by examining inhibiting or promoting factors associated with respite care
service use only among those who wished to use social services. A total of 169
Japanese mothers participated and answered the questionnaires. We conducted a
logistic regression analysis and a multiple regression analysis to investigate
the factors related to respite care service use. The most important factors
affecting a primary caregiver's desire to use social services were the belief
that the child would enjoy using social services and the family's approval of the
social service use. The most important factors affecting respite care service use
were the family's approval of the use and a large care burden on the primary
caregiver. Respite care services should be sought out before the care burden
becomes too great to enable the primary caregiver to more easily contribute to
the continuation of home care. A background of mother-child separation anxiety
disrupted the use of respite care. However, believing that the child enjoys using
social services may reduce primary caregivers' psychological resistance to being
separated from their child, which is supported by tradition. Thus, it is also
important for respite care service providers to provide information about the
children to their primary caregivers and families while they are using respite
care services.
PMID- 27189128
TI - Corrigendum: Facile Aluminum Reduction Synthesis of Blue TiO2 with Oxygen
Deficiency for Lithium-Ion Batteries.
PMID- 27189129
TI - Spotlights on our sister journals: Chem. Eur. J. 22/2016.
PMID- 27189127
TI - Seroprevalence and risk factors of infections with Neospora caninum and
Toxoplasma gondii in hunting dogs from Campania region, southern Italy.
AB - Hunting dogs have probably a higher level of exposure to Neospora caninum Dubey,
Carpenter, Speer, Topper et Uggla, 1988 and Toxoplasma gondii Nicolle et
Manceaux, 1908 than other canine populations for their different lifestyle. The
aim of our survey was to determine the seroprevalence of N. caninum and T. gondii
in hunting dogs from southern Italy and assess risk factors related to these
protozoan infections. Blood samples were collected from 398 hunting dogs (19
different breeds, aged from 5 month to 14 years). The sera were screened by
indirect fluorescence antibody test; a titre >= 50 was considered positive.
Antibodies to N. caninum and T. gondii were detected in 59 (15%) dogs with titres
from 50 to 3 200 and in 94 (24%) dogs with titres from 50 to 1 600, respectively,
with co-infection in 25 (6%) dogs. Statistical difference (p <= 0.05) was found
only for infection with T. gondii between two age groups: >= 2-4 years (16%) and
>= 4-7 years (33%); other observed characteristics were without statistical
significance. Our results suggest that the hunting dogs could play an important
role in the transmission cycle of N. caninum between wild animals and livestock.
This is the first detection of antibodies to T. gondii in hunting dogs in Italy.
PMID- 27189130
TI - Venous thrombosis.
AB - Venous thromboembolism (VTE) encompasses deep-vein thrombosis (DVT) and pulmonary
embolism. VTE is the leading cause of lost disability-adjusted life years and the
third leading cause of cardiovascular death in the world. DVT leads to post
thrombotic syndrome, whereas pulmonary embolism can cause chronic pulmonary
hypertension, both of which reduce quality of life. Genetic and acquired risk
factors for thrombosis include non-O blood groups, factor V Leiden mutation, oral
contraceptive use, hormone replacement therapy, advanced age, surgery,
hospitalization and long-haul travel. A combination of blood stasis, plasma
hypercoagulability and endothelial dysfunction is thought to trigger thrombosis,
which starts most often in the valve pockets of large veins. Animal studies have
revealed pathogenic roles for leukocytes, platelets, tissue factor-positive
microvesicles, neutrophil extracellular traps and factors XI and XII. Diagnosis
of VTE requires testing and exclusion of other pathologies, and typically
involves laboratory measures (such as D-dimer) and diagnostic imaging. VTE is
treated with anticoagulants and occasionally with thrombolytics to prevent
thrombus extension and to reduce thrombus size. Anticoagulants are also used to
reduce recurrence. New therapies with improved safety profiles are needed to
prevent and treat venous thrombosis. For an illustrated summary of this Primer,
visit: http://go.nature.com/8ZyCuY.
PMID- 27189131
TI - Large-area high-quality graphene on Ge(001)/Si(001) substrates.
AB - Various experimental data revealing large-area high-quality graphene films grown
by the CVD method on Ge(001)/Si(001) substrates are presented. SEM images have
shown that the structure of nano-facets is formed on the entire surface of
Ge(001), which is covered by a graphene layer over the whole macroscopic sample
surface of 1 cm(2). The hill-and-valley structures are positioned 90 degrees to
each other and run along the <100> direction. The hill height in relation to the
valley measured by STM is about 10 nm. Raman measurements have shown that a
uniform graphene monolayer covers the nano-facet structures on the Ge(001)
surface. Raman spectroscopy has also proved that the grown graphene monolayer is
characterized by small strain variations and minimal charge fluctuations.
Atomically resolved STM images on the hills of the nanostructures on the Ge(001)
surface have confirmed the presence of a graphene monolayer. In addition, the
STS/CITS maps show that high-quality graphene has been obtained on such terraces.
The subsequent coalescence of graphene domains has led to a relatively well
oriented large-area layer. This is confirmed by LEED measurements, which have
indicated that two orientations are preferable in the grown large-area graphene
monolayer. The presence of large-area coverage by graphene has been also
confirmed by low temperature Hall measurements of a macroscopic sample, showing
an n-type concentration of 9.3 * 10(12) cm(-2) and a mobility of 2500 cm(2) V(-1)
s(-1). These important characteristic features of graphene indicate a high
homogeneity of the layer grown on the large area Ge(001)/Si(001) substrates.
PMID- 27189132
TI - A Meta-Analysis of the Association of Chronic Obstructive Pulmonary Disease with
Abdominal Aortic Aneurysm Presence.
AB - BACKGROUND: Several case-control and population-based abdominal aortic aneurysm
(AAA) screening studies have reported inconclusive results of the association of
chronic obstructive pulmonary disease (COPD) with AAA presence. To determine
whether COPD is associated with AAA presence, we performed a meta-analysis of
contemporary clinical studies. METHODS: To identify all contemporary case-control
and population-based AAA screening studies evaluating the association of COPD
with AAA presence, databases including MEDLINE and EMBASE were searched from
January 2000 to May 2015 using Web-based search engines (PubMed and OVID). An
adjusted odds ratio (OR) and 95% confidence intervals (CI) for COPD or AAA
presence (using multivariable logistic regression) were abstracted from each
individual study. We took an OR for AAA presence to be representative of an OR
for COPD presence. RESULTS: Of 159 potentially relevant articles screened
initially, there were 7 case-control and 4 population-based AAA screening studies
that met eligibility requirements and were included. Pooled analysis of all the
11 studies (14 estimates, 155,731 participants), 7 case-control studies (4171
participants), and 4 population-based AAA screening studies (7 estimates, 151,560
participants) respectively demonstrated a statistically significant 1.78-fold (OR
1.78, 95% CI 1.38-2.30, P < 0.00001), 3.05-fold (OR 3.05, 95% CI 1.44-6.49, P =
0.004), and 1.24-fold (OR 1.24, 95% CI 1.04-1.48, P = 0.02) increased
prevalence/incidence of COPD in patients with AAA relative to subjects without
AAA (i.e., a statistically significant 1.78-, 3.05-, and 1.24-fold increased
prevalence/incidence of AAA in patients with COPD relative to subjects without
COPD) (P for subgroup differences = 0.02). CONCLUSION: The present meta-analysis
demonstrated 1.8-fold increased prevalence/incidence of COPD in patients with AAA
relative to subjects without AAA (i.e., 1.8-fold increased prevalence/incidence
of AAA in patients with COPD relative to subjects without COPD), which suggests
that COPD is associated with AAA presence.
PMID- 27189133
TI - Neutrophils extracellular traps damage Naegleria fowleri trophozoites opsonized
with human IgG.
AB - Naegleria fowleri infects humans through the nasal mucosa causing a disease in
the central nervous system known as primary amoebic meningoencephalitis (PAM).
Polymorphonuclear cells (PMNs) play a critical role in the early phase of N.
fowleri infection. Recently, a new biological defence mechanism called neutrophil
extracellular traps (NETs) has been attracting attention. NETs are composed of
nuclear DNA combined with histones and antibacterial proteins, and these
structures are released from the cell to direct its antimicrobial attack. In this
work, we evaluate the capacity of N. fowleri to induce the liberation of NETs by
human PMN cells. Neutrophils were cocultured with unopsonized or IgG-opsonized N.
fowleri trophozoites. DNA, histone, myeloperoxidase (MPO) and neutrophil elastase
(NE) were stained, and the formation of NETs was evaluated by confocal microscopy
and by quantifying the levels of extracellular DNA. Our results showed N. fowleri
induce the liberation of NETs including release of MPO and NE by human PMN cells
as exposure interaction time is increased, but N. fowleri trophozoites evaded
killing. However, when trophozoites were opsonized, they were susceptible to the
neutrophils activity. Therefore, our study suggests that antibody-mediated PMNs
activation through NET formation may be crucial for antimicrobial responses
against N. fowleri.
PMID- 27189134
TI - 3D scanning and 3D printing as innovative technologies for fabricating
personalized topical drug delivery systems.
AB - Acne is a multifactorial inflammatory skin disease with high prevalence. In this
work, the potential of 3D printing to produce flexible personalised-shape anti
acne drug (salicylic acid) loaded devices was demonstrated by two different 3D
printing (3DP) technologies: Fused Deposition Modelling (FDM) and
stereolithography (SLA). 3D scanning technology was used to obtain a 3D model of
a nose adapted to the morphology of an individual. In FDM 3DP, commercially
produced Flex EcoPLATM (FPLA) and polycaprolactone (PCL) filaments were loaded
with salicylic acid by hot melt extrusion (HME) (theoretical drug loading - 2%
w/w) and used as feedstock material for 3D printing. Drug loading in the FPLA
salicylic acid and PCL-salicylic acid 3D printed patches was 0.4% w/w and 1.2%
w/w respectively, indicating significant thermal degradation of drug during HME
and 3D printing. Diffusion testing in Franz cells using a synthetic membrane
revealed that the drug loaded printed samples released <187MUg/cm(2) within 3h.
FPLA-salicylic acid filament was successfully printed as a nose-shape mask by FDM
3DP, but the PCL-salicylic acid filament was not. In the SLA printing process,
the drug was dissolved in different mixtures of poly(ethylene glycol) diacrylate
(PEGDA) and poly(ethylene glycol) (PEG) that were solidified by the action of a
laser beam. SLA printing led to 3D printed devices (nose-shape) with higher
resolution and higher drug loading (1.9% w/w) than FDM, with no drug degradation.
The results of drug diffusion tests revealed that drug diffusion was faster than
with the FDM devices, 229 and 291MUg/cm(2) within 3h for the two formulations
evaluated. In this study, SLA printing was the more appropriate 3D printing
technology to manufacture anti-acne devices with salicylic acid. The combination
of 3D scanning and 3D printing has the potential to offer solutions to produce
personalised drug loaded devices, adapted in shape and size to individual
patients.
PMID- 27189136
TI - Nasal immunization with mannan-decorated mucoadhesive HPMCP microspheres
containing ApxIIA toxin induces protective immunity against challenge infection
with Actinobacillus pleuropneumoiae in mice.
AB - The development of subunit mucosal vaccines requires an appropriate delivery
system or an immune modulator such as an adjuvant to improve antigen
immunogenicity. The nasal route for vaccine delivery by microparticles has
attracted considerable interest, although challenges such as the rapid
mucociliary clearance in the respiratory mucosa and the low immunogenicity of
subunit vaccine still remain. Here, we aimed to develop mannan-decorated
mucoadhesive thiolated hydroxypropylmethyl cellulose phthalate (HPMCP)
microspheres (Man-THM) that contain ApxIIA subunit vaccine - an exotoxin fragment
as a candidate for a subunit nasal vaccine against Actinobacillus
pleuropneumoniae. For adjuvant activity, mucoadhesive thiolated HPMCP
microspheres decorated with mannan could be targeted to the PRRs (pathogen
recognition receptors) and mannose receptors (MR) of antigen presenting cells
(APCs) in the respiratory immune system. The potential adjuvant ability of Man
THM for intranasal immunization was confirmed by in vitro and in vivo
experiments. In a mechanistic study using APCs in vitro, it was found that Man
THM enhanced receptor-mediated endocytosis by stimulating the MR of APCs. In
vivo, the nasal vaccination of ApxIIA-loaded Man-THM in mice resulted in higher
levels of mucosal sIgA and serum IgG than mice in the ApxIIA and ApxIIA-loaded
THM groups due to the specific recognition of the mannan in the Man-THM by the
MRs of the APCs. Moreover, ApxIIA-containing Man-THM protected immunized mice
when challenged with strains of A. pleuropneumoniae serotype 5. These results
suggest that mucoadhesive Man-THM may be a promising candidate for a nasal
vaccine delivery system to elicit systemic and mucosal immunity that can protect
from pathogenic bacteria infection.
PMID- 27189135
TI - Overcoming multidrug resistance in Dox-resistant neuroblastoma cell lines via
treatment with HPMA copolymer conjugates containing anthracyclines and P-gp
inhibitors.
AB - Water-soluble N-(2-hydroxypropyl)methacrylamide copolymer conjugates bearing the
anticancer drugs doxorubicin (Dox) or pirarubicin (THP), P-gp inhibitors derived
from reversin 121 (REV) or ritonavir (RIT)), or both anticancer drug and P-gp
inhibitor were designed and synthesized. All biologically active molecules were
attached to the polymer carrier via pH-sensitive spacer enabling controlled
release in mild acidic environment modeling endosomes and lysosomes of tumor
cells. The cytotoxicity of the conjugates against three sensitive and Dox
resistant neuroblastoma (NB) cell lines, applied alone or in combination, was
studied in vitro. All conjugates containing THP displayed higher cytotoxicity
against all three Dox-resistant NB cell lines compared with the corresponding Dox
containing conjugates. Furthermore, the cytotoxicity of conjugates containing
both drug and P-gp inhibitor was up to 10 times higher than that of the conjugate
containing only drug. In general, the polymer-drug conjugates showed higher
cytotoxicity when conjugates containing inhibitors were added 8 or 16h prior to
treatment compared with conjugates bearing both the inhibitor and the drug. The
difference in cytotoxicity was more pronounced at the 16-h time point. Moreover,
higher inhibitor:drug ratios resulted in higher cytotoxicity. The cytotoxicity of
the polymer-drug used in combination with polymer P-gp inhibitor was up to 84
times higher than that of the polymer-drug alone.
PMID- 27189137
TI - Transplantation of testicular tissue in alginate hydrogel loaded with VEGF
nanoparticles improves spermatogonial recovery.
AB - Transplantation of cryopreserved immature testicular tissue (ITT) is a promising
strategy to restore fertility in young boys facing gonadotoxic treatments.
However, up to now, limited spermatogonial recovery has been achieved in
xenografting models used to evaluate the potential of cryopreserved tissue
transplantation. When comparing avascular xenografts of cryopreserved and fresh
human ITT into a mouse model, the number of spermatogonia was significantly
reduced, regardless of the cryopreservation procedure used. To improve tissue
engraftment, revascularization and hence spermatogonial survival, ITT was
embedded in two types of hydrogel loaded with VEGF nanoparticles. Small pieces
(+/-1mm(3)) of testicular tissue were grafted in NMRI mice as follows: grafted
without encapsulation, grafted after encapsulation in fibrin, in alginate, in
fibrin-VEGF-nanoparticle (NP) and in alginate-VEGF-NP. Non-grafted tissue served
as control. After 5 and 21days of implantation, seminiferous tubule integrity,
revascularization and spermatogonial recovery were evaluated by histology and
immunohistochemistry. Seminiferous tubule integrity ranged from 13.3% to 39.6%
and 42.7% to 68.7% on day 5 and day 21, respectively. Vascular density on day 5
was found to be higher in VEGF supplemented groups, regardless of the hydrogel
used. Staining for phosphorylated VEGF receptor 2 and endothelial proliferation
on day 5 was higher in all groups compared to non-grafted avascular controls.
Spermatogonial recovery ranged between 14.8% and 27.3% on day 21 and was
significantly higher in the alginate and alginate-VEGF-NP groups. The present
study demonstrates the potential of alginate hydrogel loaded with
nanoencapsulated growth factors to improve cryopreserved tissue engraftment.
PMID- 27189138
TI - Comprehensive characterisation of sewage sludge for thermochemical conversion
processes - Based on Singapore survey.
AB - Recently, sludge attracted great interest as a potential feedstock in
thermochemical conversion processes. However, compositions and thermal
degradation behaviours of sludge were highly complex and distinctive compared to
other traditional feedstock led to a need of fundamental research on sludge.
Comprehensive characterisation of sludge specifically for thermochemical
conversion was carried out for all existing Water Reclamation Plants in
Singapore. In total, 14 sludge samples collected based on the type, plant, and
batch categorisation. Existing characterisation methods for physical and chemical
properties were analysed and reviewed using the collected samples. Qualitative
similarities and quantitative variations of different sludge samples were
identified and discussed. Oxidation of inorganic in sludge during ash forming
analysis found to be causing significant deviations on proximate and ultimate
analysis. Therefore, alternative parameters and comparison basis including Fixed
Residues (FR), Inorganic Matters (IM) and Total Inorganics (TI) were proposed for
better understanding on the thermochemical characteristics of sludge.
PMID- 27189139
TI - The effect of composting on the persistence of four ionophores in dairy manure
and poultry litter.
AB - Manure composting is a well-described approach for stabilization of nutrients and
reduction of pathogens and odors. Although composting studies have shown that
thermophilic temperatures and aerobic conditions can increase removal rates of
selected antibiotics, comparable information is lacking for many other compounds
in untreated or composted manure. The objective of this study was to determine
the relative effectiveness of composting conditions to reduce concentrations of
four widely used ionophore feed supplements in dairy manure and poultry litter.
Replicate aliquots of fresh poultry litter and dairy manure were amended with
monensin, lasalocid, salinomycin, or amprolium to 10mgkg(-1)DW. Non-amended and
amended dairy manure and poultry litter aliquots were incubated at 22, 45, 55, or
65 degrees C under moist, aerobic conditions. Residue concentrations were
determined from aliquots removed after 1, 2, 4, 6, 8, and 12weeks. Results
suggest that the effectiveness of composting for contaminant reduction is
compound and matrix specific. Composting temperatures were not any more effective
than ambient temperature in increasing the rate or extent of monensin removal in
either poultry litter or dairy manure. Composting was effective for lasalocid
removal in poultry litter, but is likely to be too slow to be useful in practice
(8-12weeks at 65 degrees C for >90% residue removal). Composting was effective
for amprolium removal from poultry litter and salinomycin in dairy manure but
both required 4-6weeks for >90% removal. However, composting did not increase the
removal rates or salinomycin in poultry litter or the removal rates of lasalocid
or amprolium in dairy manure.
PMID- 27189140
TI - Phase-reference monitoring in coherent-state discrimination assisted by a photon
number resolving detector.
AB - Phase estimation represents a crucial challenge in many fields of Physics,
ranging from Quantum Metrology to Quantum Information Processing. This task is
usually pursued by means of interferometric schemes, in which the choice of the
input states and of the detection apparatus is aimed at minimizing the
uncertainty in the estimation of the relative phase between the inputs. State
discrimination protocols in communication channels with coherent states also
require the monitoring of the optical phase. Therefore, the problem of phase
estimation is relevant to face the issue of coherent states discrimination. Here
we consider a quasi-optimal Kennedy-like receiver, based on the interference of
two coherent signals, to be discriminated, with a reference local oscillator. By
means of the Bayesian processing of a small amount of data drawn from the outputs
of the shot-by-shot discrimination protocol, we demonstrate the achievement of
the minimum uncertainty in phase estimation, also in the presence of uniform
phase noise. Moreover, we show that the use of photon-number resolving detectors
in the receiver improves the phase-estimation strategy, especially with respect
to the usually employed on/off detectors. From the experimental point of view,
this comparison is realized by employing hybrid photodetectors.
PMID- 27189141
TI - Systemic sclerosis.
AB - Systemic sclerosis is a complex autoimmune disease characterized by a chronic and
frequently progressive course and by extensive patient-to-patient variability.
Like other autoimmune diseases, systemic sclerosis occurs more frequently in
women, with a peak of onset in the fifth decade of life. The exact cause of
systemic sclerosis remains elusive but is likely to involve environmental factors
in a genetically primed individual. Pathogenesis is dominated by vascular
changes; evidence of autoimmunity with distinct autoantibodies and activation of
both innate and adaptive immunity; and fibrosis of the skin and visceral organs
that results in irreversible scarring and organ failure. Intractable progression
of vascular and fibrotic organ damage accounts for the chronic morbidity and high
mortality. Early and accurate diagnosis and classification might improve patient
outcomes. Screening strategies facilitate timely recognition of life-threatening
complications and initiation of targeted therapies to halt their progression.
Effective treatments of organ-based complications are now within reach. Discovery
of biomarkers - including autoantibodies that identify patient subsets at high
risk for particular disease complications or rapid progression - is a research
priority. Understanding the key pathogenetic pathways, cell types and mediators
underlying disease manifestations opens the door for the development of targeted
therapies with true disease-modifying potential. For an illustrated summary of
this Primer, visit: http://go.nature.com/lchkcA.
PMID- 27189142
TI - Direction to an Internet Support Group Compared With Online Expressive Writing
for People With Depression And Anxiety: A Randomized Trial.
AB - BACKGROUND: Depression and anxiety are common, often comorbid, conditions, and
Internet support groups for them are well used. However, little rigorous research
has been conducted on the outcome of these groups. OBJECTIVE: This study aimed to
evaluate the efficacy of an Internet support group in reducing depression and
anxiety, and increasing social support and life satisfaction. METHODS: A
randomized trial compared direction to an existing Internet support group for
depression and anxiety with an online expressive writing condition. A total of
863 (628 female) United Kingdom, United States, and Canadian volunteers were
recruited via the Internet. Online, self-report measures of depression, anxiety,
social support, and satisfaction with life were administered at baseline, 3, and
6 months. RESULTS: All four outcomes - depression, anxiety, social support, and
satisfaction with life - improved over the 6 months of the study (all P <.001).
There was no difference in outcome between the two conditions: participants
responded similarly to the expressive writing and the Internet support group.
Engagement with the Internet support group was low, it had high 6-month attrition
(692/795, 87%) and low adherence, and it received mixed and often negative
feedback. The main problems reported were a lack of comfort and connection with
others, negative social comparisons, and the potential for receiving bad advice.
Expressive writing had lower attrition (194/295, 65%) and participants reported
that it was more acceptable. CONCLUSIONS: Until further evidence accumulates,
directing people with depression and anxiety to Internet support groups cannot be
recommended. On the other hand, online expressive writing seems to have
potential, and its use for people with depression and anxiety warrants further
investigation. TRIAL REGISTRATION: TRIAL REGISTRATION: Clinicaltrials.gov
NCT01149265; https://clinicaltrials.gov/ct2/show/NCT01149265 (Archived by WebCite
at http://www.webcitation.org/6hYISlNFT).
PMID- 27189143
TI - Cytotoxic trans-platinum(II) complex with 3-hydroxymethylpyridine: Synthesis, X
ray structure and biological activity evaluation.
AB - To assess the potential cytostatic properties of Pt(II) complexes with 3
hydroxymethylpyridine (3-hmpy) as the only carrier ligand, novel cis-[PtCl2(3
hmpy)2] (1) and trans-[PtCl2(3-hmpy)2] (2) have been prepared. Elemental
analysis, FTIR spectroscopy, multinuclear NMR spectroscopy and X-ray
crystallography were used to determine their structures. Based on the results
obtained with 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyl-tetrazolium bromide (MTT)
assay and clonogenic assay on T24 human bladder carcinoma cells (T24), the most
potent compound 2 was further tested for cytotoxicity in human ovarian carcinoma
cell lines - cisplatin sensitive (IGROV 1) and its resistant subclone (IGROV
1/RDDP). The cytotoxicity of compound 2 in IGROV 1/RDDP is comparable to
cisplatin. Furthermore, compound 2 induced severe conformational changes in
plasmid DNA, which resulted in a delayed onset of apoptosis in T24 cells, and
higher amounts of Pt in tumours and serum compared to cisplatin. In addition, in
vivo antitumour effectiveness was comparable to that of cisplatin with a smaller
reduction of animals' body weight, thus demonstrating that it is a promising
transplatin analogue which deserves further studies.
PMID- 27189144
TI - Protective behavioural strategies while drinking: do they protect against sexual
victimisation and is this protection gendered?
AB - INTRODUCTION AND AIMS: Although alcohol use has been linked to sexual
victimisation among college students , the specific ways in which college
students may be able to avoid the negative consequences of excessive drinking as
they relate to sexual victimisation have not been fully explored. This study
examines whether using protective behavioural strategies attenuates the risk of
sexual victimisation for both male and female college students and whether this
relationship is moderated by alcohol use. DESIGN AND METHOD: Data were obtained
from the Fall 2012 National College Health Assessment Survey. This survey is
conducted on college campuses across the USA and institutions that self-selected
to participate in the survey. The sample used in the study includes 19 881
students (male and female) across 51 schools. RESULTS: Findings indicate that
although women are more likely to use protective behavioural strategies, these
strategies work to reduce the likelihood of experiencing sexual victimisation for
both sexes among those who are high-frequency drinkers. DISCUSSION AND
CONCLUSIONS: This study suggests that the frequency of alcohol use is important
when considering the risk of sexual victimisation among college students, and
protective behavioural strategies can help reduce this risk among frequent
drinkers. [Daigle LE, Johnson LM, Napper SL, Azimi AM. Protective behavioural
strategies while drinking: do they protect against sexual victimisation and is
this protection gendered?. Drug Alcohol Rev 2016;35:573-579].
PMID- 27189145
TI - Zinc isotope ratios of bones and teeth as new dietary indicators: results from a
modern food web (Koobi Fora, Kenya).
AB - In order to explore the possibilities of using zinc (Zn) stable isotope ratios as
dietary indicators, we report here on the measurements of the ratio of stable
isotopes of zinc ((66)Zn/(64)Zn, expressed here as delta(66)Zn) in bioapatite
(bone and dental enamel) of animals from a modern food web in the Koobi Fora
region of the Turkana Basin in Kenya. We demonstrate that delta(66)Zn values in
both bone and enamel allow a clear distinction between carnivores and herbivores
from this food web. Differences were also observed between browsers and grazers
as well as between carnivores that consumed bone (i.e. hyenas) compared to those
that largely consume flesh (i.e. lions). We conclude that Zn isotope ratio
measurements of bone and teeth are a new and promising dietary indicator.
PMID- 27189146
TI - Clarity in the face of confusion: new studies tip the scales on bisphenol A
(BPA).
PMID- 27189147
TI - Cushing's disease: the burden of illness.
AB - Cushing's syndrome is caused by prolonged exposure to elevated cortisol levels.
The most common form of endogenous Cushing's syndrome is Cushing's disease, which
results from an adrenocorticotropic hormone-secreting pituitary tumour. Cushing's
disease is associated with increased mortality, mostly attributable to
cardiovascular complications, and a host of comorbidities such as metabolic and
skeletal disorders, infections and neuropsychiatric disturbances. As a
consequence, Cushing's disease substantially impairs health-related quality of
life. It is crucial that the condition is diagnosed as early as possible, and
that rapid and effective treatment is initiated in order to limit long-term
morbidity and mortality. The initial treatment of choice for Cushing's disease is
selective transsphenoidal pituitary surgery; however, the risk of recurrence
after initial surgery is high and remains so for many decades after surgery. A
particular concern is the growing body of evidence indicating that the negative
physical and psychosocial sequelae of chronic hypercortisolism may persist in
patients with Cushing's disease even after long-term surgical 'cure'. Current
treatment options for post-surgical patients with persistent or recurrent
Cushing's disease include second surgery, radiotherapy, bilateral adrenalectomy
and medical therapy; however, each approach has its limitations and there is an
unmet need for more efficacious treatments. The current review provides an
overview of the burden of illness of Cushing's disease, underscoring the need for
prompt diagnosis and effective treatment, as well as highlighting the need for
better therapies.
PMID- 27189148
TI - Serial post-surgical stimulated and unstimulated highly sensitive thyroglobulin
measurements in low- and intermediate-risk papillary thyroid carcinoma patients
not receiving radioactive iodine.
AB - The purpose of this study was to determine the natural temporal trends of serial
thyroglobulin (Tg) among low/intermediate-risk PTC patients not receiving
radioactive iodine (RAI) using TSH-stimulated Tg (Stim-Tg) and unstimulated
highly sensitive Tg (u-hsTg). We prospectively analyzed serial Stim-Tg
measurements after total thyroidectomy +/- therapeutic central neck dissection
among 121 consecutive low/intermediate-risk PTC patients who did not receive RAI,
of whom 104 also had serial u-hsTg measurements available. Median follow-up was
6.5 years with Stim-Tg measurements commencing 3 months after surgery and u-hsTg
commencing 1.8 years after surgery (when the assay became available). TSH
stimulation was performed with 9-day T3 withdrawal, 22-day T4 withdrawal, or
using recombinant human TSH (rhTSH). To account for within-patient correlations
of repeated Tg measurements, temporal trends in Stim-Tg and u-hsTg were assessed
using Generalized Estimating Equations. Stim-Tg models were adjusted for the
method of TSH stimulation, whereas the u-hsTg models were adjusted for concurrent
TSH level. Linear regression modeling was used to assess the trend in serial Stim
Tg and u-hsTg measurements as a function time from time of surgery throughout the
duration of follow-up. The main outcome measured was the change in u-hsTg and
Stim-Tg measurements over time. A total of 337 Stim-Tg (2.8/patient) and 602 u
hsTg (5.8/patient) measurements were analyzed. Among the 337 Stim-Tg
measurements, Stim-Tg was assessed using rhTSH in 202 (60 %), T4 withdrawal in 41
(12 %), and T3 withdrawal in 94 (28 %) measurements. The overall mean +/- 1SD for
Stim-Tg and u-hsTg measured was 1.0 +/- 1.2 and 0.2 +/- 0.1 MUg/L, respectively.
When adjusted for method of TSH stimulation, serial Stim-Tg measurements did not
significantly change over time (all p = NS). The estimated changes in Stim-Tg per
year for rhTSH, T4 withdrawal, and T3 withdrawal were 0.01, -0.08, and 0.04
MUg/L, respectively. Upon exclusion of 73 patients with an initial undetectable
Stim-Tg (n = 48), serial Stim-Tg measurements did not change significantly over
time (all p = NS). For these patients, the estimated changes in Stim-Tg per year
for rhTSH, T4 withdrawal, and T3 withdrawal were -0.09, -0.10, and 0.01 MUg/L,
respectively. Serial u-hsTg measurements did not significantly change over time
after adjusting for TSH level (p = NS). The estimated change in u-hsTg per year
was -0.003 MUg/L. No patients had any clinical or imaging evidence of a
recurrence during the duration of their follow-up. Among low/intermediate-risk
PTC patients not treated with RAI, serial post-surgical Stim-Tg and u-hsTg
measurements do not change significantly over a median follow-up of 6.5 years.
PMID- 27189149
TI - Technical Assessment of Connector-Assisted Nerve Repair.
AB - PURPOSE: Clinical outcomes of nerve repair have not substantially improved over
the last several decades. Although clearly a multifactorial problem, basic
principles including proper fascicular alignment are not always realized. The use
of short conduits as connectors may enhance nerve alignment by entubulating and
directing the approximation of nerve ends. METHODS: Ten hand surgeons (5
experienced and 5 inexperienced) performed a series of in vitro human cadaver
nerve repairs. Three small-diameter (2 mm), 3 medium-diameter (3-4 mm), and 3
large-diameter (5-6 mm) nerves were repaired (under 10* magnification) utilizing
each of 3 techniques: suture-only, connector-only (sutures placed through the
ends of the connector), and connector-assisted (alignment sutures at the nerve
interface plus connector). Three judges (blinded to who performed the repairs)
assessed each repair for fascicular alignment based on predetermined qualitative
scales. RESULTS: Across all surgeons, 23 of 30 connector-assisted repairs were
judged good or excellent versus 18 of 30 of the suture-only and 13 of 30 of the
connector-only repairs. Experienced surgeons in general did better repairs and in
particular were more likely to obtain superior alignment for conduit-only repairs
(73.3% vs 13.3% good or excellent) and suture-only repairs (73.3% vs 46.7% good
or excellent) and were not statistically different for connector-assisted repairs
(86.7% vs 66.7% good or excellent) compared with inexperienced surgeons.
CONCLUSIONS: In a cadaver nerve model, there was no significant difference in the
technical alignment of conduit-assisted repairs between experienced and
inexperienced surgeons whereas inexperienced surgeons were more likely to achieve
inadequate alignment with suture-only or conduit-only repairs. CLINICAL
RELEVANCE: Connector-assisted repairs combining suture-approximation and
entubulation may improve the technical alignment of nerve repairs performed,
especially by less-experienced surgeons.
PMID- 27189151
TI - Letter Regarding "A Simpler Solution to Distal Digital Replantation".
PMID- 27189150
TI - Using Hounsfield Units to Assess Osteoporotic Status on Wrist Computed Tomography
Scans: Comparison With Dual Energy X-Ray Absorptiometry.
AB - PURPOSE: Rates of evaluation and treatment for osteoporosis following distal
radius fragility fractures remain low. As a subset of patients with these
fractures undergo diagnostic computed tomography (CT) scan of the wrist,
utilizing bone mineral density (BMD) measurements available with this imaging can
be used to detect osteopenia or osteoporosis. This information may consequently
prompt intervention to prevent a subsequent fracture. The purpose of this study
was to determine if Hounsfield unit (HU) measurements at the wrist correlate with
BMD measurements of the hip, femoral neck, and lumbar spine and to assess the
ability of these HU measurements to detect osteoporosis of the hip. METHODS:
Forty-five female patients with distal radius fractures who underwent CT scan and
dual energy x-ray absorptiometry scan as part of the management of their wrist
fracture were identified. Bone mineral density measurements were made using the
regional cancellous bone HU value at the capitate and compared with values
obtained by a dual energy x-ray absorptiometry scan. RESULTS: Hounsfield unit
values at the capitate were significantly correlated with BMD and t scores at the
femoral neck, hip, and lumbar spine. An HU threshold of 307 in the capitate
optimized sensitivity (86%) and specificity (94%) for detecting osteoporotic
patients. CONCLUSIONS: By demonstrating that capitate HU measurements from
clinical CT scans are correlated with BMD and t scores at the hip, femoral neck,
and lumbar spine, our data suggest that clinical CT scans should have a role in
detecting osteopenia and osteoporosis. TYPE OF STUDY/LEVEL OF EVIDENCE:
Diagnostic III.
PMID- 27189152
TI - Adding Injury to Insult: A National Analysis of Combat Sport-Related Facial
Injury.
AB - OBJECTIVES/HYPOTHESIS: To estimate the incidence of patients presenting to
emergency departments (EDs) for facial trauma sustained from participation in
combat sports and evaluate injury patterns and patient demographics. METHODS: The
National Electronic Injury Surveillance System (NEISS) was evaluated for facial
injuries from wrestling, boxing, and martial arts leading to ED visits from 2008
to 2013. Relevant entries were examined for injury mechanism, location, type, as
well as other patient characteristics. RESULTS: There were 1143 entries
extrapolating to an estimated 42 395 ED visits from 2008 to 2013. Injury rates
for boxing, marital arts, and wrestling were, respectively, 44, 56, and 120
injuries per 100 000 participants. Males comprised the majority (93.7%). A
plurality of injuries involved lacerations (46.0%), followed by fractures (26.2%)
and contusions/abrasions (19.3%). The proportion of fractures was highest among
boxers (36.9%). Overall, the most common mechanisms of injury were punching,
kicking, and head butting. CONCLUSIONS: The significant number of ED visits
resulted from combat sports facial trauma, reinforcing the importance of
familiarity with injury patterns among practitioners managing facial trauma. As
most injuries involve individuals younger than 19 despite guidelines suggesting
children and adolescents avoid combat sports, these findings may be used for
patient education and encouragement of the use of personal protective equipment.
Furthermore, injury patterns reported in this analysis may serve as an adjunct
for enhancing clinical history taking and physical examination.
PMID- 27189153
TI - What Happens With Untreated Nasal Polyps Over Time? A 13-Year Prospective Study.
AB - OBJECTIVES: Nasal polyps are often seen in ENT practice, but little is known
about the pathogenesis and the natural development. Our objective was to follow
individuals with untreated nasal polyps prospectively, in terms of polyp size,
symptoms, and respiratory function. METHODS: Thirty-three individuals, aged 27 to
87 years, with untreated nasal polyps were identified in a population-based
study. Peak nasal inspiratory flow, olfactory function, and size of the nasal
polyps were examined. Respiratory symptoms were also registered. Follow-up
examinations were performed after 6 and 13 years, with change between visits
analyzed. RESULTS: Over time, polyp size as well as occurrence of unilateral or
bilateral polyps varied without an obvious pattern, with no consistent trends in
respiratory function or symptoms. Twenty-one individuals (64%) were still
untreated at the 6-year follow-up, and 12 (36%) remained untreated during the
whole 13-year follow-up. Dropouts were due mainly to death. Seven individuals
(21%) started treatment during the follow-up and had larger polyps and more
subjective respiratory symptoms at baseline compared to the untreated group.
CONCLUSION: Nasal polyps found occasionally are often part of a chronic entity
but do not necessarily evolve into a more serious condition over time. Treatment
should therefore be based on the patients' subjective problems.
PMID- 27189155
TI - The role of insulin pump therapy for type 2 diabetes mellitus.
AB - Many patients with type 2 diabetes fail to achieve adequate glucose control
despite escalation of treatment and combinations of multiple therapies including
insulin. Patients with long-standing type 2 diabetes often suffer from the
combination of severe insulin deficiency in addition to insulin resistance,
thereby requiring high doses of insulin delivered in multiple injections to
attain adequate glycemic control. Insulin-pump therapy was first introduced in
the 1970s as an approach to mimic physiological insulin delivery and attain
normal glucose in patients with type 1 diabetes. The recent years have seen an
increase in the use of this technology for patients with type 2 diabetes. This
article summarizes the clinical studies evaluating insulin pump use in patients
with type 2 diabetes and discusses the benefits and shortcomings of pump therapy
in this population. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27189154
TI - Access to Health Care and Hearing Evaluation in US Adults.
AB - OBJECTIVES: To explore self-reported hearing testing access for adults in a
nationally representative survey. METHODS: Demographic and audiologic adult
survey respondent variables in the National Health and Nutrition Examination
Survey (NHANES) database 2011-2012 cohort were examined. Logistic regression was
used to determine odds ratios (OR) and 95% confidence intervals (CI). RESULTS: In
all, 5864 adult respondents were analyzed. Two-thirds (65.6%) of respondents
reported having hearing tested 10 or more years ago or never tested at all. Male
gender (OR = 2.27; 95% CI, 1.31-3.94), having a health care visit less than 3
years ago (OR = 8.19; 95% CI, 2.09-32.2), and having health insurance (OR = 1.73;
95% CI, 1.08-2.77) were significantly associated with respondents reporting
having a hearing test less than 10 years ago. Mexican American race (OR = 0.41;
95% CI, 0.20-0.83) and respondent age 40 to 59 (OR = 0.52; 95% CI, 0.33-0.81)
were significantly associated with respondents reporting having a hearing test 10
or more years prior or never. CONCLUSION: A significant proportion of the adult
population reports having hearing tested 10 or more years prior or never at all.
Effort will be required to identify adults who have hearing loss and may benefit
from auditory rehabilitation such as hearing aids or the cochlear implant.
PMID- 27189156
TI - Cryoballoon ablation for pulmonary vein isolation in patients with atrial
fibrillation: preliminary results using novel short-tip cryoballoon.
AB - PURPOSE: In this study, we aimed to report our preliminary experience regarding
the impact of the novel short-tip (ST) cryoballoon (CB) on procedural efficacy
and signal quality during pulmonary vein (PV) isolation for both paroxysmal and
persistent atrial fibrillation. METHODS: Between March, 2015, and August, 2015,
we enrolled a total of 64 patients (47 patients male, 73 %) with a mean age of 60
+/- 11 years. In the study population, 31 patients (48 %) underwent PVI using
Advance (ADV) CB and 33 (52 %) patients with ST CB. In all patients, a 28-mm
balloon was used. RESULTS: Acute procedural success rates were 100 % for the
entire study population. A statistically insignificant increase in the percentage
of PV signal recordings was observed with ST CB in all PVs compared to ADV CB [88
vs. 81 % for left superior PV (LSPV), 82 vs. 78 % for left inferior PV (LIPV), 85
vs. 84 % for right superior PV (RSPV), 82 vs. 71 % for right inferior PV (RIPV),
p < 0.05]. Additionally, the difference in minimum temperature reached during the
procedure per PV was not statistically significant between ST CB and ADV CB
except the LIPV (LSPV -44.2 +/- 5.9 vs. -45.6 +/- 5.3 degrees C, p = 0.970; LIPV
-38.7 +/- 4.6 degrees C vs -44.6 +/- 6.8 degrees C, p < 0.001; RSPV -45.6 +/-
7.4 degrees C vs.-47.2 +/- 6.1 degrees C, p = 0.168; RIPV -41.4 +/- 5.1
degrees C vs.-43.7 +/- 6.3 degrees C, p = 0.360). Time to isolation for each PV
was similar between ST and ADV CB (p > 0.05). CONCLUSION: Our preliminary
findings indicated similar acute procedural success for the novel ST CB compared
with second generation ADV CB. The increase in the ratio of PV signal recordings
obtained during the PVI using the novel ST CB was not significant.
PMID- 27189157
TI - Steerable versus non-steerable sheaths during pulmonary vein isolation: impact of
left atrial enlargement on the catheter-tissue contact force.
AB - PURPOSE: The influence of left atrial (LA) enlargement on the efficacy of
steerable sheath usage during pulmonary vein (PV) isolation is poorly understood.
Here, we compared the catheter-tissue contact force (CF) and clinical outcomes
between steerable and non-steerable sheaths among patients with different
severities of LA enlargement. METHODS: In this prospective observational study,
90 consecutive atrial fibrillation patients scheduled for PV isolation
(paroxysmal, 67 %) were enrolled and divided into tertiles of the LA volume (LA1,
<124 cm3; LA2, 124 to 145 cm3; LA3, >=146 cm3). An ipsilateral PV isolation was
completed using either a steerable (n = 57) or non-steerable sheath (n = 33).
RESULTS: The average CF of each ipsilateral PV was lower in the LA3 group (right
13.8 +/- 5.8 g; left 9.7 +/- 5.2 g) than LA1 (right 15.6 +/- 6.8 g, p = 0.005;
left 12.5 +/- 5.6 g, p < 0.0001) or LA2 (right 15.2 +/- 7.3 g, p = 0.04; left
12.6 +/- 5.3 g, p < 0.0001) groups. In total, the average CF around the left PVs
was significantly higher with steerable sheaths than without (12.7 +/- 5.5 vs.
10.2 +/- 4.9 g, p = 0.03). Subgroup analysis revealed that a tendency toward
higher CF around the left PVs was observed in the LA3 group (12.3 +/- 7.3 vs. 8.5
+/- 3.9 g, p = 0.08) but was not in the LA1 and LA2 groups. Further, in the LA3
group, steerable sheath usage showed better clinical outcomes: shorter procedural
(55 +/- 29 min vs. 81 +/- 39 min, p = 0.04) and fluoroscopic times (27 +/- 11 min
vs. 37 +/- 17 min, p = 0.045) and a tendency toward a lower 1-year AF recurrence
rate (39 vs. 12 %, p = 0.09). CONCLUSIONS: The beneficial effects of using
steerable sheaths were more prominent in patients with severe LA enlargement.
PMID- 27189158
TI - Pseudoruegeria aestuarii sp. nov., of the family Rhodobacteraceae, isolated from
a tidal flat.
AB - A Gram-stain-negative, non-motile, aerobic and rod-shaped bacterium, designated
strain MME-001T, was isolated from the tidal flat of Muui-do in the Republic of
Korea. Phylogenetic trees based on the 16S rRNA gene sequence showed that strain
MME-001T belonged to the genus Pseudoruegeria in the family Rhodobacteraceae and
that it shared the highest 16S rRNA gene sequence similarity with Pseudoruegeria
sabulilitoris GJMS-35T (98.0 % similarity of the 16S rRNA gene). Growth of strain
MME-001T occurred in the presence of 1.0-7.0 % (w/v) NaCl at 15-40 degrees C and
pH 7.0-9.0, with optimal growth in the presence of 2.0-3.0 % (w/v) NaCl at 25-30
degrees C and pH 7.0. Ubiquinone-10 was the major respiratory quinone. Major
polar lipids were phosphatidylcholine, phosphatidylethanolamine,
phosphatidylglycerol and an unidentified lipid. The major cellular fatty acids
were C18 : 1omega7c and C16 : 0. The genomic DNA G+C content was 62 mol%. DNA-DNA
hybridization values between strain MME-001T and P. sabulilitoris KCTC 42111T,
'Pseudoruegeria limi' KCTC 32460, Pseudoruegeria lutimaris KCTC 22690T,
Pseudoruegeria aquimaris KCTC 12737T and Pseudoruegeriahaliotis KACC 17214T was
36+/-5, 57+/-7, 34+/-4, 18+/-5 and 21+/-3 %, respectively. Based on the
phenotypic and phylogenetic taxonomical properties, this strain MME-001T
represents a novel species of the genus Pseudoruegeria, for which the name
Pseudoruegeria aestuarii sp. nov. is proposed. The type strain is MME-001T (=KCCM
43133T=JCM 30751T).
PMID- 27189159
TI - Lower functional connectivity of default mode network in cognitively normal young
adults with mutation of APP, presenilins and APOE epsilon4.
AB - In this study, we used resting-state functional magnetic resonance imaging to
explore the genetic effects of amyloid precursor protein (APP) or presenilins
mutation and apolipoprotein E (APOE) epsilon4 on the default-mode network (DMN)
in cognitively intact young adults (24.1 +/- 2.5 years). Both the APP or
presenilin-1/2 group and the APOE epsilon4 group had significantly lower DMN
functional connectivity (FC) in the some brain regions like precuneus/middle
cingulate cortices (PCu/MCC) than controls (AlphaSim corrected, P < 0.05). Only a
lower FC tendency was demonstrated (control < APOE epsilon4 < APP or presenilin
1/2 group). Moreover, lower FC in PCu/MCC is correlated with some
neuropsychological assessments such as similarity test in APOE epsilon4 group.
These findings indicate that DMN FC alteration in APP or presenilin-1/2 or APOE
epsilon4 subjects is prior to the occurrence of neurological alterations and
clinical symptoms, and DMN FC might be a valuable biomarker to detect genetic
risk in the preclinical stage.
PMID- 27189161
TI - RNA-Seq following PCR-based sorting reveals rare cell transcriptional signatures.
AB - BACKGROUND: Rare cell subtypes can profoundly impact the course of human health
and disease, yet their presence within a sample is often missed with bulk
molecular analysis. Single-cell analysis tools such as FACS, FISH-FC and single
cell barcode-based sequencing can investigate cellular heterogeneity; however,
they have significant limitations that impede their ability to identify and
transcriptionally characterize many rare cell subpopulations. RESULTS: PCR
activated cell sorting (PACS) is a novel cytometry method that uses single-cell
TaqMan PCR reactions performed in microfluidic droplets to identify and isolate
cell subtypes with high-throughput. Here, we extend this method and demonstrate
that PACS enables high-dimensional molecular profiling on TaqMan-targeted cells.
Using a random priming RNA-Seq strategy, we obtained high-fidelity transcriptome
measurements following PACS sorting of prostate cancer cells from a heterogeneous
population. The sequencing data revealed prostate cancer gene expression profiles
that were obscured in the unsorted populations. Single-cell expression analysis
with PACS was subsequently used to confirm a number of the differentially
expressed genes identified with RNA sequencing. CONCLUSIONS: PACS requires
minimal sample processing, uses readily available TaqMan assays and can isolate
cell subtypes with high sensitivity. We have now validated a method for
performing next-generation sequencing on mRNA obtained from PACS isolated cells.
This capability makes PACS well suited for transcriptional profiling of rare
cells from complex populations to obtain maximal biological insight into cell
states and behaviors.
PMID- 27189160
TI - Diagnostic, prognostic and predictive value of cell-free miRNAs in prostate
cancer: a systematic review.
AB - Prostate cancer, the second most frequently diagnosed cancer in males worldwide,
is estimated to be diagnosed in 1.1 million men per year. Introduction of PSA
testing substantially improved early detection of prostate cancer, however it
also led to overdiagnosis and subsequent overtreatment of patients with an
indolent disease. Treatment outcome and management of prostate cancer could be
improved by the development of non-invasive biomarker assays that aid in
increasing the sensitivity and specificity of prostate cancer screening, help to
distinguish aggressive from indolent disease and guide therapeutic decisions.
Prostate cancer cells release miRNAs into the bloodstream, where they exist
incorporated into ribonucleoprotein complexes or extracellular vesicles. Later,
cell-free miRNAs have been found in various other biofluids. The initial RNA
sequencing studies suggested that most of the circulating cell-free miRNAs in
healthy individuals are derived from blood cells, while specific disease
associated miRNA signatures may appear in the circulation of patients affected
with various diseases, including cancer. This raised a hope that cell-free miRNAs
may serve as non-invasive biomarkers for prostate cancer. Indeed, a number of
cell-free miRNAs that potentially may serve as diagnostic, prognostic or
predictive biomarkers have been discovered in blood or other biofluids of
prostate cancer patients and need to be validated in appropriately designed
longitudinal studies and clinical trials. In this review, we systematically
summarise studies investigating cell-free miRNAs in biofluids of prostate cancer
patients and discuss the utility of the identified biomarkers in various clinical
scenarios. Furthermore, we discuss the possible mechanisms of miRNA release into
biofluids and outline the biological questions and technical challenges that have
arisen from these studies.
PMID- 27189162
TI - Tumor-Infiltrating Lymphocytes: A Predictive and Prognostic Biomarker in
Neoadjuvant-Treated HER2-Positive Breast Cancer.
AB - PURPOSE: We elucidated the value of tumor-infiltrating lymphocytes (TIL) as an
independent predictor for pathologic complete response (pCR) rate and as a
prognostic marker for disease-free survival (DFS) in patients with HER2-positive
breast cancer in the neoadjuvant setting. EXPERIMENTAL DESIGN: We evaluated
stromal TILs in 498 HER2-positive breast cancer samples of the neoadjuvant
GeparQuattro (G4) and GeparQuinto (G5) trials. Levels of TILs were determined as
a continuous parameter per 10% increase and as lymphocyte-predominant breast
cancer (LPBC; >= 60% TILs), and correlated with pCR rate and DFS. RESULTS: In the
complete cohort, HER2-positive LPBC cases had a significantly increased pCR rates
compared with non-LPBC types. They were significant predictors for pCR in
univariate (10% TILs: OR 1.12, P = 0.002; LPBC: OR 2.02, P = 0.002) and
multivariate analyses (10% TILs: OR 1.1, P = 0.014; LPBC: OR 1.87, P = 0.009).
This effect was also detectable in the trastuzumab-treated (10% TILs: OR 1.12, P
= 0.018; LPBC: OR 2.08, P = 0.013) but not in the lapatinib-treated subgroup. We
identified a low-risk (pCR/LPBC) and a high-risk group (no pCR/no LPBC) regarding
DFS. In triple-positive breast cancer, TILs are of more prognostic relevance than
pCR. CONCLUSIONS: We could demonstrate the predictive and prognostic impact of
TILs in HER2-positive breast cancer in the neoadjuvant setting. In combination
with pCR rate, TILs may help to stratify prognostic subgroups, thereby guiding
future therapy decisions. Clin Cancer Res; 22(23); 5747-54. (c)2016 AACR.
PMID- 27189163
TI - The Effect of Hepatic Impairment on Outcomes in Phase I Clinical Trials in Cancer
Subjects.
AB - PURPOSE: The NCI Cancer Therapy Evaluation Program sponsors hepatic dysfunction
phase I clinical trials (HDCT) and phase 1 clinical trials (P1CT) to determine
safe doses and schedules of antineoplastic therapeutics. We sought to compare
clinical outcomes between these trial types while stratifying by hepatotoxic
agents. EXPERIMENTAL DESIGN: Individual subject data were extracted from the
records of 51 NCI-sponsored HDCT and P1CT. The NCI's Organ Dysfunction Working
Group's hepatic impairment categorization and two drug-induced liver injury
(DILI) scales (FDA R ratio and Hy's law) were used to classify subjects. The
number of cycles administered and treatment discontinuation reason were also
evaluated and compared between groups. RESULTS: There were 513 and 1,328 subjects
treated on HDCT (n = 9) and P1CT (n = 42), respectively. There were differing
patterns of DILI with significant worsening of total bilirubin in subjects on
HDCT, and worsening of alanine aminotransferase (ALT) in subjects on P1CT.
Cholestatic peak patterns of liver impairment (predominant increases in alkaline
phosphatase rather than transaminases) were more frequent in HDCT. Criteria for
Hy's law were met by 11 subjects on P1CT, but not by any subjects on HDCT.
Disease progression was the most common reason for treatment discontinuation,
followed by adverse events at similar frequencies in both HDCT and P1CT.
CONCLUSIONS: The differential effects on hepatotoxicity suggest that underlying
hepatic function may affect susceptibility to and patterns of DILI. The
incorporation of additional measures of hepatic function may help identify those
at highest risk of hepatotoxicity in future trials because baseline liver tests
did not. Clin Cancer Res; 22(22); 5472-9. (c)2016 AACR.
PMID- 27189164
TI - Biased Expression of the FOXP3Delta3 Isoform in Aggressive Bladder Cancer
Mediates Differentiation and Cisplatin Chemotherapy Resistance.
AB - PURPOSE: The transcriptional regulation mediating cancer cell differentiation
into distinct molecular subtypes and modulating sensitivity to existing
treatments is an enticing therapeutic target. Our objective was to characterize
the ability of the forkhead/winged transcription factor FOXP3 to modulate the
differentiation of bladder cancer. EXPERIMENTAL DESIGN: Expression of FOXP3 was
analyzed by immunohistochemistry in a tumor microarray of 587 samples and overall
survival in a subset of 187 patients following radical cystectomy. Functional
assays were performed in SW780 and HT1376 cell lines in vitro and in vivo and
gene expression profiling performed by RNA-Seq. Validation was undertaken using
gene expression profiles of 131 patients from The Cancer Genome Atlas (TCGA)
consortium in bladder cancer. RESULTS: FOXP3 expression correlates with bladder
cancer stage and inversely with overall survival, with biased expression of the
FOXP3Delta3 isoform. Functional assays of FOXP3Delta3 demonstrated resistance to
chemotherapy in vitro, whereas subcutaneous xenografts overexpressing FOXP3Delta3
developed larger and more poorly differentiated bladder cancers. RNA expression
profiling revealed a unique FOXP3Delta3 gene signature supporting a role in
chemotherapy resistance. Accordingly, knockdown of Foxp3 by siRNA in HT1376 cells
conferred sensitivity to cisplatin- and gemcitabine-induced cytotoxicity.
Validation in TCGA dataset demonstrated increased expression of FOXP3 in subtypes
II to IV and skewing of molecular subtypes based on FOXP3Delta3-specific gene
expression. CONCLUSIONS: (i) Biased expression of the FOXP3Delta3 isoform in
bladder cancer inversely correlates with overall survival, (ii) FOXP3Delta3
induces a unique gene program that mediates cancer differentiation, and (iii)
FOXP3Delta3 may augment chemotherapy resistance. Clin Cancer Res; 22(21); 5349
61. (c)2016 AACR.
PMID- 27189165
TI - Characterization of CD33/CD3 Tetravalent Bispecific Tandem Diabodies (TandAbs)
for the Treatment of Acute Myeloid Leukemia.
AB - PURPOSE: Randomized studies with gemtuzumab ozogamicin have validated CD33 as a
target for antigen-specific immunotherapy of acute myelogenous leukemia (AML).
Here, we investigated the potential of CD33/CD3-directed tandem diabodies
(TandAbs) as novel treatment approach for AML. These tetravalent bispecific
antibodies provide two binding sites for each antigen to maintain the avidity of
a bivalent antibody and have a molecular weight exceeding the renal clearance
threshold, thus offering a longer half-life compared to smaller antibody
constructs. EXPERIMENTAL DESIGN: We constructed a series of TandAbs composed of
anti-CD33 and anti-CD3 variable domains of diverse binding affinities and
profiled their functional properties in CD33+ human leukemia cell lines,
xenograft models, and AML patient samples. RESULTS: Our studies demonstrated that
several CD33/CD3 TandAbs could induce potent, dose-dependent cytolysis of CD33+
AML cell lines. This effect was modulated by the effector-to-target cell ratio
and strictly required the presence of T cells. Activation and proliferation of T
cells and maximal AML cell cytolysis correlated with high avidity to both CD33
and CD3. High-avidity TandAbs were broadly active in primary specimens from
patients with newly diagnosed or relapsed/refractory AML in vitro, with cytotoxic
properties independent of CD33 receptor density and cytogenetic risk. Tumor
growth delay and inhibition were observed in both prophylactic and established HL
60 xenograft models in immunodeficient mice. CONCLUSIONS: Our data show high
efficacy of CD33/CD3 TandAbs in various preclinical models of human AML.
Together, these findings support further study of CD33/CD3 TandAbs as novel
immunotherapeutics for patients with AML. Clin Cancer Res; 22(23); 5829-38.
(c)2016 AACR.
PMID- 27189167
TI - Safe engineering of CAR T cells for adoptive cell therapy of cancer using long
term episomal gene transfer.
AB - Chimeric antigen receptor (CAR) T-cell therapy is a new successful treatment for
refractory B-cell leukemia. Successful therapeutic outcome depends on long-term
expression of CAR transgene in T cells, which is achieved by delivering transgene
using integrating gamma retrovirus (RV) or lentivirus (LV). However, uncontrolled
RV/LV integration in host cell genomes has the potential risk of causing
insertional mutagenesis. Herein, we describe a novel episomal long-term cell
engineering method using non-integrating lentiviral (NILV) vector containing a
scaffold/matrix attachment region (S/MAR) element, for either expression of
transgenes or silencing of target genes. The insertional events of this vector
into the genome of host cells are below detection level. CD19 CAR T cells
engineered with a NILV-S/MAR vector have similar levels of CAR expression as T
cells engineered with an integrating LV vector, even after numerous rounds of
cell division. NILV-S/MAR-engineered CD19 CAR T cells exhibited similar cytotoxic
capacity upon CD19(+) target cell recognition as LV-engineered T cells and are as
effective in controlling tumor growth in vivo We propose that NILV-S/MAR vectors
are superior to current options as they enable long-term transgene expression
without the risk of insertional mutagenesis and genotoxicity.
PMID- 27189166
TI - Circulating Neutrophil Extracellular Trap Levels in Well-Controlled Type 2
Diabetes and Pathway Involved in Their Formation Induced by High-Dose Glucose.
AB - OBJECTIVES: Although intensive therapy for type 2 diabetes (T2D) prevents
microvascular complications, 10% of well-controlled T2D patients develop
microangiopathy. Therefore, the identification of risk markers for microvascular
complications in well-controlled T2D patients is important. Recent studies have
demonstrated that high-dose glucose induces neutrophil extracellular trap (NET)
formation, which can be a risk for microvascular disorders. Thus, we attempted to
determine the correlation of circulating NET levels with clinical/laboratory
parameters in well-controlled T2D patients and to reveal the mechanism of NET
formation induced by high-dose glucose. METHODS: Circulating NET levels
represented by myeloperoxidase (MPO)-DNA complexes in the serum of 11 well
controlled T2D patients and 13 healthy volunteers were determined by enzyme
linked immunosorbent assay. The pathway involved in the NET formation induced by
high-dose glucose was determined using specific inhibitors. RESULTS: Serum MPO
DNA complex levels were significantly higher in some well-controlled T2D patients
in correlation with the clinical/laboratory parameters which have been regarded
as risk markers for microvascular complications. The aldose reductase inhibitor,
ranirestat, could inhibit the NET formation induced by high-dose glucose.
CONCLUSIONS: Elevated levels of circulating NETs can be a risk marker for
microvascular complications in well-controlled T2D patients. The polyol pathway
is involved in the NET formation induced by high-dose glucose.
PMID- 27189168
TI - The impact of genome-wide association studies on the pathophysiology and therapy
of cardiovascular disease.
AB - Cardiovascular diseases are leading causes for death worldwide. Genetic
disposition jointly with traditional risk factors precipitates their
manifestation. Whereas the implications of a positive family history for
individual risk have been known for a long time, only in the past few years have
genome-wide association studies (GWAS) shed light on the underlying genetic
variations. Here, we review these studies designed to increase our understanding
of the pathophysiology of cardiovascular diseases, particularly coronary artery
disease and myocardial infarction. We focus on the newly established pathways to
exemplify the translation from the identification of risk-related genetic
variants to new preventive and therapeutic strategies for cardiovascular disease.
PMID- 27189170
TI - Brain endothelial cell-targeted gene therapy of neurovascular disorders.
PMID- 27189169
TI - Smooth muscle FGF/TGFbeta cross talk regulates atherosclerosis progression.
AB - The conversion of vascular smooth muscle cells (SMCs) from contractile to
proliferative phenotype is thought to play an important role in atherosclerosis.
However, the contribution of this process to plaque growth has never been fully
defined. In this study, we show that activation of SMC TGFbeta signaling,
achieved by suppression of SMC fibroblast growth factor (FGF) signaling input,
induces their conversion to a contractile phenotype and dramatically reduces
atherosclerotic plaque size. The FGF/TGFbeta signaling cross talk was observed in
vitro and in vivo In vitro, inhibition of FGF signaling increased TGFbeta
activity, thereby promoting smooth muscle differentiation and decreasing
proliferation. In vivo, smooth muscle-specific knockout of an FGF receptor
adaptor Frs2alpha led to a profound inhibition of atherosclerotic plaque growth
when these animals were crossed on Apoe(-/-) background and subjected to a high
fat diet. In particular, there was a significant reduction in plaque cellularity,
increase in fibrous cap area, and decrease in necrotic core size. In agreement
with these findings, examination of human coronary arteries with various degrees
of atherosclerosis revealed a strong correlation between the activation of FGF
signaling, loss of TGFbeta activity, and increased disease severity. These
results identify SMC FGF/TGFbeta signaling cross talk as an important regulator
of SMC phenotype switch and document a major contribution of medial SMC
proliferation to atherosclerotic plaque growth.
PMID- 27189171
TI - Molecular probes for cardiovascular imaging.
AB - Molecular probes provide imaging signal and contrast for the visualization,
characterization, and measurement of biological processes at the molecular level.
These probes can be designed to target the cell or tissue of interest and must be
retained at the imaging site until they can be detected by the appropriate
imaging modality. In this article, we will discuss the basic design of molecular
probes, differences among the various types of probes, and general strategies for
their evaluation of cardiovascular disease.
PMID- 27189172
TI - Myocardial perfusion with single-photon emission computed tomography,
multidetector computed tomography, or neither?
PMID- 27189174
TI - Study design for non-recurring, time-to-event outcomes in the presence of error
prone diagnostic tests or self-reports.
AB - Sequentially administered, laboratory-based diagnostic tests or self-reported
questionnaires are often used to determine the occurrence of a silent event. In
this paper, we consider issues relevant in design of studies aimed at estimating
the association of one or more covariates with a non-recurring, time-to-event
outcome that is observed using a repeatedly administered, error-prone diagnostic
procedure. The problem is motivated by the Women's Health Initiative, in which
diabetes incidence among the approximately 160,000 women is obtained from
annually collected self-reported data. For settings of imperfect diagnostic tests
or self-reports with known sensitivity and specificity, we evaluate the effects
of various factors on resulting power and sample size calculations and compare
the relative efficiency of different study designs. The methods illustrated in
this paper are readily implemented using our freely available R software package
icensmis, which is available at the Comprehensive R Archive Network website. An
important special case is that when diagnostic procedures are perfect, they
result in interval-censored, time-to-event outcomes. The proposed methods are
applicable for the design of studies in which a time-to-event outcome is interval
censored. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27189173
TI - Novel strong promoter of antimicrobial peptides gene pro-SmAMP2 from chickweed
(Stellaria media).
AB - BACKGROUND: In a previous study we found that in chickweed the expression level
of the pro-SmAMP2 gene was comparable or even higher to that of the beta-actin
gene. This high level of the gene expression has attracted our attention as an
opportunity for the identification of novel strong promoters of plant origin,
which could find its application in plant biotechnology. Therefore, in the
present study we focused on the nucleotide sequence identification and the
functional characteristics of the pro-SmAMP2 promoter in transgenic plants.
RESULTS: In chickweed (Stellaria media), a 2120 bp promoter region of the pro
SmAMP2 gene encoding antifungal peptides was sequenced. Six 5'-deletion variants
2120, -1504, -1149, -822, -455, and -290 bp of pro-SmAMP2 gene promoter were
fused with the coding region of the reporter gene gusA in the plant expression
vector pCambia1381Z. Independent transgenic plants of tobacco Nicotiana tabacum
were obtained with each genetic structure. GUS protein activity assay in extracts
from transgenic plants showed that all deletion variants of the promoter, except
290 bp, expressed the gusA gene. In most transgenic plants, the GUS activity
level was comparable or higher than in plants with the viral promoter CaMV 35S.
GUS activity remains high in progenies and its level correlates positively with
the amount of gusA gene mRNA in T3 homozygous plants. The activity of the rro
SmAMP2 promoter was detected in all organs of the transgenic plants studied,
during meiosis and in pollen as well. CONCLUSION: Our results show that the rro
SmAMP2 promoter can be used for target genes expression control in transgenic
plants.
PMID- 27189176
TI - Five minutes with . . . David Field, coauthor of perinatal mortality report.
PMID- 27189177
TI - IgA nephropathy.
AB - Globally, IgA nephropathy (IgAN) is the most common primary glomerulonephritis
that can progress to renal failure. The exact pathogenesis of IgAN is not well
defined, but current biochemical and genetic data implicate overproduction of
aberrantly glycosylated IgA1. These aberrant immunoglobulins are characterized by
galactose deficiency of some hinge-region O-linked glycans. However, aberrant
glycosylation alone is insufficient to induce renal injury: the participation of
glycan-specific IgA and IgG autoantibodies that recognize the undergalactosylated
IgA1 molecule is required. Glomerular deposits of immune complexes containing
undergalactosylated IgA1 activate mesangial cells, leading to the local
overproduction of cytokines, chemokines and complement. Emerging data indicate
that mesangial-derived mediators that are released following mesangial deposition
of IgA1 lead to podocyte and tubulointerstitial injury via humoral crosstalk.
Patients can present with a range of signs and symptoms, from asymptomatic
microscopic haematuria to macroscopic haematuria. The clinical progression
varies, with 30-40% of patients reaching end-stage renal disease 20-30 years
after the first clinical presentation. Currently, no IgAN-specific therapies are
available and patients are managed with the aim of controlling blood pressure and
maintaining renal function. However, new therapeutic approaches are being
developed, building upon our ever-improving understanding of disease
pathogenesis.
PMID- 27189175
TI - Mitochondrial dysfunction in inflammatory responses and cellular senescence:
pathogenesis and pharmacological targets for chronic lung diseases.
AB - Mitochondria are dynamic organelles, which couple the various cellular processes
that regulate metabolism, cell proliferation and survival. Environmental stress
can cause mitochondrial dysfunction and dynamic changes including reduced
mitochondrial biogenesis, oxidative phosphorylation and ATP production, as well
as mitophagy impairment, which leads to increased ROS, inflammatory responses and
cellular senescence. Oxidative stress, inflammation and cellular senescence all
have important roles in the pathogenesis of chronic lung diseases, such as
chronic obstructive pulmonary disease, pulmonary fibrosis and bronchopulmonary
dysplasia. In this review, we discuss the current state on how mitochondrial
dysfunction affects inflammatory responses and cellular senescence, the
mechanisms of mitochondrial dysfunction underlying the pathogenesis of chronic
lung diseases and the potential of mitochondrial transfer and replacement as
treatments for these diseases.
PMID- 27189178
TI - Two HAP2-GCS1 homologs responsible for gamete interactions in the cellular slime
mold with multiple mating types: Implication for common mechanisms of sexual
reproduction shared by plants and protozoa and for male-female differentiation.
AB - Fertilization is a central event in sexual reproduction, and understanding its
molecular mechanisms has both basic and applicative biological importance. Recent
studies have uncovered the molecules that mediate this process in a variety of
organisms, making it intriguing to consider conservation and evolution of the
mechanisms of sexual reproduction across phyla. The social amoeba Dictyostelium
discoideum undergoes sexual maturation and forms gametes under dark and humid
conditions. It exhibits three mating types, type-I, -II, and -III, for the
heterothallic mating system. Based on proteome analyses of the gamete membranes,
we detected expression of two homologs of the plant fertilization protein HAP2
GCS1. When their coding genes were disrupted in type-I and type-II strains,
sexual potency was completely lost, whereas disruption in the type-III strain did
not affect mating behavior, suggesting that the latter acts as female in complex
organisms. Our results demonstrate the highly conserved function of HAP2-GCS1 in
gamete interactions and suggest the presence of additional allo-recognition
mechanisms in D. discoideum gametes.
PMID- 27189179
TI - Sirt6 cooperates with Blimp1 to positively regulate osteoclast differentiation.
AB - Global deletion of the gene encoding a nuclear histone deacetylase sirtuin 6
(Sirt6) in mice leads to osteopenia with a low bone turnover due to impaired bone
formation. But whether Sirt6 regulates osteoclast differentiation is less clear.
Here we show that Sirt6 functions as a transcriptional regulator to directly
repress anti-osteoclastogenic gene expression. Targeted ablation of Sirt6 in
hematopoietic cells including osteoclast precursors resulted in increased bone
volume caused by a decreased number of osteoclasts. Overexpression of Sirt6 led
to an increase in osteoclast formation, and Sirt6-deficient osteoclast precursor
cells did not undergo osteoclast differentiation efficiently. Moreover, we showed
that Sirt6, induced by RANKL-dependent NFATc1 expression, forms a complex with B
lymphocyte-induced maturation protein-1 (Blimp1) to negatively regulate
expression of anti-osteoclastogenic gene such as Mafb. These findings identify
Sirt6 as a novel regulator of osteoclastogenesis by acting as a transcriptional
repressor.
PMID- 27189182
TI - Contrasting movement strategies among juvenile albatrosses and petrels.
AB - Animal movement is a fundamental eco-evolutionary process yet the behaviour of
juvenile animals is largely unknown for many species, especially for soaring
seabirds which can range widely over the oceans at low cost. We present an
unprecedented dataset of 98 juvenile albatrosses and petrels (nine species),
tracked for the first three months after independence. There was a startling
diversity within and among species in the type and scale of post-natal movement
strategies, ranging from area-restricted to nomadic patterns. Spatial scales were
clustered in three groups that ranged from <3000 km to >6000 km from the natal
nest. In seven of the nine species, the orientation of flight paths and other
movement statistics showed strong similarities between juveniles and adults,
providing evidence for innate orientation abilities. Our results have
implications for understanding the development of foraging behaviour in naive
individuals and the evolution of life history traits such as survival, lifespan
and breeding strategy.
PMID- 27189181
TI - Percutaneous MR-Guided Cryoablation of Morton's Neuroma: Rationale and Technical
Details After the First 20 Patients.
AB - OBJECTIVES: The purpose of this study is to discuss technical aspects and
rationales of magnetic resonance (MR)-guided cryoablation (CA) of Morton's
neuroma (MN); preliminary clinical experience is also retrospectively reviewed.
METHODS: Procedures were performed under local anaesthesia on an outpatient
basis. Lesion size and location, procedural (technical success, procedural time,
complications) and clinical outcomes (patient satisfaction according to a four
point scale, residual pain according to a 0-10 visual analogue scale and
instances of "stump neuroma") were assessed via chart review and cross-sectional
telephone survey after the 20th case. RESULTS: Twenty patients (15 female, 5
male; mean age 50.3 years) were included; 24 MN (mean size 12.7 mm) were treated.
Technical success was 100 %. Mean procedural time was 40.9 +/- 10.4 min (range 35
60). One minor complication (superficial cellulitis) was reported (4.2 %). Follow
up (mean 19.7 months) was available for 18/24 MN. Patient satisfaction on a per
lesion basis was as follows: "completely satisfied" in 77.7 %, "satisfied with
minor reservations" in 16.6 % and "satisfied with major reservations" in 5.7 % of
cases. Mean pain score at last follow-up post-CA was 3.0. No instances of "stump
neuroma" were reported. CONCLUSIONS: MR-guided CA of MN is a novel therapy which
appears technically feasible. Clinical advantages of the procedure are high
patient satisfaction, reduced risk of "stump neuroma" syndrome and good patient
tolerance on an outpatient basis. Further, prospective studies are needed to
confirm these encouraging results.
PMID- 27189183
TI - Controlling electronic effects and intermolecular packing in contorted
polyaromatic hydrocarbons (c-PAHs): towards high mobility field effect
transistors.
AB - We have investigated the electronic and charge transport properties of two
regioisomeric contorted polyaromatic hydrocarbons at the molecular level as well
as in the crystalline state. Electron and hole transport is studied on the basis
of an incoherent charge hopping model through DFT calculations. For trifluro
dibenzoperylene (CF3-DBP, ), which crystallizes as a herringbone network, the
computed drift hole and electron mobilities are 0.234 and 0.008 cm(2) V(-1) S(
1), respectively. The greater hole mobility in the DBP crystal (MUh/MUe = 29) can
be rationalized by its lower hole reorganization energy and higher hole transfer
integral simultaneously. These calculations for the pristine DBP crystal differ
from recent experiments indicating its preferential electron conductivity. This
might be attributed to the interaction of the molecules with the gold
source/drain electrodes. Its second regioisomer, , having a HOMO-LUMO gap of 3.2
eV and thus expectedly inefficient, can be converted into an effective OFET
material by replacing the Ph-CF3 groups by oxo groups (>C[double bond, length as
m-dash]O) in the 9 and 10 positions (9,10-dioxotribenzopyrene, ). has a suitable
HOMO-LUMO gap of 2.18 eV. This bowl-shaped molecule is predicted to pack in a
stacked orientation with preferential concaveconcave pairs having a short
intermolecular distance of 4.15 A and identical inter-chromophoric electron/hole
coupling (th ~ te). This creates an ambipolar charge transport behavior in .
Clearly, fine tuning the structure-property relationship opens up the possibility
of implanting tailored OFET properties in the existing library of molecules.
PMID- 27189184
TI - Internal conversion and intersystem crossing pathways in UV excited, isolated
uracils and their implications in prebiotic chemistry.
AB - The photodynamic properties of molecules determine their ability to survive in
harsh radiation environments. As such, the photostability of heterocyclic
aromatic compounds to electromagnetic radiation is expected to have been one of
the selection pressures influencing the prebiotic chemistry on early Earth. In
the present study, the gas-phase photodynamics of uracil, 5-methyluracil
(thymine) and 2-thiouracil-three heterocyclic compounds thought to be present
during this era-are assessed in the context of their recently proposed
intersystem crossing pathways that compete with internal conversion to the ground
state. Specifically, time-resolved photoelectron spectroscopy measurements
evidence femtosecond to picosecond timescales for relaxation of the singlet
(1)pipi* and (1)npi* states as well as for intersystem crossing to the triplet
manifold. Trapping in the excited triplet state and intersystem crossing back to
the ground state are investigated as potential factors contributing to the
susceptibility of these molecules to ultraviolet photodamage.
PMID- 27189180
TI - Effectiveness of a strategy that uses educational games to implement clinical
practice guidelines among Spanish residents of family and community medicine (e
EDUCAGUIA project): a clinical trial by clusters.
AB - BACKGROUND: Clinical practice guidelines (CPGs) have been developed with the aim
of helping health professionals, patients, and caregivers make decisions about
their health care, using the best available evidence. In many cases,
incorporation of these recommendations into clinical practice also implies a need
for changes in routine clinical practice. Using educational games as a strategy
for implementing recommendations among health professionals has been demonstrated
to be effective in some studies; however, evidence is still scarce. The primary
objective of this study is to assess the effectiveness of a teaching strategy for
the implementation of CPGs using educational games (e-learning EDUCAGUIA) to
improve knowledge and skills related to clinical decision-making by residents in
family medicine. The primary objective will be evaluated at 1 and 6 months after
the intervention. The secondary objectives are to identify barriers and
facilitators for the use of guidelines by residents of family medicine and to
describe the educational strategies used by Spanish teaching units of family and
community medicine to encourage implementation of CPGs. METHODS/DESIGN: We
propose a multicenter clinical trial with randomized allocation by clusters of
family and community medicine teaching units in Spain. The sample size will be
394 residents (197 in each group), with the teaching units as the randomization
unit and the residents comprising the analysis unit. For the intervention, both
groups will receive an initial 1-h session on clinical practice guideline use and
the usual dissemination strategy by e-mail. The intervention group (e-learning
EDUCAGUIA) strategy will consist of educational games with hypothetical clinical
scenarios in a virtual environment. The primary outcome will be the score
obtained by the residents on evaluation questionnaires for each clinical practice
guideline. Other included variables will be the sociodemographic and training
variables of the residents and the teaching unit characteristics. The statistical
analysis will consist of a descriptive analysis of variables and a baseline
comparison of both groups. For the primary outcome analysis, an average score
comparison of hypothetical scenario questionnaires between the EDUCAGUIA
intervention group and the control group will be performed at 1 and 6 months post
intervention, using 95 % confidence intervals. A linear multilevel regression
will be used to adjust the model. DISCUSSION: The identification of effective
teaching strategies will facilitate the incorporation of available knowledge into
clinical practice that could eventually improve patient outcomes. The inclusion
of information technologies as teaching tools permits greater learning autonomy
and allows deeper instructor participation in the monitoring and supervision of
residents. The long-term impact of this strategy is unknown; however, because it
is aimed at professionals undergoing training and it addresses prevalent health
problems, a small effect can be of great relevance. TRIAL REGISTRATION:
ClinicalTrials.gov: NCT02210442 .
PMID- 27189186
TI - Can ulipristal acetate be a treatment option for patients with menorrhagia and a
history of ischemic cerebrovascular accident?
PMID- 27189185
TI - In vitro and in vivo brain-targeting chemo-photothermal therapy using graphene
oxide conjugated with transferrin for Gliomas.
AB - Current therapies for treating malignant glioma exhibit low therapeutic
efficiency because of strong systemic side effects and poor transport across the
blood brain barrier (BBB). Herein, we combined targeted chemo-photothermal glioma
therapy with a novel multifunctional drug delivery system to overcome these
issues. Drug carrier transferrin-conjugated PEGylated nanoscale graphene oxide
(TPG) was successfully synthesized and characterized. When loaded on the proposed
TPG-based drug delivery (TPGD) system, the anticancer drug doxorubicin could pass
through the BBB and improve drug accumulation both in vitro and in vivo. TPGD was
found to perform dual functions in chemotherapy and photothermal therapy.
Targeted TPGD combination therapy showed higher rates of glioma cell death and
prolonged survival of glioma-bearing rats compared with single doxorubicin or PGD
therapy. In conclusion, we developed a potential nanoscale drug delivery system
for combined therapy of glioma that can effectively decrease side effects and
improve therapeutic effects.
PMID- 27189187
TI - Structure of human Cdc45 and implications for CMG helicase function.
AB - Cell division cycle protein 45 (Cdc45) is required for DNA synthesis during
genome duplication, as a component of the Cdc45-MCM-GINS (CMG) helicase. Despite
its essential biological function, its biochemical role in DNA replication has
remained elusive. Here we report the 2.1-A crystal structure of human Cdc45,
which confirms its evolutionary link with the bacterial RecJ nuclease and reveals
several unexpected features that underpin its function in eukaryotic DNA
replication. These include a long-range interaction between N- and C-terminal DHH
domains, blocking access to the DNA-binding groove of its RecJ-like fold, and a
helical insertion in its N-terminal DHH domain, which appears poised for
replisome interactions. In combination with available electron microscopy data,
we validate by mutational analysis the mechanism of Cdc45 association with the
MCM ring and GINS co-activator, critical for CMG assembly. These findings provide
an indispensable molecular basis to rationalize the essential role of Cdc45 in
genomic duplication.
PMID- 27189188
TI - Excessive training impairs the insulin signal transduction in mice skeletal
muscles.
AB - The main aim of this investigation was to verify the effects of overtraining (OT)
on the insulin and inflammatory signaling pathways in mice skeletal muscles.
Rodents were divided into control (CT), overtrained by downhill running
(OTR/down), overtrained by uphill running (OTR/up), and overtrained by running
without inclination (OTR) groups. Rotarod, incremental load, exhaustive, and grip
force tests were used to evaluate performance. Thirty-six hours after the grip
force test, the extensor digitorum longus (EDL) and soleus were extracted for
subsequent protein analyses. The three OT protocols led to similar responses of
all performance evaluation tests. The phosphorylation of insulin receptor beta
(pIRbeta; Tyr), protein kinase B (pAkt; Ser473), and the protein levels of plasma
membrane glucose transporter-4 (GLUT4) were lower in the EDL and soleus after the
OTR/down protocol and in the soleus after the OTR/up and OTR protocols. While the
pIRbeta was lower after the OTR/up and OTR protocols, the pAkt was higher after
the OTR/up in the EDL. The phosphorylation of IkappaB kinase alpha and beta
(pIKKalpha/beta; Ser180/181), stress-activated protein kinases/Jun amino-terminal
kinases (pSAPK-JNK; Thr183/Tyr185), factor nuclear kappa B (pNFkappaB p65;
Ser536), and insulin receptor substrate 1 (pIRS1; Ser307) were higher after the
OTR/down protocol, but were not altered after the two other OT protocols. In
summary, these data suggest that OT may lead to skeletal muscle insulin signaling
pathway impairment, regardless of the predominance of eccentric contractions,
although the insulin signal pathway impairment induced in OTR/up and OTR appeared
to be muscle fiber-type specific.
PMID- 27189189
TI - Early Stage Blood Purification for Paraquat Poisoning: A Multicenter
Retrospective Study.
AB - OBJECTIVES: To evaluate the efficacy of conservative treatment vs. hemoperfusion
(HP) vs. HP + continuous veno-venous hemofiltration (CVVH) for acute Paraquat
(PQ) poisoning. METHODS: This was a multicenter retrospective study of patients
with PQ poisoning between January 2013 and June 2014. Clinical data and PQ serum
levels were collected at baseline and after 24, 48, and 72 h of treatment.
RESULTS: Seventy-five, 65, and 43 underwent conservative treatment only
(conservative treatment group), conservative treatment + HP (HP group), and
conservative treatment + HP + CVVH (HP + CVVH group), respectively. PQ serum
levels decreased in all groups after 72 h of treatment (p < 0.001); meanwhile,
these values decreased faster in the HP and HP + CVVH groups compared with the
conservative treatment group. More importantly, PQ blood levels were
significantly lower in the HP + CVVH group compared with the HP group at 24 h (p
< 0.05). Sequential organ failure assessment (DeltaSOFA) values in the HP and HP
+ CVVH groups were significantly lower compared with that obtained for the
conservative treatment group (p < 0.05). The 60-day survival rates were 21.3,
43.1 and 46.5%, respectively. Multivariate analysis indicated that age, PQ dose,
admission PQ levels, and admission SOFA score were independently associated with
mortality. HP and HP + CVVH were protective factors. CONCLUSION: Early HP or HP +
CVVH after PQ poisoning could decrease PQ blood levels, alleviate organ damage,
and increase survival.
PMID- 27189190
TI - The evaluation of three treatments for plantar callus: a three-armed randomised,
comparative trial using biophysical outcome measures.
AB - BACKGROUND: Callus is one of the most common foot skin complaints experienced by
people of all ages. These painful and unsightly lesions often result in
disability. The 'gold standard' of treatment is scalpel debridement by a trained
specialist; however, people also seek over-the-counter remedies. There is a lack
of clinical evidence for the efficacy of such products, which makes selection by
patients and practitioners difficult. METHODS: This randomised, three-armed,
parallel, comparative trial aimed to test the efficacy of two home treatments for
plantar callus using novel, objective outcome measures (skin hydration using the
capacitance method; elasticity using negative pressure application; and surface
texture using imaging). Additional outcome measures were: size of callus, quality
of life (Foot Health Status Questionnaire) and self-reported participant
satisfaction and compliance. The results were compared to a podiatry treatment.
Participants were randomly allocated to one of three groups: potassium hydroxide
(KOH, 40 %); trichloroacetic acid (TCA); and podiatry treatment. Participants
were followed for 3 weeks after their initial intervention appointment (days 7,
14 and 21). The primary outcomes were the change from baseline in callus
hydration, elasticity, texture, and size at each of the three time points. The
secondary outcomes where: change in quality of life 21 days after treatment;
resolution of calluses via visual inspection; and participant compliance and
perception. RESULTS: Forty-six participants (61 ft) with plantar calluses were
recruited. The podiatry treatment showed immediate and significant changes in all
objective outcomes, associated foot pain and function (p <0.01). Lesser changes
in skin quality and perceived pain and functional benefits occurred with TCA and
KOH over 21 days. CONCLUSIONS: This is the first study where objective outcome
measures have been used to measure changes in the nature of skin in response to
callus treatments. We found significant differences in plantar callus in response
to podiatry and two home treatments. The podiatry treatment showed immediate and
significant changes in skin and associated foot pain and function. Lesser, but
sometimes comparable, changes in skin and perceived pain and functional benefits
occurred with TCA and KOH over 21 days. TRIAL REGISTRATION: ISRCTN14751843 : date
of registration: 30 April 2015.
PMID- 27189193
TI - Grape powder attenuates the negative effects of GLP-1 receptor antagonism by
exendin-3 (9-39) in a normoglycemic mouse model.
AB - Prediabetes is a condition affecting 35% of US adults and about 50% of US adults
age 65+. Foods rich in polyphenols, including flavanols and other flavonoids,
have been studied for their putative beneficial effects on many different health
conditions including type 2 diabetes mellitus and prediabetes. Studies have shown
that some flavanols increase glucagon-like peptide 1 (GLP-1) secretion. GLP-1 is
a feeding hormone that increases insulin secretion after carbohydrate
consumption, and increased GLP-1 secretion may be responsible for some of the
beneficial effects on glycemic control after flavanol consumption. The present
study explored the effects of grape powder consumption on metrics of glycemic
health in normoglycemic and prediabetic C57BL/6J mice; additionally, the
mechanism of action of grape powder polyphenols was investigated. Grape powder
significantly reduced (p < 0.01) blood glucose levels following oral glucose
gavage after GLP-1 receptor antagonism by exendin-3 (9-39) compared to sugar
matched control, indicating that it was able to attenuate the hyperglycemic
effects of GLP-1 receptor antagonism. Grape powder was employed in acute (1.6 g
grape powder per kg bodyweight) and long-term high fat diet (grape powder
incorporated into treatment diets at 5% w/w) feeding studies in normoglycemic and
prediabetic (diet-induced obesity) mice; grape powder did not impove glycemic
control in these studies versus sugar-matched control. The mechanisms by which
grape powder ameliorates the deleterious effects of GLP-1 receptor antagonism
warrant further study.
PMID- 27189192
TI - Chitosan oligosaccharide induces resistance to Tobacco mosaic virus in
Arabidopsis via the salicylic acid-mediated signalling pathway.
AB - Chitosan is one of the most abundant carbohydrate biopolymers in the world, and
chitosan oligosaccharide (COS), which is prepared from chitosan, is a plant
immunity regulator. The present study aimed to validate the effect of COS on
inducing resistance to tobacco mosaic virus (TMV) in Arabidopsis and to
investigate the potential defence-related signalling pathways involved. Optimal
conditions for the induction of TMV resistance in Arabidopsis were COS
pretreatment at 50 mg/L for 1 day prior to inoculation with TMV. Multilevel
indices, including phenotype data, and TMV coat protein expression, revealed that
COS induced TMV resistance in wild-type and jasmonic acid pathway- deficient
(jar1) Arabidopsis plants, but not in salicylic acid pathway deficient (NahG)
Arabidopsis plants. Quantitative-PCR and analysis of phytohormone levels
confirmed that COS pretreatment enhanced the expression of the defence-related
gene PR1, which is a marker of salicylic acid signalling pathway, and increased
the amount of salicylic acid in WT and jar1, but not in NahG plants. Taken
together, these results confirm that COS induces TMV resistance in Arabidopsis
via activation of the salicylic acid signalling pathway.
PMID- 27189194
TI - Salvage of the proximal femur following pathological fractures involving benign
bone tumors.
PMID- 27189191
TI - Dietary patterns in the French adult population: a study from the second French
national cross-sectional dietary survey (INCA2) (2006-2007).
AB - Identification and characterisation of dietary patterns are needed to define
public health policies to promote better food behaviours. The aim of this study
was to identify the major dietary patterns in the French adult population and to
determine their main demographic, socio-economic, nutritional and environmental
characteristics. Dietary patterns were defined from food consumption data
collected in the second French national cross-sectional dietary survey (2006
2007). Non-negative-matrix factorisation method, followed by a cluster analysis,
was implemented to derive the dietary patterns. Logistic regressions were then
used to determine their main demographic and socio-economic characteristics.
Finally, nutritional profiles and contaminant exposure levels of dietary patterns
were compared using ANOVA. Seven dietary patterns, with specific food consumption
behaviours, were identified: 'Small eater', 'Health conscious', 'Mediterranean',
'Sweet and processed', 'Traditional', 'Snacker' and 'Basic consumer'. For
instance, the Health-conscious pattern was characterised by a high consumption of
low-fat and light products. Individuals belonging to this pattern were likely to
be older and to have a better nutritional profile than the overall population,
but were more exposed to many contaminants. Conversely, individuals of Snacker
pattern were likely to be younger, consumed more highly processed foods, had a
nutrient-poor profile but were exposed to a limited number of food contaminants.
The study identified main dietary patterns in the French adult population with
distinct food behaviours and specific demographic, socio-economic, nutritional
and environmental features. Paradoxically, for better dietary patterns, potential
health risks cannot be ruled out. Therefore, this study demonstrated the need to
conduct a risk-benefit analysis to define efficient public health policies
regarding diet.
PMID- 27189196
TI - Single Molecule Spectroscopy of Monomeric LHCII: Experiment and Theory.
AB - We derive approximate equations of motion for excited state dynamics of a
multilevel open quantum system weakly interacting with light to describe
fluorescence-detected single molecule spectra. Based on the Frenkel exciton
theory, we construct a model for the chlorophyll part of the LHCII complex of
higher plants and its interaction with previously proposed excitation quencher in
the form of the lutein molecule Lut 1. The resulting description is valid over a
broad range of timescales relevant for single molecule spectroscopy, i.e. from ps
to minutes. Validity of these equations is demonstrated by comparing simulations
of ensemble and single-molecule spectra of monomeric LHCII with experiments.
Using a conformational change of the LHCII protein as a switching mechanism, the
intensity and spectral time traces of individual LHCII complexes are simulated,
and the experimental statistical distributions are reproduced. Based on our
model, it is shown that with reasonable assumptions about its interaction with
chlorophylls, Lut 1 can act as an efficient fluorescence quencher in LHCII.
PMID- 27189195
TI - Development of a morphology-based modeling technique for tracking solid-body
displacements: examining the reliability of a potential MRI-only approach for
joint kinematics assessment.
AB - BACKGROUND: Single or biplanar video radiography and Roentgen
stereophotogrammetry (RSA) techniques used for the assessment of in-vivo joint
kinematics involves application of ionizing radiation, which is a limitation for
clinical research involving human subjects. To overcome this limitation, our long
term goal is to develop a magnetic resonance imaging (MRI)-only, three
dimensional (3-D) modeling technique that permits dynamic imaging of joint motion
in humans. Here, we present our initial findings, as well as reliability data,
for an MRI-only protocol and modeling technique. METHODS: We developed a
morphology-based motion-analysis technique that uses MRI of custom-built solid
body objects to animate and quantify experimental displacements between them. The
technique involved four major steps. First, the imaging volume was calibrated
using a custom-built grid. Second, 3-D models were segmented from axial scans of
two custom-built solid-body cubes. Third, these cubes were positioned at pre
determined relative displacements (translation and rotation) in the magnetic
resonance coil and scanned with a T1 and a fast contrast-enhanced pulse
sequences. The digital imaging and communications in medicine (DICOM) images were
then processed for animation. The fourth step involved importing these processed
images into an animation software, where they were displayed as background
scenes. In the same step, 3-D models of the cubes were imported into the
animation software, where the user manipulated the models to match their outlines
in the scene (rotoscoping) and registered the models into an anatomical joint
system. Measurements of displacements obtained from two different rotoscoping
sessions were tested for reliability using coefficient of variations (CV),
intraclass correlation coefficients (ICC), Bland-Altman plots, and Limits of
Agreement analyses. RESULTS: Between-session reliability was high for both the T1
and the contrast-enhanced sequences. Specifically, the average CVs for
translation were 4.31 % and 5.26 % for the two pulse sequences, respectively,
while the ICCs were 0.99 for both. For rotation measures, the CVs were 3.19 % and
2.44 % for the two pulse sequences with the ICCs being 0.98 and 0.97,
respectively. A novel biplanar imaging approach also yielded high reliability
with mean CVs of 2.66 % and 3.39 % for translation in the x- and z-planes,
respectively, and ICCs of 0.97 in both planes. CONCLUSIONS: This work provides
basic proof-of-concept for a reliable marker-less non-ionizing-radiation-based
quasi-dynamic motion quantification technique that can potentially be developed
into a tool for real-time joint kinematics analysis.
PMID- 27189199
TI - Control of corruption, democratic accountability, and effectiveness of HIV/AIDS
official development assistance.
AB - BACKGROUND: Despite continued global efforts, HIV/AIDS outcomes in developing
countries have not made much progress. Poor governance in recipient countries is
often seen as one of the reasons for ineffectiveness of aid efforts to achieve
stated objectives and desired outcomes. OBJECTIVE: This study examines the impact
of two important dimensions of governance - control of corruption and democratic
accountability - on the effectiveness of HIV/AIDS official development
assistance. DESIGN: An empirical analysis using dynamic panel Generalized Method
of Moments estimation was conducted on 2001-2010 datasets. RESULTS: Control of
corruption and democratic accountability revealed an independent effect and
interaction with the amount of HIV/AIDS aid on incidence of HIV/AIDS,
respectively, while none of the two governance variables had a significant effect
on HIV/AIDS prevalence. Specifically, in countries with accountability level
below -2.269, aid has a detrimental effect on incidence of HIV/AIDS. CONCLUSION:
The study findings suggest that aid programs need to be preceded or at least
accompanied by serious efforts to improve governance in recipient countries and
that democratic accountability ought to receive more critical attention.
PMID- 27189198
TI - Chemosensitivity enhancement toward arsenic trioxide by inhibition of histone
deacetylase in NB4 cell line.
AB - OBJECTIVE: To investigate the cytotoxic effects of suberanilohydroxamic acid
(vorinostat) in combination with arsenic trioxide (ATO) on the human NB4 cell
line in vitro. METHODS: The rates of cell proliferation following treatment with
vorinostat with or without ATO were measured. Flow cytometry of Annexin
V/propidium iodide double-stained cells was used to measure apoptosis. Acridine
Orange and ethidium bromide staining was used to observe morphological changes
characteristic of apoptosis. Western blot analysis was used to measure protein
levels. RESULTS: Vorinostat and ATO, alone and in combination, inhibited the
proliferation of NB4 cells in a time- and dose-dependent manner and the effect
was additive. NB4 cells treated with vorinostat + ATO demonstrated greater levels
of apoptosis compared with cells treated with either drug alone. Both vorinostat
and ATO alone and in combination resulted in lower levels of promyelocytic
leukaemia/retinoic acid receptor alpha fusion protein and increased levels of
acetyl-histone H3 and acetyl-histone H4 proteins compared with controls.
Vorinostat + ATO resulted in lower levels of Akt protein compared with either
drug alone. CONCLUSION: The combination of vorinostat and ATO inhibited cell
proliferation, induced apoptosis, and enhanced the chemosensitivity of NB4 cells.
The mechanism might be associated with increasing histone acetylation levels as
well as downregulation of the Akt signalling pathway.
PMID- 27189200
TI - Impact assessment and cost-effectiveness of m-health application used by
community health workers for maternal, newborn and child health care services in
rural Uttar Pradesh, India: a study protocol.
AB - BACKGROUND: An m-health application has been developed and implemented with
community health workers to improve their counseling in a rural area of India.
The ultimate aim was to generate demand and improve utilization of key maternal,
neonatal, and child health services. The present study aims to assess the impact
and cost-effectiveness of this project. METHODS/DESIGN: A pre-post quasi
experimental design with a control group will be used to undertake difference in
differences analysis for assessing the impact of intervention. The Annual Health
Survey (2011) will provide pre-intervention data, and a household survey will be
carried out to provide post-intervention data.Two community development blocks
where the intervention was introduced will be treated as intervention blocks
while two controls blocks are selected after matching with intervention blocks on
three indicators: average number of antenatal care checkups, percentage of women
receiving three or more antenatal checkups, and percentage of institutional
deliveries. Two categories of beneficiaries will be interviewed in both areas:
women with a child between 29 days and 6 months and women with a child between 12
and 23 months. Propensity score matched samples from intervention and control
areas in pre-post periods will be analyzed using the difference in differences
method to estimate the impact of intervention in utilization of key
services.Bottom-up costing methods will be used to assess the cost of
implementing intervention. A decision model will estimate long-term effects of
improved health services utilization on mortality, morbidity, and disability.
Cost-effectiveness will be assessed in terms of incremental cost per disability
adjusted life year averted and cost per unit increase in composite service
coverage in intervention versus control groups. CONCLUSIONS: The study will
generate significant evidence on impact of the m-health intervention for
maternal, neonatal, and child services and on the cost of scaling up m-health
technology for accredited social health activists in India.
PMID- 27189201
TI - Differential Gene Expression in Menstrual Endometrium From Women With Self
Reported Heavy Menstrual Bleeding.
AB - Heavy menstrual bleeding (HMB) is a significant social and public health issue
for menstruating women. Development of targeted treatments has been limited by
poor understanding of local mechanisms underlying HMB. We aimed to determine how
gene expression differs in menstrual phase endometrium from women with HMB.
Menstrual phase endometrial biopsies were collected from women with (n = 7) and
without (n = 10) HMB (regular menstrual cycles, no known pelvic pathology), as
well as women with uterine fibroids (n = 7, n = 4 had HMB). Biopsies were
analyzed using Illumina Sentrix Human HT12 arrays and data analyzed using "Remove
Unwanted Variation-inverse". Ingenuity Pathway Analysis and the Database for
Annotation, Visualization and Integrated Discovery v6.7 were used to identify
gene pathways, functional gene clusters, and upstream regulators specific to the
clinical groupings. Individual genes of interest were examined using quantitative
polymerase chain reaction. In total, 829 genes were differentially expressed in
one or more comparisons. Significant canonical pathways and gene clusters
enriched in controls relative to both HMB and fibroid groups suggest the
mechanisms responsible for HMB include modifications of the endometrial
inflammatory or infection response. In contrast, differentially expressed genes
in women with fibroids suggest modifications of hemoglobin, antigen processing,
and the major histocompatibility complex (class II, beta chain) activity. In
conclusion, HMB associated with fibroids may be regulated by different
endometrial mechanisms from HMB in women without fibroids and from normal
menstrual bleeding. These novel data provide numerous testable hypotheses that
will advance our understanding of the mechanisms responsible for HMB.
PMID- 27189197
TI - Empirical and targeted therapy of candidemia with fluconazole versus
echinocandins: a propensity score-derived analysis of a population-based,
multicentre prospective cohort.
AB - We compared the clinical efficacy of fluconazole and echinocandins in the
treatment of candidemia in real practice. The CANDIPOP study is a prospective,
population-based cohort study on candidemia carried out between May 2010 and
April 2011 in 29 Spanish hospitals. Using strict inclusion criteria, we
separately compared the impact of empirical and targeted therapy with fluconazole
or echinocandins on 30-day mortality. Cox regression, including a propensity
score (PS) for receiving echinocandins, stratified analysis on the PS quartiles
and PS-based matched analyses, were performed. The empirical and targeted therapy
cohorts comprised 316 and 421 cases, respectively; 30-day mortality was 18.7%
with fluconazole and 33.9% with echinocandins (p 0.02) in the empirical therapy
group and 19.8% with fluconazole and 27.7% with echinocandins (p 0.06) in the
targeted therapy group. Multivariate Cox regression analysis including PS showed
that empirical therapy with fluconazole was associated with better prognosis
(adjusted hazard ratio 0.38; 95% confidence interval 0.17-0.81; p 0.01); no
differences were found within each PS quartile or in cases matched according to
PS. Targeted therapy with fluconazole did not show a significant association with
mortality in the Cox regression analysis (adjusted hazard ratio 0.77; 95%
confidence interval 0.41-1.46; p 0.63), in the PS quartiles or in PS-matched
cases. The results were similar among patients with severe sepsis and septic
shock. Empirical or targeted treatment with fluconazole was not associated with
increased 30-day mortality compared to echinocandins among adults with
candidemia.
PMID- 27189202
TI - Notch1 Impairs Endothelial Progenitor Cell Bioactivity in Preeclampsia.
AB - Aberrant vasculature and endothelial dysfunction on both the maternal and the
fetal side are thought to play a role in the pathogenesis of preeclampsia, a
hypertensive complication during pregnancy. Endothelial progenitor cells (EPCs)
have the capacity for endothelial repair. The Dll4/Notch signaling pathway
suppresses the functions of EPCs in the pathogenesis of preeclampsia. Notch1 was
found to be one of the specific receptors for ligands of the Delta 4 and play
critical roles in angiogenesis. However, the roles of Notch1 with regard to EPCs
and preeclampsia have yet to be completely characterized. The aim of this study
is to determine whether Notch1 also has a negative influence on the regulation of
EPC activity. Accordingly, we analyzed the differences between the preeclampsia
group and the control group in terms of the number of EPCs and colony-forming
units (CFUs) and their Notch1 expressions. The influence of the Notch1 signaling
pathway on functions of EPCs was determined by repeating the assays in the
presence of Notch1 downregulation. The number of EPCs and CFUs was significantly
lower in patients with preeclampsia compared to healthy controls. Additionally,
there was a notable increase in Notch1 expression in EPCs of patients with
preeclampsia compared to controls. The downregulation of Notch1 promoted the
proliferation, differentiation, migration, and adhesion of EPCs and the ability
to form human umbilical vein endothelial cell tubes. These findings suggested
that decrease and dysfunction of EPCs may be involved in the pathogenesis of
preeclampsia. Inhibition of Notch1, which promoted EPC-mediated angiogenesis in
vitro, may be an alternative therapeutic approach to promoting vasculogenesis in
patients with preeclampsia.
PMID- 27189203
TI - Clinical and gender differences in heart transplant recipients in the NEW HEART
study.
AB - BACKGROUND: Little attention has focused on gender differences in cardiac
comorbidities and outcomes in patients undergoing orthotropic heart transplant.
OBJECTIVE: The objective of this study was to investigate gender differences at
baseline and during follow-up among heart transplant patients. METHODS: An
observational cohort within the NEW HEART study was evaluated to determine gender
differences in relation to age, coexisting cardiac comorbidities, and outcomes.
Differences were assessed by t-test, Fisher's exact test, and logistic regression
analysis. RESULTS: Male transplant recipients ( n = 238) were significantly older
than female recipients ( n = 92), with a greater percentage over 60 years of age
(45% vs. 24%, p = 0.0006). Males were more likely to have hypertension (63% vs.
49%, p = 0.034), dyslipidemia (62% vs. 45%, p = 0.006), a history of smoking (52%
vs. 35%, p = 0.009), and diabetes (42% vs. 21%, p = 0.0002). Analysis of
endomyocardial biopsies obtained during the 1-year follow-up period demonstrated
that women averaged more episodes of acute rejection than men (3.9 vs. 3.0, p =
0.009). While most episodes of rejection were mild, women were more likely than
men to have episodes of moderate or severe rejection (14% vs. 5%, p = 0.012) and
to be hospitalized for acute rejection (15% vs. 6%, p = 0.013). There were no
significant differences in mortality. CONCLUSIONS: Men were more likely than
women to be older and to have diabetes, dyslipidemia, hypertension, and a history
of smoking. Women were more likely to experience moderate or severe allograft
rejection and to be hospitalized for acute rejection. Future investigation of the
reasons for these gender differences is warranted and may improve clinical care
of women undergoing cardiac transplantation.
PMID- 27189204
TI - Winogradskyella sediminis sp. nov., isolated from marine sediment.
AB - A Gram-stain-negative, rod-shaped, yellow-pigmented, gliding bacterial strain,
designated S5-23-3T, was isolated from a sediment sample of the Yellow Sea in
China. Phylogenetic analysis based on 16S rRNA gene sequences showed that strain
S5-23-3T was related to the genus Winogradskyella and had highest 16S rRNA gene
sequence similarities with Winogradskyella arenosi JCM 15527T (97.6 %),
Winogradskyella rapida CECT 7392T (97.4 %) and Winogradskyella undariae KCTC
32261T (97.2 %). The predominant cellular fatty acids were iso-C15 : 0, iso-C15 :
1 G, iso-C15 : 0 3-OH and iso-C17 : 0 3-OH. Strain S5-23-3T contained MK-6 as the
predominant menaquinone. The polar lipid profile contained
phosphatidylethanolamine, two aminolipids, one aminoglycolipid, one
aminophospholipid, one unidentified phospholipid and seven unidentified polar
lipids. The genomic DNA G+C content of strain S5-23-3T was 36.1 mol%. Combined
data from phenotypic, chemotaxonomic, phylogenetic and DNA-DNA relatedness
studies demonstrated that strain S5-23-3T is a representative of a novel species
of the genus Winogradskyella, for which the name Winogradskyellasediminis sp.
nov. (type strain S5-23-3T=LMG 28075T=DSM 28134T) is proposed.
PMID- 27189206
TI - Pressure-induced magnetic transitions with change of the orbital configuration in
dimerised systems.
AB - We suggest a possible scenario for magnetic transition under pressure in
dimerised systems where electrons are localised on molecular orbitals. The
mechanism of transition is not related with competition between kinetic energy
and on-site Coulomb repulsion as in Mott-Hubbard systems, or between crystal
field splitting and intra-atomic exchange as in classical atomic spin-state
transitions. Instead, it is driven by the change of bonding-antibonding splitting
on part of the molecular orbitals. In the magnetic systems with few half-filled
molecular orbitals external pressure may result in increase of the bonding
antibonding splitting and localise all electrons on low-lying molecular orbitals
suppressing net magnetic moment of the system. We give examples of the systems,
where this or inverse transition may occur and by means of ab initio band
structure calculations predict that it can be observed in alpha-MoCl4 at pressure
P ~ 11 GPa.
PMID- 27189207
TI - Spin-crossover complex encapsulation within a magnetic metal-organic framework.
AB - The solid-state incorporation of a mononuclear iron(iii) complex within the pores
of a magnetic 3D metal-organic framework (MOF) in a single crystal to single
crystal process leads to the formation of a new hybrid material showing both a
guest-dependent long-range magnetic ordering and a spin-crossover (SCO)
behaviour.
PMID- 27189205
TI - Regeneration of hair cells in the mammalian vestibular system.
AB - Hair cells regenerate throughout the lifetime of non-mammalian vertebrates,
allowing these animals to recover from hearing and balance deficits. Such
regeneration does not occur efficiently in humans and other mammals. Thus,
balance deficits become permanent and is a common sensory disorder all over the
world. Since Forge and Warchol discovered the limited spontaneous regeneration of
vestibular hair cells after gentamicininduced damage in mature mammals,
significant efforts have been exerted to trace the origin of the limited
vestibular regeneration in mammals after hair cell loss. Moreover, recently many
strategies have been developed to promote the hair cell regeneration and
subsequent functional recovery of the vestibular system, including manipulating
the Wnt, Notch and Atoh1. This article provides an overview of the recent
advances in hair cell regeneration in mammalian vestibular epithelia.
Furthermore, this review highlights the current limitations of hair cell
regeneration and provides the possible solutions to regenerate functional hair
cells and to partially restore vestibular function.
PMID- 27189208
TI - Small area-level variation in the incidence of psychotic disorders in an urban
area in France: an ecological study.
AB - PURPOSE: We sought to determine whether significant variation in the incidence of
clinically relevant psychoses existed at an ecological level in an urban French
setting, and to examine possible factors associated with this variation. We aimed
to advance the literature by testing this hypothesis in a novel population
setting and by comparing a variety of spatial models. METHODS: We sought to
identify all first episode cases of non-affective and affective psychotic
disorders presenting in a defined urban catchment area over a 4 years period,
over more than half a million person-years at-risk. Because data from geographic
close neighbourhoods usually show spatial autocorrelation, we used for our
analyses Bayesian modelling. We included small area neighbourhood measures of
deprivation, migrants' density and social fragmentation as putative explanatory
variables in the models. RESULTS: Incidence of broad psychotic disorders shows
spatial patterning with the best fit for models that included both strong
autocorrelation between neighbouring areas and weak autocorrelation between areas
further apart. Affective psychotic disorders showed similar spatial patterning
and were associated with the proportion of migrants/foreigners in the area
(inverse correlation). In contrast, non-affective psychoses did not show spatial
patterning. CONCLUSIONS: At ecological level, the variation in the number of
cases and the factors that influence this variation are different for non
affective and affective psychotic disorders. Important differences in results
compared with previous studies in different settings-point to the importance of
the context and the necessity of further studies to understand these differences.
PMID- 27189209
TI - Family, friends, and 12-month PTSD among African Americans.
AB - PURPOSE: Despite a growing literature on the influence of social support on
mental health, little is known about the relationship between social support and
specific psychiatric disorders for African Americans, such as PTSD. This study
investigated the relationship between social support, negative interaction with
family and 12-month PTSD among African Americans. METHODS: Analyses were based on
a nationally representative sample of African Americans from the National Survey
of American Life (n = 3315). Social support variables included emotional support
from family, frequency of contact with family and friends, subjective closeness
with family and friends, and negative interactions with family. RESULTS: Results
indicated that emotional support from family is negatively associated with 12
month PTSD while negative interaction with family is predictive of 12-month PTSD.
Additionally, a significant interaction indicated that high levels of subjective
closeness to friends could offset the impact of negative family interactions on
12-month PTSD. CONCLUSIONS: Overall, study results converged with previously
established findings indicating that emotional support from family is associated
with 12-month PTSD, while, negative interaction with family is associated with
increased risk of 12-month PTSD. The findings are discussed in relation to prior
research on the unique association between social support and mental health among
African Americans.
PMID- 27189210
TI - Thrombin-unique coagulation system protein with multifaceted impacts on cancer
and metastasis.
AB - The association between blood coagulation and cancer development is well
recognized. Thrombin, the pleiotropic enzyme best known for its contribution to
fibrin formation and platelet aggregation during vascular hemostasis, may also
trigger cellular events through protease-activated receptors, PAR-1 and PAR-4,
leading to cancer progression. Our pioneering findings provided evidence that
thrombin contributes to cancer metastasis by increasing adhesive potential of
malignant cells. However, there is evidence that thrombin regulates every step of
cancer dissemination: (1) cancer cell invasion, detachment from primary tumor,
migration; (2) entering the blood vessel; (3) surviving in vasculature; (4)
extravasation; (5) implantation in host organs. Recent studies have provided new
molecular data about thrombin generation in cancer patients and the mechanisms by
which thrombin contributes to transendothelial migration, platelet/tumor cell
interactions, angiogenesis, and other processes. Though a great deal is known
regarding the role of thrombin in cancer dissemination, there are new data for
multiple thrombin-mediated events that justify devoting focus to this topic with
a comprehensive approach.
PMID- 27189212
TI - Bosentan Pharmacokinetics in Pediatric Patients with Pulmonary Arterial
Hypertension: Comparison of Dried Blood Spot and Plasma Analysis.
AB - FUTURE-3, a phase III pediatric pharmacokinetic (PK) trial conducted to compare 2
bosentan dosing regimens in 64 patients with pulmonary arterial hypertension,
offered the opportunity to compare dried blood spot (DBS)-derived data to plasma
data. Bosentan PK parameters obtained with both methods were compared by the
geometric mean ratio (GMR; DBS/plasma) and its 90% CI after correction for the
blood-to-plasma partition ratio (0.6). Bosentan GMRs were 1.10 (1.03, 1.16) and
1.12 (1.04, 1.20) for AUCtau and Cmax, respectively. Bosentan concentrations
measured by DBS were therefore good estimations of bosentan plasma
concentrations. DBS can be considered a valid alternative to bosentan assessed in
plasma.
PMID- 27189211
TI - Improving microRNA target prediction with gene expression profiles.
AB - BACKGROUND: Mammalian genomes encode for thousands of microRNAs, which can
potentially regulate the majority of protein-coding genes. They have been
implicated in development and disease, leading to great interest in understanding
their function, with computational methods being widely used to predict their
targets. Most computational methods rely on sequence features, thermodynamics,
and conservation filters; essentially scanning the whole transcriptome to predict
one set of targets for each microRNA. This has the limitation of not considering
that the same microRNA could have different sets of targets, and thus different
functions, when expressed in different types of cells. RESULTS: To address this
problem, we combine popular target prediction methods with expression profiles,
via machine learning, to produce a new predictor: TargetExpress. Using
independent data from microarrays and high-throughput sequencing, we show that
TargetExpress outperforms existing methods, and that our predictions are enriched
in functions that are coherent with the added expression profile and literature
reports. CONCLUSIONS: Our method should be particularly useful for anyone
studying the functions and targets of miRNAs in specific tissues or cells.
TargetExpress is available at: http://targetexpress.ceiabreulab.org/ .
PMID- 27189213
TI - Prognostic factors in infective endocarditis in general hospitals in the
Netherlands.
AB - INTRODUCTION: Despite advances in treatment, infective endocarditis (IE) still
ranks amongst the most lethal infectious diseases. We sought to determine
prognostic factors in general hospitals in the Netherlands as research in this
setting is scarce. RESULTS: Between 2004 and 2011, we identified 216 cases of IE,
30.1 % of which were prosthetic valve IE. This leads to an annual incidence of IE
of 5.7 new cases per 100,000 persons per year. Women were less likely to undergo
surgical intervention (OR = 1.96, 95 % CI 1.06-3.61, p = 0.031). Also, ageing was
an independent prognostic factor for not receiving surgery in a multivariate
analysis (annual OR = 1.04, 95 % CI 1.02-1.06, p < 0.001). Female sex was a
prognostic factor for mortality (OR = 2.35, 95 % CI 1.29-4.28, p = 0.005). Age
was also an independent prognostic factor for mortality (OR = 1.05, 95% CI 1.03
1.08, p < 0.001). Conservative treatment was a prognostic factor for mortality
(OR = 3.39, 95 % CI 1.80-6.38, p < 0.001) whereas surgical intervention was an
independent prognostic factor for adverse events (OR = 3.03, 95% CI 1.64-5.55, p
< 0.001). Staphylococcus aureus was an independent prognostic factor for adverse
events (OR = 2.05, 95 % CI 1.10-3.84, p = 0.024) but not for mortality.
CONCLUSION: This study shows that endocarditis in general hospitals has a high
rate of morbidity and mortality. Even when treated, it ranks as one of the most
lethal infectious diseases in the Netherlands, especially in women and the
elderly.
PMID- 27189214
TI - The predictive value of conventional surgical risk scores for periprocedural
mortality in percutaneous mitral valve repair.
AB - BACKGROUND: Surgical risk scores are used to identify high-risk patients for
surgical mitral valve repair. There is no scoring system to estimate the
mortality risk for patients undergoing percutaneous treatment. The aim of this
analysis is to evaluate the predictive value of the EuroSCOREs and the Society of
Thoracic Surgeons Predicted Risk of Mortality Score (STS) for periprocedural
mortality in percutaneous edge-to-edge mitral valve repair. METHODS: From 2009 to
2013, 136 high-risk patients were included who underwent 143 procedures. Observed
periprocedural mortality was compared with predicted mortality using the logistic
EuroSCORE, EuroSCORE II and STS. The predictive value was analysed by receiver
operating characteristic curves for each score. RESULTS: Observed periprocedural
mortality was 3.5 %. The predicted surgical mortality risk was: 23.1 +/- 15.7 %
for the logistic EuroSCORE, 9.6 +/- 7.7 % for the EuroSCORE II and 13.2 +/- 8.2 %
for the STS. The predictive value estimated by the area under the curve was:
0.55, 0.54 and 0.65 for the logistic EuroSCORE, EuroSCORE II and STS
respectively. Severe pulmonary hypertension and acute procedural success were
significant predictive parameters in univariate analysis. CONCLUSION:
Contemporary surgical scores do not adequately predict periprocedural mortality
for high-risk patients undergoing edge-to-edge mitral valve repair, but they can
be used to help decision-making in the selection process for this procedure.
PMID- 27189215
TI - Pulmonary hypertension: the importance of a multidisciplinary approach.
PMID- 27189216
TI - Echocardiographic findings associated with mortality ortransplant in patients
with pulmonary arterial hypertension:A systematic review and meta-analysis.
AB - BACKGROUND: Identification of patients at risk of deterioration is essential to
guide clinical management in pulmonary arterial hypertension (PAH). This study
aims to provide a comprehensive overview of well-investigated echocardiographic
findings that are associated with clinical deterioration in PAH. METHODS: MEDLINE
and EMBASE databases were systematically searched for longitudinal studies
published by April 2015 that reported associations between echocardiographic
findings and mortality, transplant or clinical worsening. Meta-analysis using
random effect models was performed for echocardiographic findings investigated by
four or more studies. In case of statistical heterogeneity a sensitivity analysis
was conducted. RESULTS: Thirty-seven papers investigating 51 echocardiographic
findings were included. Meta-analysis of univariable hazard ratios (HRs) and
sensitivity analysis showed that presence of pericardial effusion (pooled HR
1.70; 95 % CI 1.44-1.99), right atrial area (pooled HR 1.71; 95 % CI 1.38-2.13)
and tricuspid annular plane systolic excursion (TAPSE; pooled HR 1.72; 95 % CI
1.34-2.20) were the most well-investigated and robust predictors of mortality or
transplant. CONCLUSIONS: This meta-analysis substantiates the clinical yield of
specific echocardiographic findings in the prognostication of PAH patients in day
to-day practice. In particular, pericardial effusion, right atrial area and TAPSE
are of prognostic value.
PMID- 27189222
TI - TFE3-Expressing Perivascular Epithelioid Cell Neoplasm (PEComa) of the Sella
Turcica.
AB - We report a primary central nervous system (CNS) perivascular epithelioid cell
tumor (PEComa) in a middle-aged female patient. The tumor occurred in suprasellar
location with secondary extension into the sella turcica. The patient presented
with intracranial hemorrhage and an altered level of consciousness. The tumor had
morphologic features matching those of other previously described TFE3
translocated PEComas, including epithelioid morphology, diffuse and strong
nuclear immunoreactivity for TFE3, and minimal staining with myoid markers. The
TFE3 break-apart FISH testing showed a slight splitting of one of the TFE3
signals in 49.5 % of nuclei. This case illustrates that PEComas should be added
to the growing list of mesenchymal tumors that can be encountered in the CNS and
specifically in the vicinity of the pituitary gland. The recognition of this
entity is of significance given their underlying pathogenesis and possible
management implications.
PMID- 27189223
TI - Site specific polarization transfer from a hyperpolarized ligand of dihydrofolate
reductase.
AB - Protein-ligand interaction is often characterized using polarization transfer by
the intermolecular nuclear Overhauser effect (NOE). For such NOE experiments,
hyperpolarization of nuclear spins presents the opportunity to increase the spin
magnetization, which is transferred, by several orders of magnitude. Here, folic
acid, a ligand of dihydrofolate reductase (DHFR), was hyperpolarized on (1)H
spins using dissolution dynamic nuclear polarization (D-DNP). Mixing
hyperpolarized ligand with protein resulted in observable increases in protein
(1)H signal predominantly in the methyl group region of the spectra. Using (13)C
single quantum selection in a series of one-dimensional spectra, the carbon
chemical shift ranges of the corresponding methyl groups can be elucidated.
Signals observed in these hyperpolarized spectra could be confirmed using 3D
isotope filtered NOESY spectra, although the hyperpolarized spectra were obtained
in single scans. By further correlating the signal intensities observed in the D
DNP experiments with the occurrence of short distances in the crystal structure
of the protein-ligand complex, the observed methyl proton signals could be
matched to the chemical shifts of six amino acids in the active site of DHFR
folic acid binary complex. These data demonstrate that (13)C chemical shift
selection of protein resonances, combined with the intrinsic selectivity towards
magnetization originating from the initially hyperpolarized spins, can be used
for site specific characterization of protein-ligand interactions.
PMID- 27189225
TI - Traditional, complementary and alternative medicine use by HIV patients a decade
after public sector antiretroviral therapy roll out in South Africa: a cross
sectional study.
AB - BACKGROUND: The roll out of antiretroviral therapy in the South African public
health sector in 2004 was preceded by the politicisation of HIV-infection which
was used to promote traditional medicine for the management of HIV/AIDS. One
decade has passed since; however, questions remain on the extent of the use of
traditional, complementary and alternative medicine (TCAM) by HIV-infected
patients. This study therefore aimed at investigating the prevalence of the use
of African traditional medicine (ATM), complementary and alternative medicines
(CAM) by adult patients in the eThekwini and UThukela Health Districts, South
Africa. METHODS: A cross- sectional study was carried out at 8 public health
sector antiretroviral clinics using interviewer-administered semi-structured
questionnaires. These were completed from April to October 2014 by adult patients
who had been on antiretroviral therapy (ART) for at least three months. Use of
TCAM by patients was analysed by descriptive statistics using frequency and
percentages with standard error. Where the associated relative error was equal or
greater to 0.50, the percentage was rejected as unstable. A p-value <0.05 was
estimated as statistically significant. RESULTS: The majority of the 1748
participants were Black Africans (1685/1748, 96.40 %, SE: 0.00045), followed by
Coloured (39/1748, 2.23 %, SE: 0.02364), Indian (17/1748, 0.97 %, SE: 0.02377),
and Whites (4/1748, 0.23 %, SE: 0.02324), p < 0.05. The prevalence of ATM use
varied prior to (382/1748, 21.85 %) and after ART initiation (142/1748, 8.12 %),
p <0.05, specifically by Black African females both before (14.41 %) and after
uptake (5.49 %), p < 0.05. Overall, 35 Black Africans, one Coloured and one
Indian (37/1748, 2.12 %) reported visiting CAM practitioners for their HIV
condition and related symptoms post ART. CONCLUSION: Despite a progressive
implementation of a successful antiretroviral programme over the first decade of
free antiretroviral therapy in the South African public health sector, the use of
TCAM is still prevalent amongst a small percentage of HIV infected patients
attending public healthcare sector antiretroviral clinics. Further research is
needed to explore reasons for use and health benefits or risks experienced by the
minority that uses both conventional antiretroviral therapy with TCAM.
PMID- 27189226
TI - Protection and immunological study on two tetraspanin-derived vaccine candidates
against schistosomiasis japonicum.
AB - Tetraspanins (TSPs) are proteins found on the surface of helminth parasites of
the genus Schistosoma and are regarded as potentially protective antigens. The
large extracellular loop of Schistosoma mansoni tetraspanin-2, Sm-TSP-2, when
fused to a thioredoxin partner and formulated with Freund's adjuvants, has been
shown to be an efficacious vaccine against murine schistosomiasis. It is well
recognized that CD4(+) T-cell-dependent immunity might play an important role
against schistosomes; however, the contribution of CD8(+) T cells against
multicellular pathogen is still uncertain. The exogenous protein-pulsed dendritic
cells (DCs) can easily activate CD4(+) T cells response, while CD8(+) T cells
response was relatively difficult to be induced. In this study, we evaluated the
immunogenicity of TSP2HD antigen (hydrophilic domain of the S. japonicum
tetraspanin-2) and TAT (the protein transduction domain of HIV-1)-coupled TSP2HD
protein. As TAT-fused protein could promote major histocompatibility complex
class I-dependent antigen presentation in vitro, TAT-TSP2HD-pulsed DCs induced
stronger proliferation of schistosome-specific CD8(+) T cells compared with DCs
incubated with TSP2HD alone. Vaccination with TAT-TSP2HD-pulsed DCs in vivo could
improve disease outcome in S. japonicum-infected mice and was slightly superior
to vaccination with DCs treated with TSP2HD. In summary, these data showed that
TAT fusion proteins could help activate CD8(+) cells and Th1 cells and provide
part protection against schistosome.
PMID- 27189224
TI - Circulating long non-coding RNAs in cancer: current status and future
perspectives.
AB - Long non-coding RNAs (lncRNAs) comprise a diverse class of RNA transcripts >200
nucleotides in length with limited protein-coding potential. In addition to their
possible role in cancer biology, circulating lncRNAs have emerged as a new class
of promising cancer biomarkers, with independent studies demonstrating the
feasibility of their use as tools in the diagnosis and prognosis of different
types of malignancies and for predicting and possibly monitoring treatment
response. However, critical issues are represented by nonuniform sample choice,
handling and processing, blood cell contamination during sample preparation and
the lack of consensus regarding data normalization. In this review, we discuss
the value of circulating lncRNAs in the clinical setting, particularly with
respect to their possible implementation as diagnostic and prognostic markers in
cancer. Although the great potential of circulating lncRNAs as cancer biomarkers
would be an important development in disease management, both intrinsic and
extrinsic factors that may affect their measurement have not been fully
characterized. Moreover, the clinical significance of circulating lncRNA may not
be proven without a global consensus regarding procedures and standardized
protocols for their detection.
PMID- 27189227
TI - Postinfectious and sporadic functional gastrointestinal disorders have different
prevalences and rates of overlap: results from a controlled cohort study 3 years
after acute giardiasis.
AB - BACKGROUND: Irritable bowel syndrome (IBS) is a common complication following
gastroenteritis, and a high prevalence of postgiardiasis IBS has previously been
reported. This study aims to investigate the prevalence, adjusted relative risk
(RRadj), and overlap of different functional gastrointestinal disorders (FGID)
according to Rome III criteria following infection with Giardia lamblia. METHODS:
All patients >=18 years of age with verified giardiasis during an outbreak in
2004, and a control group matched by age and gender, were mailed a questionnaire
3 years later. KEY RESULTS: The prevalence of functional dyspepsia (FD) was 25.9%
in the exposed and 6.9% in the control group, RRadj: 3.9 (95% confidence
intervals [CI]: 3.1-4.8). The prevalence of IBS was 47.9% and 14.3%,
respectively, with RRadj: 3.4 (95% CI: 3.0-3.8). Prevalence of other
gastrointestinal symptoms ranged from 70.0% vs 39.7% for bloating (RRadj: 1.8) to
8.3% vs 2.9% for nausea (RRadj: 3.0) in the Giardia and the control group,
respectively. Among individuals fulfilling criteria for IBS 44% in the exposed
group and 29% in the control group also fulfilled criteria for FD. IBS subtypes
based on Rome III criteria (stool consistency) showed poor agreement with
subtypes based on frequency of bowel movements (Kappa-values: 0.17 and 0.27).
CONCLUSIONS & INFERENCES: There were high prevalences and RRs of IBS, FD and
other gastrointestinal symptoms following acute giardiasis, and a high degree of
overlap between the disorders. The agreement between different IBS subtype
criteria varied, and there were also differences between the exposed and control
group.
PMID- 27189229
TI - Potato intake and incidence of hypertension: results from three prospective US
cohort studies.
AB - OBJECTIVE: To determine whether higher intake of baked or boiled potatoes, French
fries, or potato chips is associated with incidence of hypertension. DESIGN:
Prospective longitudinal cohort studies. SETTING: Healthcare providers in the
United States. PARTICIPANTS: 62 175 women in Nurses' Health Study, 88 475 women
in Nurses' Health Study II, and 36 803 men in Health Professionals Follow-up
Study who were non-hypertensive at baseline. MAIN OUTCOME MEASURE: Incident cases
of hypertension (self reported diagnosis by healthcare provider). RESULTS:
Compared with consumption of less than one serving a month, the random effects
pooled hazard ratios for four or more servings a week were 1.11 (95% confidence
interval 0.96 to 1.28; P for trend=0.05) for baked, boiled, or mashed potatoes,
1.17 (1.07 to 1.27; P for trend=0.001) for French fries, and 0.97 (0.87 to 1.08;
P for trend=0.98) for potato chips. In substitution analyses, replacing one
serving a day of baked, boiled, or mashed potatoes with one serving a day of non
starchy vegetables was associated with decreased risk of hypertension (hazard
ratio 0.93, 0.89 to 0.96). CONCLUSION: Higher intake of baked, boiled, or mashed
potatoes and French fries was independently and prospectively associated with an
increased risk of developing hypertension in three large cohorts of adult men and
women.
PMID- 27189228
TI - Selective Detection of RGD-Integrin Binding in Cancer Cells Using Tip Enhanced
Raman Scattering Microscopy.
AB - Ligand-receptor interactions play important roles in many biological processes.
Cyclic arginine-glycine-aspartic acid (RGD) containing peptides are known to
mimic the binding domain of extracellular matrix protein fibronectin and
selectively bind to a subset of integrin receptors. Here we report the tip
enhanced Raman scattering (TERS) detection of RGD-functionalized nanoparticles
bound to integrins produces a Raman scattering signal specific to the bound
protein. These results demonstrate that this method can detect and differentiate
between two different integrins (alpha5beta1 and alphavbeta3) bound to RGD
conjugated gold nanoparticles both on surfaces and in a cancer cell membrane. In
situ measurements of RGD nanoparticles bound to purified alpha5beta1 and
alphavbeta3 receptors attached to a glass surface provide reference spectra for a
multivariate regression model. The TERS spectra observed from nanoparticles bound
to cell membranes are analyzed using this regression model and the identity of
the receptor can be determined. The ability to distinguish between receptors in
the cell membrane provides a new tool to chemically characterize ligand-receptor
recognition at molecular level and provide chemical perspective on the molecular
recognition of membrane receptors.
PMID- 27189230
TI - Toward a world of theranostic medication: Programming biological sentinel systems
for therapeutic intervention.
AB - Theranostic systems support diagnostic and therapeutic functions in a single
integrated entity and enable precise spatiotemporal control of the generation of
therapeutic molecules according to the individual patient's disease state,
thereby maximizing the therapeutic outcome and minimizing side effects. These
systems can also incorporate reporter systems equipped with a disease-sensing
module that can be used to estimate the efficacy of treatment in vivo. Among
these reporter systems, biological sentinel systems, such as viruses, bacteria,
and mammalian cells, have great potential for use in the development of novel
theranostic systems because of their ability to sense a variety of disease
markers and secrete various therapeutic molecules. Furthermore, recent advances
in biotechnology and synthetic biology have made it possible to treat these
biological systems as true programmable entities capable of conducting complex
operations, to accurately identify each individual patient's disease state. In
this review, we introduce the basic design principles of these rapidly expanding
classes of biological sentinel system-based theranostic agents, with a focus on
recent advances, and we also discuss potential enabling technologies that can
further improve these systems and provide more sophisticated therapeutic
interventions in the near future. In addition, we consider the possibility of
synergistic use of theranostic agents that use different modalities and discuss
the prospects for next-generation theranostic agents.
PMID- 27189231
TI - Engineering erythrocytes for the modulation of drugs' and contrasting agents'
pharmacokinetics and biodistribution.
AB - Pharmacokinetics, biodistribution, and biological activity are key parameters
that determine the success or failure of therapeutics. Many developments intended
to improve their in vivo performance, aim at modulating concentration,
biodistribution, and targeting to tissues, cells or subcellular compartments.
Erythrocyte-based drug delivery systems are especially efficient in maintaining
active drugs in circulation, in releasing them for several weeks or in targeting
drugs to selected cells. Erythrocytes can also be easily processed to entrap the
desired pharmaceutical ingredients before re-infusion into the same or matched
donors. These carriers are totally biocompatible, have a large capacity and could
accommodate traditional chemical entities (glucocorticoids, immunossuppresants,
etc.), biologics (proteins) and/or contrasting agents (dyes, nanoparticles).
Carrier erythrocytes have been evaluated in thousands of infusions in humans
proving treatment safety and efficacy, hence gaining interest in the management
of complex pathologies (particularly in chronic treatments and when side-effects
become serious issues) and in new diagnostic approaches.
PMID- 27189232
TI - Branched polyesters: Preparative strategies and applications.
AB - In the last 20years, the availability of precision chemical tools (e.g.
controlled/living polymerizations, 'click' reactions) has determined a step
change in the complexity of both the macromolecular architecture and the chemical
functionality of biodegradable polyesters. A major part in this evolution has
been played by the possibilities that controlled macromolecular branching offers
in terms of tailored physical/biological performance. This review paper aims to
provide an updated overview of preparative techniques that derive hyperbranched,
dendritic, comb, grafted polyesters through polycondensation or ring-opening
polymerization mechanisms.
PMID- 27189235
TI - Terminal alpha-d-mannosides are critical during sea urchin gastrulation.
AB - The sea urchin embryo is a United States National Institutes of Health (NIH)
designated model system to study mechanisms that may be involved in human health
and disease. In order to examine the importance of high-mannose glycans and
polysaccharides in gastrulation, Lytechinus pictus embryos were incubated with
Jack bean alpha-mannosidase (EC 3.2.1.24), an enzyme that cleaves terminal
mannose residues that have alpha1-2-, alpha1-3-, or alpha1-6-glycosidic linkages.
The enzyme treatment caused a variety of morphological deformations in living
embryos, even with alpha-mannosidase activities as low as 0.06 U/ml.
Additionally, formaldehyde-fixed, 48-hour-old L. pictus embryos were
microdissected and it was demonstrated that the adhesion of the tip of the
archenteron to the roof of the blastocoel in vitro is abrogated by treatment with
alpha-mannosidase. These results suggest that terminal mannose residues are
involved in the adhesion between the archenteron and blastocoel roof, perhaps
through a lectin-like activity that is not sensitive to fixation.
PMID- 27189234
TI - Gross anatomical classification of the courses of the human lingual artery.
AB - PURPOSE: There are many reports on the variation of origin site of the lingual
artery branching from the external carotid artery. However, there are few reports
systematically investigating the course of the lingual artery in detail from
branching site to the body of tongue. The purpose of this study is to classify
systematically the courses of the lingual artery including variations. METHODS:
Using 111 body sides of 63 Japanese cadavers for dissection practices, the
lingual artery and the surrounding structures were investigated gross
anatomically. RESULTS: The courses of the lingual artery were classified into
five types based on the positional relationships with the hyoglossus and the
mylohyoid as follows; type M: coursing medial to the hyoglossus (normal course,
104 sides), type L: coursing lateral to the hyoglossus (2 sides), type T:
transferring its course from lateral to medial to the hyoglossus (2 sides), type
P: penetrating the mylohyoid (2 sides), and type C: the coinciding of types M and
P (1 side). Types L, T, P, and C were considered to be variant lingual arteries.
Types M and T, type L, and type P arose from the external carotid, facial, and
submental arteries, respectively. In types L and P, adding to the variant lingual
artery, the remnant of the normal lingual artery was also observed. CONCLUSION:
The present study provides detailed information on the courses of lingual artery
which will be of clinical importance especially in the super-selective arterial
angiography.
PMID- 27189233
TI - A systematic review of the use of the Consolidated Framework for Implementation
Research.
AB - BACKGROUND: In 2009, Damschroder et al. developed the Consolidated Framework for
Implementation Research (CFIR), which provides a comprehensive listing of
constructs thought to influence implementation. This systematic review assesses
the extent to which the CFIR's use in implementation research fulfills goals set
forth by Damschroder et al. in terms of breadth of use, depth of application, and
contribution to implementation research. METHODS: We searched Scopus and Web of
Science for publications that cited the original CFIR publication by Damschroder
et al. (Implement Sci 4:50, 2009) and downloaded each unique result for review.
After applying exclusion criteria, the final articles were empirical studies
published in peer-review journals that used the CFIR in a meaningful way (i.e.,
used the CFIR to guide data collection, measurement, coding, analysis, and/or
reporting). A framework analysis approach was used to guide abstraction and
synthesis of the included articles. RESULTS: Twenty-six of 429 unique articles (6
%) met inclusion criteria. We found great breadth in CFIR application; the CFIR
was applied across a wide variety of study objectives, settings, and units of
analysis. There was also variation in the method of included studies (mixed
methods (n = 13); qualitative (n = 10); quantitative (n = 3)). Depth of CFIR
application revealed some areas for improvement. Few studies (n = 3) reported
justification for selection of CFIR constructs used; the majority of studies (n =
14) used the CFIR to guide data analysis only; and few studies investigated any
outcomes (n = 11). Finally, reflections on the contribution of the CFIR to
implementation research were scarce. CONCLUSIONS: Our results indicate that the
CFIR has been used across a wide range of studies, though more in-depth use of
the CFIR may help advance implementation science. To harness its potential,
researchers should consider how to most meaningfully use the CFIR. Specific
recommendations for applying the CFIR include explicitly justifying selection of
CFIR constructs; integrating the CFIR throughout the research process (in study
design, data collection, and analysis); and appropriately using the CFIR given
the phase of implementation of the research (e.g., if the research is post
implementation, using the CFIR to link determinants of implementation to
outcomes).
PMID- 27189236
TI - Effect of Prestenting on Success and Complication Rates of Ureterorenoscopy in
Pediatric Population.
AB - INTRODUCTION: In this study, we aimed to investigate the effect of prestenting on
success and complication rates of pediatric ureterorenoscopy (URS) procedures.
PATIENTS AND METHODS: We retrospectively analyzed the data of 251 pediatric URS
cases. Forty-seven of the patients were prestented. Success and complication
rates of the prestented and nonprestented groups were compared and further
analysis was performed with respect to stone location (renal vs ureteral) and
size (7-mm cutoff). Multivariate analysis was performed to define factors
associated with success rates. RESULTS: Mean age of the population was 8.9 years.
Success rate of the entire population was 80.5% and significant difference
between prestented and nonprestented groups was detected (91.5% vs 77.9%, p =
0.04). Higher success rates of the prestented group were also observed in
ureteral stones (94.1% vs 79.5%, p = 0.04) and stones >7 mm (84.6% vs 74.1%, p =
0.72). Prestented group showed higher success rates in kidney stones, but the
difference was insignificant (84.6% vs 74.1%, p = 0.72). Prestenting was also
identified as an independent predictor of success in multivariate analysis.
Complication rate in the prestented group was also lower, but the difference was
not significant (8.5% vs 14.7%, p = 0.347). CONCLUSIONS: Prestenting was found to
increase the success rate of URS in cases of larger stones and ureteral stones in
pediatric population. Prestenting also provides lower, but insignificant,
complication rates. However, this procedure also has significant disadvantages.
Based on the results of current study, we cannot recommend routine prestenting in
pediatric cases. Instead, we recommend an attempt to treat the stone in the first
session and place a stent in case of failed procedure to utilize potential
benefits of prestenting while avoiding disadvantages.
PMID- 27189237
TI - User value and usability of a web-based mindfulness intervention for families
living with mental health problems.
AB - Mental health problems affect the patients and their families, who may also need
therapeutic interventions. Mindfulness interventions have shown beneficial health
effects for clinical and healthy populations. A web-based mindfulness
intervention was tailored to address families' needs of support and tested in a
pilot intervention study. The aim of this study was to explore the participants'
experiences of using an 8-week web-based mindfulness programme in terms of user
value and usability. Qualitative semi-structured interviews were carried out over
the phone (Spring 2015, Sweden) with 15 randomly selected participants after the
3-month follow-up as part of the pilot study. Data were also collected through
usability surveys online post intervention and at the 3-month follow-up.
Qualitative data were analysed with content analysis and quantitative data with
descriptive statistics. The analysis of the interviews resulted in four
categories describing the participants' experiences of the programme's usability
and value: A valuable and flexible tool that requires time and discipline, New
perspective and coping strategies for an enhanced well-being, I'm important too -
my limits, my responsibility, and Taming the inner critic. The programme's
usability was satisfactory and largely corroborated by the surveys. The programme
was experienced as a valuable tool to cope with stress in both private and
professional contexts, making it a viable option to support families living with
mental health problems. Time for self-care, a widened perspective, a less
judgmental and more accepting attitude, deterring automatic reactions and setting
limits helped the participants to deal with their situation and health. The
programme's ease and flexibility of use were major advantages, although the
training requires discipline. Motivators and barriers to use were illuminated,
which should be considered in the development of further online services and
study designs.
PMID- 27189238
TI - First-in-human robotic percutaneous coronary intervention for unprotected left
main stenosis.
AB - The safety and feasibility of robotically-assisted percutaneous coronary
intervention (PCI) for simple coronary lesions has been demonstrated. The CorPath
robotic system (Corpath 200, Corindus, Waltham, MA) consists of a robotic arm
mounted on the cardiac catheterization table that consists of a drive housing a
single-use sterile cassette, which is connected to the guiding catheter. While
sitting in the nonsterile, radiation-shielded cockpit, the operator remotely
controls delivery and removal of coronary guidewires, angioplasty balloons, and
stents. The database for the ongoing PRECISION registry was queried at a single
center and results of unprotected left main robotic PCI procedures are reported.
Of 102 robotic PCI procedures performed at this center, 6 were unprotected left
main lesions (age 69 +/- 14 years; 67% male). All 6 subjects underwent successful
PCI (fluoroscopy time 26.8 +/- 11.4 min;1.8 stents and 2.2 vessels
treated/patient) with three requiring hemodynamic support (two with percutaneous
left ventricular assistance using the Impella 2.5 (Abiomed, Danvers, MA) and one
with intraaortic balloon pump counterpulsation). This report demonstrates the
feasibility of performing robotically assisted unprotected left main PCI with or
without hemodynamic support. (c) 2016 Wiley Periodicals, Inc.
PMID- 27189251
TI - Efficient artificial mineralization route to decontaminate Arsenic(III) polluted
water - the Tooeleite Way.
AB - Increasing exposure to arsenic (As) contaminated ground water is a great threat
to humanity. Suitable technology for As immobilization and removal from water,
especially for As(III) than As(V), is not available yet. However, it is known
that As(III) is more toxic than As(V) and most groundwater aquifers, particularly
the Gangetic basin in India, is alarmingly contaminated with it. In search of a
viable solution here, we took a cue from the natural mineralization of Tooeleite,
a mineral containing Fe(III) and As(III)ions, grown under acidic condition, in
presence of SO4(2-) ions. Complying to this natural process, we could grow and
separate Tooeleite-like templates from Fe(III) and As(III) containing water at
overall circumneutral pH and in absence of SO4(2-) ions by using highly polar Zn
only ends of wurtzite ZnS nanorods as insoluble nano-acidic-surfaces. The central
idea here is to exploit these insoluble nano-acidic-surfaces (called as INAS in
the manuscript) as nucleation centres for Tooeleite growth while keeping the
overall pH of the aqueous media neutral. Therefore, we propose a novel method of
artificial mineralization of As(III) by mimicking a natural process at nanoscale.
PMID- 27189252
TI - [Acquired von-Willebrand factor and factor-VIII deficiencies caused by
angiostrongylosis in a dog].
AB - An 8-year-old male Australian Shepherd was presented with bleeding from the lip
and hemoabdomen. Hematology demonstrated marked thrombocytopenia and anemia.
Abdominal ultrasound followed by laparotomy did not detect the cause of bleeding.
Plasma transfusion resulted in temporary stabilization. Severe von-Willebrand
factor deficiency and factor-VIII deficiency were diagnosed. After subsequent
bleeding episodes, infection with Angiostrongylus vasorum was found in both a
fecal parasitological examination and by PCR from EDTA-blood. Following
successful therapy with fenbendazole, von Willebrand factor and factor VIII were
within the normal range. This is the second case report of an acquired von
Willebrand-factor deficiency associated with Angiostrongylus-vasorum infection in
a dog, and the first case report with concurrent factor-VIII deficiency.
PMID- 27189254
TI - A Hexakis Terpyridine-Fullerene Ligand in Six-Fold Ruthenium, Iridium, and Iron
Complexes: Synthesis and Electrochemical Properties.
AB - An unprecedented straightforward route to six-fold terpyridine ligands around C60
, the latter being regioselectively functionalized in pseudo-octahedral positions
using a six-fold Bingel reaction, is reported. Ruthenium, iridium, and iron
complexes have been synthesized, and unambiguously characterized by NMR, MS, and
cyclic voltammetry.
PMID- 27189253
TI - TRPA1 activation leads to neurogenic vasodilatation: involvement of reactive
oxygen nitrogen species in addition to CGRP and NO.
AB - BACKGROUND AND PURPOSE: Transient receptor potential ankyrin-1 (TRPA1) activation
is known to mediate neurogenic vasodilatation. We investigated the mechanisms
involved in TRPA1-mediated peripheral vasodilatation in vivo using the TRPA1
agonist cinnamaldehyde. EXPERIMENTAL APPROACH: Changes in vascular ear blood flow
were measured in anaesthetized mice using laser Doppler flowmetry. KEY RESULTS:
Topical application of cinnamaldehyde to the mouse ear caused a significant
increase in blood flow in the skin of anaesthetized wild-type (WT) mice but not
in TRPA1 knockout (KO) mice. Cinnamaldehyde-induced vasodilatation was inhibited
by the pharmacological blockade of the potent microvascular vasodilator
neuropeptide CGRP and neuronal NOS-derived NO pathways. Cinnamaldehyde-mediated
vasodilatation was significantly reduced by treatment with reactive oxygen
nitrogen species (RONS) scavenger such as catalase and the SOD mimetic TEMPOL,
supporting a role of RONS in the downstream vasodilator TRPA1-mediated response.
Co-treatment with a non-selective NOS inhibitor L-NAME and antioxidant apocynin
further inhibited the TRPA1-mediated vasodilatation. Cinnamaldehyde treatment
induced the generation of peroxynitrite that was blocked by the peroxynitrite
scavenger FeTPPS and shown to be dependent on TRPA1, as reflected by an increase
in protein tyrosine nitration in the skin of WT, but not in TRPA1 KO mice.
CONCLUSION AND IMPLICATIONS: This study provides in vivo evidence that TRPA1
induced vasodilatation mediated by cinnamaldehyde requires neuronal NOS-derived
NO, in addition to the traditional neuropeptide component. A novel role of
peroxynitrite is revealed, which is generated downstream of TRPA1 activation by
cinnamaldehyde. This mechanistic pathway underlying TRPA1-mediated vasodilatation
may be important in understanding the role of TRPA1 in pathophysiological
situations.
PMID- 27189255
TI - Is daily exposure to ozone associated with respiratory morbidity and lung
function in a representative sample of schoolchildren? Results from a panel study
in Greece.
AB - Previous time series or panel studies of asthmatics have reported respiratory
health effects following short-term exposure to ozone (O3). We followed 186
children aged 10 years old in Athens and Thessaloniki, Greece for 5 weeks during
the academic year 2013-2014 and recorded daily their respiratory symptoms,
absenteeism and peak expiratory flow (PEF). We applied mixed models controlling
for various possible confounders to investigate the daily associations between O3
exposure - derived from weekly personal and fixed school site measurements
calibrated using daily values of the fixed monitoring site nearest to the child's
school location - and PEF, presence of any symptom, cough and stuffy nose, as
well as absenteeism. We tested the robustness of our findings to varying modeling
assumptions and confounders and investigated effect modification patterns by
medication use, time spent outdoors and prevalence of asthma. A 10 MUg/m3
increase in O3 personal exposure was associated with increased odds of any
symptom (odds ratio (OR): 1.19, 95% confidence interval (CI): 0.98, 1.44),
largely attributed to the increase in the odds of stuffy nose (OR: 1.23, 95% CI:
1.00, 1.51). PEF and absenteeism were not related to O3 exposure. Our results
were robust to several sensitivity analyses. Effects were modified by medication
use as presence of symptoms but also decreases in PEF were mainly reported among
non-users, while our effect estimates were not driven by the asthmatic subgroup
of children. Our findings indicate that short-term O3 exposure may be associated
with respiratory symptoms extending previously reported results for asthmatics to
the general population.
PMID- 27189256
TI - Exposures to nanoparticles and fibers during injection molding and recycling of
carbon nanotube reinforced polycarbonate composites.
AB - In this study, the characteristics of airborne particles generated during
injection molding and grinding processes of carbon nanotube reinforced
polycarbonate composites (CNT-PC) were investigated. Particle number
concentration, size distribution, and morphology of particles emitted from the
processes were determined using real-time particle sizers and transmission
electron microscopy. The air samples near the operator's breathing zone were
collected on filters and analyzed using scanning electron microscope for particle
morphology and respirable fiber count. Processing and grinding during recycling
of CNT-PC released airborne nanoparticles (NPs) with a geometric mean (GM)
particle concentration from 4.7 * 103 to 1.7 * 106 particles/cm3. The ratios of
the GM particle concentration measured during the injection molding process with
exhaust ventilation relative to background were up to 1.3 (loading), 1.9
(melting), and 1.4 (molding), and 101.4 for grinding process without exhaust
ventilation, suggesting substantial NP exposures during these processes. The
estimated mass concentration was in the range of 1.6-95.2 MUg/m3. Diverse
particle morphologies, including NPs, NP agglomerates, particles with embedded or
protruding CNTs and fibers, were observed. No free CNTs were found during any of
the investigated processes. The breathing zone respirable fiber concentration
during the grinding process ranged from non-detectable to 0.13 fiber/cm3. No
evidence was found that the emissions were affected by the number of recycling
cycles. Institution of exposure controls is recommended during these processes to
limit exposures to airborne NPs and CNT-containing fibers.
PMID- 27189258
TI - Prediction of fine particulate matter chemical components with a spatio-temporal
model for the Multi-Ethnic Study of Atherosclerosis cohort.
AB - Although cohort studies of the health effects of PM2.5 have developed exposure
prediction models to represent spatial variability across participant residences,
few models exist for PM2.5 components. We aimed to develop a city-specific spatio
temporal prediction approach to estimate long-term average concentrations of four
PM2.5 components including sulfur, silicon, and elemental and organic carbon for
the Multi-Ethnic Study of Atherosclerosis cohort, and to compare predictions to
those from a national spatial model. Using 2-week average measurements from a
cohort-focused monitoring campaign, the spatio-temporal model employed selected
geographic covariates in a universal kriging framework with the data-driven
temporal trend. Relying on long-term means of daily measurements from regulatory
monitoring networks, the national spatial model employed dimension-reduced
predictors using universal kriging. For the spatio-temporal model, the cross
validated and temporally-adjusted R(2) was relatively higher for EC and OC, and
in the Los Angeles and Baltimore areas. The cross-validated R(2)s for both models
across the six areas were reasonably high for all components except silicon.
Predicted long-term concentrations at participant homes from the two models were
generally highly correlated across cities but poorly correlated within cities.
The spatio-temporal model may be preferred for city-specific health analyses,
whereas both models could be used for multi-city studies.
PMID- 27189259
TI - Severe combined immunodeficiencies and related disorders.
AB - Severe combined immunodeficiencies (SCIDs) comprise a group of rare, monogenic
diseases that are characterized by an early onset and a profound block in the
development of T lymphocytes. Given that adaptive immunity is abrogated, patients
with SCID are prone to recurrent infections caused by both non-opportunistic and
opportunistic pathogens, leading to early death unless immunity can be restored.
Several molecular defects causing SCIDs have been identified, along with many
other defects causing profound, albeit incomplete, T cell immunodeficiencies; the
latter are referred to as atypical SCIDs or combined immunodeficiencies. The
pathophysiology of many of these conditions has now been characterized. Early,
accurate and precise diagnosis combined with the ongoing implementation of
newborn screening have enabled major advances in the care of infants with SCID,
including better outcomes of allogeneic haematopoietic stem cell transplantation.
Gene therapy is also becoming an effective option. Further advances and a
progressive extension of the indications for gene therapy can be expected in the
future. The assessment of long-term outcomes of patients with SCID is now a major
challenge, with a view to evaluating the quality and sustainability of immune
restoration, the risks of sequelae and the ability to relieve the non
haematopoietic syndromic manifestations that accompany some of these conditions.
PMID- 27189260
TI - Syncope: Not for the faint hearted.
PMID- 27189261
TI - Synthetic polymers blend used in the production of high activated carbon for
pesticides removals from liquid phase.
AB - For the activated carbon (AC) production, we used the most common industrial and
consumer solid waste, namely polyethyleneterephthalate (PET), alone or blended
with other synthetic polymer such polyacrylonitrile (PAN). By mixing PET, with
PAN, an improvement in the yield of the AC production was found and the basic
character and some textural and chemical properties were enhanced. The PET-PAN
mixture was subjected to carbonisation, with a pyrolysis yield of 31.9%, between
that obtained with PET (16.9%) or PAN (42.6%) separately. The AC revealed a high
surface area (1400, 1230 and 1117 m2 g-1) and pore volume (0.46, 0.56 and 0.50
cm3 g-1), respectively, for PET, PAN and PET-PAN precursors. Selected ACs were
successfully tested for 4-chloro-2-methylphenoxyacetic acid (MCPA) and diuron
removal from the liquid phase, showing a higher adsorption capacity (1.7 and 1.2
mmol g-1, respectively, for MCPA and diuron) and good fits with the Langmuir
(PET) and Freundlich equation (PAN and PET-PAN blend). With MCPA, the controlling
factor to the adsorption capacity was the porous volume and the average pore
size. Concerning diuron, the adsorption was controlled essentially by the
external diffusion. A remarkable result is the use of different synthetic
polymers wastes, as precursors for the production of carbon materials, with high
potential application on the pesticides removals from the liquid phase.
PMID- 27189257
TI - Use of job-exposure matrices to estimate occupational exposure to pesticides: A
review.
AB - The health effects of pesticides have been extensively studied in epidemiology,
mainly in agricultural populations. However, pesticide exposure assessment
remains a key methodological issue for epidemiological studies. Besides self
reported information, expert assessment or metrology, job-exposure matrices still
appear to be an interesting tool. We reviewed all existing matrices assessing
occupational exposure to pesticides in epidemiological studies and described the
exposure parameters they included. We identified two types of matrices, (i)
generic ones that are generally used in case-control studies and document broad
categories of pesticides in a large range of jobs, and (ii) specific matrices,
developed for use in agricultural cohorts, that generally provide exposure
metrics at the active ingredient level. The various applications of these
matrices in epidemiological studies have proven that they are valuable tools to
assess pesticide exposure. Specific matrices are particularly promising for use
in agricultural cohorts. However, results obtained with matrices have rarely been
compared with those obtained with other tools. In addition, the external validity
of the given estimates has not been adequately discussed. Yet, matrices would
help in reducing misclassification and in quantifying cumulated exposures, to
improve knowledge about the chronic health effects of pesticides.
PMID- 27189262
TI - 3-Hydroxypropionic acid production by recombinant Escherichia coli ZJU-3HP01
using glycerol-glucose dual-substrate fermentative strategy.
AB - 3-Hydroxypropionic acid (3-HP) is an important platform synthesis block for sets
of chemicals, but the relatively low production of 3-HP from biological sources
presented major barriers for its industrial applications. In this study, a dual
substrate fermentative strategy by glycerol and glucose was proposed, and the aim
was to evaluate the effect of different substrate addition strategies on the
fermentation process. The results indicated that the optimal cosubstrate was
glucose (20 g/L), and the enzymatic activity of aldehyde dehydrogenase (AldH)
could be improved 3.5-fold as compared with no glucose addition. Continuous fed
batch fermentation at a constant speed displayed better 3-HP production of 17.20
g/L and highest specific 3-HP productivity of 1.79 mmol/(g cell.H) than the other
fed-batch mode. The addition of glucose could greatly reduce the imbalance of the
activity between glycerol dehydratase and AldH and provide a feasible method for
improving 3-HP production. These results would be helpful in developing the 3-HP
fermentation process.
PMID- 27189263
TI - Diverse anisotropy of phonon transport in two-dimensional group IV-VI compounds:
A comparative study.
AB - New classes of two-dimensional (2D) materials beyond graphene, including layered
and non-layered, and their heterostructures, are currently attracting increasing
interest due to their promising applications in nanoelectronics, optoelectronics
and clean energy, where thermal transport is a fundamental physical parameter. In
this paper, we systematically investigated the phonon transport properties of the
2D orthorhombic group IV-VI compounds of GeS, GeSe, SnS and SnSe by solving the
Boltzmann transport equation (BTE) based on first-principles calculations.
Despite their similar puckered (hinge-like) structure along the armchair
direction as phosphorene, the four monolayer compounds possess diverse
anisotropic properties in many aspects, such as phonon group velocity, Young's
modulus and lattice thermal conductivity (kappa), etc. Especially, the kappa
along the zigzag and armchair directions of monolayer GeS shows the strongest
anisotropy while monolayer SnS and SnSe show almost isotropy in phonon transport.
The origin of the diverse anisotropy is fully studied and the underlying
mechanism is discussed in details. With limited size, the kappa could be
effectively lowered, and the anisotropy could be effectively modulated by
nanostructuring, which would extend the applications to nanoscale thermoelectrics
and thermal management. Our study offers fundamental understanding of the
anisotropic phonon transport properties of 2D materials, and would be of
significance for further study, modulation and applications in emerging
technologies.
PMID- 27189264
TI - Neonatal screening for Menkes disease using urine HVA/VMA ratio.
PMID- 27189266
TI - Solvation structure around the Li(+) ion in succinonitrile-lithium salt plastic
crystalline electrolytes.
AB - Herein, we discuss the study of solvation dynamics of lithium-succinonitrile (SN)
plastic crystalline electrolytes by ultrafast vibrational spectroscopy. The
infrared absorption spectra indicated that the CN stretch of the Li(+) bound and
unbound succinonitrile molecules in a same solution have distinct vibrational
frequencies (2276 cm(-1)vs. 2253 cm(-1)). The frequency difference allowed us to
measure the rotation decay times of solvent molecules bound and unbound to Li(+)
ion. The Li(+) coordination number of the Li(+)-SN complex was found to be 2 in
the plastic crystal phase (22 degrees C) and 2.5-3 in the liquid phase (80
degrees C), which is independent of the concentration (from 0.05 mol kg(-1) to 2
mol kg(-1)). The solvation structures along with DFT calculations of the Li(+)-SN
complex have been discussed. In addition, the dissociation percentage of lithium
salt was also determined. In 0.5 mol kg(-1) LiBF4-SN solutions at 80 degrees C,
60% +/- 10% of the salt dissociates into Li(+), which is bound by 2 or 3 solvent
molecules. In the 0.5 mol kg(-1) LiClO4-SN solutions at 80 degrees C, the salt
dissociation ratio can be up to 90% +/- 10%.
PMID- 27189265
TI - Attention-deficit/hyperactivity disorder.
AB - Attention-deficit/hyperactivity disorder (ADHD) is a persistent
neurodevelopmental disorder that affects 5% of children and adolescents and 2.5%
of adults worldwide. Throughout an individual's lifetime, ADHD can increase the
risk of other psychiatric disorders, educational and occupational failure,
accidents, criminality, social disability and addictions. No single risk factor
is necessary or sufficient to cause ADHD. In most cases ADHD arises from several
genetic and environmental risk factors that each have a small individual effect
and act together to increase susceptibility. The multifactorial causation of ADHD
is consistent with the heterogeneity of the disorder, which is shown by its
extensive psychiatric co-morbidity, its multiple domains of neurocognitive
impairment and the wide range of structural and functional brain anomalies
associated with it. The diagnosis of ADHD is reliable and valid when evaluated
with standard criteria for psychiatric disorders. Rating scales and clinical
interviews facilitate diagnosis and aid screening. The expression of symptoms
varies as a function of patient developmental stage and social and academic
contexts. Although there are no curative treatments for ADHD, evidenced-based
treatments can markedly reduce its symptoms and associated impairments. For
example, medications are efficacious and normally well tolerated, and various non
pharmacological approaches are also valuable. Ongoing clinical and
neurobiological research holds the promise of advancing diagnostic and
therapeutic approaches to ADHD. For an illustrated summary of this Primer, visit:
http://go.nature.com/J6jiwl.
PMID- 27189267
TI - Heat Shock Factor 1 Mediates Latent HIV Reactivation.
AB - HSF1, a conserved heat shock factor, has emerged as a key regulator of mammalian
transcription in response to cellular metabolic status and stress. To our
knowledge, it is not known whether HSF1 regulates viral transcription,
particularly HIV-1 and its latent form. Here we reveal that HSF1 extensively
participates in HIV transcription and is critical for HIV latent reactivation.
Mode of action studies demonstrated that HSF1 binds to the HIV 5'-LTR to
reactivate viral transcription and recruits a family of closely related multi
subunit complexes, including p300 and p-TEFb. And HSF1 recruits p300 for self
acetylation is also a committed step. The knockout of HSF1 impaired HIV
transcription, whereas the conditional over-expression of HSF1 improved that.
These findings demonstrate that HSF1 positively regulates the transcription of
latent HIV, suggesting that it might be an important target for different
therapeutic strategies aimed at a cure for HIV/AIDS.
PMID- 27189268
TI - The pattern of anxiolytic and hypnotic management by Australian general practice
trainees.
AB - INTRODUCTION AND AIMS: Guidelines recommend anxiolytics and hypnotics (A/H) as
second-line, short-term medications. We aimed to establish prevalence and
associations of A/H prescribing by Australian general practice (GP) trainees.
DESIGN AND METHODS: A cross-sectional analysis from a cohort study of vocational
trainees from four GP Regional Training Providers during 2010-2013. General
practice trainees act as independent practitioners (including for prescribing
purposes) while having recourse to advice from a GP supervisor. Practice and
trainee demographic data were collected as well as patient, clinical and
educational data from 60 consecutive consultations of each trainee each training
term. Analysis was at the level of individual problem managed, with the outcome
factor being prescription of any anxiolytic or hypnotic. RESULTS: Overall, 645
registrars (response rate 94.0%) prescribed 68 582 medications in 69 621
consultations (with 112 890 problems managed). A/Hs were prescribed for 1.3% of
problems managed and comprised 2.2% of all prescriptions. They were prescribed
particularly for insomnia (28.2%) or anxiety (21.8%), but also for many 'off
label' indications. Significant associations of A/H prescriptions were: patient
level (greater age, Aboriginal and Torres Strait Islander status, English
speaking background, being new to the trainee but not to the practice); trainee
level (male) and consultation-level (longer duration, pre-existing problem,
specialist referral not being made). Prescribing was significantly lower in one
of the four Regional Training Providers. DISCUSSION AND CONCLUSIONS: GP trainees,
inconsistent with most guideline recommendations, prescribe A/Hs mainly as
maintenance therapy to unfamiliar and older patients. Our results suggest that
changes in management approaches are needed which may be facilitated by support
for psychotherapeutic training. [Holliday SM, Morgan S, Tapley A, Henderson KM,
Dunlop AJ, van Driel ML, Spike NA, McArthur LA, Ball J, Oldmeadow CJ, Magin PJ.
The pattern of anxiolytic and hypnotic management by Australian general practice
trainees. Drug Alcohol Rev 2017;36:261-269].
PMID- 27189269
TI - Commercial processed soy-based food product contains glycated and glycoxidated
lunasin proteoforms.
AB - Nutraceuticals have been proposed to exert positive effects on human health and
confer protection against many chronic diseases. A major bioactive component of
soy-based foods is lunasin peptide, which has potential to exert a major impact
on the health of human consumers worldwide, but the biochemical features of
dietary lunasin still remain poorly characterized. In this study, lunasin was
purified from a soy-based food product via strong anion exchange solid phase
extraction and then subjected to top-down mass spectrometry analysis that
revealed in detail the molecular diversity of lunasin in processed soybean foods.
We detected multiple glycated proteoforms together with potentially toxic
advanced glycation end products (AGEs) derived from lunasin. In both cases,
modification sites were Lys24 and Lys29 located at the helical region that shows
structural homology with a conserved region of chromatin-binding proteins. The
identified post-translational modifications may have an important repercussion on
lunasin epigenetic regulatory capacity. Taking together, our results demonstrate
the importance of proper chemical characterization of commercial processed food
products to assess their impact on consumer's health and risk of chronic
diseases.
PMID- 27189270
TI - The molecular phylogeny of the digenean family Opecoelidae Ozaki, 1925 and the
value of morphological characters, with the erection of a new subfamily.
AB - Large and small rDNA sequences of 41 species of the family Opecoelidae are
utilised to produce phylogenetic inference trees, using brachycladioids and
lepocreadioids as outgroups. Sequences were newly generated for 13 species. The
resulting Bayesian trees show a monophyletic Opecoelidae. The earliest divergent
group is the Stenakrinae, based on two species which are not of the type-genus.
The next well-supported clade to diverge is constituted of three species of
Helicometra Odhner, 1902. Based on this tree and the characters of the egg and
uterus, a new subfamily, the Helicometrinae, is erected and defined to include
the genera Helicometra, Helicometrina Linton, 1910 and Neohelicometra Siddiqi et
Cable, 1960. The subfamily Opecoelinae is found to be monophyletic, but the
Plagioporinae is paraphyletic. The single representative of the Opecoelininae
(not of the type genus) is nested within a group of deep-sea 'plagioporines'. The
two representatives of the Opistholebetidae are embedded within a group of
shallow-water 'plagioporine' species. The Opistholebetidae is reduced to
subfamily status pro tem as its morphological and biological characteristics are
distinctive. This implies that as opecoelid systematics develops with more
molecular evidence, several further subfamilies will be recognised. Many of the
morphological characters were found to be homoplasious, but the characters
defining the Helicometrinae and Opecoelinae, such as filamented eggs, reduced
cirrus-sac and uterine seminal receptacle, are closely correlated with the
inferred phylogeny.
PMID- 27189271
TI - In Hot Pursuit of the First Vaccine Against Respiratory Syncytial Virus.
AB - Human respiratory syncytial virus (RSV) is the leading cause of severe lower
respiratory tract infection, such as bronchiolitis, bronchitis, or pneumonia, in
both infants and the elderly. Despite the global burden of diseases attributable
to RSV infection, no clinically approved vaccine is available, and a humanized
monoclonal antibody for prophylaxis is not readily affordable in developing
countries. There are several hurdles to the successful development of RSV
vaccines: immune-vulnerable target populations such as premature infants,
pregnant women, and immunocompromised people; safety concerns associated with
vaccine-enhanced diseases; repeated infection; and waning memory. To develop
successful strategies for the prevention of RSV infection, it is necessary to
understand the protective and pathologic roles of host immune responses to RSV
infection. In this review, we will summarize the positive and negative
relationship between RSV infection and host immunity and discuss strategies for
the development of the first successful RSV vaccine.
PMID- 27189272
TI - Hearing Restoration in Neurofibromatosis Type II Patients.
AB - Patients with neurofibromatosis type II will eventually succumb to bilateral
deafness. For patients with hearing loss, modern medical science technology can
provide efficient hearing restoration through a number of various methods. In
this article, several hearing restoration methods for patients with
neurofibromatosis type II are introduced.
PMID- 27189274
TI - Fibroblast Growth Factor Receptor 1 Overexpression Is Associated with Poor
Survival in Patients with Resected Muscle Invasive Urothelial Carcinoma.
AB - PURPOSE: To examine the usefulness of various receptor tyrosine kinase
expressions as prognostic markers and therapeutic targets in muscle invasive
urothelial cancer (UC) patients. MATERIALS AND METHODS: We retrospectively
analyzed the data of 98 patients with muscle invasive UC who underwent radical
cystectomy between 2005 and 2010 in Yonsei Cancer Center. Using formalin fixed
paraffin embedded tissues of primary tumors, immunohistochemical staining was
done for human epidermal growth factor receptor 2 (HER2), fibroblast growth
factor receptor 1 (FGFR1), and fibroblast growth factor receptor 3 (FGFR3).
RESULTS: There were 41 (41.8%), 44 (44.9%), and 14 (14.2%) patients who have over
expressed HER2, FGFR1, and FGFR3, respectively. In univariate analysis,
significantly shorter median time to recurrence (TTR) (12.9 months vs. 49.0
months; p=0.008) and overall survival (OS) (22.3 months vs. 52.7 months; p=0.006)
was found in patients with FGFR1 overexpression. By contrast, there was no
difference in TTR or OS according to the HER2 and FGFR3 expression status. FGFR1
remained as a significant prognostic factor for OS with hazard ratio of 2.23 (95%
confidence interval: 1.27-3.90, p=0.006) in multivariate analysis. CONCLUSION:
Our result showed that FGFR1 expression, but not FGFR3, is an adverse prognostic
factor in muscle invasive UC patients after radical cystectomy. FGFR1 might be
feasible for prognosis prediction and a potential therapeutic target after
thorough validation in muscle invasive UC.
PMID- 27189273
TI - Re-Irradiation for Recurrent Gliomas: Treatment Outcomes and Prognostic Factors.
AB - PURPOSE: The aim of this study was to evaluate the efficacy of re-irradiation in
patients with recurrent gliomas and to identify subgroups for whom re-irradiation
for recurrent gliomas is most beneficial. MATERIALS AND METHODS: We
retrospectively reviewed 36 patients with recurrent or progressive gliomas who
received re-irradiation between January 1996 and December 2011. Re-irradiation
was offered to recurrent glioma patients with good performance or at least 6
months had passed after initial radiotherapy (RT), with few exceptions. RESULTS:
Median doses of re-irradiation and initial RT were 45.0 Gy and 59.4 Gy,
respectively. The median time interval between initial RT and re-irradiation was
30.5 months. Median overall survival (OS) and the 12-month OS rate were 11 months
and 41.7%, respectively. In univariate analysis, Karnofsky performance status
(KPS) >=70 (p<0.001), re-irradiation dose >=45 Gy (p=0.040), and longer time
interval between initial RT and re-irradiation (p=0.040) were associated with
improved OS. In multivariate analysis, KPS (p=0.030) and length of time interval
between initial RT and re-irradiation (p=0.048) were important predictors of OS.
A radiographically suspected mixture of radiation necrosis and progression after
re-irradiation was seen in 5 patients. CONCLUSION: Re-irradiation in conjunction
with surgery could be a salvage treatment for selected recurrent glioma patients
with good performance status and recurrence over a long time.
PMID- 27189275
TI - Prognostic Evaluation of Nasopharyngeal Carcinoma with Bone-Only Metastasis after
Therapy.
AB - PURPOSE: To evaluate the prognosis of nasopharyngeal carcinoma (NPC) patients who
developed bone-only metastasis after primary treatment and the stratification of
these patients into different risk groups based on independent prognostic
factors. MATERIALS AND METHODS: Eighty NPC patients who developed bone-only
metastasis after definitive radiotherapy from October 2005 to December 2010 were
enrolled. All these patients received palliative treatment for bone metastasis,
including chemotherapy and/or radiotherapy. Clinical features, treatment
modality, and laboratory parameters were examined with univariate and
multivariate analyses. RESULTS: The median follow-up time was 15.5 months (range,
2-67 months) for the whole cohort. The median overall metastatic survival (OMS)
time and the 2-year estimate OMS rate were 26.5 months and 52%, respectively.
Multivariate analysis indicated that patients with short metastases-free
interval, multiple bone metastases sites, high serum lactic dehydrogenase levels,
and treated with radiotherapy or chemotherapy alone had significantly worse
outcomes. Patients were stratified into three different risk groups based on the
number of adverse factors present. The OMS curves of the three groups were all
significantly different (p<0.001). CONCLUSION: Severl prognostic factors were
found to be associated with worse outcomes. According to the number of adverse
factors present, bone-only metastasis patients can be stratified into three risk
groups with significantly different prognoses. Such grouping may help in
improving the design of clinical trials and in guiding individualized treatment
for NPC patients with bone-only metastasis.
PMID- 27189276
TI - The Roles of Radiotherapy and Chemotherapy in the Era of Multimodal Treatment for
Early-Stage Nasal-Type Extranodal Natural Killer/T-Cell Lymphoma.
AB - PURPOSE: To evaluate radiotherapy (RT) and chemotherapy (CT) treatments of early
stage extranodal natural killer/T-cell lymphoma (ENKTL). MATERIALS AND METHODS:
Fifty-five patients with stage I or II ENKTL [n=39 (71%) and 16 (29%) patients,
respectively] who were treated with RT between 1999 and 2013 were analyzed
retrospectively. The median age was 54 years (range, 24-81). Patients were
grouped by treatment modality as RT alone [n=19 (35%)], upfront CT plus RT
[CT+RT, n=16 (29%)], and concurrent chemoradiotherapy [CCRT, n=20 (36%)]. The
median RT dose was 48 Gy. Patient characteristics between each treatment group
were well balanced. Patterns of failure and survival were analyzed. RESULTS: The
overall response rate after RT was 94.6%. Ten patients experienced distant
failure, and seven experienced local failure comprising five in-field and two out
field failures. The local and distant failure rates in the RT-alone group were
the same (16%). In the CT+RT group, the most common failure sites were local
(19%). In the CCRT group, the most common failures were distant (25%). At a
median follow-up of 56 months (range, 1-178 months), the 5-year overall survival
(OS) and progression-free survival rates were 66% and 54%, respectively. The 5
year OS rate for the RT-alone and CT+RT groups were 76% and 69%, respectively,
and the 2-year OS rate for the CCRT group was 62% (p=0.388). CONCLUSION: In the
era of multimodal treatment for ENKTL, RT alone using advanced techniques should
be considered for local disease control, whereas maintenance CT regimens should
be considered for distant disease control.
PMID- 27189277
TI - Prognostic Factors for Recurrence and Progression in Korean Non-Muscle-Invasive
Bladder Cancer Patients: A Retrospective, Multi-Institutional Study.
AB - PURPOSE: To identify the prognostic factors related to tumor recurrence and
progression in Korean patients with non-muscle-invasive bladder cancer (NMIBC).
MATERIALS AND METHODS: Data were collected and analyzed for 2412 NMIBC patients
from 15 centers who were initially diagnosed after transurethral resection of
bladder tumor (TURBT) from January 2006 to December 2010. Using univariable and
multivariable Cox proportional hazards models, the prognostic value of each
variable was evaluated for the time to first recurrence and progression. RESULTS:
With a median follow-up duration of 37 months, 866 patients (35.9%) experienced
recurrence, and 137 (5.7%) experienced progression. Patients with recurrence had
a median time to the first recurrence of 10 months. Multivariable analysis
conducted in all patients revealed that preoperative positive urine cytology
(PUC) was independently associated with worse recurrence-free survival [RFS;
hazard ratio (HR) 1.56; p<0.001], and progression-free survival (PFS; HR 1.56;
p=0.037). In particular, on multivariable analysis conducted for the high-risk
group (T1 tumor/high-grade Ta tumor/carcinoma in situ), preoperative PUC was an
independent predictor of worse RFS (HR 1.73; p<0.001) and PFS (HR 1.96; p=0.006).
On multivariable analysis in patients with T1 high-grade (T1HG) cancer (n=684),
better RFS (HR 0.75; p=0.033) and PFS (HR 0.33; p<0.001) were observed in
association with the administration of intravesical Bacillus Calmette-Guerin
(BCG) induction therapy. CONCLUSION: A preoperative PUC result may adversely
affect RFS and PFS, particularly in high-risk NMIBC patients. Of particular note,
intravesical BCG induction therapy should be administered as an adjunct to TURBT
in order to improve RFS and PFS in patients with T1HG cancer.
PMID- 27189279
TI - Estimation of Prognostic Marker Genes by Public Microarray Data in Patients with
Ovarian Serous Cystadenocarcinoma.
AB - PURPOSE: Lymphatic invasion (LI) is regarded as a predictor of the aggressiveness
of ovarian cancer (OC). However, LI is not always the major determinant of long
term patient survival. To establish proper diagnosis and treatment for OC, we
analyzed differentially expressed genes (DEGs) for patients with serous
epithelial OC, with or without LI, who did or did not survive for 5 years.
MATERIALS AND METHODS: Gene expression data from 63 patients with OC and LI, and
35 patients with OC but without LI, were investigated using an Affymetrix Human
Genome U133 Array and analyzed using The Cancer Genome Atlas (TCGA) database.
Among these 98 patients, 16 survived for 5 years or more. DEGs were identified
using the Bioconductor R package, and their functions were analyzed using the
DAVID web tool. RESULTS: We found 55 significant DEGs (p<0.01) from the patients
with LI and 20 highly significant DEGs (p<0.001) from those without it. Pathway
analysis showed that DEGs associated with carbohydrate metabolism or with renal
cell carcinoma pathways were enriched in the patients with and without LI,
respectively. Using the top five prognostic marker genes, we generated survival
scores that could be used to predict the 5-year survival of patients with OC
without LI. CONCLUSION: The DEGs identified in this study could be used to
elucidate the mechanism of tumor progression and to guide the prognosis and
treatment of patients with serous OC but without LI.
PMID- 27189280
TI - Aldehyde Dehydrogenase 2 (ALDH2) Polymorphism and the Risk of Alcoholic Liver
Cirrhosis among East Asians: A Meta-Analysis.
AB - PURPOSE: The aldehyde dehydrogenase 2 (ALDH2) gene has been implicated in the
development of alcoholic liver cirrhosis (ALC) in East Asians. However, the
results are inconsistent. In this study, a meta-analysis was performed to assess
the associations between the ALDH2 polymorphism and the risk of ALC. MATERIALS
AND METHODS: Relevant studies were retrieved by searching PubMed, Web of Science,
CNKI, Wanfang and Veipu databases up to January 10, 2015. Pooled odds ratio (OR)
and 95% confidence interval (CI) were calculated using either the fixed- or
random effects model. RESULTS: A total of twelve case-control studies included
1003 cases and 2011 controls were included. Overall, the ALDH2 polymorphism was
associated with a decreased risk of ALC (*1/*2 vs. *1/*1: OR=0.78, 95% CI: 0.61
0.99). However, in stratification analysis by country, we failed to detect any
association among Chinese, Korean or Japanese populations. CONCLUSION: The pooled
evidence suggests that ALDH2 polymorphism may be an important protective factor
for ALC in East Asians.
PMID- 27189278
TI - Metabolic Pathway Signatures Associated with Urinary Metabolite Biomarkers
Differentiate Bladder Cancer Patients from Healthy Controls.
AB - PURPOSE: Our previous high-performance liquid chromatography-quadrupole time-of
flight mass spectrometry study identified bladder cancer (BCA)-specific urine
metabolites, including carnitine, acylcarnitines, and melatonin. The objective of
the current study was to determine which metabolic pathways are perturbed in BCA,
based on our previously identified urinary metabolome. MATERIALS AND METHODS: A
total of 135 primary BCA samples and 26 control tissue samples from healthy
volunteers were analyzed. The association between specific urinary metabolites
and their related encoding genes was analyzed. RESULTS: Significant alterations
in the carnitine-acylcarnitine and tryptophan metabolic pathways were detected in
urine specimens from BCA patients compared to those of healthy controls. The
expression of eight genes involved in the carnitine-acylcarnitine metabolic
pathway (CPT1A, CPT1B, CPT1C, CPT2, SLC25A20, and CRAT) or tryptophan metabolism
(TPH1 and IDO1) was assessed by RT-PCR in our BCA cohort (n=135). CPT1B, CPT1C,
SLC25A20, CRAT, TPH1, and IOD1 were significantly downregulated in tumor tissues
compared to normal bladder tissues (p<0.05 all) of patients with non-muscle
invasive BCA, whereas CPT1B, CPT1C, CRAT, and TPH1 were downregulated in those
with muscle invasive BCA (p<0.05), with no changes in IDO1 expression.
CONCLUSION: Alterations in the expression of genes associated with the carnitine
acylcarnitine and tryptophan metabolic pathways, which were the most perturbed
pathways in BCA, were determined.
PMID- 27189282
TI - Cyclooxygenase-2 Inhibitor Reduces Hepatic Stiffness in Pediatric Chronic Liver
Disease Patients Following Kasai Portoenterostomy.
AB - PURPOSE: The purpose of this study was to define the role of cyclooxygenase-2
inhibitors (COX-2i) in reducing hepatic fibrosis in pediatric patients with
chronic liver disease. MATERIALS AND METHODS: From September 2009 to September
2010, patients over 2 years old who visited our outpatient clinic for follow-up
to manage their chronic liver disease after Kasai portoenterostomy for biliary
atresia, were included in this study. Volunteers were assigned to the study or
control groups, according to their preference. A COX-2i was given to only the
study group after obtaining consent. The degree of hepatic fibrosis (liver
stiffness score, LSS) was prospectively measured using FibroScan, and liver
function was examined using serum analysis before and after treatment. After 1
year, changes in LSSs and liver function were compared between the two groups.
RESULTS: Twenty-five patients (18 females and 7 males) were enrolled in the study
group. The control group included 44 patients (26 females and 18 males). After 1
year, the least square mean values for the LSSs were significantly decreased by
3.91+/-0.98 kPa (p=0.004) only in the study group. Serum total bilirubin did not
decrease significantly in either group. CONCLUSION: COX-2i treatment improved the
LSS in patients with chronic liver disease after Kasai portoenterostomy for
biliary atresia.
PMID- 27189281
TI - The Relationship between Type 2 Diabetes Mellitus and Non-Alcoholic Fatty Liver
Disease Measured by Controlled Attenuation Parameter.
AB - PURPOSE: The severity of non-alcoholic fatty liver disease (NAFLD) in type 2
diabetes mellitus (T2DM) population compared with that in normal glucose
tolerance (NGT) individuals has not yet been quantitatively assessed. We
investigated the prevalence and the severity of NAFLD in a T2DM population using
controlled attenuation parameter (CAP). MATERIALS AND METHODS: Subjects who
underwent testing for biomarkers related to T2DM and CAP using Fibroscan(r)
during a regular health check-up were enrolled. CAP values of 250 dB/m and 300
dB/m were selected as the cutoffs for the presence of NAFLD and for moderate to
severe NAFLD, respectively. Biomarkers related to T2DM included fasting
glucose/insulin, fasting C-peptide, hemoglobin A1c (HbA1c), glycoalbumin, and
homeostasis model assessment of insulin resistance of insulin resistance (HOMA
IR). RESULTS: Among 340 study participants (T2DM, n=66; pre-diabetes, n=202; NGT,
n=72), the proportion of subjects with NAFLD increased according to the glucose
tolerance status (31.9% in NGT; 47.0% in pre-diabetes; 57.6% in T2DM). The median
CAP value was significantly higher in subjects with T2DM (265 dB/m) than in those
with pre-diabetes (245 dB/m) or NGT (231 dB/m) (all p<0.05). Logistic regression
analysis showed that subjects with moderate to severe NAFLD had a 2.8-fold (odds
ratio) higher risk of having T2DM than those without NAFLD (p=0.02; 95%
confidence interval, 1.21-6.64), and positive correlations between the CAP value
and HOMA-IR (rho0.407) or fasting C-peptide (rho0.402) were demonstrated.
CONCLUSION: Subjects with T2DM had a higher prevalence of severe NAFLD than those
with NGT. Increased hepatic steatosis was significantly associated with the
presence of T2DM, and insulin resistance induced by hepatic fat may be an
important mechanistic connection.
PMID- 27189283
TI - Early Marker of Myocardial Deformation in Children with Duchenne Muscular
Dystrophy Assessed Using Echocardiographic Myocardial Strain Analysis.
AB - PURPOSE: As cardiomyopathy is more prevalent and currently the leading cause of
death in Duchenne muscular dystrophy (DMD), early detection of myocardial
involvement is important. The purpose of this study was to analyze myocardial
strain in DMD children, for the possibility of early detection of myocardial
dysfunction. MATERIALS AND METHODS: We reviewed medical records of DMD patients
who were >10 years of age (15.6+/-1.6 years, 12.5-18 years), from March 2013 to
June 2014. Data of 24 DMD children who underwent echocardiography with three
layer specific myocardial strain were compared with 24 controls (age: 9.3+/-4.0
years, 5.5-17 years). RESULTS: Epicardial longitudinal strain was lower in DMD
(DMD: -9.3+/-3.8%; control: -12.3+/-4.3%; p=0.012). Radial strain (DMD: 24.1+/
11.1%; control: 37.3+/-25.9%; p=0.027) and strain rate (SR) (DMD: 1.68+/-0.91;
control: 2.42+/-0.84; p=0.006) on parasternal short axis view were lower in DMD.
Circumferential strains in the endocardium (DMD: -17.5+/-4.7%; control: -24.2+/
5.3%; p<0.001), myocardium (DMD: -12.7+/-3.8%; control: -18.0+/-4.0%; p<0.001),
and epicardium (DMD: -8.4+/-4.0%; control: -12.2+/-5.0%; p=0.006) were
significantly decreased in DMD. Circumferential SRs were lower in the endocardial
(DMD: -1.46+/-0.38; control: -1.78+/-0.27; p=0.002) and myocardial layers (DMD:
1.02+/-0.27; control: -1.28+/-0.22; p=0.001). CONCLUSION: In DMD patients,
deteriorations in myocardial circumferential strain might be an indicator for
predicting cardiomyopathy.
PMID- 27189285
TI - Subclinical Hypothyroidism in Childhood Cancer Survivors.
AB - PURPOSE: In childhood cancer survivors, the most common late effect is thyroid
dysfunction, most notably subclinical hypothyroidism (SCH). Our study evaluated
the risk factors for persistent SCH in survivors. MATERIALS AND METHODS:
Survivors (n=423) were defined as patients who survived at least 2 years after
cancer treatment completion. Thyroid function was assessed at this time and
several years thereafter. Two groups of survivors with SCH were compared: those
who regained normal thyroid function during the follow-up period (normalized
group) and those who did not (persistent group). RESULTS: Overall, 104 of the 423
survivors had SCH. SCH was observed in 26% of brain or nasopharyngeal cancer
survivors (11 of 43) and 21.6% of leukemia survivors (35 of 162). Sixty-two
survivors regained normal thyroid function, 30 remained as persistent SCH, and 12
were lost to follow-up. The follow-up duration was 4.03 (2.15-5.78) years. Brain
or nasopharyngeal cancer and Hodgkin disease were more common in the persistent
group than in the normalized group (p=0.002). More patients in the persistent
group received radiation (p=0.008). Radiation to the head region was higher in
this group (2394+/-2469 cGy) than in the normalized group (894+/-1591 cGy;
p=0.003). On multivariable analysis, lymphoma (p=0.011), brain or nasopharyngeal
cancer (p=0.039), and head radiation dose >=1800 cGy (p=0.039) were significant
risk factors for persistent SCH. CONCLUSION: SCH was common in childhood cancer
survivors. Brain or nasopharyngeal cancer, lymphoma, and head radiation >=1800
cGy were significant risk factors for persistent SCH.
PMID- 27189284
TI - Assessment of Denosumab in Korean Postmenopausal Women with Osteoporosis:
Randomized, Double-Blind, Placebo-Controlled Trial with Open-Label Extension.
AB - PURPOSE: The efficacy and safety of denosumab was compared with placebo in Korean
postmenopausal women with osteoporosis in this phase III study. MATERIALS AND
METHODS: Women aged 60 to 90 years with a T-score of <-2.5 and >=-4.0 at the
lumbar spine or total hip were randomized to a single 60 mg subcutaneous dose of
denosumab or placebo for the 6-month double-blind phase. Eligible subjects
entered the 6-month open-label extension phase and received a single dose of
denosumab 60 mg. RESULTS: Baseline demographics were similar in the 62 denosumab-
and 64 placebo-treated subjects who completed the double-blind phase. Treatment
favored denosumab over placebo for the primary endpoint {mean percent change from
baseline in lumbar spine bone mineral density (BMD) at Month 6 [3.2% (95%
confidence interval 2.1%, 4.4%; p<0.0001)]}; and secondary endpoints (mean
percent change from baseline in lumbar spine BMD at Month 1, total hip, femoral
neck, and trochanter BMD at Months 1 and 6, and median percent change from
baseline in bone turnover markers at Months 1, 3, and 6). Endpoint improvements
were sustained over 12 months in the open-label extension (n=119). There were no
new or unexpected safety signals. CONCLUSION: Denosumab was well tolerated and
effective in increasing BMD and decreasing bone turnover markers over a 12-month
period in Korean postmenopausal women. The findings of this study demonstrate
that denosumab has beneficial effects on the measures of osteoporosis in Korean
postmenopausal women.
PMID- 27189287
TI - Efficacy and Safety of Roflumilast in Korean Patients with COPD.
AB - PURPOSE: Roflumilast is the only oral phosphodiesterase 4 inhibitor approved to
treat chronic obstructive pulmonary disease (COPD) patients [post-bronchodilator
forced expiratory volume in 1 second (FEV1) <50% predicted] with chronic
bronchitis and a history of frequent exacerbations. This study evaluated the
efficacy and safety of roflumilast in Korean patients with COPD and compared the
efficacy based on the severity of airflow limitation. MATERIALS AND METHODS: A
post-hoc subgroup analysis was performed in Korean COPD patients participating in
JADE, a 12-week, double-blinded, placebo-controlled, parallel-group, phase III
trial in Asia. The primary efficacy endpoint was the mean [least-squares mean
adjusted for covariates (LSMean)] change in post-bronchodilator FEV1 from
baseline to each post-randomization visit. Safety endpoints included adverse
events (AEs) and changes in laboratory values, vital signs, and
electrocardiograms. RESULTS: A total of 260 Korean COPD patients were recruited,
of which 207 were randomized to roflumilast (n=102) or placebo (n=105) treatment.
After 12 weeks, LSMean post-bronchodilator FEV1 increased by 43 mL for patients
receiving roflumilast and decreased by 60 mL for those taking placebo. Adverse
events were more common in the roflumilast group than in the placebo group;
however, the types and frequency of AEs were comparable to those reported in
previous studies. CONCLUSION: Roflumilast significantly improved lung function
with a tolerable safety profile in Korean COPD patients irrespective of the
severity of airflow limitation.
PMID- 27189286
TI - Vitamin D Repletion in Korean Postmenopausal Women with Osteoporosis.
AB - PURPOSE: Up to 71% of South Korean postmenopausal women have vitamin D deficiency
{serum 25-hydroxyvitamin D [25(OH) D] level <50 nmol/L}. Data on vitamin D
supplementation was collected during the screening phase of an efficacy/safety
study of denosumab in Korean postmenopausal women with osteoporosis. This report
describes the effect of vitamin D supplementation on repletion to 25(OH)D levels
>=50 nmol/L in Korean postmenopausal women with osteoporosis. MATERIALS AND
METHODS: Vitamin D levels of Korean postmenopausal women (60-90 years old) were
measured by extracting 25(OH)D2 and 25(OH)D3 from serum samples via protein
precipitation and using liquid chromatography with tandem mass spectrometry
detection. Calibration curves were constructed from the mass chromatograms to
obtain total vitamin D levels. Subjects with serum 25(OH)D levels <50 nmol/L were
supplemented with 1000 IU of vitamin D tablets during the 2.5-month-long
screening period. Dose, frequency, and duration were determined by the
investigator. If repletion was achieved (>=50 nmol/L) on retest, subjects were
eligible to be rescreened for study entry. RESULTS: Of 371 subjects screened, 191
(52%) required vitamin D supplementation, and 88% (168 of 191) were successfully
repleted. More than half of the subjects (58%) who were successfully repleted
received doses of 2000 IU daily. The mean time to successful repletion was 31
days (standard deviation 8.4 days; range 11-48 days). CONCLUSION: Supplementation
with daily median doses of 2000 IU vitamin D successfully repleted 88% of Korean
postmenopausal women with osteoporosis within 48 days to a serum vitamin D level
of 50 nmol/L.
PMID- 27189288
TI - Helmet CPAP versus Oxygen Therapy in Hypoxemic Acute Respiratory Failure: A Meta
Analysis of Randomized Controlled Trials.
AB - PURPOSE: The efficacy of helmet continuous positive airway pressure (CPAP) in
hypoxemic acute respiratory failure (hARF) remains unclear. The aim of this meta
analysis was to critically review studies that investigated the effect of helmet
CPAP on gas exchange, mortality, and intubation rate in comparison with standard
oxygen therapy. MATERIALS AND METHODS: We performed a meta-analysis of randomized
controlled trials (RCTs) by searching the PubMed, Embase, Cochrane library, OVID,
and CBM databases, and the bibliographies of the retrieved articles. Studies that
enrolled adults with hARF who were treated with helmet CPAP and measured at least
one of the following parameters were included: gas exchange, intubation rate, in
hospital mortality rate. RESULTS: Four studies with 377 subjects met the
inclusion criteria and were analyzed. Compared to the standard oxygen therapy,
helmet CPAP significantly increased the PaO2/FiO2 [weighted mean difference
(WMD)=73.40, 95% confidence interval (95% CI): 43.92 to 102.87, p<0.00001], and
decreased the arterial carbon dioxide levels (WMD=-1.92, 95% CI: -3.21 to -0.63,
p=0.003), intubation rate [relative risk (RR)=0.21, 95% CI: 0.11 to 0.40,
p<0.00001], and in-hospital mortality rate (RR=0.22, 95% CI: 0.09 to 0.50,
p=0.0004). CONCLUSION: The results of this meta-analysis suggest that helmet CPAP
improves oxygenation and reduces mortality and intubation rates in hARF. However,
the significant clinical and statistical heterogeneity of the literature implies
that large RCTs are needed to determine the role of helmet CPAP in different
hypoxemic ARF populations.
PMID- 27189289
TI - AST-120 Improves Microvascular Endothelial Dysfunction in End-Stage Renal Disease
Patients Receiving Hemodialysis.
AB - PURPOSE: Endothelial dysfunction (ED) is a pivotal phenomenon in the development
of cardiovascular disease (CVD) in patients receiving hemodialysis (HD). Indoxyl
sulfate (IS) is a known uremic toxin that induces ED in patients with chronic
kidney disease. The aim of this study was to investigate whether AST-120, an
absorbent of IS, improves microvascular or macrovascular ED in HD patients.
MATERIALS AND METHODS: We conducted a prospective, case-controlled trial.
Fourteen patients each were enrolled in respective AST-120 and control groups.
The subjects in the AST-120 group were treated with AST-120 (6 g/day) for 6
months. Microvascular function was assessed by laser Doppler flowmetry using
iontophoresis of acetylcholine (Ach) and sodium nitroprusside (SNP) at baseline
and again at 3 and 6 months. Carotid arterial intima-media thickness (cIMT) and
flow-mediated vasodilation were measured at baseline and 6 months. The Wilcoxon
rank test was used to compare values before and after AST-120 treatment. RESULTS:
Ach-induced iontophoresis (endothelium-dependent response) was dramatically
ameliorated at 3 months and 6 months in the AST-120 group. SNP-induced response
showed delayed improvement only at 6 months in the AST-120 group. The IS level
was decreased at 3 months in the AST-120 group, but remained stable thereafter.
cIMT was significantly reduced after AST-120 treatment. No significant
complications in patients taking AST-120 were reported. CONCLUSION: AST-120
ameliorated microvascular ED and cIMT in HD patients. A randomized study
including a larger population will be required to establish a definitive role of
AST-120 as a preventive medication for CVD in HD patients.
PMID- 27189290
TI - The Effect of Pulsatility Index on Infarct Volume in Acute Lacunar Stroke.
AB - PURPOSE: Lacunar stroke, in the context of small vessel disease, is a type of
cerebral infarction caused by occlusion of a penetrating artery. Pulsatility
index (PI) is an easily measurable parameter in Transcranial Doppler ultrasound
(TCD) study. PI reflects distal cerebral vascular resistance and has been
interpreted as a surrogate marker of small vessel disease. We hypothesized that
an increased PI, a marker of small vessel disease, might be associated with a
larger infarct volume in acute lacunar stroke. MATERIALS AND METHODS: This study
included 64 patients with acute lacunar stroke who underwent TCD and brain MRI.
We evaluated the association between the mean PI value of bilateral middle
cerebral arteries and infarct volume on diffusion-weighted MRI using univariate
and multivariate linear regression. RESULTS: The mean infarct volume and PI were
482.18+/-406.40 mm3 and 0.86+/-0.18, respectively. On univariate linear
regression, there was a significant positive association between PI and infarct
volume (p=0.001). In the multivariate model, a single standard deviation increase
of PI (per 0.18) was associated with an increase of 139.05 mm3 in infarct volume
(95% confidence interval, 21.25 to 256.85; p=0.022). CONCLUSION: We demonstrated
that PI was an independent determinant of infarct volume in acute lacunar stroke.
The PI value measured in acute stroke may be a surrogate marker of the extent of
ischemic injury.
PMID- 27189291
TI - Roux-en-Y Gastric Bypass vs. Sleeve Gastrectomy vs. Gastric Banding: The First
Multicenter Retrospective Comparative Cohort Study in Obese Korean Patients.
AB - PURPOSE: Bariatric surgery is relatively new in Korea, and studies comparing
different bariatric procedures in Koreans are lacking. This study aimed to
compare the clinical outcomes of laparoscopic adjustable gastric banding (LAGB),
Roux-en-Y gastric bypass (RYGB), and sleeve gastrectomy (SG) for treating
morbidly obese Korean adults. MATERIALS AND METHODS: In this multicenter
retrospective cohort study, we reviewed the medical records of 261 obese patients
who underwent different bariatric procedures. Clinical outcomes were measured in
terms of weight loss and resolution of comorbidities, such as diabetes,
hypertension, and dyslipidemia. Safety profiles for the procedures were also
evaluated. RESULTS: In terms of weight loss, the three procedures showed similar
results at 18 months (weight loss in 52.1% for SG, 61.0% for LAGB, and 69.2% for
RYGB). Remission of diabetes, hypertension, and dyslipidemia was more frequent in
patients who underwent RYGB (65.9%, 63.6%, and 100% of patients, respectively).
Safety profiles were similar among groups. Early complications occurred in 26
patients (9.9%) and late complications in 32 (12.3%). In the LAGB group, five
bands (6.9%) were removed. Among all patients, one death (1/261=0.38%) occurred
in the RYGB group due to aspiration pneumonia. CONCLUSION: The three bariatric
procedures were comparable in regards to weight-loss outcomes; nevertheless, RYGB
showed a higher rate of comorbidity resolution. Bariatric surgery is effective
and relatively safe; however, due to complications, some bands had to be removed
in the LAGB group and a relatively high rate of reoperations was observed in the
RYGB group.
PMID- 27189292
TI - Comparison of Predicted Total Lung Capacity and Total Lung Capacity by Computed
Tomography in Lung Transplantation Candidates.
AB - PURPOSE: Lung size mismatch is a major cause of poor lung function and worse
survival after lung transplantation (LTx). We compared predicted total lung
capacity (pTLC) and TLC measured by chest computed tomography (TLC(CT)) in LTx
candidates. MATERIALS AND METHODS: We reviewed the medical records of patients on
waiting lists for LTx. According to the results of pulmonary function tests,
patients were divided into an obstructive disease group and restrictive disease
group. The differences between pTLC calculated using the equation of the European
Respiratory Society and TLC(CT) were analyzed in each group. RESULTS: Ninety two
patients met the criteria. Thirty five patients were included in the obstructive
disease group, and 57 patients were included in the restrictive disease group.
pTLC in the obstructive disease group (5.50+/-1.07 L) and restrictive disease
group (5.57+/-1.03 L) had no statistical significance (p=0.747), while TLC(CT) in
the restrictive disease group (3.17+/-1.15 L) was smaller than that I the
obstructive disease group (4.21+/-1.38 L) (p<0.0001). TLC(CT)/pTLC was 0.770 in
the obstructive disease group and 0.571 in the restrictive disease group.
CONCLUSION: Regardless of pulmonary disease pattern, TLC(CT) was smaller than
pTLC, and it was more apparent in restrictive lung disease. Therefore, we should
consider the difference between TLC(CT) and pTLC, as well as lung disease
patterns of candidates, in lung size matching for LTx.
PMID- 27189293
TI - Surgical Anatomy of the Longus Colli Muscle and Uncinate Process in the Cervical
Spine.
AB - PURPOSE: There have been a few previous reports regarding the distances between
the medial borders of the longus colli to expose the disc space. However, to our
knowledge, there are no reports concerning longus colli dissection to expose the
uncinate processes. This study was undertaken to assess the surgical relationship
between the longus colli muscle and the uncinate process in the cervical spine.
MATERIALS AND METHODS: This study included 120 Korean patients randomly selected
from 333 who had cervical spine MRIs and CTs from January 2003 to October 2013.
They consisted of 60 males and 60 females. Each group was subdivided into six
groups by age from 20 to 70 years or more. We measured three parameters on MRIs
from C3 to T1: left and right longus colli distance and inter-longus colli
distance. We also measured three parameters on CT: left and right uncinate
distance and inter-uncinate distance. RESULTS: The longus colli distances,
uncinate distances, and inter-uncinate distances increased from C3 to T1. The
inter-longus colli distances increased from C3 to C7. There was no difference in
longus colli distances and uncinate distances between males and females. There
was no difference in the six parameters for the different age groups. CONCLUSION:
Although approximate guidelines, we recommend the longus colli be dissected
approximately 5 mm at C3-5, 6 mm at C5-6, 7 mm at C6-7, and 8 mm at C7-T1 to
expose the uncinate process to its lateral edge.
PMID- 27189294
TI - Vascular Plug Assisted Retrograde Transvenous Obliteration (PARTO) for Gastric
Varix Bleeding Patients in the Emergent Clinical Setting.
AB - PURPOSE: To evaluate the technical feasibility and safety of vascular plug
assisted retrograde transvenous obliteration (PARTO) for bleeding gastric varix
performed in the emergent clinical setting and describe the mid-term clinical
results. MATERIALS AND METHODS: From April 2012 to January 2015, emergent PARTO
was tried in total 9 patients presented with active gastric varix bleeding. After
initial insufficient or failure of endoscopic approach, they underwent PARTO in
the emergent clinical setting. Gelatin sponge embolization of both gastrorenal
(GR) shunt and gastric varix was performed after retrograde transvenous placement
of a vascular plug in GR shunt. Coil assisted RTO (CARTO) was performed in one
patient who had challenging GR shunt anatomy for vascular plug placement.
Additional embolic materials, such as microcoils and NBCA glue-lipiodol mixture,
were required in three patients to enhance complete occlusion of GR shunt or
obliteration of competitive collateral vessels. Clinical success was defined as
no variceal rebleeding and disappearance of gastric varix. RESULTS: All technical
and clinical success-i.e., complete GR shunt occlusion and offending gastric
varix embolization with immediate bleeding control-was achieved in all 9
patients. There was no procedure-related complication. All cases showed
successful clinical outcome during mean follow up of 17 months (12-32 months),
evidenced by imaging studies, endoscopy and clinical data. In 4 patients, mild
worsening of esophageal varices or transient ascites was noted as portal
hypertensive related change. CONCLUSION: Emergent PARTO is technically feasible
and safe, with acceptable mid-term clinical results, in treating active gastric
varix bleeding.
PMID- 27189295
TI - Comparison of Dexmedetomidine and Remifentanil on Airway Reflex and Hemodynamic
Changes during Recovery after Craniotomy.
AB - PURPOSE: During emergence from anesthesia for a craniotomy, maintenance of
hemodynamic stability and prompt evaluation of neurological status is mandatory.
The aim of this prospective, randomized, double-blind study was to compare the
effects of dexmedetomidine and remifentanil on airway reflex and hemodynamic
change in patients undergoing craniotomy. MATERIALS AND METHODS: Seventy-four
patients undergoing clipping of unruptured cerebral aneurysm were recruited. In
the dexmedetomidine group, patients were administered dexmedetomidine (0.5
MUg/kg) for 5 minutes, while the patients of the remifentanil group were
administered remifentanil with an effect site concentration of 1.5 ng/mL until
endotracheal extubation. The incidence and severity of cough and hemodynamic
variables were measured during the recovery period. Hemodynamic variables,
respiration rate, and sedation scale were measured after extubation and in the
post-anesthetic care unit (PACU). RESULTS: The incidence of grade 2 and 3 cough
at the point of extubation was 62.5% in the dexmedetomidine group and 53.1% in
the remifentanil group (p=0.39). Mean arterial pressure (p=0.01) at admission to
the PACU and heart rate (p=0.04 and 0.01, respectively) at admission and at 10
minutes in the PACU were significantly lower in the dexmedetomidine group.
Respiration rate was significantly lower in the remifentanil group at 2 minutes
(p<0.01) and 5 minutes (p<0.01) after extubation. CONCLUSION: We concluded that a
single bolus of dexmedetomidine (0.5 MUg/kg) and remifentanil infusion have equal
effectiveness in attenuating coughing and hemodynamic changes in patients
undergoing cerebral aneurysm clipping; however, dexmedetomidine leads to better
preservation of respiration.
PMID- 27189296
TI - Standard versus Rotation Technique for Insertion of Supraglottic Airway Devices:
Systematic Review and Meta-Analysis.
AB - PURPOSE: Supraglottic airway devices have been widely utilized as an alternative
to tracheal intubation in various clinical situations. The rotation technique has
been proposed to improve the insertion success rate of supraglottic airways.
However, the clinical efficacy of this technique remains uncertain as previous
results have been inconsistent, depending on the variable evaluated. MATERIALS
AND METHODS: We systematically searched PubMed, Embase, and the Cochrane Central
Register of Controlled Trials in April 2015 for randomized controlled trials that
compared the rotation and standard techniques for inserting supraglottic airways.
RESULTS: Thirteen randomized controlled trials (1505 patients, 753 with the
rotation technique) were included. The success rate at the first attempt was
significantly higher with the rotation technique than with the standard technique
[relative risk (RR): 1.13; 95% confidence interval (CI): 1.05 to 1.23; p=0.002].
The rotation technique provided significantly higher overall success rates (RR:
1.06; 95% CI: 1.04 to 1.09; p<0.001). Device insertion was completed faster with
the rotation technique (mean difference: -4.6 seconds; 95% CI: -7.37 to -1.74;
p=0.002). The incidence of blood staining on the removed device (RR: 0.36; 95%
CI: 0.27 to 0.47; p<0.001) was significantly lower with the rotation technique.
CONCLUSION: The rotation technique provided higher first-attempt and overall
success rates, faster insertion, and a lower incidence of blood on the removed
device, reflecting less mucosal trauma. Thus, it may be considered as an
alternative to the standard technique when predicting or encountering difficulty
in inserting supraglottic airways.
PMID- 27189297
TI - Intranasally Administered Adjunctive Dexmedetomidine Reduces Perioperative
Anesthetic Requirements in General Anesthesia.
AB - PURPOSE: Intranasal dexmedetomidine is an effective sedative for premedication
and is regularly used to reduce preoperative tension and anxiety in children.
This study aimed to assess the effect of intranasally adjunctive dexmedetomidine
on perioperative sedative and analgesic requirements in adults. MATERIALS AND
METHODS: Patients were randomly divided into four groups to receive preoperative
administration of saline, intranasal dexmedetomidine 1 MUg/kg and 2 MUg/kg, and
intravenous dexmedetomidine 1 MUg/kg, respectively. Propofol and remifentanil
were target-controlled infused to maintain intraoperative bispectral index at 45
55 and blood pressure at baseline value+/-20%. Sufentanil was administered to
maintain postoperative visual analogue scale <=3. Perioperative anesthetics
requirements were compared using nonparametric tests. RESULTS: Intranasal
dexmedetomidine significantly attenuated propofol requirements for anesthesia
induction and maintenance in a dose-dependent manner. Patients given intranasal
dexmedetomidine 2 MUg/kg required less remifentanil for anesthesia maintenance.
The first postoperative request for sufentanil analgesia was delayed in patients
given intranasal dexmedetomidine 2 MUg/kg. The anesthetics-sparing effect of
intranasal dexmedetomidine was significantly weaker than intravenous
dexmedetomidine at the same dose of 1 MUg/kg. The incidences of adverse events,
including hemodynamic instability and delayed recovery, were comparable with and
without intranasal dexmedetomidine. CONCLUSION: Intranasal administration of
dexmedetomidine can reduce perioperative anesthetic requirements, and a dose of
dexmedetomidine 2 MUg/kg produces a better effect in adults. The anesthetics
sparing effect of intranasal dexmedetomidine 1 MUg/kg is less than that with the
same intravenous dose of dexmedetomidine.
PMID- 27189299
TI - Factors Contributing to Increases in Prescription Drug Expenditures Borne by
National Health Insurance in South Korea.
AB - PURPOSE: Rapid growth of prescription drug expenditures is a problem in South
Korea. The objective of this study was to assess the contributions of four
variables (therapeutic choice, drug-mix, original use, and price changes) to
increases in drug expenditures paid by the National Health Insurance (NHI) in
Korea. MATERIALS AND METHODS: A retrospective cohort study was conducted between
January 1, 2008 and June 30, 2012 utilizing data from the NHI Claims Database of
the Health Insurance Review and Assessment Service. The number of target drug
types for final analysis was 13959. To analyze the growth rates of drug
expenditures, this study used Fisher ideal index and the Laspeyres and Paasche
indexes. RESULTS: With the exception of 2012, therapeutic choice contributed to
about 40-60% of the increase in drug expenditures every year, while drug-mix
contributed to another 30-40%. CONCLUSION: The rapid growth in prescription drug
expenditure was found to be largely due to drug-mix and therapeutic choice over
time. Original use had little impact on drug spending.
PMID- 27189298
TI - Preclinical Study of Cell Therapy for Osteonecrosis of the Femoral Head with
Allogenic Peripheral Blood-Derived Mesenchymal Stem Cells.
AB - PURPOSE: To explore the value of transplanting peripheral blood-derived
mesenchymal stem cells from allogenic rabbits (rPBMSCs) to treat osteonecrosis of
the femoral head (ONFH). MATERIALS AND METHODS: rPBMSCs were separated/cultured
from peripheral blood after granulocyte colony-stimulating factor mobilization.
Afterwards, mobilized rPBMSCs from a second passage labeled with PKH26 were
transplanted into rabbit ONFH models, which were established by liquid nitrogen
freezing, to observe the effect of rPBMSCs on ONFH repair. Then, the mRNA
expressions of BMP-2 and PPAR-gamma in the femoral head were assessed by RT-PCR.
RESULTS: After mobilization, the cultured rPBMSCs expressed mesenchymal markers
of CD90, CD44, CD29, and CD105, but failed to express CD45, CD14, and CD34. The
colony forming efficiency of mobilized rPBMSCs ranged from 2.8 to 10.8 per
million peripheral mononuclear cells. After local transplantation, survival of
the engrafted cells reached at least 8 weeks. Therein, BMP-2 was up-regulated,
while PPAR-gamma mRNA was down-regulated. Additionally, bone density and bone
trabeculae tended to increase gradually. CONCLUSION: We confirmed that local
transplantation of rPBMSCs benefits ONFH treatment and that the beneficial
effects are related to the up-regulation of BMP-2 expression and the down
regulation of PPAR-gamma expression.
PMID- 27189301
TI - Robotic Single-Site(r) Sacrocolpopexy: First Report and Technique Using the
Single-Site(r) Wristed Needle Driver.
AB - The recently introduced da Vinci Single-Site(r) platform offers cosmetic benefits
when compared with standard Multi-Site(r) robotic surgery. The innovative
endowristed technology has increased the use of the da Vinci Single-Site(r)
platform. The newly introduced Single-Site(r) Wristed Needle Driver has made it
feasible to perform various surgeries that require multiple laparoscopic sutures
and knot tying. Laparoscopic sacrocolpopexy is also a type of technically
difficult surgery requiring multiple sutures, and there have been no reports of
it being performed using the da Vinci Single-Site(r) platform. Thus, to the best
of our knowledge, this is the first report of robotic single-site (RSS)
sacrocolpopexy, and I found this procedure to be feasible and safe. All RSS
procedures were completed successfully. The mean operative time was 122.17+/
22.54 minutes, and the mean blood loss was 66.67+/-45.02 mL. No operative or
major postoperative complications occurred. Additional studies should be
performed to assess the benefits of RSS sacrocolpopexy. I present the first six
cases of da Vinci Single-Site(r) surgery in urogynecology and provide a detailed
description of the technique.
PMID- 27189300
TI - Surgical Treatment for Falcotentorial Meningiomas.
AB - Among intracranial meningiomas, falcotentorial meningiomas, occurring at the
junction of the falx cerebri and tentorial dural folds, are extremely rare.
Because of their deep location, they are surrounded by critical structures, and
have been regarded as one of the most challenging lesions for surgical treatment.
In this study, we describe our surgical strategy for falcotentorial meningiomas
and provide a review of our experience.
PMID- 27189302
TI - In Vitro Activity of Tigecycline Against Orientia tsutsugamushi.
AB - Scrub typhus is a zoonosis caused by Orientia tsutsugamushi (O. tsutsugamushi)
occurring mainly in autumn in Korea. The need of new antibiotics has arisen with
a report on strains resistant to antibiotics and chronic infection. This study
aims to identify susceptibility of tigecycline in-vitro as a new therapeutic
option for O. tsutsugamushi. Antibacterial activity of tigecycline against the O.
tsutsugamushi was compared with doxycycline using flow cytometry assay. The
inhibitory concentration 50 (IC50) was 3.59*10-3 MUg/mL in doxycycline-treated
group. Whereas in 0.71*10-3 MUg/mL tigecycline-treated group. These findings
indicate that tigecycline may be a therapeutic option for the treatment of scrub
typhus.
PMID- 27189303
TI - The Effect of Xanthigen on the Expression of Brown Adipose Tissue Assessed by 18F
FDG PET.
AB - Brown adipose tissue (BAT) is related with energy expenditure, in contrary to fat
storing white adipose tissue. Recent studies have shown that cold exposure could
be related with the expression of BAT in adult subjects assessed by 18F
fluorodeoxyglucose (FDG) positron emission tomography (PET). In addition, the
application in previous clinical trials showed positive effect of xanthigen
containing fucoxanthin and punicic acid on body weight and liver fat content. In
this short-term intervention study, we evaluated the effect of xanthigen on the
expression of BAT by 18F-FDG PET. Two healthy obese premenopausal women were
enrolled and xanthigen 600 mg (2 capsules including fucoxanthin 3 mg, punicic
acid 174 mg) was given for 3 months without dietary and exercise intervention.
Body composition and dietary intake were assessed monthly. Laboratory test and
18F-FDG PET were performed before and after intervention. After intervention,
there was neither weight reduction nor remarkable laboratory change. However,
BAT, assessed by 18F-FDG PET, was detected in both cervical, supraclavicular and
paravertebral space in one subject, even though her body weight showed mild
increase. This result suggested that xanthigen can induce BAT in a healthy adult.
However, a further large well-controlled study is needed.
PMID- 27189304
TI - Unmasking Granulomatous Pneumocystis jirovecii Pneumonia with Nodular Opacity in
an HIV-Infected Patient after Initiation of Antiretroviral Therapy.
AB - Pneumocystis jirovecii pneumonia (PJP) in patients with HIV infection can, in
rare cases, present with pulmonary nodules that histologically involve
granulomatous inflammation. This report describes an intriguing case of
granulomatous PJP with pulmonary nodules after commencing antiretroviral therapy
(ART) in an HIV-infected patient without respiratory signs or symptoms. Diagnosis
of granulomatous PJP was only achieved through thoracoscopic lung biopsy. This
case suggests that granulomatous PJP should be considered in the differential
diagnosis of pulmonary nodules in HIV-infected patients for unmasking immune
reconstitution inflammatory syndrome manifestation after initiation of ART.
PMID- 27189305
TI - Arbekacin as an Alternative Drug to Teicoplanin for the Treatment of MRSA
Infection.
PMID- 27189306
TI - Erratum to "A Great Teacher of Neurosurgery in Korea: Hun Jae Lee (1921-1983)" by
Shin DA, et al. (Yonsei Med J 2016;57:539-41.).
AB - This corrects the article on p. 539 in vol. 57, PMID: 26996549.
PMID- 27189307
TI - Erratum to "Snakebite in Korea: A Guideline to Primary Surgical Management" by
Rha JH, et al. (Yonsei Med J 2015;56:1443-8.).
AB - This corrects the article on p. 1443 in vol. 56, PMID: 26256993.
PMID- 27189308
TI - Erratum to "Finite Element Analysis for Comparison of Spinous Process Osteotomies
Technique with Conventional Laminectomy as Lumbar Decompression Procedure" by Kim
HJ, et al. (Yonsei Med J 2015;56:146-53.).
AB - This corrects the article on p. 146 in vol. 56, PMID: 25510758.
PMID- 27189309
TI - The Pulmonary Hypertension Consult: Clinical and Coding Considerations.
AB - Pulmonary hypertension (PH) is an increasingly recognized cause of morbidity and
mortality, and in the past 20 years, there has been a rapid expansion in research
and available therapies. Although it is defined quite simply as a mean pulmonary
arterial pressure of >= 25 mm Hg, PH encompasses a heterogeneous group of disease
processes. In the past, PH was classified as primary or secondary, but as
understanding of the various contributing diseases has increased, classification
systems have attempted to group these diseases by clinical features and disease
mechanism. The evaluation of patients with suspected PH can be cumbersome, and a
careful and methodical approach is needed to ensure timely and accurate
diagnosis, correct physiological classification, and appropriate treatment. In
this review, we discuss the classification and diagnostic evaluation of PH in
adults as well as some of the billing and coding considerations involved in this
evaluation.
PMID- 27189310
TI - Endobronchial Ultrasound: Clinical Uses and Professional Reimbursements.
AB - Endobronchial ultrasonography (EBUS) has become an invaluable tool in the
diagnosis of patients with a variety of thoracic abnormalities. The majority of
EBUS procedures are used to diagnose and stage mediastinal and hilar
abnormalities, as well as peripheral pulmonary targets, with a probe-based
technology. Nearly 1,000 articles have been written about its use and utility.
New Current Procedural Terminology (CPT) codes have been introduced in 2016 to
better capture the work and clinical use associated with the various types of
EBUS procedures. The existing 31620 code has been deleted and replaced by three
new codes: 31652, 31653, and 31654. These new codes have been through the
valuation process, and the new rule for reimbursement has been active since
January 1, 2016 with National Correct Coding Initiative correction as of April 1,
2016. The impact of these new codes will result in a net reduction in
professional and technical reimbursement. This article describes the current use
of EBUS and explains the current codes and professional reimbursement.
PMID- 27189311
TI - Full Monte Carlo and measurement-based overall performance assessment of improved
clinical implementation of eMC algorithm with emphasis on lower energy range.
AB - New version 13.6.23 of the electron Monte Carlo (eMC) algorithm in Varian
EclipseTM treatment planning system has a model for 4MeV electron beam and some
general improvements for dose calculation. This study provides the first overall
accuracy assessment of this algorithm against full Monte Carlo (MC) simulations
for electron beams from 4MeV to 16MeV with most emphasis on the lower energy
range. Beams in a homogeneous water phantom and clinical treatment plans were
investigated including measurements in the water phantom. Two different material
sets were used with full MC: (1) the one applied in the eMC algorithm and (2) the
one included in the EclipseTM for other algorithms. The results of clinical
treatment plans were also compared to those of the older eMC version 11.0.31. In
the water phantom the dose differences against the full MC were mostly less than
3% with distance-to-agreement (DTA) values within 2mm. Larger discrepancies were
obtained in build-up regions, at depths near the maximum electron ranges and with
small apertures. For the clinical treatment plans the overall dose differences
were mostly within 3% or 2mm with the first material set. Larger differences were
observed for a large 4MeV beam entering curved patient surface with extended SSD
and also in regions of large dose gradients. Still the DTA values were within
3mm. The discrepancies between the eMC and the full MC were generally larger for
the second material set. The version 11.0.31 performed always inferiorly, when
compared to the 13.6.23.
PMID- 27189312
TI - Mobile Health Insurance System and Associated Costs: A Cross-Sectional Survey of
Primary Health Centers in Abuja, Nigeria.
AB - BACKGROUND: Nigeria contributes only 2% to the world's population, accounts for
10% of the global maternal death burden. Health care at primary health centers,
the lowest level of public health care, is far below optimal in quality and
grossly inadequate in coverage. Private primary health facilities attempt to fill
this gap but at additional costs to the client. More than 65% Nigerians still pay
out of pocket for health services. Meanwhile, the use of mobile phones and
related services has risen geometrically in recent years in Nigeria, and their
adoption into health care is an enterprise worth exploring. OBJECTIVE: The
purpose of this study was to document costs associated with a mobile technology
supported, community-based health insurance scheme. METHODS: This analytic cross
sectional survey used a hybrid of mixed methods stakeholder interviews coupled
with prototype throw-away software development to gather data from 50 public
primary health facilities and 50 private primary care centers in Abuja, Nigeria.
Data gathered documents costs relevant for a reliable and sustainable mobile
supported health insurance system. Clients and health workers were interviewed
using structured questionnaires on services provided and cost of those services.
Trained interviewers conducted the structured interviews, and 1 client and 1
health worker were interviewed per health facility. Clinic expenditure was
analyzed to include personnel, fixed equipment, medical consumables, and
operation costs. Key informant interviews included a midmanagement staff of a
health-management organization, an officer-level staff member of a mobile network
operator, and a mobile money agent. RESULTS: All the 200 respondents indicated
willingness to use the proposed system. Differences in the cost of services
between public and private facilities were analyzed at 95% confidence level
(P<.001). This indicates that average out-of-pocket cost of services at private
health care facilities is significantly higher than at public primary health care
facilities. Key informant interviews with a health management organizations and a
telecom operator revealed high investment interests. Cost documentation analysis
of income versus expenditure for the major maternal and child health service
areas-antenatal care, routine immunization, and birth attendance for 1 year
showed that primary health facilities would still profit if technology-supported,
health insurance schemes were adopted. CONCLUSIONS: This study demonstrates a
case for the implementation of enrolment, encounter management, treatment
verification, claims management and reimbursement using mobile technology for
health insurance in Abuja, Nigeria. Available data show that the introduction of
an electronic job aid improved efficiency. Although it is difficult to make a
concrete statement on profitability of this venture but the interest of the
health maintenance organizations and telecom experts in this endeavor provides a
positive lead.
PMID- 27189313
TI - Ketoconazole-induced estrogen deficiency causes transient decrease in placental
blood flow associated with hypoxia and later placental weight gain in rats.
AB - This study investigated the relationship among estrogen, placental blood flow and
placental weight gain in rats treated with ketoconazole. Oral administration of
ketoconazole (25mg/kg/day) on Days 12-14 of pregnancy induced reduction of plasma
estradiol-17beta (E2) concentration, transient decrease in placental blood flow
and increased intensity of a hypoxia-related marker in the placenta on Day 14 of
pregnancy. On Day 20 of pregnancy, placental weights of ketoconazole-treated rats
increased when compared to controls. Histologically, maternal sinusoidal area of
the placenta decreased on Day 14 of pregnancy and the total area of maternal and
fetal sinusoids increased on Day 20. All the changes disappeared by concomitant
subcutaneous infusion of E2. These results indicate that ketoconazole-induced E2
deficiency causes transient decrease in placental blood flow associated with
hypoxia and later placental weight gain in rats.
PMID- 27189314
TI - Association between paraben exposure and menstrual cycle in female university
students in Japan.
AB - Parabens have been known to have estrogenic activity in many in vivo and in vitro
studies and biomonitoring data indicated ubiquitous exposure in general
populations. However, there are few human studies on reproductive effects of
parabens. In this study, menstrual cycle length and its intra-individual
variation were investigated by bleeding record over the period of 5 months and
urinary concentrations of parabens were measured for 128 female Japanese
university students. We found significantly negative relationships between
menstrual cycle length and urinary estrogen-equivalent total paraben (odds=0.73,
95% CI 0.56-0.96) and butyl paraben concentrations (odds=0.83, 0.70-0.99), which
indicated shortened cycle length in women with high urinary paraben
concentrations. This study indicated that paraben exposure at not excessive
levels is associated with menstrual cycle length or its variability among general
female subjects. These results suggest a possibility that parabens exposure is
one of the environmental causes of human reproductive problem.
PMID- 27189315
TI - The human placental proteome is affected by maternal smoking.
AB - Detrimental effects of maternal smoking on the term placental proteome and
steroid-metabolizing activities, and maternal hormone levels, were studied by
using seven non-smoker and seven smoker placentae. Smoking significantly affected
18% of protein spots. The functional networks affected were i) cell morphology,
cellular assembly and organization, cellular compromise (15 hits) and ii) DNA
replication, recombination, and repair, energy production, nucleic acid
metabolism (6 hits). Smoking significantly up-regulated such proteins as,
SERPINA1, EFHD1 and KRT8; and down-regulated SERPINB2, FGA and HBB. Although
maternal plasma steroids were not significantly altered, the catalytic activity
of CYP1A1 was increased whereas CYP19A1 activity was reduced by smoking.
Furthermore, transcript expression of CYP1A1 and CYP4B1 were induced while
HSD17B2, NFKB and TGFB1 were repressed by smoking. The observed smoking induced
wide-spread changes on placental proteome and transcript levels may contribute to
the lowered birth weights of the new-born child and placenta.
PMID- 27189316
TI - Multisensory signalling enhances pupil dilation.
AB - Detecting and integrating information across the senses is an advantageous
mechanism to efficiently respond to the environment. In this study, a simple
auditory-visual detection task was employed to test whether pupil dilation,
generally associated with successful target detection, could be used as a
reliable measure for studying multisensory integration processing in humans. We
recorded reaction times and pupil dilation in response to a series of visual and
auditory stimuli, which were presented either alone or in combination. The
results indicated faster reaction times and larger pupil diameter to the
presentation of combined auditory and visual stimuli than the same stimuli when
presented in isolation. Moreover, the responses to the multisensory condition
exceeded the linear summation of the responses obtained in each unimodal
condition. Importantly, faster reaction times corresponded to larger pupil
dilation, suggesting that also the latter can be a reliable measure of
multisensory processes. This study will serve as a foundation for the
investigation of auditory-visual integration in populations where simple reaction
times cannot be collected, such as developmental and clinical populations.
PMID- 27189317
TI - Association of mGFR of the Remaining Kidney Divided by Its Volume before Donation
with Functional Gain in mGFR among Living Kidney Donors.
AB - BACKGROUND AND OBJECTIVES: The predictors of long-term renal function in living
kidney donors are currently discussed. Our objectives were to describe the
predictors of functional gain of the remaining kidney after kidney donation. We
hypothesized that GFR of the remaining kidney divided by volume of this kidney
(rk-GFR/vol) would reflect the density of functional nephrons and be inversely
associated with functional gain of the remaining kidney. DESIGN, SETTING,
PARTICIPANTS, & MEASUREMENTS: We conducted a prospective monocentric study
including 63 living donors (26 men; 50.3+/-11.8 years old) who had been evaluated
for (51)Cr-EDTA and measured GFR, split renal function by scintigraphy before
donation (between 2004 and 2009), and measured GFR at 5.7+/-0.5 years after
donation. For 52 donors, volume of the remaining kidney (measured and estimated
with the ellipsoid formula using renal computed tomography scannography) was
determined before donation. We tested our hypothesis in an external validation
cohort of 39 living donors (13 men; 51.0+/-9.4 years old) from another single
center during the same time period. RESULTS: For the main cohort, the mean
measured GFR was 97.6+/-13.0 ml/min per 1.73 m(2) before donation and 63.8+/-9.4
ml/min per 1.73 m(2) at 5 years. Functional gain averaged 16.2+/-7.2 ml/min per
1.73 m(2) (+35.3%+/-16.7%). Multivariate analysis showed that age, body mass
index, and rk-GFR/vol at donation were negatively correlated with functional gain
and had strong predictive power of the 5-year functional gain (adjusted 5-year
functional gain for age: -0.4 [95% confidence interval (95% CI), -0.5 to -0.1];
body mass index: -0.3 [95% CI, -0.6 to -0.1]; rk-GFR/vol: -55.1 [95% CI, -92.3 to
-17.9]). We tested this model in the external validation cohort (adjusted 5-year
functional gain for age: -0.1 [95% CI, -0.5 to 0.3]; body mass index: -0.9 [95%
CI, -1.8 to -0.1]; rk-GFR/vol: -97.6 [95% CI, -137.5 to -57.6]) and confirmed
that rk-GFR/vol was inversely associated with 5-year functional gain.
CONCLUSIONS: For given age and body mass index, the long-term functional gain of
the remaining kidney is inversely associated with the new variable rk-GFR/vol at
donation.
PMID- 27189319
TI - Birth outcomes after exposure to mebendazole and pyrvinium during pregnancy - A
Danish nationwide cohort study.
AB - Mebendazole and pyrvinium are anthelmintics used to treat infections with
pinworms, a common infection in children. Other indications for treatment with
mebendazole are infections with soil-transmitted helminths. These infections are
rare in Denmark, but affect more than 1.5 billion people worldwide. Limited
safety data of anthelmintics during pregnancy exists and the purpose of this
study was to investigate the association between exposure to mebendazole or
pyrvinium during pregnancy and the adverse pregnancy outcomes: congenital
malformations, stillbirths, neonatal mortality and small for gestational age. The
Danish Fertility Database was used to identify all births in Denmark from 1997 to
2007. Maternal exposure to anthelmintics was identified through The Danish
Prescription Registry. Of 713667 births, 2567 mothers redeemed a prescription for
mebendazole; 1588 for pyrvinium. Logistic regression analysis adjusted for
potential confounders. We found no association between exposure to mebendazole
and major congenital malformations (OR = 0.7 (CI 95% 0.5-1.1)) or other negative
birth outcomes and we found no association between exposure to pyrvinium and
major congenital malformations (OR = 0.8 (CI 95% 0.4-1.5)) or other negative
birth outcomes. No increased risk was found of having negative birth outcomes
after exposure at any trimester during pregnancy.
PMID- 27189318
TI - Association of Urinary Biomarkers of Inflammation, Injury, and Fibrosis with
Renal Function Decline: The ACCORD Trial.
AB - BACKGROUND AND OBJECTIVES: Current measures for predicting renal functional
decline in patients with type 2 diabetes with preserved renal function are
unsatisfactory, and multiple markers assessing various biologic axes may improve
prediction. We examined the association of four biomarker-to-creatinine ratio
levels (monocyte chemotactic protein-1, IL-18, kidney injury molecule-1, and YKL
40) with renal outcome. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: We used a
nested case-control design in the Action to Control Cardiovascular Disease Trial
by matching 190 participants with >=40% sustained eGFR decline over the 5-year
follow-up period to 190 participants with <=10% eGFR decline in a 1:1 fashion on
key characteristics (age within 5 years, sex, race, baseline albumin-to
creatinine ratio within 20 MUg/mg, and baseline eGFR within 10 ml/min per 1.73
m(2)), with <=10% decline. We used a Mesoscale Multiplex Platform and measured
biomarkers in baseline and 24-month specimens, and we examined biomarker
associations with outcome using conditional logistic regression. RESULTS:
Baseline and 24-month levels of monocyte chemotactic protein-1-to-creatinine
ratio levels were higher for cases versus controls. The highest quartile of
baseline monocyte chemotactic protein-1-to-creatinine ratio had fivefold greater
odds, and each log increment had 2.27-fold higher odds for outcome (odds ratio,
5.27; 95% confidence interval, 2.19 to 12.71 and odds ratio, 2.27; 95% confidence
interval, 1.44 to 3.58, respectively). IL-18-to-creatinine ratio, kidney injury
molecule-1-to-creatinine ratio, and YKL-40-to-creatinine ratio were not
consistently associated with outcome. C statistic for traditional predictors of
eGFR decline was 0.70, which improved significantly to 0.74 with monocyte
chemotactic protein-1-to-creatinine ratio. CONCLUSIONS: Urinary monocyte
chemotactic protein-1-to-creatinine ratio concentrations were strongly associated
with sustained renal decline in patients with type 2 diabetes with preserved
renal function.
PMID- 27189320
TI - Specific enrichment of 2-arachidonoyl-lysophosphatidylcholine in carotid atheroma
plaque from type 2 diabetic patients.
AB - BACKGROUND AND AIMS: Diabetic patients are at high risk of stroke and coronary
artery disease. Recent data suggest that arachidonic acid metabolism is altered
in diabetic conditions and that these alterations contribute to accelerated
atherosclerosis. Little is known about how these alterations affect the
metabolism and the proportions of different lipid species within the
atherosclerotic plaque. The aim of our study was to perform a targeted lipidomic
analysis of human atherosclerotic lesions, with a specific focus on PUFA
containing lipid species, to reveal differences in the fatty-acid composition of
plaque in diabetic patients compared with non-diabetic controls. METHODS: Carotid
atheroma plaque samples were obtained from 31 diabetic and 48 non-diabetic
patients undergoing carotid endarterectomy. Targeted lipidomic analysis was then
performed to determine the fatty acid composition of major glycerophospholipids
and cholesteryl ester species by liquid chromatography-tandem mass spectrometry.
RESULTS: Atheroma plaques from diabetic patients were significantly enriched with
2-arachidonoyl-lysophosphatidylcholine (2-AA-LPC) (2.3 +/- 0.8% Vs. 1.8 +/- 0.6%
p = 0.0002). Multivariable logistic regression showed that an increased 2-AA-LPC
level was independently associated with diabetes. Finally, a positive
relationship was found between 2-AA-LPC and HbA1c levels. Interestingly,
endothelial lipase and calcium independent PLA2 gamma which could account for the
production of 2-AA-LPC were detected in carotid plaques by immunohistochemistry.
CONCLUSIONS: 2-AA-LPC stands at the crossroads of major metabolic pathways that
lead to the synthesis of bioactive molecules such as AA-derived eicosanoids, 2-AA
lysophosphatidic acid and 2-AA-glycerol. 2-AA-LPC therefore appears to be a
promising molecule to investigate in the context of diabetes.
PMID- 27189322
TI - RECIST 1.1-Update and clarification: From the RECIST committee.
AB - The Response Evaluation Criteria in Solid Tumours (RECIST) were developed and
published in 2000, based on the original World Health Organisation guidelines
first published in 1981. In 2009, revisions were made (RECIST 1.1) incorporating
major changes, including a reduction in the number of lesions to be assessed, a
new measurement method to classify lymph nodes as pathologic or normal, the
clarification of the requirement to confirm a complete response or partial
response and new methodologies for more appropriate measurement of disease
progression. The purpose of this paper was to summarise the questions posed and
the clarifications provided as an update to the 2009 publication.
PMID- 27189321
TI - Mechanical slowing-down of cytoplasmic diffusion allows in vivo counting of
proteins in individual cells.
AB - Many key regulatory proteins in bacteria are present in too low numbers to be
detected with conventional methods, which poses a particular challenge for single
cell analyses because such proteins can contribute greatly to phenotypic
heterogeneity. Here we develop a microfluidics-based platform that enables single
molecule counting of low-abundance proteins by mechanically slowing-down their
diffusion within the cytoplasm of live Escherichia coli (E. coli) cells. Our
technique also allows for automated microscopy at high throughput with minimal
perturbation to native physiology, as well as viable enrichment/retrieval. We
illustrate the method by analysing the control of the master regulator of the E.
coli stress response, RpoS, by its adapter protein, SprE (RssB). Quantification
of SprE numbers shows that though SprE is necessary for RpoS degradation, it is
expressed at levels as low as 3-4 molecules per average cell cycle, and
fluctuations in SprE are approximately Poisson distributed during exponential
phase with no sign of bursting.
PMID- 27189324
TI - Skeletal effect of casein and whey protein intake during catch-up growth in young
male Sprague-Dawley rats.
AB - The aim of the present study was to determine whether the type of protein
ingested influences the efficiency of catch-up (CU) growth and bone quality in
fast-growing male rats. Young male Sprague-Dawley rats were either fed ad libitum
(controls) or subjected to 36 d of 40 % food restriction followed by 24 or 40 d
of re-feeding with either standard rat chow or iso-energetic, iso-protein diets
containing milk proteins - casein or whey. In terms of body weight, CU growth was
incomplete in all study groups. Despite their similar food consumption, casein-re
fed rats had a significantly higher body weight and longer humerus than whey-re
fed rats in the long term. The height of the epiphyseal growth plate (EGP) in
both casein and whey groups was greater than that of rats re-fed normal chow.
Microcomputed tomography yielded significant differences in bone microstructure
between the casein and whey groups, with the casein-re-fed animals having greater
cortical thickness in both the short and long term in addition to a higher
trabecular bone fraction in the short term, although this difference disappeared
in the long term. Mechanical testing confirmed the greater bone strength in rats
re-fed casein. Bone quality during CU growth significantly depends on the type of
protein ingested. The higher EGP in the casein- and whey-re-fed rats suggests a
better growth potential with milk-based diets. These results suggest that whey
may lead to slower bone growth with reduced weight gain and, as such, may serve
to circumvent long-term complications of CU growth.
PMID- 27189323
TI - A phase I study of S-1 in combination with nab-paclitaxel in patients with
unresectable or recurrent gastric cancer.
AB - BACKGROUND: In Japan, S-1, an oral fluoropyrimidine, plus cisplatin is a standard
regimen for advanced gastric cancer, whereas nab-paclitaxel is a treatment
option. We aimed to evaluate the tolerance, pharmacokinetics, safety, and
clinical efficacy of S-1 combined with nab-paclitaxel in patients with advanced
gastric cancer in a phase 1 study. METHODS: The primary objective was to
determine the maximum tolerated dose (MTD) and recommended dose (RD) of S-1 plus
nab-paclitaxel. The study was designed in accordance with a standard 3 + 3
method. Patients received 3-week cycles of treatment. S-1 was administered orally
at 80 mg/m2 twice daily for 14 days, and nab-paclitaxel was administered as an
intravenous infusion at 180, 220, or 260 mg/m2 on day 1 or 8. RESULTS: Among the
16 patients enrolled, dose-limiting toxicity was observed in one patient at level
2a (S-1 80 mg/m2 twice daily plus nab-paclitaxel 220 mg/m2 on day 1). The MTD was
not obtained, but the RD was established as level 3a (S-1 80 mg/m2 twice daily
plus nab-paclitaxel 260 mg/m2 on day 1). The most common grade 3-4 toxicity was
neutropenia (62.5 %). The overall response rate was 54.5 %. The pharmacokinetic
profiles of coadministered S-1 and paclitaxel were comparable to those of nab
paclitaxel or S-1 alone. CONCLUSIONS: Based on the present results, the RD was
determined as level 3a (S-1 80 mg/m2 twice daily plus nab-paclitaxel 260 mg/m2 on
day 1). This combination therapy was well tolerated and showed antitumor efficacy
in patients with advanced gastric cancer.
PMID- 27189326
TI - Cranial ultrasonography of the immature cerebellum: Role and limitations.
AB - Cranial ultrasonography (CUS) is a reliable and non-invasive tool to detect
frequently occurring brain abnormalities and to monitor brain development and
maturation in high risk neonates. Standard CUS views are obtained through the
anterior fontanel. However, evaluation of the posterior fossa is often suboptimal
with this approach. Cerebellar injury occurs frequently in preterm infants and
has important prognostic consequences. Early detection is therefore important.
This review focuses on techniques that optimize the performance of CUS when
studying the preterm cerebellum, including the use of the mastoid fontanel and
the adaptation of focus points and scan frequencies. For illustration, CUS images
of the normal posterior fossa anatomy as well as examples of abnormalities that
may be encountered in preterm infants are included. We also discuss the
limitations of CUS and the role of magnetic resonance imaging.
PMID- 27189325
TI - Polar flagella rotation in Vibrio parahaemolyticus confers resistance to
bacteriophage infection.
AB - Bacteriophage has been recognized as a novel approach to treat bacterial
infectious diseases. However, phage resistance may reduce the efficacy of phage
therapy. Here, we described a mechanism of bacterial resistance to phage
infections. In Gram-negative enteric pathogen Vibrio parahaemolyticus, we found
that polar flagella can reduce the phage infectivity. Deletion of polar flagella,
but not the lateral flagella, can dramatically promote the adsorption of phage to
the bacteria and enhances the phage infectivity to V. parahaemolyticus,
indicating that polar flagella play an inhibitory role in the phage infection.
Notably, it is the rotation, not the physical presence, of polar flagella that
inhibits the phage infection of V. parahaemolyticus. Strikingly, phage
dramatically reduces the virulence of V. parahaemolyticus only when polar
flagella were absent both in vitro and in vivo. These results indicated that
polar flagella rotation is a previously unidentified mechanism that confers
bacteriophage resistance.
PMID- 27189327
TI - Primary Low Level of High-Density Lipoprotein Cholesterol and Risks of Coronary
Heart Disease, Cardiovascular Disease, and Death: Results From the Multi-Ethnic
Study of Atherosclerosis.
AB - Prior studies observing associations between low levels of high-density
lipoprotein (HDL) cholesterol and cardiovascular disease (CVD) have often been
conducted among persons with metabolic or other lipid abnormalities. In this
study, we investigated the association between primary low HDL cholesterol and
coronary heart disease (CHD), CVD, and all-cause death after adjustment for
confounders in the Multi-Ethnic Study of Atherosclerosis (MESA). Participants who
were free of clinical CVD were recruited from 6 US research centers from 2000 to
2002 and followed for a median duration of 10.2 years. We defined "primary low
HDL cholesterol" as HDL cholesterol level <40 mg/dL (men) or <50 mg/dL (women),
triglyceride level <100 mg/dL, and low-density lipoprotein cholesterol level <100
mg/dL (n = 158). We defined an "optimal" lipid profile as HDL cholesterol >=40
mg/dL (men) or >=50 mg/dL (women) and triglycerides and low-density lipoprotein
cholesterol <100 mg/dL (n = 780). For participants with primary low HDL
cholesterol versus those with an optimal lipid profile, adjusted hazard ratios
for total CHD, CVD, and death were 2.25 (95% confidence interval (CI): 1.20,
4.21; P = 0.011), 1.93 (95% CI: 1.11, 3.34; P = 0.020), and 1.11 (95% CI: 0.67,
1.84; P = 0.69), respectively. Participants with primary low HDL cholesterol had
higher risks of CHD and CVD than participants with optimal lipid profiles but no
difference in survival after a median 10.2 years of follow-up.
PMID- 27189328
TI - Associations Between Vitamin D Level and Hospitalizations With and Without an
Infection in a National Cohort of Medicare Beneficiaries.
AB - Research has implicated low 25-hydroxyvitamin D (25(OH)D) level as a risk factor
for infection; however, results have not been consistent. To further determine
the nature of this relationship, we conducted a cohort study using Medicare
beneficiaries participating in the 2001-2002 and 2003-2004 cycles of the National
Health and Nutrition Examination Survey with data individually linked to hospital
records from the Centers for Medicare and Medicaid Services. The primary exposure
was a 25(OH)D level of <15 ng/mL versus >=15 ng/mL. The outcomes were a
hospitalization with or without an infection within 1 year of participation in
the National Health and Nutrition Examination Survey, as determined from the
final hospital discharge codes (International Classification of Diseases, Ninth
Revision, Clinical Modification). Of 1,713 individuals, 348 had a baseline serum
25(OH)D level of <15 ng/mL, 77 experienced a hospitalization with an infection,
and 287 experienced a hospitalization without an infection. In multivariable
analyses, a serum 25(OH)D level of <15 ng/mL was associated with a higher risk of
hospitalization with an infection (risk ratio = 2.8, 95% confidence interval:
1.3, 5.9, P < 0.01) but not of hospitalization without an infection (risk ratio =
1.4, 95% confidence interval: 0.9, 2.1, P = 0.1). In this study, we found an
association between a serum 25(OH)D concentration of <15 ng/mL and a higher
subsequent risk for hospitalization with an infection among Medicare
beneficiaries.
PMID- 27189330
TI - Performance of Disease Risk Score Matching in Nested Case-Control Studies: A
Simulation Study.
AB - In a case-control study, matching on a disease risk score (DRS), which includes
many confounders, should theoretically result in greater precision than matching
on only a few confounders; however, this has not been investigated. We simulated
1,000 hypothetical cohorts with a binary exposure, a time-to-event outcome, and
13 covariates. Each cohort comprised 2 subcohorts of 10,000 patients each: a
historical subcohort and a concurrent subcohort. DRS were estimated in the
historical subcohorts and applied to the concurrent subcohorts. Nested case
control studies were conducted in the concurrent subcohorts using incidence
density sampling with 2 strategies-matching on age and sex, with adjustment for
additional confounders, and matching on DRS-followed by conditional logistic
regression for 9 outcome-exposure incidence scenarios. In all scenarios, DRS
matching yielded lower average standard errors and mean squared errors than did
matching on age and sex. In 6 scenarios, DRS matching also resulted in greater
empirical power. DRS matching resulted in less relative bias than did matching on
age and sex at lower outcome incidences but more relative bias at higher
incidences. Post-hoc analysis revealed that the effect of DRS model
misspecification might be more pronounced at higher outcome incidences, resulting
in higher relative bias. These results suggest that DRS matching might increase
the statistical efficiency of case-control studies, particularly when the outcome
is rare.
PMID- 27189329
TI - Statins and Risk of Bleeding: An Analysis to Evaluate Possible Bias Due to
Prevalent Users and Healthy User Aspects.
AB - Statins are said to protect against a wide range of diseases. We studied to what
extent potential bias influences the results of studies on beneficial side
effects of statins. We selected 8,188 atrial fibrillation patients who started
treatment with anticoagulants at the Leiden Anticoagulation Clinic in the
Netherlands between 2003 and 2009 and experienced 1,683 minor and 451 major
bleeds during 18,105 person-years of follow-up. Statins were associated with a
risk reduction of 9% for bleeds (hazard ratio = 0.91, 95% confidence interval:
0.82, 1.00). Additionally, analyses were stratified by age, incident users
(patients who started statins during follow-up, i.e., an inception cohort), and
prevalent statin users (statin users at baseline), as restriction to incident
users avoids overoptimistic risk estimates. After stratification, the protective
associations disappeared or reversed (range of hazard ratios = 0.99-3.22), except
for patients aged 75 years or older. This remaining association could be due to
another bias as, according to guidelines, in the elderly, statins should be
prescribed only to those with a reasonable life expectancy. This could have
resulted in a comparison of fit statin users with less fit nonstatin users
(healthy user effect). The apparent protective association of statins on bleeds
may be due to bias. We recommend stratification by age and incident and prevalent
statin use when studying associations of statins with disease outcomes to avoid
overoptimistic risk estimates.
PMID- 27189335
TI - Efficient analysis of a small number of cancer cells at the single-cell level
using an electroactive double-well array.
AB - Analysis of the intracellular materials of a small number of cancer cells at the
single-cell level is important to improve our understanding of cellular
heterogeneity in rare cells. To analyze an extremely small number of cancer cells
(less than hundreds of cells), an efficient system is required in order to
analyze target cells with minimal sample loss. Here, we present a novel approach
utilizing an advanced electroactive double-well array (EdWA) for on-chip analysis
of a small number of cancer cells at the single-cell level with minimal loss of
target cells. The EdWA consisted of cell-sized trap-wells for deterministic
single-cell trapping using dielectrophoresis and high aspect ratio reaction-wells
for confining the cell lysates extracted by lysing trapped single cells via
electroporation. We demonstrated a highly efficient single-cell arraying (a cell
capture efficiency of 96 +/- 3%) by trapping diluted human prostate cancer cells
(PC3 cells). On-chip single-cell analysis was performed by measuring the
intracellular beta-galactosidase (beta-gal) activity after lysing the trapped
single cells inside a tightly enclosed EdWA in the presence of a fluorogenic
enzyme substrate. The PC3 cells showed large cell-to-cell variations in beta-gal
activity although they were cultured under the same conditions in a culture dish.
This simple and effective system has great potential for high throughput single
cell analysis of rare cells.
PMID- 27189336
TI - The utility of indocyanine green fluorescence imaging during robotic
adrenalectomy.
AB - BACKGROUND AND OBJECTIVES: Indocyanine green (ICG) has been used for medical
imaging since 1950s, but has more recently become available for use in minimally
invasive surgery owing to improvements in technology. This study investigates the
use of ICG florescence to guide an accurate dissection by delineating the borders
of adrenal tumors during robotic adrenalectomy (RA). METHODS: This prospective
study compared conventional robotic view with ICG fluorescence imaging in 40
consecutive patients undergoing RA. Independent, non-blinded observers assessed
how accurately ICG fluorescence delineated the borders of adrenal tumors compared
to conventional robotic view. RESULTS: A total of 40 patients underwent 43
adrenalectomies. ICG imaging was superior, equivalent, or inferior to
conventional robotic view in 46.5% (n = 20), 25.6% (n = 11), and 27.9% (n = 12)
of the procedures. On univariate analysis, the only parameter that predicted the
superiority of ICG imaging over conventional robotic view was the tumor type,
with adrenocortical tumors being delineated more accurately on ICG imaging
compared to conventional robotic view. CONCLUSIONS: This study demonstrates the
utility of ICG to guide the dissection and removal of adrenal tumors during RA. A
simple reproducible method is reported, with a detailed description of the
utility based on tumor type, approach and side. J. Surg. Oncol. 2016;114:153-156.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27189337
TI - Three-dimensional compared to two-dimensional transesophageal echocardiography
for diagnosis of infective endocarditis.
AB - PURPOSE: Transesophageal echocardiography is crucial for the diagnosis of
infective endocarditis (IE). Use of three-dimensional transesophageal
echocardiography (3D-TEE) could improve the reliability of echocardiographic
findings. This study sought to determine the value of 3D-TEE in the diagnosis of
IE in comparison to two-dimensional (2D)-TEE and 2D transthoracic
echocardiography (2D-TTE). METHODS: In this prospective cohort study in a
tertiary care university hospital 144 consecutive patients with clinically
suspected IE were included. The patients were subjected to clinical,
microbiological and echocardiographic evaluation (2D-TTE, 2D-TEE and 3D-TEE) and
their clinical history evaluated retrospectively to establish a reference
diagnosis of IE in accordance to current guideline recommendations. RESULTS: In
48 (33 %) patients the diagnosis of IE was established. 2D-TEE and 3D-TEE showed
a sensitivity, specificity, positive and negative predictive value for diagnosis
of IE of 94 % and 63, 90 and 95 %, 82 and 86 % and 97 and 83 %, respectively,
with similar results in patients with native and prosthetic valves. Vegetations
and abscess were detected in 43 and 5 patients with final diagnosis of IE by any
of the assessed echocardiographic modalities, with only one case of vegetation
detected by 3D-TEE only and not by 2D-TEE. CONCLUSIONS: In this cohort of
patients with suspected IE, 3D-TEE showed substantial lower sensitivity and
negative predictive value for diagnosis of IE when compared to 2D-TEE. 3D-TEE
might provide additive diagnostic information with impact on clinical decisions
only in individual cases.
PMID- 27189339
TI - Monitoring of Potential Safety Events and Vital Signs during Active Mobilization
of Patients Undergoing Continuous Renal Replacement Therapy in a Medical
Intensive Care Unit.
AB - BACKGROUND/AIMS: This study aims to evaluate potential safety events and vital
sign changes during active mobilization physical therapy (PT) in critically ill
patients undergoing continuous renal replacement therapy (CRRT). METHODS: A
retrospective review was performed on 29 patients who were treated with CRRT and
who underwent 81 PT sessions in a medical intensive care unit at a single
referral hospital; 15 patients underwent 33 sessions with passive range of motion
(PROM) and 17 patients underwent 48 active mobilization PT sessions. Three
patients received both types of PT including 8 PROM and 5 active mobilization PT
sessions. The occurrences of safety events and vital sign changes during active
mobilization PT sessions were evaluated. RESULTS: The safety events did not
develop during 33 sessions with PROM. However, there were 2 safety events (4.1%)
during 48 active mobilization PT sessions including one session with mobilization
in the bed and the other in a sitting position on the edge of the bed. These
safety events exclusively developed during active mobilization PT sessions, in
which concomitant extracorporeal membrane oxygenation (ECMO) support and CRRT
were delivered. Regarding vital sign changes during PT sessions, there were no
significant differences in systolic blood pressure (BP), diastolic BP, mean
arterial pressure, heart rate, respiratory rate, or peripheral oxygen saturation
before and after both PROM and active mobilization PT sessions. CONCLUSIONS: This
study showed that active mobilization PT can be performed safely in patients who
are being treated with CRRT without a significant hemodynamic change. However,
the development of potential safety events in patients with ECMO needs to be
monitored carefully.
PMID- 27189338
TI - In vitro and in vivo activity of EDTA and antibacterial agents against the
biofilm of mucoid Pseudomonas aeruginosa.
AB - PURPOSE: Refractory infection caused by bacterial biofilm is an important
clinical problem. Pseudomonas aeruginosa is a common pathogen responsible for
persistent and chronic biofilm infections. We aimed to explore the in vitro and
in vivo activity of ethylenediamine tetraacetic acid (EDTA) in combination with
antibacterial agents against mucoid P. aeruginosa biofilm. METHODS: The minimal
inhibitory concentration (MIC) and minimal bactericidal concentration of
ciprofloxacin, gentamicin, and ampicillin alone or with EDTA against P.
aeruginosa were determined in vitro. Extracellular polysaccharides (EPS) and
structural parameters of the biofilm were monitored. P. aeruginosa was
aerosolized and delivered into the lungs of guinea pigs, which were treated with
ciprofloxacin with or without EDTA. The colony-forming units (CFUs) of P.
aeruginosa were determined from the lungs. RESULTS: EDTA reduced the MIC of
ciprofloxacin and ampicillin by about 30-fold and that of gentamicin by twofold.
EDTA reduced the biofilm EPS and the proportion of viable bacteria. The
thickness, average diffusion distance, and textural entropy of EDTA-treated
biofilm were significantly decreased. EDTA plus antibiotics reduced the colony
counting from 107 to 103 CFU/mL. In vivo, EDTA plus ciprofloxacin had a
significantly lower mean CFU/g of lung tissue (EDTA + ciprofloxacin 1.3 +/- 0.19;
EDTA 4.4 +/- 0.57; ciprofloxacin 4.2 +/- 0.47), and lung lesions were less severe
compared with the single treatment groups. CONCLUSIONS: EDTA can destroy the
biofilm structures of mucoid P. aeruginosa in vitro. Moreover, EDTA and
ciprofloxacin had a significant bactericidal effect against biofilm in vivo.
PMID- 27189340
TI - Whole-transcriptome analysis of UUO mouse model of renal fibrosis reveals new
molecular players in kidney diseases.
AB - Transcriptome analysis by RNA-seq technology allows novel insights into gene
expression and regulatory networks in health and disease. To better understand
the molecular basis of renal fibrosis, we performed RNA-seq analysis in the
Unilateral Ureteric Obstruction (UUO) mouse model. We analysed sham operated, 2-
and 8-day post-ligation renal tissues. Thousands of genes with statistical
significant changes in their expression were identified and classified into
cellular processes and molecular pathways. Many novel protein-coding genes were
identified, including critical transcription factors with important regulatory
roles in other tissues and diseases. Emphasis was placed on long non-coding RNAs
(lncRNAs), a class of molecular regulators of multiple and diverse cellular
functions. Selected lncRNA genes were further studied and their transcriptional
activity was confirmed. For three of them, their transcripts were also examined
in other mouse models of nephropathies and their up- or down-regulation was found
similar to the UUO model. In vitro experiments confirmed that one selected lncRNA
is independent of TGFbeta or IL1b stimulation but can influence the expression of
fibrosis-related proteins and the cellular phenotype. These data provide new
information about the involvement of protein-coding and lncRNA genes in
nephropathies, which can become novel diagnostic and therapeutic targets in the
near future.
PMID- 27189341
TI - MicroRNAs associated with increased AKT gene number in human lung carcinoma.
AB - MicroRNA (miRNA) expression profiles were examined in 3 groups of lung carcinomas
that had been stratified by increases in AKT1 or AKT2 gene number. Microarray
analysis using 2000 probes revealed 87 miRNAs that were up-regulated and 32 down
regulated miRNAs in carcinomas harboring amplification or high-level polysomy of
the AKT1 (AKT1+), as well as 123 up-regulated and 83 down-regulated miRNAs in
those of the AKT2 genes (AKT2+), in comparison with carcinomas harboring disomy
of both (AKTd/d). In total, 182 miRNAs were up-regulated in AKT1+ or AKT2+,
compared with AKTd/d. Among these, 28 miRNAs were up-regulated in both the AKT1+
and AKT2+ groups, with a log2 ratio between 1.02 and 3.71 relative to AKTd/d
group, including all miR-200 family members. Quantitative real-time polymerase
chain reaction showed that carcinomas exhibiting lymph vessel invasion had
significantly lower expression of miR-200a (P=.0230) and miR-200b (P=.0168),
regardless of the status of the AKT genes. Moreover, a detailed statistical
analysis revealed that, in adenocarcinoma and in the early stage of carcinomas
(pathologic stage I/II), expression of miR-200a was higher in the AKT2+ group
compared with the AKT1+ group, and these differences were statistically
significant (P=.0334 and P=.0239, respectively). However, the expression of miR
200a was not significantly correlated with the expression of its target, the zinc
finger E-box-binding homeobox 1 (ZEB1; P=.3801) or E-cadherin (P=.2840), a marker
of the epithelial-mesenchymal transition. These results suggest that AKT2 can
regulate miR-200a in a histology- or stage-specific manner and that this
regulation is independent of subsequent involvement of miR-200a in epithelial
mesenchymal transition.
PMID- 27189344
TI - Coexisting pituicytoma and pituitary adenoma: a second coincidence?
PMID- 27189343
TI - Quantitative fibrosis estimation by image analysis predicts development of
decompensation, composite events and defines event-free survival in chronic
hepatitis B patients.
AB - The extent of fibrosis is a major determinant of the clinical outcome in patients
with chronic liver diseases. We undertook this study to explore the degree of
fibrosis in baseline liver biopsies to predict clinical outcomes in chronic
hepatitis B (CHB) patients. Fibrosis quantification was done by image analysis on
Masson's trichrome-stained sections and correlated with clinical and biochemical
parameters, liver stiffness and hepatic vein pressure gradient (n = 96). Follow
up information collected related to clinical outcome. A total of 964 cases was
analyzed. Median quantitative fibrosis (QF) was 3.7% (interquartile range, 1.6%
9.7%) with substantial variation in various stages. Median QF was F0, 1% (0.7%
1.65%); F1, 3.03% (2.07%-4.0%); F2, 7.1% (5.6%-8.7%); F3, 12.7% (10.15%-16.7%);
F4, 26.9% (20.3%-36.4%). QF positively correlated with METAVIR staging, liver
stiffness measurement, and hepatic vein pressure gradient. Eighty-nine cases
developed liver-related events: decompensation, hepatocellular carcinoma, liver
transplantation and death. Cox regression analysis after adjusting for METAVIR
staging-QF, albumin, and AST for composite events; QF and albumin for
decompensation; and only QF for hepatocellular carcinoma-were found to be
significant predictors of clinical outcomes. QF categorized into five stages:
QF1, 0%-5%; QF2, 5.1%-10%; QF3, 10.1%-15%; QF4, 15.1%-20%; QF5, >20.1%. In
patients with advanced stages of QF, probability of event-free survival found to
be low. Quantitative fibrosis in baseline liver biopsy predicts progression of
the disease and disease outcome in CHB patients. QF defines the probability of
event-free survival in CHB cases.
PMID- 27189342
TI - ERG and PTEN status of isolated high-grade PIN occurring in cystoprostatectomy
specimens without invasive prostatic adenocarcinoma.
AB - High-grade prostatic intraepithelial neoplasia (HGPIN) is widely believed to
represent a precursor to invasive prostatic adenocarcinoma. However, recent
molecular studies have suggested that retrograde spread of invasive
adenocarcinoma into pre-existing prostatic ducts can morphologically mimic HGPIN.
Thus, previous molecular studies characterizing morphologically identified HGPIN
occurring in radical prostatectomies or needle biopsies with concurrent invasive
carcinoma may be partially confounded by intraductal spread of invasive tumor. To
assess ERG and PTEN status in HGPIN foci likely to represent true precursor
lesions in the prostate, we studied isolated HGPIN occurring without associated
invasive adenocarcinoma in cystoprostatectomies performed at Johns Hopkins
between 2009 and 2014. Of 344 cystoprostatectomies, 33% (115/344) contained
invasive prostatic adenocarcinoma in the partially submitted prostate (10
blocks/case on average) and were excluded from the study. Of the remaining cases
without sampled cancer, 32% (73/229) showed 133 separate foci of HGPIN and were
immunostained for ERG and PTEN using genetically validated protocols. Of foci of
HGPIN with evaluable staining, 7% (8/107) were positive for ERG. PTEN loss was
not seen in any HGPIN lesion (0/88). Because these isolated HGPIN foci at
cystoprostatectomy are unlikely to represent retrograde spread of invasive tumor,
our study suggests that ERG rearrangement, but not PTEN loss, is present in a
minority of potential neoplastic precursor lesions in the prostate.
PMID- 27189345
TI - Non-genomic oestrogen receptor signal in B lymphocytes: An approach towards
therapeutic interventions for infection, autoimmunity and cancer.
AB - The non-genomic membrane bound oestrogen receptor (mER) regulates intracellular
signals through receptor-ligand interactions. The mER, along with G-protein
coupled oestrogen receptor GPR 30 (GPER), induces diverse cell signalling
pathways in murine lymphocytes. The mER isoform ER-alpha46 has recently been
demonstrated in human B and T lymphocytes as an analogue receptor for chemokine
CCL18, the signalling events of which are not clearly understood. Ligand-induced
mER and GPER signalling events are shared with BCR, CD19 mediated intracellular
signalling through phospholipase C, PIP2/IP3/PI3 mediated activation of Akt, MAP
kinase, and mTOR. Oestrogen has the ability to induce CD40-mediated activation of
B cells. The complete signalling pathways of mER, GPR30 and their interaction
with other signals are targeted areas for novel drug development in B cells
during infection, autoimmunity and cancer. Therefore, an in depth investigation
is critical for determining shared signal outputs during B cell activation. Here,
we focus on the mode of action of membrane bound ER in B cells as therapeutic
checkpoints.
PMID- 27189347
TI - Corrigendum: Mutation analysis of the phospholamban gene in 315 South Africans
with dilated, hypertrophic, peripartum and arrhythmogenic right ventricular
cardiomyopathies.
PMID- 27189346
TI - Pauci Immune crescentic glomerulonephritis in a patient with T-cell lymphoma and
argyria.
AB - BACKGROUND: Silver is a transition metal, toxic when ingested in significant
amounts, causing argyria (skin deposition) and argyrosis (eye deposition). It is
excreted mainly via the gastrointestinal tract with only small amounts eliminated
by the kidneys, and rarely have cases of nephrotoxicity due to silver been
reported. Here we present the case of a woman who used colloidal silver as an
alternative remedy for a T cell lymphoma, who subsequently developed argyria and
a pauci-immune crescentic glomerulonephritis with evidence of extensive
glomerular basement membrane silver deposition. CASE PRESENTATION: A 47 year old
woman of Indo-Asian descent with a T-cell lymphoma who refused conventional
chemotherapy for 18 months but self-medicated with a remedy containing colloidal
silver, was admitted with acute dialysis-dependent kidney injury. A kidney biopsy
demonstrated a pauci-immune crescentic glomerulonephritis with deposition of
silver particles in the mesangium and along the glomerular basement membranes.
The patient was treated with intravenous methylprednisolone and intravenous
cyclophosphamide and recovered independent renal function. CONCLUSION:
Chronological evolution of the the pauci-immune glomerulonephritis suggests that
a cellular immune-mediated process was induced, potentially mediated by
lymphomatous T cells directed at the glomerular basement membrane, following
silver deposition. Immunosuppressive therapy improved the situation and allowed
cessation of haemodialysis, supporting the hypothesis of an immune-mediated
process.
PMID- 27189349
TI - Antagonism of Endothelin (ETA and ETB) Receptors During Renovascular Hypertension
Induced Vascular Dementia Improves Cognition.
AB - BACKGROUND: Diseases of cardio, as well as the cerebrovascular system, are known
as the primary possibility for deficits in cognitive processes and dementia of
vascular nature. Endothelin-1 (ET-1) and its receptors are extensively expressed
in brain. OBJECTIVE: The present study has been structured to explore the effects
of bosentan, an ET-1 antagonist on two-kidneyone- clip: 2K1C method induced
hypertension provoked vascular dementia (VaD). METHOD: 2K1C was modelled to
induce renovascular hypertension. Mean arterial blood pressure (MABP) was
assessed using BIOPAC system. Cognitive impairment was assessed employing
Elevated plus maze-EPM as well as Morris water maze-MWM. Brain cholinergic
dysfunction (activity of acetylcholinesterase-AChE), oxidative stress
(thiobarbituric acid reactive substances-TBARS level, glutathione-GSH content,
superoxide dismutase-SOD as well as catalase-CAT activity), aortic oxidative
stress (superoxide anion level), serum nitrosative stress (nitrite/nitrate
level), brain inflammation (myeloperoxidase-MPO), vascular endothelial
dysfunction (endothelium-dependent relaxation) and infarct size (2,3,5-
triphenyltetrazolium chloride-TTC staining) were assessed. RESULTS: Renal artery
ligated animals have shown elevated oxidative stress in the aorta (superoxide
anion-SA) and brain (augmented TBARS, with decreased GSH, SOD, and CAT).
Similarly, 2K1C-renovascular hypertension has shown a considerable rise in brain
inflammation (MPO activity) and brain AChE activity with a significant fall in
serum nitrite/ nitrate contents. Administration of bosentan considerably
diminished 2K1C hypertension induced alterations in MABP, cognitive impairment,
and dysfunction of endothelium. Treatment with bosentan has also restored 2K1C
induced a rise in brain TBARS, AChE, MPO activity, reduction in brain GSH, SOD
and CAT as well as brain damage. CONCLUSION: It may be concluded that ET-1
antagonism may be regarded as possible agents for managing renovascular
hypertension induced VaD.
PMID- 27189348
TI - A platform for actively loading cargo RNA to elucidate limiting steps in EV
mediated delivery.
AB - Extracellular vesicles (EVs) mediate intercellular communication through transfer
of RNA and protein between cells. Thus, understanding how cargo molecules are
loaded and delivered by EVs is of central importance for elucidating the
biological roles of EVs and developing EV-based therapeutics. While some motifs
modulating the loading of biomolecular cargo into EVs have been elucidated, the
general rules governing cargo loading and delivery remain poorly understood. To
investigate how general biophysical properties impact loading and delivery of RNA
by EVs, we developed a platform for actively loading engineered cargo RNAs into
EVs. In our system, the MS2 bacteriophage coat protein was fused to EV-associated
proteins, and the cognate MS2 stem loop was engineered into cargo RNAs. Using
this Targeted and Modular EV Loading (TAMEL) approach, we identified a
configuration that substantially enhanced cargo RNA loading (up to 6-fold) into
EVs. When applied to vesicles expressing the vesicular stomatitis virus
glycoprotein (VSVG) - gesicles - we observed a 40-fold enrichment in cargo RNA
loading. While active loading of mRNA-length (>1.5 kb) cargo molecules was
possible, active loading was much more efficient for smaller (~0.5 kb) RNA
molecules. We next leveraged the TAMEL platform to elucidate the limiting steps
in EV-mediated delivery of mRNA and protein to prostate cancer cells, as a model
system. Overall, most cargo was rapidly degraded in recipient cells, despite high
EV-loading efficiencies and substantial EV uptake by recipient cells. While
gesicles were efficiently internalized via a VSVG-mediated mechanism, most cargo
molecules were rapidly degraded. Thus, in this model system, inefficient
endosomal fusion or escape likely represents a limiting barrier to EV-mediated
transfer. Altogether, the TAMEL platform enabled a comparative analysis
elucidating a key opportunity for enhancing EV-mediated delivery to prostate
cancer cells, and this technology should be of general utility for investigations
and applications of EV-mediated transfer in other systems.
PMID- 27189350
TI - Correction: Discovery of a (19)F MRI sensitive salinomycin derivative with high
cytotoxicity towards cancer cells.
AB - Correction for 'Discovery of a (19)F MRI sensitive salinomycin derivative with
high cytotoxicity towards cancer cells' by Qiuyan Shi et al., Chem. Commun.,
2016, 52, 5136-5139.
PMID- 27189351
TI - Mycobacterium paraintracellulare sp. nov., for the genotype INT-1 of
Mycobacterium intracellulare.
AB - Three mycobacterial strains, isolated from independent Korean patients with
pulmonary infections, belonging to the Mycobacterium intracellulare genotype 1
(INT-1) were characterized using a polyphasic approach. The sequences of the 16S
rRNA gene and internal transcribed spacer 1 (ITS1) of the INT-1 strains were
identical to those of Mycobacterium intracellulare ATCC 13950T. However,
multilocus sequence typing (MLST) analysis targeting five housekeeping genes
(hsp65, rpoB, argG, gnd and pgm) revealed the phylogenetic separation of these
strains from M. intracellulare ATCC 13950T. DNA-DNA hybridization values of >70 %
confirmed that the three isolates belong to the same species, while the values of
<70 % between one of them and the type strains of M. intracellulare and
Mycobacterium chimaera confirmed their belonging to a distinct species. In
addition, phenotypic characteristics such as positive growth on MacConkey agar
and in acidic broth culture, unique matrix-assisted laser desorption/ionization
time-of-flight (MALDI-TOF) MS profiles of lipids, and unique mycolic acids
profiles further supported the taxonomic status of these strains as
representatives of a novel species of the Mycobacterium avium complex named
Mycobacterium paraintracellulare. The type strain is MOTT64T (=KCTC 29084T=JCM
30622T).
PMID- 27189353
TI - Does Obesity Influence on the Functional Outcomes of a Total Knee Arthroplasty?
AB - BACKGROUND: The objective of this study was to compare the total knee
arthroplasty (TKA) functional outcomes and quality of life of obese and non-obese
patients. METHODS: Prospective comparative study, including all patients
underwent TKA in a single centre. Patients were divided into three groups: Group
1 (Gr.1) BMI <30 kg/m2, Group 2 (Gr.2) BMI >= 30 kg/m2 and <35 kg/m2 and Group 3
(Gr.3) BMI >=35 kg/m2. The Knee Society score (KSS) and SF-36 scores were
obtained preoperatively and at 5 years of follow-up. RESULTS: A total of 689
patients were included (72.2 +/- 7 years, 76.3 % women). Overall, pre- and post
operative values of SF-36 were lower for the obese group. However the improvement
obtained in the three groups was similar in all the sub-scales of the SF-36
score. KSS values were higher in the non-obese group in both periods. However the
improvement obtained in this score in the three groups was similar (Gr.1; 70.21
+/- 34.31, Gr.2; 66.53 +/- 34.93, Gr.3; 60.94 +/- 38.47, n.s.). CONCLUSIONS:
Although non-obese patients obtained better functional and reported quality of
life scores than obese patients, there were no differences in the gain of quality
of life and knee functionality between both groups at 5-years of follow-up. This
is one of the largest series in a single centre published in literature and
confirms the results obtained by other authors. Taking into account the different
outcomes obtained, surgery should not be denied to patients that are obese, given
that they obtained similar benefit than non-obese patients.
PMID- 27189354
TI - The Diabetes Surgery Summit II Guidelines: a Disease-Based Clinical
Recommendation.
AB - There is mounting evidence, derived from mechanistic studies, RCTs, and other
high-quality studies that there are weight loss independent antidiabetic effects
of gastrointestinal surgery. Additionally, there appears to be no relation
between the positive metabolic outcomes to baseline BMI. The outdated US National
Health Institutes guidelines from 1991 were centered on BMI only criterion and
often misleading. The Second Diabetes Surgery Summit held in collaboration with
leading diabetes organizations and endorsed by a large group of international
Professional Societies developed guidelines that defined eligibility based on the
severity and degree of T2D medical control while referring to obesity as a
qualifier and not the sole criterion. That is the first time that guidelines are
provided to put metabolic surgery into the T2D treatment algorithms.
PMID- 27189352
TI - Rate of Death and Complications in Laparoscopic and Open Roux-en-Y Gastric
Bypass. A Meta-analysis and Meta-regression Analysis on 69,494 Patients.
AB - Morbid obesity is a life threatening condition. Currently, surgery represents the
only effective and durable therapeutic option to treat it. The first aim of the
study was to estimate and compare the major surgical complications and the 30-day
rate of mortality between laparoscopic and open Roux-en-Y gastric bypass (LRYGB
and RYGB). The second aim was to evaluate the change in outcomes, complications,
and deaths, with increased experience over the time period of the review. A
random effect of the meta-analysis and meta-regression was used to evaluate
surgical complications (i.e., reoperation, stenosis, bleeding, surgical site
infection, fistula, internal hernia, and incisional ventral hernia) and the rate
of mortality after LRYGB and RYGB over time. A search of literature from 2000 to
2014 led to the selection of 17 papers. When looking at surgical techniques
separately, we observed a higher rate of mortality for open surgery (death rate
0.82 %, 95 % CI = 0.49-1.23) compared to laparoscopic surgery (death rate 0.22 %,
95 % CI = 0.09-0.40). This difference resulted highly significant when the two
techniques were formally compared (p < 0.001). The improving of surgery technique
resulted in a mean rate of mortality reduction of 0.069 %. Laparoscopy represents
the approach of choice for bariatric surgery. Contemporary reports of LRYGB show
low mortality rates and progressive decline in postoperative complications.
Laparoscopic bariatric surgery requires advanced laparoscopic skills, and
probably an extended learning curve is not accounted for by current mortality
statistics.
PMID- 27189355
TI - Predictive Accuracy of Violence Risk Scale-Sexual Offender Version Risk and
Change Scores in Treated Canadian Aboriginal and Non-Aboriginal Sexual Offenders.
AB - The present study examined the predictive properties of Violence Risk Scale
Sexual Offender version (VRS-SO) risk and change scores among Aboriginal and non
Aboriginal sexual offenders in a combined sample of 1,063 Canadian federally
incarcerated men. All men participated in sexual offender treatment programming
through the Correctional Service of Canada (CSC) at sites across its five
regions. The Static-99R was also examined for comparison purposes. In total, 393
of the men were identified as Aboriginal (i.e., First Nations, Metis,
Circumpolar) while 670 were non-Aboriginal and primarily White. Aboriginal men
scored significantly higher on the Static-99R and VRS-SO and had higher rates of
sexual and violent recidivism; however, there were no significant differences
between Aboriginal and non-Aboriginal groups on treatment change with both groups
demonstrating close to a half-standard deviation of change pre and post
treatment. VRS-SO risk and change scores significantly predicted sexual and
violent recidivism over fixed 5- and 10-year follow-ups for both racial/ancestral
groups. Cox regression survival analyses also demonstrated positive treatment
changes to be significantly associated with reductions in sexual and violent
recidivism among Aboriginal and non-Aboriginal men after controlling baseline
risk. A series of follow-up Cox regression analyses demonstrated that risk and
change score information accounted for much of the observed differences between
Aboriginal and non-Aboriginal men in rates of sexual recidivism; however, marked
group differences persisted in rates of general violent recidivism even after
controlling for these covariates. The results support the predictive properties
of VRS-SO risk and change scores with treated Canadian Aboriginal sexual
offenders.
PMID- 27189356
TI - Patient Navigation As a Model to Increase Participation of African Americans in
Cancer Clinical Trials.
AB - PURPOSE: Less than 10% of patients enrolled in clinical trials are minorities.
The patient navigation model has been used to improve access to medical care but
has not been evaluated as a tool to increase the participation of minorities in
clinical trials. The Increasing Minority Participation in Clinical Trials project
used patient navigators (PNs) to enhance the recruitment of African Americans for
and their retention in therapeutic cancer clinical trials in a National Cancer
Institute-designated comprehensive cancer center. METHODS: Lay individuals were
hired and trained to serve as PNs for clinical trials. African American patients
potentially eligible for clinical trials were identified through chart review or
referrals by clinic nurses, physicians, and social workers. PNs provided two
levels of services: education about clinical trials and tailored support for
patients who enrolled in clinical trials. RESULTS: Between 2007 and 2014, 424
African American patients with cancer were referred to the Increasing Minority
Participation in Clinical Trials project. Of those eligible for a clinical trial
(N = 378), 304 (80.4%) enrolled in a trial and 272 (72%) consented to receive
patient navigation support. Of those receiving patient navigation support, 74.5%
completed the trial, compared with 37.5% of those not receiving patient
navigation support. The difference in retention rates between the two groups was
statistically significant (P < .001). Participation of African Americans in
therapeutic cancer clinical trials increased from 9% to 16%. CONCLUSION: Patient
navigation for clinical trials successfully retained African Americans in
therapeutic trials compared with non-patient navigation trial participation. The
model holds promise as a strategy to reduce disparities in cancer clinical trial
participation. Future studies should evaluate it with racial/ethnic minorities
across cancer centers.
PMID- 27189357
TI - Assessing Colorectal Cancer Screening Adherence of Medicare Fee-for-Service
Beneficiaries Age 76 to 95 Years.
AB - INTRODUCTION: There are concerns about potential overuse of colorectal cancer
(CRC) screening services among average-risk individuals older than age 75 years.
MATERIALS AND METHODS: Using a 5% random noncancer sample of Medicare
beneficiaries who resided in the SEER areas, we examined rates of CRC screening
adherence, defined by the Medicare coverage policy, among average-risk fee-for
service beneficiaries age 76 to 95 years from 2002 to 2010. The two outcomes are
the status of overall CRC screening adherence, and the status of adherence to
colonoscopy (v other modalities) conditional on patient adherence. RESULTS:
Overall CRC screening adherence rates of Medicare beneficiaries age 76 to 95
years increased from 13.0% to 21.4% from 2002 to 2010. In 2002, 2.2% of
beneficiaries were adherent to colonoscopy, and 10.7%, by other modalities; the
corresponding rates were 19.5% and 1.9%, respectively, in 2010. Specifically,
rates of adherence to colonoscopy were 1.1% for those age 86 to 90 years and
almost nil for those age 91 to 95 years in 2002, but the rates became 13.5% and
8.2%, respectively, in 2010. Compared with white beneficiaries, black
beneficiaries age 76 to 95 years had a 7-percentage-point lower adherence rate.
However, overall adherence rates among blacks increased by 168.6% from 2002 to
2010, whereas rates among whites increased by 63.0%. Logistic regressions showed
that blacks age 86 to 95 years were less likely than whites to be adherent (odds
ratio, 0.56; 95% CI, 0.47 to 0.59) but were more likely to be adherent to
colonoscopy (odds ratio, 2.34; 95% CI, 1.47 to 3.91). CONCLUSION: High
proportions of average-risk Medicare fee-for-service beneficiaries screened by
colonoscopy may represent opportunities for improving appropriateness and
allocative efficiency of CRC screening by Medicare.
PMID- 27189359
TI - Unexpected Increases in Fecundity of Ceriodaphnia dubia Exposed to Reused Rice
Irrigation Water.
AB - Steady increases in agricultural irrigation raise concerns about environmental
impacts. Rice producing regions face declining irrigation groundwater and have
started reusing irrigation water as a substitute. The goal of this project was to
determine if reused irrigation water is potentially toxic compared to
conventional well irrigation water. Reused and well water samples, collected from
three Arkansas rice farms at field inlets and outlets on three dates
corresponding to fertilizer/chemical applications or crop management, were used
in acute 48-h (Pimephales promelas) and chronic (Ceriodaphnia dubia) toxicity
evaluations. Acute toxicity tests indicated no effects on P. promelas. Fecundity
of C. dubia was significantly increased in the reused water inlet and in both the
reused and well water rice field outlets compared to well water inlets and
laboratory reference water. This study suggests that, compared to well water,
reused rice irrigation water has reduced potential for significant negative
environmental impact on biota in receiving waters.
PMID- 27189358
TI - Genetic and Hematologic Endpoints in Astyanax altiparanae (Characidae) After
Exposure and Recovery to Water-Soluble Fraction of Gasoline (WSFG).
AB - The sublethal effects of water-soluble fraction of gasoline (WSFG, 1.5 % v/v)
were evaluated in the freshwater fish, Astynax altiparanae, after acute exposure
(96 h) under a semi-static system. In addition, the recovery process was assessed
in the fish following contaminant depuration. Recovery treatments were carried
out with gradual depuration (GD), consisting of 7 days in the WSFG, followed by 8
days in clean water; and treatments with total depuration in clean water for 15
(DEP 15) and 30 days (DEP 30). The effects were evaluated through the piscine
micronucleus test and by differential counting of organic defense cells. Acute
exposure increased the frequency of neutrophils. In the GD treatment, the
thrombocyte count and erythrocytic nuclear abnormalities (ENA) increased. In the
DEP 15 treatment, there was a reduction of ENA; and following 30 days of
depuration (i.e., DEP 30), the number of lymphocytes increased and the
thrombocyte count remained high. These results indicate a long-term response to a
condition of stress from WSFG.
PMID- 27189360
TI - The Pichia pastoris transmembrane protein GT1 is a glycerol transporter and
relieves the repression of glycerol on AOX1 expression.
AB - Promoter of alcohol oxidase I (PAOX1) is the most efficient promoter involved in
the regulation of recombinant protein expression in Pichia pastoris (P.
pastoris). PAOX1 is tightly repressed by the presence of glycerol in the culture
medium; thus, glycerol must be exhausted before methanol can be taken up by P.
pastoris and the expression of the heterologous protein can be induced. In this
study, a candidate glycerol transporter (GT1, GeneID: 8197545) was identified,
and its role was confirmed by further studies (e.g. bioinformatics analysis,
heterologous complementation in Schizosaccharomyces pombe (S. pombe)). When GT1
is co-expressed with enhanced green fluorescent protein (EGFP), it localizes to
the membrane and S. pombe carrying gt1 but not the wild-type strain can grow on
medium containing glycerol as the sole carbon source. The present study is the
first to report that AOX1 in the X-33Deltagt1 mutant can achieve constitutive
expression in medium containing glycerol; thus, knocking down gt1 can eliminate
the glycerol repression of PAOX1 in P. pastoris These results suggest that the
glycerol transporter may participate in the process of PAOX1 inhibition in
glycerol medium.
PMID- 27189361
TI - Genetic and phenotypic characterization of Saccharomyces spp. strains isolated in
distillery plants.
AB - In this study, the biodiversity and some interesting phenotypic properties of
Saccharomyces wild yeasts isolated in distilleries, at least 100 years old,
located in La Mancha (Spain), were determined. Strains were genetically
characterized by RFLP-mtDNA, which confirmed a great genetic biodiversity with
73% of strains with different mtDNA profiles, highlighting the large variability
found in sweet and fermented piquette substrata. The predominant species
identified was S. cerevisiae, followed by S. paradoxus and S. bayanus Due to the
residual sugar-alcohol extraction process using warm water, a great number of
thermophilic Saccharomyces strains with a great cell vitality were found to have
potential use as starters in distillery plants. Interesting technological
properties such as cell vitality and growth rate at different temperatures were
studied. The thermal washing process for the extraction of alcohol and reducing
sugars of some raw materials contributes to the presence of Saccharomyces strains
with technologically interesting properties, especially in terms of vitality and
resistance to high temperatures. Due to the fact that fermentation is
spontaneous, the yeast biota of these environments, Saccharomyces and non
Saccharomyces, is very varied so these ecological niches are microbial reserves
of undoubted biotechnological interest.
PMID- 27189362
TI - Trehalose-6-phosphate synthesis controls yeast gluconeogenesis downstream and
independent of SNF1.
AB - Trehalose-6-P (T6P), an intermediate of trehalose biosynthesis, was identified as
an important regulator of yeast sugar metabolism and signaling. tps1Delta
mutants, deficient in T6P synthesis (TPS), are unable to grow on rapidly
fermentable medium with uncontrolled influx in glycolysis, depletion of ATP and
accumulation of sugar phosphates. However, the exact molecular mechanisms
involved are not fully understood. We show that SNF1 deletion restores the
tps1Delta growth defect on glucose, suggesting that lack of TPS hampers
inactivation of SNF1 or SNF1-regulated processes. In addition to alternative, non
fermentable carbon metabolism, SNF1 controls two major processes: respiration and
gluconeogenesis. The tps1Delta defect appears to be specifically associated with
deficient inhibition of gluconeogenesis, indicating more downstream effects.
Consistently, Snf1 dephosphorylation and inactivation on glucose medium are not
affected, as confirmed with an in vivo Snf1 activity reporter. Detailed analysis
shows that gluconeogenic Pck1 and Fbp1 expression, protein levels and activity
are not repressed upon glucose addition to tps1Delta cells, suggesting a link
between the metabolic defect and persistent gluconeogenesis. While SNF1 is
essential for induction of gluconeogenesis, T6P/TPS is required for inactivation
of gluconeogenesis in the presence of glucose, downstream and independent of SNF1
activity and the Cat8 and Sip4 transcription factors.
PMID- 27189363
TI - Complete genome sequence and transcriptome regulation of the pentose utilizing
yeast Sugiyamaella lignohabitans.
AB - Efficient conversion of hexoses and pentoses into value-added chemicals
represents one core step for establishing economically feasible biorefineries
from lignocellulosic material. While extensive research efforts have recently
provided advances in the overall process performance, the quest for new microbial
cell factories and novel enzymes sources is still open. As demonstrated recently
the yeast Sugiyamaella lignohabitans (formerly Candida lignohabitans) represents
a promising microbial cell factory for the production of organic acids from
lignocellulosic hydrolysates. We report here the de novo genome assembly of S.
lignohabitans using the Single Molecule Real-Time platform, with gene prediction
refined by using RNA-seq. The sequencing revealed a 15.98 Mb genome, subdivided
into four chromosomes. By phylogenetic analysis, Blastobotrys (Arxula)
adeninivorans and Yarrowia lipolytica were found to be close relatives of S.
lignohabitans Differential gene expression was evaluated in typical growth
conditions on glucose and xylose and allowed a first insight into the
transcriptional response of S. lignohabitans to different carbon sources and
different oxygenation conditions. Novel sequences for enzymes and transporters
involved in the central carbon metabolism, and therefore of potential
biotechnological interest, were identified. These data open the way for a better
understanding of the metabolism of S. lignohabitans and provide resources for
further metabolic engineering.
PMID- 27189364
TI - Three Candida albicans potassium uptake systems differ in their ability to
provide Saccharomyces cerevisiae trk1trk2 mutants with necessary potassium.
AB - Yeasts usually have one or two high-affinity potassium transporters. Two complete
and one interrupted gene encoding three types of putative potassium uptake system
exist in Candida albicans SC5314. As high intracellular potassium is essential
for many yeast cell functions, the existence of three transporters with differing
transport mechanisms (Trk uniporter, Hak cation-proton symporter, Acu ATPase) may
help pathogenic C. albicans cells to acquire the necessary potassium in various
organs and tissues of the host. When expressed in Saccharomyces cerevisiae
lacking their own potassium uptake systems, all three putative transporters were
able to provide cells with the ability to grow with low amounts of potassium over
a broad range of external pH. Only CaTrk1 was properly recognized and secreted to
the plasma membrane. Nevertheless, even the small number of CaHak1 and mainly
CaAcu1 molecules which reached the plasma membrane resulted in an improved growth
of cells in low potassium concentrations, suggesting a high affinity and capacity
of the transporters. A single-point mutation restored the complete CaACU1 gene,
and the resulting protein not only provided cells with the necessary potassium
but also improved their tolerance to toxic lithium. In contrast to its known
homologues, CaAcu1 did not seem to transport sodium.
PMID- 27189365
TI - Ian Dawes-the third Pope-lucky to be a researcher.
AB - Retrospective articles are an excuse for a rosy tinted view of one's life. This
fully expurgated version is no exception. No "what the butler saw" or the
vilification of enemies that one finds in political autobiographies - merely the
account of one born to a generation of those whose forebears never had the chance
to go to university and enjoy the subsequent fruits of that education - and of
one who by chance stumbled into the world of yeast genetics and molecular
biology, who had a lot of fun on the way and who never sought to leave it.
PMID- 27189367
TI - Yeast peroxisomes: structure, functions and biotechnological opportunities.
AB - Peroxisomes are ubiquitous organelles found in most eukaryotic cells. In yeasts,
peroxisomes play important roles in cell metabolism, especially in different
catabolic processes including fatty acid beta-oxidation, the glyoxylic shunt and
methanol metabolism, as well as some biosynthetic processes. In addition,
peroxisomes are the compartment in which oxidases and catalase are localized. New
peroxisomes mainly arise by fission of pre-existing ones, although they can also
be formed from the endoplasmic reticulum (ER). Peroxisomes consist of matrix
soluble proteins and membrane proteins known as peroxins. A total of 34 PEX
peroxin genes and proteins have been identified to date. and their functions have
been elucidated. Protein import into peroxisomes depends on peroxins and requires
specific signals in the structure of transported proteins: PTS1, PTS2 and mPTS.
The mechanisms of metabolite penetration into peroxisomes are still poorly
understood. Peroxisome number and the volume occupied by these organelles are
tightly regulated. Methanol, fatty acids and methylamine act as efficient
peroxisome proliferators, whereas glucose and ethanol induce peroxisome
autophagic degradation (pexophagy). To date, 42 Atg proteins involved in
pexophagy are known. Catabolism and alcoholic fermentation of the major pentose
sugar, xylose, depend on peroxisomal enzymes. Overexpression of peroxisomal
transketolase and transaldolase activates xylose fermentation. Peroxisomes could
be useful as target organelles for overexpression of foreign toxic proteins.
PMID- 27189366
TI - Mutations of charged amino acids at the cytoplasmic end of transmembrane helix 2
affect transport activity of the budding yeast multidrug resistance protein
Pdr5p.
AB - Pdr5p is a major ATP-binding cassette (ABC) transporter in Saccharomyces
cerevisiae. It displays a sequence and functional homology to the pathogenic
Candida albicans multidrug resistance protein Cdr1p. The transmembrane helices of
Pdr5p act in substrate recognition, binding, translocation and eventual removal
of toxic substances out of the plasma membrane via the formation of a binding
pocket. In this study, we identify two novel Pdr5 mutants (E574K and E580K),
which exhibit impaired substrate efflux functions. Both mutants remained
hypersensitive to all tested Pdr5p substrates without affecting their protein
expression levels, localization or ATPase activities. As E574 and E580 are both
located adjacent to the predicted cytoplasmic end of transmembrane helix 2, this
implies that such charged residues are functionally essential for Pdr5p.
Molecular docking studies suggest the possibility that oppositely charged
substitution at residue E574 may disturb the interaction between the substrates
and Pdr5p, resulting in impaired transport activity. Our results present new
evidence, suggesting that transmembrane helix 2 plays an important role for the
efflux function of Pdr5p.
PMID- 27189368
TI - The GCA1 gene encodes a glycosidase-like protein in the cell wall of Candida
albicans.
AB - Candida albicans Gca1p is a putative glucoamylase enzyme which contains 946 amino
acids, 11 putative sites for N-glycosylation and 9 for O-glycosylation. Gca1p was
identified in beta-mercaptoethanol extracts from isolated cell walls of strain C.
albicans SC5314 and it is involved in carbohydrate metabolism. The significance
and the role of this protein within the cell wall structure were studied in the
corresponding mutants. The homozygous mutant showed that GCA1 was not an
essential gene for cell viability. Subsequent phenotypic analysis performed in
the mutants obtained did not show significant difference in the behavior of
mutant when compared with the wild strain SC5314. Zymoliase, Calcofluor White,
Congo red, SDS, caffeine or inorganic compounds did not affect the integrity of
the cell wall. No differences were observed when hyphal formation assays were
carried out. However, an enzyme assay in the presence of substrate p-nitrophenyl
alpha-D-glucopyranoside enabled us to detect a significant decrease in
glycosidase activity in the mutants compared with the parental strain, revealing
the function of Gca1.
PMID- 27189369
TI - Kluyveromyces lactis genome harbours a functional linker histone encoding gene.
AB - Linker histones are essential components of chromatin in eukaryotes. Through
interactions with linker DNA and nucleosomes they facilitate folding and
maintenance of higher-order chromatin structures and thus delicately modulate
gene activity. The necessity of linker histones in lower eukaryotes appears
controversial and dubious. Genomic data have shown that Schizosaccharomyces pombe
does not possess genes encoding linker histones while Kluyveromyces lactis has
been reported to have a pseudogene. Regarding this controversy, we have provided
the first direct experimental evidence for the existence of a functional linker
histone gene, KlLH1, in K. lactis genome. Sequencing of KlLH1 from both genomic
DNA and copy DNA confirmed the presence of an intact open reading frame.
Transcription and splicing of the KlLH1 sequence as well as translation of its
mRNA have been studied. In silico analysis revealed homology of KlLH1p to the
histone H1/H5 protein family with predicted three domain structure characteristic
for the linker histones of higher eukaryotes. This strongly proves that the yeast
K. lactis does indeed possess a functional linker histone gene thus entailing the
evolutionary preservation and significance of linker histones. The nucleotide
sequences of KlLH1 are deposited in the GenBank under accession numbers KT826576,
KT826577 and KT826578.
PMID- 27189370
TI - Methylation-mediated downregulation of long noncoding RNA LOC100130476 in gastric
cardia adenocarcinoma.
AB - Accumulating evidences indicate that long non-coding RNAs (lncRNAs) play
important roles in several biological processes and dysregulated lncRNAs are
involved in different kinds of cancer and are associated with carcinogenesis,
metastasis, and prognosis of cancer. The role of a new lncRNA LOC100130476 in
gastric cardia adenocarcinoma (GCA) has remained unknown. The present study
investigated the role and methylation status of LOC100130476 in the pathogenesis
of GCA, and further evaluated the potential prognostic role of LOC100130476 in
GCA. Significant downregulation of LOC100130476 was detected in SGC-7901 and BGC
823 cell lines and primary GCA tissues. Methylation frequency of LOC100130476 was
gradually increased from exon 1 to exon 2 both in tumor tissues and corresponding
normal tissues; however, methylation status of region 1 closing to the
transcription start site was more tumor-specific among the three regions
examined. The findings of the association between LOC100130476 expression,
methylation and TNM stage, pathological differentiation, and GCA patients'
survival further identified the role of LOC100130476 as a tumor suppressor gene.
Furthermore, the hypermethylation of LOC100130476 was also detected in peripheral
white blood cells of GCA cases. Thus, LOC100130476 may be act as a tumor
suppressor gene in GCA carcinogenesis and aberrant methylation at the CpG sites
near the transcription start site within exon 1 may be critical for gene
silencing. In addition, aberrant methylation of LOC100130476 in peripheral white
blood cells and GCA tissues may be used as a potential valuable biomarker in GCA
diagnosis and prognosis.
PMID- 27189373
TI - Prevalence of Anxiety and Depression in Pulmonary Hypertension and Changes during
Therapy.
AB - BACKGROUND: Pulmonary hypertension (PH) leads to reduced health-related quality
of life (HRQoL). OBJECTIVE: To investigate the prevalence and course of anxiety
and depression and their association with HRQoL, disease severity and survival in
PH. METHODS: 131 PH patients (91 pulmonary arterial, 30 chronic thromboembolic,
10 due to lung disease; 84 female, 47 male) had repeated assessments with the
Hospital Anxiety and Depression Scale (HADS), HRQoL, six-minute walk distance and
WHO functional class during a mean course of 16 +/- 12 months. RESULTS: Among the
49 incident and 82 prevalent PH patients, the HADS score was positive in 53%/21%
(depression), 51%/24% (anxiety) and 63%/26% (total score) (all p < 0.05). The
HADS score was improved at the second assessment in incident patients. The HADS
score correlated with HRQoL at all consecutive assessments and with functional
class until the third assessment, but not with baseline hemodynamics, age or
gender. CONCLUSION: Mood disorders remain underdiagnosed in PH. The higher
prevalence of anxiety/depression in incident versus prevalent patients and the
improvement over time may indicate an amelioration of mood disorders after PH
diagnosis and treatment.
PMID- 27189372
TI - Seasonal immunoregulation in a naturally-occurring vertebrate.
AB - BACKGROUND: Fishes show seasonal patterns of immunity, but such phenomena are
imperfectly understood in vertebrates generally, even in humans and mice. As
these seasonal patterns may link to infectious disease risk and individual
condition, the nature of their control has real practical implications. Here we
characterize seasonal dynamics in the expression of conserved vertebrate immunity
genes in a naturally-occurring piscine model, the three-spined stickleback.
RESULTS: We made genome-wide measurements (RNAseq) of whole-fish mRNA pools (n =
36) at the end of summer and winter in contrasting habitats (riverine and
lacustrine) and focussed on common trends to filter habitat-specific from
overarching temporal responses. We corroborated this analysis with targeted year
round whole-fish gene expression (Q-PCR) studies in a different year (n = 478).
We also considered seasonal tissue-specific expression (6 tissues) (n = 15) at a
third contrasting (euryhaline) locality by Q-PCR, further validating the
generality of the patterns seen in whole fish analyses. Extremes of season were
the dominant predictor of immune expression (compared to sex, ontogeny or
habitat). Signatures of adaptive immunity were elevated in late summer. In
contrast, late winter was accompanied by signatures of innate immunity (including
IL-1 signalling and non-classical complement activity) and modulated toll-like
receptor signalling. Negative regulators of T-cell activity were prominent
amongst winter-biased genes, suggesting that adaptive immunity is actively down
regulated during winter rather than passively tracking ambient temperature.
Network analyses identified a small set of immune genes that might lie close to a
regulatory axis. These genes acted as hubs linking summer-biased adaptive
pathways, winter-biased innate pathways and other organismal processes, including
growth, metabolic dynamics and responses to stress and temperature. Seasonal
change was most pronounced in the gill, which contains a considerable
concentration of T-cell activity in the stickleback. CONCLUSIONS: Our results
suggest major and predictable seasonal re-adjustments of immunity. Further
consideration should be given to the effects of such responses in seasonally
occurring disease.
PMID- 27189374
TI - Comparison of the compact dry TC method with the standard method ISO 21149:2006
for determining aerobic colony counts in cosmetic emulsion.
AB - OBJECTIVE: Compact Dry TC, a rapid method kit for determining aerobic colony
counts, has been developed by Nissui Pharmaceutical Co. for food application.
These plates are pre-sterilized and contain culture medium, a cold-soluble
gelling agent and a colour redox indicator for rapid enumeration. In this study,
the alternative method is compared with the standard method ISO 21149:2006 -
Cosmetic - Microbiology - Enumeration and detection of aerobic mesophilic
bacteria, for cosmetic emulsions application. METHODS: An oil-in-water (o/w)
cosmetic emulsion was contaminated with a pool of bacterial strains (Escherichia
coli ATCC 8739, Staphylococcus aureus ATCC 6538 and Pseudomonas aeruginosa ATCC
9027). One millilitre of samples was spread on agar as described in ISO 21149.
The colonies were enumerated after 3 days of incubation. At the same time, 1.2 mL
samples were spread on Compact Dry TC kits. The kit was incubated at 35 degrees C
+/- 1 degrees C for 48 h, and the colonies were enumerated. Accuracy
determination was carried out using six replicates at four levels of
concentrations (10, 50, 100 and 250 CFU mL-1 ). The repeatability study was
carried out using 12 replicates at four levels of concentrations (10, 50, 100 and
250 CFU mL-1 ). Variations relative to the analyst and to the batch of emulsion
have been investigated. RESULTS: The linear correlation coefficients of Compact
Dry TC Kit enumeration with standard method ISO 21149:2006 was 0.9999. In
comparison study, no apparent differences were noted between the Compact Dry TC
kit and the reference method ISO 21149, for the detection level of aerobic
microorganisms. Relative accuracy, repeatability and intermediate precision
studies were acceptable. In the repeatability study, the Shapiro-Wilk test has
confirmed the normally distribution of the twelve assays. No significant
variations in Compact Dry TC count results were observed with different analysts
and different batches of emulsion. CONCLUSION: The results showed that the two
compared methods 'Compact Dry TC' vs. 'conventional pour plate' performed equally
well. Demonstration was achieved that the Compact Dry TC method may constitute a
useful alternative tool for rapid enumeration of aerobic mesophilic bacteria in
cosmetic emulsions.
PMID- 27189375
TI - "Alarm-corrected" ergonomic armrest use could improve learning curves of novices
on robotic simulator.
AB - BACKGROUND: In robotic surgery, the professional ergonomic habit of using an
armrest reduces operator fatigue and increases the precision of motion. We
designed and validated a pressure surveillance system (PSS) based on force
sensors to investigate armrest use. The objective was to evaluate whether adding
an alarm to the PSS system could shorten ergonomic training and improve
performance. STUDY DESIGN: Twenty robot and simulator-naive participants were
recruited and randomized in two groups (A and B). The PSS was installed on a
robotic simulator, the dV-Trainer, to detect contact with the armrest. The Group
A members completed three tasks on the dV-Trainer without the alarm, making 15
attempts at each task. The Group B members practiced the first two tasks with the
alarm and then completed the final tasks without the alarm. The simulator
provided an overall score reflecting the trainees' performance. We used the new
concept of an "armrest load" score to describe the ergonomic habit of using the
armrest. RESULTS: Group B had a significantly higher performance score (p <
0.001) and armrest load score (p < 0.001) than Group A from the fifth attempt of
the first task to the end of the experiment. CONCLUSIONS: Based on the
conditioned reflex effect, the alarm associated with the PSS rectified ergonomic
errors and accelerated professional ergonomic habit acquisition. The combination
of the PSS and alarm is effective in significantly shortening the learning curve
in the robotic training process.
PMID- 27189376
TI - Extramedullary Involvement of the Sigmoid Colon with Acute Lymphocytic Leukemia.
PMID- 27189371
TI - Minimal residual disease in breast cancer: an overview of circulating and
disseminated tumour cells.
AB - Within the field of cancer research, focus on the study of minimal residual
disease (MRD) in the context of carcinoma has grown exponentially over the past
several years. MRD encompasses circulating tumour cells (CTCs)-cancer cells on
the move via the circulatory or lymphatic system, disseminated tumour cells
(DTCs)-cancer cells which have escaped into a distant site (most studies have
focused on bone marrow), and resistant cancer cells surviving therapy-be they
local or distant, all of which may ultimately give rise to local relapse or overt
metastasis. Initial studies simply recorded the presence and number of CTCs and
DTCs; however recent advances are allowing assessment of the relationship between
their persistence, patient prognosis and the biological properties of MRD,
leading to a better understanding of the metastatic process. Technological
developments for the isolation and analysis of circulating and disseminated
tumour cells continue to emerge, creating new opportunities to monitor disease
progression and perhaps alter disease outcome. This review outlines our knowledge
to date on both measurement and categorisation of MRD in the form of CTCs and
DTCs with respect to how this relates to cancer outcomes, and the hurdles and
future of research into both CTCs and DTCs.
PMID- 27189377
TI - Association of serum leptin and adiponectin with anthropomorphic indices of
obesity, blood lipids and insulin resistance in a Sub-Saharan African population.
AB - BACKGROUND: There is little data on the metabolic effects of adipokines in sub
Saharan African populations. This study aimed to explore the potential
relationship of leptin and adiponectin, with obesity, plasma lipids and insulin
resistance in a Cameroonian population. METHODS: We enrolled 167 men and 309
women aged >=18 years from the general population in Cameroon. Data were
collected on waist circumference (WC), body mass index (BMI), waist-to-hip ratio
(WHR), body fat (BF%), fasting blood glucose, plasma lipids, adiponectin, leptin,
insulin and homeostasis model for assessment of insulin resistance (HOMA-IR).
Pearson's correlation and multiple stepwise linear regression analyses were used
to determine correlates of leptin and adiponectin serum levels. RESULTS: The
prevalence of obesity was higher in women compared to men (p < 0.0001), and
Central obesity which is more prevalent particularly in women (WC = 42.4%, WHR =
42.3%), is almost for 90% comparable to %BF (42.7%). Adiponectin negatively with
BMI (r = -0.294, p < 0.0001), WC (r = -0.294, p < 0.0001), %BF (r = -0.122, p =
0.028), WHR (r = -0.143, p = 0.009), triglycerides (r = -0.141, p = 0.011), HOMA
IR (r = -0.145, p = 0.027) and insulin (r = -0.130, p = 0.048). Leptin positively
correlated with BMI (r = 0.628), WC (r = 0.530), BF% (r = 0.720), (all p <
0.0001); with DBP (r = 0.112, p = 0.043), total cholesterol (r = 0.324, p <
0.0001), LDL-cholesterol (r = 0.298, p < 0.0001), insulin (r = 0.320, p < 0.001
and HOMA-IR (r = 0.272, p < 0.0001). In multiple stepwise regression analysis,
adiponectin was negatively associated with WC (beta = -0.38, p = 0.001) and BF%
(beta = 0.33, p < 0.0001), while leptin was positively associated with BF% (beta
= 0.60, p < 0.0001), total cholesterol (beta = 0.11, p = 0.02) and HOMA-IR (beta
= 0.11, p = 0.02). When controlled for gender, HOMA-IR was found significantly
associated to adiponectin (beta = 0.13, p = 0.046), but not BF%, while the
association previously found between leptin and HOMA-IR disappeared; BMI and WC
were significantly associated with leptin (beta = 0.18, p = 0.04 & beta = 0.19, p
= 0.02 respectively). CONCLUSION: This study, which includes a population who was
not receiving potentially confounding medications, confirms the associations
previously observed of adiponectin with reduced adiposity especially central
adiposity and improved insulin sensitivity. Confirmatory associations were also
observed between leptin and obesity, blood lipids and insulin resistance for the
first time in an African population. Gender was significant covariate interacting
with insulin sensitivity/insulin resistance and obesity indexes associations in
this population.
PMID- 27189379
TI - The HPA axis and ethanol: a synthesis of mathematical modelling and experimental
observations.
AB - Stress and alcohol use are interrelated-stress contributes to the initiation and
upholding of alcohol use and alcohol use alters the way we perceive and respond
to stress. Intricate mechanisms through which ethanol alters the organism's
response to stress remain elusive. We have developed a stoichiometric network
model to succinctly describe neurochemical transformations underlying the stress
response axis and use numerical simulations to model ethanol effects on complex
daily changes of blood levels of cholesterol, 6 peptide and 8 steroid hormones.
Modelling suggests that ethanol alters the dynamical regulation of hypothalamic
pituitary-adrenal (HPA) axis activity by affecting the amplitude of ultradian
oscillations of HPA axis hormones, which defines the threshold with respect to
which the response to stress is being set. These effects are complex-low/moderate
acute ethanol challenge (<8 mM) may reduce, leave unaltered or increase the
amplitude of ultradian cortisol (CORT) oscillations, giving rise to an intricate
response at the organism level, offering also a potential explanation as to why
apparently discordant results were observed in experimental studies. In contrast,
high-dose acute ethanol challenge (>8 mM) increases instantaneous CORT levels and
the amplitude of ultradian CORT oscillations in a dose-dependent manner,
affecting the HPA axis activity also during the following day(s). Chronic
exposure to ethanol qualitatively changes the HPA axis dynamics, whereas ethanol
at intoxicating levels shuts down this dynamic regulation mechanism. Mathematical
modelling gives a quantitative biology-based framework that can be used for
predicting how the integral HPA axis response is perturbed by alcohol.
PMID- 27189380
TI - Multispectroscopic and Isotopic Ratio Analysis To Characterize the Inorganic
Binder Used on Pompeian Pink and Purple Lake Pigments.
AB - Because of the fact that pigments are not ubiquitous in the archeological record,
the application of noninvasive analytical methods is a necessity. In this work,
pink and purple lake pigments recovered from the excavations of the ancient city
of Pompeii (Campania, Italy) and preserved in their original bowls at the Naples
National Archaeological Museum (Italy) were analyzed to characterize the
composition of their inorganic binders (mordants). In situ preliminary analyses
using a hand-held energy dispersive X-ray fluorescence spectrometer (HH-ED-XRF)
allowed us to determine the use of an aluminosilicate enriched in Cu and Pb.
Scanning electron microscopy coupled to energy dispersive X-ray spectrometry (SEM
EDS) and benchtop ED-XRF analyses confirmed these results, while inductively
coupled plasma mass spectrometry (ICPMS) allowed one to determine the
concentration of major, minor, and trace elements. The use of other techniques
such as X-ray diffraction (XRD), and micro-Raman and infrared spectroscopies
allowed one to characterize the pigments at the molecular level. The high
concentration of Cu detected in the pigments (1228-12937 MUg g(-1)) could be
related to the addition of Cu salts to obtain the desired final hue. The
concentrations of Pb (987-2083 MUg g(-1)) was also remarkable. Lead isotopic
ratio analysis ((206)Pb/(207)Pb) suggested a possible origin related to the
leaching of the ancient lead pipes from Pompeii and the subsequent transfer to
the buried pigments or to the inorganic binder. Molecular analysis also showed
that the binder is composed of an allophane-like clay. Moreover, it was possible
to determine that to obtain the final purple hue of a specific pigment, Pompeian
blue pigment was also mixed into the dyed clay.
PMID- 27189378
TI - Hyper IgM Syndrome: a Report from the USIDNET Registry.
AB - PURPOSE: The United States Immunodeficiency Network (USIDNET) patient registry
was used to characterize the presentation, genetics, phenotypes, and treatment of
patients with Hyper IgM Syndrome (HIGM). METHODS: The USIDNET Registry was
queried for HIGM patient data collected from October 1992 to July 2015. Data
fields included demographics, criteria for diagnosis, pedigree analysis,
mutations, clinical features, treatment and transplant records, laboratory
findings, and mortality. RESULTS: Fifty-two physicians entered data from 145
patients of ages 2 months to 62 years (median 12 years); 131 were males. Using
patients' age at last entry, data from 2072 patient years are included. Mutations
were recorded for 85 subjects; 82 were in CD40LG. Eighteen subjects had non-X
linked HIGM. 40 % had a normal serum IgM and 15 %, normal IgA. Infections were
reported for 91 %, with pulmonary, ear, and sinus infections being the most
common. 42 % had Pneumocystis jirovecii pneumonia; 6 % had Cryptosporidium. 41 %
had neutropenia. 78 % experienced non-infectious complications: chronic diarrhea
(n = 22), aphthous ulcers (n = 28), and neoplasms (n = 8) including colon cancer,
adrenal adenoma, liver adenocarcinoma, pancreatic carcinoid, acute myeloid
leukemia, hepatoma, and, in a female with an autosomal dominant gain of function
mutation in PIK3CD, an ovarian dysgerminoma. Thirteen patients had a
hematopoietic marrow or stem cell transplant; three had solid organ transplants.
Thirteen were known to have died (median age = 14 years). CONCLUSIONS: Analysis
of the USIDNET Registry provides data on the common clinical features of this
rare syndrome, and in contrast with previously published data, demonstrates
longer survival times and reduced gastrointestinal manifestations.
PMID- 27189382
TI - Sweetening yoghurt with glucose, but not with saccharin, promotes weight gain and
increased fat pad mass in rats.
AB - The claim that non-nutritive sweeteners accelerate body weight gain by disrupting
sweet-calorie associations was tested in two experiments using rats. The
experiments were modelled on a key study from a series of experiments reporting
greater body weight gain in rats fed yoghurt sweetened with saccharin than with
glucose (Swithers & Davidson, 2008). Both of the current experiments likewise
compared groups fed saccharin- or glucose-sweetened yoghurt in addition to chow
and water, while Experiment 1 included a third group (Control) given unsweetened
yoghurt. In Experiment 1, but not in Experiment 2, rats were initially exposed to
both saccharin- and glucose-sweetened yoghurts to assess their relative
palatability. We also tested whether the provision of an energy-dense sweet
biscuit would augment any effects of saccharin on food intake and weight gain, as
seemingly predicted by Swithers and Davidson (2008). In Experiment 1 there were
no differences in body weight gain or fat pad mass between the Saccharin and
Control group, whereas the Glucose group was the heaviest by the final 5 weeks
and at cull had the largest fat pads. Greater acceptance of saccharin predicted
more weight gain over the whole experiment. Consistent with past reports, fasting
blood glucose and insulin measures did not differ between the Saccharin and
Control groups, but suggested some impairment of insulin sensitivity in the
Glucose group. Experiment 2 found similar effects of glucose on fat mass, but not
on body weight gain. In summary, adding saccharin had no detectable effects on
body-weight regulation, whereas the effects of glucose on fat pad mass were
consistent with previous studies reporting more harmful effects of sugars
compared to non-nutritive sweeteners.
PMID- 27189381
TI - The use of complementary and alternative medicine by 7427 Australian women with
cyclic perimenstrual pain and discomfort: a cross-sectional study.
AB - BACKGROUND: To assess the prevalence of cyclic perimenstrual pain and discomfort
and to detail the pattern of complementary and alternative (CAM) use adopted by
women for the treatment of these symptoms. METHODS: Data from the 2012 national
Australian Longitudinal Study of Women's Health (ALSWH) cross-sectional survey of
7427 women aged 34-39 years were analysed to estimate the prevalence of
endometriosis, premenstrual syndrome (PMS), irregular or heavy periods and severe
dysmenorrhoea and to examine the association between their symptoms and their
visits to CAM practitioners as well as their use of CAM therapies and products in
the previous 12 months. RESULTS: The prevalence of endometriosis was 3.7 % and of
the perimenstrual symptoms assessed, PMS was most prevalent at 41.2 % whilst
irregular bleeding (22.2 %), heavy periods (29.8 %) and severe period pain (24.1
%) were reported at lower levels. Women with endometriosis were more likely than
non-sufferers to have consulted with a massage therapist or acupuncturist and to
have used vitamins/minerals, yoga/meditation or Chinese medicines (p < 0.05). PMS
sufferers were more likely to consult with an osteopath, massage therapist,
naturopath/herbalist or alternative health practitioner and to have used all
forms of CAM therapies except Chinese medicines than women who had infrequent PMS
(all p < 0.05). Women with irregular periods did not have different patterns of
CAM use from non-sufferers and those with heavy periods did not favour any form
of CAM but were less likely to visit a massage therapist or use yoga/meditation
than non-sufferers (p < 0.05). For women with severe dysmenorrhoea there was no
difference in their visits to CAM practitioners compared to non-sufferers but
they were more likely to use aromatherapy oils (p < 0.05) and for more frequent
dysmenorrhoea also herbal medicines, Chinese medicines and other alternative
therapies compared to non-sufferers (all p < 0.05). CONCLUSIONS: There is a high
prevalence of cyclic perimenstrual pain and discomfort amongst women in this age
group. Women were using CAM differentially when they had specific symptoms of
cyclic perimenstrual pain and discomfort. The use of CAM needs to be properly
assessed to ensure their safe, effective use and to ascertain their significance
as a treatment option enabling women with menstrual problems and their care
providers to improve their quality of life.
PMID- 27189383
TI - A qualitative study exploring visible components of organizational culture: what
influences the use of psychotropic medicines in nursing homes?
AB - BACKGROUND: The influence of organizational culture on how psychotropic medicines
are used in nursing homes has not been extensively studied. Schein's theory
provides a framework for examining organizational culture which begins with the
exploration of visible components of an organization such as behaviors,
structures, and processes. This study aimed to identify key visible components
related to the use of psychotropic medicines in nursing homes. METHODS: A
qualitative study was conducted in eight nursing homes in Sydney, Australia.
Purposive sampling was used to conduct semi-structured interviews with 40
participants representing a broad range of health disciplines. Thematic analysis
was used to derive concepts. RESULTS: Three visible components were related to
psychotropic medicine use. These were drugs and therapeutics committee meetings,
pharmacist led medication management reviews and formal and informal meetings
with residents and their families. We found that only a few nursing homes
utilized drugs and therapeutics committee meetings to address the overuse of
psychotropic medicines. Pharmacist led medication management reviews provided a
lever to minimize inappropriate psychotropic prescribing for a number of nursing
homes; however, in others it was used as a box-ticking exercise. We also found
that some nursing homes used meetings with residents and their families to review
the use of psychotropic medicines. CONCLUSION: This study was the first to
illustrate that visible components of organizational culture do influence the use
of psychotropic medicines and explains in detail what of the culture needs to be
addressed to reduce inappropriate psychotropic prescribing.
PMID- 27189385
TI - GP practices rated "outstanding" are better funded, BMA research finds.
PMID- 27189384
TI - Childhood Pompe disease: clinical spectrum and genotype in 31 patients.
AB - BACKGROUND: As little information is available on children with non-classic
presentations of Pompe disease, we wished to gain knowledge of specific clinical
characteristics and genotypes. We included all patients younger than 18 years,
who had been evaluated at the Pompe Center in Rotterdam, the Netherlands, between
1975 and 2012, excluding those with the classic-infantile form. None were treated
with enzyme replacement therapy at the time of evaluation. We collected
information on first symptoms, diagnosis, use of a wheelchair and/or respirator,
and enzyme and mutation analysis and assessed muscle strength, pulmonary
function, and cardiac parameters. RESULTS: Thirty-one patients participated.
Median age at symptom onset was 2.6 years (range 0.5-13y) and at diagnosis 4.0
years. Most first problems were delayed motor development and problems related to
limb-girdle weakness. Fatigue, persistent diarrhea and problems in raising the
head in supine position were other first complaints. Ten patients were
asymptomatic at time of diagnosis. Five of them developed symptoms before
inclusion in this study. Over 50 % of all patients had low or absent reflexes, a
myopathic face, and scoliosis; 29 % were underweight. Muscle strength of the neck
flexors, hip extensors, hip flexors, and shoulder abductors were most frequently
reduced. Pulmonary function was decreased in over 48 % of the patients; 2
patients had cardiac hypertrophy. Patients with mutations other than the c.-32
13T > G were overall more severely affected, while 18 out of the 21 patients (86
%) with the c.-32-13T > G/'null' genotype were male. CONCLUSIONS: Our study shows
that Pompe disease can present with severe mobility and respiratory problems
during childhood. Pompe disease should be considered in the differential
diagnosis of children with less familiar signs such as disproportional weakness
of the neck flexors, unexplained fatigue, persistent diarrhea and unexplained
high CK/ASAT/ALAT. Disease presentation appears to be different from adult
patients. The majority of affected children with GAA genotype c.-32-13T >
G/'null' appeared to be male.
PMID- 27189387
TI - Open vs Laparoscopic Simple Prostatectomy: A Comparison of Initial Outcomes and
Cost.
AB - INTRODUCTION: We compared the cost-effectiveness of laparoscopic simple
prostatectomy (LSP) vs open prostatectomy (OP). PATIENTS AND METHODS: A total of
73 men treated for benign prostatic hyperplasia were enrolled for OP and LSP in
groups 1 and 2, respectively. The findings were recorded perioperative, including
operation time (OT), blood lost, transfusion rate, conversion to the open
surgery, and the complications according to the Clavien Classification. The
postoperative findings, including catheterization and drainage time, the amount
of analgesic used, hospitalization time, postoperative complications,
international prostate symptom score (IPSS) and International Index of Erectile
Function (IIEF) scores, the extracted prostate weight, the uroflowmeter, as well
as postvoiding residual (PVR) and quality of life (QoL) score at the
postoperative third month, were analyzed. The cost of both techniques was also
compared statistically. RESULTS: No statistical differences were found in the
preoperative parameters, including age, IPSS and QoL score, maximum flow rate
(Qmax), PVR, IIEF score, and prostate volumes, as measured by transabdominal
ultrasonography. No statistical differences were established in terms of the OT
and the weight of the extracted prostate. No differences were established with
regard to complications according to Clavien's classification in groups. However,
the bleeding rate was significantly lower in group 2. The drainage,
catheterization, and hospitalization times and the amount of analgesics were
significantly lower in the second group. The postoperative third month findings
were not different statistically. Only the Qmax values were significantly greater
in group 2. While there was only a $52 difference between groups with regard to
operation cost, this difference was significantly different. CONCLUSION: The use
of LSP for the prostates over 80 g is more effective than the OP in terms of OT,
bleeding amount, transfusion rates, catheterization time, drain removal time,
hospitalization time, consumed analgesic amount, and Qmax values. On the other
hand, the mean cost of the LSP is higher than OP. Better effectiveness comes with
higher cost.
PMID- 27189388
TI - Dutch homeless people 2.5 years after shelter admission: what are predictors of
housing stability and housing satisfaction?
AB - Housing stability is an important focus in research on homeless people. Although
definitions of stable housing differ across studies, the perspective of homeless
people themselves is generally not included. Therefore, this study explored the
inclusion of satisfaction with the participant's current housing status as part
of the definition of housing stability and also examined predictors of housing
stability with and without the inclusion of homeless person's perspective. Of the
initial cohort consisting of 513 homeless participants who were included at
baseline in 2011, 324 (63.2%) were also interviewed at 2.5-year follow-up. To
determine independent predictors of housing stability, we fitted multivariate
logistic regression models using stepwise backward regression. At 2.5-year follow
up, 222 participants (68.5%) were stably housed and 163 participants (51.1%) were
stably housed and satisfied with their housing status. Having been arrested (OR =
0.36, 95% CI: 0.20-0.63), a high level of somatisation (physical manifestations
of psychological distress) (OR = 0.52, 95% CI: 0.30-0.91) and having unmet care
needs (OR = 0.77, 95% CI: 0.60-0.99) were negative predictors of housing
stability. Having been arrested (OR = 0.43, 95% CI: 0.25-0.75), high debts (OR =
0.45, 95% CI: 0.24-0.84) and a high level of somatisation (OR = 0.49, 95% CI:
0.28-0.84) were negative predictors of stable housing when satisfaction with the
housing status was included. Because inclusion of a subjective component revealed
a subgroup of stably housed but not satisfied participants and changed the
significant predictors, this seems a relevant addition to the customary
definition of housing stability. Participants with characteristics negatively
associated with housing stability should receive more extensive and individually
tailored support services to facilitate achievement of housing stability.
PMID- 27189386
TI - Hepatitis C Genotype Prevalence in Monastir Region, Tunisia: Correlation between
5' Untranslated Region (5'UTR), Non-structural 5B (NS5B), and Core Sequences in
HCV Subtyping.
AB - Hepatitis C virus (HCV) is a causative agent of chronic liver disease, cirrhosis,
and hepatocellular carcinoma. It constitutes a major public health around the
world. There is no vaccine available against HCV, and current therapies are
effective in only small percentage of patients. HCV has wide population-specific
genotype variability. Genotype knowledge and viral load assessment are equally
important for designing therapeutic strategies. Taking into account that the
molecular epidemiology of HCV variants circulating in Tunisia is not yet well
elucidated, and that, at present, little is known about the distribution pattern
of HCV in Monastir region (Tunisia), we aimed, herein, to evaluate the prevalence
of HCV genotypes in Monastir and to identify risk-related factors. For this
purpose, 50 anti-HCV antibody-positive cases were diagnosed and subjected to
viral RNA extraction, amplification, genotyping, and viral load quantification.
Molecular epidemiology was studied by 5' untranslated region (5' UTR) sequencing
as compared with the non-structural 5B (NS5B) and core region sequences. Overall
concordance between 5' UTR, core, and NS5B sequencing was 100 %. The highest
prevalent genotype was 1b (50 %) followed by genotypes 1a (16 %), 4a (12 %), 2a
(10 %), 2c (8 %), and 3a (4 %). Interestingly, the subtype 1b had a statistically
significant higher viral load than the other genotypes followed by subtype 1a.
Based on these data, this study revealed a high prevalence of HCV genotype 1
(subtypes 1b and 1a) compared to other genotypes. A continued monitoring of HCV
and knowledge of circulating genotypes could impact on future vaccine
formulations.
PMID- 27189389
TI - No association between level of vitamin D and chronic low back pain in Swedish
primary care: a cross-sectional case-control study.
AB - OBJECTIVE: Assessment of vitamin D levels and deficiency status in individuals
with chronic low back pain (CLBP) in a Swedish general population, compared with
controls matched for sex and age. DESIGN: Cross-sectional case-control study.
SETTING: Primary care, southern Sweden. SUBJECTS: Participants (n = 44) with self
reported low back pain for at least 3 months and individually sex- and age
matched controls without a chronic pain condition (n = 44), recruited from the
general population by random letter of invitation. MAIN OUTCOME MEASURE:
Association between vitamin D level and CLBP when adjusting for possible
confounders in a multivariate forward conditional logistic regression model.
RESULTS: Mean S-25-hydroxyvitamin D levels were 81 and 80 nmol/L in the CLBP and
control group, respectively. The prevalence of vitamin D deficiency was low and
similar in the CLBP group and the control group. Vitamin D level was not
associated with CLBP when potential confounders were taken into account.
CONCLUSIONS: No difference in vitamin D levels between participants with CLBP and
matched controls could be demonstrated in the present sample. Assessment of
vitamin D level and deficiency status may be of questionable value in the
management of CLBP in primary care settings at similar latitudes, unless there
are additional risk factors for deficiency or specific indicators of
osteomalacia. Key points Vitamin D deficiency is common and reported in many
chronic pain conditions, including chronic low back pain (CLBP), but evidence for
an association and causality is insufficient. * The present study found no
association between vitamin D levels and CLBP in a case-control sample of 44 + 44
individuals from the Swedish general population. * Prevalence of vitamin D
deficiency was low and comparable in individuals with CLBP and controls without
chronic pain, matched for sex and age. * Assessment of vitamin D status, for the
purpose of finding and treating an underlying cause of pain, may be of limited
value in the management of CLBP in primary care settings at similar latitudes.
PMID- 27189390
TI - Metallosis with pseudotumour formation: Long-term complication following
cementless total hip replacement in a dog.
AB - CASE DESCRIPTION: A 10-year-old female Belgian Teruven dog was presented to our
clinic for total hip revision following a diagnosis of implant (cup) failure with
metallosis and abdominal pseudotumour formation. The patient had a cementless
metal-on-polyethylene total hip replacement performed nine years prior to
presentation. CLINICAL FINDINGS: The clinical findings, including pseudotumour
formation locally and at sites distant from the implant and pain associated with
the joint replacement, were similar to those described in human patients with
this condition. Histopathological, surgical, and radiographic findings
additionally supported the diagnosis of metallosis and pseudotumour formation.
TREATMENT AND OUTCOME: Distant site pseudotumours were surgically removed and the
total hip replacement was explanted due to poor bone quality. The patient
recovered uneventfully and has since resumed normal activity. CONCLUSION: In
veterinary patients with metal-on-polyethylene total hip implants, cup failure
leading to metallosis and pseudotumour formation should be considered as a
potential cause of ipsilateral hindlimb lameness, intra-pelvic abdominal tumours,
or a combination of both. These clinical findings may occur years after total hip
replacement surgery.
PMID- 27189392
TI - The Ontogeny of Cultural Learning.
AB - Developmental research has the potential to address some of the critical gaps in
our scientific understanding of the role played by cultural learning in
ontogenetic outcomes. The goal of this special section was to gather together
leading examples of research on cultural learning across a variety of social
contexts and caregiving settings. Although the field of developmental psychology
continues to struggle with the persistent problem of oversampling U.S. and
Western European populations, we argue that the articles in this special section
add to the growing evidence that children everywhere draw on a repertoire of
cultural learning strategies that optimize their acquisition of the specific
practices, beliefs, and values of their communities. We also identify future
directions and outline best practices for the conduct of research on cultural
learning.
PMID- 27189391
TI - Direct transfemoral transcatheter aortic valve implantation without balloon pre
dilatation using the Edwards Sapien XT valve.
AB - OBJECTIVES: To evaluate the feasibility and safety of direct transcatheter aortic
valve implantation (TAVI) by the transfemoral approach without balloon pre
dilatation using the Edwards SapienXT valve. BACKGROUND: TAVI is established in
selected high-risk patients with severe aortic stenosis. Balloon aortic
valvuloplasty (BAV) is recommended prior to valve implantation, but may
contribute to procedural risk. It is unknown whether this is necessary for
successful passage and deployment of the device. METHODS: 81 patients (mean age
84 [95%CI:82-85.8], 62% male, median EuroScore 22.8% [95%CI:20.5-27]) undergoing
transfemoral TAVI (35 by direct implantation [direct group]; 46 with balloon pre
dilatation [balloon group]) between 2010 and 2013 were analyzed for efficacy and
safety endpoints. RESULTS: Procedural success was 100%. Pre and post-procedural
peak gradients in the direct group were 66mmHg (95%CI:59-72.8) and 14mmHg
(95%CI:12-17.8)(P < 0.0001) compared to 76.5mmHg (95%CI:73.7-94.0) and 17mmHg
(95%CI:16-19)(P < 0.0001) in the balloon group. Post-dilatation was performed in
4/35(11.4%) of the direct group and 3/46(6.5%) of the balloon group (P = 0.83).
Post procedure moderate AR was present in 1/35(2.9%) in the direct group and none
in the balloon group. In-hospital mortality (2.9% direct vs. 0% balloon group),
stroke (2.9% vs. 4.4%), tamponade (2.9% vs. 2.2%), major vascular complications
(2.9% vs. 8.7%) and new permanent pacing (2.2% vs. 0) were similar. Pacing time,
inflations, radiation dose and contrast use were all significantly lower in the
direct group. CONCLUSIONS: Direct implantation of the Edwards SapienXT valve
during TAVI by the transfemoral route appears safe, efficacious and feasible in
those without extreme calcification. (c) 2016 Wiley Periodicals, Inc.
PMID- 27189393
TI - Cultural Learning Redux.
AB - M. Tomasello, A. Kruger, and H. Ratner (1993) proposed a theory of cultural
learning comprising imitative learning, instructed learning, and collaborative
learning. Empirical and theoretical advances in the past 20 years suggest
modifications to the theory; for example, children do not just imitate but
overimitate in order to identify and affiliate with others in their cultural
group, children learn from pedagogy not just episodic facts but the generic
structure of their cultural worlds, and children collaboratively co-construct
with those in their culture normative rules for doing things. In all, human
children do not just culturally learn useful instrumental activities and
information, they conform to the normative expectations of the cultural group and
even contribute themselves to the creation of such normative expectations.
PMID- 27189394
TI - Playing With Knives: The Socialization of Self-Initiated Learners.
AB - Since Margaret Mead's field studies in the South Pacific a century ago, there has
been the tacit understanding that as culture varies, so too must the
socialization of children to become competent culture users and bearers. More
recently, the work of anthropologists has been mined to find broader patterns
that may be common to childhood across a range of societies. One improbable
commonality has been the tolerance, even encouragement, of toddler behavior that
is patently risky, such as playing with or attempting to use a sharp-edged tool.
This laissez faire approach to socialization follows from a reliance on children
as "self-initiated learners." In this article, the ethnographic literature that
shows why children are encouraged to learn without prompting or guidance and how
that happens is reviewed.
PMID- 27189395
TI - How Universal Are Free Will Beliefs? Cultural Differences in Chinese and U.S. 4-
and 6-Year-Olds.
AB - This study explores the development of free will beliefs across cultures. Sixty
seven Chinese 4- and 6-year-olds were asked questions to gauge whether they
believed that people could freely choose to inhibit or act against their desires.
Responses were compared to those given by the U.S. children in Kushnir, Gopnik,
Chernyak, Seiver, and Wellman (). Results indicate that children from both
cultures increased the amount of choice they ascribed with age. For inhibition
questions, Chinese children ascribed less choice than the U.S. children.
Qualitative explanations revealed that the U.S. children were also more likely to
endorse notions of autonomous choice. These findings suggest both cultural
differences and similarities in free will beliefs.
PMID- 27189396
TI - Taking Turns or Not? Children's Approach to Limited Resource Problems in Three
Different Cultures.
AB - Some problems of resource distribution can be solved on equal terms only by
taking turns. We presented such a problem to 168 pairs of 5- to 10-year-old
children from one Western and two non-Western societies (German, Samburu,
Kikuyu). Almost all German pairs solved the problem by taking turns immediately,
resulting in an equal distribution of resources throughout the game. In the other
groups, one child usually monopolized the resource in Trial 1 and sometimes let
the partner monopolize it in Trial 2, resulting in an equal distribution in only
half the dyads. These results suggest that turn-taking is not a natural strategy
uniformly across human cultures, but rather that different cultures use it to
different degrees and in different contexts.
PMID- 27189397
TI - Learning to Be Unsung Heroes: Development of Reputation Management in Two
Cultures.
AB - The effective management of one's reputation is an important social skill, but
little is known about how it develops. This study seeks to bridge the gap by
examining how children communicate about their own good deeds, among 7- to 11
year-olds in both China and Canada (total N = 378). Participants cleaned a
teacher's messy office in her absence, and their responses were observed when the
teacher returned. Only the Chinese children showed an age-related increase in
modesty by choosing to falsely deny their own good deeds. This modest behavior
was uniquely predicted by Chinese children's evaluations of modesty-related lies.
The results suggest that culture-specific socialization processes influence the
way children communicate with authority figures about prosocial deeds.
PMID- 27189398
TI - Similarities and Differences in Maternal Responsiveness in Three Societies:
Evidence From Fiji, Kenya, and the United States.
AB - The first relationship between an infant and her caregiver, typically the mother,
lays the foundation for cognitive, social, and emotional development. Maternal
responsiveness and affect mirroring have been studied extensively in Western
societies yet very few studies have systematically examined these caregiving
features in non-Western settings. Sixty-six mother-infant dyads (7 months, SD =
3.1) were observed in a small-scale, rural island society in Fiji, a village in
Kenya, and an urban center in the United States. Mothers responded similarly to
infant bids overall, but differences were found across societies in the ways
mothers selectively respond to affective displays. This has implications for
understanding early emotion socialization as well as understanding variation in
infant social ecologies across the globe.
PMID- 27189399
TI - Reactions to Receiving a Gift-Maternal Scaffolding and Cultural Learning in
Berlin and Delhi.
AB - This study shows how Berlin (n = 35) and Delhi (n = 28) mothers scaffold a common
and highly scripted social situation, namely gift giving, and enable cultural
learning in 19-month-olds. Using modeling and prompting to encourage appropriate
responses, mothers took culture-specific directions during scaffolding that were
in line with the broader cultural model as assessed by maternal socialization
goals (SGs). Whereas Berlin mothers prioritized autonomous SGs, Delhi mothers
emphasized autonomous and relational SGs to similar degrees. During scaffolding,
Berlin mothers focused on maximizing positive affect and acknowledging the gift,
whereas Delhi mothers prompted toddlers to acknowledge the giver more often.
Furthermore, there were differences in toddlers' behavior in line with these
culture-specific scripts guiding gift giving.
PMID- 27189400
TI - Learning From Others and Spontaneous Exploration: A Cross-Cultural Investigation.
AB - How does early social experience affect children's inferences and exploration?
Following prior work on children's reasoning in pedagogical contexts, this study
examined U.S. children with less experience in formal schooling and Yucatec Mayan
children whose early social input is predominantly observational. In Experiment
1, U.S. 2-year-olds (n = 77) showed more restricted exploration of a toy
following a pedagogical demonstration than an interrupted, accidental, or no
demonstration (baseline). In Experiment 2, Yucatec Mayan and U.S. 2-year-olds (n
= 66) showed more restricted exploration following a pedagogical than an
observational demonstration, while only Mayan children showed more restriction
with age. These results suggest that although schooling is not a necessary
precursor for sensitivity to pedagogy, early social experience may influence
children's inferences and exploration in pedagogical contexts.
PMID- 27189401
TI - Culture Influences Action Understanding in Infancy: Prediction of Actions
Performed With Chopsticks and Spoons in Chinese and Swedish Infants.
AB - The cultural specificity of action prediction was assessed in 8-month-old Chinese
and Swedish infants. Infants were presented with an actor eating with a spoon or
chopsticks. Predictive goal-directed gaze shifts were examined using eye
tracking. The results demonstrate that Chinese infants only predict the goal of
eating actions performed with chopsticks, whereas Swedish infants exclusively
predict the goal of eating actions performed with a spoon. Infants in neither
culture predicted the goal of object manipulation actions (e.g., picking up food)
performed with a spoon or chopsticks. The results support the view that multiple
processes (both visual/cultural learning and motor-based direct matching
processes) facilitate goal prediction during observation of other peoples'
actions early in infancy.
PMID- 27189403
TI - Children's Play and Culture Learning in an Egalitarian Foraging Society.
AB - Few systematic studies of play in foragers exist despite their significance for
understanding the breadth of contexts for human development and the ontogeny of
cultural learning. Forager societies lack complex social hierarchies, avenues for
prestige or wealth accumulation, and formal educational institutions, and thereby
represent a contrast to the contexts of most play research. Analysis of
systematic observations of children's play among Aka forest foragers (n = 50,
ages 4-16, M = 9.5) and Ngandu subsistence farmers (n = 48, ages 4-16, M = 9.1)
collected in 2010 illustrates that while play and work trade off during
development in both groups, and consistent patterns in sex-role development are
evident, Aka children engage in significantly less rough-and-tumble play and
competitive games than children among their socially stratified farming
neighbors.
PMID- 27189402
TI - Development of Teleological Explanations in Peruvian Quechua-Speaking and U.S.
English-Speaking Preschoolers and Adults.
AB - Teleological reasoning involves the assumption that entities exist for a purpose
(giraffes have long necks for reaching leaves). This study examines how
teleological reasoning relates to cultural context, by studying teleological
reasoning in 61 Quechua-speaking Peruvian preschoolers (Mage = 5.3 years) and
adults in an indigenous community, compared to 72 English-speaking U.S.
preschoolers (Mage = 4.9 years) and university students. Data were responses to
open-ended "why" questions ("Why is that mountain tall?"). Teleological
explanations about nonliving natural kinds were more frequent for children than
adults, and for Quechua than U.S. PARTICIPANTS: However, changes with age were
importantly distinct from differences corresponding to cultural variation.
Developmental and cultural differences in teleological explanations may reflect
causal analysis of the features under consideration.
PMID- 27189404
TI - Mapping the Cultural Learnability Landscape of Danger.
AB - Cultural transmission is often viewed as a domain-general process. However, a
growing literature suggests that learnability is influenced by content and
context. The idea of a learnability landscape is introduced as a way of
representing the effects of interacting factors on how easily information is
acquired. Extending prior work (Barrett & Broesch, ), learnability of danger and
other properties is compared for animals, artifacts, and foods in the urban
American children (ages 4-5) and in the Shuar children in Ecuador (ages 4-9).
There is an advantage for acquiring danger information that is strongest for
animals and weakest for artifacts in both populations, with culture-specific
variations. The potential of learnability landscapes for assessing biological and
cultural influences on cultural transmission is discussed.
PMID- 27189405
TI - Unselective Overimitators: The Evolutionary Implications of Children's
Indiscriminate Copying of Successful and Prestigious Models.
AB - Children are both shrewd about whom to copy-they selectively learn from certain
adults-and overimitators-they copy adults' obviously superfluous actions. Is
overimitation also selective? Does selectivity change with age? In two
experiments, 161 two- to seven-year-old children saw videos of one adult
receiving better payoffs or more bystander attention than another. Children then
watched the adults perform unnecessary actions on novel transparent devices.
Children preferred the adult who received greater payoffs or bystander attention
when asked questions like "Who do you think is smarter?" but overimitated both
adults' unnecessary actions equally. Although older children overimitated more,
unselectivity was consistent across ages. This pattern hints at a plausible
adaptive function of overimitation: acquiring rarely demonstrated behaviors by
practising them immediately.
PMID- 27189406
TI - Imitation, Collaboration, and Their Interaction Among Western and Indigenous
Australian Preschool Children.
AB - This study explored how overimitation and collaboration interact in 3- to 6-year
old children in Westernized (N = 48 in Experiment 1; N = 26 in Experiment 2) and
Indigenous Australian communities (N = 26 in Experiment 2). Whether working in
pairs or on their own rates of overimitation did not differ. However, when the
causal functions of modeled actions were unclear, the Indigenous Australian
children collaborated at enhanced rates compared to the Western children. When
the causal role of witnessed actions was identifiable, collaboration rates were
correlated with production of causally unnecessary actions, but in the Indigenous
Australian children only. This study highlights how children employ imitation and
collaboration when acquiring new skills and how the latter can be influenced by
task structure and cultural background.
PMID- 27189407
TI - Children's Pragmatic Inferences as a Route for Learning About the World.
AB - This study investigated whether children can infer category properties based on
how a speaker describes an individual (e.g., saying something is a "small zib"
implies that zibs are generally bigger than this one). Three- to 5-year-olds (N =
264) from a university preschool and a children's museum were tested on their
ability to make this sort of contrast inference. Children made some inferences
from adjective choice alone (Experiment 1); performance increased as more cues to
contrast were added (Experiments 2 and 3). Control studies show that these
findings are not due to the particular properties used or the structure of these
tasks (Experiments 4 and 5). These findings suggest that sensitivity to speakers'
production choices may help children learn about the world.
PMID- 27189409
TI - Erratum.
PMID- 27189408
TI - Children's Faithfulness in Imitating Language Use Varies Cross-Culturally,
Contingent on Prior Experience.
AB - Despite its recognized importance for cultural transmission, little is known
about the role imitation plays in language learning. Three experiments examine
how rates of imitation vary as a function of qualitative differences in the way
language is used in a small indigenous community in Oaxaca, Mexico and three
Western comparison groups. Data from one hundred thirty-eight 3- to 10-year-olds
suggests that children selectively imitate when they understand the function of a
given linguistic element because their culture makes frequent use of that
function. When function is opaque, however, children imitate faithfully. This has
implications for how children manage the imitation-innovation trade-off, and
offers insight into why children imitate in language learning across development.
PMID- 27189412
TI - Facile synthesis of a mechanically robust and highly porous NiO film with
excellent electrocatalytic activity towards methanol oxidation.
AB - Considerable research is being conducted in searching for effective anode
catalysts in alkaline direct methanol fuel cells (DMFCs). Although significant
progress has been achieved, it is still challenging to prepare non-Pt catalysts
with both excellent activity and good durability. Herein, a highly porous NiO
film is developed by a facile and fast anodization approach. The anodic NiO film
demonstrates a high surface area, large mesopore volume and small crystallite
size, leading to facilitated adsorption of reaction species, easy electrolyte
penetration and fast reaction kinetics. Furthermore, as anodic NiO is grown in
situ on a metallic substrate with strong adhesion strength and good electrical
contact, it can be used directly as an anode catalyst for methanol oxidation
without the need to add any binder or conducting agent. Such an additive-free
approach greatly expedites the catalyst preparation process. The anodic NiO shows
lower methanol oxidation potential, higher oxidation current and better catalytic
durability than most of the state-of-the-art Ni-based catalysts reported
elsewhere. As anodization is a simple, low cost and easily scaled up method, the
work described here provides an exciting direction to speed up the practical
application of alkaline DMFCs.
PMID- 27189410
TI - Experimental priming of encephalitogenic Th1/Th17 cells requires pertussis toxin
driven IL-1beta production by myeloid cells.
AB - CD4(+) Th17 are heterogeneous in terms of cytokine production and capacity to
initiate autoimmune diseases, such as experimental autoimmune encephalomyelitis
(EAE). Here we demonstrate that experimental priming of encephalitogenic Th cells
expressing RORgammat and T-bet and producing IL-17A, IFN-gamma and GM-CSF but not
IL-10 (Th1/Th17), is dependent on the presence of pertussis toxin (PTX) at the
time of immunization. PTX induces early production of IL-1beta by
CD11b(+)CCR2(+)Gr1(+) myeloid cells, which are rapidly recruited to antigen
draining lymph nodes. PTX-induced generation of Th1/Th17 cells is impaired in IL
1beta- and ASC-deficient mice and in mice in which myeloid cells are depleted or
fail to migrate to lymph nodes and requires expression of IL-1R1 and MyD88 on
both T cells and non-T cells. Collectively, these data shed light on the
enigmatic function of PTX in EAE induction and suggest that inflammatory
monocytes and microbial infection can influence differentiation of pathogenic
Th1/Th17 cells in autoimmune diseases through production of IL-1beta.
PMID- 27189413
TI - Facile P-C/C-H Bond-Cleavage Reactivity of Nickel Bis(diphosphine) Complexes.
AB - Unusual cleavage of P-C and C-H bonds of the P2 N2 ligand, in heteroleptic [Ni(P2
N2 )(diphosphine)](2+) complexes under mild conditions, results in the formation
of an iminium formyl nickelate featuring a C,P,P-tridentate coordination mode.
The structures of both the heteroleptic [Ni(P2 N2 )(diphosphine)](2+) complexes
and the resulting iminium formyl nickelate have been characterized by NMR
spectroscopy and single-crystal X-ray diffraction analysis. Density functional
theory (DFT) calculations were employed to investigate the mechanism of the P-C/C
H bond cleavage, which involves C-H bond cleavage, hydride rotation, Ni-C/P-H
bond formation, and P-C bond cleavage.
PMID- 27189411
TI - A Biphasic Calcium Sulphate/Hydroxyapatite Carrier Containing Bone Morphogenic
Protein-2 and Zoledronic Acid Generates Bone.
AB - In orthopedic surgery, large amount of diseased or injured bone routinely needs
to be replaced. Autografts are mainly used but their availability is limited.
Commercially available bone substitutes allow bone ingrowth but lack the capacity
to induce bone formation. Thus, off-the-shelf osteoinductive bone substitutes
that can replace bone grafts are required. We tested the carrier properties of a
biphasic, calcium sulphate and hydroxyapatite ceramic material, containing a
combination of recombinant human bone morphogenic protein-2 (rhBMP-2) to induce
bone, and zoledronic acid (ZA) to delay early resorption. In-vitro, the biphasic
material released 90% of rhBMP-2 and 10% of ZA in the first week. No major
changes were found in the surface structure using scanning electron microscopy
(SEM) or in the mechanical properties after adding rhBMP-2 or ZA. In-vivo bone
formation was studied in an abdominal muscle pouch model in rats (n = 6/group).
The mineralized volume was significantly higher when the biphasic material was
combined with both rhBMP-2 and ZA (21.4 +/- 5.5 mm(3)) as compared to rhBMP-2
alone (10.9 +/- 2.1 mm(3)) when analyzed using micro computed tomography (MU-CT)
(p < 0.01). In the clinical setting, the biphasic material combined with both
rhBMP-2 and ZA can potentially regenerate large volumes of bone.
PMID- 27189414
TI - What do General Practitioners think of written reflection? A focus group study.
AB - BACKGROUND: Written reflection has become a key part of evidence for assessment
for General Practitioners (GPs) and GP Specialist Trainees (GPSTs), as it is
thought to enhance the reflective process and demonstrate on-going learning.
However, the educational value of mandatory reflection has been questioned, and
there is little evidence on the acceptability of written reflection to
clinicians. AIM: To explore the views of GPs and GPSTs on the use of written
reflection in the MRCGP and NHS appraisal. DESIGN AND SETTING: A qualitative
approach with GPs and GPSTs from the South of England. METHOD: Three focus group
discussions with 11 GPs and 14 GPSTs. Thematic analysis was used on the coded
texts. RESULTS: There were diverse views on the value of written reflection. Some
participants with particular learning styles found it useful; some viewed it as a
'tick-box' exercise and as a game. Some questioned its value as a tool for
quality improvement. Its use may have opportunity costs on clinical work, other
learning and leisure time. CONCLUSION: Written reflection produced strong
feelings among participants. Research is needed to gauge how commonly these
feelings are held, to allow informed decisions on the place of written reflection
in education and assessment.
PMID- 27189415
TI - Purification and characterization of a high salt-tolerant alginate lyase from
Cobetia sp. WG-007.
AB - An alginate lyase producing bacterial strain, Cobetia sp. WG-007, was isolated
and identified from rotting seaweed. The alginate lyase, Aly-W02, was purified by
procedures of ultrafiltration, Q-Sepharose Fast Flow, Phenyl Sepharose 6 Fast
Flow, and Superdex-G100 with specific activity of 21,285.5 U/mg. Aly-W02 had an
apparent molecular mass of 35 kDa. It exhibited maximum activity at 45 degrees C
in 50 mM sodium phosphate buffer (pH 8.5). This alginate lyase was stable in the
pH range of 6.0-8.5. Among the tested metal ions, the addition of K+ , Na+ , and
Mg2+ ions can enhance the enzyme activities, while Ba2+ , Ni+ , Cu2+ , Mn2+ ,
Zn2+ , Ag+ , and ethylenediaminetetraacetic acid decreased the activities. It
displayed high salt-tolerant ability; 0.8 M NaCl or 1.5 M KCl significantly
enhanced the enzyme activity. Furthermore, Aly-W02 mainly released disaccharide,
trisaccharide, and tetrasaccharid from alginate. It showed potential in producing
low molecular weight alginate oligosaccharides.
PMID- 27189417
TI - Ferromagnetism controlled by electric field in tilted phosphorene nanoribbon.
AB - Study on phosphorene nanoribbon was mostly focused on zigzag and armchair
structures and no ferromagnetic ground state was observed in these systems. Here,
we investigated the magnetic property of tilted black phosphorene nanoribbons
(TPNRs) affected by an external electric field. We also studied the edge
passivation effect on the magnetism and thermal stability of the nanoribbons. The
pure TPNR displayed an edge magnetic state, but it disappeared in the edge
reconstructed TPNR due to the self-passivation. In addition, we found that the
bare TPNR was mechanically unstable because an imaginary vibration mode was
obtained. However, the imaginary vibration mode disappeared in the edge
passivated TPNRs. No edge magnetism was observed in hydrogen and fluorine
passivated TPRNs. In contrast, the oxygen passivated TPNR was more stable than
the pure TPNR and the edge-to-edge antiferromagntic (AFM) ground state was
obtained. We found that the magnetic ground state could be tuned by the electric
field from antiferromagnetic (AFM) to ferromagnetic (FM) ground state.
Interestingly, the oxygen passivated TPNR displayed a half-metallic state at a
proper electric field in both FM and AFM states. This finding may provoke an
intriguing issue for potential spintronics application using the phosphorene
nanoribbons.
PMID- 27189418
TI - Need, want and demand: What is really happening with low-acuity presentations?
PMID- 27189419
TI - Infused polymers for cell sheet release.
AB - Tissue engineering using whole, intact cell sheets has shown promise in many cell
based therapies. However, current systems for the growth and release of these
sheets can be expensive to purchase or difficult to fabricate, hindering their
widespread use. Here, we describe a new approach to cell sheet release surfaces
based on silicone oil-infused polydimethylsiloxane. By coating the surfaces with
a layer of fibronectin (FN), we were able to grow mesenchymal stem cells to
densities comparable to those of tissue culture polystyrene controls (TCPS).
Simple introduction of oil underneath an edge of the sheet caused it to separate
from the substrate. Characterization of sheets post-transfer showed that they
retain their FN layer and morphology, remain highly viable, and are able to grow
and proliferate normally after transfer. We expect that this method of cell sheet
growth and detachment may be useful for low-cost, flexible, and customizable
production of cellular layers for tissue engineering.
PMID- 27189416
TI - Colorectal cancer.
AB - Colorectal cancer had a low incidence several decades ago. However, it has become
a predominant cancer and now accounts for approximately 10% of cancer-related
mortality in western countries. The 'rise' of colorectal cancer in developed
countries can be attributed to the increasingly ageing population, unfavourable
modern dietary habits and an increase in risk factors, such as smoking, low
physical exercise and obesity. New treatments for primary and metastatic
colorectal cancer have emerged, providing additional options for patients; these
treatments include laparoscopic surgery for primary disease, more-aggressive
resection of metastatic disease (such as liver and pulmonary metastases),
radiotherapy for rectal cancer, and neoadjuvant and palliative chemotherapies.
However, these new treatment options have had limited impact on cure rates and
long-term survival. For these reasons, and the recognition that colorectal cancer
is long preceded by a polypoid precursor, screening programmes have gained
momentum. This Primer provides an overview of the current state of the art of
knowledge on the epidemiology and mechanisms of colorectal cancer, as well as on
diagnosis and treatment.
PMID- 27189420
TI - Morphological and molecular descriptions of Moniliformis saudi sp. n.
(Acanthocephala: Moniliformidae) from the desert hedgehog, Paraechinus
aethiopicus (Ehrenberg) in Saudi Arabia, with a key to species and notes on
histopathology.
AB - A new acanthocepohalan species, Moniliformis saudi sp. n. is described from the
desert hedgehog, Paraechinus aethiopicus (Ehrenberg), in central Saudi Arabia.
Fourteen other valid species of Moniliformis Travassos, 1915 are recognised. The
new species of Moniliformis is distinguished by having a small proboscis (315-520
um long and 130-208 um wide) with two apical pores, 14 rows of 8 hooks each and
small hooks, thre largest being 25-31 um long anteriorly. Distinguishing features
are incorporated in a dichotomous key to the species of Moniliformis. The
description is augmented by scanning electron microscopical (SEM) observation and
DNA analysis of nuclear (18S rRNA) and mitochondrial (cytochrome oxidase subunit
1; cox1) gene sequences. Attached worms cause extensive damage to the immediate
area of attachment in the host intestine. This includes tissue necrosis and blood
loss due to damage to capillary beds. Worms also obstruct essential absorbing
surfaces.
PMID- 27189423
TI - Adjuvant indoleamine 2,3-dioxygenase enzyme inhibition for comprehensive
management of epilepsy and comorbid depression.
AB - Epilepsy is one of the major neurological disorders frequently associated with
psychiatric disorders such as depression. Alteration of tryptophan metabolism
towards kynurenine pathway may be one of the plausible reasons for association of
depression in epilepsy. Hence, this study was envisaged to evaluate the dose
dependent inhibition of indoleamine 2,3-dioxygenase (IDO) enzyme (responsible for
shifting tryptophan metabolism) employing minocycline with valproic acid for
comprehensive management of epilepsy and comorbid depression. Kindling was
induced in male swiss albino mice by administration of pentylenetetrazole
subconvulsive dose (35mg/kg, i.p.) at an interval of 48+/-2h. Kindled animals
were treated with saline, valproate (300mg/kg/day i.p.), valproate in combination
with different doses of minocycline (10mg/kg; 20mg/kg; 40mg/kg)/day i.p. and
minocycline per se (40mg/kg/day i.p.) for 15 days. Except naive, all the groups
were challenged with pentylenetetrazole (35mg/kg i.p.) on day 5, 10, and 15 to
evaluate the seizure severity score. Depression was evaluated in all experimental
groups using tail suspension and forced swim test on days 1, 5, 10 and 15, 2h
after pentylenetetrazole challenge. Results suggested that saline treated kindled
animals were significantly associated with depression. Chronic valproate
treatment significantly reduced seizure severity score but unable to ameliorate
the associated depression. Minocycline supplementation with valproic acid dose
dependently ameliorated depression associated with epilepsy. Neurochemical and
biochemical findings also supported the behavioural findings of the study. Thus,
our results suggested that supplementation of IDO enzyme inhibitors with valproic
acid could be explored further for comprehensive management of epilepsy and
associated depression.
PMID- 27189422
TI - The Mobile Solutions for Immunization (M-SIMU) Trial: A Protocol for a Cluster
Randomized Controlled Trial That Assesses the Impact of Mobile Phone Delivered
Reminders and Travel Subsidies to Improve Childhood Immunization Coverage Rates
and Timeliness in Western Kenya.
AB - BACKGROUND: Text message (short message service, SMS) reminders and incentives
are two demand-side interventions that have been shown to improve health care
seeking behaviors by targeting participant characteristics such as forgetfulness,
lack of knowledge, and transport costs. Applying these interventions to routine
pediatric immunizations may improve vaccination coverage and timeliness.
OBJECTIVE: The Mobile Solutions for Immunization (M-SIMU) trial aims to determine
if text message reminders, either with or without mobile phone-based incentives,
sent to infant's parents can improve immunization coverage and timeliness of
routine pediatric vaccines in rural western Kenya. METHODS: This is a four-arm,
cluster, randomized controlled trial. Villages are randomized to one of four
study arms prior to enrollment of participants. The study arms are: (1) no
intervention (a general health-related text message will be texted to this group
at the time of enrollment), (2) text message reminders only, (3) text message
reminders and a 75 Kenyan Shilling (KES) incentive, or (4) text message reminders
and a KES200 incentive. Participants assigned to study arms 2-4 will receive two
text message reminders; sent 3 days before and one day before the scheduled
immunization visit at 6, 10, and 14 weeks for polio and pentavalent (containing
diphtheria, tetanus, pertussis, hepatitis B, and Haemophilus influenza type b
antigens) type b antigens) vaccines, and at 9 months for measles vaccine.
Participants in incentive arms will, in addition to text message reminders as
above, receive mobile phone-based incentives after each timely vaccination, where
timely is defined as vaccination within 2 weeks of the scheduled date for each of
the four routine expanded program immunization (EPI) vaccination visits. Mother
infant pairs will be followed to 12 months of age where the primary outcome, a
fully immunized child, will be ascertained. A fully immunized child is defined as
a child receiving vaccines for bacille Calmette-Guerin, three doses of
pentavalent and polio, and measles by 12 months of age. General estimating
equation (GEE) models that account for clustering will be employed for primary
outcome analyses. RESULTS: Enrollment was completed in October 2014. Twelve month
follow-up visits to ascertain immunization status from the maternal and child
health booklet were completed in February 2016. CONCLUSIONS: This is one of the
first studies to examine the effect of text message reminders on immunization
coverage and timeliness in a lower income country and is the first study to
assess the effect of mobile money-based incentives to improve immunization
coverage. TRIAL REGISTRATION: Clinicaltrials.gov NCT01878435;
https://clinicaltrials.gov/ct2/show/NCT01878435 (Archived by WebCite at
http://www.webcitation.org/6hQlwGYJR).
PMID- 27189425
TI - Evolution of CCL11: genetic characterization in lagomorphs and evidence of
positive and purifying selection in mammals.
AB - The interactions between chemokines and their receptors are crucial for
differentiation and activation of inflammatory cells. CC chemokine ligand 11
(CCL11) binds to CCR3 and to CCR5 that in leporids underwent gene conversion with
CCR2. Here, we genetically characterized CCL11 in lagomorphs (leporids and
pikas). All lagomorphs have a potentially functional CCL11, and the Pygmy rabbit
has a mutation in the stop codon that leads to a longer protein. Other mammals
also have mutations at the stop codon that result in proteins with different
lengths. By employing maximum likelihood methods, we observed that, in mammals,
CCL11 exhibits both signatures of purifying and positive selection. Signatures of
purifying selection were detected in sites important for receptor binding and
activation. Of the three sites detected as under positive selection, two were
located close to the stop codon. Our results suggest that CCL11 is functional in
all lagomorphs, and that the signatures of purifying and positive selection in
mammalian CCL11 probably reflect the protein's biological roles.
PMID- 27189424
TI - LPS stimulates IgM production in vivo without help from non-B cells.
AB - Gram-negative bacterial LPS induce murine B-cell activation and innate
(polyclonal) Ab production. Mouse B cells express the LPS signaling receptor
(TLR4), yet how LPS activates B-cell responses in vivo is not known. Can LPS
directly stimulate B cells to induce innate Ab production? Is activation of non-B
cells also required? To address these questions, we transfused LPS-responsive
(Tlr4(+/+)) or non-responsive (Tlr4(-/-)) B cells into LPS-responsive or non
responsive mice. Increased expression of the early activation markers CD69 and
CD86 could be induced on transfused Tlr4(-/-) B cells by injecting LPS
subcutaneously into Tlr4(+/+) mice, demonstrating indirect activation of B cells
by TLR4-responsive non-B cells in vivo, but the Tlr4(-) (/) (-) B cells did not
increase serum IgM levels. In contrast, when Tlr4(-/-) recipients were transfused
with Tlr4(+/+) B cells, LPS induced large amounts of serum IgM and LPS could also
enhance specific Ab production to a protein that was co-injected with it
(adjuvant response). Thus, LPS-exposed non-B cells mediated increased surface
expression of early B-cell activation markers, but this response did not predict
innate Ab responses or LPS adjuvanticity in vivo Direct stimulation of B cells by
LPS via TLR4 was necessary and sufficient to induce B cells to produce Ab in
vivo.
PMID- 27189426
TI - Chemometric analysis of attenuated total reflectance infrared spectra of Proteus
mirabilis strains with defined structures of LPS.
AB - Proteus spp. strains are some of the most important pathogens associated with
complicated urinary tract infections and bacteremia affecting patients with
immunodeficiency and long-term urinary catheterization. For epidemiological
purposes, various molecular typing methods have been developed for this pathogen.
However, these methods are labor intensive and time consuming. We evaluated a new
method of differentiation between strains. A collection of Proteus spp. strains
was analyzed by attenuated total reflectance Fourier transform infrared (ATR FT
IR) spectroscopy in the mid-infrared region. ATR FT-IR spectroscopy used in
conjunction with a diamond ATR accessory directly produced the biochemical
profile of the surface chemistry of bacteria. We conclude that a combination of
ATR FT-IR spectroscopy and mathematical modeling provides a fast and reliable
alternative for discrimination between Proteus isolates, contributing to
epidemiological research.
PMID- 27189421
TI - Retinoblastoma.
AB - Retinoblastoma is a rare cancer of the infant retina that is diagnosed in
approximately 8,000 children each year worldwide. It forms when both
retinoblastoma gene (RB1) alleles are mutated in a susceptible retinal cell,
probably a cone photoreceptor precursor. Loss of the tumour-suppressive functions
of the retinoblastoma protein (pRB) leads to uncontrolled cell division and
recurrent genomic changes during tumour progression. Although pRB is expressed in
almost all tissues, cone precursors have biochemical and molecular features that
may sensitize them to RB1 loss and enable tumorigenesis. Patient survival is >95%
in high-income countries but <30% globally. However, outcomes are improving owing
to increased disease awareness for earlier diagnosis, application of new
guidelines and sharing of expertise. Intra-arterial and intravitreal chemotherapy
have emerged as promising methods to salvage eyes that with conventional
treatment might have been lost. Ongoing international collaborations will replace
the multiple different classifications of eye involvement with standardized
definitions to consistently assess the eligibility, efficacy and safety of
treatment options. Life-long follow-up is warranted, as survivors of heritable
retinoblastoma are at risk for developing second cancers. Defining the molecular
consequences of RB1 loss in diverse tissues may open new avenues for treatment
and prevention of retinoblastoma, as well as second cancers, in patients with
germline RB1 mutations.
PMID- 27189427
TI - Dectin-1 and Dectin-2 promote control of the fungal pathogen Trichophyton rubrum
independently of IL-17 and adaptive immunity in experimental deep
dermatophytosis.
AB - Dermatophytoses are chronic fungal infections, the main causative agent of which
is Trichophyton rubrum (T. rubrum). Despite their high occurrence worldwide, the
immunological mechanisms underlying these diseases remain largely unknown. Here,
we uncovered the C-type lectin receptors, Dectin-1 and Dectin-2, as key elements
in the immune response to T. rubrum infection in a model of deep dermatophytosis.
In vitro, we observed that deficiency in Dectin-1 and Dectin-2 severely
compromised cytokine production by dendritic cells. In vivo, mice lacking Dectin
1 and/or Dectin-2 showed an inadequate pro-inflammatory cytokine production in
response to T. rubrum infection, impairing its resolution. Strikingly, neither
adaptive immunity nor IL-17 response were required for fungal clearance,
highlighting innate immunity as the main checkpoint in the pathogenesis of T.
rubrum infection.
PMID- 27189429
TI - Overview provides insights on the current status and future of meta-epidemiology.
PMID- 27189428
TI - Anemonin improves intestinal barrier restoration and influences TGF-beta1 and
EGFR signaling pathways in LPS-challenged piglets.
AB - The present study was aimed at investigating whether dietary anemonin could
alleviate LPS-induced intestinal injury and improve intestinal barrier
restoration in a piglet model. Eighteen 35-d-old pigs were randomly assigned to
three treatment groups (control, LPS and LPS+anemonin). The control and LPS
groups were fed a basal diet, and the LPS + anemonin group received the basal
diet + 100 mg anemonin/kg diet. After 21 d of feeding, the LPS- and anemonin
treated piglets received i.p. administration of LPS; the control group received
saline. At 4 h post-injection, jejunum samples were collected. The results showed
that supplemental anemonin increased villus height and transepithelial electrical
resistance, and decreased crypt depth and paracellular flux of dextran (4 kDa)
compared with the LPS group. Moreover, anemonin increased tight junction claudin
1, occludin and ZO-1 expression in the jejunal mucosa, compared with LPS group.
Anemonin also decreased TNF-alpha, IL-6, IL-8 and IL-1beta mRNA expression.
Supplementation with anemonin also increased TGF-beta1 mRNA and protein
expression, Smad4 and Smad7 mRNA expressions, and epidermal growth factor and
epidermal growth factor receptor (EGFR) mRNA expression in the jejunal mucosa.
These findings suggest that dietary anemonin attenuates LPS-induced intestinal
injury by improving mucosa restoration, alleviating intestinal inflammation and
influencing TGF-beta1 canonical Smads and EGFR signaling pathways.
PMID- 27189430
TI - The Soil Geochemistry in the Beardmore Glacier Region, Antarctica: Implications
for Terrestrial Ecosystem History.
AB - Although most models suggest continental Antarctica was covered by ice during the
Last Glacial Maximum (LGM) it has been speculated that endemic species of soil
invertebrates could have survived the Pleistocene at high elevation habitats
protruding above the ice sheets. We analyzed a series of soil samples from
different elevations at three locations along the Beardmore Glacier in the
Transantarctic Mountains (in order of increasing elevation): Ebony Ridge (ER),
Cloudmaker (CM), and Meyer Desert (MD). Geochemical analyses show the MD soils,
which were exposed during the LGM, were the least weathered compared to lower
elevations, and also had the highest total dissolved solids (TDS). MD soils are
dominated by nitrate salts (NO3/Cl ratios >10) that can be observed in SEM
images. High delta(17)O and delta(18)O values of the nitrate indicate that its
source is solely of atmospheric origin. It is suggested that nitrate
concentrations in the soil may be utilized to determine a relative "wetting age"
to better assess invertebrate habitat suitability. The highest elevation sites at
MD have been exposed and accumulating salts for the longest times, and because of
the salt accumulations, they were not suitable as invertebrate refugia during the
LGM.
PMID- 27189431
TI - Correlating structure and electronic band-edge properties in organolead halide
perovskites nanoparticles.
AB - After having emerged as primary contenders in the race for highly efficient
optoelectronics materials, organolead halide perovskites (OHLP) are now being
investigated in the nanoscale regime as promising building blocks with unique
properties. For example, unlike their bulk counterpart, quantum dots of OHLP are
brightly luminescent, owing to large exciton binding energies that cannot be
rationalized solely on the basis of quantum confinement. Here, we establish the
direct correlation between the structure and the electronic band-edge properties
of CH3NH3PbBr3 nanoparticles. Complementary structural and spectroscopic
measurements probing long-range and local order reveal that lattice strain
influences the nature of the valence band and modifies the subtle stereochemical
activity of the Pb(2+) lone-pair. More generally, this work demonstrates that the
stereochemical activity of the lone-pair at the metal site is a specific
physicochemical parameter coupled to composition, size and strain, which can be
employed to engineer novel functionalities in OHLP nanomaterials.
PMID- 27189432
TI - High-performance liquid chromatography - Ultraviolet method for the determination
of total specific migration of nine ultraviolet absorbers in food simulants based
on 1,1,3,3-Tetramethylguanidine and organic phase anion exchange solid phase
extraction to remove glyceride.
AB - The glyceride in oil food simulant usually causes serious interferences to target
analytes and leads to failure of the normal function of the RP-HPLC column. In
this work, a convenient HPLC-UV method for the determination of the total
specific migration of nine ultraviolet (UV) absorbers in food simulants was
developed based on 1,1,3,3-tetramethylguanidine (TMG) and organic phase anion
exchange (OPAE) SPE to efficiently remove glyceride in olive oil simulant. In
contrast to the normal ion exchange carried out in an aqueous solution or aqueous
phase environment, the OPAE SPE was performed in the organic phase environments,
and the time-consuming and challenging extraction of the nine UV absorbers from
vegetable oil with aqueous solution could be readily omitted. The method was
proved to have good linearity (r>=0.99992), precision (intra-day RSD<=3.3%), and
accuracy(91.0%<=recoveries<=107%); furthermore, the lower limit of
quantifications (0.05-0.2mg/kg) in five types of food simulants(10% ethanol, 3%
acetic acid, 20% ethanol, 50% ethanol and olive oil) was observed. The method was
found to be well suited for quantitative determination of the total specific
migration of the nine UV absorbers both in aqueous and vegetable oil simulant
according to Commission Regulation (EU) No. 10/2011. Migration levels of the nine
UV absorbers were determined in 31 plastic samples, and UV-24, UV-531, HHBP and
UV-326 were frequently detected, especially in olive oil simulant for UV-326 in
PE samples. In addition, the OPAE SPE procedure was also been applied to
efficiently enrich or purify seven antioxidants in olive oil simulant. Results
indicate that this procedure will have more extensive applications in the
enriching or purification of the extremely weak acidic compounds with phenol
hydroxyl group that are relatively stable in TMG n-hexane solution and that can
be barely extracted from vegetable oil.
PMID- 27189433
TI - Comparison between polymerized ionic liquids synthesized using chain-growth and
step-growth mechanisms used as stationary phase in gas chromatography.
AB - In this study the merits of polymerized imidazolium based ionic liquid (PIL)
stationary phases obtained via condensation and free radical polymerizations are
compared as stationary phases in gas chromatography (GC). Poly(1-vinyl-3-butyl
imidazolium - bis(trifluoromethane)sulfonamide) (poly(ViC4Im(+) NTf2(-))) was
obtained via a chain-growth mechanism while poly(propylimidazolium-NTf2)
(poly(C3Im(+) NTf2(-))) was synthesized via a step-growth polymerization. The
thermal stability of both polymers was assessed using thermal gravimetric
analysis and compared with bleeding profiles obtained from the statically coated
GC columns (30m*0.25mm*0.25MUm). The performance was compared to what could be
obtained on commercially available 1,5-di(2,3-dimethylimidazolium)pentane(2+)
2NTf2(-) (SLB-IL111) ionic liquid based columns. It was observed that the step
growth polymer was more thermally stable, up to 325 degrees C, while the chain
growth polymer showed initial degradation at 250 degrees C. Both polymers allowed
reaching minimal plate heights of 0.400-0.500mm for retained solutes such as
benzaldehyde, acetophenone, 1-methylnaphthalene and aniline. Assessment of the
McReynolds constants illustrated that the polarity of the step-growth polymer was
similar to the SLB-IL111 column, while displaying improved column stability. The
PIL phases and particularly the so far little studied condensation based polymer
shows particular retention and satisfactory column performance for polar moieties
such as esters, amine and carbonyl functionalities.
PMID- 27189434
TI - Metal ion binding to phospholipid bilayers evaluated by microaffinity
chromatography.
AB - Group I and II ion binding to phospholipid membranes was evaluated by affinity
chromatography utilizing a new stationary phase system based on lipid bilayers
supported within large-pore particles composed of Stober silica spheres. Using an
inductively coupled plasma mass spectrometer for detection, robust determination
of binding selectivity within group II ions is achieved with capillary columns
containing nanomole quantities of lipid and using picomoles of metal analyte.
Columns with a unique lipid formulation can be prepared within three hours using
a solvent-casting assembly method. The observable thermotropic phase behavior of
dipalmitoylphosphatidylcholine has a significant effect on alkaline metal binding
and demonstrates the dynamic nature of the supported bilayers. Of the group I
ions, only lithium exhibits retention with neutral phosphatidylcholine bilayer
stationary phases. A comparison of Stober-based supports with two commercially
available large-pore silicas reveals the effect that particle structure has on
analyte accessibility to the bilayer surface as evaluated by retention per
supported lipid mass.
PMID- 27189436
TI - Successful vaginal delivery at term following ventro-fixation procedure for
uterine incarceration.
PMID- 27189435
TI - Context-dependent switch in chemo/mechanotransduction via multilevel crosstalk
among cytoskeleton-regulated MRTF and TAZ and TGFbeta-regulated Smad3.
AB - Myocardin-related transcription factor (MRTF) and TAZ are major mechanosensitive
transcriptional co-activators that link cytoskeleton organization to gene
expression. Despite many similarities in their regulation, their physical and/or
functional interactions are unknown. Here we show that MRTF and TAZ associate
partly through a WW domain-dependent mechanism, and exhibit multilevel crosstalk
affecting each other's expression, transport and transcriptional activity.
Specifically, MRTF is essential for TAZ expression; TAZ and MRTF inhibit each
other's cytosolic mobility and stimulus-induced nuclear accumulation; they
antagonize each other's stimulatory effect on the alpha-smooth muscle actin (SMA)
promoter, which harbours nearby cis-elements for both, but synergize on isolated
TEAD-elements. Importantly, TAZ confers Smad3 sensitivity to the SMA promoter.
Thus, TAZ is a context-dependent switch during mechanical versus mechano/chemical
signalling, which inhibits stretch-induced but is indispensable for
stretch+TGFbeta-induced SMA expression. Crosstalk between these cytoskeleton
regulated factors seems critical for fine-tuning mechanical and mechanochemical
transcriptional programmes underlying myofibroblast transition, wound healing and
fibrogenesis.
PMID- 27189437
TI - Association of fish consumption and dietary intake of marine n-3 PUFA with
myocardial infarction in a prospective Danish cohort study.
AB - Several studies have investigated the potential benefits of marine n-3 PUFA in
CVD, generally suggesting a lower risk of CHD. However, recent trials have
questioned these results. This study investigated the association of fish
consumption with dietary intake of marine n-3 PUFA with incident myocardial
infarction (MI). In a Danish cohort study, 57 053 subjects between 50 and 64
years of age were enrolled from 1993 to 1997. From national registries, we
identified all cases of incident MI. Dietary fish consumption was assessed using
a semi-quantitative food questionnaire, including twenty-six questions regarding
fish intake. In addition, we calculated the intake of total and individual marine
n-3 PUFA. During a median follow-up of 17.0 years, we identified 3089 cases of
incident MI. For both men and women, a high intake of fatty fish was inversely
related to incident MI. Thus, when comparing the highest and the lowest quintile
of fatty fish intake, we found a 12 % lower relative risk of MI in men (hazard
ratio (HR) 0.88; 95 % CI 0.77, 1.00) and a 22 % lower relative risk in women (HR
0.78; 95 % CI 0.63, 0.96) after adjustments. For women, similar associations were
observed for individual and total marine n-3 PUFA. In contrast, intake of lean
fish was not associated with MI. In conclusion, incident MI was inversely related
to a high intake of fatty fish, but not lean fish. However, test for trends
across quintiles was not statistically significant. In general, this study
supports the view that consumption of fatty fish may protect against MI.
PMID- 27189438
TI - Generation of a high resolution map of sRNAs from Fusarium graminearum and
analysis of responses to viral infection.
AB - Previously, we characterized F. graminearum hypovirus 1 (FgHV1) and F.
graminearum hypovirus 2 (FgHV2), which are the only two hypoviruses in F.
graminearum that are closely related to Cryphonectria hypovirus 1 (CHV1) and
Cryphonectria hypovirus 2 (CHV2) in the Hypoviridae family. In this study, we
preliminarily elucidated the RNA silencing mechanism of the F.
graminearum/hypovirus system from a small RNA (sRNA) perspective by using HiSeq
deep sequencing. The length distributions of F. graminearum sRNA were altered by
hypoviral infection. Potential microRNA-like (milRNA) candidates were
differentially expressed between the hypovirus-free and hypovirus-infected
library types. Extensive virus-derived small interfering RNAs (vsiRNAs) were also
principally defined. The 1,831,081 and 3,254,758 total reads generated from the
FgHV1 and FgHV2 genomes in F. graminearum yielded the first high-resolution sRNA
maps of fungal viruses. In addition, extensive bioinformatics searches identified
a large number of transcripts that are potentially targeted by vsiRNAs, several
of which were effectively down-regulated. In particular, the RNA silencing
related genes FgDicer1 and FgRdRp5 were predicted targets of FgHV1- and FgHV2
derived siRNAs, possibly revealing a novel anti-RNA silencing strategy employed
by mycoviruses.
PMID- 27189440
TI - De novo assembly and annotation of the marine mysid (Neomysis awatschensis)
transcriptome.
AB - We sequenced the whole transcriptome of the marine mysid Neomysis awatschensis
(Crustacea: Mysidacea) using Illumina RNA-seq. De novo assembly was performed
with 14,018,702 raw reads using Trinity, resulting in 82,434 contigs.
Transdecoder found 22,141 candidate coding contigs with homology to other species
by BLAST analysis. Functional gene annotation was performed by Gene Ontology,
InterProScan, and KEGG pathway analyses. We generated an expressed gene catalog
for the mysid N. awatschensis to serve as a resource for marine environmental
genomic and ecotoxicogenomic studies focused on uncovering the molecular
mechanisms underlying the responses of N. awatschensis to environmental stressors
and chemicals.
PMID- 27189439
TI - Genomic conservation of erythropoietic microRNAs (erythromiRs) in white-blooded
Antarctic icefish.
AB - White-blooded Antarctic crocodile icefish are the only vertebrates known to lack
functional hemoglobin genes and red blood cells throughout their lives. We do not
yet know, however, whether extinction of hemoglobin genes preceded loss of red
blood cells or vice versa, nor whether erythropoiesis regulators disappeared
along with hemoglobin genes in this erythrocyte-null clade. Several microRNAs,
which we here call erythromiRs, are expressed primarily in developing red blood
cells in zebrafish, mouse, and humans. Abrogating some erythromiRs, like mir144
and mir451a, leads to profound anemia, demonstrating a functional role in
erythropoiesis. Here, we tested two not mutually exclusive hypotheses: 1) that
the loss of one or more erythromiR genes extinguished the erythropoietic program
of icefish and/or led to the loss of globin gene expression through
pseudogenization; and 2) that some erythromiR genes were secondarily lost after
the loss of functional hemoglobin and red blood cells in icefish. We explored
small RNA transcriptomes generated from the hematopoietic kidney marrow of four
Antarctic notothenioids: two red-blooded species (bullhead notothen Notothenia
coriiceps and emerald notothen Trematomus bernacchii) and two white-blooded
icefish (blackfin icefish Chaenocephalus aceratus and hooknose icefish
Chionodraco hamatus). The N. coriiceps genome assembly anchored analyses. Results
showed that, like the two red-blooded species, the blackfin icefish genome
possessed and the marrow expressed all known erythromiRs. This result indicates
that loss of hemoglobin and red blood cells in icefish was not caused by loss of
known erythromiR genes. Furthermore, expression of only one erythromiR, mir96,
appears to have been lost after the loss of red blood cells and hemoglobin
expression was not detected in the erythropoietic organ of hooknose icefish but
was present in blackfin icefish. All other erythromiRs investigated, including
mir144 and mir451a, were expressed by all four species and thus are present in
the genomes of at least the two white-blooded icefish. Our results rule out the
hypothesis that genomic loss of any known erythromiRs extinguished erythropoiesis
in icefish, and suggest that after the loss of red blood cells, few erythromiRs
experienced secondary loss. Results suggest that functions independent of
erythropoiesis maintained erythromiRs, thereby highlighting the evolutionary
resilience of miRNA genes in vertebrate genomes.
PMID- 27189441
TI - Intestinal obstruction requiring fecal diversion due to rectal hematoma following
a hemorrhoid laser procedure (HeLP).
PMID- 27189442
TI - Critical concepts and important anatomic landmarks encountered during transanal
total mesorectal excision (taTME): toward the mastery of a new operation for
rectal cancer surgery.
AB - Over the past 3 years, colorectal surgeons have begun to adapt the technique of
transanal total mesorectal excision. As international experience has been quickly
forged, an improved recognition of the pitfalls and the practical details of this
disruptive technique have been realized. The purpose of this technical note was
to express the various nuances of transanal total mesorectal excision as learned
during the course of its clinical application and international teaching, so as
to rapidly communicate and share important insights with other surgeons who are
in the early adoption phase of this approach. The technical points specific to
transanal total mesorectal excision are addressed herein. When correctly applied,
these will likely improve the quality of surgery and decrease morbidity
attributable to inexperience with the transanal approach to total mesorectal
excision.
PMID- 27189444
TI - One-pot multiple reactions: asymmetric synthesis of 2,6-cis-disubstituted
piperidine alkaloids from chiral aziridine.
AB - A divergent, new, and highly stereoselective synthesis of cis-2,6-disubstituted
piperidine natural products including isosolenopsins, deoxocassine, and
spectaline was achieved from chiral aziridine decorated with appropriate alkyl
chains for isosolenopsins or alkynyl groups for deoxocassine and spectaline at
C2. The characteristic feature of this synthesis is one-pot sequential reactions
under atmospheric hydrogen including the reduction of alkyne (for deoxocassine
and spectaline), reductive ring-opening of aziridine, debenzylation, and
intramolecular reductive amination in high yields. The prerequisite aziridines
were elaborated from commercially available (2S)-hydroxymethylaziridine through
oxidation, Wittig olefination, and the Grignard reaction for isosolenopsins or
substrate-controlled lithium alkynylate addition for deoxocassine and spectaline.
PMID- 27189443
TI - Predictors of wound dehiscence and its impact on mortality after abdominoperineal
resection: data from the National Surgical Quality Improvement Program.
AB - BACKGROUND: Wound dehiscence is a known complication following abdominoperineal
resection (APR) and can have a negative impact on recovery and outcome. The aim
of this study was to determine the predictors of post-APR 30-day abdominal and/or
perineal wound dehiscence, readmission, and reoperation, and to assess the impact
of wound dehiscence on 30-day mortality. METHODS: All patients undergoing APR
between 2005 and 2012 were analyzed using the American College of Surgeons
National Surgical Quality Improvement Program. RESULTS: There were 5161 patients
[male = 3076 (59.6 %)] with a mean age of 61.9 +/- 14.3 years. Mean body mass
index was 27.4 +/- 6.6 kg/m(2). The most common indication for surgery was rectal
cancer (79.1 %), followed by inflammatory bowel disease (8.2 %). The overall rate
of wound dehiscence was 2.7 % (n = 141). Older age (p = 0.013), baseline dyspnea
(p = 0.043), smoking history (p = 0.009), and muscle flap creation (p <= 0.001)
were independently associated with the risk of dehiscence. No association was
observed between omental flap creation and dehiscence risk (p = 0.47). The 30-day
readmission rate (15.6 vs. 5.6 %, p <= 0.001) and need for reoperation (39 vs.
6.6 %, p <= 0.001) were significantly higher in patients who experienced
dehiscence. Dehiscence was an independent risk factor for 30-day mortality [OR =
2.69 (1.02-7.08), p = 0.045)]. CONCLUSIONS: Older age, baseline dyspnea, smoking,
and the use of muscle flap were associated with higher risk of wound dehiscence
following APR. Patients with wound dehiscence had a higher rate of readmission
and need for reoperation, and an increased risk of 30-day mortality.
PMID- 27189445
TI - Response to Letter to the Editor re: Cananzi, et al.: Surgery of leiomyosarcoma
of the inferior vena cava.
PMID- 27189446
TI - To spray or not to spray? Understanding participation in an indoor residual spray
campaign in Arequipa, Peru.
AB - Current low participation rates in vector control programmes in Arequipa, Peru
complicate the control of Chagas disease. Using focus groups (n = 17
participants) and semi-structured interviews (n = 71) conducted in March and May
2013, respectively, we examined barriers to and motivators of household
participation in an indoor residual spray (IRS) campaign that had taken place one
year prior in Arequipa. The most common reported barriers to participation were
inconvenient spray times due to work obligations, not considering the campaign to
be necessary, concerns about secondary health impacts (e.g. allergic reactions to
insecticides), and difficulties preparing the home for spraying (e.g. moving
heavy furniture). There was also a low perception of risk for contracting Chagas
disease that might affect participation. The main motivator to participate was to
ensure personal health and well-being. Future IRS campaigns should incorporate
more flexible hours, including weekends; provide appropriate educational messages
to counter concerns about secondary health effects; incorporate peer educators to
increase perceived risk to Chagas in community; obtain support from community
members and leaders to build community trust and support for the campaign; and
assist individuals in preparing their homes. Enhancing community trust in both
the need for the campaign and its operations is key.
PMID- 27189447
TI - Integrated environmental characterization of the contaminated marine coastal area
of Taranto, Ionian Sea (southern Italy).
PMID- 27189448
TI - A critical review of the development, current hotspots, and future directions of
Lake Taihu research from the bibliometrics perspective.
AB - Lake Taihu, as the important drinking water source of the Yangtze River Delta
urban agglomeration and the third largest freshwater lake in China, has
experienced serious lake eutrophication and water quality deterioration in the
past three decades. Growing scientific, political, and public attention has been
given to the water quality of Lake Taihu. This study aimed to conduct a
comparative quantitative and qualitative analysis of the development, current
hotspots, and future directions of Lake Taihu research using a bibliometric
analysis of eight well-studied lakes (Lake Taihu, Lake Baikal, Lake Biwa, Lake
Erie, Lake Michigan, Lake Ontario, Lake Superior and Lake Victoria) around the
world based on the Science Citation Index (SCI) database. A total of 1582 papers
discussing Lake Taihu research were published in 322 journals in the past three
decades. However, the first paper about Lake Taihu research was not found in the
SCI database until 1989, and there were only zero, one, or two papers each year
from 1989 to 1995. There had been rapid development in Lake Taihu research since
1996 and a sharp increase in papers since 2005. A keyword analysis showed that
"sediment," "eutrophication", "Microcystis aeruginosa", "cyanobacterial blooms",
and "remote sensing" were the most frequently used keywords of the study subject.
Owing to its significant impact on aquatic ecosystems, a crucial emphasis has
been placed on climate change recently. In addition, the future focuses of
research directions, including (1) environmental effects of physical processes;
(2) nutrient cycles and control and ecosystem responses; (3) cyanobacteria bloom
monitoring, causes, forecast and management; (4) eutrophication and climate
change interactions; and (5) ecosystem degradation mechanism and ecological
practice of lake restoration, are presented based on the keyword analysis.
Through multidisciplinary fields (physics, chemistry, and biology) cross and
synthesis study of Lake Taihu, the development of shallow lake limnology will be
largely promoted.
PMID- 27189449
TI - Exploring new DGT samplers containing a polymer inclusion membrane for mercury
monitoring.
AB - A polymer inclusion membrane (PIM) made of cellulose triacetate as a polymer and
the task specific ionic liquid (IL) trioctylmethylammonium thiosalicylate
(TOMATS) was assembled as a new Diffusive Gradients in Thin film (DGT) device to
test its efficiency as a binding phase for mercury (Hg) monitoring. The effect of
IL content was assessed, showing that higher TOMATS percentage is better for
short deployment studies (up to hours), whereas for long-term exposure (up to
days), a lower content can be more suitable. Different configurations of PIM-DGT
samplers have been tested under controlled conditions and compared with in-house
DGT conventional ones, manufactured with thiol groups as resin layer, for the
determination of labile Hg. According to our results, a nonlinear accumulation
profile of Hg with deployment time for the different designs of PIM-DGT was
observed, limiting the range of applicability of the DGT technique. Promising
results for the efficient removal of Hg from aqueous solutions and/or
environmental monitoring studies were obtained with TOMATS.
PMID- 27189450
TI - Economics of carbon dioxide capture and utilization-a supply and demand
perspective.
AB - Lately, the technical research on carbon dioxide capture and utilization (CCU)
has achieved important breakthroughs. While single CO2-based innovations are
entering the markets, the possible economic effects of a large-scale CO2
utilization still remain unclear to policy makers and the public. Hence, this
paper reviews the literature on CCU and provides insights on the motivations and
potential of making use of recovered CO2 emissions as a commodity in the
industrial production of materials and fuels. By analyzing data on current global
CO2 supply from industrial sources, best practice benchmark capture costs and the
demand potential of CO2 utilization and storage scenarios with comparative
statics, conclusions can be drawn on the role of different CO2 sources. For near
term scenarios the demand for the commodity CO2 can be covered from industrial
processes, that emit CO2 at a high purity and low benchmark capture cost of
approximately 33 ?/t. In the long-term, with synthetic fuel production and large
scale CO2 utilization, CO2 is likely to be available from a variety of processes
at benchmark costs of approx. 65 ?/t. Even if fossil-fired power generation is
phased out, the CO2 emissions of current industrial processes would suffice for
ambitious CCU demand scenarios. At current economic conditions, the business case
for CO2 utilization is technology specific and depends on whether efficiency
gains or substitution of volatile priced raw materials can be achieved. Overall,
it is argued that CCU should be advanced complementary to mitigation technologies
and can unfold its potential in creating local circular economy solutions.
PMID- 27189451
TI - Bioelectricity generation and dewatered sludge degradation in microbial
capacitive desalination cell.
AB - Microbial desalination cell (MDC) is a new approach for the synergy in
bioelectricity generation, desalination and organic waste treatment without
additional power input. However, current MDC systems cause salt accumulation in
anodic wastewater and sludge. A microbial capacitive desalination cell (MCDC)
with dewatered sludge as anodic substrate was developed to address the salt
migration problem and improve the sludge recycling value by special designed
membrane assemblies, which consisted of cation exchange membranes (CEMs), layers
of activated carbon cloth (ACC), and nickel foam. Experimental results indicated
that the maximum power output of 2.06 W/m3 with open circuit voltage (OCV) of
0.942 V was produced in 42 days. When initial NaCl concentration was 2 g/L, the
desalinization rate was about 15.5 mg/(L.h) in the first 24 h, indicating that
the MCDC reactor was suitable to desalinize the low concentration salt solution
rapidly. The conductivity of the anodic substrate decreased during the 42-day
operation; the CEM/ACC/Ni assemblies could effectively restrict the salt
accumulation in MCDC anode and promote dewatered sludge effective use by
optimizing the dewatered sludge properties, such as organic matter, C/N, pH
value, and electric conductivity (EC).
PMID- 27189453
TI - Biofilter for generation of concentrated sulphuric acid from H2S.
AB - Biofilters are used for the conversion of odorous hydrogen sulphide to odourless
sulphate in wastewater treatment plants under the right conditions of moisture
and pH. One of the consequences of maintaining the suitable pH and moisture
content is the production of large volumes of weakly acidic leachate. This paper
presents a biofilter with a maximum H2S elimination capacity of 16.3 g m(-3) h(
1) and removal efficiency greater than 95 % which produces small volumes (1 mL of
solution L(-1) of reactor day(-1)) of sulphuric acid with a concentration greater
than 5.5 M after 150 days of continuous operation. The concentrated sulphuric
acid was produced by intermittently trickling a minimum amount of nutrient
solution down the upflow biofilter which created a moisture and pH gradient
within the biofilter resulting in an environment at the top for the bacterial
conversion of H2S, while sulphuric acid was accumulated at the base. Genetic
diversity profiling of samples taken from different sections of the biofilter
confirms that the upper sections of the biofilter had the best environment for
the bacteria to convert H2S to sulphate. The formation of concentrated sulphuric
acid presents an opportunity for the recovery of sulphur from the waste stream as
a usable product.
PMID- 27189452
TI - Effect of operation parameters on the flux stabilization of gravity-driven
membrane (GDM) filtration system for decentralized water supply.
AB - A pilot-scale gravity-driven membrane (GDM) filtration system under low
gravitational pressure without any pre-treatment, backwash, flushing, or chemical
cleaning was carried out to investigate the effect of operation parameters
(including operation pressure, aeration mode, and intermittent filtration) on the
effluent quality and permeability development. The results revealed that GDM
system exhibited an efficient performance for the removal of suspended substances
and organic compounds. The stabilization of flux occurred and the average values
of stable flux were 6.6, 8.1, and 8.6 Lm(-2) h(-1) for pressures of 65, 120, and
200 mbar, respectively. In contrast, flux stabilization was not observed under
continuous and intermittent aeration conditions. However, aeration (especially
continuous aeration) was effective to improve flux and alleviate membrane fouling
during 1-month operation. Moreover, intermittent filtration would influence the
stabilization of permeate flux, resulting in a higher stable flux (ranging from 6
to 13 Lm(-2) h(-1)). The stable flux significantly improved with the increase of
intermittent period. Additionally, GDM systems exhibited an efficient recovery of
flux after simple physical cleaning and the analyses of resistance reversibility
demonstrated that most of the total resistance was hydraulic reversible
resistance (50-75 %). Therefore, it is expected that the results of this study
can develop strategies to increase membrane permeability and reduce energy
consumption in GDM systems for decentralized water supply.
PMID- 27189454
TI - Active biomonitoring of palladium, platinum, and rhodium emissions from road
traffic using transplanted moss.
AB - The use of transplanted moss (Pleurozium schreberi) in active biomonitoring of
traffic-related emissions of Pd, Pt, and Rh was studied. Moss mats were
transplanted to three locations along highway E75 (in Oulu, Finland) at three
different distances from the highway. Five samples were collected from a
background site after the same exposure period. Mass fractions of Pd, Pt, and Rh
as well as mass fractions of 18 other elements were determined in these samples.
The results indicated that P. schreberi is well suited for active biomonitoring
of Pd, Pt, and Rh. Mass fractions above the background values were observed in
the samples exposed to traffic-related emissions. When the results were compared
with those of the other elements, high correlations of Pd, Pt, and Rh with
commonly traffic-related elements (e.g., Cu, Ni, Sb, Zn, etc.) were found. It was
also found that the amounts of Pd, Pt, and Rh in moss samples decreased when the
distance to the highway increased. This trend gives evidence for the suitability
of P. schreberi for active biomonitoring of Pd, Pt, and Rh. Furthermore, it can
be concluded that the mass fractions determined in this study provide valuable
evidence about the current state of Pd, Pt, and Rh emissions in Oulu, Finland.
PMID- 27189455
TI - Elongator Protein 3 (Elp3) stabilizes Snail1 and regulates neural crest migration
in Xenopus.
AB - Elongator protein 3 (Elp3) is the enzymatic unit of the elongator protein
complex, a histone acetyltransferase complex involved in transcriptional
elongation. It has long been shown to play an important role in cell migration;
however, the underlying mechanism is unknown. Here, we showed that Elp3 is
expressed in pre-migratory and migrating neural crest cells in Xenopus embryos,
and knockdown of Elp3 inhibited neural crest cell migration. Interestingly, Elp3
binds Snail1 through its zinc-finger domain and inhibits its ubiquitination by
beta-Trcp without interfering with the Snail1/Trcp interaction. We showed
evidence that Elp3-mediated stabilization of Snail1 was likely involved in the
activation of N-cadherin in neural crest cells to regulate their migratory
ability. Our findings provide a new mechanism for the function of Elp3 in cell
migration through stabilizing Snail1, a master regulator of cell motility.
PMID- 27189457
TI - Prognostic implications of reproductive and lifestyle factors in ovarian cancer.
AB - INTRODUCTION: Despite strides in identifying factors that predict risk of ovarian
cancer and improvements in ovarian cancer treatment, little is known about
factors that predict ovarian cancer survival. METHODS: We reviewed the literature
for analyses of factors before, at, or after diagnosis that may predict survival
outcomes, including demographics (e.g., age and race), genetics (e.g., inherited
BRCA mutations), hormonal factors (e.g., parity and hormone use), and lifestyle
(e.g., physical activity and diet). RESULTS: While treatment factors, tumor
characteristics and genetic syndromes are predictive of survival, few studies of
most hormonal and lifestyle factors have been published; most have evaluated pre
diagnosis factors. For example, we identified eight studies that examined
menopausal hormone use prior to diagnosis, reporting a non-significant survival
benefit for users compared to non-users, while four studies reported better
survival with use after surgery, though only two were significant. Similarly,
while increased body size has been extensively studied as potentially associated
with reduced survival, other modifiable factors, such as diet and physical
activity remain understudied. We identified three studies of pre-diagnosis
physical activity and five studies of pre-diagnosis diet, with a suggestive
benefit of higher vegetable intake and vigorous physical activity. By contrast,
large studies of the impact of race/ethnicity and socioeconomic status (SES)
confirm that non-white women and women of lower SES fare more poorly than white
women and women of higher SES, respectively. CONCLUSIONS: Our review of the
published literature on predictors of ovarian cancer survival revealed that
modifiable lifestyle factors may be related to survival, but that hormonal
factors do not strongly predict outcomes in ovarian cancer patients. However,
more attention should be paid to women's post-diagnosis survival experience. The
dearth of literature on post-diagnosis factors that may improve outcomes makes it
difficult to make specific recommendations for survivors.
PMID- 27189456
TI - Cited rationale for variance in the use of primary intraperitoneal chemotherapy
following optimal cytoreduction for stage III ovarian carcinoma at a high
intraperitoneal chemotherapy utilization center.
AB - OBJECTIVE: Studies have demonstrated improved ovarian cancer survival with the
administration of a combination of intravenous (IV) and intraperitoneal (IP)
chemotherapy following optimal cytoreduction. Despite this, IV/IP chemotherapy is
not uniformly used. In this retrospective cohort study, we assessed the
documented reasons for giving IV-only chemotherapy. METHODS: All patients who had
optimal primary cytoreductive surgery for stage III ovarian, fallopian tube, or
primary peritoneal carcinoma, met eligibility criteria for GOG-172, and received
primary chemotherapy at our institution between 2006 and 2013 were identified.
Patients who received at least one cycle of adjuvant IV/IP therapy were included
in the IP group. Patient characteristics, treatment information, and reason cited
for not administering IP therapy were collected. RESULTS: Of the patients
evaluated, 330 met inclusion criteria. The majority (n=261, 79%) received at
least one IV/IP cycle (median, 6; range, 1-6), and 62% completed 6cycles. The
most common reason for giving IV-only therapy was postoperative status (i.e.,
delayed wound healing, performance status), accounting for 18 (26%) of the 69 IV
only patients (5% of the entire cohort). Other cited reasons were baseline
comorbidities (15%) and IP port complications (12%). Receipt of >=1cycle of IP
chemotherapy (HR 0.51; 95% CI, 0.32-0.80) and no gross residual disease (HR 0.47;
95% CI, 0.31-0.71) were associated with improved overall survival. CONCLUSION:
Potentially modifiable factors identified as leading to the use of IV-only
chemotherapy were postoperative status and IP port complications, which if
altered, could potentially lead to increased IP chemotherapy use.
PMID- 27189458
TI - Choroidal Thickness Changes After Intravitreal Ranibizumab for Exudative Age
Related Macular Degeneration.
AB - BACKGROUND: The results regarding changes of choroidal thickness following
intravitreal ranibizumab injections in the literature are controversial. Vascular
endothelial growth factor A is implicated in pathogenesis of neovascular age
related macular degeneration (AMD). The suspected unchanged choroidal layer
thickness after intravitreal injections of ranibizumab suggests a possible
protection of the outer blood-retinal barrier in the human eye. OBJECTIVE: The
aim was to evaluate choroidal thickness following the first administration of the
study drug ranibizumab into the eyes of naive wet AMD patients (nAMD). METHODS:
In this open label, 3-month, prospective, single-center, interventional, single
arm pilot study, 20 nAMD eyes were included and underwent three consecutive
monthly injections of ranibizumab (0.5 mg/0.05 ml). Vital signs (i.e., blood
pressure and pulse), ophthalmic examinations, intraocular pressure, best correct
visual acuity and subfoveal choroidal thickness as examined with optical
coherence tomography using enhanced depth imaging (OCT-EDI) were assessed at each
visit. All patients were evaluated at baseline and at 15, 30 60 and 90 days after
intravitreal injection. Ten eyes with fibrotic AMD lesions were evaluated as the
control group. RESULTS: In all eyes, the choroidal thicknesses (um) exhibited no
significant changes from the baseline visit to the visits at 15, 30, 60 and 90
days post-injection (P > 0.05). The intravitreal treatment with ranibizumab was
well tolerated, and no adverse events were registered. CONCLUSION: Choroidal
thickness appeared to be unmodified following the intravitreal injection of
ranibizumab into nAMD eyes. Intravitreal ranibizumab injections probably elicit a
pharmacologic effect only in the choroidal neovascularization and not in the
choroid circulation under neovascular lesions. Clinical Trials Eudract
Registration #: 2013-005091-17.
PMID- 27189459
TI - Shingles (Herpes Zoster) Vaccine (Zostavax((r))): A Review in the Prevention of
Herpes Zoster and Postherpetic Neuralgia.
AB - Zostavax((r)) is a live attenuated shingles (herpes zoster) vaccine approved in
the EU for the prevention of herpes zoster (HZ) and postherpetic neuralgia (PHN)
in adults aged >=50 years. Zoster vaccine protected against HZ in adults aged 50
59 years (ZEST trial) and >=60 years [Shingles Prevention Study (SPS)], and also
reduced the burden of illness associated with HZ and the risk of PHN in adults
aged >=60 years (SPS). A large amount of real-world data also supports the
efficacy of zoster vaccine. Results of the SPS Short- and Long-Term Persistence
Substudies and real-world studies indicate that zoster vaccine provided continued
benefit in the longer term, albeit with a gradual decline in vaccine efficacy
over time; long-term effectiveness studies are ongoing. The need for a booster
dose is still unknown, but a study showed that, if necessary, a booster dose
administered to adults aged >=70 years who received their first dose of zoster
vaccine >=10 years previously was immunogenic. Zoster vaccine had a favourable
safety and tolerability profile, with the most commonly reported adverse events
being non-severe injection-site reactions. In conclusion, zoster vaccine reduces
the incidence of HZ and PHN, thereby reducing the burden of illness associated
with HZ; improved uptake of zoster vaccine is needed.
PMID- 27189460
TI - Spontaneous Left Renal Subcapsular Hematoma and Right Hip Hematoma in a
Hemodialysis Patient: A Case Report and Review of the Literature.
AB - For maintenance hemodialysis (MHD) patients, the blood is in low hypercoagulable
state due to the use of heparin or low molecular weight heparin during dialysis.
It is not rare to see hematoma in the puncture site. In recent years, several
cases have been reported of spontaneous kidney rupture, but no hip hematoma, let
alone both occurred in succession. There was one MHD patient with spontaneous
kidney bleeding and hip hematoma in our hospital in 2014, and we provided
effective treatment and follow-up.
PMID- 27189461
TI - Pepsin is a positive regulator of Ac-cathB-2 involved in the rat gut penetration
of Angiostrongylus cantonensis.
AB - BACKGROUND: Angiostrongyliasis caused by the rat lungworm, Angiostrongylus
cantonensis (A. cantonensis), has globally spread from the traditional epidemic
areas. The small intestine is the site where the third-stage larvae (L3) of this
worm entered the host body, and parasite proteases are involved in this process.
Ac-cathB-2, a cathepsin B-like cysteine of A. cantonensis, was formerly isolated
from the insoluble part of fragmentised Escherichia coli without activity. The
unplanned low activity of prokaryotic expression proteins and difficulties in
genetic modification hindered understanding the function of this protein.
METHODS: The recombinant Ac-cathB-2 was expressed and harvested from 293 T cells
and the enzymatic property and the effects of processing on the activity of the
recombinant protease were investigated in vitro. The expression of Ac-cathB-2 in
response to external stimulation was assessed, and the function of this protease
during host gut penetration was observed by using antiserum for inhibition.
RESULTS: Of the life-cycle stages studied, L3 expressed the highest level of Ac
cathB-2 gene and released the corresponding gene product from the body. The
expression of this gene was rapidly upregulated after incubating L3 in small
intestine homogenate of rat. Recombinant Ac-cathB-2 was harvested from 293 T cell
culture medium. This protease was activated by pepsin-HCl and the enabled Ac
cathB-2 could subsequently digest laminin and fibronectin readily. Moreover, the
small intestine isolated from rat was disrupted after incubating with the
activated Ac-cathB-2, resulting in the detachment of epithelial cells. Antiserum
treatment inhibited the hydrolytic ability of recombinant Ac-cathB-2 by 82.7 %,
and also reduced the tissue penetration of activated L3 by 41.2 %. Additionally,
pre-incubation of L3 with artificial gastric acid increased the number of
penetrating larvae by 53.2 %, and this alteration could be partly blocked by
antiserum treatment. CONCLUSION: We believe that Ac-cathB-2 from A. cantonensis
might help the worm to penetrate the rat gut, because the protease was able to
degrade the tissue components of host. Nevertheless, our results further
indicated that host pepsin played a beneficial role in this process by cleaving
Ac-cathB-2 for activation. Thus, Ac-cathB-2 may probably represent an important
target for the control of A. cantonensis infection.
PMID- 27189463
TI - Neurobehavioral and Cognitive Changes Induced by Sleep Deprivation in Healthy
Volunteers.
AB - To this day, the pharmacological treatment of Alzheimer's disease remains limited
to the temporary stabilisation of cognitive decline and the reduction of
neuropsychiatric symptoms. It is moreover with great difficulty to predict and
select promising drug candidates in the early stages of the discovery and
developmental process. In this context, scientists have developed new
experimental paradigms to artificially induce transient cognitive impairments in
healthy volunteers akin to those observed in Alzheimer's disease, i.e. the
Cognitive Challenge Models. In the last decade, a great amount of literature on
Sleep Deprivation was published which mainly focused on the consequences of sleep
loss for public health. However, sleep deprivation paradigm may also be regarded
as a cognitive challenge model. It is commonly accepted that sleep deprivation
induces cognitive impairments related to a global decrease in vigilance, while in
fact, there is a controversial approach related to the selective effects on
cognitive functions. The identification and validation of cognitive challenge
models in healthy volunteers are suitable in early clinical development of drugs
to determine the 'hint of efficacy' of drug candidates. The present review aims
at exploring in detail the methods, designs and cognitive paradigms used in non
pharmacological sleep deprivation studies. Sleep deprivation can be induced by
different methods. Probing the four main cognitive functions will allow
identifying the extent to which different sleep deprivation designs selectively
compromise executive function, working memory, episodic memory and attention.
Findings will be discussed in line with cognitive processing levels that are
required according to the tasks.
PMID- 27189462
TI - Primary and tertiary health professionals' views on the health-care of patients
with co-morbid diabetes and chronic kidney disease - a qualitative study.
AB - BACKGROUND: Health-care for co-morbid diabetes and chronic kidney disease (CKD)
is often sub-optimal. To improve health-care, we explored the perspectives of
general practitioners (GPs) and tertiary health-care professionals concerning key
factors influencing health-care of diabetes and CKD. METHODS: A total of 65
health professionals were purposively sampled from Australia's 2 largest cities
to participate in focus groups and semi-structured interviews. Four focus groups
were conducted with GPs who referred to 4 tertiary health services in Australia's
2 largest cities, with 6 focus groups conducted with tertiary health-care
professionals from the 4 tertiary health services. An additional 8 semi
structured interviews were performed with specialist physicians who were heads of
diabetes and renal units. All discussions were facilitated by the same
researcher, with discussions digitally recorded and transcribed verbatim. All
qualitative data was thematically analysed independently by 2 researchers.
RESULTS: Both GPs and tertiary health-care professionals emphasised the
importance of primary care and that optimal health-care was an inter-play between
patient self-management and primary health-care, with specialist tertiary health
care support. Patient self-management, access to specialty care, coordination of
care and a preventive approach were identified as key factors that influence
healthcare and require improvement. Both groups suggested that an integrated
specialist diabetes-kidney service could improve care. Unit heads emphasised the
importance of quality improvement activities. CONCLUSIONS: GPs and tertiary
health-care professionals emphasised the importance of patient self-management
and primary care involvement in the health-care of diabetes and CKD. Supporting
GPs with an accessible, multidisciplinary diabetes-renal health service
underpinned by strong communication pathways, a preventive approach and quality
improvement activities, may improve health-care and patient outcomes in co-morbid
diabetes and CKD.
PMID- 27189465
TI - Early Development of Symptomatic Drugs in AD: A Systematic Review of the Use of
Biomarkers.
AB - Pharmacological therapies currently marketed for Alzheimer's disease (AD) are
only symptomatic and show limited effects in terms of clinical benefit. Thus, the
development of new symptomatic drugs remains essential. However the dramatic
increase in costs associated with drug development together with the poor number
of emerging drugs highlights how crucial it is to accelerate the findings aiming
to bringing new drugs to market. In this respect, optimization of the development
process by integrating, at early stage, reliable biomarkers able to predict
clinical benefit in phase III clinical trials may help. The improvement of
certain techniques such as imaging and electrophysiological methods has led to a
more accurate assessment of the brain's physiological impact of pharmacological
treatments used to alleviate symptoms in AD patients. This review aims to gather
the main findings from clinical studies where the effect of anti-dementia drugs
were assessed in healthy volunteers and AD patients through one or several such
biomarkers (electroencephalography (EEG), magnetic resonance imaging (MRI),
positron emission tomography (PET), single-photon emission computed tomography
(SPECT)). Overall, evidence presented in this review suggests that various
biomarkers associated with key impairments observed in AD were sensitive to
acetylcholinesterase inhibitors (AChE-I) medication and memantine with a good
correlation with enhancement of cognitive performance. In most of the reviewed
studies, only one kind of biomarker was used. Among these, deficits in
quantitative EEG profile, P300 latency, and regional brain activity measured by
either functional MRI (fMRI) during face encoding and working memory task or by
PET/SPECT have been shown to be reversed by anti-dementia drugs. It is therefore
suggested that a single biomarker approach would be limited and not be
sufficiently predictive to extensively assess the potential of a new symptomatic
drug. Hence, it appears that a combination approach with the use of a panel of
biomarkers rather than a single biomarker may be more appropriate to establish a
good correlation between the disease and therapeutic intervention.
PMID- 27189464
TI - Translational Challenge Models in Support of Efficacy Studies: Effect of Cerebral
Hypoxia on Cognitive Performances in Rodents.
AB - Empirical evidence currently supports the idea that neurovascular dysfunction is
involved in the neurodegenerative process of Alzheimer's disease (AD). In fact,
epidemiological studies report that i) vascular risk factors are directly
associated with an increased incidence of AD and ii) vascular lesions are
frequently co-existent with AD. The neurovascular unit is a key control system
for oxygen and nutrients exchange between neurons and microvessels so the
integrity of this system is essential for neuronal activity and cell survival.
This suggests that hypoxia arising from various vascular injuries may participate
in the pathogenesis of AD and aggravate cognitive deficit. Moreover, hypoxia
appears to have a direct effect on cognitive functions, in particular memory, by
inducing a transient or definitive dysfunction of synaptic transmission. The
interplay of hypoxic phenomenon and the development of AD-related pathologies
support the use of hypoxia as a challenge model to assess symptomatic (i.e.
cognitive enhancers) AD-treatment. Such challenge should be characterized and
validated with current symptomatic drugs based on different mechanisms of action
before being offered as alternative models for testing new drugs. To date,
symptomatic treatments of AD including anticholinesterasic- (donepezil,
rivastigmine and galantamine) and antiglutamatergic- (memantine) drugs target
various neurotransmission impairments occurring at different stages of the
disease. The first aim of the present review is to provide an overview of the
methods used to achieve experimental hypoxia in rodents and to characterize the
cognitive alterations induced by each method. The second objective is to
summarize the main results from studies that have tested the effect of
acetylcholinesterase inhibitors on hypoxiainduced cognitive impairment. Overall,
the literature research yielded only a small number of studies investigating the
effect of hypoxia on cognition in rodents and the different models described
sometime differ substantially in terms of timing, severity and nature of
cognitive impairment. Chronic exposure to intermittent normobaric or continuous
hypobaric hypoxia induced persistent spatial reference and working memory
alterations. In contrast, acute hypoxia exposure was shown to induce more
transient associative and spatial memory impairments. Treatment with
acetylcholinesterase inhibitors was shown to improve hypoxia-induced memory
impairment in various hypoxia protocols.
PMID- 27189466
TI - Translational Challenge Models in Support of Efficacy Studies: Neurobehavioral
and Cognitive Changes Induced by Transcranial Magnetic Stimulation in Healthy
Volunteers.
AB - Transcranial Magnetic Stimulation (TMS) was proposed as a neurophysiological tool
almost three decades ago. It now encompasses a very wide range of applications
including clinical research and the treatment of psychiatric, neurologic and
medical conditions such as depression, schizophrenia, addictions, post-traumatic
stress disorders, pain, migraine, stroke, Alzheimer's disease, autism, multiple
sclerosis and Parkinson's disease. By inducing electrical brain responses through
the administration of magnetic pulses, TMS is in a unique position to painlessly
modulate cortical regions and offers good spatial resolution and excellent
temporal resolution, particularly when applied using single pulses. However,
despite the impressive number of papers describing the use of TMS to modulate
cognitive functions, the mechanisms underlying the behavioral changes observed
after stimulation have not been fully identified. Here we present a review of the
ability of TMS to transiently compromise brain function in humans. The primary
aim was to investigate its capacity for use as a 'cognitive challenge model' in
human pharmacological studies. The data reviewed include findings on executive
function, attention and episodic memory. For each cognitive process, the
convergent and divergent results are discussed in terms of paradigm differences
and in order to define the optimal methodology for obtaining the desired effects.
PMID- 27189467
TI - Emerging Risk Factors for Dementia: The Role of Blood Pressure Variability.
AB - In the recent years, classical vascular risk factors have been suggested to play
a role also in the development of degenerative dementia. Arterial hypertension
has been implicated in the pathogenesis of dementia but no conclusive results
have been produced yet; more recently, blood pressure variability (BPV) has been
suggested as a more important risk factor for both silent brain vascular lesions
and the development of dementia. Blood pressure variability is defined as the
variation in blood pressure over time, measured on different time spans and in
different ways. We reviewed current scientific literature about the role of BPV
in the pathogenesis of dementia, and about the association of abnormal BPV
patterns and different forms of dementia. We also suggested some hypothetical
pathogenic mechanisms.
PMID- 27189468
TI - Obstructive Sleep Apnea Syndrome: An Emerging Risk Factor for Dementia.
AB - Epidemiological studies have suggested that obstructive sleep apnea syndrome
(OSAS) may increase the risk of developing cognitive impairment. In patients with
Alzheimer's disease (AD), the prevalence of OSAS is much higher than that
expected in cognitively healthy subjects. A deeper knowledge of the
pathophysiological link between OSAS and AD and the demonstration that OSAS may
directly influence the development of cognitive alterations, would increase
prevention and treatment strategies for AD patients. In this article, we discuss
the evidence of the association between OSAS and dementia. Moreover, we present
data about the functional and anatomic cerebral changes induced by OSAS and the
possible effects on cognitive activities and on AD pathogenesis. The possibility
to positively influence cognitive impairment by OSAS treatment will be also
discussed.
PMID- 27189469
TI - Targeting New Pharmacological Approaches for Alzheimer's Disease: Potential for
Statins and Phosphodiesterase Inhibitors.
AB - Alzheimer's disease (AD) is the most common cause of dementia among the elderly.
It is pathologically characterized by diffused extracellular deposits, senile
plaques, and intracellular neurofibrillary tangles in the brain, responsible for
neuronal dysfunction and cell death. Memory, language and other cognitive
functions can be affected to a limited extent in the initial stage called mild
cognitive impairment (MCI) or in a more severe and daily life interfering manner
in the later stage called dementia. Currently no effective disease-modifying
treatment exists for the majority of neurodegenerative diseases. Failure of
therapy aimed at affecting beta amyloid pathology has led research to investigate
alternative approaches. Recent findings address statins and phosphodiesterase
(PDE) inhibitors as compounds able to affect different mechanisms underlying AD.
Statins could exert several effects based on their lipid-lowering and cerebral
blood flow increasing abilities but also pleiotropic/antinflammatory and
neuroprotective properties have been claimed. PDEs act as regulators of
intracellular signaling cascades through the control of two second messengers,
cyclic adenosine monophosphate and cyclic guanosine monophosphate. PDE inhibitors
effects in animal models of AD have been promising and their proven safety in
clinical use create high expectations for the treatment of AD. In this review, we
will report main data and evidence on: 1. Current pathophysiological theories of
AD in order to better understand which mechanisms lead to pathological changes
and can be affected by therapies; 2. The use of statins and PDE inhibitors in
animal models of AD and in humans, analyzing their mechanisms of action.
PMID- 27189470
TI - Adrenergic Drugs Blockers or Enhancers for Cognitive Decline ? What to Choose for
Alzheimer's Disease Patients?
AB - The adrenergic system has an important role in normal central nervous system
function as well as in brain disease. The locus coeruleus, the main source of
norepinephrine in brain, is involved in the regulation of learning and memory,
reinforcement of sleep-wake cycle and synaptic plasticity. In Alzheimer's
disease, locus coeruleus degeneration is observed early in the course of the
disease, years before the onset of clinical cognitive signs, with neurofibrillary
detected at the stage of mild cognitive impairment, preceding amyloid deposition.
Thus, in the last years, a great interest has grown in evaluating the possibility
of central adrenergic system modulation as a therapeutic tool in Alzheimer's
disease. However, evidences do not show univocal results, with some studies
suggesting that adrenergic stimulation might be beneficial in Alzheimer's Disease
and some others favoring adrenergic blockade. In this review, we summarize data
from both hypothesis and describe the pathophysiological role of the adrenergic
system in neurodegeneration.
PMID- 27189471
TI - Insulin and the Future Treatment of Alzheimer's Disease.
AB - Alzheimer's disease is a neurodegenerative disorder leading to dementia.
Scientific efforts in the last decade focused mainly on understanding
pathophysiology of disease and possible pharmacological approach to alleviate
cognitive decline symptoms. Amyloid cascade hypothesis though criticized, remains
the leading hypothesis to understand pathogenic mechanisms of cognitive decline.
Intriguingly, changes of metabolic activity of cortical neurons are associated
with reduced or absent sensitivity to insulin in Alzheimer's disease brain.
Insulin is a multipotent hormone regulating, not only glucose levels, but also
cell survival and synaptic plasticity mechanisms of neurons. Replacement of
insulin might represent a new strategic approach to counteract neurodegeneration.
Here we review most of the available data regarding relationship between
Alzheimer's disease and insulin and propose new direction to deepen our
understanding about insulin involvement in the pathogenesis of Alzheimer's
dementia.
PMID- 27189472
TI - Beyond Cholinesterase Inhibition: Anti-Inflammatory Role and Pharmacological
Profile of Current Drug Therapy for Alzheimer's Disease.
AB - Inflammation is a common response of an individual against either exogenous or
endogenous damage. The role of inflammation and of inflammatory cells recently
emerged also in the pathogenesis of neurodegenerative disorders. Experimental
evidences show how neurotransmitters, besides their role in the synapses, play a
modulatory role during immune response. Drugs used for treatment of dementia
symptoms are able to increase neurotransmitters levels, and likely to have a
modulatory role during immune response. Aim of this review is to discuss the most
recent advances on inflammation role during neurodegeneration and also to
individuate the potential anti-inflammatory role played by drugs currently used
for Alzheimer's disease treatment.
PMID- 27189473
TI - Molecular Mechanisms Involving Sigma-1 Receptor in Cell Apoptosis of BV-2
Microglial Cells Induced by Methamphetamine.
AB - It has been well documented that methamphetamine induces microglial activation
and death, however, the molecular mechanisms underlying this process remain
poorly understood. In the present study, we demonstrated the involvement of sigma
1 receptor (sigma-1R) in methamphetamine-mediated microglial apoptosis. Exposure
of BV-2 cells to methamphetamine induces cell apoptosis through its cognate
receptor sigma-1R, followed by activation of the mitogen-activated protein
kinases, phosphatidylinositol-3' kinase/Akt as well as the downstream
transcription factor p53 pathways. Blockage of sigma -1R significantly inhibited
the increased pro-apoptotic proteins such as Bax, Caspase-3 and Caspase-9 induced
by methamphetamine. In conclusion, these findings underscore the critical role of
sigma-1R in microglial apoptosis induced by methamphetamine. Understanding the
link between sigma -1R and apoptosis will lead to development of therapeutic
strategies targeting methamphetamine-mediated microglial death/dysfunction.
PMID- 27189474
TI - Influence of Hypertension, Alone and in Combination with Other Vascular Risk
Factors on Cognition.
AB - Hypertension is one of the most important modifiable risk factors of cardioand
cerebrovascular diseases, responsible for the development of severe target organ
damages. It has been shown that hypertension is associated with an increased
prevalence of cognitive decline. It negatively affects the cognitive battery and
accelerates dementia. Beside the known detrimental effects of senile hypertension
on cognitive performance in the elderly population, previous studies pointed out
that young, hypertensive individuals may also suffer from hypertension related
changes in their cognitive capacity. Given the high prevalence of hypertension in
a wide range of the age pyramid (young individuals, middle aged adults, elderly
people), specific cognitive deficits may be present in a large portion of the
population putting a heavy burden on society. Better understanding of the
underlying mechanisms of hypertension induced cognitive impairment may contribute
to the identification of its initiating pathophysiological factors, and serve an
earlier diagnosis, intervention at an early stage and prevention of further
deficits. Our aim with the current review was to summarize some of the previous
findings regarding altered cognitive performance of individuals with hypertension
and of those with the most common co-existing risk factors. Furthermore, efforts
to explore effects of various antihypertensive medications on cognition and to
survey proposed pathophysiological mechanisms of hypertension induced cognitive
changes have been made.
PMID- 27189475
TI - Paenibacillusliaoningensis sp. nov., isolated from soil.
AB - A novel bacterial strain, designated as LNUB461T, was isolated from soil sample
taken from the countryside of Shenyang, Liaoning Province, China. The isolate was
a Gram-stain-positive, aerobiotic, motile, endospore-forming and rod-shaped
bacterium. The organism grew optimally at 30-33 degrees C, pH 6.5-7.0 and in the
absence of NaCl. Phylogenetic analysis based on the nearly full-length 16S rRNA
gene sequence revealed high sequence similarity with Paenibacillus
algorifonticola XJ259T (98.5 %), Paenibacillus xinjiangensis B538T (96.8 %),
Paenibacillus glycanilyticus DS-1T (96.1 %) and Paenibacillus lupini RLAHU15T
(96.1 %). The predominant cellular fatty acid and the only menaquinone were
anteiso-C15:0 and MK-7, respectively. The main polar lipids of LNUB461T included
phosphatidylethanolamine (PE), phosphatidylglycerol (PG), phosphatidylcholine
(PC) and two unknown amino phospholipids (APL), and the cell-wall peptidoglycan
was meso-diaminopimelic acid (A1gamma). The DNA G+C content of LNUB461T was 49.1
mol%. The DNA-DNA hybridization value between LNUB461T and the most closely
related species (P. algorifonticola) was 41.8 %. On the basis of these data,
LNUB461T was classified as representing a novel species of the genus
Paenibacillus, for which the name Paenibacillus liaoningensis sp. nov was
proposed. The type strain is LNUB461T (=JCM 30712T=CGMCC 1.15101T).
PMID- 27189476
TI - Implementation of an educational program to decrease the tidal volume size in a
general intensive care unit: a pilot study.
PMID- 27189480
TI - Therapeutic hypothermia in neonatal cervical spine injury.
PMID- 27189479
TI - In vitro and in vivo antineoplastic and immunological effects of
pterocarpanquinone LQB-118.
AB - Cancer is a malignancy of worldwide prevalence, and although new therapeutic
strategies are under investigation, patients still resort to reductive or
palliative chemotherapy. Side effects are a great concern, since treatment can
render patients susceptible to infections or secondary cancers. Thus, design of
safer chemotherapeutic drugs must consider the risk of immunotoxicity.
Pterocarpans are natural isoflavones that possess immunomodulatory and
antineoplastic properties. Ubiquitous in nature, quinones are present in
chemotherapeutic drugs such as doxorubicin and mitoxantrone. Our group has
patented a hybrid molecule, the pterocarpanquinone LQB-118, and demonstrated its
antineoplastic effect in vitro. In this report we describe its antineoplastic
effect in vivo and assess its toxicity toward the immune system. Treated mice
presented no changes in weight of primary and secondary organs of the immune
system nor their cellular composition. Immunophenotyping showed that treatment
increased CD4(+) thymocytes and proportionally reduced the CD4(+)CD8(+)
subpopulation in the thymus. No significant changes were observed in T CD8(+)
peripheral lymphocytes nor was the activation of fresh T cells affected after
treatment. LQB-118 induced apoptosis in murine tumor cells in vitro, being
synergistic with the autophagy promoter rapamycin. Furthermore, treatment
significantly reduced ascites or solid Ehrlich and B16F10 melanoma growth in
vivo, and ameliorated side effects such as cachexia. Based on its favorable
preclinical profile and considering previous results obtained in vitro, this drug
emerges as a promising candidate for further development.
PMID- 27189477
TI - The Fas Ligand/Fas Death Receptor Pathways Contribute to Propofol-Induced
Apoptosis and Neuroinflammation in the Brain of Neonatal Rats.
AB - A number of experimental studies have reported that exposure to common,
clinically used anesthetics induce extensive neuroapoptosis and cognitive
impairment when applied to young rodents, up to 2 weeks old, in phase of rapid
synaptogenesis. Propofol is the most used general anesthetic in clinical practice
whose mechanisms of neurotoxicity on the developing brain remains to be examined
in depth. This study investigated effects of different exposures to propofol
anesthesia on Fas receptor and Fas ligand expressions, which mediate proapoptotic
and proinflammation signaling in the brain. Propofol (20 mg/kg) was administered
to 7-day-old rats in multiple doses sufficient to maintain 2-, 4- and 6-h
duration of anesthesia. Animals were sacrificed at 0, 4, 16 and 24 h after
termination of anesthesia. It was found that propofol anesthesia induced Fas/FasL
and downstream caspase-8 expression more prominently in the thalamus than in the
cortex. Opposite, Bcl-2 and caspase-9, markers of intrinsic pathway activation,
were shown to be more influenced by propofol treatment in the cortex. Further, we
have established upregulation of caspase-1 and IL-1beta cytokine transcription as
well as subsequent activation of microglia that is potentially associated with
brain inflammation. Behavioral analyses revealed that P35 and P60 animals,
neonatally exposed to propofol, had significantly higher motor activity during
three consecutive days of testing in the open field, though formation of the
intersession habituation was not prevented. This data, together with our previous
results, contributes to elucidation of complex mechanisms of propofol toxicity in
developing brain.
PMID- 27189478
TI - Pro-apoptotic Action of Corticosterone in Hippocampal Organotypic Cultures.
AB - Elevated levels of glucocorticoids exert neurotoxic effects, and the hippocampus
is particularly sensitive to the effects of glucocorticoids. Because some data
have indicated that an increased action of glucocorticoids in the perinatal
period enhances the susceptibility of brain tissue to adverse substances later in
life, the main purpose of the present study was to compare necrotic/apoptotic
corticosterone action in hippocampal organotypic cultures obtained from control
animals with the effect of this steroid in tissue from prenatally stressed rats.
Because the adverse effects of glucocorticoid action on nerve cell viability
appear to result mainly from an increase in the intensity of the effects of
glutamate and changes in growth factor and pro-inflammatory cytokine synthesis,
the involvement of these factors in corticosterone action were also determined.
In stress-like concentration (1 MUM), corticosterone, when added to hippocampal
cultures for 1 and 3 days, alone or jointly with glutamate, did not induce
necrosis. In contrast, in 3-day cultures, corticosterone (1 MUM) increased
caspase-3 activity and the mRNA expression of the pro-apoptotic Bax. Moreover,
corticosterone's effect on caspase-3 activity was stronger in hippocampal
cultures from prenatally stressed compared to control rats. Additionally, 24 h of
exposure to corticosterone and glutamate, when applied separately and together,
increased Bdnf, Ngf, and Tnf-alpha expression. In contrast, after 72 h, a strong
decrease in the expression of both growth factors was observed, while the
expression of TNF-alpha remained high. The present study showed that in stress
like concentrations, corticosterone exerted pro-apoptotic but not necrotic
effects in hippocampal organotypic cultures. Prenatal stress increased the pro
apoptotic effects of corticosterone. Increased synthesis of the pro-inflammatory
cytokine TNF-alpha may be connected with the adverse effects of corticosterone on
brain cell viability.
PMID- 27189481
TI - Gene evolution and gene expression after whole genome duplication in fish: the
PhyloFish database.
AB - With more than 30,000 species, ray-finned fish represent approximately half of
vertebrates. The evolution of ray-finned fish was impacted by several whole
genome duplication (WGD) events including a teleost-specific WGD event (TGD) that
occurred at the root of the teleost lineage about 350 million years ago (Mya) and
more recent WGD events in salmonids, carps, suckers and others. In plants and
animals, WGD events are associated with adaptive radiations and evolutionary
innovations. WGD-spurred innovation may be especially relevant in the case of
teleost fish, which colonized a wide diversity of habitats on earth, including
many extreme environments. Fish biodiversity, the use of fish models for human
medicine and ecological studies, and the importance of fish in human nutrition,
fuel an important need for the characterization of gene expression repertoires
and corresponding evolutionary histories of ray-finned fish genes. To this aim,
we performed transcriptome analyses and developed the PhyloFish database to
provide (i) de novo assembled gene repertoires in 23 different ray-finned fish
species including two holosteans (i.e. a group that diverged from teleosts before
TGD) and 21 teleosts (including six salmonids), and (ii) gene expression levels
in ten different tissues and organs (and embryos for many) in the same species.
This resource was generated using a common deep RNA sequencing protocol to obtain
the most exhaustive gene repertoire possible in each species that allows between
species comparisons to study the evolution of gene expression in different
lineages. The PhyloFish database described here can be accessed and searched
using RNAbrowse, a simple and efficient solution to give access to RNA-seq de
novo assembled transcripts.
PMID- 27189483
TI - Debriefing practices in interprofessional simulation with students: a
sociomaterial perspective.
AB - BACKGROUND: The debriefing phase is an important feature of simulation activities
for learning. This study applies a sociomaterial perspective on debriefing in
interprofessional simulation with medical and nursing students. Sociomaterial
perspectives are increasingly being used in order to understand professional
practice and learning in new ways, conceptualising professional practice as being
embodied, relational and situated in sociomaterial relations. The aim of the
study is to explore how debriefing is carried out as a practice supporting
students' interprofessional learning. METHODS: Eighteen debriefing sessions
following interprofessional full-scale manikin-based simulation with nursing and
medical students from two different universities were video-recorded and analysed
collaboratively by a team of researchers, applying a structured scheme for
constant comparative analysis. RESULTS: The findings show how debriefing is
intertwined with, and shaped by social and material relationships. Two patterns
of enacting debriefing emerged. Debriefing as algorithm was enacted as a protocol
based, closed inquiry approach. Debriefing as laissez-faire was enacted as a
loosely structured collegial conversation with an open inquiry approach.
CONCLUSION: The findings indicate that neither an imposed structure of the
debriefing, nor the lack of structure assured interprofessional collaboration to
emerge as a salient topic for reflection, even though that was an explicit
learning objective for the simulation.
PMID- 27189482
TI - Impaired longitudinal deformation measured by speckle-tracking echocardiography
in children with end-stage renal disease.
AB - BACKGROUND: Left ventricular dysfunction is an important co-morbidity of end
stage renal disease (ESRD) and is associated with a poor prognosis in the adult
population. In pediatric ESRD, left ventricular function is generally well
preserved, but limited information is available on early changes in myocardial
function. The aim of this study was to investigate myocardial mechanics in
pediatric patients with ESRD using speckle-tracking echocardiography (STE).
METHODS: Echocardiographic studies, including M-mode, tissue Doppler imaging
(TDI) and STE, were performed in 19 children on dialysis, 17 transplant patients
and 33 age-matched controls. Strain measurements were performed from the apical
four-chamber and the short axis view, respectively. RESULTS: The interventricular
and left ventricular posterior wall thickness was significantly increased in
dialysis and transplant patients compared to healthy controls. No significant
differences were found in shortening fraction, ejection fraction and systolic
tissue Doppler velocities. Dialysis and transplant patients had a decreased mean
longitudinal strain compared to healthy controls, with a mean difference of 3.1
[95 % confidence interval (CI) 2.0-4.4] and 2.7 (95 % CI 1.2-4.2), respectively.
No differences were found for radial and circumferential strain. CONCLUSIONS:
Speckle-tracking echocardiography may reveal early myocardial dysfunction in the
absence of systolic dysfunction measured by conventional ultrasound or TDI in
children with ESRD.
PMID- 27189484
TI - Aromatic Surfactant as Aggregating Agent for Aptamer-Gold Nanoparticle-Based
Detection of Plasmodium Lactate Dehydrogenase.
AB - A novel ssDNA aptamer (P38), with a 40 mer random region flanked by primer
binding sites on both sides, targeting Plasmodium falciparum lactate
dehydrogenase (PfLDH) has been developed through systematic evolution of ligands
by exponential enrichment (SELEX), including counter SELEX against human lactate
dehydrogenase A and B (hLDH A and B). The 2D structure of P38 shows the presence
of three stem loops with a deltaG of -9.18 kcal/mol. EMSA studies on P38
complexes with the increasing concentration of PfLDH revealed a dissociation
constant of 0.35 uM. P38 has been exploited for the quantitative detection of
PfLDH using cationic surfactant-mediated aggregation of gold nanoparticles (16-nm
diameter) as an optical probe. Among the three different cationic surfactants,
characterized by different hydrocarbon tail groups, benzalkonium chloride (BCK)
was found to be most efficient with a limit of detection of 281 +/- 11 pM. This
BCK-based approach with the novel highly selective aptamer provides simple and
sensitive detection of PfLDH in the clinically relevant range.
PMID- 27189486
TI - Smoke-Free Multi-unit Housing Policies Show Promise in Reducing Secondhand Smoke
Exposure Among Racially and Ethnically Diverse, Low-Income Seniors.
AB - Secondhand smoke (SHS) exposure is a public health issue for residents of multi
unit housing (MUH) properties. We evaluated the impact of smoke-free policy
implementation on reported SHS exposure among racially, ethnically diverse
seniors living in low-income MUH properties. In Spring 2013 and Summer 2014, we
surveyed residents (n = 960) at 15 MUH properties in Broward and Miami-Dade
Counties, Florida. The percentage of residents reporting SHS exposure within
their apartments from elsewhere in or around their building decreased from 31.1
%, before policy implementation, to 23.6 % at follow-up (p = 0.02). In
multivariate analysis of non-smoking residents after policy implementation,
residents who reported having one or more comorbidities were two times more
likely to report SHS exposure (aOR 2.23, 95 % CI 1.12-4.40). Considering the
vulnerability of low-income seniors to SHS exposure, our findings are relevant to
residents, property owners/managers, and public health professionals making
decisions about smoke-free policies for MUH properties in which seniors reside.
PMID- 27189485
TI - Long-term clinical and echocardiographic outcomes of extensive septal myectomy
for hypertrophic obstructive cardiomyopathy in Chinese patients.
AB - BACKGROUND: There has been limited data addressing outcomes of extensive septal
myectomy in Chinese patients with hypertrophic obstructive cardiomyopathy (HOCM).
In this study, the objective was to evaluate the clinical and echocardiographic
outcomes of extensive septal myectomy in a relative large number of Chinese HOCM
patients over long-term follow-up. METHODS: We retrospectively studied 139
consecutive HOCM patients (age 43 +/- 15 years, 37 % male) who underwent
extensive left ventricular septal myectomy. During the perioperative period, all
patients were examined by echocardiography. All-cause death and cardiac death
were considered as primary endpoints during follow-up. Perioperative data was
obtained by retrospective review of institutional surgical databases. Follow-up
data of echocardiography and clinical status was recorded through outpatient
interview. RESULTS: Perioperative events consisted of arrhythmia, retraction
injury to aortic valve leaflets, pleural effusion, and hemodialysis and the use
of intra-aortic balloon pump. There was no in-hospital mortality. The follow-up
period averaged 5.6 +/- 0.9 years and overall survivals were 100.0, 99.3, 99.3,
98.5 and 97.8 % at 1, 2, 3, 4 and 5 years, respectively. Left ventricular outflow
tract (LVOT) gradient decreased form preoperative 84 +/- 17 mmHg to 12 +/- 3 mmHg
at 2.5 years after surgery and it further reduced to 6 +/- 3 mmHg at 5 years
after surgery (P < 0.05). Compared with the preoperative levels, interventricualr
septal thickness decreased by 32 % while diastole left ventricular inner diameter
approximately increased by 10 % and ejection fraction (EF) was significantly
elevated during follow-up (P < 0.05). By echocardiography detection, mitral
regurgitation was ameliorated for HOCM patients after surgery. There was
significant improvement in New York Heart Association (NYHA) class. The
proportion of NYHA III and IV decreased from preoperative 58 to 19 % at 2.5 years
after surgery and it reduced to 11 % at 5 years after operation. CONCLUSION:
Extensive septal myectomy offers minimal operative risk and provides long-term
relief for LVOT obstruction in Chinese HOCM patients.
PMID- 27189487
TI - Erratum to: Appearances of screen-detected versus symptomatic colorectal cancers
at CT colonography.
PMID- 27189488
TI - Magnetic resonance angiography of fetal vasculature at 3.0 T.
AB - : Magnetic resonance angiography has not been used much previously for
visualizing fetal vessels in utero for reasons that include a contraindication
for the use of exogenous contrast agents, maternal respiratory motion and fetal
motion. In this work, we report the feasibility of using an appropriately
modified clinical time-of-flight magnetic resonance imaging sequence for non
contrast angiography of human fetal and placental vessels at 3.0 T. Using this 2D
angiography technique, it is possible to visualize fetal vascular networks in
late pregnancy. KEY POINTS: * 3D-visualization of fetal vasculature is feasible
using non-contrast MRA at 3.0 T. * Visualization of placental vasculature is also
possible with this method. * Fetal MRA can serve as a vascular localizer for
quantitative MRI studies. * This method can be extended to 1.5 T.
PMID- 27189489
TI - Purification and characterization of two-domain glutaredoxin in the parasitic
helminth Fasciola gigantica.
AB - Glutaredoxins (Grxs) are small thiol-dependent proteins and key elements of redox
signaling as they regulate the redox state of important cellular proteins. In the
present study, the complete sequence of a glutaredoxin protein, obtained from the
liver fluke Fasciola gigantica, was PCR-amplified and cloned. The 690-bp open
reading frame (ORF) encodes a 230-amino acid protein with two conserved domains
(FgGrxD1 and FgGrxD2) and has similarities with two monothiol Grxs of
Saccharomyces cerevisiae, i.e., ScGrx3 and ScGrx4. The full-length FgGrx along
with its two constituent domains were overexpressed in Escherichia coli as
hexahistidyl-tagged proteins. The affinity chromatography resulted in almost pure
and soluble proteins. The full-length FgGrx and the FgGrxD2 showed reddish-brown
color, indicating the presence of bound iron in the second domain. In the insulin
based reduction assay, both FgGrx and FgGrxD2 containing the active site motif
CGFS exhibited a weak reducing activity, whereas FgGrxD1 was inactive.
Additionally, FgGrx did not show any GSH-disulfide transhydrogenase activity when
2-hydroxyethyl disulfide (HED) or de-hydroascorbate (DHA) were taken as
substrates. These results indicated the probable role of FgGrx in cellular iron
sulfur homeostasis. FgGrx was found to be reversibly S-glutathionylated,
suggesting a potential redox regulation that is likely to take place at the
active site Cys158. Since there is only one Cys in FgGrxD2, the Cys158 might be
involved in FeS binding. This study is the first report on the presence of Grx in
platyhelminthic parasites and provides a starting point for further
characterization of the redox network in liver flukes.
PMID- 27189490
TI - In vivo Real-Time Mass Spectrometry for Guided Surgery Application.
AB - Here we describe a new instrument (SpiderMass) designed for in vivo and real-time
analysis. In this instrument ion production is performed remotely from the MS
instrument and the generated ions are transported in real-time to the MS
analyzer. Ion production is promoted by Resonant Infrared Laser Ablation (RIR-LA)
based on the highly effective excitation of O-H bonds in water molecules
naturally present in most biological samples. The retrieved molecular patterns
are specific to the cell phenotypes and benign versus cancer regions of patient
biopsies can be easily differentiated. We also demonstrate by analysis of human
skin that SpiderMass can be used under in vivo conditions with minimal damage and
pain. Furthermore SpiderMass can also be used for real-time drug metabolism and
pharmacokinetic (DMPK) analysis or food safety topics. SpiderMass is thus the
first MS based system designed for in vivo real-time analysis under minimally
invasive conditions.
PMID- 27189491
TI - Depression and key associated factors in female sex workers and women living with
HIV/AIDS in the Dominican Republic.
AB - Little is known about the mental health of female sex workers and women living
with HIV/AIDS in the Dominican Republic, which impedes HIV prevention, testing,
and treatment. This project estimates the prevalence of depression and identifies
key contributing factors to this outcome in female sex workers, women living with
HIV/AIDS, and a comparison group. Participants were female sex workers (N = 349),
women living with HIV/AIDS (N = 213), and a comparison group of HIV-negative
women who were not sex workers (N = 314) from the Dominican Republic.
Participants completed questionnaires assessing demographic characteristics and
depression. Female sex workers and women living with HIV/AIDS completed
additional questionnaires ascertaining HIV or sex work-related internalized
stigma. Depression was prevalent among female sex workers (70.2%), women living
with HIV/AIDS (81.1%), and the comparison group (52.2%). Adjusted logistic
regressions showed that internalized stigma was associated with depression for
female sex workers (OR = 2.73; 95% CI = 1.95-3.84) and women living with HIV/AIDS
(OR = 3.06; 95% CI = 1.86-5.05). Permanent income was associated with this
outcome for female sex workers (OR = 0.08; 95% CI = 0.01-0.80) and the comparison
group (OR = 0.04; 95% CI = 0.00-0.45).
PMID- 27189493
TI - E-cigarettes-beware of the rocket in your pocket.
PMID- 27189492
TI - Growth arrest specific gene 7 is associated with schizophrenia and regulates
neuronal migration and morphogenesis.
AB - BACKGROUND: Schizophrenia is a highly heritable chronic mental disorder with
significant abnormalities in brain function. The neurodevelopmental hypothesis
proposes that schizophrenia originates in the prenatal period due to impairments
in neuronal developmental processes such as migration and arborization, leading
to abnormal brain maturation. Previous studies have identified multiple promising
candidate genes that drive functions in neurodevelopment and are associated with
schizophrenia. However, the molecular mechanisms of how they exert effects on the
pathophysiology of schizophrenia remain largely unknown. RESULTS: In our
research, we identified growth arrest specific gene 7 (GAS7) as a schizophrenia
risk gene in two independent Han Chinese populations using a two-stage
association study. Functional experiments were done to further explore the
underlying mechanisms of the role of Gas7 in cortical development. In vitro, we
discovered that Gas7 contributed to neurite outgrowth through the F-BAR domain.
In vivo, overexpression of Gas7 arrested neuronal migration by increasing leading
process branching, while suppression of Gas7 could inhibit neuronal migration by
lengthening leading processes. Through a series of behavioral tests, we also
found that Gas7-deficient mice showed sensorimotor gating deficits. CONCLUSIONS:
Our results demonstrate GAS7 as a susceptibility gene for schizophrenia. Gas7
might participate in the pathogenesis of schizophrenia by regulating neurite
outgrowth and neuronal migration through its C-terminal F-BAR domain. The
impaired pre-pulse inhibition (PPI) of Gas7-deficient mice might mirror the
disease-related behavior in schizophrenia.
PMID- 27189494
TI - Sonidegib for the treatment of advanced basal cell carcinoma: a comprehensive
review of sonidegib and the BOLT trial with 12-month update.
AB - The Hedgehog inhibitors are promising alternative for patients with advanced
basal cell carcinoma that are not amenable to radiotherapy or surgery. Sonidegib,
also known as LDE225, is an orally available SMO antagonist that was recently
approved by the US FDA for the treatment of patients with locally advanced basal
cell carcinoma. This article will provide an overview of the pharmacology and
pharmacokinetics of sonidegib and in-depth analysis of the BOLT trial with
additional data from the 12-month update. The present challenges associated with
Hedgehog inhibitors will also be discussed.
PMID- 27189495
TI - Biological approach of dental caries management.
AB - Dental caries is a disease induced by dental plaque, which can be described as a
community of microorganisms (biofilm). Because of genetic and environmental
factors a number of changes in the oral microbiome takes place; in case of
commensalism and mutualism between biofilm microorganisms and the host,
homeostasis in oral microbiome is maintained. However, when a risk factor occurs
parasitic relationship starts prevailing and activity of the pathogenic
cariogenic microorganisms increases leading to a dental caries. According to the
newest technologies of molecular microbiology new cariogenic microorganisms
species have been determined. Each individual's oral microbiome is as unique as
his/her immune system; therefore, commonly taken caries prevention measures
cannot be of the same effectiveness for all individuals. Each person has his own
caries risk which is determined by the oral microbiome and immune system
influenced by the environmental and genetic factors. Early caries diagnostic,
risk assessment and individualized caries prevention plan will allow us to
control the disease and achieve a desirable effect. For the dentist the most
important thing is not to treat the consequences of the disease - cavities - but
be aware of the dental caries as a biological phenomenon.
PMID- 27189496
TI - Temporomandibular joint arthrocentesis for the treatment of osteoarthritis.
AB - The aim of the study was to estimate the effect of arthrocentesis in the
treatment of osteoarthritis of the temporomandibular joint (TMJ), evaluate and
compare cytological and biochemical findings in the synovial fluid (SF) as well
in venous blood samples and to determine the effectiveness of arthrocentesis with
regard to TMJ pain intensity and mandibular movement. PATIENTS AND METHODS:
Twenty three consecutive patients with a diagnosis of TMJ osteoarthritis (Wilkes'
stages III, IV) after noneffective conservative treatment were treated with
arthrocentesis using push and pull technique (Alstergren et al. 1995).
Preoperative radiographs and the scores pre- and posttreatment (after 6 months),
maximal interincisal opening (MIO) and visual analogue scale (VAS) for pain
estimation were performed. Blocking the auriculotemporal nerve with a 2 mL of 2%
lidocaine solution, the needle was inserted into the upper joint compartment and
connected with the three-way stopcock for infusion therapy (Discofix(r) Braun)
and 2-3 mL of saline solution was pushed slowly to the upper compartment and then
aspirated back. The first SF aspirate was allocated for the following analysis:
SF viscosity, presence of crystals, SF rheumatoid factor (RF) compared to blood
plasma RF. The washing was repeated 3-4 times until the aspirate was clear.
RESULTS: After 6 months MIO improved significantly (p<0.05) and pain according to
VAS had substantially decreased (p<0.01). Viscosity of the aspirate was 0.78
(medium), crystals were found in 5 patients (21.7%). There was not statistical
significant difference between SF RF and plasma RF values (p>0.05).The
effectiveness of arthrocentesis may be explained by the joint space expansion
achieved with the introduction fluid, washing out inflammatory mediators, the
particles of adhesions, fibrillations, crystals etc. CONCLUSIONS: Arthrocentesis
with this technique for the treatment of TMJ osteoarthritis offer favourable
results with regard to increasing MIO, reducing pain and dysfunction. The
presence of crystals or chondromatosis granules in the synovial fluid and
increased viscosity of the synovial fluid indicates a pathological condition of
an inflammatory nature.
PMID- 27189497
TI - Connection of functional quality of partial removable dentures and the degree of
patients' phonetic adaptation.
AB - INTRODUCTION: Phonetic adaptation is a complex biological phenomenon with a
highly individual course, depending on the patient's motivation to use
prosthesis, on the functional quality of removable dentures. The aim of the study
was to estimate phonetic adaptation in patients with partial dentures, connecting
it to alteration in speech quality and dentures functional value. MATERIALS AND
METHODS: We examined some peculiarities of phonetic adaptation in 50 patients
with removable dentures (50 patients with natural dentition were invited for the
control group). The standardized evaluation protocols (12 speech quality
determining parameters) were developed separately for Latvian and Russian native
speakers. 500 speech video samples were recorded and analysed according to pre
established guidelines. The connection of speech quality and the functional
quality of the dentures was assessed. Statistical analysis was performed using
SPSS 20.0. P values equal to or less than 0.05 were considered to be
statistically significant. RESULTS: In patients with appropriate functional
quality of removable dentures distorted speech production was detected in 25%
(pk=0.008) cases and in patients with inappropriate functional quality of the
prosthesis - in 40% (pk<0.001). Patients with appropriate dentures functional
value were satisfied with their speech performance in 96% (pk=0.674), in the
group with inappropriate dentures functional value only 59% (p<0.001) rated their
speech quality positively. CONCLUSION: Phonetic adaptation to removable dentures
depends on the patient's individual adaptation capacity, prosthetic design and
functional value. Thus statistically significant correlation between removable
partial dentures functional value, duration of usage and the degree of patients'
phonetic adaptation (p<0.001) may be considered to be confirmed.
PMID- 27189498
TI - Upper airway obstuction in class II patients. Effects of Andresen activator on
the anatomy of pharingeal airway passage. Cone beam evalution.
AB - AIM: The aim of this study is to assess the response and changes on pharyngeal
airway passage (PAP) to class II Andresen appliance in class II growing patients
with obstructive sleep apnea syndrome (OSAS). METHODS: The sample consisted of
forty patients with a class II malocclusion in the age range of 9 to 14 years
with mandibular retrusion and OSAS and ten control group subjects. A CBCT was
taken before treatment (T0) and a second one after a follow-up period of
approximately 16 months (T1). The dimensions of PAP were determined according to
the method described by Jena et al. with Mimics program. The following parameters
were considered: DOP, DPH, MP-H, PAS, PNS-U, SNA, SNB, ANB. The statistical
analysis was carried out with t test. RESULTS: The change in ANB, SNB, MP-H, PNS
U, PAS was significantly more in the patients undergoing treatment as compared to
the control group. The improvement of DOP and DPH among the treatment group
subjects was significantly more compared to the control group subjects.
CONCLUSIONS: Class II correction by functional appliances during childhood might
help to eliminate the adaptive changes in the upper airway and predisposing
factors to OSAS, thus decreasing the risk of OSAS development in adulthood.
PMID- 27189499
TI - A rare variant of calcifying odontogenic cyst with ameloblastoma presentation.
AB - Calcifying odontogenic cyst is a rare entity which was first described by Gorlin,
and also accounts for 1% of the jaw cysts according to Shear. Due to its diverse
histopathology and variable clinical features, there has been a doubt regarding
its nature as a cyst or a neoplasm. In this report we present a case of
calcifying odontogenic cyst with mural ameloblastomatous presentation in the left
body of the mandible in a 19-year-old male patient. This is the 15th case of
ameloblastomatous COC being documented in literature.
PMID- 27189500
TI - An unusual complication of genioglossus advancement surgery.
AB - OBJECTIVE: Genioglossus advancement is a surgical procedure where the base of the
tongue is pulled forward, usually to increase airway size due to deformity or a
sleep breathing disorder. In this procedure, a small bony window is made in the
lower jaw. The piece of bone along with the attachment for the tongue is pulled
forward and down, then fastened to the outside of the lower jaw. Here we report a
43 year old male patient which has admitted to our department with the complaints
of the mild pain and swelling on the chin area. MATERIAL AND METHODS: The patient
underwent a genioglossus advancement surgery 3 months ago. An ortopantomograph
revealed a root fracture of the lower left canine. Under mandibular nerve block,
the lower left canine was extracted and the root piece was removed via an
endodontic K-file. RESULTS: The healing period was uneventfull and no signs or
symptoms of a pathology was detected. CONCLUSION: Dental complications related to
genioglossus advancement are rarely reported. However, the surgeons should be
aware of damage the roots of the adjacent teeth, especially the lower canines.
PMID- 27189501
TI - Videoconferencing and telementoring about dementia care: evaluation of a pilot
model for sharing scarce old age psychiatry resources.
AB - BACKGROUND: While videoconferencing, telementoring, and peer support have been
shown to enhance services in some instances, there has been no research
investigating the use of these technologies in supporting professionals managing
clients with dementia. The objective of this research was to evaluate expansion
of an old age psychiatry consultation service and pilot test a model to improve
medical supervision and clinical governance for staff within regional and remote
areas using remote information technology. METHODS: The design was a mixed
methods (qualitative and quantitative) evaluation using before, mid-point and
post-implementation semi-structured interviews and questionnaires to examine
orientation, acceptance, and impact underpinned by theoretical approaches to
evaluation. Education evaluations used a Likert style response template.
Participants were 18 dementia service staff, including staff from linked services
and old age psychiatrists. Qualitative interviews addressed the pilot
implementation including: expectations, experiences, strategies for improving the
pilot, and perceived impact on work practice and professional development
opportunities. RESULTS: There was high satisfaction with the program. The case
conference process contributed to perceived improved outcomes for clients,
family, and staff. Clinicians perceived improvement in family carer and staff
carer stress and their confidence in managing clients with behavioral and
psychological symptoms of dementia (BPSD). Thematic analysis indicated that the
pilot enhanced professional development, decreased travel time, and improved team
cohesion. CONCLUSIONS: Given the increasing aging population in regional, rural,
and remote areas, initiatives using videoconferencing and telementoring will help
to develop a confident and skilled workforce. This pilot program was found to be
acceptable and feasible. Potential benefits for clients and family carers should
be examined in future resesarch.
PMID- 27189503
TI - Cloning and expression of long neuropeptide F and the role of FMRFamide-like
peptides in regulating egg production in the Chagas vector, Rhodnius prolixus.
AB - Long neuropeptide F (NPF) is a neuropeptide implicated in the control of feeding,
digestion and reproduction in various insect species. Here we have isolated the
cDNA sequence encoding NPF in Rhodnius prolixus (RhoprNPF). The RhoprNPF gene is
composed of 3 exons and 2 introns, one of which is present in the peptide coding
region. RhoprNPF is 42 amino acids long and has the characteristic RFamide C
terminus, which is common of FMRFamide-like peptides (FLPs). Quantitative PCR
(qPCR) shows that RhoprNPF mRNA is present in higher amounts in fifth instars
than in adults, implying that it may play a role in growth and development. In
situ hybridization shows that the RhoprNPF transcript is present in median
neurosecretory cells (MNSCs) in the brain, cells in the fifth instar hindgut and
cells along the longitudinal muscle fibers of the adult female lateral oviducts.
Injection of the last 8 amino acids of RhoprNPF (truncated RhoprNPF, AVAGRPRFa),
which is considered to be the active core sequence for biological activity, into
mated, fed, female adult R. prolixus decreased the number of eggs found in the
ovaries as well as increased the number of eggs laid. This suggests that RhoprNPF
may play a role in accelerating the process of ovulation from the ovary of the
female R. prolixus. An increase in oogenesis was observed following the injection
of other FLPs such as RhoprShortNPF, GNDNFMRFamide and AKDNFIRFamide, whereas the
FLP, RhoprMS, and the allatostatin, RhoprAST-2, inhibited egg production.
PMID- 27189502
TI - The influence of deficient retro-aortic rim on technical success and early
adverse events following device closure of secundum atrial septal defects: An
Analysis of the IMPACT Registry(r).
AB - BACKGROUND: Concern regarding aortic erosion has focused attention on the retro
aortic rim in patients undergoing device closure of atrial septal defects (ASD),
but its effect on early outcomes is not well studied. METHODS: A multicenter
retrospective cohort study of patients undergoing device occlusion of ASD between
1/2011-10/2014 was performed, using data from the IMproving Pediatric and Adult
Congenital Treatment Registry. Subjects were divided between those with retro
aortic rim <5 and >=5 mm. Primary outcomes were technical failure and major early
adverse events. Case times were measured as surrogates of technical complexity.
The effect of deficient retro-aortic rim on primary outcomes was assessed using
hierarchical logistic regression, adjusting for other suspected covariates and
assessing whether they represent independent risk factors RESULTS: 1,564 subjects
(from 77 centers) were included, with deficient retro-aortic rim present in 40%.
Technical failure occurred in 91 subjects (5.8%) and a major early adverse event
in 64 subjects (4.1%). Adjusting for known covariates, the presence of a
deficient retro-aortic rim was not significantly associated with technical
failure (OR: 1.3, 95% CI: 0.9-2.1) or major early adverse event (OR: 0.7, 95% CI:
0.4-1. 2). Total case (P = 0.01) and fluoroscopy time (P = 0.02) were greater in
subjects with deficient rim, but sheath time was not significantly different (P =
0.07). Additional covariates independently associated with these outcomes were
identified. CONCLUSION: Deficient retro-aortic rim was highly prevalent but not
associated with increased risk of technical failure or early adverse events.
Studies with longer follow-up are necessary to assess other outcomes, including
device erosion. (c) 2016 Wiley Periodicals, Inc.
PMID- 27189504
TI - Universal quantum correlation close to quantum critical phenomena.
AB - We study the ground state quantum correlation of Ising model in a transverse
field (ITF) by implementing the quantum renormalization group (QRG) theory. It is
shown that various quantum correlation measures and the Clauser-Horne-Shimony
Holt inequality will highlight the critical point related with quantum phase
transitions, and demonstrate nonanalytic phenomena and scaling behavior when the
size of the systems becomes large. Our results also indicate a universal behavior
of the critical exponent of ITF under QRG theory that the critical exponent of
different measures is identical, even when the quantities vary from entanglement
measures to quantum correlation measures. This means that the two kinds of
quantum correlation criterion including the entanglement-separability paradigm
and the information-theoretic paradigm have some connections between them. These
remarkable behaviors may have important implications on condensed matter physics
because the critical exponent directly associates with the correlation length
exponent.
PMID- 27189505
TI - In situ optical measurement of the rapid Li intercalation and deintercalation
dynamics in colloidal 2D layered TiS2 nanodiscs.
AB - We report the in situ optical measurements of the rapid Li intercalation and
deintercalation dynamics in 2-dimensional (2D) layered transition metal
dichalcogenide (TMD) with a nanoscale lateral dimension using thin films
fabricated with size-controlled colloidal TiS2 nanodiscs. The films exhibiting
high optical homogeneity, where the interband absorption changes near-linearly to
the amount of intercalated Li, enabled facile optical probing of the
intercalation dynamics overcoming the shortcomings of amperometry susceptible to
complications from non-Faradaic processes. The time scale of Li intercalation and
deintercalation was on the order of seconds in the nanodiscs of ~100 nm lateral
dimension, indicating sufficiently rapid dynamic control of the intercalation
induced material properties with a reduced lateral dimension. The change in the
rate and reversibility of the dynamics during the multiple
intercalation/deintercalation cycles was also measured, providing a unique window
to observe the effect of potential structural changes on the intercalation and
deintercalation dynamics in 2D layered TMD structures with a nanoscale lateral
dimension.
PMID- 27189507
TI - A competitive luminol chemiluminescence immunosensor based on a microfluidic chip
for the determination of ractopamine.
AB - Herein, a competitive luminol chemiluminescence immunosensor based on a
microfluidic chip was developed to detect ractopamine (RCT) both in phosphate
buffer and swine urine samples. The immunosensor can provide a liner range of 0.5
40 ng/mL and a high sensitivity with a limit of detection of 0.97 ng/mL for RCT
detection in swine urine. Good rates of recovery in negative swine urine samples
were achieved over the RCT concentration ranging from 0.5 to 40 ng/mL. The
proposed method offered a promising analytical scheme for the on-site
determination of RCT.
PMID- 27189508
TI - Changes in bird-migration patterns associated with human-induced mortality.
AB - Many bird populations have recently changed their migratory behavior in response
to alterations of the environment. We collected data over 16 years on male Great
Bustards (Otis tarda), a species showing a partial migratory pattern (sedentary
and migratory birds coexisting in the same breeding groups). We conducted
population counts and radio tracked 180 individuals to examine differences in
survival rates between migratory and sedentary individuals and evaluate possible
effects of these differences on the migratory pattern of the population. Overall,
65% of individuals migrated and 35% did not. The average distance between
breeding and postbreeding areas of migrant individuals was 89.9 km, and the
longest average movement of sedentary males was 3.8 km. Breeding group and
migration distance had no effect on survival. However, mortality of migrants was
2.4 to 3.5 times higher than mortality of sedentary birds. For marked males,
collision with power lines was the main cause of death from unnatural causes
(37.6% of all deaths), and migratory birds died in collisions with power lines
more frequently than sedentary birds (21.3% vs 6.3%). The percentage of sedentary
individuals increased from 17% in 1997 to 45% in 2012. These results were
consistent with data collected from radio-tracked individuals: The proportion of
migratory individuals decreased from 86% in 1997-1999 to 44% in 2006-2010. The
observed decrease in the migratory tendency was not related to climatic changes
(temperatures did not change over the study period) or improvements in habitat
quality (dry cereal farmland area decreased in the main study area). Our findings
suggest that human-induced mortality during migration may be an important factor
shaping the migration patterns of species inhabiting humanized landscapes.
PMID- 27189509
TI - Subjective evaluation of a peer support program by women with breast cancer: A
qualitative study.
AB - AIM: The aim of this study was to determine the subjective evaluation of a breast
cancer peer support program based on a survey of the participants who completed
the program. METHODS: Semistructured interviews were held with 10 women with
breast cancer. The responses were subject to a qualitative inductive analysis.
RESULTS: Women with breast cancer who participated in the breast cancer peer
support program evaluated the features of the program and cited benefits, such as
"Receiving individual peer support tailored to your needs," "Easily consulted
trained peer supporters," and "Excellent coordination." Also indicated were
benefits of the peer support that was received, such as "Receiving peer-specific
emotional support," "Obtaining specific experimental information," "Re-examining
yourself," and "Making preparations to move forward." The women also spoke of
disadvantages, such as "Strict management of personal information" and "Matching
limitations." CONCLUSIONS: In this study, the subjective evaluation of a peer
support program by women with breast cancer was clarified . The women with breast
cancer felt that the program had many benefits and some disadvantages. These
results suggest that there is potential for peer support-based patient-support
programs in medical services that are complementary to the current support that
is provided by professionals.
PMID- 27189510
TI - Comparison of open reduction versus minimally invasive surgical approaches on
screw position in canine sacroiliac lag-screw fixation.
AB - OBJECTIVE: To compare accuracy and consistency of sacral screw placement in
canine pelves treated for sacroiliac luxation with open reduction and internal
fixation (ORIF) or minimally invasive osteosynthesis (MIO) techniques. METHODS:
Unilateral sacroiliac luxations created experimentally in canine cadavers were
stabilized with an iliosacral lag screw applied via ORIF or MIO techniques (n =
10/group). Dorsoventral and craniocaudal screw angles were measured using
computed tomography multiplanar reconstructions in transverse and dorsal planes,
respectively. Ratios between pilot hole length and sacral width (PL/SW-R) were
obtained. Data between groups were compared statistically (p <0.05). RESULTS:
Mean screw angles (+/-SD) were greater in ORIF specimens in both transverse (p
<0.001) and dorsal planes (p <0.004). Mean PL/SW-R was smaller (p <0.001) in the
ORIF group, yet was greater than 60%. While pilot holes exited the first sacral
end-plate in three of 10 ORIF specimens, the spinal canal was not violated in
either group. CONCLUSIONS: This study demonstrates that MIO fixation of canine
sacroiliac luxations provides more accurate and consistent sacral screw placement
than ORIF. With proper techniques, iatrogenic neurological damage can be avoided
with both techniques. The PL /SW-R, which relates to safe screw fixation, also
demonstrates that screw penetration of at least 60% of the sacral width is
achievable regardless of surgical approach. These findings, along with the
limited dissection needed for accurate sacral screw placement, suggest that MIO
of sacroiliac luxations is a valid alternative to ORIF.
PMID- 27189512
TI - Photoinduced DNA Interstrand Cross-Link Formation by Naphthalene Boronates via a
Carbocation.
AB - Most photoinduced DNA cross-link formation by a bifunctional aryl derivative is
through a bisquinone methide. DNA cross-linking via a bisarylcarbocation remains
a less explored area. We designed and synthesized a series of naphthalene
boronates that produce DNA interstrand cross-links via a carbocation upon UV
irradiation. A free radical was generated from the naphthalene boronates with 350
nm irradiation and further converted to a carbocation by electron transfer. The
activation mechanism was determined using the orthogonal traps, 2,2,6,6
tetramethylpiperidin-1-oxyl (TEMPO) and methoxyamine that react with either the
free radical or the carbocation but not both. This represents a novel example of
photoinduced DNA cross-link formation via carbocations generated from a bisaryl
derivative. This work provides information useful for the design of novel
photoactivated DNA cross-linking agents.
PMID- 27189511
TI - Silence of bFGF enhances chemosensitivity of glioma cells to temozolomide through
the MAPK signal pathway.
AB - Basic fibroblast growth factor (bFGF) is a multifunctional growth factor in
glioma cells and has been proved to be associated with the grade malignancy of
glioma and prognosis of patients. Although there is evidence showing that bFGF
plays an important role in proliferation, differentiation, angiogenesis, and
survival of glioma cells, the effect of bFGF on chemosensitivity of glioma has
not been verified. In this study, we analyzed the relationship between bFGF and
chemotherapy resistance, with the objective of offering new strategy for
chemotherapy of glioma patients. Here, siRNA was used to silence the expression
of bFGF in glioma cell lines including U87 and U251 followed by chemotherapy of
temozolomide (TMZ). Then, the characters of glioma including proliferation,
apoptosis, migration, and cell cycle were studied in U87 and U251 cell lines. Our
results demonstrated that silencing bFGF enhanced the effect of TMZ by inhibiting
proliferation and migration, blocking cell cycle in G0/G1, and promoting
apoptosis. In addition, the phosphorylation level of MAPK was measured to explore
the mechanism of chemosensitization. The results showed that bFGF could promote
the activation of the MAPK signal pathway. Our data indicated that bFGF might be
a potential target for chemotherapy through the MAPK signal pathway.
PMID- 27189513
TI - Increased consultation frequency in primary care, a risk marker for cancer: a
case-control study.
AB - OBJECTIVE: To identify early diagnostic profiles such as diagnostic codes and
consultation patterns of cancer patients in primary care one year prior to cancer
diagnosis. DESIGN: Total population-based case-control study. SETTING AND
SUBJECTS: 4562 cancer patients and 17,979 controls matched by age, sex, and
primary care unit. Data were collected from the Swedish Cancer Register and the
Regional Healthcare Database. METHOD: We identified cancer patients in the Vastra
Gotaland Region of Sweden diagnosed in 2011 with prostate, breast, colorectal,
lung, gynaecological, and skin cancers including malignant melanoma. We studied
the symptoms and diagnoses identified by diagnostic codes during a diagnostic
interval of 12 months before the cancer diagnosis. MAIN OUTCOME MEASURES:
Consultation frequency, symptom density by cancer type, prevalence and odds
ratios (OR) for the diagnostic codes in the cancer population as a whole.
RESULTS: The diagnostic codes with the highest OR were unspecified lump in
breast, neoplasm of uncertain behaviour, and abnormal serum enzyme levels. The
codes with the highest prevalence were hyperplasia of prostate, other skin
changes and abdominal and pelvic pain. The frequency of diagnostic codes and
consultations in primary care rose in tandem 50 days before diagnosis for breast
and gynaecological cancer, 60 days for malignant melanoma and skin cancer, 80
days for prostate cancer and 100 days for colorectal and lung cancer. CONCLUSION:
Eighty-seven percent of patients with the most common cancers consulted a general
practitioner (GP) a year before their diagnosis. An increase in consultation
frequency and presentation of any symptom should raise the GP's suspicion of
cancer. Key points Knowledge about the prevalence of early symptoms and other
clinical signs in cancer patients in primary care remains insufficient. * Eighty
seven percent of the patients with the seven most common cancers consulted a
general practitioner 12 months prior to cancer diagnosis. * Both the frequency of
consultation and the number of symptoms and diseases expressed in diagnostic
codes rose in tandem 50-100 days before the cancer diagnosis. * Unless it is
caused by a previously known disease, an increased consultation rate for any
symptom should result in a swift investigation or referral from primary care to
confirm or exclude cancer.
PMID- 27189514
TI - Repression of callus initiation by the miRNA-directed interaction of auxin
cytokinin in Arabidopsis thaliana.
AB - In tissue culture systems plant cells can be induced to regenerate to whole
plants. A particularly striking example of cellular reprogramming is seen in this
regeneration process, which typically begins with the induction of an
intermediate cell mass referred to as callus. The identity of the key genetic
cues associated with callus formation is still largely unknown. Here a microRNA
directed phytohormonal interaction is described which represses callus initiation
and formation in Arabidopsis thaliana. miR160 and ARF10 (At2g28350), a gene
encoding an auxin response factor, were shown to exhibit a contrasting pattern of
transcription during callus initiation from pericycle-like cells. The callus
initiation is faster and more prolific in a miR160-resistant form of ARF10
(mARF10), but slower and less prolific in the transgenic line over-expressing
miR160c (At5g46845), arf10 and arf10 arf16 mutants than that in the wild type.
ARF10 repressed the expression of Arabidopsis Response Regulator15 (ARR15,
At1g74890) via its direct binding to the gene's promoter. The loss of function of
ARR15 enhanced callus initiation and partly rescued the phenotype induced by the
transgene Pro35S:miR160c. Overexpression of ARR15 partly rescues the callus
initiation defect of mARF10 plants. Our findings define miR160 as a key repressor
of callus formation and reveal that the initiation of callus is repressed by
miR160-directed interaction between auxin and cytokinin.
PMID- 27189515
TI - The factors influencing burnout and job satisfaction among critical care nurses:
a study of Saudi critical care nurses.
AB - AIM: The aim of the study was to explore the prevalence of burnout and job
satisfaction among Saudi national critical care nurses. BACKGROUND: Burnout is
caused by a number of factors, including personal, organisational and
professional issues. Previous literature reports a strong relationship between
burnout and job satisfaction among critical care nurses. Little is known about
this phenomenon among Saudi national critical care nurses. METHODS: A convenience
sample of 150 Saudi national critical care nurses from three hospitals in Hail,
Saudi Arabia were included in a cross-sectional survey. RESULTS: Saudi national
critical care registered nurses reported moderate to high levels of burnout in
the areas of emotional exhaustion and depersonalisation. Participants also
reported a feeling of ambivalence and dissatisfaction with their jobs but were
satisfied with the nature of their work. CONCLUSIONS: Saudi national critical
care nurses experience moderate to high levels of burnout and low levels of job
satisfaction. Burnout is a predictor of job satisfaction for Saudi national
critical care nurses. IMPLICATIONS FOR NURSING AND HEALTH POLICY: These results
provide clear evidence of the need for nurse managers and policy makers to devise
strategies to help nurses better cope with a stressful work environment, thereby
also improving job satisfaction among Saudi national critical care nurses.
PMID- 27189517
TI - Influence of affective auditory stimuli on balance control during static stance.
AB - The main purpose of this study was to examine the effects of affective auditory
stimuli on balance control during static stance. Twelve female and 12 male
participants were recruited. Each participant completed four upright standing
trials including three auditory stimuli trials and one baseline trial (ie no
auditory stimuli). The three auditory stimuli trials corresponded to the
pleasant, neutral and unpleasant sound conditions. Center of pressure (COP)
measures were used to quantify balance control performance. It was found that
unpleasant auditory stimuli were associated with larger COP amplitude in the AP
direction compared to the rest testing conditions. There were no significant
interaction effects between 'auditory stimuli' and gender. These findings
suggested that some specificities presented by auditory stimuli are important for
balance control, and the effects of auditory stimuli on balance control were
dependent on their affective components. Practitioner Summary: Findings from this
study can aid in better understanding of the relationship between auditory
stimuli and balance control. In particular, unpleasant auditory stimuli were
found to result in poorer balance control and higher fall risks. Therefore, to
prevent fall accidents, interventions should be developed to reduce exposures to
unpleasant sound.
PMID- 27189516
TI - Rho kinase inhibitor enables cell-based therapy for corneal endothelial
dysfunction.
AB - The corneal endothelium maintains corneal transparency; consequently, its
dysfunction causes severe vision loss. Tissue engineering-based therapy, as an
alternative to conventional donor corneal transplantation, is anticipated to
provide a less invasive and more effective therapeutic modality. We conducted a
preclinical study for cell-based therapy in a primate model and demonstrated
regeneration of the corneal endothelium following injection of cultured monkey
corneal endothelial cells (MCECs) or human CECs (HCECs), in combination with a
Rho kinase (ROCK) inhibitor, Y-27632, into the anterior chamber. We also
evaluated the safety and efficacy of Good Manufacturing Practice (GMP)-grade
HCECs, similar to those planned for use as transplant material for human patients
in a clinical trial, and we showed that the corneal endothelium was regenerated
without adverse effect. We also showed that CEC engraftment is impaired by
limited substrate adhesion, which is due to actomyosin contraction induced by
dissociation-induced activation of ROCK/MLC signaling. Inclusion of a ROCK
inhibitor improves efficiency of engraftment of CECs and enables cell-based
therapy for treating corneal endothelial dysfunction as a clinically relevant
therapy.
PMID- 27189518
TI - Two new species of Rhabdias Stiles et Hassall, 1905 (Nematoda: Rhabdiasidae) from
anuran amphibians in Para, Brazil.
AB - Two new lung-dwelling nematode species of the genus Rhabdias Stiles et Hassall,
1905 were discovered in Caxiuana National Forest, Para state, Brazil. Rhabdias
galactonoti sp. n. was found in a dendrobatid frog Adelphobates galactonotus
(Steindachner). The species is characterised by the regularly folded inner
surface of the anterior part of the buccal capsule seen in apical view, flask
shaped oesophageal bulb and narrow, elongated tail. Rhabdias stenocephala sp. n.
from two species of leptodactylid frogs, Leptodactylus pentadactylus (Laurenti)
(type host) and L. paraensis (Heyer), is characterised by a narrow anterior end
that is separated from the remaining body by a constriction. Both species possess
six small but distinct lips, a cuticle that is inflated along the whole body, a
doliiform buccal capsule separated into a longer anterior and a shallow, ring
shaped posterior part, lateral pores in the body cuticle and zones of
spermatogenesis in the syngonia. Rhabdias galactonoti sp. n. is the first species
of the genus found in Dendrobatidae; R. stenocephala sp. n. is the second species
described from Leptodactylidae in eastern Amazonia.
PMID- 27189520
TI - The patient-physician interaction as a meeting of experts: one solution to the
problem of patient non-adherence.
AB - Patient non-adherence is a common and important concern in clinical medicine.
Some cases of patient non-adherence are cases in which the patient disagrees with
the physician's recommended treatment based on particular reasons. Drawing upon
science and technology studies literature, specifically the discussion by Collins
and Evans and Wynne of how best to understand scientific controversies, I relate
their ideas to the analogous conflict that may occur within a clinical
interaction. I draw upon their recognition of the importance of contributory
expertise and interactional expertise in providing legitimate knowledge. I also
draw upon Wynne's idea of the 'negotiation of meanings' as an important element
of the clinical interaction. To resolve potential conflicts between patient and
physician before they develop into 'non-adherence', I propose the implementation
of a new epistemological framework that recognizes legitimate knowledge offered
by the patient as well as the physician. By situating this patient expertise
framework within the paradigm of patient-centred medicine, and by assuming the
goal of medical treatment to be treatment of suffering, patient expertise becomes
centralized as a means of determining the nature of patient suffering. Two
aspects of the patient's tacit knowledge - the body aspect and the meaning aspect
- both of which are context-dependent and directly accessible only to the
patient, are thus recognized as knowledge essential to the success of the
interaction. The physician's role becomes that of both medical expert and
possessor of 'interactional expertise', by which the physician recognizes and
includes patient expertise in the treatment decision. By recognizing and
incorporating the negotiation of meanings into the development of a treatment
plan, this epistemological model of patient expertise should prevent cases of non
adherence based on disagreement.
PMID- 27189519
TI - Evolutionary origin of the NCSI gene subfamily encoding norcoclaurine synthase is
associated with the biosynthesis of benzylisoquinoline alkaloids in plants.
AB - Sacred lotus is rich in biologically active compounds, particularly
benzylisoquinoline alkaloids (BIAs). Here, we report on isolation of genes
encoding (S)-norcoclaurine synthase (NCS) in sacred lotus, which is a key entry
enzyme in BIA biosynthesis. Seven NCS genes, designated NnNCS1 through NnNCS7,
were identified in the sacred lotus genome, and five are located next to each
other within a 83 kb region on scaffold 8. The NCS genes are divided into two
subfamilies, designated NCSI and NCSII. The NCSII genes are universal in plants,
while the NCSI genes are only identified in a limited number of dicotyledonous
taxa that produce BIAs. In sacred lotus, only NnNCS4 belongs to the NCSII
subfamily, whilst the rest NCS genes within the NCSI subfamily. Overall, the
NnNCS7 gene was predominantly expressed in all tested tissues, and its expression
is significantly correlated with alkaloid content in leaf. In contrast, the
NnNCS4 expression shows no significant correlation with alkaloid accumulation in
leaf, and its lack of expression cannot inhibit alkaloid accumulation. Taken
together, these results suggest that the NCSI subfamily is crucial for BIA
biosynthesis, and its origin may represent an important evolutionary event that
allows certain plant taxa to produce BIAs.
PMID- 27189521
TI - What are the mechanisms of regeneration inhibition in alcoholic hepatitis?
PMID- 27189523
TI - Impact of heart and lung dose on early survival in patients with non-small cell
lung cancer treated with chemoradiation.
AB - BACKGROUND AND PURPOSE: To determine whether the impact of heart dose on early
overall survival (OS) reported in RTOG 0617 could be confirmed in an independent
cohort. MATERIALS AND METHODS: Heart and lung dose-volume histogram data were
retrospectively extracted for patients with stage IIIA-IIIB non-small cell lung
cancer (NSCLC) who had received radiotherapy using 3D CRT, IMRT or proton therapy
delivered with concurrent chemotherapy between 1999 and 2010. Potential
associations between clinical and dosimetric factors and OS up to 24months after
start of treatment were assessed in univariate and multivariate analyses with log
rank tests or Cox proportional hazards models. RESULTS: 468 patients met
inclusion criteria. Factors associated with increased risk of early death in
univariate analyses were performance status (PS), stage, treatment with 3D
conformal radiotherapy, lower tumor dose and larger gross tumor volume (GTV),
mean heart dose (MHD), heart V5, mean lung dose (MLD) and lung V5. Factors
retaining significance in multivariate analysis were PS, GTV, and MLD. There was
a strong correlation between MHD and heart V5 with MLD. However, no evidence was
found that heart doses had an independent effect on OS during the first 2years.
CONCLUSIONS: In a large group of patients treated with chemoradiation for locally
advanced NSCLC, heart dose was not found to be associated with early survival
outcomes when lung dose was taken into account. Nevertheless, based on the known
adverse effects of radiotherapy on vasculature and cardiac function, dose to the
heart should be minimized during radiotherapy planning.
PMID- 27189522
TI - Mitigation of nitrogen mustard mediated skin injury by a novel indomethacin
bifunctional prodrug.
AB - Nitrogen mustard (NM) is a bifunctional alkylating agent that is highly reactive
in the skin causing extensive tissue damage and blistering. In the present
studies, a modified cutaneous murine patch model was developed to characterize NM
induced injury and to evaluate the efficacy of an indomethacin pro-drug in
mitigating toxicity. NM (20MUmol) or vehicle control was applied onto 6mm glass
microfiber filters affixed to the shaved dorsal skin of CD-1 mice for 6min. This
resulted in absorption of approximately 4MUmol of NM. NM caused localized skin
damage within 1 d, progressing to an eschar within 2-3 d, followed by wound
healing after 4-5 d. NM-induced injury was associated with increases in skin
thickness, inflammatory cell infiltration, reduced numbers of sebocytes, basal
keratinocyte double stranded DNA breaks, as measured by phospho-histone 2A.X
expression, mast cell degranulation and increases in inducible nitric oxide
synthase (iNOS) and cyclooxygenase-2 (COX-2). Wound healing was characterized by
epidermal hyperplasia and marked increases in basal cells expressing
proliferating cell nuclear antigen. A novel indomethacin-anticholinergic prodrug
(4338) designed to target cyclooxygenases and acetylcholinesterase (AChE), was
found to markedly suppress NM toxicity, decreasing wound thickness and eschar
formation. The prodrug also inhibited mast cell degranulation, suppressed
keratinocyte expression of iNOS and COX-2, as well as markers of epidermal
proliferation. These findings indicate that a novel bifunctional pro-drug is
effective in limiting NM mediated dermal injury. Moreover, our newly developed
cutaneous patch model is a sensitive and reproducible method to assess the
mechanism of action of countermeasures.
PMID- 27189524
TI - Schizophrenia.
AB - Schizophrenia is a chronic psychiatric disorder with a heterogeneous genetic and
neurobiological background that influences early brain development, and is
expressed as a combination of psychotic symptoms - such as hallucinations,
delusions and disorganization - and motivational and cognitive dysfunctions. The
mean lifetime prevalence of the disorder is just below 1%, but large regional
differences in prevalence rates are evident owing to disparities in urbanicity
and patterns of immigration. Although gross brain pathology is not a
characteristic of schizophrenia, the disorder involves subtle pathological
changes in specific neural cell populations and in cell-cell communication.
Schizophrenia, as a cognitive and behavioural disorder, is ultimately about how
the brain processes information. Indeed, neuroimaging studies have shown that
information processing is functionally abnormal in patients with first-episode
and chronic schizophrenia. Although pharmacological treatments for schizophrenia
can relieve psychotic symptoms, such drugs generally do not lead to substantial
improvements in social, cognitive and occupational functioning. Psychosocial
interventions such as cognitive-behavioural therapy, cognitive remediation and
supported education and employment have added treatment value, but are
inconsistently applied. Given that schizophrenia starts many years before a
diagnosis is typically made, the identification of individuals at risk and those
in the early phases of the disorder, and the exploration of preventive approaches
are crucial.
PMID- 27189526
TI - Adenosine Triphosphate stimulates differentiation and mineralization in human
osteoblast-like Saos-2 cells.
AB - In the last years adenosine triphosphate (ATP) and subsequent purinergic system
activation through P2 receptors were investigated highlighting their pivotal role
in bone tissue biology. In osteoblasts ATP can regulate several activities like
cell proliferation, cell death, cell differentiation and matrix mineralization.
Since controversial results exist, in this study we analyzed the ATP effects on
differentiation and mineralization in human osteoblast-like Saos-2 cells. We
showed for the first time the altered functional activity of ATP receptors.
Despite that, we found that ATP can reduce cell proliferation and stimulate
osteogenic differentiation mainly in the early stages of in vitro maturation as
evidenced by the enhanced expression of alkaline phosphatase (ALP), Runt-related
transcription factor 2 (Runx2) and Osteocalcin (OC) genes and by the increased
ALP activity. Moreover, we found that ATP can affect mineralization in a biphasic
manner, at low concentrations ATP always increases mineral deposition while at
high concentrations it always reduces mineral deposition. In conclusion, we show
the osteogenic effect of ATP on both early and late stage activities like
differentiation and mineralization, for the first time in human osteoblastic
cells.
PMID- 27189527
TI - Fibromyalgia.
AB - Fibromyalgia is a common illness characterized by chronic widespread pain, sleep
problems (including unrefreshing sleep), physical exhaustion and cognitive
difficulties. The definition, pathogenesis and treatment are controversial, and
some even contest the existence of this disorder. In 1990, the American College
of Rheumatology (ACR) defined classification criteria that required multiple
tender points (areas of tenderness occurring in muscles and muscle-tendon
junctions) and chronic widespread pain. In 2010, the ACR preliminary diagnostic
criteria excluded tender points, allowed less extensive pain and placed reliance
on patient-reported somatic symptoms and cognitive difficulties. Fibromyalgia
occurs in all populations worldwide, and symptom prevalence ranges between 2% and
4% in the general population. The prevalence of people who are actually diagnosed
with fibromyalgia ('administrative prevalence') is much lower. A model of
fibromyalgia pathogenesis has been suggested in which biological and psychosocial
variables interact to influence the predisposition, triggering and aggravation of
a chronic disease, but the details are unclear. Diagnosis requires the history of
a typical cluster of symptoms and the exclusion of a somatic disease that
sufficiently explains the symptoms by medical examination. Current evidence-based
guidelines emphasize the value of multimodal treatments, which encompass both non
pharmacological and selected pharmacological treatments tailored to individual
symptoms, including pain, fatigue, sleep problems and mood problems. For an
illustrated summary of this Primer, visit: http://go.nature.com/LIBdDX.
PMID- 27189525
TI - Globally prevalent PfMDR1 mutations modulate Plasmodium falciparum susceptibility
to artemisinin-based combination therapies.
AB - Antimalarial chemotherapy, globally reliant on artemisinin-based combination
therapies (ACTs), is threatened by the spread of drug resistance in Plasmodium
falciparum parasites. Here we use zinc-finger nucleases to genetically modify the
multidrug resistance-1 transporter PfMDR1 at amino acids 86 and 184, and
demonstrate that the widely prevalent N86Y mutation augments resistance to the
ACT partner drug amodiaquine and the former first-line agent chloroquine. In
contrast, N86Y increases parasite susceptibility to the partner drugs
lumefantrine and mefloquine, and the active artemisinin metabolite
dihydroartemisinin. The PfMDR1 N86 plus Y184F isoform moderately reduces
piperaquine potency in strains expressing an Asian/African variant of the
chloroquine resistance transporter PfCRT. Mutations in both digestive vacuole
resident transporters are thought to differentially regulate ACT drug
interactions with host haem, a product of parasite-mediated haemoglobin
degradation. Global mapping of these mutations illustrates where the different
ACTs could be selectively deployed to optimize treatment based on regional
differences in PfMDR1 haplotypes.
PMID- 27189528
TI - Methylprednisolone acetate-loaded hydroxyapatite nanoparticles as a potential
drug delivery system for treatment of rheumatoid arthritis: In vitro and in vivo
evaluations.
AB - The objective of this study was to improve the therapeutic efficacy of
methylprednisolone acetate (MPA) in the treatment of rheumatoid arthritis (RA) by
incorporating the drug into the hydroxyapatite (HAp) nanoparticles. The
nanoparticles were synthesized using a chemical precipitation technique and their
size and morphology were evaluated by dynamic light scattering and scanning
electron microscopy (SEM). The solid-state behavior of the nanoparticles was also
characterized by operating X-ray powder diffraction (XRPD), differential scanning
calorimetry (DSC) and Fourier-transform infrared spectroscopy (FTIR). The
Brunauer-Emmett-Teller and Barrett-Joyner-Halenda N2 adsorption/desorption
analyses were also performed to determine the surface area, Vm (the volume of the
N2 adsorbed on the one gram of the HAp when the monolayer is complete) and the
pore size of the samples. Furthermore, the therapeutic efficacy of the prepared
nanoformulation on the adjuvant induced arthritic rats was assessed. HAp
mesoporous nanoparticles with a particle size of 70.45nm, pore size of 2.71nm and
drug loading of 44.53% were obtained. The specific surface area of HAp as well as
the Vm values were decreased after the drug loading process. The nanoformulation
revealed the slower drug release profile compared to the pure drug. The MTT assay
indicated that the MPA-loaded nanoparticles had a lower cytotoxic effect on NIH
3T3 and CAOV-4 cell lines compared to the pure drug. Interestingly, the in vivo
study confirmed that the drug-loaded nanoparticles could considerably decrease
the paw volume and normalize the hematological abnormalities in the arthritic
rats.
PMID- 27189530
TI - Anaphylaxis case report to trimethylphloroglucinol (Spasfon(r)).
PMID- 27189529
TI - Spatial distribution of psychotic disorders in an urban area of France: an
ecological study.
AB - Previous analyses of neighbourhood variations of non-affective psychotic
disorders (NAPD) have focused mainly on incidence. However, prevalence studies
provide important insights on factors associated with disease evolution as well
as for healthcare resource allocation. This study aimed to investigate the
distribution of prevalent NAPD cases in an urban area in France. The number of
cases in each neighbourhood was modelled as a function of potential confounders
and ecological variables, namely: migrant density, economic deprivation and
social fragmentation. This was modelled using statistical models of increasing
complexity: frequentist models (using Poisson and negative binomial regressions),
and several Bayesian models. For each model, assumptions validity were checked
and compared as to how this fitted to the data, in order to test for possible
spatial variation in prevalence. Data showed significant overdispersion
(invalidating the Poisson regression model) and residual autocorrelation
(suggesting the need to use Bayesian models). The best Bayesian model was
Leroux's model (i.e. a model with both strong correlation between neighbouring
areas and weaker correlation between areas further apart), with economic
deprivation as an explanatory variable (OR = 1.13, 95% CI [1.02-1.25]). In
comparison with frequentist methods, the Bayesian model showed a better fit. The
number of cases showed non-random spatial distribution and was linked to economic
deprivation.
PMID- 27189531
TI - Sustaining the future of HIV counselling to reach 90-90-90: a regional country
analysis.
AB - INTRODUCTION: Counselling services are recommended by the World Health
Organization and have been partially adopted by national HIV guidelines. In
settings with a high HIV burden, patient education and counselling is often
performed by lay workers, mainly supported with international funding. There are
few examples where ministries of health have been able to absorb lay counsellors
into their health systems or otherwise sustain their work. We document the role
of lay cadres involved in HIV testing and counselling and adherence support and
discuss approaches to sustainability. METHODS: We focused on a purposive sample
of eight sub-Saharan African countries where Medecins Sans Frontieres supports
HIV programmes: Guinea, Lesotho, Malawi, Mozambique, South Africa, Swaziland,
Zambia and Zimbabwe. We reviewed both published and grey literature, including
national policies and donor proposals, and interviewed key informants, including
relevant government staff, donors and non-governmental organizations. RESULTS AND
DISCUSSION: Lay counsellors play a critical role in scaling up HIV services and
addressing gaps in the HIV testing and treatment cascade by providing HIV testing
and counselling and adherence support at both the facility and community levels.
Countries have taken various steps in recognizing lay counsellors, including
harmonizing training, job descriptions and support structures. However, formal
integration of this cadre into national health systems is limited, as lay
counsellors are usually not included in national strategies or budgeting.
CONCLUSIONS: The current trend of reduced donor support for lay counsellors,
combined with lack of national prioritization, threatens the sustainability of
this cadre and thereby quality HIV service delivery.
PMID- 27189532
TI - The impact of HIV infection on blood leukocyte responsiveness to bacterial
stimulation in asymptomatic patients and patients with bloodstream infection.
AB - INTRODUCTION: HIV-induced changes in cytokine responses to bacteria may influence
susceptibility to bacterial infections and the consequent inflammatory response.
METHODS: We examined the impact of HIV on whole blood responsiveness to bacterial
stimulation in asymptomatic subjects and patients with bacterial bloodstream
infection (BSI). Whole blood was stimulated ex vivo with two bacterial Toll-like
receptor agonists (lipopolysaccharide and lipoteichoic acid) and two pathogens
(Streptococcus pneumoniae and non-typhoidal Salmonella), which are relevant in
HIV-positive patients. Production of interferon-gamma, tumour necrosis factor
alpha, interleukin-1beta and interleukin-6 was used as a read-out. RESULTS: In
asymptomatic subjects, HIV infection was associated with reduced interferon
gamma, release after stimulation and priming of the pro-inflammatory cytokine
response to non-typhoidal Salmonella. In patients with BSI, we found no such
priming effect, nor was there evidence for more profound sepsis-induced
immunosuppression in BSI patients with HIV co-infection. CONCLUSIONS: These
results suggest a complex effect of HIV on leukocyte responses to bacteria.
However, in patients with sepsis, leukocyte responses were equally blunted in
patients with and without HIV infection.
PMID- 27189533
TI - Whole-body and splanchnic amino acid metabolism in sheep during an acute
endotoxin challenge.
AB - Supplemented protein or specific amino acids (AA) are proposed to help animals
combat infection and inflammation. The current study investigates whole-body and
splanchnic tissue metabolism in response to a lipopolysaccharide (LPS) challenge
with or without a supplement of six AA (cysteine, glutamine, methionine, proline,
serine and threonine). Eight sheep were surgically prepared with vascular
catheters across the gut and liver. On two occasions, four sheep were infused
through the jugular vein for 20 h with either saline or LPS from Escherichia coli
(2 ng/kg body weight per min) in a random order, plus saline infused into the
mesenteric vein; the other four sheep were treated with saline or LPS plus saline
or six AA infused via the jugular vein into the mesenteric vein. Whole-body AA
irreversible loss rate (ILR) and tissue protein metabolism were monitored by
infusion of [ring-2H2]phenylalanine. LPS increased (P<0.001) ILR (+17 %), total
plasma protein synthesis (+14 %) and lymphocyte protein synthesis (+386 %) but
decreased albumin synthesis (-53 %, P=0.001), with no effect of AA infusion.
Absorption of dietary AA was not reduced by LPS, except for glutamine. LPS
increased the hepatic removal of leucine, lysine, glutamine and proline. Absolute
hepatic extraction of supplemented AA increased, but, except for glutamine, this
was less than the amount infused. This increased net appearance across the
splanchnic bed restored arterial concentrations of five AA to, or above, values
for the saline-infused period. Infusion of key AA does not appear to alter the
acute period of endotoxaemic response, but it may have benefits for the chronic
or recovery phases.
PMID- 27189534
TI - Estimating the expected value of partial perfect information in health economic
evaluations using integrated nested Laplace approximation.
AB - The Expected Value of Perfect Partial Information (EVPPI) is a decision-theoretic
measure of the 'cost' of parametric uncertainty in decision making used
principally in health economic decision making. Despite this decision-theoretic
grounding, the uptake of EVPPI calculations in practice has been slow. This is in
part due to the prohibitive computational time required to estimate the EVPPI via
Monte Carlo simulations. However, recent developments have demonstrated that the
EVPPI can be estimated by non-parametric regression methods, which have
significantly decreased the computation time required to approximate the EVPPI.
Under certain circumstances, high-dimensional Gaussian Process (GP) regression is
suggested, but this can still be prohibitively expensive. Applying fast
computation methods developed in spatial statistics using Integrated Nested
Laplace Approximations (INLA) and projecting from a high-dimensional into a low
dimensional input space allows us to decrease the computation time for fitting
these high-dimensional GP, often substantially. We demonstrate that the EVPPI
calculated using our method for GP regression is in line with the standard GP
regression method and that despite the apparent methodological complexity of this
new method, R functions are available in the package BCEA to implement it simply
and efficiently. (c) 2016 The Authors. Statistics in Medicine Published by John
Wiley & Sons Ltd.
PMID- 27189535
TI - Pretreatment of bamboo by ultra-high pressure explosion with a high-pressure
homogenizer for enzymatic hydrolysis and ethanol fermentation.
AB - Bamboo shoots, 2- and 5-year-old bamboo were treated by using a homogenizer in a
constant suspended state, a process termed as ultra-high pressure explosion
(UHPE). The bamboo powder was heated in 2% NaOH solution at 121 degrees C, and
then 100MPa UHPE-treated through a homogenizer. The results verified that UHPE
changed the suspension solution of powder into a stick fluid. The contents of
lignin were decreased significantly. The bamboo shoots and 2-year-old bamboo were
completely hydrolyzed to glucose within 48h by enzymes loading of 15 FPU of
cellulase and 30IU of beta-glucosidase per gram glucan. Fermentation of enzymatic
hydrolyzates with Saccharomyces cerevisiae resulted in about 89.7-95.1% of the
theoretical ethanol yield after 24h. Therefore, NaOH+UHPE is argued to be a
potential alternative technology for pretreatment of bamboo.
PMID- 27189536
TI - Reprint of: Effect of fermentation parameters, elicitors and precursors on
camptothecin production from the endophyte Fusarium solani.
AB - Volumetric productivity of camptothecin from the suspension culture of the
endophyte Fusarium solani was enhanced up to ~152 fold (from 0.19MUgl(-1)d(-1) to
28.9MUgl(-1)d(-1)) under optimized fermentation conditions including initial pH
(6.0), temperature (32 degrees C) and agitation speed (80rpm) with (5% (v/v))
ethanol as medium component. Among various elicitors and precursors studied,
tryptamine (0.5mM) as precursor and bovine serum albumin (BSA) (0.075mM) as an
elicitor added on day 6 of the cultivation period resulted in maximum enhancement
of camptothecin concentration (up to 4.5 and 3.4-fold, respectively). These leads
provide immense scope for further enhancement in camptothecin productivity at
bioreactor level. The cytotoxicity analysis of the crude camptothecin extract
from the fungal biomass revealed its high effectiveness against colon and mammary
gland cancer cell lines.
PMID- 27189537
TI - Corrigendum.
PMID- 27189539
TI - A New Orthology Assessment Method for Phylogenomic Data: Unrooted Phylogenetic
Orthology.
AB - Current sequencing technologies are making available unprecedented amounts of
genetic data for a large variety of species including nonmodel organisms.
Although many phylogenomic surveys spend considerable time finding orthologs from
the wealth of sequence data, these results do not transcend the original study
and after being processed for specific phylogenetic purposes these orthologs do
not become stable orthology hypotheses. We describe a procedure to detect and
document the phylogenetic distribution of orthologs allowing researchers to use
this information to guide selection of loci best suited to test specific
evolutionary questions. At the core of this pipeline is a new phylogenetic
orthology method that is neither affected by the position of the root nor
requires explicit assignment of outgroups. We discuss the properties of this new
orthology assessment method and exemplify its utility for phylogenomics using a
small insects dataset. In addition, we exemplify the pipeline to identify and
document stable orthologs for the group of orb-weaving spiders (Araneoidea) using
RNAseq data. The scripts used in this study, along with sample files and
additional documentation, are available at https://github.com/ballesterus/UPhO.
PMID- 27189540
TI - The Footprint of Polygenic Adaptation on Stress-Responsive Cis-Regulatory
Divergence in the Arabidopsis Genus.
AB - Adaptation of a complex trait often requires the accumulation of many
modifications to finely tune its underpinning molecular components to novel
environmental requirements. The investigation of cis-acting regulatory
modifications can be used to pinpoint molecular systems partaking in such complex
adaptations. Here, we identify cis-acting modifications with the help of an
interspecific crossing scheme designed to distinguish modifications derived in
each of the two sister species, Arabidopsis halleri and A. lyrata Allele-specific
expression levels were assessed in three environmental conditions chosen to
reflect interspecific ecological differences: cold exposure, dehydration, and
standard conditions. The functions described by Gene Ontology categories enriched
in cis-acting mutations are markedly different in A. halleri and A. lyrata,
suggesting that polygenic adaptation reshaped distinct polygenic molecular
functions in the two species. In the A. halleri lineage, an excess of cis-acting
changes affecting metal transport and homeostasis was observed, confirming that
the well-known heavy metal tolerance of this species is the result of polygenic
selection. In A. lyrata, we find a marked excess of cis-acting changes among
genes showing a transcriptional response to cold stress in the outgroup species
A. thaliana The adaptive relevance of these changes will have to be validated. We
finally observed that polygenic molecular functions enriched in derived cis
acting changes are more constrained at the amino acid level. Using the
distribution of cis-acting variation to tackle the polygenic basis of adaptation
thus reveals the contribution of mutations of small effect to Darwinian
adaptation.
PMID- 27189538
TI - Conservation and Innovation of APOBEC3A Restriction Functions during Primate
Evolution.
AB - LINE-1 (long interspersed element-1) retroelements are the only active autonomous
endogenous retroelements in human genomes. Their retrotransposition activity has
created close to 50% of the current human genome. Due to the apparent costs of
this proliferation, host genomes have evolved multiple mechanisms to curb LINE-1
retrotransposition. Here, we investigate the evolution and function of the LINE-1
restriction factor APOBEC3A, a member of the APOBEC3 cytidine deaminase gene
family. We find that APOBEC3A genes have evolved rapidly under diversifying
selection in primates, suggesting changes in APOBEC3A have been recurrently
selected in a host-pathogen "arms race." Nonetheless, in contrast to previous
reports, we find that the LINE-1 restriction activity of APOBEC3A proteins has
been strictly conserved throughout simian primate evolution in spite of its
pervasive diversifying selection. Based on these results, we conclude that LINE
1s have not driven the rapid evolution of APOBEC3A in primates. In contrast to
this conserved LINE-1 restriction, we find that a subset of primate APOBEC3A
genes have enhanced antiviral restriction. We trace this gain of antiviral
restriction in APOBEC3A to the common ancestor of a subset of Old World monkeys.
Thus, APOBEC3A has not only maintained its LINE-1 restriction ability, but also
evolved a gain of antiviral specificity against other pathogens. Our findings
suggest that while APOBEC3A has evolved to restrict additional pathogens, only
those adaptive amino acid changes that leave LINE-1 restriction unperturbed have
been tolerated.
PMID- 27189542
TI - SpreaD3: Interactive Visualization of Spatiotemporal History and Trait
Evolutionary Processes.
AB - Model-based phylogenetic reconstructions increasingly consider spatial or
phenotypic traits in conjunction with sequence data to study evolutionary
processes. Alongside parameter estimation, visualization of ancestral
reconstructions represents an integral part of these analyses. Here, we present a
complete overhaul of the spatial phylogenetic reconstruction of evolutionary
dynamics software, now called SpreaD3 to emphasize the use of data-driven
documents, as an analysis and visualization package that primarily complements
Bayesian inference in BEAST (http://beast.bio.ed.ac.uk, last accessed 9 May
2016). The integration of JavaScript D3 libraries (www.d3.org, last accessed 9
May 2016) offers novel interactive web-based visualization capacities that are
not restricted to spatial traits and extend to any discrete or continuously
valued trait for any organism of interest.
PMID- 27189541
TI - Evolution of Vertebrate Phototransduction: Cascade Activation.
AB - We applied high-throughput sequencing to eye tissue from several species of basal
vertebrates (a hagfish, two species of lamprey, and five species of gnathostome
fish), and we analyzed the mRNA sequences for the proteins underlying activation
of the phototransduction cascade. The molecular phylogenies that we constructed
from these sequences are consistent with the 2R WGD model of two rounds of whole
genome duplication. Our analysis suggests that agnathans retain an additional
representative (that has been lost in gnathostomes) in each of the gene families
we studied; the evidence is strong for the G-protein alpha subunit (GNAT) and the
cGMP phosphodiesterase (PDE6), and indicative for the cyclic nucleotide-gated
channels (CNGA and CNGB). Two of the species (the hagfish Eptatretus cirrhatus
and the lamprey Mordacia mordax) possess only a single class of photoreceptor,
simplifying deductions about the composition of cascade protein isoforms utilized
in their photoreceptors. For the other lamprey, Geotria australis, analysis of
the ratios of transcript levels in downstream and upstream migrant animals
permits tentative conclusions to be drawn about the isoforms used in four of the
five spectral classes of photoreceptor. Overall, our results suggest that
agnathan rod-like photoreceptors utilize the same GNAT1 as gnathostomes, together
with a homodimeric PDE6 that may be agnathan-specific, whereas agnathan cone-like
photoreceptors utilize a GNAT that may be agnathan-specific, together with the
same PDE6C as gnathostomes. These findings help elucidate the evolution of the
vertebrate phototransduction cascade from an ancestral chordate phototransduction
cascade that existed prior to the vertebrate radiation.
PMID- 27189543
TI - Regulatory Differences in Natal Down Development between Altricial Zebra Finch
and Precocial Chicken.
AB - Birds can be classified into altricial and precocial. The hatchlings of altricial
birds are almost naked, whereas those of precocial birds are covered with natal
down. This regulatory divergence is thought to reflect environmental adaptation,
but the molecular basis of the divergence is unclear. To address this issue, we
chose the altricial zebra finch and the precocial chicken as the model animals.
We noted that zebra finch hatchlings show natal down growth suppressed anterior
dorsal (AD) skin but partially down-covered posterior dorsal (PD) skin. Comparing
the transcriptomes of AD and PD skins, we found that the feather growth promoter
SHH (sonic hedgehog) was expressed higher in PD skin than in AD skin. Moreover,
the data suggested that the FGF (fibroblast growth factor)/Mitogen-activated
protein kinase (MAPK) signaling pathway is involved in natal down growth
suppression and that FGF16 is a candidate upstream signaling suppressor. Ectopic
expression of FGF16 on chicken leg skin showed downregulation of SHH,
upregulation of the feather growth suppressor FGF10, and suppression of feather
bud elongation, similar to the phenotype found in zebra finch embryonic AD skin.
Therefore, we propose that FGF16-related signals suppress natal down elongation
and cause the naked AD skin in zebra finch. Our study provides insights into the
regulatory divergence in natal down formation between precocial and altricial
birds.
PMID- 27189545
TI - A Simple, General Result for the Variance of Substitution Number in Molecular
Evolution.
AB - The number of substitutions (of nucleotides, amino acids, etc.) that take place
during the evolution of a sequence is a stochastic variable of fundamental
importance in the field of molecular evolution. Although the mean number of
substitutions during molecular evolution of a sequence can be estimated for a
given substitution model, no simple solution exists for the variance of this
random variable. We show in this article that the computation of the variance is
as simple as that of the mean number of substitutions for both short and long
times. Apart from its fundamental importance, this result can be used to
investigate the dispersion index R, that is, the ratio of the variance to the
mean substitution number, which is of prime importance in the neutral theory of
molecular evolution. By investigating large classes of substitution models, we
demonstrate that although [Formula: see text], to obtain R significantly larger
than unity necessitates in general additional hypotheses on the structure of the
substitution model.
PMID- 27189546
TI - Estimating the Frequency of Horizontal Gene Transfer Using Phylogenetic Models of
Gene Gain and Loss.
AB - We analyze patterns of gene presence and absence in a maximum likelihood
framework with rate parameters for gene gain and loss. Standard methods allow
independent gains and losses in different parts of a tree. While losses of the
same gene are likely to be frequent, multiple gains need to be considered
carefully. A gene gain could occur by horizontal transfer or by origin of a gene
within the lineage being studied. If a gene is gained more than once, then at
least one of these gains must be a horizontal transfer. A key parameter is the
ratio of gain to loss rates, a/v We consider the limiting case known as the
infinitely many genes model, where a/v tends to zero and a gene cannot be gained
more than once. The infinitely many genes model is used as a null model in
comparison to models that allow multiple gains. Using genome data from
cyanobacteria and archaea, it is found that the likelihood is significantly
improved by allowing for multiple gains, but the average a/v is very small. The
fraction of genes whose presence/absence pattern is best explained by multiple
gains is only 15% in the cyanobacteria and 20% and 39% in two data sets of
archaea. The distribution of rates of gene loss is very broad, which explains why
many genes follow a treelike pattern of vertical inheritance, despite the
presence of a significant minority of genes that undergo horizontal transfer.
PMID- 27189544
TI - Adaptation by Deletogenic Replication Slippage in a Nascent Symbiont.
AB - As a consequence of population level constraints in the obligate, host-associated
lifestyle, intracellular symbiotic bacteria typically exhibit high rates of
molecular sequence evolution and extensive genome degeneration over the course of
their host association. While the rationale for genome degeneration is well
understood, little is known about the molecular mechanisms driving this change.
To understand these mechanisms we compared the genome of Sodalis praecaptivus, a
nonhost associated bacterium that is closely related to members of the Sodalis
allied clade of insect endosymbionts, with the very recently derived insect
symbiont Candidatus Sodalis pierantonius. The characterization of indel mutations
in the genome of Ca Sodalis pierantonius shows that the replication system in
this organism is highly prone to deletions resulting from polymerase slippage
events in regions encoding G+C-rich repetitive sequences. This slippage-prone
phenotype is mechanistically associated with the loss of certain components of
the bacterial DNA recombination machinery at an early stage in symbiotic life and
is expected to facilitate rapid adaptation to the novel host environment. This is
analogous to the emergence of mutator strains in both natural and laboratory
populations of bacteria, which tend to reach high frequencies in clonal
populations due to linkage between the mutator allele and the resulting adaptive
mutations.
PMID- 27189547
TI - Fast Coalescent-Based Computation of Local Branch Support from Quartet
Frequencies.
AB - Species tree reconstruction is complicated by effects of incomplete lineage
sorting, commonly modeled by the multi-species coalescent model (MSC). While
there has been substantial progress in developing methods that estimate a species
tree given a collection of gene trees, less attention has been paid to fast and
accurate methods of quantifying support. In this article, we propose a fast
algorithm to compute quartet-based support for each branch of a given species
tree with regard to a given set of gene trees. We then show how the quartet
support can be used in the context of the MSC to compute (1) the local posterior
probability (PP) that the branch is in the species tree and (2) the length of the
branch in coalescent units. We evaluate the precision and recall of the local PP
on a wide set of simulated and biological datasets, and show that it has very
high precision and improved recall compared with multi-locus bootstrapping. The
estimated branch lengths are highly accurate when gene tree estimation error is
low, but are underestimated when gene tree estimation error increases.
Computation of both the branch length and local PP is implemented as new features
in ASTRAL.
PMID- 27189549
TI - Sperm Bindin Divergence under Sexual Selection and Concerted Evolution in Sea
Stars.
AB - Selection associated with competition among males or sexual conflict between
mates can create positive selection for high rates of molecular evolution of
gamete recognition genes and lead to reproductive isolation between species. We
analyzed coding sequence and repetitive domain variation in the gene encoding the
sperm acrosomal protein bindin in 13 diverse sea star species. We found that
bindin has a conserved coding sequence domain structure in all 13 species, with
several repeated motifs in a large central region that is similar among all sea
stars in organization but highly divergent among genera in nucleotide and
predicted amino acid sequence. More bindin codons and lineages showed positive
selection for high relative rates of amino acid substitution in genera with
gonochoric outcrossing adults (and greater expected strength of sexual selection)
than in selfing hermaphrodites. That difference is consistent with the
expectation that selfing (a highly derived mating system) may moderate the
strength of sexual selection and limit the accumulation of bindin amino acid
differences. The results implicate both positive selection on single codons and
concerted evolution within the repetitive region in bindin divergence, and
suggest that both single amino acid differences and repeat differences may affect
sperm-egg binding and reproductive compatibility.
PMID- 27189550
TI - Expanded Genetic Codes Create New Mutational Routes to Rifampicin Resistance in
Escherichia coli.
AB - Until recently, evolutionary questions surrounding the nature of the genetic code
have been mostly limited to the realm of conjecture, modeling, and simulation due
to the difficulty of altering this fundamental property of living organisms.
Concerted genome and protein engineering efforts now make it possible to
experimentally study the impact of alternative genetic codes on the evolution of
biological systems. We explored how Escherichia coli strains that incorporate a
21st nonstandard amino acid (nsAA) at the recoded amber (TAG) stop codon evolve
resistance to the antibiotic rifampicin. Resistance to rifampicin arises from
chromosomal mutations in the beta subunit of RNA polymerase (RpoB). We found that
a variety of mutations that lead to substitutions of nsAAs in the essential RpoB
protein confer robust rifampicin resistance. We interpret these results in a
framework in which an expanded code can increase evolvability in two distinct
ways: by adding a new letter with unique chemical properties to the protein
alphabet and by altering the mutational connectivity of amber-adjacent codons by
converting a lethal nonsense mutation into a missense mutation. Finally, we
consider the implications of these results for the evolution of alternative
genetic codes. In our experiments, reliance on a mutation to a reassigned codon
for a vital trait is not required for the long-term maintenance of an expanded
genetic code and may even destabilize incorporation of an nsAA, a result that is
consistent with the codon capture model of genetic code evolution.
PMID- 27189548
TI - Phylogenetic and Genomic Analyses Resolve the Origin of Important Plant Genes
Derived from Transposable Elements.
AB - Once perceived as merely selfish, transposable elements (TEs) are now recognized
as potent agents of adaptation. One way TEs contribute to evolution is through TE
exaptation, a process whereby TEs, which persist by replicating in the genome,
transform into novel host genes, which persist by conferring phenotypic benefits.
Known exapted TEs (ETEs) contribute diverse and vital functions, and may
facilitate punctuated equilibrium, yet little is known about this process. To
better understand TE exaptation, we designed an approach to resolve the
phylogenetic context and timing of exaptation events and subsequent patterns of
ETE diversification. Starting with known ETEs, we search in diverse genomes for
basal ETEs and closely related TEs, carefully curate the numerous candidate
sequences, and infer detailed phylogenies. To distinguish TEs from ETEs, we also
weigh several key genomic characteristics including repetitiveness, terminal
repeats, pseudogenic features, and conserved domains. Applying this approach to
the well-characterized plant ETEs MUG and FHY3, we show that each group is
paraphyletic and we argue that this pattern demonstrates that each originated in
not one but multiple exaptation events. These exaptations and subsequent ETE
diversification occurred throughout angiosperm evolution including the crown
group expansion, the angiosperm radiation, and the primitive evolution of
angiosperms. In addition, we detect evidence of several putative novel ETE
families. Our findings support the hypothesis that TE exaptation generates novel
genes more frequently than is currently thought, often coinciding with key
periods of evolution.
PMID- 27189551
TI - Genomic Profiles of Diversification and Genotype-Phenotype Association in Island
Nematode Lineages.
AB - Understanding how new species form requires investigation of evolutionary forces
that cause phenotypic and genotypic changes among populations. However, the
mechanisms underlying speciation vary and little is known about whether genomes
diversify in the same ways in parallel at the incipient scale. We address this
using the nematode, Pristionchus pacificus, which resides at an interesting point
on the speciation continuum (distinct evolutionary lineages without reproductive
isolation), and inhabits heterogeneous environments subject to divergent
environmental pressures. Using whole genome re-sequencing of 264 strains, we
estimate FST to identify outlier regions of extraordinary differentiation (~1.725
Mb of the 172.5 Mb genome). We find evidence for shared divergent genomic regions
occurring at a higher frequency than expected by chance among populations of the
same evolutionary lineage. We use allele frequency spectra to find that, among
lineages, 53% of divergent regions are consistent with adaptive selection,
whereas 24% and 23% of such regions suggest background selection and restricted
gene flow, respectively. In contrast, among populations from the same lineage,
similar proportions (34-48%) of divergent regions correspond to adaptive
selection and restricted gene flow, whereas 13-22% suggest background selection.
Because speciation often involves phenotypic and genomic divergence, we also
evaluate phenotypic variation, focusing on pH tolerance, which we find is
diverging in a manner corresponding to environmental differences among
populations. Taking a genome-wide association approach, we functionally validate
a significant genotype-phenotype association for this trait. Our results are
consistent with P. pacificus undergoing heterogeneous genotypic and phenotypic
diversification related to both evolutionary and environmental processes.
PMID- 27189552
TI - A Lesson from Wheat Evolution: Wild and Landraces Genetic Diversity the Key to
Improving the Nutritional Value of Our Spaghetti Dish.
PMID- 27189553
TI - MEGA Evolutionary Software Re-Engineered to Handle Today's Big Data Demands.
PMID- 27189554
TI - Are We What We Eat? Evidence of a Vegetarian Diet Permanently Shaping the Human
Genome to Change Individual Risk of Cancer and Heart Disease.
PMID- 27189555
TI - The Nuanced Interplay of Intrinsic Disorder and Other Structural Properties
Driving Protein Evolution.
AB - Protein evolution often occurs at unequal rates in different sites along an amino
acid chain. Site-specific evolutionary rates have been linked to several
structural and functional properties of proteins. Previous analyses of this
phenomenon have involved relatively small datasets and, in some cases, the
interaction among multiple structural factors is not evaluated. Here, we present
the results of a large-scale phylogenetic and statistical analysis, testing the
effects and interactions of three structural properties on amino acid replacement
rates. We used sequence-based computational methods to predict (i) intrinsic
disorder propensity, (ii) secondary structure, and (iii) functional domain
involvement across millions of amino acid sites in thousands of sequence
alignments of metazoan proteins. Our results somewhat corroborate earlier
findings that intrinsically disordered sites tend to be more variable than
ordered sites, but there is considerable overlap among their rate distributions,
and a significant confounding interaction exists between intrinsic disorder and
secondary structure. Notably, protein sites that are consistently predicted to be
both intrinsically disordered and involved in secondary structures tend to be the
most conserved at the amino acid level, suggesting that they are highly
constrained and functionally important. In addition, a significant interaction
exists between functional domain involvement and secondary structure. These
findings suggest that multiple structural drivers of protein evolution should be
evaluated simultaneously in order to get a clear picture of their individual
effects as well as any confounding interactions among them.
PMID- 27189556
TI - RiboDB Database: A Comprehensive Resource for Prokaryotic Systematics.
AB - Ribosomal proteins (r-proteins) are increasingly used as an alternative to
ribosomal rRNA for prokaryotic systematics. However, their routine use is
difficult because r-proteins are often not or wrongly annotated in complete
genome sequences, and there is currently no dedicated exhaustive database of r
proteins. RiboDB aims at fulfilling this gap. This weekly updated comprehensive
database allows the fast and easy retrieval of r-protein sequences from publicly
available complete prokaryotic genome sequences. The current version of RiboDB
contains 90 r-proteins from 3,750 prokaryotic complete genomes encompassing 38
phyla/major classes and 1,759 different species. RiboDB is accessible at
http://ribodb.univ-lyon1.fr and through ACNUC interfaces.
PMID- 27189557
TI - Searching the Evolutionary Origin of Epithelial Mucus Protein Components-Mucins
and FCGBP.
AB - The gel-forming mucins are large glycosylated proteins that are essential
components of the mucus layers covering epithelial cells. Using novel methods of
identifying mucins based on profile hidden Markov models, we have found a large
number of such proteins in Metazoa, aiding in their classification and allowing
evolutionary studies. Most vertebrates have 5-6 gel-forming mucin genes and the
genomic arrangement of these genes is well conserved throughout vertebrates. An
exception is the frog Xenopus tropicalis with an expanded repertoire of at least
26 mucins of this type. Furthermore, we found that the ovomucin protein,
originally identified in chicken, is characteristic of reptiles, birds, and
amphibians. Muc6 is absent in teleost fish, but we now show that it is present in
animals such as ghost sharks, demonstrating an early origin in vertebrate
evolution. Public RNA-Seq data were analyzed with respect to mucins in zebrafish,
frog, and chicken, thus allowing comparison in regard of tissue and developmental
specificity. Analyses of invertebrate proteins reveal that gel-forming-mucin type
of proteins is widely distributed also in this group. Their presence in Cnidaria,
Porifera, and in Ctenophora (comb jellies) shows that these proteins were present
early in metazoan evolution. Finally, we examined the evolution of the FCGBP
protein, abundant in mucus and related to gel-forming mucins in terms of
structure and localization. We demonstrate that FCGBP, ubiquitous in vertebrates,
has a conserved N-terminal domain. Interestingly, this domain is also present as
an N-terminal sequence in a number of bacterial proteins.
PMID- 27189561
TI - Phylo.io: Interactive Viewing and Comparison of Large Phylogenetic Trees on the
Web.
AB - Phylogenetic trees are pervasively used to depict evolutionary relationships.
Increasingly, researchers need to visualize large trees and compare multiple
large trees inferred for the same set of taxa (reflecting uncertainty in the tree
inference or genuine discordance among the loci analyzed). Existing tree
visualization tools are however not well suited to these tasks. In particular,
side-by-side comparison of trees can prove challenging beyond a few dozen taxa.
Here, we introduce Phylo.io, a web application to visualize and compare
phylogenetic trees side-by-side. Its distinctive features are: highlighting of
similarities and differences between two trees, automatic identification of the
best matching rooting and leaf order, scalability to large trees, high usability,
multiplatform support via standard HTML5 implementation, and possibility to store
and share visualizations. The tool can be freely accessed at http://phylo.io and
can easily be embedded in other web servers. The code for the associated
JavaScript library is available at https://github.com/DessimozLab/phylo-io under
an MIT open source license.
PMID- 27189558
TI - A Recent Whole-Genome Duplication Divides Populations of a Globally Distributed
Microsporidian.
AB - The Microsporidia are a major group of intracellular fungi and important
parasites of animals including insects, fish, and immunocompromised humans.
Microsporidian genomes have undergone extreme reductive evolution but there are
major differences in genome size and structure within the group: some are
prokaryote-like in size and organisation (<3 Mb of gene-dense sequence) while
others have more typically eukaryotic genome architectures. To gain fine-scale,
population-level insight into the evolutionary dynamics of these tiny eukaryotic
genomes, we performed the broadest microsporidian population genomic study to
date, sequencing geographically isolated strains of Spraguea, a marine
microsporidian infecting goosefish worldwide. Our analysis revealed that
population structure across the Atlantic Ocean is associated with a conserved
difference in ploidy, with American and Canadian isolates sharing an ancestral
whole genome duplication that was followed by widespread pseudogenisation and
sorting-out of paralogue pairs. While past analyses have suggested de novo gene
formation of microsporidian-specific genes, we found evidence for the origin of
new genes from noncoding sequence since the divergence of these populations. Some
of these genes experience selective constraint, suggesting the evolution of new
functions and local host adaptation. Combining our data with published
microsporidian genomes, we show that nucleotide composition across the phylum is
shaped by a mutational bias favoring A and T nucleotides, which is opposed by an
evolutionary force favoring an increase in genomic GC content. This study reveals
ongoing dramatic reorganization of genome structure and the evolution of new gene
functions in modern microsporidians despite extensive genomic streamlining in
their common ancestor.
PMID- 27189562
TI - How Common Is Parallel Intron Gain? Rapid Evolution Versus Independent Creation
in Recently Created Introns in Daphnia.
AB - The evolutionary history of the spliceosomal introns that interrupt nuclear genes
in eukaryotes has been debated for four decades. Positions of introns show a high
degree of coincidence between various eukaryotes, implying either than many
modern introns are very old and/or that intron creation is highly biased toward
certain sites, leading to rampant parallel intron gain. A series of articles in
this and other journals reported evidence for a strikingly high degree of
parallel insertion of introns in different alleles of the water flea Daphnia
pulex Here, I report several new analyses of these data. Among the 23 loci
reported to be undergoing parallel intron gain, I find that in five cases introns
reported to be unrelated show extended sequence similarity strongly suggesting
that the introns are in fact homologous. Five additional cases show extended
conserved motifs between allegedly unrelated introns. For nearly all loci
including the 13 remaining loci, at least one intron shows hallmarks of rapid
sequence evolution, thwarting confident inference about homology. In addition, I
reanalyze gene trees reconstructed from flanking exonic sequences, claimed by the
original authors as additional evidence for parallel gain. I show that these
phylogenetic trees frequently fail to recover expected relationships, and in any
case show relationships not consistent with parallel intron gains. In total, I
conclude that the data do not support widespread parallel intron gain in D. pulex
These findings strengthen the notion that shared intron positions generally
reflect ancestral introns, and thus the notion of complex genes in early
eukaryotes.
PMID- 27189560
TI - Target-Driven Positive Selection at Hot Spots of Scorpion Toxins Uncovers Their
Potential in Design of Insecticides.
AB - Positive selection sites (PSSs), a class of amino acid sites with an excess of
nonsynonymous to synonymous substitutions, are indicators of adaptive molecular
evolution and have been detected in many protein families involved in a diversity
of biological processes by statistical approaches. However, few studies are
conducted to evaluate their functional significance and the driving force behind
the evolution (i.e., agent of selection). Scorpion alpha-toxins are a class of
multigene family of peptide neurotoxins affecting voltage-gated Na(+ )(Nav)
channels, whose members exhibit differential potency and preference for insect
and mammalian Nav channels. In this study, we undertook a systematical molecular
dissection of nearly all the PSSs newly characterized in the Mesobuthus alpha
toxin family and a two-residue insertion ((19)AlaPhe(20)) located within a
positively selected loop via mutational analysis of alpha-like MeuNaTxalpha-5,
one member affecting both insect and mammalian Nav channels. This allows to
identify hot-spot residues on its functional face involved in interaction with
the receptor site of Nav channels, which comprises two PSSs (Ile(40) and Leu(41))
and the small insertion, both located on two spatially separated functional
loops. Mutations at these hot-spots resulted in a remarkably decreased anti
mammalian activity in MeuNaTxalpha-5 with partially impaired or enhanced
insecticide activity, suggesting the potential of PSSs in designing promising
candidate insecticides from scorpion alpha-like toxins. Based on an experiment
guided toxin-channel complex model and high evolutionary variability in the
receptor site of predators and prey of scorpions, we provide new evidence for
target-driven adaptive evolution of scorpion toxins to deal with their targets'
diversity.
PMID- 27189559
TI - Evolutionary Metabolomics Reveals Domestication-Associated Changes in Tetraploid
Wheat Kernels.
AB - Domestication and breeding have influenced the genetic structure of plant
populations due to selection for adaptation from natural habitats to agro
ecosystems. Here, we investigate the effects of selection on the contents of 51
primary kernel metabolites and their relationships in three Triticum turgidum L.
subspecies (i.e., wild emmer, emmer, durum wheat) that represent the major steps
of tetraploid wheat domestication. We present a methodological pipeline to
identify the signature of selection for molecular phenotypic traits (e.g.,
metabolites and transcripts). Following the approach, we show that a reduction in
unsaturated fatty acids was associated with selection during domestication of
emmer (primary domestication). We also show that changes in the amino acid
content due to selection mark the domestication of durum wheat (secondary
domestication). These effects were found to be partially independent of the
associations that unsaturated fatty acids and amino acids have with other
domestication-related kernel traits. Changes in contents of metabolites were also
highlighted by alterations in the metabolic correlation networks, indicating wide
metabolic restructuring due to domestication. Finally, evidence is provided that
wild and exotic germplasm can have a relevant role for improvement of wheat
quality and nutritional traits.
PMID- 27189563
TI - Evolutionary Consequences of DNA Methylation in a Basal Metazoan.
AB - Gene body methylation (gbM) is an ancestral and widespread feature in Eukarya,
yet its adaptive value and evolutionary implications remain unresolved. The
occurrence of gbM within protein-coding sequences is particularly puzzling,
because methylation causes cytosine hypermutability and hence is likely to
produce deleterious amino acid substitutions. We investigate this enigma using an
evolutionarily basal group of Metazoa, the stony corals (order Scleractinia,
class Anthozoa, phylum Cnidaria). We show that patterns of coral gbM are similar
to other invertebrate species, predicting wide and active transcription and
slower sequence evolution. We also find a strong correlation between gbM and
codon bias, resulting from systematic replacement of CpG bearing codons. We
conclude that gbM has strong effects on codon evolution and speculate that this
may influence establishment of optimal codons.
PMID- 27189565
TI - TriLoNet: Piecing Together Small Networks to Reconstruct Reticulate Evolutionary
Histories.
AB - Phylogenetic networks are a generalization of evolutionary trees that can be used
to represent reticulate processes such as hybridization and recombination. Here,
we introduce a new approach called TriLoNet (Trinet Level- one Network algorithm)
to construct such networks directly from sequence alignments which works by
piecing together smaller phylogenetic networks. More specifically, using a bottom
up approach similar to Neighbor-Joining, TriLoNet constructs level-1 networks
(networks that are somewhat more general than trees) from smaller level-1
networks on three taxa. In simulations, we show that TriLoNet compares well with
Lev1athan, a method for reconstructing level-1 networks from three-leaved trees.
In particular, in simulations we find that Lev1athan tends to generate networks
that overestimate the number of reticulate events as compared with those
generated by TriLoNet. We also illustrate TriLoNet's applicability using
simulated and real sequence data involving recombination, demonstrating that it
has the potential to reconstruct informative reticulate evolutionary histories.
TriLoNet has been implemented in JAVA and is freely available at
https://www.uea.ac.uk/computing/TriLoNet.
PMID- 27189564
TI - How Good Are Statistical Models at Approximating Complex Fitness Landscapes?
AB - Fitness landscapes determine the course of adaptation by constraining and shaping
evolutionary trajectories. Knowledge of the structure of a fitness landscape can
thus predict evolutionary outcomes. Empirical fitness landscapes, however, have
so far only offered limited insight into real-world questions, as the high
dimensionality of sequence spaces makes it impossible to exhaustively measure the
fitness of all variants of biologically meaningful sequences. We must therefore
revert to statistical descriptions of fitness landscapes that are based on a
sparse sample of fitness measurements. It remains unclear, however, how much data
are required for such statistical descriptions to be useful. Here, we assess the
ability of regression models accounting for single and pairwise mutations to
correctly approximate a complex quasi-empirical fitness landscape. We compare
approximations based on various sampling regimes of an RNA landscape and find
that the sampling regime strongly influences the quality of the regression. On
the one hand it is generally impossible to generate sufficient samples to achieve
a good approximation of the complete fitness landscape, and on the other hand
systematic sampling schemes can only provide a good description of the immediate
neighborhood of a sequence of interest. Nevertheless, we obtain a remarkably good
and unbiased fit to the local landscape when using sequences from a population
that has evolved under strong selection. Thus, current statistical methods can
provide a good approximation to the landscape of naturally evolving populations.
PMID- 27189567
TI - Dynamic Evolution of Nitric Oxide Detoxifying Flavohemoglobins, a Family of
Single-Protein Metabolic Modules in Bacteria and Eukaryotes.
AB - Due to their functional independence, proteins that comprise standalone metabolic
units, which we name single-protein metabolic modules, may be particularly prone
to gene duplication (GD) and horizontal gene transfer (HGT). Flavohemoglobins
(flavoHbs) are prime examples of single-protein metabolic modules, detoxifying
nitric oxide (NO), a ubiquitous toxin whose antimicrobial properties many life
forms exploit, to nitrate, a common source of nitrogen for organisms. FlavoHbs
appear widespread in bacteria and have been identified in a handful of microbial
eukaryotes, but how the distribution of this ecologically and biomedically
important protein family evolved remains unknown. Reconstruction of the
evolutionary history of 3,318 flavoHb protein sequences covering the family's
known diversity showed evidence of recurrent HGT at multiple evolutionary scales
including intrabacterial HGT, as well as HGT from bacteria to eukaryotes. One of
the most striking examples of HGT is the acquisition of a flavoHb by the dandruff
and eczema-causing fungus Malassezia from Corynebacterium Actinobacteria, a
transfer that growth experiments show is capable of mediating NO resistance in
fungi. Other flavoHbs arose via GD; for example, many filamentous fungi possess
two flavoHbs that are differentially targeted to the cytosol and mitochondria,
likely conferring protection against external and internal sources of NO,
respectively. Because single-protein metabolic modules such as flavoHb function
independently, readily undergo GD and HGT, and are frequently involved in
organismal defense and competition, we suggest that they represent "plug-and
play" proteins for ecological arms races.
PMID- 27189566
TI - Pervasive Effects of Aging on Gene Expression in Wild Wolves.
AB - Gene expression levels change as an individual ages and responds to environmental
conditions. With the exception of humans, such patterns have principally been
studied under controlled conditions, overlooking the array of developmental and
environmental influences that organisms encounter under conditions in which
natural selection operates. We used high-throughput RNA sequencing (RNA-Seq) of
whole blood to assess the relative impacts of social status, age, disease, and
sex on gene expression levels in a natural population of gray wolves (Canis
lupus). Our findings suggest that age is broadly associated with gene expression
levels, whereas other examined factors have minimal effects on gene expression
patterns. Further, our results reveal evolutionarily conserved signatures of
senescence, such as immunosenescence and metabolic aging, between wolves and
humans despite major differences in life history and environment. The effects of
aging on gene expression levels in wolves exhibit conservation with humans, but
the more rapid expression differences observed in aging wolves is evolutionarily
appropriate given the species' high level of extrinsic mortality due to
intraspecific aggression. Some expression changes that occur with age can
facilitate physical age-related changes that may enhance fitness in older wolves.
However, the expression of these ancestral patterns of aging in descendant modern
dogs living in highly modified domestic environments may be maladaptive and cause
disease. This work provides evolutionary insight into aging patterns observed in
domestic dogs and demonstrates the applicability of studying natural populations
to investigate the mechanisms of aging.
PMID- 27189569
TI - Insertion DNA Accelerates Meiotic Interchromosomal Recombination in Arabidopsis
thaliana.
AB - Nucleotide insertions/deletions are ubiquitous in eukaryotic genomes, and the
resulting hemizygous (unpaired) DNA has significant, heritable effects on
adjacent DNA. However, little is known about the genetic behavior of insertion
DNA. Here, we describe a binary transgenic system to study the behavior of
insertion DNA during meiosis. Transgenic Arabidopsis lines were generated to
carry two different defective reporter genes on nonhomologous chromosomes,
designated as "recipient" and "donor" lines. Double hemizygous plants (harboring
unpaired DNA) were produced by crossing between the recipient and the donor, and
double homozygous lines (harboring paired DNA) via self-pollination. The transfer
of the donor's unmutated sequence to the recipient generated a functional beta
glucuronidase gene, which could be visualized by histochemical staining and
corroborated by polymerase chain reaction amplification and sequencing. More than
673 million seedlings were screened, and the results showed that meiotic ectopic
recombination in the hemizygous lines occurred at a frequency >6.49-fold higher
than that in the homozygous lines. Gene conversion might have been exclusively or
predominantly responsible for the gene correction events. The direct measurement
of ectopic recombination events provided evidence that an insertion, in the
absence of an allelic counterpart, could scan the entire genome for homologous
counterparts with which to pair. Furthermore, the unpaired (hemizygous)
architectures could accelerate ectopic recombination between itself and
interchromosomal counterparts. We suggest that the ectopic recombination
accelerated by hemizygous architectures may be a general mechanism for
interchromosomal recombination through ubiquitously dispersed repeat sequences in
plants, ultimately contributing to genetic renovation and eukaryotic evolution.
PMID- 27189568
TI - microRNAs in the Same Clusters Evolve to Coordinately Regulate Functionally
Related Genes.
AB - MicroRNAs (miRNAs) are endogenously expressed small noncoding RNAs. The genomic
locations of animal miRNAs are significantly clustered in discrete loci. We found
duplication and de novo formation were important mechanisms to create miRNA
clusters and the clustered miRNAs tend to be evolutionarily conserved. We
proposed a "functional co-adaptation" model to explain how clustering helps newly
emerged miRNAs survive and develop functions. We presented evidence that
abundance of miRNAs in the same clusters were highly correlated and those miRNAs
exerted cooperative repressive effects on target genes in human tissues. By
transfecting miRNAs into human and fly cells and extensively profiling the
transcriptome alteration with deep-sequencing, we further demonstrated the
functional co-adaptation between new and old miRNAs in the miR-17-92 cluster. Our
population genomic analysis suggest that positive Darwinian selection might be
the driving force underlying the formation and evolution of miRNA clustering. Our
model provided novel insights into mechanisms and evolutionary significance of
miRNA clustering.
PMID- 27189571
TI - Nondegenerative Evolution in Ancient Heritable Bacterial Endosymbionts of Fungi.
AB - Bacterial endosymbionts are critical to the existence of many eukaryotes. Among
them, vertically transmitted endobacteria are uniquely typified by reduced
genomes and molecular evolution rate acceleration relative to free-living taxa.
These patterns are attributable to genetic drift-dominated degenerative processes
associated with reproductive dependence on the host. The degenerative evolution
scenario is well supported in endobacteria with strict vertical transmission,
such as essential mutualists of insects. In contrast, heritable endosymbionts
that are nonessential to their hosts and engage occasionally in horizontal
transmission are expected to display deviations from the degenerative evolution
model. To explore evolution patterns in such nonessential endobacteria, we
focused on Candidatus Glomeribacter gigasporarum ancient heritable mutualists of
fungi. Using a collection of genomes, we estimated in Glomeribacter mutation rate
at 2.03 * 10(-9) substitutions per site per year and effective population size at
1.44 * 10(8) Both fall within the range of values observed in free-living
bacteria. To assess the ability of Glomeribacter to purge slightly deleterious
mutations, we examined genome-wide dN/dS values and distribution patterns. We
found that these dN/dS profiles cluster Glomeribacter with free-living bacteria
as well as with other nonessential endosymbionts, while distinguishing it from
essential heritable mutualists of insects. Finally, our evolutionary simulations
revealed that the molecular evolution rate acceleration in Glomeribacter is
caused by limited recombination in a largely clonal population rather than by
increased fixation of slightly deleterious mutations. Based on these patterns, we
propose that genome evolution in Glomeribacter is nondegenerative and exemplifies
a departure from the model of degenerative evolution in heritable endosymbionts.
PMID- 27189570
TI - Characterization of the Cadherin-Catenin Complex of the Sea Anemone Nematostella
vectensis and Implications for the Evolution of Metazoan Cell-Cell Adhesion.
AB - The cadherin-catenin complex (CCC) mediates cell-cell adhesion in bilaterian
animals by linking extracellular cadherin-based adhesions to the actin
cytoskeleton. However, it is unknown whether the basic organization of the
complex is conserved across all metazoans. We tested whether protein interactions
and actin-binding properties of the CCC are conserved in a nonbilaterian animal,
the sea anemone Nematostella vectensis We demonstrated that N. vectensis has a
complete repertoire of cadherin-catenin proteins, including two classical
cadherins, one alpha-catenin, and one beta-catenin. Using size-exclusion
chromatography and multi-angle light scattering, we showed that alpha-catenin and
beta-catenin formed a heterodimer that bound N. vectensis Cadherin-1 and -2.
Nematostella vectensis alpha-catenin bound F-actin with equivalent affinity as
either a monomer or an alpha/beta-catenin heterodimer, and its affinity for F
actin was, in part, regulated by a novel insert between the N- and C-terminal
domains. Nematostella vectensis alpha-catenin inhibited Arp2/3 complex-mediated
nucleation of actin filaments, a regulatory property previously thought to be
unique to mammalian alphaE-catenin. Thus, despite significant differences in
sequence, the key interactions of the CCC are conserved between bilaterians and
cnidarians, indicating that the core function of the CCC as a link between cell
adhesions and the actin cytoskeleton is ancestral in the eumetazoans.
PMID- 27189572
TI - Functional Conservation and Divergence of daf-22 Paralogs in Pristionchus
pacificus Dauer Development.
AB - Small-molecule signaling in nematode dauer formation has emerged as a major model
to study chemical communication in development and evolution. Developmental
arrest as nonfeeding and stress-resistant dauer larvae represents the major
survival and dispersal strategy. Detailed studies in Caenorhabditis elegans and
Pristionchus pacificus revealed that small-molecule communication changes rapidly
in evolution resulting in extreme structural diversity of small-molecule
compounds. In C. elegans, a blend of ascarosides constitutes the dauer pheromone,
whereas the P. pacificus dauer pheromone includes additional paratosides and
integrates building blocks from diverse primary metabolic pathways. Despite this
complexity of small-molecule structures and functions, little is known about the
biosynthesis of small molecules in nematodes outside C. elegans Here, we show
that the genes encoding enzymes of the peroxisomal beta-oxidation pathway
involved in small-molecule biosynthesis evolve rapidly, including gene
duplications and domain switching. The thiolase daf-22, the most downstream
factor in C. elegans peroxisomal beta-oxidation, has duplicated in P. pacificus,
resulting in Ppa-daf-22.1, which still contains the sterol-carrier-protein (SCP)
domain that was lost in C. elegans daf-22, and Ppa-daf-22.2. Using the
CRISPR/Cas9 system, we induced mutations in both P. pacificus daf-22 genes and
identified an unexpected complexity of functional conservation and divergence.
Under well-fed conditions, ascaroside biosynthesis proceeds exclusively via Ppa
daf-22.1 In contrast, starvation conditions induce Ppa-daf-22.2 activity,
resulting in the production of a specific subset of ascarosides. Gene expression
studies indicate a reciprocal up-regulation of both Ppa-daf-22 genes, which is,
however, independent of starvation. Thus, our study reveals an unexpected
functional complexity of dauer development and evolution.
PMID- 27189573
TI - Phylodynamics with Migration: A Computational Framework to Quantify Population
Structure from Genomic Data.
AB - When viruses spread, outbreaks can be spawned in previously unaffected regions.
Depending on the time and mode of introduction, each regional outbreak can have
its own epidemic dynamics. The migration and phylodynamic processes are often
intertwined and need to be taken into account when analyzing temporally and
spatially structured virus data. In this article, we present a fully
probabilistic approach for the joint reconstruction of phylodynamic history in
structured populations (such as geographic structure) based on a multitype birth
death process. This approach can be used to quantify the spread of a pathogen in
a structured population. Changes in epidemic dynamics through time within
subpopulations are incorporated through piecewise constant changes in
transmission parameters.We analyze a global human influenza H3N2 virus data set
from a geographically structured host population to demonstrate how seasonal
dynamics can be inferred simultaneously with the phylogeny and migration process.
Our results suggest that the main migration path among the northern, tropical,
and southern region represented in the sample analyzed here is the one leading
from the tropics to the northern region. Furthermore, the time-dependent
transmission dynamics between and within two HIV risk groups, heterosexuals and
injecting drug users, in the Latvian HIV epidemic are investigated. Our analyses
confirm that the Latvian HIV epidemic peaking around 2001 was mainly driven by
the injecting drug user risk group.
PMID- 27189576
TI - Editorial.
PMID- 27189575
TI - Long-term expression of miRNA for RNA interference using a novel vector system
based on a negative-strand RNA virus.
AB - RNA interference (RNAi) has emerged as a promising technique for gene therapy.
However, the safe and long-term expression of small RNA molecules is a major
concern for the application of RNAi therapies in vivo. Borna disease virus (BDV),
a non-segmented, negative-strand RNA virus, establishes a persistent infection
without obvious cytopathic effects. Unique among animal non-retroviral RNA
viruses, BDV persistently establishes a long-lasting persistent infection in the
nucleus. These features make BDV ideal for RNA virus vector persistently
expressing small RNAs. Here, we demonstrated that the recombinant BDV (rBDV)
containing the miR-155 precursor, rBDV-miR-155, persistently expressed miR-155
and efficiently silenced its target gene. The stem region of the miR-155
precursor in rBDV-miR-155 was replaceable by any miRNA sequences of interest and
that such rBDVs efficiently silence the expression of target genes. Collectively,
BDV vector would be a novel RNA virus vector enabling the long-term expression of
miRNAs for RNAi therapies.
PMID- 27189577
TI - Cytotoxic T cells go awry in inclusion body myositis.
PMID- 27189574
TI - Macrophage ABHD5 promotes colorectal cancer growth by suppressing spermidine
production by SRM.
AB - Metabolic reprogramming in stromal cells plays an essential role in regulating
tumour growth. The metabolic activities of tumour-associated macrophages (TAMs)
in colorectal cancer (CRC) are incompletely characterized. Here, we identify TAM
derived factors and their roles in the development of CRC. We demonstrate that
ABHD5, a lipolytic co-activator, is ectopically expressed in CRC-associated
macrophages. We demonstrate in vitro and in mouse models that macrophage ABHD5
potentiates growth of CRC cells. Mechanistically, ABHD5 suppresses spermidine
synthase (SRM)-dependent spermidine production in macrophages by inhibiting the
reactive oxygen species-dependent expression of C/EBPE, which activates
transcription of the srm gene. Notably, macrophage-specific ABHD5 transgene
induced CRC growth in mice can be prevented by an additional SRM transgene in
macrophages. Altogether, our results show that the lipolytic factor ABHD5
suppresses SRM-dependent spermidine production in TAMs and potentiates the growth
of CRC. The ABHD5/SRM/spermidine axis in TAMs might represent a potential target
for therapy.
PMID- 27189578
TI - Cerebellum in Alzheimer's disease and frontotemporal dementia: not a silent
bystander.
PMID- 27189579
TI - Monitoring the progression of Alzheimer's disease with tau-PET.
PMID- 27189580
TI - Distinct tau PET imaging patterns in typical and atypical Alzheimer's disease.
PMID- 27189582
TI - Corrigendum.
PMID- 27189583
TI - Prevalence estimation of tick-borne encephalitis virus (TBEV) antibodies in dogs
from Finland using novel dog anti-TBEV IgG MAb-capture and IgG immunofluorescence
assays based on recombinant TBEV subviral particles.
AB - Tick-borne encephalitis (TBE) is one of the most dangerous human neurological
infections occurring in Europe and Northern parts of Asia with thousands of cases
and millions vaccinated against it. The risk of TBE might be assessed through
analyses of the samples taken from wildlife or from animals which are in close
contact with humans. Dogs have been shown to be a good sentinel species for these
studies. Serological assays for diagnosis of TBE in dogs are mainly based on
purified and inactivated TBEV antigens. Here we describe novel dog anti-TBEV IgG
monoclonal antibody (MAb)-capture assay which is based on TBEV prME subviral
particles expressed in mammalian cells from Semliki Forest virus (SFV) replicon
as well as IgG immunofluorescence assay (IFA) which is based on Vero E6 cells
transfected with the same SFV replicon. We further demonstrate their use in a
small-scale TBEV seroprevalence study of dogs representing different regions of
Finland. Altogether, 148 dog serum samples were tested by novel assays and
results were compared to those obtained with a commercial IgG enzyme immunoassay
(EIA), hemagglutination inhibition test and IgG IFA with TBEV infected cells.
Compared to reference tests, the sensitivities of the developed assays were 90
100% and the specificities of the two assays were 100%. Analysis of the dog serum
samples showed a seroprevalence of 40% on Aland Islands and 6% on Southwestern
archipelago of Finland. In conclusion, a specific and sensitive EIA and IFA for
the detection of IgG antibodies in canine sera were developed. Based on these
assays the seroprevalence of IgG antibodies in dogs from different regions of
Finland was assessed and was shown to parallel the known human disease burden as
the Southwestern archipelago and Aland Islands in particular had considerable dog
TBEV antibody prevalence and represent areas with high risk of TBE for humans.
PMID- 27189584
TI - Tick-borne encephalitis-still on the map: Report of the 18th annual meeting of
the international scientific working group on tick-borne encephalitis (ISW-TBE).
AB - The 18th meeting of the International Scientific Working Group on Tick-Borne
Encephalitis (ISW-TBE)-a group of neurologists, general practitioners,
clinicians, travel physicians, virologists, pediatricians and epidemiologists-was
held under the title 'Tick-borne encephalitis-still on the map'. The conference
agenda was divided into six sessions: 'National Implementation of EU notifiable
disease status', 'Virology', 'Epidemiology and Risk areas & Poster Walk
Epidemiological Update', 'Clinic', 'Environmental Factors' and 'New Findings and
Diagnosis'. Key topics such as 'TBE as a notifiable disease-results of the third
European survey', 'TBE vaccines over the years', 'Overview of flaviviruses', 'TBE
virus phylogenetics', 'Current epidemiological developments and investigations',
'Clinical aspects', 'TBE in veterinary medicine', 'Laboratory diagnostic',
'Occupational risk', 'Allergy, obesity, and vaccination' were presented and
extensively discussed.
PMID- 27189585
TI - Celebrating variability and a call to limit systematisation: the example of the
Behaviour Change Technique Taxonomy and the Behaviour Change Wheel.
AB - Within any discipline there is always a degree of variability. For medicine it
takes the form of Health Professional's behaviour, for education it's the style
and content of the classroom, and for health psychology, it can be found in
patient's behaviour, the theories used and clinical practice. Over recent years,
attempts have been made to reduce this variability through the use of the
Behaviour Change Technique Taxonomy, the COM-B and the Behaviour Change Wheel.
This paper argues that although the call for better descriptions of what is done
is useful for clarity and replication, this systematisation may be neither
feasible nor desirable. In particular, it is suggested that the gaps inherent in
the translational process from coding a protocol to behaviour will limit the
effectiveness of reducing patient variability, that theory variability is
necessary for the health and well-being of a discipline and that practice
variability is central to the professional status of our practitioners. It is
therefore argued that we should celebrate rather than remove this variability in
order for our discipline to thrive and for us to remain as professionals rather
than as technicians.
PMID- 27189586
TI - Targeting membrane proteins for antibody discovery using phage display.
AB - A critical factor in the successful isolation of new antibodies by phage display
is the presentation of a correctly folded antigen. While this is relatively
simple for soluble proteins which can be purified and immobilized onto a plastic
surface, membrane proteins offer significant challenges for antibody discovery.
Whole cell panning allows presentation of the membrane protein in its native
conformation, but is complicated by a low target antigen density, high background
of irrelevant antigens and non-specific binding of phage particles to cell
surfaces. The method described here uses transient transfection of alternating
host cell lines and stringent washing steps to address each of these limitations.
The successful isolation of antibodies from a naive scFv library is described for
three membrane bound proteins; human CD83, canine CD117 and bat CD11b.
PMID- 27189581
TI - Activational and effort-related aspects of motivation: neural mechanisms and
implications for psychopathology.
AB - Motivation has been defined as the process that allows organisms to regulate
their internal and external environment, and control the probability, proximity
and availability of stimuli. As such, motivation is a complex process that is
critical for survival, which involves multiple behavioural functions mediated by
a number of interacting neural circuits. Classical theories of motivation suggest
that there are both directional and activational aspects of motivation, and
activational aspects (i.e. speed and vigour of both the instigation and
persistence of behaviour) are critical for enabling organisms to overcome work
related obstacles or constraints that separate them from significant stimuli. The
present review discusses the role of brain dopamine and related circuits in
behavioural activation, exertion of effort in instrumental behaviour, and effort
related decision-making, based upon both animal and human studies. Impairments in
behavioural activation and effort-related aspects of motivation are associated
with psychiatric symptoms such as anergia, fatigue, lassitude and psychomotor
retardation, which cross multiple pathologies, including depression,
schizophrenia, and Parkinson's disease. Therefore, this review also attempts to
provide an interdisciplinary approach that integrates findings from basic
behavioural neuroscience, behavioural economics, clinical neuropsychology,
psychiatry, and neurology, to provide a coherent framework for future research
and theory in this critical field. Although dopamine systems are a critical part
of the brain circuitry regulating behavioural activation, exertion of effort, and
effort-related decision-making, mesolimbic dopamine is only one part of a
distributed circuitry that includes multiple neurotransmitters and brain areas.
Overall, there is a striking similarity between the brain areas involved in
behavioural activation and effort-related processes in rodents and in humans.
Animal models of effort-related decision-making are highly translatable to
humans, and an emerging body of evidence indicates that alterations in effort
based decision-making are evident in several psychiatric and neurological
disorders. People with major depression, schizophrenia, and Parkinson's disease
show evidence of decision-making biases towards a lower exertion of effort.
Translational studies linking research with animal models, human volunteers, and
clinical populations are greatly expanding our knowledge about the neural basis
of effort-related motivational dysfunction, and it is hoped that this research
will ultimately lead to improved treatment for motivational and psychomotor
symptoms in psychiatry and neurology.
PMID- 27189588
TI - Does monosodium glutamate really cause headache? : a systematic review of human
studies.
AB - Although monosodium glutamate (MSG) is classified as a causative substance of
headache in the International Classification of Headache Disorders 3rd edition
(ICHD-III beta), there is no literature in which causal relationship between MSG
and headache was comprehensively reviewed. We performed systematic review of
human studies which include the incidence of headache after an oral
administration of MSG. An analysis was made by separating the human studies with
MSG administration with or without food, because of the significant difference of
kinetics of glutamate between those conditions (Am J Clin Nutr 37:194-200, 1983;
J Nutr 130:1002S-1004S, 2000) and there are some papers which report the
difference of the manifestation of symptoms after MSG ingestion with or without
food (Food Chem Toxicol 31:1019-1035, 1993; J Nutr 125:2891S-2906S, 1995). Of
five papers including six studies with food, none showed a significant difference
in the incidence of headache except for the female group in one study. Of five
papers including seven studies without food, four studies showed a significant
difference. Many of the studies involved administration of MSG in solution at
high concentrations (>2 %). Since the distinctive MSG is readily identified at
such concentrations, these studies were thought not to be properly blinded.
Because of the absence of proper blinding, and the inconsistency of the findings,
we conclude that further studies are required to evaluate whether or not a causal
relationship exists between MSG ingestion and headache.
PMID- 27189587
TI - Endovanilloids are potential activators of the trigeminovascular nocisensor
complex.
AB - BACKGROUND: In the dura mater encephali a significant population of trigeminal
afferents coexpress the nociceptive ion channel transient receptor potential
vanilloid type 1 (TRPV1) receptor and calcitonin gene-related peptide (CGRP).
Release of CGRP serves the central transmission of sensory information, initiates
local tissue reactions and may also sensitize the nociceptive pathway. To reveal
the possible activation of meningeal TRPV1 receptors by endogenously synthetized
agonists, the effects of arachidonylethanolamide (anandamide) and N-arachidonoyl
dopamine (NADA) were studied on dural vascular reactions and meningeal CGRP
release. METHODS: Changes in meningeal blood flow were measured with laser
Doppler flowmetry in a rat open cranial window preparation following local dural
applications of anandamide and NADA. The release of CGRP evoked by endovanilloids
was measured with ELISA in an in vitro dura mater preparation. RESULTS: Topical
application of NADA induced a significant dose-dependent increase in meningeal
blood flow that was markedly inhibited by pretreatments with the TRPV1 antagonist
capsazepine, the CGRP antagonist CGRP8-37, or by prior systemic capsaicin
desensitization. Administration of anandamide resulted in minor increases in
meningeal blood flow that was turned into vasoconstriction at the higher
concentration. In the in vitro dura mater preparation NADA evoked a significant
increase in CGRP release. Cannabinoid CB1 receptors of CGRP releasing nerve
fibers seem to counteract the TRPV1 agonistic effect of anandamide in a dose
dependent fashion, a result which is confirmed by the facilitating effect of CB1
receptor inhibition on CGRP release and its reversing effect on the blood flow.
CONCLUSIONS: The present findings demonstrate that endovanilloids are potential
activators of meningeal TRPV1 receptors and, consequently the trigeminovascular
nocisensor complex that may play a significant role in the pathophysiology of
headaches. The results also suggest that prejunctional CB1 receptors may modulate
meningeal vascular responses.
PMID- 27189590
TI - The assignment of the configuration for alpha-hydroxy acid esters using a CEC
strategy.
AB - A simple and efficient (1)H NMR method for determining the absolute configuration
of chiral alpha-hydroxy acid esters using a competing enantioselective conversion
(CEC) strategy was developed. The alpha-hydroxy acid esters were acylated in the
presence of Feng's chiral N,N'-dioxide-scandium(iii) complex, and the faster
reaction was identified when one enantiomer of the chiral alpha-hydroxy acid
ester was treated with both enantiomers of the ligand by NMR analysis of the
reaction mixture without further purification. A mnemonic is presented to aid the
assignment of the absolute configuration of the substrates.
PMID- 27189589
TI - Don't worry; be informed about the epigenetics of anxiety.
AB - Epigenetic processes regulate gene expression independent of the DNA sequence and
are increasingly being investigated as contributors to the development of
behavioral disorders. Environmental insults, such as stress, diet, or toxin
exposure, can affect epigenetic mechanisms, including chromatin remodeling, DNA
methylation, and non-coding RNAs that, in turn, alter the organism's phenotype.
In this review, we examine the literature, derived at both the preclinical
(animal) and clinical (human) levels, on epigenetic alterations associated with
anxiety disorders. Using animal models of anxiety, researchers have identified
epigenetic changes in several limbic and cortical brain regions known to be
involved in stress and emotion responses. Environmental manipulations have been
imposed prior to conception, during prenatal or early postnatal periods, and at
juvenile and adult ages. Time of perturbation differentially affects the
epigenome and many changes are brain region-specific. Although some sex-dependent
effects are reported in animal studies, more research employing both sexes is
needed particularly given that females exhibit a disproportionate number of
anxiety disorders. The human literature is in its infancy but does reveal some
epigenetic associations with anxiety behaviors and disorders. In particular,
effects in monoaminergic systems are seen in line with evidence from etiological
and treatment research. Further, there is evidence that epigenetic changes may be
inherited to affect subsequent generations. We speculate on how epigenetic
processes may interact with genetic contributions to inform prevention and
treatment strategies for those who are at risk for or have anxiety disorders.
PMID- 27189591
TI - Effects of age and sex on neuromuscular-mechanical determinants of muscle
strength.
AB - The aim of this study was to concurrently assess the effect of age on
neuromuscular and mechanical properties in 24 young (23.6 +/- 3.7 years) and 20
older (66.5 +/- 3.8 years) healthy males and females. Maximal strength of knee
extensors (KE) and flexors (KF), contractile rate of torque development (RTD) and
neural activation of agonist-antagonist muscles (surface EMG) were examined
during maximal voluntary isometric contraction (MVIC). Tissue stiffness (i.e.
musculo-articular stiffness (MAS) and muscle stiffness (MS)) was examined via the
free-oscillation technique, whereas muscle architecture (MA) of the vastus
lateralis and subcutaneous fat were measured by ultrasonography. Males exhibited
a greater age-related decline for KE (47.4 %) and KF (53.1 %) MVIC, and RTD (60.4
%) when compared to females (32.9, 42.6 and 34.0 %, respectively). Neural
activation of agonist muscles during KE MVIC falls markedly with ageing; however,
no age and sex effects were observed in the antagonist co-activation. MAS and MS
were lower in elderly compared with young participants and in females compared
with males. Regarding MA, main effects for age (young 23.0 +/- 3.3 vs older 19.5
+/- 2.0 mm) and sex (males 22.4 +/- 3.5 vs females 20.4 +/- 2.7 mm) were detected
in muscle thickness. For fascicle length, there was an effect of age (young 104.6
+/- 8.8 vs older 89.8 +/- 10.5 mm), while for pennation angle, there was an
effect of sex (males 13.3 +/- 2.4 vs females 11.5 +/- 1.7 degrees ). These
findings suggest that both neuromuscular and mechanical declines are important
contributors to the age-related loss of muscle strength/function but with some
peculiar sex-related differences.
PMID- 27189592
TI - Evaluation and comparison of a flumethrin-imidacloprid collar and repeated
monthly treatments of fipronil/(s)-methoprene to control flea, Ctenocephalides f.
felis, infestations on cats for eight months.
AB - BACKGROUND: This controlled laboratory study was designed to evaluate the
efficacy of the 10 % imidacloprid/4.5 % flumethrin collar (Seresto(r), Bayer
Animal Health) against fleas (Ctenocephalides f. felis) on cats, when compared to
fipronil (9.8 %w/w)/(s)-methoprene (11.8 % w/w) topical spot-on formulation
(Frontline(r) Plus for Cats and Kittens, Merial). METHODS: Thirty cats were
randomized into three groups of ten animals based on pre-treatment flea counts:
Group 1: imidacloprid/flumethrin collar; Group 2: fipronil/(s)-methoprene topical
spot-on and Group 3: non-treated controls. The imidacloprid/flumethrin collars
were applied one time on Day 0, while the fipronil/(s)-methoprene spot-on was
administered every 30 days from Day 0 through Day 210. Cats were infested with
100 fleas on study days 0, 7, 14, 29, 59, 89, 119, 149, 179, 209 and 239. All
flea counts were conducted by combing to remove fleas on post-treatment days 2,
8, 15, 30, 60, 90, 120, 150, 180, 210 and 240. RESULTS: The efficacy of the
imidacloprid/flumethrin collar ranged from 98.2 to 100 % for eight months. The
efficacy of fipronil/(s)-methoprene spot-on ranged from 68.2 to 99.9 %. Efficacy
was < 85 % for fipronil/(s)-methoprene on Days 90, 150 and 210. The flea counts
in both treatment groups were significantly fewer than those in the non-treated
control group at every post-treatment study day (P < 0.0001). In addition, there
were significantly fewer fleas in the imidacloprid/flumethrin collar group when
compared to the fipronil/(s)-methoprene group on Days 90, 150 and 210 (P <
0.0001). CONCLUSIONS: This study demonstrated that the imidacloprid/flumethrin
collar (Seresto(r), Bayer Animal Health) maintained excellent ( > 98.2 %)
efficacy against fleas on cats for the entire 8 month study. Monthly applications
of fipronil/(s)-methoprene (Frontline(r) Plus for Cats and Kittens, Merial)
generally had high, but variable (68.2 to 99.9 %) efficacy over the course of the
eight month study. Based on the very high residual efficacy achieved by the
imidacloprid/flumethrin collar in this study, veterinarians should expect that
this collar will control and eliminate existing flea infestations on cats and in
their in-home premises as long as every flea infested host is treated.
PMID- 27189594
TI - Bisphenol A in Hemodialysis Patient: An Open Question.
PMID- 27189593
TI - Risk of Liver Injury Associated with Green Tea Extract in SLIMQUICK((r)) Weight
Loss Products: Results from the DILIN Prospective Study.
AB - INTRODUCTION: Herbal and dietary supplements (HDS) have been increasingly
recognized as a cause for acute liver injury (Navarro et al. Hepatology
60(4):1399-1408, 2014; Bailey et al. J Nutr 141:261-266, 2011). HDS products
frequently contain numerous ingredients, and are marketed under various product
names. A perusal of marketed weight loss products indicates that green tea
extract (GTE) is a common ingredient in many. We aimed to describe the course and
outcome of six patients who developed liver injury attributed to SLIMQUICK((r))
weight loss products. METHODS: Patients with suspected drug-induced liver injury
were enrolled in a prospective study of the Drug-Induced Liver Injury Network
(DILIN) and causality was assessed by a panel of hepatologists. During the period
under study, 6 of 1091 cases of liver injury were attributed to a SLIMQUICK((r))
product and were assigned causality scores of probable, highly likely, or
definite. RESULTS: Six cases of acute liver injury attributed to SLIMQUICK((r))
products were enrolled in the DILIN prospective study between 2007 and 2011. All
were women aged 22 to 58 years. Two had a normal body weight and four were mildly
obese (body mass index 22.9-32.2 kg/m(2)). All were taking SLIMQUICK((r))
products for weight loss and no patient reported prior use. Laboratory tests
revealed a hepatocellular pattern of injury, with initial alanine
aminotransferase (ALT) levels above 1000 U/L in all but one patient. Three
patients were hospitalized and one underwent successful liver transplantation. No
patients died of liver injury. GTE and/or its component catechins were listed
among the ingredients for five of the six products. CONCLUSIONS: SLIMQUICK((r))
products can lead to severe acute hepatocellular liver injury, which may result
in transplantation. Given the frequency of GTE as a component in weight loss
products, this ingredient should be studied further as a possible cause for liver
injury.
PMID- 27189596
TI - Pyrococcus kukulkanii sp. nov., a hyperthermophilic, piezophilic archaeon
isolated from a deep-sea hydrothermal vent.
AB - A novel hyperthermophilic, piezophilic, anaerobic archaeon, designated NCB100T,
was isolated from a hydrothermal vent flange fragment collected in the Guaymas
basin at the hydrothermal vent site named 'Rebecca's Roost' at a depth of 1997 m.
Enrichment and isolation were performed at 100 degrees C under atmospheric
pressure. Cells of strain NCB100T were highly motile, irregular cocci with a
diameter of ~1 um. Growth was recorded at temperatures between 70 and 112
degrees C (optimum 105 degrees C) and hydrostatic pressures of 0.1-80 MPa
(optimum 40-50 MPa). Growth was observed at pH 3.5-8.5 (optimum pH 7) and with
1.5-7 % NaCl (optimum at 2.5-3 %). Strain NCB100T was a strictly anaerobic chemo
organoheterotroph and grew on complex proteinaceous substrates such as yeast
extract, peptone and tryptone, as well as on glycogen and starch. Elemental
sulfur was required for growth and was reduced to hydrogen sulfide. The
fermentation products from complex proteinaceous substrates were CO2 and H2. The
G+C content of the genomic DNA was 41.3 %. Phylogenetic analysis of the 16S rRNA
gene sequence revealed that strain NCB100T belongs to the genus Pyrococcus,
showing 99 % similarity with the other described species of the genus Pyrococcus.
On the basis of physiological characteristics, DNA G+C content, similarity level
between ribosomal proteins and an average nucleotide identity value of 79 %,
strain NCB100T represents a novel species for which the name Pyrococcus
kukulkanii sp. nov. is proposed. The type strain is NCB100T (=DSM
101590T=Souchotheque de Bretagne BG1337T).
PMID- 27189597
TI - Treatment of gynecological disease in obese patient: which role for telelap ALF-X
platform?
PMID- 27189595
TI - The Breathing for Life Trial: a randomised controlled trial of fractional exhaled
nitric oxide (FENO)-based management of asthma during pregnancy and its impact on
perinatal outcomes and infant and childhood respiratory health.
AB - BACKGROUND: Asthma exacerbations are common during pregnancy and associated with
an increased risk of adverse perinatal outcomes. Adjusting asthma treatment based
on airway inflammation rather than symptoms reduces the exacerbation rate by 50
%. The Breathing for Life Trial (BLT) will test whether this approach also
improves perinatal outcomes. METHODS/DESIGN: BLT is a multicentre, parallel
group, randomised controlled trial of asthma management guided by fractional
exhaled nitric oxide (FENO, a marker of eosinophilic airway inflammation)
compared to usual care, with prospective infant follow-up. Women with physician
diagnosed asthma, asthma symptoms and/or medication use in the previous 12
months, who are 12-22 weeks gestation, will be eligible for inclusion. Women
randomised to the control group will have one clinical assessment of their
asthma, including self-management education. Any treatment changes will be made
by their general practitioner. Women randomised to the intervention group will
have clinical assessments every 3-6 weeks during pregnancy, and asthma treatments
will be adjusted every second visit based on an algorithm which uses FENO to
adjust inhaled corticosteroid (ICS) dose (increase in dose when FENO >29 parts
per billion (ppb), decrease in dose when FENO <19 ppb, and no change when FENO is
between 19 and 29 ppb). A long acting beta agonist (LABA) will be added when
symptoms remain uncontrolled. Both the control and intervention groups will
report on exacerbations at a postpartum phone interview. The primary outcome is
adverse perinatal outcome (a composite measure including preterm birth,
intrauterine growth restriction, neonatal hospitalisation at birth or perinatal
mortality), assessed from hospital records. Secondary outcomes will be each
component of the primary outcome, maternal exacerbations requiring medical
intervention during pregnancy (both smokers and non-smokers), and hospitalisation
and emergency department presentation for wheeze, bronchiolitis or croup in the
first 12 months of infancy. Outcome assessment and statistical analysis of the
primary outcome will be blinded. To detect a reduction in adverse perinatal
outcomes from 35 % to 26 %, 600 pregnant women with asthma per group are
required. DISCUSSION: This trial will provide evidence for the effectiveness of a
FENO-based management strategy in improving perinatal outcomes in pregnant women
with asthma. If successful, this would improve the management of pregnant women
with asthma worldwide. TRIAL REGISTRATION: Australian New Zealand Clinical Trials
Registry ACTRN12613000202763 .
PMID- 27189598
TI - Therapeutic Approaches Targeting Pathological Tau Aggregates.
AB - Neurodegenerative diseases characterized by the accumulation of tau aggregates
are increasing in prevalence to epidemic-like levels and there is currently no
effective treatment. For many years, the focus of tau-based research was on the
fibrillar, neurofibrillary tangles. However, the compilation of evidence obtained
from numerous laboratories in the past few years suggests that soluble
intermediate aggregates-tau oligomers-are actually the most toxic protein species
in disease. Thus, therapeutic agents that target oligomeric tau specifically may
be the most effective routes for treatment. A great deal of progress has been
made in the pre-clinical evaluation of a number of different anti-tau
therapeutics. Upstream modulators of tau modifications have been evaluated and
may provide some benefits, but likely will not be capable of eliminating toxic
tau entirely. Protein chaperones capable of modulating the structure of tau and
targeting it for degradation are another field of study, however, the broad
effects of chaperones make side effects a concern. Thus, more specific agents
capable of eliminating the most toxic species in disease are promising. Small
molecules designed to inhibit aggregation, as well as immunotherapy with
antibodies specific for toxic tau aggregates present the most advancement as
potential treatments. The concerted effort across a number of groups to
investigate potential mechanisms to inhibit tau toxicity represents great
progress in the field and provides hope that effective treatments will be
discovered.
PMID- 27189599
TI - Non-Demented Individuals with Alzheimer's Disease Neuropathology: Resistance to
Cognitive Decline May Reveal New Treatment Strategies.
AB - Alzheimer's disease (AD) is a terminal neurodegenerative disorder that is
characterized by accumulation of amyloid plaques and neurofibrillary tangles in
the central nervous system. However, certain individuals remain cognitively
intact despite manifestation of substantial plaques and tangles consistent with
what would be normally associated with fully symptomatic AD. Mechanisms that
allow these subjects to escape dementia remain unresolved and understanding such
protective biological processes could reveal novel targets for the development of
effective treatments for AD. In this review article we discuss potential
compensatory mechanisms that allow these individuals to remain cognitively intact
despite the typical AD neuropathology.
PMID- 27189601
TI - Heterocyclic Scaffolds for the Treatment of Alzheimer's Disease.
AB - BACKGROUND: The treatment and diagnosis of Alzheimer's Disease (AD) are two of
the most urgent goals for research around the world. The cognitive decline is
generally associated with the elevated levels of extracellular senile plaques,
intracellular neurofibrillary tangles (NFTs), and with a progressive shutdown of
the cholinergic basal forebrain neurons transmission. Even if several key targets
are under fervent investigation in the cure of AD, till now, the only approved
therapeutic strategy is the treatment of symptoms by using cholinesterases
inhibitors. It has been demonstrated that both acetylcholinesterase (AChE) and
butyrylcholinesterase (BuChE) enzymes are not only responsible of acetylcholine
levels, but also play an pivotal role in Abeta-aggregation during the early
stages of senile plaque formation. On the other hand the difficult management of
AD is also related to effective diagnostic methods and efficient assays for the
study of pathological features. In such complex a wide framework, heterocyclic
molecules are essential backbone to build new and selective drugs as well as
diagnostic probes. METHODS: The goal of this review is to examine a selected
sample of relevant applications of five- and six-membered heterocycles in AD's
therapeutic approaches. RESULTS: Concerning the research on AD, the contribution
of heterocyclic compounds is huge and here we report some representative
examples. The review is organized in two main sections focused on five and six
membered heterocycles. The analyzed cases have been classified on the base of the
structural features of molecules, taking into account the progressive increase in
heteroatoms number. CONCLUSION: The discovery of an effective therapy or a
diagnostic protocol for AD is still far, but consistent improvements are underway
and contribution of heterocyclic compounds will be consistent and hopefully
determinant.
PMID- 27189602
TI - Alzheimer's Disease and Molecular Chaperones: Current Knowledge and the Future of
Chaperonotherapy.
AB - BACKGROUND: Alzheimer's disease (AD) is a dementia, a neurodegenerative
condition, and a protein-misfolding disease or proteinopathy, characterized by
protein deposits, extracellular plaques and intracellular neurofibrillary
tangles, which contain the AD's typical pathological proteins, abnormal beta
amyloid and hyperphosphorylated tau, respectively, and are located predominantly
in the cortex of the frontal, parietal, and temporal brain lobes. What is the
role of molecular chaperones in AD? Data indicate that molecular chaperones, also
known as Hsp, are involved in AD, probably displaying protective roles and/or
acting as pathogenic factors as it occurs in chaperonopathies in which case AD
would be suitable to chaperonotherapy. Hsp60, Hsp70, and Hsp90 can be augmented
and overexpressed or diminished and downregulated in various situations in AD
affected tissues and cells, indicating they are active during disease development
and progression. QUESTION: What is the role of molecular chaperones in AD? Data
indicate that molecular chaperones, also known as Hsp, are involved in AD,
probably displaying protective roles and/or acting as pathogenic factors as it
occurs in chaperonopathies in which case AD would be suitable to
chaperonotherapy. OBJECTIVE: Investigate the role of Hsp in AD, focusing on
Hsp60, Hsp70, and Hsp90. METHOD: Critical examination of published data. RESULTS:
Hsp60, Hsp70, and Hsp90 can be augmented and overexpressed or diminished and
downregulated in various situations in AD affected tissues and cells, indicating
they are active during disease development and progression. CONCLUSION AND
PERSPECTIVES: Notwithstanding that the roles and mechanisms of action of
chaperones in AD are still incompletely understood, there is already enough
evidence to encourage the development of therapeutic strategies targeting them,
either to block their activity in case they promote disease progression or to
boost their performance when they are protective. The latter is an example of
positive chaperonotherapy, which also includes chaperone replacement via gene or
protein administration. On the contrary, if a chaperone is found to help the
disease, it has to be blocked or eliminated, which constitute modalities of
negative chaperonotherapy.
PMID- 27189603
TI - Gender Differences in Autonomic Control of the Cardiovascular System.
AB - BACKGROUND: The autonomic nervous system (ANS) is a key regulator of the
cardiovascular system. The two arms of the ANS, sympathetic and parasympathetic
(vagal) have co-regulatory effects on cardiac homeostasis. ANS modulation and
dysfunction are also believed to affect various cardiac disease states. Over the
past decade, there has been increasing evidence suggesting gender differences in
ANS activity. METHODS: In multiple previous studies, ANS activity was primarily
assessed using heart rate variability, muscle sympathetic nerve activity,
coronary blood flow velocity, and plasma biomarkers. Heart rate variability is a
non-invasive measure, which can be analyzed in terms of low frequency and high
frequency oscillations, which indicate the sympathetic and parasympathetic tone,
respectively. These measures have been studied between women and men in states of
rest and stress, and in cardiac disease. CONCLUSION: Studies support the concept
of a significant gender difference in ANS activity. Further studies are indicated
to elucidate specific differences and mechanisms, which could guide targeted
therapy of various cardiovascular disease states.
PMID- 27189605
TI - Erratum to: A retrospective analysis of nonresponse to daily teriparatide
treatment.
PMID- 27189606
TI - PolyQ 2.0: an improved version of PolyQ, a database of human polyglutamine
proteins.
PMID- 27189604
TI - Room temperature housing results in premature cancellous bone loss in growing
female mice: implications for the mouse as a preclinical model for age-related
bone loss.
AB - Room temperature housing (22 degrees C) results in premature cancellous bone
loss in female mice. The bone loss was prevented by housing mice at thermoneutral
temperature (32 degrees C). Thermogenesis differs markedly between mice and
humans and mild cold stress induced by standard room temperature housing may
introduce an unrecognized confounding variable into preclinical studies.
INTRODUCTION: Female mice are often used as preclinical models for osteoporosis
but, in contrast to humans, mice exhibit cancellous bone loss during growth. Mice
are routinely housed at room temperature (18-23 degrees C), a strategy that
exaggerates physiological differences in thermoregulation between mice
(obligatory daily heterotherms) and humans (homeotherms). The purpose of this
investigation was to assess whether housing female mice at thermoneutral
(temperature range where the basal rate of energy production is at equilibrium
with heat loss) alters bone growth, turnover and microarchitecture. METHODS:
Growing (4-week-old) female C57BL/6J and C3H/HeJ mice were housed at either 22 or
32 degrees C for up to 18 weeks. RESULTS: C57BL/6J mice housed at 22 degrees C
experienced a 62 % cancellous bone loss from the distal femur metaphysis during
the interval from 8 to 18 weeks of age and lesser bone loss from the distal femur
epiphysis, whereas cancellous and cortical bone mass in 32 degrees C-housed mice
were unchanged or increased. The impact of thermoneutral housing on cancellous
bone was not limited to C57BL/6J mice as C3H/HeJ mice exhibited a similar
skeletal response. The beneficial effects of thermoneutral housing on cancellous
bone were associated with decreased Ucp1 gene expression in brown adipose tissue,
increased bone marrow adiposity, higher rates of bone formation, higher
expression levels of osteogenic genes and locally decreased bone resorption.
CONCLUSIONS: Housing female mice at 22 degrees C resulted in premature
cancellous bone loss. Failure to account for species differences in
thermoregulation may seriously confound interpretation of studies utilizing mice
as preclinical models for osteoporosis.
PMID- 27189600
TI - AFM-Based Single Molecule Techniques: Unraveling the Amyloid Pathogenic Species.
AB - BACKGROUND: A wide class of human diseases and neurodegenerative disorders, such
as Alzheimer's disease, is due to the failure of a specific peptide or protein to
keep its native functional conformational state and to undergo a conformational
change into a misfolded state, triggering the formation of fibrillar cross-beta
sheet amyloid aggregates. During the fibrillization, several coexisting species
are formed, giving rise to a highly heterogeneous mixture. Despite its
fundamental role in biological function and malfunction, the mechanism of protein
self-assembly and the fundamental origins of the connection between aggregation,
cellular toxicity and the biochemistry of neurodegeneration remains challenging
to elucidate in molecular detail. In particular, the nature of the specific state
of proteins that is most prone to cause cytotoxicity is not established. METHODS:
In the present review, we present the latest advances obtained by Atomic Force
Microscopy (AFM) based techniques to unravel the biophysical properties of
amyloid aggregates at the nanoscale. Unraveling amyloid single species
biophysical properties still represents a formidable experimental challenge,
mainly because of their nanoscale dimensions and heterogeneous nature. Bulk
techniques, such as circular dichroism or infrared spectroscopy, are not able to
characterize the heterogeneity and inner properties of amyloid aggregates at the
single species level, preventing a profound investigation of the correlation
between the biophysical properties and toxicity of the individual species.
CONCLUSION: The information delivered by AFM based techniques could be central to
study the aggregation pathway of proteins and to design molecules that could
interfere with amyloid aggregation delaying the onset of misfolding diseases.
PMID- 27189607
TI - Argo: enabling the development of bespoke workflows and services for disease
annotation.
AB - Argo (http://argo.nactem.ac.uk) is a generic text mining workbench that can cater
to a variety of use cases, including the semi-automatic annotation of literature.
It enables its technical users to build their own customised text mining
solutions by providing a wide array of interoperable and configurable elementary
components that can be seamlessly integrated into processing workflows. With
Argo's graphical annotation interface, domain experts can then make use of the
workflows' automatically generated output to curate information of interest.With
the continuously rising need to understand the aetiology of diseases as well as
the demand for their informed diagnosis and personalised treatment, the curation
of disease-relevant information from medical and clinical documents has become an
indispensable scientific activity. In the Fifth BioCreative Challenge Evaluation
Workshop (BioCreative V), there was substantial interest in the mining of
literature for disease-relevant information. Apart from a panel discussion
focussed on disease annotations, the chemical-disease relations (CDR) track was
also organised to foster the sharing and advancement of disease annotation tools
and resources.This article presents the application of Argo's capabilities to the
literature-based annotation of diseases. As part of our participation in
BioCreative V's User Interactive Track (IAT), we demonstrated and evaluated
Argo's suitability to the semi-automatic curation of chronic obstructive
pulmonary disease (COPD) phenotypes. Furthermore, the workbench facilitated the
development of some of the CDR track's top-performing web services for
normalising disease mentions against the Medical Subject Headings (MeSH)
database. In this work, we highlight Argo's support for developing various types
of bespoke workflows ranging from ones which enabled us to easily incorporate
information from various databases, to those which train and apply machine
learning-based concept recognition models, through to user-interactive ones which
allow human curators to manually provide their corrections to automatically
generated annotations. Our participation in the BioCreative V challenges shows
Argo's potential as an enabling technology for curating disease and phenotypic
information from literature.Database URL: http://argo.nactem.ac.uk.
PMID- 27189608
TI - A web resource for mining HLA associations with adverse drug reactions: HLA-ADR.
AB - Human leukocyte antigens (HLA) are an important family of genes involved in the
immune system. Their primary function is to allow the host immune system to be
able to distinguish between self and non-self peptides-e.g. derived from invading
pathogens. However, these genes have also been implicated in immune-mediated
adverse drug reactions (ADRs), presenting a problem to patients, clinicians and
pharmaceutical companies. We have previously developed the Allele Frequency Net
Database (AFND) that captures the allelic and haplotype frequencies for these HLA
genes across many healthy populations from around the world. Here, we report the
development and release of the HLA-ADR database that captures data from
publications where HLA alleles and haplotypes have been associated with ADRs
(e.g. Stevens-Johnson Syndrome/toxic epidermal necrolysis and drug-induced liver
injury). HLA-ADR was created by using data obtained through systematic review of
the literature and semi-automated literature mining. The database also draws on
data already present in AFND allowing users to compare and analyze allele
frequencies in both ADR patients and healthy populations. The HLA-ADR database
provides clinicians and researchers with a centralized resource from which to
investigate immune-mediated ADRs.Database URL:
http://www.allelefrequencies.net/hla-adr/.
PMID- 27189609
TI - A knowledge-poor approach to chemical-disease relation extraction.
AB - The article describes a knowledge-poor approach to the task of extracting
Chemical-Disease Relations from PubMed abstracts. A first version of the approach
was applied during the participation in the BioCreative V track 3, both in
Disease Named Entity Recognition and Normalization (DNER) and in Chemical-induced
diseases (CID) relation extraction. For both tasks, we have adopted a general
purpose approach based on machine learning techniques integrated with a limited
number of domain-specific knowledge resources and using freely available tools
for preprocessing data. Crucially, the system only uses the data sets provided by
the organizers. The aim is to design an easily portable approach with a limited
need of domain-specific knowledge resources. In the participation in the
BioCreative V task, we ranked 5 out of 16 in DNER, and 7 out of 18 in CID. In
this article, we present our follow-up study in particular on CID by performing
further experiments, extending our approach and improving the performance.
PMID- 27189610
TI - BioSharing: curated and crowd-sourced metadata standards, databases and data
policies in the life sciences.
AB - BioSharing (http://www.biosharing.org) is a manually curated, searchable portal
of three linked registries. These resources cover standards (terminologies,
formats and models, and reporting guidelines), databases, and data policies in
the life sciences, broadly encompassing the biological, environmental and
biomedical sciences. Launched in 2011 and built by the same core team as the
successful MIBBI portal, BioSharing harnesses community curation to collate and
cross-reference resources across the life sciences from around the world.
BioSharing makes these resources findable and accessible (the core of the FAIR
principle). Every record is designed to be interlinked, providing a detailed
description not only on the resource itself, but also on its relations with other
life science infrastructures. Serving a variety of stakeholders, BioSharing
cultivates a growing community, to which it offers diverse benefits. It is a
resource for funding bodies and journal publishers to navigate the metadata
landscape of the biological sciences; an educational resource for librarians and
information advisors; a publicising platform for standard and database
developers/curators; and a research tool for bench and computer scientists to
plan their work. BioSharing is working with an increasing number of journals and
other registries, for example linking standards and databases to training
material and tools. Driven by an international Advisory Board, the BioSharing
user-base has grown by over 40% (by unique IP address), in the last year thanks
to successful engagement with researchers, publishers, librarians, developers and
other stakeholders via several routes, including a joint RDA/Force11 working
group and a collaboration with the International Society for Biocuration. In this
article, we describe BioSharing, with a particular focus on community-led
curation.Database URL: https://www.biosharing.org.
PMID- 27189611
TI - 'RE:fine drugs': an interactive dashboard to access drug repurposing
opportunities.
AB - The process of discovering new drugs has been extremely costly and slow in the
last decades despite enormous investment in pharmaceutical research. Drug
repurposing enables researchers to speed up the process of discovering other
conditions that existing drugs can effectively treat, with low cost and fast FDA
approval. Here, we introduce 'RE:fine Drugs', a freely available interactive
website for integrated search and discovery of drug repurposing candidates from
GWAS and PheWAS repurposing datasets constructed using previously reported
methods in Nature Biotechnology. 'RE:fine Drugs' demonstrates the possibilities
to identify and prioritize novelty of candidates for drug repurposing based on
the theory of transitive Drug-Gene-Disease triads. This public website provides a
starting point for research, industry, clinical and regulatory communities to
accelerate the investigation and validation of new therapeutic use of old
drugs.Database URL: http://drug-repurposing.nationwidechildrens.org.
PMID- 27189612
TI - Occurrence, composition and risk assessment of antibiotics in soils from Kenya,
Africa.
AB - Antibiotics can accumulate in soils via different ways, which may pose serious
threat to ecological environment of soil and quality of agricultural products. In
this study, the occurrence of 12 antibiotics including four sulfonamides (SAs),
four tetracyclines (TETs) and four fluoroquinolones (FQs) was investigated in
soils from four sampling sites of Kenya (Mai Mahiu, Narok, Mount Suswa
Conservancy, and Juja), Africa. The soils in suburban area of Narok had the
highest average concentrations of total 12 antibiotics with an average value of
43.64 MUg kg(-1) dw (dry weight), followed by Mai Mahiu (26.70 MUg kg(-1) dw),
Juja (24.41 MUg kg(-1) dw) and Mount Suswa Conservancy (12.21 MUg kg(-1) dw).
Sulfamethoxazole, sulfamethazine, oxytetracycline, and enrofloxacin were
identified as the main antibiotics polluted in soils. Total organic carbon may
influence the distribution of SAs in Narok and FQs in Juja. Ecological risk
analysis based on the risk quotient showed that SAs detected in soils have higher
risk compared to TETs and FQs.
PMID- 27189613
TI - The neonicotinoid pesticide, imidacloprid, affects Bombus impatiens (bumblebee)
sonication behavior when consumed at doses below the LD50.
AB - We investigated changes in sonication (or buzz-pollination) behavior of Bombus
impatiens bumblebees, after consumption of the neonicotinoid pesticide,
imidacloprid. We measured sonication frequency, sonication length, and flight
(wing beat) frequency of marked bees collecting pollen from Solanum lycopsersicum
(tomato), and then randomly assigned bees to consume 0, 0.0515, 0.515, or 5.15 ng
of imidacloprid. We recorded the number of bees in each treatment group that
resumed sonication behavior after consuming imidacloprid, and re-measured
sonication and flight behavior for these bees. We did not find evidence that
consuming 0.0515 ng imidacloprid affected the sonication length, sonication
frequency, or flight frequency for bees that sonicated after consuming
imidacloprid; we were unable to test changes in these variables for bees that
consumed 0.515 or 5.15 ng because we did not observe enough of these bees
sonicating after treatment. We performed Cox proportional hazard regression to
determine whether consuming imidacloprid affected the probability of engaging in
further sonication behavior on S. lycopersicum and found that bumblebees who
consumed 0.515 or 5.15 ng of imidacloprid were significantly less likely to
sonicate after treatment than bees who consumed no imidacloprid. At the end of
the experiment, we classified bees as dead or alive; our data suggest a trend of
increasing mortality with higher doses of imidacloprid. Our results show that
even modest doses of imidacloprid can significantly affect the likelihood of
bumblebees engaging in sonication, a behavior critical for the pollination of a
variety of crops and other plants.
PMID- 27189614
TI - Randomized controlled pilot trial of mindfulness-based stress reduction compared
to psychoeducational support for persistently fatigued breast and colorectal
cancer survivors.
AB - PURPOSE: Cancer-related fatigue (CRF) is a disruptive symptom for many survivors.
Despite promising evidence for efficacy of mindfulness-based stress reduction
(MBSR) in reducing CRF, no trials comparing it to an active comparator for
fatigued survivors have been published. The purpose of this trial was to compare
MBSR to psychoeducation for CRF and associated symptoms. METHODS: Breast (n = 60)
and colorectal (n = 11) cancer survivors (stage 0-III) with clinically
significant CRF after completing chemotherapy and/or radiation therapy an average
of 28 months prior to enrollment were randomized to MBSR or
psychoeducation/support groups (PES). MBSR focused on mindfulness training; PES
focused on CRF self-management. Outcomes included CRF interference (primary), CRF
severity and global improvement, vitality, depression, anxiety, sleep
disturbance, and pain. Outcomes were assessed at baseline (T1), post-intervention
(T2), and 6-month follow-up (T3) using intent-to-treat analysis. RESULTS: Between
group differences in CRF interference were not significant at any time point;
however, there was a trend favoring MBSR (d = -0.46, p = 0.073) at T2. MBSR
participants reported significantly greater improvement in vitality (d = 0.53, p
= 0.003) and were more likely to report CRF as moderately to completely improved
compared to the PES group (chi2 (1) = 4.1765, p = 0.041) at T2. MBSR participants
also reported significantly greater reductions in pain at T2 (d = 0.53, p =
0.014). In addition, both MBSR and PES produced moderate-to-large and significant
within-group improvements in all fatigue outcomes, depression, anxiety, and sleep
at T2 and T3 compared to T1. CONCLUSION: MBSR and PES appear efficacious for CRF
and related symptoms. Larger trials including a usual care arm are warranted.
TRIAL REGISTRATION: ClinicalTrials.gov Identifier: NCT01724333.
PMID- 27189615
TI - Chamomile infusion cryotherapy to prevent oral mucositis induced by chemotherapy:
a pilot study.
AB - PURPOSE: The aim of this study is to compare cryotherapy made only with water and
cryotherapy made with chamomile infusion for prevention and reduction of
intensity of oral mucositis in patients with cancer receiving 5-fluorouracil and
leucovorin. METHOD: This is a randomized pilot study with two groups: cryotherapy
made only with water (control group, n = 18) and cryotherapy made with chamomile
infusion (chamomile group, n = 20). Both groups were instructed to swish the ice
around in their oral cavity for at least 30 min during chemotherapy. Assessment
of oral mucosa occurred on days 8, 15, and 22 after the first day of
chemotherapy. RESULTS: Fifty percent of the patients in the control and 30 % in
the chamomile group developed oral mucositis. Mouth pain score was higher in
patients in the control group on all evaluations (p = 0.02 for day 8, p = 0.09
for day 15, and p = 0.14 for day 22). Patients in the chamomile group never
developed mucositis with grade 2 or higher. Presence of ulceration was
statistically significant on day 8 (16 % in the control vs. 0 % in the chamomile
group, p = 0.10), but not in days 15 and 22, although 11 % still had ulcerations
in the control group and none in the chamomile group. CONCLUSION: The occurrence
of oral mucositis was lower in patients in the chamomile group than in the
control group. When compared to the controls, the chamomile group presented less
mouth pain and had no ulcerations. Cryotherapy was well tolerated by both groups,
and no toxicity related to chamomile was identified.
PMID- 27189616
TI - Determinants of exercise adherence and contamination in a randomized controlled
trial in cancer patients during and after allogeneic HCT.
AB - BACKGROUND: Evidence from randomized controlled trials is growing that exercise
interventions are beneficial in cancer patients receiving allogeneic stem cell
transplantation (allo-HCT). However, information about adherence to exercise
interventions and exercise contamination in control groups is lacking. This
information is crucial for the interpretation of study results. We therefore
examined the determinants of exercise adherence and contamination in different
treatment periods during (inpatient) and after (outpatient) allo-HCT. METHODS:
One hundred fifty-three patients scheduled for allo-HCT were randomized to a 1
year partly supervised exercise intervention (endurance and resistance exercise)
or to a control group. Adherence was assessed via exercise logs and contamination
via questionnaires. RESULTS: Adherence varied between 66 % (inpatient) and 78 %
(outpatient) in different treatment periods. During (inpatient) transplantation
period, higher adherence was significantly associated with lower fatigue (P =
0.004) and with having children at home (P = 0.049). Adherence after discharge
was positively associated with endurance performance (P = 0.003); higher
adherence after day 100 was associated with exercise activity prior allo-HCT (P =
0.010) and higher adherence after discharge (P = 0.001). Contamination among
controls was high with 54 % and significantly associated with muscle strength (P
= 0.025) and fatigue (P = 0.050). CONCLUSION: Exercise adherence in different
treatment periods was determined by different variables, and contamination among
controls was evident. These findings may have important implications for correct
interpretation of randomized exercise intervention trials.
PMID- 27189617
TI - A Review on Microdialysis Calibration Methods: the Theory and Current Related
Efforts.
AB - Microdialysis is a sampling technique first introduced in the late 1950s.
Although this technique was originally designed to study endogenous compounds in
animal brain, it is later modified to be used in other organs. Additionally,
microdialysis is not only able to collect unbound concentration of compounds from
tissue sites; this technique can also be used to deliver exogenous compounds to a
designated area. Due to its versatility, microdialysis technique is widely
employed in a number of areas, including biomedical research. However, for most
in vivo studies, the concentration of substance obtained directly from the
microdialysis technique does not accurately describe the concentration of the
substance on-site. In order to relate the results collected from microdialysis to
the actual in vivo condition, a calibration method is required. To date, various
microdialysis calibration methods have been reported, with each method being
capable to provide valuable insights of the technique itself and its
applications. This paper aims to provide a critical review on various calibration
methods used in microdialysis applications, inclusive of a detailed description
of the microdialysis technique itself to start with. It is expected that this
article shall review in detail, the various calibration methods employed, present
examples of work related to each calibration method including clinical efforts,
plus the advantages and disadvantages of each of the methods.
PMID- 27189620
TI - Hypertension is the primary component of metabolic syndrome associated with
pathologic features of kidney cancer.
AB - PURPOSE: To determine whether individual and/or cumulative components of
metabolic syndrome (obesity, hypertension, dyslipidemia, and hyperglycemia) are
associated with pathologic features of kidney cancer. PATIENTS AND METHODS: A
review of our kidney tumor database identified 462 patients who underwent partial
or radical nephrectomy for renal cell carcinoma. The NCEP ATP-III criteria were
used to define metabolic syndrome (MetS). Linear fixed effects modeling and
ordinal logistic regression examined the relationship between MetS (individual
and cumulative components) and pathologic characteristics. RESULTS: Two hundred
and seventy-eight men and 184 women with a median age of 58 years, BMI of 31
kg/m2, tumor size of 3.7 cm, and nephrometry score of 6 were included. Ninety
seven (21 %) patients met NCEP ATP-III criteria for MetS. Hypertension was the
only individual component of MetS associated with pathologic features of kidney
cancer including increased tumor size [geometric mean ratio 1.17 (1.05-1.32), P =
0.03], higher tumor grade [OR 1.49 (1.03-2.17), P = 0.04], increasing nephrometry
score [OR 1.77 (1.28-2.48), P = 0.001], and non-clear cell histology [OR 1.42
(1.01-2.02), P = 0.05]. Furthermore, combinations of MetS components were
associated with increased tumor grade (P = 0.02), tumor stage (P = 0.02),
nephrometry score (P <= 0.001), and non-clear cell histology (P = 0.02), only
when hypertension was included. CONCLUSION: MetS is composed of four risk factors
each implicated in carcinogenesis. We identified hypertension as the primary
component associated with specific pathologic features of kidney cancer. Further
studies are necessary to elucidate whether the effect of hypertension is a
function of severity and/or chronicity.
PMID- 27189618
TI - miR-744 and miR-224 Downregulate Npas4 and Affect Lineage Differentiation
Potential and Neurite Development During Neural Differentiation of Mouse
Embryonic Stem Cells.
AB - Neuronal PAS domain protein 4 (Npas4) is a brain-specific transcription factor
whose expression is enriched in neurogenic regions of the brain. In addition, it
was demonstrated that Npas4 expression is dynamic and highly regulated during
neural differentiation of embryonic stem cells (ESCs). While these findings
implicate a role for Npas4 in neurogenesis, the underlying mechanisms of
regulation remain unknown. Given that growing evidence suggests that microRNAs
(miRNAs) play important roles in both embryonic and adult neurogenesis, we
reasoned that miRNAs are good candidates for regulating Npas4 expression during
neural differentiation of ESCs. In this study, we utilized the small RNA
sequencing method to profile miRNA expression during neural differentiation of
mouse ESCs. Two differentially expressed miRNAs were identified to be able to
significantly reduce reporter gene activity by targeting the Npas4 3'UTR, namely
miR-744 and miR-224. More importantly, ectopic expression of these miRNAs during
neural differentiation resulted in downregulation of endogenous Npas4 expression.
Subsequent functional analysis revealed that overexpression of either miR-744 or
miR-224 delayed early neural differentiation, reduced GABAergic neuron production
and inhibited neurite outgrowth. Collectively, our findings indicate that Npas4
not only functions at the early stages of neural differentiation but may also, in
part, contribute to neuronal subtype specification and neurite development.
PMID- 27189619
TI - Analysis of Extracellular Nucleotide Metabolism in Adult Zebrafish After
Embryological Exposure to Valproic Acid.
AB - Autism is a neurodevelopmental disorder characterized by symptoms related to
stereotyped movements, deficits in social interaction, impaired communication,
anxiety, hyperactivity, and the presence of restricted interests. Evidence
indicates an important role of extracellular ATP and adenosine as signaling
molecules in autism. ATP hydrolysis by ectonucleotidases is an important source
of adenosine, and adenosine deaminase (ADA) contributes to the control of the
nucleoside concentrations. Considering zebrafish is an animal model that may
contribute towards to understanding the mechanisms that underlie social behavior,
we investigated the purinergic signaling in a model of embryological exposure to
valproic acid (VPA) that induces social interaction deficit in adult zebrafish.
We demonstrated embryological exposure to VPA did not change ATP and ADP
hydrolysis in zebrafish at 120 dpf, and the cytosolic (soluble) ADA activity was
not altered. However, we observed an increase of AMP hydrolysis (12.5 %) whereas
the ecto-ADA activity was decreased (19.2 %) in adult zebrafish submitted to
embryological exposure to VPA. Quantitative reverse transcription PCR (RT-PCR)
analysis showed changes on ntpd8, ADA 2.1, and A2a1 mRNA transcript levels. Brain
ATP metabolism showed a rapid catabolism of ATP and ADP, whereas the
extracellular metabolism of AMP and adenosine (ADO) occurred slowly. We
demonstrated that embryological exposure to VPA altered biochemical and molecular
parameters related to purinergic system in adult zebrafish. These findings
indicate that the enzyme activities involved in the control of ATP and adenosine
levels may be involved in the pathophysiological mechanisms of diseases related
to the impairment of social interaction, such as autism.
PMID- 27189622
TI - An Algorithm for Creating Prognostic Systems for Cancer.
AB - The TNM staging system is universally used for classification of cancer. This
system is limited since it uses only three factors (tumor size, extent of spread
to lymph nodes, and status of distant metastasis) to generate stage groups. To
provide a more accurate description of cancer and thus better patient care,
additional factors or variables should be used to classify cancer. In this paper
we propose a hierarchical clustering algorithm to develop prognostic systems that
classify cancer according to multiple prognostic factors. This algorithm has many
potential applications in augmenting the data currently obtained in a staging
system by allowing more prognostic factors to be incorporated. The algorithm
clusters combinations of prognostic factors that are formed using categories of
factors. The dissimilarity between two combinations is determined by the area
between two corresponding survival curves. Groups from cutting the dendrogram and
survival curves of the individual groups define our prognostic systems that
classify patients using survival outcomes. A demonstration of the proposed
algorithm is given for patients with breast cancer from the Surveillance,
Epidemiology, and End Results (SEER) Program of the National Cancer Institute.
PMID- 27189621
TI - Genome sequence and comparative analysis of clavicipitaceous insect-pathogenic
fungus Aschersonia badia with Metarhizium spp.
AB - BACKGROUND: Aschersonia badia [(Ab) Teleomorph: Hypocrella siamensis] is an
entomopathogenic fungus that specifically infects scale insects and whiteflies.
We present the whole genome sequence of Ab and its comparison with two
clavicipitaceous fungi Metarhizium robertsii (MR: generalist entomopathogen) and
M. acridum (MAC: acridid-specific entomopathogen) that exhibit variable host
preferences. Here, through comparative analysis of pathogen-host interacting
genes, carbohydrate active enzymes, secondary metabolite biosynthesis genes, and
sexuality genes, we explore the proteins with possible virulence functions in
clavicipitaceous fungi. Comprehensive overview of GH18 family chitinases has been
provided to decipher the role of chitinases in claviceptaceous fungi that are
either host specific or generalists. RESULTS: We report the 28.8 Mb draft genome
of Ab and its comparative genome analysis with MR and MAC. The comparative
analyses suggests expansion in pathogen-host interacting gene families and
carbohydrate active enzyme families in MR, whilst their contraction in Ab and MAC
genomes. The multi-modular NRPS gene (dtxS1) responsible for biosynthesis of the
secondary metabolite destruxin in MR is not conserved in Ab, similar to the
specialist pathogen MAC. An additional siderophore biosynthetic gene responsible
for acquisition of iron was identified in MR. Further, the domain survey of
chitinases suggest that the CBM50 (LysM) domains, which participate in chitin
binding functions, were not observed in MAC, but were present in Ab and MR.
However, apparent differences in frequency of CBM50 domains associated with
chitinases of Ab and MR was identified, where MR chitinases displayed a higher
proportion of associated CBM50 domains than Ab chitinases. CONCLUSIONS: This
study suggests differences in distribution of dtxS1 and chitinases in specialists
(Ab and MAC) and generalists (MR) fungi. Our analysis also suggests the presence
of a siderophore biosynthetic gene in the MR genome which perhaps aids in
enhanced virulence potential and host range. The variation in association of
CBMs, being higher in generalists (MR) and lower in specialists (Ab and MAC)
fungi may further be responsible for the differences in host affiliation.
PMID- 27189623
TI - Distributed Denial of Service Attack Source Detection Using Efficient Traceback
Technique (ETT) in Cloud-Assisted Healthcare Environment.
AB - Security and privacy are the first and foremost concerns that should be given
special attention when dealing with Wireless Body Area Networks (WBANs). As WBAN
sensors operate in an unattended environment and carry critical patient health
information, Distributed Denial of Service (DDoS) attack is one of the major
attacks in WBAN environment that not only exhausts the available resources but
also influence the reliability of information being transmitted. This research
work is an extension of our previous work in which a machine learning based
attack detection algorithm is proposed to detect DDoS attack in WBAN environment.
However, in order to avoid complexity, no consideration was given to the
traceback mechanism. During traceback, the challenge lies in reconstructing the
attack path leading to identify the attack source. Among existing traceback
techniques, Probabilistic Packet Marking (PPM) approach is the most commonly used
technique in conventional IP- based networks. However, since marking probability
assignment has significant effect on both the convergence time and performance of
a scheme, it is not directly applicable in WBAN environment due to high
convergence time and overhead on intermediate nodes. Therefore, in this paper we
have proposed a new scheme called Efficient Traceback Technique (ETT) based on
Dynamic Probability Packet Marking (DPPM) approach and uses MAC header in place
of IP header. Instead of using fixed marking probability, the proposed scheme
uses variable marking probability based on the number of hops travelled by a
packet to reach the target node. Finally, path reconstruction algorithms are
proposed to traceback an attacker. Evaluation and simulation results indicate
that the proposed solution outperforms fixed PPM in terms of convergence time and
computational overhead on nodes.
PMID- 27189624
TI - A cohort study of the impact and acquisition of naspharyngeal carriage of
Streptococcus pneumoniae during the Hajj.
AB - BACKGROUND: The annual Muslim pilgrimage attracts over two million pilgrims who
gather in a limited time and space. The pilgrimage carries the potential risk of
increase risk of the acquisition of Streptococcus pneumonia. In this cohort
study, we evaluate the effect of the Hajj on the prevalence of pneumococcal
serotype nasopharyngeal carriage in the Hajj pilgrim population. The secondary
objective is to evaluate the effects of the mass gathering on carriage of
invasive pneumococcal serotypes. METHODS: This is a prospective cohort study with
two data collection periods: at the beginning and at the end of the Hajj.
Nasopharyngeal samples were taken via a standardized swabbing method. RESULTS: A
total of 1175 pilgrims were enrolled at the beginning of the study and 1155
(98.3%) were included at the second part of the study. The pre-Hajj samples were
obtained at a mean of 0 days and the post-Hajj sampling occurred at a mean of 15
days after arrival to Saudi Arabia. The overall carriage rate of Streptococcus
pneumoniae in the pre- and post-Hajj was 1.8% and 7.1% (P = 0.0016). The
potential coverage of the 7-valent pneumococcal conjugate vaccine (PCV7), PCV10
and PCV13 were 15.5%, 19.1%; and 35.5%, respectively. The coverage for the 23
valent pneumococcal polysaccharide vaccine (PPV23) was 40%. CONCLUSION: Although
there was an increase in the acquisition of S. pneumoniae, its magnitude is low
which does not support public health recommendations for general pneumococcal
vaccination of pilgrims except those at risk.
PMID- 27189626
TI - Unblocking the cancer drug development bottleneck via innovative partnering.
PMID- 27189625
TI - Icatibant Compared to Steroids and Antihistamines for ACE-Inhibitor-Induced
Angioedema.
AB - Article chosen Bas M, Greve J, Stelter K, et al. A Randomized Trial of Icatibant
in ACE-Inhibitor-Induced Angioedema. N Engl J Med 2015;372:418-25.
doi:10.1056/NEJMoa1312524.
PMID- 27189627
TI - Stability and Crestal Bone Behavior Following Simultaneous Placement of Multiple
Dental Implants (Two or More) with the Bone Splitting Technique: A Clinical and
Radiographic Evaluation.
AB - PURPOSE: The present study aimed to measure the implant stability quotient (ISQ)
values at three different time points after surgical procedures and crestal bone
behavior in multiple implants (two or more) installed simultaneously with the
bone splitting technique. MATERIALS AND METHODS: For this study, 45 patients with
different edentulous areas in the maxilla were selected, and a total of 114
dental implants were installed. Implant stability was measured by resonance
frequency analysis immediately following implant placement to assess immediate
stability (time 1) and stability at 90 days (time 2), and 150 days (time 3).
Crestal bone height was measured in peri-apical radiographs at 90 and 150 days
after implantation in relation to each implant shoulder, given that the implants
were installed at the level of the crestal bone. RESULTS: Six implants were not
osseointegrated. Overall, the means and standard deviations of the ISQ values
were 60.3 +/- 4.94 (95% confidence interval [CI], 44-69) at baseline, 66.6 +/-
5.28 (95% CI, 49-75) at 90 days, and 72.1 +/- 4.28 (95% CI, 59-79) at 150 days (p
< 0.0001). The mean marginal bone loss of the implants was 1.11 +/- 0.61 mm on
the mesial side and 1.17 +/- 0.61 mm on the distal side at time 2, and 1.73 +/-
0.68 mm on the mesial side and 1.79 +/- 0.70 mm on the distal side at time 3. A
strong positive correlation between implant stability and bone loss was detected
(p < 0.0001). CONCLUSIONS: Within the limits of this study, the bone splitting
technique with simultaneous implant installation exhibited a good success rate
with respect to the osseointegration index but requires attention with respect to
crestal bone behavior.
PMID- 27189628
TI - Distribution of HLA-DRB1 and HLA-DQB1 alleles in Lak population of Iran.
AB - Human leukocyte antigen (HLA) genes are the most polymorphic loci in the human
genome and encode the highly polymorphic molecules critically involved in immune
responses. Anthropological studies based on highly polymorphic HLA genes provide
useful information for bone marrow donor registry, forensic medicine, disease
association studies, as well as designing peptide vaccines against tumors, and
infectious or autoimmune diseases. The aim of this study was to determine the HLA
DRB1 and HLA-DQB1 allele frequencies in 100 unrelated Lak individuals from
Lorestan province of Iran. Finally, we compared the results with those previously
described in four other Iranian populations. Commercial HLA-Type kits were used
for determination of the HLA-DRB1 and HLA-DQB1 allele frequencies. Differences
between populations in the distribution of HLA-DRB1 and HLA-DQB1 alleles were
estimated by chi2 test with Yate's correction and Fisher's exact test. The most
frequent HLA-DRB1 alleles were (*)1103=4 (23%), (*)1502 (9.5%), (*)0701 (9%),
(*)0301 (8.5%), (*)1101 (7.5%) and (*)1501 (6%) while HLA-DQB1(*)0301 (40%),
(*)0201 (15%), (*)0502 (10.5%), (*)0303 (10%), (*)0602=3 (9.5%), and (*)0501
(7.5%) were the most frequent alleles in Lak population. HLA-DRB1(*)0409,
(*)0804, (*)1102, (*)1112, (*)1405, and HLA-DQB1(*)0503, (*)0604 were the least
observed frequencies in Lak population. Our results based on HLA-DRB1 and HLA
DQB1 allele frequencies showed that the Lak population possesses the previously
reported general features of the Lur and Kurd populations but still with unique,
decreased or increased frequencies of several alleles. In other words, the Lak
population is close to Lurs Khorramabadi and Kurd but far from Lurs
Kohkiloyeh/Boyerahmad and Bakhtiari.
PMID- 27189629
TI - Can cyclist safety be improved with intelligent transport systems?
AB - In recent years, Intelligent Transport Systems (ITS) have assisted in the
decrease of road traffic fatalities, particularly amongst passenger car
occupants. Vulnerable Road Users (VRUs) such as pedestrians, cyclists, moped
riders and motorcyclists, however, have not been that much in focus when
developing ITS. Therefore, there is a clear need for ITS which specifically
address VRUs as an integrated element of the traffic system. This paper presents
the results of a quantitative safety impact assessment of five systems that were
estimated to have high potential to improve the safety of cyclists, namely: Blind
Spot Detection (BSD), Bicycle to Vehicle communication (B2V), Intersection safety
(INS), Pedestrian and Cyclist Detection System+Emergency Braking (PCDS+EBR) and
VRU Beacon System (VBS). An ex-ante assessment method proposed by Kulmala (2010)
targeted to assess the effects of ITS for cars was applied and further developed
in this study to assess the safety impacts of ITS specifically designed for VRUs.
The main results of the assessment showed that all investigated systems affect
cyclist safety in a positive way by preventing fatalities and injuries. The
estimates considering 2012 accident data and full penetration showed that the
highest effects could be obtained by the implementation of PCDS+EBR and B2V,
whereas VBS had the lowest effect. The estimated yearly reduction in cyclist
fatalities in the EU-28 varied between 77 and 286 per system. A forecast for
2030, taking into accounts the estimated accident trends and penetration rates,
showed the highest effects for PCDS+EBR and BSD.
PMID- 27189630
TI - Quantum state transfer via Bloch oscillations.
AB - The realization of reliable quantum channels, able to transfer a quantum state
with high fidelity, is a fundamental step in the construction of scalable quantum
devices. In this paper we describe a transmission scheme based on the genuinely
quantum effect known as Bloch oscillations. The proposed protocol makes it
possible to carry a quantum state over different distances with a minimal
engineering of the transmission medium and can be implemented and verified on
current quantum technology hardware.
PMID- 27189631
TI - In-Water and Neat Batch and Continuous-Flow Direct Esterification and
Transesterification by a Porous Polymeric Acid Catalyst.
AB - A porous phenolsulphonic acid-formaldehyde resin (PAFR) was developed. The
heterogeneous catalyst PAFR was applied to the esterification of carboxylic acids
and alcohols, affording the carboxylic acid esters in a yield of up to 95% where
water was not removed from the reaction mixture. Surprisingly, the esterification
in water as a solvent proceeded to afford the desired esters in high yield. PAFR
provided the corresponding esters in higher yield than other homogeneous and
heterogeneous catalysts. The transesterification of alcohols and esters was also
investigated by using PAFR, giving the corresponding esters. PAFR was applied to
the batch-wise and continuous-flow production of biodiesel fuel FAME. The PAFR
packed flow reactor that was developed for the synthesis of carboxylic acids and
FAME worked for four days without loss of its catalytic activity.
PMID- 27189632
TI - Identification and Evaluation of Novel MicroRNA Biomarkers in Plasma and Feces
Associated with Drug-induced Intestinal Toxicity.
AB - Gastrointestinal toxicity is dose limiting with many therapeutic and anticancer
agents. Real-time, noninvasive detection of markers of toxicity in biofluids is
advantageous. Ongoing research has revealed microRNAs as potential diagnostic and
predictive biomarkers for the detection of select organ toxicities. To study the
potential utility of microRNA biomarkers of intestinal injury in a preclinical
toxicology species, we evaluated 3 rodent models of drug-induced intestinal
toxicity, each with a distinct mechanism of toxicity. MiR-215 and miR-194 were
identified as putative intestinal toxicity biomarkers. Both were evaluated in
plasma and feces and compared to plasma citrulline, an established intestinal
injury biomarker. Following intestinal toxicant dosing, microRNA changes in feces
and plasma were detected noninvasively and correlated with histologic evidence of
intestinal injury. Fecal miR-215 and miR-194 levels increased, and plasma miR-215
decreased in a dose- and time-dependent manner. Dose-dependent decreases in
plasma miR-215 levels also preceded and correlated positively with plasma
citrulline modulation, suggesting miR-215 is a more sensitive biomarker.
Moreover, during the drug-free recovery phase, plasma miR-215 returned to predose
levels, supporting a corresponding recovery of histologic lesions. Despite
limitations, this study provides preliminary evidence that select microRNAs have
the potential to act as noninvasive, sensitive, and quantitative biomarkers of
intestinal injury.
PMID- 27189633
TI - Hierarchically branched Fe2O3@TiO2 nanorod arrays for photoelectrochemical water
splitting: facile synthesis and enhanced photoelectrochemical performance.
AB - Highly photoactive and durable photoanode materials are the key to
photoelectrochemical water splitting. In this paper, hierarchically branched
Fe2O3@TiO2 nanorod arrays (denoted as Fe2O3@TiO2 BNRs) composed of a long Fe2O3
trunk and numerous short TiO2 nanorod branches were fabricated and used as
photoanodes for water splitting. Significant improvement of photoelectrochemical
water splitting performance was observed based on Fe2O3@TiO2 BNRs. The
photocurrent density of Fe2O3@TiO2 BNRs reaches up to 1.3 mA cm(-2) at 1.23 V
versus RHE, which is 10 times higher than that of pristine Fe2O3 nanorod arrays
under the same conditions. Furthermore, an obvious cathodic shift in the onset
potential of photocurrent was observed in the Fe2O3@TiO2 BNRs. More
significantly, the Fe2O3@TiO2 BNRs are quite stable even after 3600 s continuous
illumination, and the photocurrent density shows almost no decay. Finally, a
tentative mechanism was proposed to explain the superior performance of
Fe2O3@TiO2 BNRs for PEC water splitting and discussed in detail on the basis of
our experimental results.
PMID- 27189635
TI - Differential expression of heat shock protein 90, 70, 60 in chicken muscles
postmortem and its relationship with meat quality.
AB - OBJECTIVE: The aim of this study was to investigate the expression of heat shock
protein (HSP) 90, 70, and 60 in chicken muscles and their possible relationship
with quality traits of meat. METHODS: The breast muscles from one hundred broiler
chickens were analyzed for drip loss and other quality parameters, and the levels
of heat shock protein (HSP) 90, 70, and 60 were determined by immunoblots.
RESULTS: Based on the data, chicken breast muscles were segregated into low (drip
loss<=5%), intermediate (5%=9.5) drip loss
groups. The expression of HSP90 and HSP60 were significantly lower in the high
drip loss group compared to that in the low and intermediate drip loss group
(p<0.05), while HSP70 was equivalent in abundance in all groups (p>0.05).
CONCLUSION: Results of this study suggests that higher levels of HSP90 and HSP60
may be advantageous for maintenance of cell function and reduction of water loss,
and they could act as potential indicator for better water holding capacity of
meat.
PMID- 27189636
TI - Effectiveness of Phytogenic Feed Additive as Alternative to Bacitracin Methylene
Disalicylate on Hematological Parameters, Intestinal Histomorphology and
Microbial Population and Production Performance of Japanese Quails.
AB - This study was conducted to evaluate the effects of phytogenic additive and
antibiotic growth promoter in laying Japanese quails. One hundred and sixty five
quails were divided into three groups of 5 replicates and 11 quails (8 females
and 3 males) in each replicate. Treatment 1 was fed control diet, treatment 2 was
fed control diet supplemented with 0.05% bacitracin methylene disalicylate as
antibiotic growth promoter and treatment 3 was fed control diet supplemented with
0.1% phytogenic feed additive (PFA) for two periods of 3 weeks each from 37 to 42
weeks of age. Results showed that egg production, eggshell strength, eggshell
weight, villus height and villus height to crypt depth ratio were significantly
(p<=0.05) increased and feed consumption, feed conversion ratio, albumen, Haugh
unit, cholesterol, low-density lipoprotein, alanine transaminase, gamma
glutamyltransferase, alkaline phosphatase, high-density lipoprotein,
triglyceride, number of goblet cell, crypt depth and intestinal bacterial
population of Coliforms, Salmonella and E. coli were significantly (p<=0.05)
decreased in PFA fed group. It is concluded that addition of PFA containing
phytomolecules and organic acids as main ingredients could significantly improve
the production parameters and the general health of laying quails as an
alternative to antibiotic growth promoters.
PMID- 27189637
TI - Maternal Origin of Turkish and Iranian Native Chickens Inferred from
Mitochondrial DNA D-loop Sequences.
AB - To assess genetic diversity and maternal origin of Turkish and Iranian native
chicken breeds, we analyzed the mtDNA D-loop sequences of 222 chickens from 2
Turkish (Denizli and Gerze) and 7 Iranian (White Marandi, Black Marandi, Naked
Neck, Common Breed, Lari, West Azarbaijan, and New Hampshire) native chicken
breeds, together with the available reference sequences of G. gallus gallus in
GenBank. The haplotype diversity was estimated as 0.24+/-0.01 and 0.36+/-0.02 for
Turkish and Iranian populations, respectively. In total, 19 haplotypes were
observed from 24 polymorphic sites in Turkish and Iranian native chicken
populations. Two different clades or haplogroups (A and E) were found in Turkish
and Iranian chickens. Clade A haplotypes were found only in White Marandi, Common
Breed and New Hampshire populations. Clade E haplotypes, which are quite common,
were observed in Turkish and Iranian populations with 18 different haplotypes, of
which Turkish and Iranian chickens, Clade E, haplotype 1 (TRIRE1) was a major
haplotype with the frequency of 81.5% (181/222) across all breeds. Compared to
red jungle fowl, Turkish and Iranian chicken breeds are closely related to each
other. These results suggest that Turkish and Iranian chickens originated from
the same region, the Indian subcontinent. Our results will provide reliable basic
information for mtDNA haplotypes of Turkish and Iranian chickens and for studying
the origin of domestic chickens.
PMID- 27189638
TI - Lower omega-6/omega-3 Polyunsaturated Fatty Acid Ratios Decrease Fat Deposition
by Inhibiting Fat Synthesis in Gosling.
AB - The objective of the current study was to investigate the effects of dietary
omega-6/omega-3 polyunsaturated fatty acid (PUFA) ratios on lipid metabolism in
goslings. One hundred and sixty 21-day-old Yangzhou geese of similar weight were
randomly divided into 4 groups. They were fed different PUFA-supplemented diets
(the 4 diets had omega-6/omega-3 PUFA ratios of 12:1, 9:1, 6:1, or 3:1). The
geese were slaughtered and samples of liver and muscle were collected at day 70.
The activities and the gene expression of enzymes involved in lipid metabolism
were measured. The results show that the activities of acetyl coenzyme A
carboxylase (ACC), malic enzyme (ME), and fatty acid synthase (FAS) were lower
(p<0.05), but the activities of hepatic lipase (HL) and lipoprotein lipase (LPL)
were higher (p<0.05), in the liver and the muscle from the 3:1 and 6:1 groups
compared with those in the 9:1 and 12:1 groups. Expression of the genes for FAS
(p<0.01), ME (p<0.01) and ACC (p<0.05) were higher in the muscle of groups fed
diets with higher omega-6/omega-3 PUFA ratios. Additionally, in situ
hybridization tests showed that the expression intensities of the high density
lipoprotein (HDL-R) gene in the 12:1 and 9:1 groups were significantly lower
(p<0.01) than that of the 3:1 group in the muscle of goslings. In conclusion,
diets containing lower omega-6/omega-3 PUFA ratios (3:1 or 6:1) could decrease
fat deposition by inhibiting fat synthesis in goslings.
PMID- 27189639
TI - Transport losses in finisher pigs: impact of transport distance and season of the
year.
AB - OBJECTIVE: The death of animals during transport for slaughter is a major factor
indicating the level of welfare in transported animals. The aim of this study was
to assess mortality related to the commercial transport of finisher pigs for
slaughter in the Czech Republic. METHODS: The inspectors of the State Veterinary
Administration of the Czech Republic recorded the numbers of finisher pigs
transported to processing plants in the Czech Republic for slaughter and the
mortality in these pigs in relation to transport in the period from 2009 to 2014.
RESULTS: Our results show that the likelihood of death losses in transported pigs
increases with increasing transport distance. The transport-related mortality
ranged from 0.049% in pigs transported for distances below 50 km to 0.145% in
pigs transported for distances exceeding 300 km. The impact of external air
temperature on the transport-related mortality found in our study clearly shows
that current transport practices fail to ensure the welfare of pigs transported
under other than moderate weather. Particularly cold temperatures below -2
degrees C were associated with increased death losses in winter transport.
CONCLUSION: Despite a decreasing trend in the mortality of finisher pigs
transported for slaughter in Europe, our study suggests that current transport
conditions are not efficient at ensuring the welfare of pigs during transport for
longer distances and the protection of pigs against the negative impact of
extreme ambient temperatures. Further research should focus on developing
practical guidelines to improve the welfare of pigs in transit accordingly.
PMID- 27189640
TI - Transcriptome profile of one-month-old lambs' granulosa cells after
superstimulation.
AB - OBJECTIVE: Superstimulatory treatment of one-month-old lambs can achieve
synchronous development of numerous growing follicles. However, these growing
follicles cannot complete maturation and ovulation. Oocyte maturation and
competence are acquired during follicular development, in which granulosa cells
play an essential role. METHODS: In this study, we applied RNA sequencing to
analyze and compare gene expression between prepubertal and adult superstimulated
follicle granulosa cells in sheep. RESULTS: There were more than 300 genes that
significantly differed in expression. Among these differently expressed genes,
many extracellular matrix genes (EGF containing Fibulin Like Extracellular Matrix
Protein 1, pentraxin 3, adrenomedullin, and osteopontin) were significantly down
regulated in the superstimulated follicles. Ingenuity pathway and gene ontology
analyses revealed that processes of axonal guidance, cell proliferation and DNA
replication were expressed at higher levels in the prepubertal follicles.
Epidermal growth factor, T-Box protein 2 and beta-estradiol upstream regulator
were predicted to be active in prepubertal follicles. By comparison, tumor
protein P53 and let-7 were most active in adult follicles. CONCLUSION: These
results may contribute to a better understanding of the mechanisms governing the
development of granulosa cells in the growing follicle in prepubertal sheep.
PMID- 27189641
TI - Influence of polymer-coated slow-release urea on total tract apparent
digestibility, ruminal fermentation and performance of Nellore steers.
AB - OBJECTIVE: Two experiments were performed to evaluate the effects of coated slow
release urea on nutrient digestion, ruminal fermentation, nitrogen utilization,
blood glucose and urea concentration (Exp 1), and average daily gain (ADG; Exp 2)
of steers. METHODS: Exp 1: Eight ruminally fistulated steers [503+/-28.5 kg body
weight (BW)] were distributed into a d 4*4 Latin square design and assigned to
treatments: control (CON), feed grade urea (U2), polymer-coated slow-release urea
A (SRA2), and polymer-coated slow-release urea B (SRB2). Dietary urea sources
were set at 20 g/kg DM. Exp 2: 84 steers (350.5+/-26.5 kg initial BW) were
distributed to treatments: CON, FGU at 10 or 20 g/kg diet DM (U1 and U2,
respectively), coated SRA2 at 10 or 20 g/kg diet DM (SRA1 and SRA2,
respectively), and coated SRB at 10 or 20 g/kg diet DM (SRB1 and SRB2,
respectively). RESULTS: Exp 1: Urea treatments (U2+SRA2+SRB2) decreased (7.4%, p
= 0.03) the DM intake and increased (11.4%, p<0.01) crude protein digestibility.
Coated slow-release urea (SRA2+SRB2) showed similar nutrient digestibility
compwared to feed grade urea (FGU). However, steers fed SRB2 had higher (p =
0.02) DM digestibility compared to those fed SRA2. Urea sources did not affect
ruminal fermentation when compared to CON. Although, coated slow-release urea
showed lower (p = 0.01) concentration of NH3-N (-10.4%) and acetate to propionate
ratio than U2. Coated slow-release urea showed lower (p = 0.02) urinary N and
blood urea concentration compared to FGU. Exp 2: Urea sources decreased (p =
0.01) the ADG in relation to CON. Animals fed urea sources at 10 g/kg DM showed
higher (12.33%, p = 0.01) ADG compared to those fed urea at 20 g/kg DM.
CONCLUSION: Feeding urea decreased the nutrient intake without largely affected
the nutrient digestibility. In addition, polymer-coated slow-release urea sources
decreased ruminal ammonia concentration and increased ruminal propionate
production. Urea at 20 g/kg DM, regardless of source, decreased ADG compared both
to CON and diets with urea at 10 g/kg DM.
PMID- 27189642
TI - Knockout of Myostatin by Zinc-finger Nuclease in Sheep Fibroblasts and Embryos.
AB - Myostatin (MSTN) can negatively regulate the growth and development of skeletal
muscle, and natural mutations can cause "double-muscling" trait in animals. In
order to block the inhibiting effect of MSTN on muscle growth, we transferred
zinc-finger nucleases (ZFN) which targeted sheep MSTN gene into cultured
fibroblasts. Gene targeted colonies were isolated from transfected fibroblasts by
serial dilution culture and screened by sequencing. Two colonies were identified
with mono-allele mutation and one colony with bi-allelic deletion. Further, we
introduced the MSTN-ZFN mRNA into sheep embryos by microinjection. Thirteen of
thirty-seven parthenogenetic embryos were targeted by ZFN, with the efficiency of
35%. Our work established the technical foundation for generation of MSTN gene
editing sheep by somatic cloning and microinjection ZFN into embryos.
PMID- 27189643
TI - Stress, Nutrition, and Intestinal Immune Responses in Pigs - A Review.
AB - Modern livestock production became highly intensive and large scaled to increase
production efficiency. This production environment could add stressors affecting
the health and growth of animals. Major stressors can include environment (air
quality and temperature), nutrition, and infection. These stressors can reduce
growth performance and alter immune systems at systemic and local levels
including the gastrointestinal tract. Heat stress increases the permeability,
oxidative stress, and inflammatory responses in the gut. Nutritional stress from
fasting, antinutritional compounds, and toxins induces the leakage and
destruction of the tight junction proteins in the gut. Fasting is shown to
suppress pro-inflammatory cytokines, whereas deoxynivalenol increases the
recruitment of intestinal pro-inflammatory cytokines and the level of lymphocytes
in the gut. Pathogenic and viral infections such as Enterotoxigenic E. coli
(ETEC) and porcine epidemic diarrhea virus can lead to loosening the intestinal
epithelial barrier. On the other hand, supplementation of Lactobacillus or
Saccharaomyces reduced infectious stress by ETEC. It was noted that major
stressors altered the permeability of intestinal barriers and profiles of genes
and proteins of pro-inflammatory cytokines and chemokines in mucosal system in
pigs. However, it is not sufficient to fully explain the mechanism of the gut
immune system in pigs under stress conditions. Correlation and interaction of gut
and systemic immune system under major stressors should be better defined to
overcome aforementioned obstacles.
PMID- 27189644
TI - Effects of Dietary Octacosanol on Growth Performance, Carcass Characteristics and
Meat Quality of Broiler Chicks.
AB - Octacosanol, which has prominent physiological activities and functions, has been
recognized as a potential growth promoter in animals. A total of 392 1-d-old male
Arbor Acres broiler chicks with similar body weight were randomly distributed
into four dietary groups of seven replicates with 14 birds each supplemented with
0, 12, 24, or 36 mg octacosanol (extracted from rice bran, purity >92%)/kg feed.
The feeding trial lasted for six weeks and was divided into the starter (day 1 to
21) and the grower (day 22 to 42) phases. The results showed that the feed
conversion ratio (FCR) was significantly improved in broilers fed a diet
containing 24 mg/kg octacosanol compared with those fed the control diet in the
overall phase (day 1 to 42, p = 0.042). The average daily gain and FCR both
showed linear effects in response to dietary supplementation of octacosanol
during the overall phase (p = 0.031 and 0.018, respectively). Broilers fed with
24 or 36 mg/kg octacosanol diet showed a higher eviscerated yield, which
increased by 5.88% and 4.26% respectively, than those fed the control diet (p =
0.030). The breast muscle yield of broilers fed with 24 mg/kg octacosanol diet
increased significantly by 12.15% compared with those fed the control diet (p =
0.047). Eviscerated and breast muscle yield increased linearly with the increase
in dietary octacosanol supplementation (p = 0.013 and 0.021, respectively).
Broilers fed with 24 or 36 mg/kg octacosanol diet had a greater (p = 0.021)
pH45min value in the breast muscle, which was maintained linearly in response to
dietary octacosanol supplementation (p = 0.003). There was a significant decrease
(p = 0.007) in drip loss value between the octacosanol-added and the control
groups. The drip loss showed linear (p = 0.004) and quadratic (p = 0.041)
responses with dietary supplementation of octacosanol. These studies indicate
that octacosanol is a potentially effective and safe feed additive which may
improve feed efficiency and meat quality, and increase eviscerated and breast
muscle yield, in broiler chicks. Dietary supplementation of octacosanol at 24
mg/kg diet is regarded as the recommended dosage in the broilers' diet.
PMID- 27189645
TI - Fast determination of neonicotinoid insecticides in bee pollen using QuEChERS and
ultra-high performance liquid chromatography coupled to quadrupole time-of-flight
mass spectrometry.
AB - In this study, a new method has been developed to determine seven neonicotinoid
insecticides (acetamiprid, clothianidin, dinotefuran, imidacloprid, nitenpyram,
thiacloprid and thiamethoxam) in bee pollen using ultra-high performance liquid
chromatography coupled to a selective MS detector (qTOF). An efficient sample
treatment involving an optimized quick, easy, cheap, effective, rugged and safe
method was proposed. In all cases, average analyte recoveries were between 91 and
105%, and no matrix effect was observed. Chromatographic analysis (6.5 min) was
performed on a core-shell technology based column (Kinetex(r) EVO C18 , 50*2.1
mm, 1.7 MUm, 100 A). The mobile phase consisted of 0.1% formic acid in water and
0.1% of formic acid in ACN, with a flow rate of 0.3 mL/min in gradient elution
mode. The fully validated method was selective, linear from LOQ to 500 MUg/kg,
precise and accurate; relative standard deviation and relative error values were
below 8%. Low limits LODs and LOQs were obtained, ranging from 0.6 to 1.3 MUg/kg
(LODs) and 2.1 to 4.0 MUg/kg (LOQs). The method was applied to neonicotinoid
analysis in several commercial bee pollen samples from different Spanish regions.
PMID- 27189647
TI - Digging for known genetic mutations underlying inherited bone and cartilage
characteristics and disorders in the dog and cat.
AB - Gene mapping projects for many traits in both dogs and cats have yielded new
knowledge. Both researchers and the public alike have been fascinated by the
inheritance of breed characteristic phenotypes and sporadic disorders. It has
been proposed that selective breeding practices have on occasion generated
alterations in structure that might be harmful. In this review, simply inherited
disorders and characteristics affecting bone and cartilage for which a putative
mutation is known are collected. A better understanding of the known inherited
basis of skeletal conditions and disorders will assist veterinarians to improve
their diagnoses and increase their effectiveness on advising clients on the
prevention, management, prognosis and possible treatment of the conditions.
PMID- 27189646
TI - No cytotoxicity or genotoxicity of graphene and graphene oxide in murine lung
epithelial FE1 cells in vitro.
AB - Graphene and graphene oxide receive much attention these years, because they add
attractive properties to a wide range of applications and products. Several
studies have shown toxicological effects of other carbon-based nanomaterials such
as carbon black nanoparticles and carbon nanotubes in vitro and in vivo. Here, we
report in-depth physicochemical characterization of three commercial graphene
materials, one graphene oxide (GO) and two reduced graphene oxides (rGO) and
assess cytotoxicity and genotoxicity in the murine lung epithelial cell line FE1.
The studied GO and rGO mainly consisted of 2-3 graphene layers with lateral sizes
of 1-2 um. GO had almost equimolar content of C, O, and H while the two rGO
materials had lower contents of oxygen with C/O and C/H ratios of 8 and 12.8,
respectively. All materials had low levels of endotoxin and low levels of
inorganic impurities, which were mainly sulphur, manganese, and silicon. GO
generated more ROS than the two rGO materials, but none of the graphene materials
influenced cytotoxicity in terms of cell viability and cell proliferation after
24 hr. Furthermore, no genotoxicity was observed using the alkaline comet assay
following 3 or 24 hr of exposure. We demonstrate that chemically pure, few
layered GO and rGO with comparable lateral size (> 1 um) do not induce
significant cytotoxicity or genotoxicity in FE1 cells at relatively high doses (5
200 ug/ml). Environ. Mol. Mutagen. 57:469-482, 2016. (c) 2016 The Authors.
Environmental and Molecular Mutagenesis Published by Wiley Periodicals, Inc.
PMID- 27189648
TI - Nicotine has deleterious effects on wound healing through increased
vasoconstriction.
PMID- 27189649
TI - Palladium-Initiated Radical Cascade Stereoselective
Iodofluoroalkylation/Cycloisomerization of Ene-vinylidenecyclopropanes.
AB - A novel and convenient palladium-initiated radical cascade stereoselective
iodofluoroalkylation/cycloisomerization of ene-vinylidenecyclopropanes with
fluoroalkyl iodides has been developed. The reaction proceeds under mild reaction
conditions with high atom economy and stereoselectivity, thereby allowing an
efficient access to a variety of difluoromethylated or perfluoroalkylated
pyrrolidines tethered with an alkyl iodide. Two plausible radical pathways for
the transformation have been proposed on the basis of the results of control
experiments and previous reports, which in one case it was thought that
palladium(0) was an initiator rather than a catalyst.
PMID- 27189650
TI - Ankle muscle coactivation and its relationship with ankle joint kinematics and
kinetics during gait in hemiplegic patients after stroke.
AB - INTRODUCTION: Increased ankle muscle coactivation during gait is a compensation
strategy for enhancing postural stability in patients after stroke. However, no
previous studies have demonstrated that increased ankle muscle coactivation
influenced ankle joint movements during gait in patients after stroke. PURPOSE:
To investigate the relationship between ankle muscle coactivation and ankle joint
movements in hemiplegic patients after stroke. METHODS: Seventeen patients after
stroke participated. The coactivation index (CoI) at the ankle joint was
calculated separately for the first and second double support (DS1 and DS2,
respectively) and single support (SS) phases on the paretic and non-paretic sides
during gait using surface electromyography. Simultaneously, three-dimensional
motion analysis was performed to measure the peak values of the ankle joint
angle, moment, and power in the sagittal plane. Ground reaction forces (GRFs) of
the anterior and posterior components and centers of pressure (COPs) trajectory
ranges and velocities were also measured. RESULTS: The CoI during the SS phase on
the paretic side was negatively related to ankle dorsiflexion angle, ankle
plantarflexion moment, ankle joint power generation, and COP velocity on the
paretic side. Furthermore, the CoI during the DS2 phase on both sides was
negatively related to anterior GRF amplitude on each side. CONCLUSION: Increased
ankle muscle coactivation is related to decreased ankle joint movement during the
SS phase on the paretic side to enhance joint stiffness and compensate for stance
limb instability, which may be useful for patients who have paretic instability
during the stance phase after stroke.
PMID- 27189651
TI - RNA interference-mediated knockdown of the Halloween gene Spookiest (CYP307B1)
impedes adult eclosion in the western tarnished plant bug, Lygus hesperus.
AB - Ecdysteroids play a critical role in coordinating insect growth, development and
reproduction. A suite of cytochrome P450 monooxygenases coded by what are
collectively termed Halloween genes mediate ecdysteroid biosynthesis. In this
study, we describe cloning and RNA interference (RNAi)-mediated knockdown of the
CYP307B1 Halloween gene (Spookiest) in the western tarnished plant bug, Lygus
hesperus. Transcripts for Ly. hesperus Spookiest (LhSpot) were amplified from all
life stages and correlated well with timing of the pre-moult ecdysteroid pulse.
In adults, LhSpot was amplified from heads of both genders as well as female
reproductive tissues. Heterologous expression of a LhSpot fluorescent chimera in
cultured insect cells co-localized with a fluorescent marker of the endoplasmic
reticulum/secretory pathway. RNAi-mediated knockdown of LhSpot in fifth instars
reduced expression of ecdysone-responsive genes E74 and E75, and prevented adult
development. This developmental defect was rescued following application of
exogenous 20-hydroxyecdysone but not exogenous 7-dehydrocholesterol. The
unequivocal RNAi effects on Ly. hesperus development and the phenotypic rescue by
20-hydroxyecdysone are causal proof of the involvement of LhSpot in ecdysteroid
biosynthesis and related developmental processes, and may provide an avenue for
development of new control measures against Ly. hesperus.
PMID- 27189653
TI - Electrochemical activity and high ionic conductivity of lithium copper pyroborate
Li6CuB4O10.
AB - In the search for new cathode materials for Li-ion batteries, borate (BO3(3-))
based compounds have gained much interest during the last two decades due to the
low molecular weight of the borate polyanions which leads to active materials
with increased theoretical capacities. In this context we herein report the
electrochemical activity versus lithium and the ionic conductivity of a diborate
or pyroborate B2O5(4-) based compound, Li6CuB4O10. By combining various
electrochemical techniques with in situ X-ray diffraction, we show that this
material can reversibly insert/deinsert limited amounts of lithium (~0.3 Li(+))
in a potential window ranging from 2.5 to 4.5 V vs. Li(+)/Li(0). We demonstrate,
via electron paramagnetic resonance (EPR), that such an electrochemical activity
centered near 4.25 V vs. Li(+)/Li(0) is associated with the Cu(3+)/Cu(2+) redox
couple, confirmed by density functional theory (DFT) calculations. Another
specificity of this compound lies in its different electrochemical behavior when
cycled down to 1 V vs. Li(+)/Li(0) which leads to the extrusion of elemental
copper via a conversion type reaction as deduced by transmission electron
microscopy (TEM). Lastly, we probe the ionic conductivity by means of AC and DC
impedance measurements as a function of temperature and show that Li6CuB4O10
undergoes a reversible structural transition around 350 degrees C, leading to a
surprisingly high ionic conductivity of ~1.4 mS cm(-1) at 500 degrees C.
PMID- 27189652
TI - Multiple response optimization of the coagulation process for upgrading the
quality of effluent from municipal wastewater treatment plant.
AB - To meet the high quality standard of receiving water, the coagulation process
using polyferric chloride (PFC) was used to further improve the water quality of
effluent from wastewater treatment plants. Uniform design (UD) coupled with
response surface methodology (RSM) was adopted to assess the effects of the main
influence factors: coagulant dosage, pH and basicity, on the removal of total
organic carbon (TOC), NH4(+)-N and PO4(3-)-P. A desirability function approach
was used to effectively optimize the coagulation process for the comprehensive
removal of TOC, NH4(+)-N and PO4(3-)-P to upgrade the effluent quality in
practical application. The optimized operating conditions were: dosage 28 mg/L,
pH 8.5 and basicity 0.001. The corresponding removal efficiencies for TOC, NH4(+)
N and PO4(3-)-P were 77.2%, 94.6% and 20.8%, respectively. More importantly, the
effluent quality could upgrade to surface water Class V of China through
coagulation under optimal region. In addition, grey relational analysis (GRA)
prioritized these three factors as: pH > basicity > dosage (for TOC), basicity >
dosage > pH (for NH4(+)-N), pH > dosage > basicity (for PO4(3-)-P), which would
help identify the most important factor to control the treatment efficiency of
various effluent quality indexes by PFC coagulation.
PMID- 27189654
TI - Curved muscles in biomechanical models of the spine: a systematic literature
review.
AB - Early biomechanical spine models represented the trunk muscles as straight-line
approximations. Later models have endeavoured to accurately represent muscle
curvature around the torso. However, only a few studies have systematically
examined various techniques and the logic underlying curved muscle models. The
objective of this review was to systematically categorise curved muscle
representation techniques and compare the underlying logic in biomechanical
models of the spine. Thirty-five studies met our selection criteria. The most
common technique of curved muscle path was the 'via-point' method. Curved muscle
geometry was commonly developed from MRI/CT database and cadaveric dissections,
and optimisation/inverse dynamics models were typically used to estimate muscle
forces. Several models have attempted to validate their results by comparing
their approach with previous studies, but it could not validate of specific
tasks. For future needs, personalised muscle geometry, and person- or task
specific validation of curved muscle models would be necessary to improve model
fidelity. Practitioner Summary: The logic underlying the curved muscle
representations in spine models is still poorly understood. This literature
review systematically categorised different approaches and evaluated their
underlying logic. The findings could direct future development of curved muscle
models to have a better understanding of the biomechanical causal pathways of
spine disorders.
PMID- 27189656
TI - The potential impact of local excision for T1 colonic cancer in elderly and
comorbid populations: a decision analysis.
AB - BACKGROUND: Population-based bowel cancer screening has resulted in increasing
numbers of patients with T1 colonic cancer. The need for colectomy in this group
is questioned due to the low risk of lymphatic spread and increased treatment
morbidity, particularly for elderly, comorbid patients. This study examined the
quality-of-life benefits and risks of endoscopic resection compared with results
after colectomy, for low-risk and high-risk T1 colonic cancer. METHODS: Decision
analysis using a Markov simulation model was performed; patients were managed
with either endoscopic resection (advanced therapeutic endoscopy) or colectomy.
Lesions were considered high risk according to accepted national guidelines.
Probabilities and utilities (perception of quality of life) were derived from
published data. Hypothetical cohorts of 65- and 80-year-old, fit and unfit
patients with low-risk or high-risk T1 colonic cancer were studied. The primary
outcome was quality-adjusted life expectancy (QALE) in life-years (QALYs).
RESULTS: In low-risk T1 colonic neoplasia, endoscopic resection increases QALE by
0.09 QALYS for fit 65-year-olds and by 0.67 for unfit 80-year-olds. For high-risk
T1 cancers, the QALE benefit for surgical resection is 0.24 QALYs for fit 65-year
olds and the endoscopic QALE benefit is 0.47 for unfit 80-year-olds. The model
findings only favored surgery with high local recurrence rates and when quality
of life under surveillance was perceived poorly. CONCLUSIONS: Under broad
assumptions, endoscopic resection is a reasonable treatment option for both low
risk and high-risk T1 colonic cancer, particularly in elderly, comorbid patients.
Exploration of methods to facilitate endoscopic resection of T1 colonic neoplasia
appears warranted.
PMID- 27189657
TI - A lower dose of fluorescein sodium is more suitable for confocal laser
endomicroscopy: a feasibility study.
AB - BACKGROUND AND AIMS: Image quality can be guaranteed with the conventional dosage
of fluorescein sodium in probe-based confocal laser endomicroscopy (pCLE).
However, yellow discoloration of the skin seriously affects daily life and
simultaneously increases the risk of adverse events such as allergic reactions.
The aim of this study was to test whether a lower dosage of fluorescein sodium
can provide satisfactory image quality and to compare the diagnostic accuracy of
gastric intestinal metaplasia (GIM) through a randomized blind controlled trial.
METHODS: Consecutive patients were randomly assigned to different doses of
fluorescein sodium. Image quality was determined by the endoscopists' subjective
assessments and signal-to-noise ratio (SNR) assessment systems. Skin
discoloration was tested using a neonatal transcutaneous jaundice detector. In
addition, consecutive patients with a known or suspected diagnosis of GIM were
examined by pCLE with the lower dose and the traditional dose. RESULTS: Only 0.01
mL/kg dose of 10% fluorescein sodium led to a significant decrease in image
quality (P < .05), and a dose of 0.02 mL/kg had the highest SNR value (P < .05).
There were no significant differences in skin discoloration between the 0.01
mL/kg and 0.02 mL/kg doses (P = .148) and no statistical difference in the
diagnostic accuracy of pCLE for GIM between the 0.02 mL/kg and 0.10 mL/kg doses
(P > .05). The kappa values for the correlation between pCLE and histopathology
were 0.867 (95% confidence interval, 0.782-0.952) and 0.891 (95% confidence
interval, 0.811-0.971). CONCLUSIONS: The 0.02 mL/kg dose of 10% fluorescein
sodium seems to be the best dose for pCLE in the upper GI tract, with comparable
image quality with the conventional dose and insignificant skin discoloration.
This dose is also very efficient for the diagnosis of GIM.
PMID- 27189658
TI - Factors predicting adverse events associated with therapeutic colonoscopy for
colorectal neoplasia: a retrospective nationwide study in Japan.
AB - BACKGROUND AND AIMS: Few large studies have evaluated the adverse events
associated with therapeutic colonoscopy for colorectal neoplasia, including
bleeding and bowel perforation. Our aim was to investigate factors associated
with these events, using a Japanese national inpatient database. METHODS: We
extracted data from the nationwide Japan Diagnosis Procedure Combination database
for patients who underwent therapeutic colonoscopy for colorectal neoplasia
between 2013 and 2014. Therapeutic colonoscopy included endoscopic submucosal
dissection (ESD), EMR, and polypectomy. Outcomes included bleeding, perforation,
cerebro-cardiovascular events, and in-hospital death. A multivariable logistic
regression model was used to evaluate factors associated with bleeding and bowel
perforation. RESULTS: We analyzed 345,546 patients, including 16,812 (4.9%) who
underwent ESD, 219,848 (63.6%) who underwent EMR, and 108,886 (31.5%) who
underwent polypectomy. The rates of bleeding, bowel perforation, cardiovascular
events, cerebrovascular events, and death were 32.5, 0.47, 0.05, 0.88, and 1.32
per 1000 patients, respectively. In the multivariate analysis, a higher bleeding
rate was associated with being male, comorbid diseases, ESD, tumor size >=2 cm,
and use of drugs including low-dose aspirin, thienopyridines, non-aspirin
antiplatelet drugs, novel oral anticoagulants, warfarin, non-steroidal anti
inflammatory drugs (NSAIDs), and steroids. A higher bowel perforation rate was
associated with being male, renal disease, ESD, tumor size >=2 cm, and drugs
including warfarin, NSAIDs, and steroids. CONCLUSIONS: Although the incidence of
adverse events after therapeutic colonoscopy was low, several patient-related
factors were significantly associated with bleeding and bowel perforation.
PMID- 27189659
TI - Enhanced instructions improve the quality of bowel preparation for colonoscopy: a
meta-analysis of randomized controlled trials.
AB - BACKGROUND AND AIMS: The success of a colonoscopy is highly dependent on the
quality of bowel preparation (BP). Many patients have poor BP due to non
compliance with regular instructions. Reports concerning the effects of enhanced
instructions on BP quality are inconsistent. The aim of this meta-analysis was to
compare BP quality between patients receiving enhanced instructions in addition
to regular instructions and those who received regular instructions only.
METHODS: MEDLINE, EMBASE, Web of Science, and the Cochrane Library were searched
to identify relevant studies published for August 2015. The quality of BP
(adequate/inadequate), adenoma detection rate, polyp detection rate, willingness
to repeat preparation, and adverse events were estimated by using odds ratios
(OR) and 95% confidence intervals (CI) with random effects models. RESULTS: Eight
randomized controlled trials (n = 3795) were included. Patients who received
enhanced instructions showed significantly better BP quality than those receiving
only regular instructions (OR, 2.35; 95% CI, 1.65-3.35; P < .001). Subgroup
analysis showed that the beneficial effects of enhanced instructions on BP
quality were consistent among patients receiving different purgative types,
administration methods, or diet restriction (all P < .05). Patients in the
enhanced instructions group showed more willingness to repeat the preparation
(OR, 1.91; 95% CI, 1.20-3.04; P = .006). CONCLUSIONS: Enhanced instructions
significantly improved the quality of BP and willingness to repeat the
preparation in patients undergoing colonoscopy. Factors related to patient
instructions appear to be as important as the preparation method itself in
improving BP quality.
PMID- 27189660
TI - Extended endoscopic mucosal resection does not reduce recurrence compared with
standard endoscopic mucosal resection of large laterally spreading colorectal
lesions.
AB - BACKGROUND AND AIMS: Effective interventions to prevent residual and/or recurrent
adenoma (RRA) after EMR of large sessile and laterally spreading colorectal
lesions (LSL) are yet to be determined. RRA may occur due to inconspicuous
adenoma at the EMR margin. We aimed to determine the efficacy and safety of
extended EMR (X-EMR) compared with standard EMR (S-EMR). METHODS: A single-center
post hoc analysis of LSL >=20 mm referred for treatment was performed. S-EMR was
the standard sequential inject and resect method including a 1-mm to 2-mm margin
of normal mucosa around the lesion. With X-EMR, at least a 5-mm margin of normal
mucosa was excised. Patient and lesion characteristics and procedural outcomes
were recorded. The primary endpoint was RRA at first surveillance colonoscopy at
4 months. RESULTS: Between January 2009 and May 2011, 471 lesions (mean size,
37.9 mm) in 424 patients were resected by S-EMR, and between January 2012 and
December 2013, 448 lesions (mean size, 39.1 mm) in 396 patients were resected by
X-EMR. Resection was successful in 92.3% and 92.6% of referred lesions in the S
EMR and X-EMR groups, respectively (P = .978). X-EMR was independently associated
with a higher risk of intraprocedural bleeding (IPB) (odds ratio, 3.1; 95%
confidence interval [CI], 2.0-5.0; P < .001) but not other adverse events. RRA
was present in 39 of 333 patients (11.7%) and 30 of 296 patients (10.1%) in the S
EMR and X-EMR groups, respectively (P = .15). X-EMR was not related to recurrence
(hazard ratio, 0.8; 95% CI, 0.5-1.3; P = .399). CONCLUSIONS: X-EMR does not
reduce RRA and increases the risk of IPB compared with S-EMR. Alternative methods
for the prevention of RRA are required.
PMID- 27189655
TI - Spina bifida.
AB - Spina bifida is a birth defect in which the vertebral column is open, often with
spinal cord involvement. The most clinically significant subtype is
myelomeningocele (open spina bifida), which is a condition characterized by
failure of the lumbosacral spinal neural tube to close during embryonic
development. The exposed neural tissue degenerates in utero, resulting in
neurological deficit that varies with the level of the lesion. Occurring in
approximately 1 per 1,000 births worldwide, myelomeningocele is one of the most
common congenital malformations, but its cause is largely unknown. The genetic
component is estimated at 60-70%, but few causative genes have been identified to
date, despite much information from mouse models. Non-genetic maternal risk
factors include reduced folate intake, anticonvulsant therapy, diabetes mellitus
and obesity. Primary prevention by periconceptional supplementation with folic
acid has been demonstrated in clinical trials, leading to food fortification
programmes in many countries. Prenatal diagnosis is achieved by ultrasonography,
enabling women to seek termination of pregnancy. Individuals who survive to birth
have their lesions closed surgically, with subsequent management of associated
defects, including the Chiari II brain malformation, hydrocephalus, and
urological and orthopaedic sequelae. Fetal surgical repair of myelomeningocele
has been associated with improved early neurological outcome compared with
postnatal operation. Myelomeningocele affects quality of life during childhood,
adolescence and adulthood, posing a challenge for individuals, families and
society as a whole. For an illustrated summary of this Primer, visit:
http://go.nature.com/fK9XNa.
PMID- 27189662
TI - Oligoantiemesis or Inadequate Prescription of Antiemetics in the Emergency
Department: A Local and National Perspective.
AB - BACKGROUND: Nausea and vomiting are common, but prevalence of antiemetic use in
ED patients is unknown. OBJECTIVES: We determined the use of antiemetics in
emergency department (ED) patients presenting with nausea and vomiting (NV).
METHODS: We conducted a retrospective chart review of ED patients presenting to a
local ED with NV and analyzed data from the National Hospital Ambulatory Care
Survey for similar patients to determine the frequency of administration of
antiemetics in the ED. RESULTS: Of 3876 patients presenting to a local ED with NV
in 2014, 2637 (68% [95% confidence interval (CI) 67-69%]) received an antiemetic.
Of an estimated 11.3 million U.S. ED visits for NV in 2011 (the latest year
available), antiemetics were prescribed in 56% (95% CI 53-59%). Females, older
patients, and those with vomiting were more likely to receive antiemetics. Use of
antiemetics was associated with reduced admissions in the single institution
(odds ratio [OR] 0.62, 95% CI 0.52-0.74), but not in the national database (OR
1.08, 95% CI 0.74-1.60). CONCLUSIONS: Many patients presenting with NV do not
receive antiemetics while in the ED. Effort should be made to further study and
reduce the phenomenon of undertreatment of nausea or vomiting, coined
"oligoantiemesis."
PMID- 27189661
TI - Alternating Diet as a Preventive and Therapeutic Intervention for High Fat Diet
induced Metabolic Disorder.
AB - This study presents the alternating diet as a new strategy in combating obesity
and metabolic diseases. Lean or obese mice were fed a high-fat diet (HFD) for
five days and switched to a regular diet for one (5 + 1), two (5 + 2), or five (5
+ 5) days before switching back to HFD to start the second cycle, for a total of
eight weeks (for prevention) or five weeks (for treatment) without limiting
animals' access to food. Our results showed that animals with 5 + 2 and 5 + 5
diet alternations significantly inhibited body weight and fat mass gain compared
to animals fed an HFD continuously. The dietary switch changed the pattern of
daily caloric intake and suppressed HFD-induced adipose macrophage infiltration
and chronic inflammation, resulting in improved insulin sensitivity and
alleviated fatty liver. Alternating diet inhibited HFD-induced hepatic Ppargamma
mediated lipid accumulation and activated the expression of Pparalpha and its
target genes. Alternating diet in the 5 + 5 schedule induced weight loss in obese
mice and reversed the progression of metabolic disorders, including hepatic
steatosis, glucose intolerance, and inflammation. The results provide direct
evidence to support that alternating diet represents a new intervention in
dealing with the prevalence of diet-induced obesity.
PMID- 27189663
TI - Identification of the STEMI-equivalent de Winter Electrocardiogram Pattern After
Ventricular Fibrillation Cardiac Arrest: A Case Report.
AB - BACKGROUND: Patients with chest pain who have ST elevations on electrocardiogram
(ECG) are at high risk for ST-elevation myocardial infarction (STEMI). Recent
literature has reported various STEMI equivalents, which may be equally
threatening. One STEMI equivalent, previously named the de Winter pattern,
describes ECG changes where there are ST-segment depressions in the precordial
leads in association with tall, symmetrical, hyperacute T-waves. These changes
have been connected with proximal left anterior descending coronary artery
occlusion. CASE REPORT: We have identified a case of the de Winter ECG pattern
immediately after ventricular fibrillation cardiac arrest. A 33-year-old man
presented with waxing and waning severe substernal chest pain. The patient was on
no prior medications, and had no risk factors for acute coronary syndrome. The
initial ECG showed ST depression, which was followed by normalization in a repeat
ECG only minutes later. The patient then developed ventricular fibrillation
cardiac arrest. After defibrillation, return of spontaneous circulation was
achieved and subsequent ECGs demonstrated the de Winter ECG pattern. WHY SHOULD
AN EMERGENCY PHYSICIAN BE AWARE OF THIS?: The characteristic ECG changes within
the de Winter ECG pattern may be missed or misdiagnosed as nonspecific,
reversible ischemia. This can significantly lengthen the transportation to a
center equipped with a percutaneous coronary intervention laboratory, and thus
the start of reperfusion therapy. It is imperative that all practitioners learn
to identify this novel ECG pattern as a STEMI equivalent to ensure appropriate
intervention in the cardiac catheterization laboratory.
PMID- 27189664
TI - Ultrasonography for diagnosis and follow-up of carpal tunnel syndrome in
mucopolysaccharidosis.
PMID- 27189665
TI - Ethics: the perfect mix? Response to Buetow.
AB - This is a response to Stephen Buetow's comments on our paper 'Collectivity, evil
and the dynamics of moral value'.
PMID- 27189666
TI - Epithelial-mesenchymal-transition regulators in prostate cancer: Androgens and
beyond.
AB - Castration resistant prostate cancer (CRPC) remains one of the leading causes of
cancer deaths among men. Conventional therapies targeting androgen signaling
driven tumor growth have provided limited survival benefit in patients. Recent
identification of the critical molecular and cellular events surrounding tumor
progression, invasion, and metastasis to the bone as well as other sites provide
new insights in targeting advanced disease. Epithelial mesenchymal transition
(EMT) is a process via which epithelial cells undergo morphological changes to a
motile mesenchymal phenotype, a phenomenon implicated in cancer metastasis but
also therapeutic resistance. Therapeutic targeting of EMT has the potential to
open a new avenue in the treatment paradigm of CRPC through the reversion of the
invasive mesenchymal phenotype to the well differentiated tumor epithelial tumor
phenotype. Overcoming therapeutic resistance in metastatic prostate cancer is an
unmet need in today's clinical management of advanced disease. This review
outlines our current understanding of the contribution of EMT and its reversal to
MET in prostate cancer progression and therapeutic resistance, and the impact of
selected targeting of mechanisms of resistance via EMT towards a therapeutic
benefit in patients with CRPC.
PMID- 27189667
TI - Direct imaging of molecular symmetry by coherent anti-stokes Raman scattering.
AB - Nonlinear optical methods, such as coherent anti-Stokes Raman scattering and
stimulated Raman scattering, are able to perform label-free imaging, with
chemical bonds specificity. Here we demonstrate that the use of circularly
polarized light allows to retrieve not only the chemical nature but also the
symmetry of the probed sample, in a single measurement. Our symmetry-resolved
scheme offers simple access to the local organization of vibrational bonds and as
a result provides enhanced image contrast for anisotropic samples, as well as an
improved chemical selectivity. We quantify the local organization of vibrational
bonds on crystalline and biological samples, thus providing information not
accessible by spontaneous Raman and stimulated Raman scattering techniques. This
work stands for a symmetry-resolved contrast in vibrational microscopy, with
potential application in biological diagnostic.
PMID- 27189669
TI - Dispelling some myths about the CO2 solubility in ionic liquids.
AB - Ionic liquids have been objects of extensive research for physical sorption of
CO2 and a number of myths have been perpetuated in the literature, for lack of a
critical analysis, concerning their potential for CO2 capture. This study carries
a critical analysis of a number of widely accepted ideas and others not so well
accepted that have been repeatedly expressed in the literature concerning the CO2
physical sorption in ionic liquids. Using the CO2 solubility in eicosane as
benchmark, it will be shown that there is no evidence that ILs display a physical
sorption of CO2 larger than n-alkanes when analyzed in adequate concentration
units; the fluorination of the ions has no impact on the CO2 solubility and the
oxygenation will marginally contribute to a decrease of the solubility. Ionic
liquid-based deep eutectic systems are also shown to have a poor CO2 solubility.
Although these widely used approaches to physically enhance the CO2 solubility in
ILs do not seem to have any positive influence, this does not mean that other
types of interaction cannot provide enhanced CO2 solubility as in the case of the
anion [B(CN)4] confirmed here by a critical analysis of the published data. The
mechanism of CO2 physical sorption in ionic liquids is discussed based on the
results analyzed, supported by spectroscopic measurements and molecular
simulations previously reported and further suggestions of possibilities for
enhanced physical sorption based on fluorinated aromatic rings, other cyano based
anions, mixtures with other ILs or solvents or the use of porous liquids are
proposed.
PMID- 27189668
TI - Asthma.
AB - Asthma is the most common inflammatory disease of the lungs. The prevalence of
asthma is increasing in many parts of the world that have adopted aspects of the
Western lifestyle, and the disease poses a substantial global health and economic
burden. Asthma involves both the large-conducting and the small-conducting
airways, and is characterized by a combination of inflammation and structural
remodelling that might begin in utero. Disease progression occurs in the context
of a developmental background in which the postnatal acquisition of asthma is
strongly linked with allergic sensitization. Most asthma cases follow a variable
course, involving viral-induced wheezing and allergen sensitization, that is
associated with various underlying mechanisms (or endotypes) that can differ
between individuals. Each set of endotypes, in turn, produces specific asthma
characteristics that evolve across the lifecourse of the patient. Strong genetic
and environmental drivers of asthma interconnect through novel epigenetic
mechanisms that operate prenatally and throughout childhood. Asthma can
spontaneously remit or begin de novo in adulthood, and the factors that lead to
the emergence and regression of asthma, irrespective of age, are poorly
understood. Nonetheless, there is mounting evidence that supports a primary role
for structural changes in the airways with asthma acquisition, on which altered
innate immune mechanisms and microbiota interactions are superimposed. On the
basis of the identification of new causative pathways, the subphenotyping of
asthma across the lifecourse of patients is paving the way for more-personalized
and precise pathway-specific approaches for the prevention and treatment of
asthma, creating the real possibility of total prevention and cure for this
chronic inflammatory disease.
PMID- 27189671
TI - Modeling the fluid-dynamics and oxygen consumption in a porous scaffold
stimulated by cyclic squeeze pressure.
AB - The architecture and dynamic physical environment of tissues can be recreated in
vitro by combining 3D porous scaffolds and bioreactors able to apply controlled
mechanical stimuli on cells. In such systems, the entity of the stimuli and the
distribution of nutrients within the engineered construct depend on the micro
structure of the scaffolds. In this work, we present a new approach for
optimizing computational fluid-dynamics (CFD) models for the investigation of
fluid-induced forces generated by cyclic squeeze pressure within a porous
construct, coupled with oxygen consumption of cardiomyocytes. A 2D axial
symmetric macro-scaled model of a squeeze pressure bioreactor chamber was used as
starting point for generating time dependent pressure profiles. Subsequently the
fluid movement generated by the pressure fields was coupled with a complete 3D
micro-scaled model of a porous protein cryogel. Oxygen transport and consumption
inside the scaffold was evaluated considering a homogeneous distribution of
cardiomyocytes throughout the structure, as confirmed by preliminary cell culture
experiments. The results show that a 3D description of the system, coupling a
porous geometry and time dependent pressure driven flow with fluid-structure
interaction provides an accurate and meaningful description of the
microenvironment in terms of shear stress and oxygen distribution than simple
stationary 2D models.
PMID- 27189670
TI - Assessing mutant p53 in primary high-grade serous ovarian cancer using
immunohistochemistry and massively parallel sequencing.
AB - The tumour suppressor p53 is mutated in cancer, including over 96% of high-grade
serous ovarian cancer (HGSOC). Mutations cause loss of wild-type p53 function due
to either gain of abnormal function of mutant p53 (mutp53), or absent to low
mutp53. Massively parallel sequencing (MPS) enables increased accuracy of
detection of somatic variants in heterogeneous tumours. We used MPS and
immunohistochemistry (IHC) to characterise HGSOCs for TP53 mutation and p53
expression. TP53 mutation was identified in 94% (68/72) of HGSOCs, 62% of which
were missense. Missense mutations demonstrated high p53 by IHC, as did 35% (9/26)
of non-missense mutations. Low p53 was seen by IHC in 62% of HGSOC associated
with non-missense mutations. Most wild-type TP53 tumours (75%, 6/8) displayed
intermediate p53 levels. The overall sensitivity of detecting a TP53 mutation
based on classification as 'Low', 'Intermediate' or 'High' for p53 IHC was 99%,
with a specificity of 75%. We suggest p53 IHC can be used as a surrogate marker
of TP53 mutation in HGSOC; however, this will result in misclassification of a
proportion of TP53 wild-type and mutant tumours. Therapeutic targeting of mutp53
will require knowledge of both TP53 mutations and mutp53 expression.
PMID- 27189673
TI - Predictors of full-time faculty appointment among MD-PhD program graduates: a
national cohort study.
AB - PURPOSE: The authors sought to identify variables associated with MD-PhD program
graduates' academic medicine careers. METHODS: We analyzed data for a national
cohort of MD-PhD program graduates from 2000 to 2005, using multivariable
logistic regression to identify independent predictors of full-time academic
medicine faculty appointment through 2013. RESULTS: Of 1,860 MD-PhD program
graduates in 2000-2005, we included 1,846 (99.2%) who had completed residency
training before 2014. Of these 1,846 graduates, 968 (52.4%) held full-time
faculty appointments. Graduates who attended schools with Medical Scientist
Training Program (MSTP) funding (vs. no MSTP funding; adjusted odds ratio [aOR],
1.41; 95% confidence interval [CI], 1.14-1.74) and participated in >=1 year of
research during residency (vs. no documented research year; aOR, 1.85; 95% CI,
1.50-2.28) were more likely to have held full-time faculty appointments.
Asian/Pacific Islander (aOR, 0.74; 95% CI, 0.60-0.93) and under-represented
minority (URM; aOR, 0.68; 95% CI, 0.48-0.98) graduates (each vs. white
graduates), graduates who reported total debt of >=$100,000 (vs. no debt) at
graduation (aOR, 0.58; 95% CI, 0.39-0.88), and graduates in surgical practice
(aOR, 0.64; 95% CI, 0.48-0.84) and other practice (aOR, 0.66, 95% CI, 0.54-0.81)
specialties (each vs. 'medicine, pediatrics, pathology, or neurology') were less
likely to have held full-time faculty appointments. Gender was not independently
associated with likelihood of full-time faculty appointment. CONCLUSIONS: Over
half of all MD-PhD program graduates in our study had full-time faculty
appointments. Our findings regarding variables independently associated with full
time faculty appointments can inform the design of strategies to promote academic
medicine career choice among MD-PhD program graduates. Further research is
warranted to identify other factors amenable to intervention, in addition to
those included in our study, which will foster the further development of a
diverse academic medicine physician-scientist workforce nationally.
PMID- 27189672
TI - Utility-based designs for randomized comparative trials with categorical
outcomes.
AB - A general utility-based testing methodology for design and conduct of randomized
comparative clinical trials with categorical outcomes is presented. Numerical
utilities of all elementary events are elicited to quantify their desirabilities.
These numerical values are used to map the categorical outcome probability vector
of each treatment to a mean utility, which is used as a one-dimensional criterion
for constructing comparative tests. Bayesian tests are presented, including fixed
sample and group sequential procedures, assuming Dirichlet-multinomial models for
the priors and likelihoods. Guidelines are provided for establishing priors,
eliciting utilities, and specifying hypotheses. Efficient posterior computation
is discussed, and algorithms are provided for jointly calibrating test cutoffs
and sample size to control overall type I error and achieve specified power.
Asymptotic approximations for the power curve are used to initialize the
algorithms. The methodology is applied to re-design a completed trial that
compared two chemotherapy regimens for chronic lymphocytic leukemia, in which an
ordinal efficacy outcome was dichotomized, and toxicity was ignored to construct
the trial's design. The Bayesian tests also are illustrated by several types of
categorical outcomes arising in common clinical settings. Freely available
computer software for implementation is provided. Copyright (c) 2016 John Wiley &
Sons, Ltd.
PMID- 27189674
TI - RETRACTED: Design, synthesis, structure-activity relationship and kinase
inhibitory activity of substituted 3-methyl-1-phenyl-1H-pyrazolo[3,4-d]pyrimidin
4-ones.
AB - This article has been retracted: please see Elsevier Policy on Article Withdrawal
(http://www.elsevier.com/locate/withdrawalpolicy).This article has been retracted
at the request of the author who confirmed that the purity of some of the
described compounds is below acceptable standards and thus the biochemical
results reported in the paper have no validity.
PMID- 27189675
TI - The SAR of brain penetration for a series of heteroaryl urea FAAH inhibitors.
AB - The SAR of brain penetration for a series of heteroaryl piperazinyl- and
piperadinyl-urea fatty acid amide hydrolase (FAAH) inhibitors is described.
Brain/plasma (B/P) ratios ranging from >4:1 to as low as 0.02:1 were obtained
through relatively simple structural changes to various regions of the heteroaryl
urea scaffold. It was not possible to predict the degree of central nervous
system (CNS) penetration from the volumes of distribution (Vd) obtained from
pharmacokinetic (PK) experiments as very high Vds did not correlate with high B/P
ratios. Similarly, calculated topological polar surface areas (TPSAs) did not
consistently correlate with the degree of brain penetration. The lowest B/P
ratios were observed for those compounds that were significantly ionized at
physiological pH. However, as this class of compounds inhibits the FAAH enzyme
through covalent modification, low B/P ratios did not preclude effective central
target engagement.
PMID- 27189677
TI - Structural effect of glyme-Li(+) salt solvate ionic liquids on the conformation
of poly(ethylene oxide).
AB - The conformation of 36 kDa polyethylene oxide (PEO) dissolved in three glyme
Li(+) solvate ionic liquids (SILs) has been investigated by small angle neutron
scattering (SANS) and rheology as a function of concentration and compared to a
previously studied SIL. The solvent quality of a SIL for PEO can be tuned by
changing the glyme length and anion type. Thermogravimetric analysis (TGA)
reveals that PEO is dissolved in the SILs through Li(+)-PEO coordinate bonds. All
SILs (lithium triglyme bis(trifluoromethanesulfonyl)imide ([Li(G3)]TFSI), lithium
tetraglyme bis(pentafluoroethanesulfonyl)imide ([Li(G4)]BETI), lithium tetraglyme
perchlorate ([Li(G4)]ClO4) and the recently published [Li(G4)]TFSI) are found to
be moderately good solvents for PEO but solvent quality decreases in the order
[Li(G4)]TFSI ~ [Li(G4)]BETI > [Li(G4)]ClO4 > [Li(G3)]TFSI due to decreased
availability of Li(+) for PEO coordination. For the same glyme length, the
solvent qualities of SILs with TFSI(-) and BETI(-) anions ([Li(G4)]TFSI and
[Li(G4)]BETI) are very similar because they weakly coordinate with Li(+), which
facilitates Li(+)-PEO interactions. [Li(G4)]ClO4 presents a poorer solvent
environment for PEO than [Li(G4)]BETI because ClO4(-) binds more strongly to
Li(+) and thereby hinders interactions with PEO. [Li(G3)]TFSI is the poorest PEO
solvent of these SILs because G3 binds more strongly to Li(+) than G4.
Rheological and radius of gyration (Rg) data as a function of PEO concentration
show that the PEO overlap concentrations, c* and c**, are similar in the three
SILs.
PMID- 27189676
TI - Steroids from the rhizome of Anemarrhena asphodeloides and their cytotoxic
activities.
AB - Cancer remains a major killer worldwide. To search for novel naturally occurring
compounds that are cytotoxic to cancer cells to be used as lead structures for
drug development, five new steroids (1-5) along with seven known ones (6-12) were
isolated from the rhizome of Anemarrhena asphodeloides Bge. Their structures were
established by detailed spectral studies, including 1D-NMR, 2D-NMR, HR-ESI-MS and
by comparison with literature data. These compounds exhibited different levels of
growth inhibition against A549, HepG2, Hep3B, Bcap37 and MCF7 cell lines in
vitro. Compounds 9, 10 and 11 showed potent inhibitory against all the tested
cell lines with IC50 values ranging from 0.35+/-0.15 to 25.53+/-0.31MUM. The
three compounds displayed stronger inhibitory activities against A549, HepG2 and
Hep3B cell lines compared with the positive control 5-fluorouracil. The
experimental data obtained permit us to identify the roles of the sugar moieties,
hydroxyl group, double bond and F-ring with regard to their cytotoxic activities.
PMID- 27189678
TI - Lung Ultrasound for Diagnosing Pneumothorax in the Critically Ill Neonate.
AB - OBJECTIVES: To evaluate the accuracy of lung ultrasound for the diagnosis of
pneumothorax in the sudden decompensating patient. STUDY DESIGN: In an
international, prospective study, sudden decompensation was defined as a
prolonged significant desaturation (oxygen saturation <65% for more than 40
seconds) and bradycardia or sudden increase of oxygen requirement by at least 50%
in less than 10 minutes with a final fraction of inspired oxygen >=0.7 to keep
stable saturations. All eligible patients had an ultrasound scan before
undergoing a chest radiograph, which was the reference standard. RESULTS: Forty
two infants (birth weight = 1531 +/- 812 g; gestational age = 31 +/- 3.5 weeks)
were enrolled in 6 centers; pneumothorax was detected in 26 (62%). Lung
ultrasound accuracy in diagnosing pneumothorax was as follows: sensitivity 100%,
specificity 100%, positive predictive value 100%, and negative predictive value
100%. Clinical evaluation of pneumothorax showed sensitivity 84%, specificity
56%, positive predictive value 76%, and negative predictive value 69%. After
sudden decompensation, a lung ultrasound scan was performed in an average time of
5.3 +/- 5.6 minutes vs 19 +/- 11.7 minutes required for a chest radiography.
Emergency drainage was performed after an ultrasound scan but before radiography
in 9 cases. CONCLUSIONS: Lung ultrasound shows high accuracy in detecting
pneumothorax in the critical infant, outperforming clinical evaluation and
reducing time to imaging diagnosis and drainage.
PMID- 27189679
TI - Effects of Perinatal Dioxin Exposure on Development of Children during the First
3 Years of Life.
AB - OBJECTIVE: To investigate the longitudinal effects of perinatal exposure to
dioxin on neurodevelopment and physical growth of a birth cohort during the first
3 years of life. STUDY DESIGN: A total of 217 mother-infant pairs living in a
dioxin-contaminated area in Vietnam were followed up. Perinatal dioxin exposure
of infants was estimated by the measurement of dioxin levels in breast milk of
nursing mothers. Neurodevelopment of infants and children, including cognitive,
language, and motor development, was determined at 4 months, 1 year, and 3 years
of age. Physical growth, including weight, height, and head and abdominal
circumferences, was measured at birth, 1 and 4 months, and 1 and 3 years of age.
Multivariate mixed models were applied for analyzing repeated measures. RESULTS:
In boys, composite motor and gross motor scores were decreased with increasing
exposure of 2,3,7,8-tetrachlorodibenzo-p-dioxin (2,3,7,8-TetraCDD). The high
toxic equivalent of polychlorinated dibenzo-p-dioxins and polychlorinated
dibenzofurans (PCDDs/PCDFs-TEQ) group showed a significant decrease in expressive
communication score. In girls, there was no decreased score in any
neurodevelopment aspects in high-exposure groups. All body size measures in boys
were decreased in the high-exposure groups of 2,3,7,8-TetraCDD and PCDDs/PCDFs
TEQ. In girls, high 2,3,7,8-TetraCDD and PCDDs/PCDFs-TEQ exposure was associated
with increased head and abdominal circumferences. CONCLUSIONS: Perinatal dioxin
exposure affects physical growth and neurodevelopment of infants and children in
the first 3 years of life in a sex-specific manner.
PMID- 27189680
TI - Admission Hypothermia in Very Preterm Infants and Neonatal Mortality and
Morbidity.
AB - OBJECTIVE: To investigate the association between body temperature at admission
to neonatal intensive care and in-hospital mortality in very preterm infants,
stratified by postnatal age of death. Moreover, we assessed the association
between admission temperature and neonatal morbidity. STUDY DESIGN: In this
cohort study from 19 regions in 11 European countries, we measured body
temperature at admission for infants admitted for neonatal care after very
preterm birth (<32 weeks of gestation; n = 5697) who were followed to discharge
or death. Associations between body temperature at admission and in-hospital
mortality and neonatal morbidity were analyzed by the use of mixed effects
generalized linear models. The final model adjusted for pregnancy complications,
singleton or multiple pregnancy, antenatal corticosteroids, mode of delivery,
gestational age, infant size and sex, and Apgar score <7 at 5 minutes. RESULTS: A
total of 53.4% of the cohort had a body temperature at admission less than 36.5
degrees C, and 12.9% below 35.5 degrees C. In the adjusted model, an admission
temperature <35.5 degrees C was associated with increased mortality at postnatal
ages 1-6 days, (risk ratio 2.41; 95% CI 1.45-4.00), and 7-28 days (risk ratio
1.79; 1.15-2.78) but not after 28 days of age. We found no associations between
admission temperature and neonatal morbidity. CONCLUSION: Admission hypothermia
after very preterm birth is a significant problem in Europe, associated with an
increased risk of early and late neonatal death.
PMID- 27189681
TI - Caffeine for the Treatment of Apnea in Bronchiolitis: A Randomized Trial.
AB - OBJECTIVE: To evaluate the efficacy and safety of caffeine citrate in the
treatment of apnea in bronchiolitis. STUDY DESIGN: Eligible infants aged <=4
months presenting to the main pediatric emergency service with apnea associated
bronchiolitis were stratified by gestational age (<34 weeks or longer) and
randomized to receive a single dose of intravenous 25 mg/kg caffeine citrate or
saline placebo. The primary efficacy outcome was a 24-hour apnea-free period
beginning after completion of the blinded study drug infusion. Secondary outcomes
were frequency of apnea by 24, 48, and 72 hours after study medication, need for
noninvasive/invasive ventilation, and length of stay in the hospital's pediatric
intensive care/step-down unit. RESULTS: A total of 90 infants diagnosed with
viral bronchiolitis associated with apnea (median age, 38 days) were enrolled.
The rate of respiratory virus panel positivity was similar in the 2 groups (78%
for the placebo group vs 84% for the caffeine group). The geometric mean duration
to a 24-hour apnea-free period was 28.1 hours (95% CI, 25.6-32.3 hours) for the
caffeine group and 29.1 hours (95% CI, 25.7-32.9 hours) for the placebo group (P
= .88; OR, 0.99; 95% CI, 0.83-1.17). The frequency of apnea at 24 hours, 24-48
hours, and 48-72 hours after enrollment and the need for noninvasive and invasive
ventilation were similar in the 2 groups. No safety issues were reported.
CONCLUSIONS: A single dose of caffeine citrate did not significantly reduce apnea
episodes associated with bronchiolitis. TRIAL REGISTRATION: Clinicaltrials.gov:
NCT01435486.
PMID- 27189682
TI - Diagnosis of adrenal insufficiency in eosinophilic esophagitis: The importance of
timing of cortisol measurements in interpreting low-dose adrenocorticotropic
hormone stimulation testing.
PMID- 27189683
TI - Elimination of the Out-of-Pocket Charge for Children's Primary Care Visits: An
Application of Value-Based Insurance Design.
AB - OBJECTIVE: To evaluate the impact of a value-based insurance design for primary
care among children. STUDY DESIGN: A retrospective analysis of health care claims
data on 25 950 children (<18 years of age) was conducted. Individuals were
enrolled in a large employer's health plans when zero out-of-pocket cost for
primary care physician visits was implemented. A rigorous propensity score
matching process was used to generate a control group of equal size from a
database of other employer-sponsored insurees. Multivariate difference-in
differences models estimated the effect of zero out-of-pocket cost on 21 health
services and cost outcomes 24 months after intervention. RESULTS: Zero out-of
pocket cost for primary care was associated with significant increases (P < .01)
in primary care physician visits (+32 per 100 children), as well as decreases in
emergency department (-5 per 100 children) and specialist physician visits (-12
per 100 children). The number of prescription drug fills also declined (-20 per
100 children), yet medication adherence for 3 chronic conditions was unaffected.
The receipt of well child visits and 4 recommended vaccinations were all
significantly (P < .05) greater under the new plan design feature. Employer costs
for primary care increased significantly (P < .01) in association with greater
utilization ($29 per child), but specialist visit costs declined (-$12 per child)
and total health care costs per child did not exhibit a statistically significant
increase. CONCLUSION: This novel application of value-based insurance design
warrants broader deployment and assessment of its longer term outcomes. As with
recommended preventive services, policymakers should consider exempting primary
care from health insurance cost-sharing.
PMID- 27189684
TI - Can One Predict Resolution of Neonatal Hyperthyrotropinemia?
AB - OBJECTIVE: To identify predictors of transience vs permanence of neonatal
hyperthyrotropinemia. We hypothesized that infants with greater severity of
perinatal stress are more likely to have transient thyrotropin elevations. STUDY
DESIGN: We retrospectively studied infants diagnosed with hyperthyrotropinemia
between 2002 and 2014, following them for up to 12 years after diagnosis.
Patients were divided into 3 groups: transient hyperthyrotropinemia (treatment
was never prescribed), transient congenital hypothyroidism (treatment started but
discontinued), and permanent congenital hypothyroidism (withdrawal unsuccessful
or not attempted). We performed univariate and multiple logistic regression
analyses, including and excluding infants with maternal thyroid disease. RESULTS:
We included 76 infants, gestational age mean (+/-SD) 34.2 (+/-5.7) weeks,
evaluated for hyperthyrotropinemia. Thirty-five (46%) were never treated, and 41
(54%) received levothyroxine. Of the treated patients, 16 successfully
discontinued levothyroxine, and for 25 withdrawal either failed or was not
attempted. We found that male patients were almost 5 times more likely than
female patients to have transient neonatal hyperthyrotropinemia (OR 4.85; 95% CI
1.53-15.37). We documented greater maternal age (31.5 +/- 5.48 years vs 26 +/-
6.76 years, mean +/- SD, P = .02), greater rate of cesarean delivery (86.7% vs
54.2%; P = .036), and retinopathy of prematurity (37.5% vs 8%; P = .02) in the
group with transient congenital hypothyroidism vs the group with permanent
congenital hypothyroidism. CONCLUSION: The results show transience of neonatal
thyrotropin elevations in a majority of patients and suggest a possible
association of hyperthyrotropinemia with maternal and perinatal risk factors.
PMID- 27189686
TI - Parent and Teacher Perspectives on Emerging Executive Functioning in Preschoolers
With Neurofibromatosis Type 1: Comparison to Unaffected Children and Lab-Based
Measures.
AB - Objective: Children with neurofibromatosis type 1 (NF1) are at risk for executive
functioning (EF) challenges, with little research with preschoolers. Methods: EF
was examined using parent and teacher ratings of preschool-aged children with NF1
( n = 26) and parent ratings of unaffected children ( n = 37) on the Behavior
Rating Inventory for Executive Functioning-Preschool Form. Relations to
performance on laboratory measures were also examined. Results: Based on parent
ratings, children with NF1 had more dysfunction than the normative mean on the
Working Memory (WM) scale and Emergent Metacognition Index (EMI). Teacher ratings
indicated greater dysfunction than the normative mean on the WM and
Planning/Organization scales, EMI, and General Executive Composite. Children with
NF1 showed more difficulties than unaffected children on the WM scale. Teacher
report of WM was significantly correlated with Differential Ability Scales-Second
Edition Digits Forward performance. Conclusions: WM emerged as an area of
difficulty for young children with NF1.
PMID- 27189685
TI - The Impact of Neurodevelopmental and Psychosocial Outcomes on Health-Related
Quality of Life in Survivors of Congenital Heart Disease.
PMID- 27189687
TI - Better Nights/Better Days-Distance Intervention for Insomnia in School-Aged
Children With/Without ADHD: A Randomized Controlled Trial.
AB - OBJECTIVE: Better Nights/Better Days, a distance intervention for insomnia in
school-aged children (with and without attention-deficit/hyperactivity disorder
[ADHD]), was evaluated to determine its effectiveness on children's sleep and
psychosocial functioning. METHODS: A single center, parallel group design
randomized controlled trial (stratified on ADHD diagnosis) was conducted. Parents
were randomized to intervention (n = 31) or waitlist control (n = 30), and
completed questionnaires administered over the phone at baseline,
postintervention (2 months), and follow-up (6 months). Actigraphy was also
collected. The intervention consisted of a five-session manual and weekly
telephone coach support. RESULTS: The intervention group demonstrated a
significant reduction in sleep problems and improved psychosocial functioning at
postintervention and follow-up. Actigraphy results indicated improved sleep
onset, but not sleep duration. Children with and without ADHD responded in a
similar manner to this intervention. Parents provided high satisfaction ratings.
CONCLUSIONS: This intervention holds promise as an accessible, sustainable, and
effective program to address insomnia in school-aged children.
PMID- 27189689
TI - Topical Review: Mind Your Language-Translation Matters (A Narrative Review of
Translation Challenges).
AB - OBJECTIVE: Translation of developmental-behavioral screening tools for use
worldwide can be daunting. We summarize issues in translating these tools.
METHODS: Instead of a theoretical framework of "equivalence" by Pena and
International Test Commission guidelines, we decided upon a practical approach
used by the American Association of Orthopedic Surgeons (AAOS). We derived
vignettes from the Parents' Evaluation of Developmental Status manual and
published literature and mapped them to AAOS. RESULTS: We found that a
systematic approach to planning and translating developmental-behavioral
screeners is essential to ensure "equivalence" and encourage wide consultation
with experts. CONCLUSION: Our narrative highlights how translations can result
in many challenges and needed revisions to achieve "equivalence" such that the
items remain consistent, valid, and meaningful in the new language for use in
different cultures. Information sharing across the community of researchers is
encouraged. This narrative may be helpful to novice researchers.
PMID- 27189691
TI - Daily Bidirectional Relationships Between Sleep and Mental Health Symptoms in
Youth With Emotional and Behavioral Problems.
AB - OBJECTIVE: The present study examined the daily, bidirectional relationships
between sleep and mental health symptoms in youth presenting to mental health
treatment. METHODS: Youth aged 6 to 11 (36% female, 44% European American)
presenting to outpatient behavioral health treatment (N = 25) were recruited to
participate in the study. Children and parents completed daily questionnaires
regarding the child's sleep, mood, and behavior for a 14-day period, while youth
wore an actigraph watch to objectively measure sleep. RESULTS: Examining between-
and within-person variance using multilevel models, results indicate that youth
had poor sleep duration and quality and that sleep and mental health symptoms
were highly related at the daily level. Between-person effects were found to be
most important and significant bidirectional relationships exist. CONCLUSIONS:
Identifying and addressing sleep problems in the context of mental health
treatment is important, as poor sleep is associated with increased symptomology
and may contribute to worsened mental health.
PMID- 27189690
TI - Investigating the Role of Hypothalamic Tumor Involvement in Sleep and Cognitive
Outcomes Among Children Treated for Craniopharyngioma.
AB - OBJECTIVE: Despite excellent survival prognosis, children treated for
craniopharyngioma experience significant morbidity. We examined the role of
hypothalamic involvement (HI) in excessive daytime sleepiness (EDS) and attention
regulation in children enrolled on a Phase II trial of limited surgery and proton
therapy. METHODS: Participants completed a sleep evaluation (N = 62) and a
continuous performance test (CPT) during functional magnetic resonance imaging
(fMRI; n = 29) prior to proton therapy. RESULTS: EDS was identified in 76% of the
patients and was significantly related to increased HI extent (p = .04). There
was no relationship between CPT performance during fMRI and HI or EDS. Visual
examination of group composite fMRI images revealed greater spatial extent of
activation in frontal cortical regions in patients with EDS, consistent with a
compensatory activation hypothesis. CONCLUSION: Routine screening for sleep
problems during therapy is indicated for children with craniopharyngioma, to
optimize the timing of interventions and reduce long-term morbidity.
PMID- 27189692
TI - Stigma in Medical Settings As Reported Retrospectively by Women With Congenital
Adrenal Hyperplasia (CAH) for Their Childhood and Adolescence.
AB - Objectives: To perform a qualitative study of stigma experienced in medical
settings by children and adolescents with congenital genital ambiguity (CGA).
Methods: 62 women with classical congenital adrenal hyperplasia (CAH) of variable
severity took part in a qualitative retrospective interview that focused on the
impact of CAH and its medical treatment, with an emphasis on childhood and
adolescence. Categorization of stigmatization was based on deductive content
analysis of the interview transcripts. Results: Many women recalled experiencing
the genital examinations in childhood and adolescence as adverse, stigmatizing
events, leading to avoidance reactions and self-perception as abnormal,
particularly when the examinations included groups of trainees. Some women also
experienced as adverse the nonverbal and verbal reactions of individual
physicians who were unfamiliar with CGA. Conclusions: Genital examinations
constitute salient events for children and adolescents with CGA. They are easily
experienced as strongly stigmatizing, especially when combined with teaching.
PMID- 27189693
TI - Sleep Problems as Consequence, Contributor, and Comorbidity: Introduction to the
Special Issue on Sleep, Published in Coordination With Special Issues in Clinical
Practice in Pediatric Psychology and Journal of Developmental and Behavioral
Pediatrics.
AB - Despite long-standing public and scientific interest in the phenomenon of sleep,
the current decade has shown tremendous growth in our understanding of the sleep
of children who have medical or developmental conditions. To accommodate,
promote, and guide that growth, Journal of Pediatric Psychology, Clinical
Practice in Pediatric Psychology, and Journal of Developmental and Behavioral
Pediatrics have published coordinated special issues, encompassing >30 relevant
articles. This article introduces the special issue in Journal of Pediatric
Psychology, highlighting papers that illustrate how sleep problems are not only
commonly comorbid with childhood medical and developmental conditions; they are
also likely caused by and contribute to these conditions. In doing so, these
coordinated special issues guide clinical care and reveal opportunities for
future research.
PMID- 27189694
TI - Measuring Medication Adherence in Pediatric Cancer: An Approach to Validation.
AB - Objective: This study described the prospective relationship between
pharmacological and behavioral measures of 6-mercaptopurine (6MP) medication
adherence in a multisite cohort of pediatric patients diagnosed with cancer ( N
= 139). Methods: Pharmacological measures (i.e., metabolite concentrations)
assessed 6MP intake. Behavioral measures (e.g., electronic monitoring) described
adherence patterns over time. Results: Three metabolite profiles were identified
across 15 months: one group demonstrated low levels of both metabolites (40.8%)
consistent with nonadherence and/or suboptimal therapy; two other groups
demonstrated metabolite clusters indicative of adequate adherence (59.2%). Those
patients whose metabolite profile demonstrated low levels of both metabolites had
consistently lower behavioral adherence rates. Conclusions: To our knowledge,
this was the first study to prospectively validate a pharmacological measure of
medication adherence with a behavioral adherence measure in a relatively large
sample of pediatric patients with cancer. Using multiple methods of adherence
measurement could inform clinical care and target patients in need of
intervention.
PMID- 27189695
TI - Protecting bone in long-term HIV positive patients receiving antiretrovirals.
AB - INTRODUCTION: As the population of people living with HIV ages, the increase in
non-AIDs morbidities is expected to increase in parallel. Maintaining bone health
in those with HIV will be an important area of focus for the HIV clinician to
prevent the morbidity and mortality associated with fragility fractures, the
principal clinical sequela of low bone mineral density (BMD). Rates of fractures
and prevalence of low bone mineral density, a risk factor for future fragility
fractures, are already increased in the HIV positive population. AREAS COVERED:
This review examines the strategies to maintain bone health in those living with
HIV from screening through to managing those with established low BMD or
fracture, including the role for choice of or modification of antiretroviral
therapy to maintain bone health. Expert commentary: The increasing complexity of
managing bone health in the age of succesful antiretroviral therapy and an aging
patient population as well as future perspectives which may help achieve the long
term aim of minimising the impact of low BMD in those with HIV are discussed and
explored.
PMID- 27189696
TI - A comparison of age-related changes in axial prestretch in human carotid arteries
and in human abdominal aorta.
AB - It is known that large arteries in situ are subjected to significant axial
prestretch. This prestretch plays an important physiological role in optimizing
the biomechanical response of an artery. It is also known that the prestretch
declines with age. However, a detailed description of age-related changes in
prestretch is available only for the abdominal aorta and for the femoropliteal
artery. Our study presents results of measurements of axial prestretch in 229
left common carotid arteries excised in autopsies. It was found that the
prestretch of the carotid artery correlates significantly with age ([Formula: see
text], p value < 0.001). A linear regression model was used to fit the
observations. Simultaneously with the measurement of the prestretch in the
carotid artery, the axial prestretch was also measured in abdominal aorta. By
comparing data obtained from these locations, it was concluded that the axial
prestretch in the carotid artery is greater than in the abdominal aorta, and that
atherosclerosis develops more rapidly in the abdominal aorta than in the carotid
artery. Histological sections obtained from 8 carotid arteries and aortas suggest
that the medial layer of the left common carotid artery is significantly thinner
than aortic media (median/IQR: 0.343/0.086 vs. 0.482/0.172 mm, [Formula: see
text] in Wilcoxon signed-rank test) and simultaneously that carotid media
contains a lower number of elastic membranes (median/IQR: 26.5/11.8 vs.
31.5/11.8, [Formula: see text] in the Wilcoxon signed-rank test). This could be a
reason for the different extent of the prestretch observed in aorta and in
carotid artery. Our data sample also contains 5 measurements of the axial
prestretch in abdominal aortas suffering from an aneurysm. It was found that
aneurysmatic aortas also exhibit axial retraction when excised from in situ
position. To the best of our knowledge, this is the first time that detailed data
characterizing axial prestretch of the human left common carotid artery have been
presented.
PMID- 27189697
TI - Community Health Workers: a Resource to Support Antipsychotic Medication
Adherence.
AB - Community health workers (CHWs) are frontline public health workers who are
becoming increasingly integrated into medical settings for the prevention,
treatment, and control of chronic disease. In particular, they have demonstrated
the ability to improve medication adherence in underserved populations. One
overlooked population that could benefit from CHW intervention is individuals
with severe mental illnesses such as schizophrenia and bipolar disorder. These
conditions are disproportionally weighted to economically disadvantaged
communities and people of color, and are typically treated using antipsychotic
medications. A number of factors, including social disadvantage, contribute to
antipsychotic non-adherence, which is highly prevalent and drastically increases
the burden of illness and economic impact of these conditions. This commentary
examines the ways in which CHWs may be able to best serve individuals with severe
mental illness, and proposes methods for facilitating this expanded scope of
work.
PMID- 27189698
TI - Collaborative Care: a Pilot Study of a Child Psychiatry Outpatient Consultation
Model for Primary Care Providers.
AB - A Child Psychiatry Consultation Model (CPCM) offering primary care providers
(PCPs) expedited access to outpatient child psychiatric consultation regarding
management in primary care would allow more children to access mental health
services. Yet, little is known about outpatient CPCMs. This pilot study describes
an outpatient CPCM for 22 PCPs in a large Northeast Florida county. PCPs referred
81 patients, of which 60 were appropriate for collaborative management and 49
were subsequently seen for outpatient psychiatric consultation. The most common
psychiatric diagnoses following consultation were anxiety (57%), ADHD (53%), and
depression (39%). Over half (57%) of the patients seen for consultation were
discharged to their PCP with appropriate treatment recommendations, and only a
small minority (10%) of patients required long-term care by a psychiatrist. This
CPCM helped child psychiatrists collaborate with PCPs to deliver mental health
services for youth. The CPCM should be considered for adaptation and
dissemination.
PMID- 27189699
TI - Clinic Outcome Assessment of a Brief Course Neurofeedback for Childhood ADHD
Symptoms.
PMID- 27189700
TI - Anti-inflammatory activity of polysaccharide from Schizophyllum commune as
affected by ultrasonication.
AB - Ultrasound treatment was applied to modify the physicochemical properties of an
exopolysaccharide from mycelial culture of Schizophyllum commune. Molecular
weight (MW) degradation, viscosity and anti-inflammatory property of ultrasonic
treated polysaccharide were optimized with response surface methodology. The best
ultrasonic parameters were obtained with a three-variable-three-level Box-Behnken
design. The optimized conditions for efficient anti-inflammatory activity are
initial concentration at 0.4%, ultrasonic power at 600W, and duration of
ultrasonic irradiation for 9min. Under these conditions, the nitric oxide
inhibition rate was 95+/-0.03% which agreed closely with the predicted value
(96%). Average MW of polysaccharide decreased after ultrasonic treatments. The
viscosity of degraded polysaccharide dropped compared with native polysaccharide.
The anti-inflammatory activity was improved by ultrasound treatment. The results
suggested that ultrasound treatment is an effective approach to decrease the MW
of polysaccharide with high anti-inflammatory activity. Ultrasonic treatment is a
viable modification technology for high MW polymer materials.
PMID- 27189701
TI - RBQ3 participates in multiple myeloma cell proliferation, adhesion and
chemoresistance.
AB - Cell adhesion mediated drug resistance (CAM-DR) is a major factor that impedes
the effect of chemotherapy in multiple myeloma (MM). RBQ3, which is a RB-binding
protein, played a crucial role in cell cycle process. Here, we reported that RBQ3
expression was increased gradually during the proliferation process of myeloma
cells. Knocking down of RBQ3 resulted in cell cycle arrest in G1 phase and
increased myeloma cells adherent to fibronectin or bone marrow stromal cells
(BMSCs). Furthermore, silencing of RBQ3 reduced sensitivity to chemotherapeutic
drugs in myeloma cell lines adherent to BMSCs and reduced two apoptotic marker
proteins cleaved caspase-3 and cleaved PARP expression. Besides, we also found
that RBQ3 participated in MAPK/ERK signal transduction pathway. In summary, these
results may shed new insights into the role of RBQ3 in the development of
multiple myeloma.
PMID- 27189702
TI - Synthesis, characterization and bioactivities of N,O-carbonylated chitosan.
AB - N,O-Carbonylated chitosan derivative (NTCS) was synthesized via oxidation and
substitution reaction, respectively. The carboxyethylation of the polysaccharide
was identified by Fourier transform infrared (FTIR), (1)H nuclear magnetic
resonance (NMR), X-ray diffraction analysis (XRD), Zeta potential measurement and
Thermogravimetric analysis (TGA). It is revealed that compared with chitosan
(CS), NTCS exhibited an excellent solubility in distilled water, high in vitro
bile acid binding capacity, as well as a low viscosity. The in vitro bile acid
binding capacity reached 17.21mg/g, which was 4.5-fold higher than that of CS.
The results suggest that NTCS may be useful as a potential functional food
supplement in food industry or a key ingredient in the pharmaceutical industry.
These findings provide important supports for developing new food additive, and
expand the scope of application of CS in the food industry.
PMID- 27189703
TI - Next-generation sequencing identifies a novel ELAVL1-TYK2 fusion gene in MOLM-16,
an AML cell line highly sensitive to the PIM kinase inhibitor AZD1208.
PMID- 27189705
TI - A simple screen performed at school entry can predict academic under-achievement
at age seven in children born very preterm.
AB - AIM: We aimed to compare the academic outcomes of a cohort of children born very
preterm (VPT, <32 weeks of gestation) and children born at term at age 7 years
and assess the ability of a pre-academic skill screen at age five to predict
later academic impairment in children born VPT at age seven. METHODS: One hundred
ninety-four children born VPT (born with either gestational age <30 weeks or
birthweight <1250 g) and 70 controls born at term from a prospective birth cohort
were compared on academic outcomes (Wide Range Achievement Test, WRAT4) at age
seven using regression analyses. Receiver-operating characteristic curves were
used to determine whether pre-academic skills (Kaufman Survey of Early Academic
and Language Skills, K-SEALS) at age five predicted academic impairment at age
seven in 174 of the VPT cohort. RESULTS: At the age of 7 years, children born VPT
had lower mean word reading (-9.7, 95% CI: -14.7 to -4.6), spelling (-8.3, 95%
CI: -13.3 to -3.3) and math computation (-10.9, 95% CI: -15.3 to -6.5) scores
(all P-values <=0.001) compared with controls born at term, even after adjusting
for social risk and time since school commencement. In terms of pre-academic
screening, the Numbers, Letters and Words subtest of the K-SEALS had adequate
sensitivity and specificity (70-80%) for predicting children with academic
impairment at age seven. CONCLUSIONS: Children born VPT underperformed in
academic outcomes at age seven compared with controls born at term. A pre
academic screening tool used at school entry can predict children born VPT at
risk of academic impairment at age seven who could benefit from targeted early
intervention.
PMID- 27189706
TI - Nivolumab: A Review in Advanced Nonsquamous Non-Small Cell Lung Cancer.
AB - The programmed death (PD)-1 immune checkpoint inhibitor nivolumab (Opdivo((r)))
is approved in the USA for the treatment of patients with metastatic non-small
cell lung cancer (NSCLC) who have progression on or after platinum-based
chemotherapy and in the EU for the treatment of adults with locally advanced or
metastatic NSCLC after prior chemotherapy. In previously-treated patients with
advanced nonsquamous NSCLC, overall survival was significantly prolonged and the
overall response rate was significantly higher in patients who received
intravenous nivolumab 3 mg/kg every 2 weeks versus intravenous docetaxel in the
pivotal CheckMate 057 trial. Progression-free survival did not significantly
differ between patients receiving nivolumab and those receiving docetaxel.
Intravenous nivolumab had a manageable adverse event profile (including immune
mediated adverse events) and was better tolerated than docetaxel in the CheckMate
057 trial. Thus, nivolumab is an important new option for use in previously
treated patients with advanced nonsquamous NSCLC.
PMID- 27189707
TI - Elvitegravir/Cobicistat/Emtricitabine/Tenofovir Alafenamide: A Review in HIV-1
Infection.
AB - Tenofovir alafenamide (tenofovir AF) is a novel oral prodrug of the nucleos(t)ide
reverse transcriptase inhibitor (NRTI) tenofovir that has several pharmacological
advantages over tenofovir disoproxil fumarate (tenofovir DF), including increased
plasma stability and reduced tenofovir systemic exposure. Tenofovir AF has been
coformulated with elvitegravir, cobicistat and emtricitabine as a once-daily,
single-tablet regimen (elvitegravir/cobicistat/emtricitabine/tenofovir AF;
Genvoya((r))) for the treatment of adults and adolescents with HIV-1 infection.
With regard to establishing and/or maintaining virological suppression over 48
weeks in randomized, phase III trials,
elvitegravir/cobicistat/emtricitabine/tenofovir AF was noninferior to
elvitegravir/cobicistat/emtricitabine/tenofovir DF in antiretroviral therapy
(ART)-naive adults, and statistically superior (subsequent to established
noninferiority) to ongoing treatment with tenofovir DF-containing regimens in ART
experienced adults with virological suppression. In single-arm, phase III trials,
elvitegravir/cobicistat/emtricitabine/tenofovir AF also provided high rates of
virological suppression among ART-naive adolescents and ART-experienced adults
with stable renal impairment. In general,
elvitegravir/cobicistat/emtricitabine/tenofovir AF was well tolerated and
associated with more favourable renal and bone parameters, but a less favourable
lipid profile, than tenofovir DF-containing regimens. Thus,
elvitegravir/cobicistat/emtricitabine/tenofovir AF is an alternative single
tablet regimen for adults and adolescents with HIV-1 infection, particularly
those with an estimated creatinine clearance of >=30 to <50 mL/min or an
increased risk of tenofovir DF-related bone toxicity.
PMID- 27189708
TI - Are trees able to grow in periods of stem shrinkage?
AB - Separating continuously measured stem radius (SR) fluctuations into growth
induced irreversible stem expansion (GRO) and tree water deficit-induced
reversible stem shrinkage (TWD) requires a conceptualization of potential growth
processes that may occur during periods of shrinking and expanding SR below a
precedent maximum. Here, we investigated two physiological concepts: the linear
growth (LG) concept, assuming linear growth, versus the zero growth (ZG) concept,
assuming no growth during periods of stem shrinkage. We evaluated the
physiological mechanisms underlying these two concepts and assessed their
respective plausibilities using SR data obtained from 15 deciduous and evergreen
trees. The application of the LG concept produced steady growth rates, whereas
growth rates varied strongly under the ZG concept, more in accordance with
mechanistic expectations. Further, growth increased for a maximum of 120 min
after periods of stem shrinkage, indicating limited growth activity during those
periods. However, this extra growth was found to be a small fraction of total
growth only. Furthermore, TWD under the ZG concept was better explained by a
hydraulic plant model than TWD under the LG concept. We conclude that periods of
stem shrinkage allow for very little growth in the four tree species
investigated. However, further studies should focus on obtaining independent
growth data to ultimately validate these findings.
PMID- 27189709
TI - Access to Rehabilitation at Six Months Post Stroke: A Profile from the Action on
Secondary Prevention Interventions and Rehabilitation in Stroke (ASPIRE-S) Study.
AB - BACKGROUND AND PURPOSE: Stroke is the third leading cause of death and
disability. Few studies have assessed the profile and adequacy of access to
rehabilitation services after ischaemic stroke both in the inpatient and
community setting. The objectives of the Action on Secondary Prevention
Interventions and Rehabilitation in Stroke (ASPIRE-S) study were to assess the
disability and rehabilitation profile, adherence with rehabilitation
recommendations and needs of patients 6 months following hospital admission for
stroke. METHODS: A rehabilitation prescription was completed before hospital
discharge for each participant, and adherence to this prescription was assessed
at 6 months to determine whether patients received their recommended
rehabilitation needs. RESULTS: Two hundred and fifty six patients were recruited
to ASPIRE-S. The average age was 69 (SD 12.8). A majority (n = 221, 86%) were
referred to the hospital multidisciplinary team, 59% (n = 132) were referred to
all services (physiotherapy (PT), occupational therapy (OT), speech and language
therapy (SLT)). Fifty-four percent (n = 119) of patients (seen by the
multidisciplinary team) were referred for further rehabilitation in the community
on discharge. Of these 119 patients, 112 (95%) recalled receiving community
rehabilitation services. However, while most (68%) patients were referred for
several disciplines (PT, OT, SLT), the most commonly recalled therapy (55%) was
from a single discipline. The most commonly recommended frequency of therapy
required was on a weekly basis. Sixty-one patients (51%) reported a delay in
services, with some still awaiting services at 6 months. CONCLUSION: Results from
this prospective study revealed that a significant number of patients (57%) did
not receive the therapy recommended on discharge. Future initiatives should
include the development of policies, which support more effective, equitable
multidisciplinary rehabilitation for stroke patients in the community.
PMID- 27189710
TI - Interactions between KSHV ORF57 and the novel human TREX proteins, CHTOP and
CIP29.
AB - The coupling of mRNA processing steps is essential for precise and efficient gene
expression. The human transcription/export (hTREX) complex is a highly conserved
multi-protein complex responsible for eukaryotic mRNA stability and nuclear
export. We have previously shown that the Kaposi's sarcoma-associated open
reading frame 57 (ORF57) protein orchestrates the recruitment of the hTREX
complex onto viral intronless mRNA, forming a stable and export-competent viral
ribonucleoprotein particle (vRNP). Recently, additional cellular proteins, namely
CHTOP, CIP29 and POLDIP3 have been proposed as novel hTREX components. Herein, we
extend our previous research and provide evidence that ORF57 interacts with CHTOP
and CIP29, in contrast to POLDIP3. Moreover, depletion studies show both CHTOP
and CIP29 effect ORF57-mediated viral mRNA processing. As such, these results
suggest both CHTOP and CIP29 are hTREX components and are recruited to an ORF57
mediated vRNP.
PMID- 27189711
TI - "Suicide shall cease to be a crime": suicide and undetermined death trends 1970
2000 before and after the decriminalization of suicide in Ireland 1993.
AB - INTRODUCTION: Suicide is criminalized in more than 100 countries around the
world. A dearth of research exists into the effect of suicide legislation on
suicide rates and available statistics are mixed. MATERIALS AND METHODS: This
study investigates 10,353 suicide deaths in Ireland that took place between 1970
and 2000. Irish 1970-2000 annual suicide data were obtained from the Central
Statistics Office and modelled via a negative binomial regression approach. We
examined the effect of suicide legislation on different age groups and on both
sexes. We used Bonferroni correction for multiple modelling. Statistical analysis
was performed using the R statistical package version 3.1.2. The coefficient for
the effect of suicide act on overall suicide deaths was -9.094 (95 % confidence
interval (CI) -34.086 to 15.899), statistically non-significant (p = 0.476). The
coefficient for the effect suicide act on undetermined deaths was statistically
significant (p < 0.001) and was estimated to be -644.4 (95 % CI -818.6 to
469.9). CONCLUSION: The results of our study indicate that legalization of
suicide is not associated with a significant increase in subsequent suicide
deaths. However, undetermined death verdict rates have significantly dropped
following legalization of suicide.
PMID- 27189713
TI - Identifying content-based and relational techniques to change behaviour in
motivational interviewing.
AB - Motivational interviewing (MI) is a complex intervention comprising multiple
techniques aimed at changing health-related motivation and behaviour. However, MI
techniques have not been systematically isolated and classified. This study aimed
to identify the techniques unique to MI, classify them as content-related or
relational, and evaluate the extent to which they overlap with techniques from
the behaviour change technique taxonomy version 1 [BCTTv1; Michie, S.,
Richardson, M., Johnston, M., Abraham, C., Francis, J., Hardeman, W., ... Wood,
C. E. (2013). The behavior change technique taxonomy (v1) of 93 hierarchically
clustered techniques: Building an international consensus for the reporting of
behavior change interventions. Annals of Behavioral Medicine, 46, 81-95].
Behaviour change experts (n = 3) content-analysed MI techniques based on Miller
and Rollnick's [(2013). Motivational interviewing: Preparing people for change
(3rd ed.). New York: Guildford Press] conceptualisation. Each technique was then
coded for independence and uniqueness by independent experts (n = 10). The
experts also compared each MI technique to those from the BCTTv1. Experts
identified 38 distinct MI techniques with high agreement on clarity, uniqueness,
preciseness, and distinctiveness ratings. Of the identified techniques, 16 were
classified as relational techniques. The remaining 22 techniques were classified
as content based. Sixteen of the MI techniques were identified as having
substantial overlap with techniques from the BCTTv1. The isolation and
classification of MI techniques will provide researchers with the necessary tools
to clearly specify MI interventions and test the main and interactive effects of
the techniques on health behaviour. The distinction between relational and
content-based techniques within MI is also an important advance, recognising that
changes in motivation and behaviour in MI is a function of both intervention
content and the interpersonal style in which the content is delivered.
PMID- 27189714
TI - Editorial: Telocytes in Regeneration and Repair.
PMID- 27189712
TI - Identification and Characterization of Epstein-Barr Virus Genomes in Lung
Carcinoma Biopsy Samples by Next-Generation Sequencing Technology.
AB - Epstein-Barr virus (EBV) has been detected in the tumor cells of several cancers,
including some cases of lung carcinoma (LC). However, the genomic characteristics
and diversity of EBV strains associated with LC are poorly understood. In this
study, we sequenced the EBV genomes isolated from four primary LC tumor biopsy
samples, designated LC1 to LC4. Comparative analysis demonstrated that LC strains
were more closely related to GD1 strain. Compared to GD1 reference genome, a
total of 520 variations in all, including 498 substitutions, 12 insertions, and
10 deletions were found. Latent genes were found to harbor the most numbers of
nonsynonymous mutations. Phylogenetic analysis showed that all LC strains were
closely related to Asian EBV strains, whereas different from African/American
strains. LC2 genome was distinct from the other three LC genomes, suggesting at
least two parental lineages of EBV among the LC genomes may exist. All LC strains
could be classified as China 1 and V-val subtype according to the amino acid
sequence of LMP1 and EBNA1, respectively. In conclusion, our results showed the
genomic diversity among EBV genomes isolated from LC, which might facilitate to
uncover the previously unknown variations of pathogenic significance.
PMID- 27189715
TI - Telocytes in Cardiac Protection.
AB - Telocytes (TCs) are a distinct type of stromal cells with extremely thin and long
prolongations called telopodes (Tps). TCs have been ubiquitously reported in
almost all tissues and organs across species including heart. TCs are distinct
from fibroblasts as evidenced by ultrastructural characteristics,
immunohistochemistry features, gene profiles, proteome features, and miRNA
signatures. By means of heterocellular junctions and extracellular vesicles, TCs
may be able to regulate cardiac stem cells, angiogenesis, and anti-fibrosis.
Therapeutic effects of cardiac TCs in myocardium infarction have been
demonstrated. Cardiac TCs could be a source of cardiac repair and protection.
PMID- 27189716
TI - Editorial: Role of Cancer Stem Cells in Common Gastrointestinal Cancers: From
Pathogenesis to Therapeutic Targets.
PMID- 27189718
TI - Prostate cancer glands with cribriform architecture and with glomeruloid features
should be considered as Gleason pattern 4 and not pattern 3.
PMID- 27189717
TI - Differential effects of inhibitors of the PI3K/mTOR pathway on the expansion and
functionality of regulatory T cells.
AB - The PI3K/mTOR pathway is commonly deregulated in cancer. mTOR inhibitors are
registered for the treatment of several solid tumors and novel inhibitors are
explored clinically. Notably, this pathway also plays an important role in
immunoregulation. While mTOR inhibitors block cell cycle progression of
conventional T cells (Tconv), they also result in the expansion of
CD4(+)CD25(hi)FOXP3(+) regulatory T cells (Tregs), and this likely limits their
clinical antitumor efficacy. Here, we compared the effects of dual mTOR/PI3K
inhibition (using BEZ235) to single PI3K (using BKM120) or mTOR inhibition (using
rapamycin and everolimus) on Treg expansion and functionality. Whereas rapamycin,
everolimus and BEZ235 effected a relative expansion benefit for Tregs and
increased their overall suppressive activity, BKM120 allowed for similar
expansion rates of Tregs and Tconv without altering their overall suppressive
activity. Therefore, PI3K inhibition alone might offer antitumor efficacy without
the detrimental selective expansion of Tregs associated with mTOR inhibition.
PMID- 27189719
TI - Fractal analysis reveals subclasses of neurons and suggests an explanation of
their spontaneous activity.
AB - The present work used fractal time series analysis (detrended fluctuation
analysis; DFA) to examine the spontaneous activity of single neurons in an
anesthetized animal model, specifically, the mitral cells in the rat main
olfactory bulb. DFA bolstered previous research in suggesting two subclasses of
mitral cells. Although there was no difference in the fractal scaling of the
interspike interval series at the shorter timescales, there was a significant
difference at longer timescales. Neurons in Group B exhibited fractal, power-law
scaled interspike intervals, whereas neurons in Group A exhibited random
variation. These results raise questions about the role of these different cells
within the olfactory bulb and potential explanations of their dynamics.
Specifically, self-organized criticality has been proposed as an explanation of
fractal scaling in many natural systems, including neural systems. However, this
theory is based on certain assumptions that do not clearly hold in the case of
spontaneous neural activity, which likely reflects intrinsic cell dynamics rather
than activity driven by external stimulation. Moreover, it is unclear how self
organized criticality might account for the random dynamics observed in Group A,
and how these random dynamics might serve some functional role when embedded in
the typical activity of the olfactory bulb. These theoretical considerations
provide direction for additional experimental work.
PMID- 27189722
TI - Recurrent Hypertensive Crises in an Endoscopist: A Possible Occupational Link.
PMID- 27189720
TI - Anatomical and molecular consequences of Unilateral Naris Closure on two
populations of olfactory sensory neurons expressing defined odorant receptors.
AB - Mammalian olfactory sensory neurons (OSNs), the primary elements of the olfactory
system, are located in the olfactory epithelium lining the nasal cavity. Exposed
to the environment, their lifespan is short. Consequently, OSNs are regularly
regenerated and several reports show that activity strongly modulates their
development and regeneration: the peripheral olfactory system can adjust to the
amount of stimulus through compensatory mechanisms. Unilateral naris occlusion
(UNO) was frequently used to investigate this mechanism at the entire epithelium
level. However, there is little data regarding the effects of UNO at the cellular
level, especially on individual neuronal populations expressing a defined odorant
receptor. Here, using UNO during the first three postnatal weeks, we analyzed the
anatomical and molecular consequences of sensory deprivation in OSNs populations
expressing the MOR23 and M71 receptors. The density of MOR23-expressing neurons
is decreased in the closed side while UNO does not affect the density of M71
expressing neurons. Using Real Time qPCR on isolated neurons, we observed that
UNO modulates the transcript levels for transduction pathway proteins (odorant
receptors, CNGA2, PDE1c). The transcripts modulated by UNO will differ between
populations depending on the receptor expressed. These results suggest that
sensory deprivation will have different effects on different OSNs' populations.
As a consequence, early experience will shape the functional properties of OSNs
differently depending on the type of odorant receptor they express.
PMID- 27189723
TI - Medical Rescue of China International Search & Rescue Team (CISAR) in Nepal
Earthquake.
AB - On April 25, 2015, a massive 8.1-magnitude earthquake struck Nepal at 2:11 pm
(Beijing time). The 68-member-strong China International Search & Rescue Team
(CISAR) left for Nepal at 6 am, April 26, to help with relief work. The CISAR was
the first foreign team to rescue a survivor who was trapped beneath the rubble in
the Gongabu area after the earthquake. On May 8, the team fulfilled the search
and-rescue mission and returned to Beijing. During the 2 weeks of rescue work,
the team treated more than 3700 victims and cleared approximately 430 buildings.
In this rescue mission, 10 experienced medical officers (including nine doctors
and a nurse) from the General Hospital of Chinese People's Armed Police Force
(PAP) comprised the medical team of CISAR. In this report, we focus on the
medical rescues by CISAR and discuss the characteristics of the medical rescue in
Nepal. (Disaster Med Public Health Preparedness. 2018;12:536-538).
PMID- 27189721
TI - The Impact of African American Parents' Racial Discrimination Experiences and
Perceived Neighborhood Cohesion on their Racial Socialization Practices.
AB - Parental racial socialization is a parenting tool used to prepare African
American adolescents for managing racial stressors. While it is known that
parents' racial discrimination experiences affect the racial socialization
messages they provide, little is known about the influence of factors that
promote supportive and communal parenting, such as perceived neighborhood
cohesion. In cohesive neighborhoods, neighbors may help parents address racial
discrimination by monitoring youth and conveying racial socialization messages;
additionally, the effect of neighborhood cohesion on parents' racial
socialization may differ for boys and girls because parents socialize adolescents
about race differently based on expected encounters with racial discrimination.
Therefore, the current study examines how parents' perception of neighborhood
cohesion and adolescents' gender moderate associations between parents' racial
discrimination experiences and the racial socialization messages they deliver to
their adolescents. Participants were a community sample of 608 African American
adolescents (54 % girls; mean age = 15.5) and their primary caregivers (86 %
biological mothers; mean age = 42.0). Structural equation modeling indicated that
parental racial discrimination was associated with more promotion of mistrust
messages for boys and girls in communities with low neighborhood cohesion. In
addition, parental racial discrimination was associated with more cultural
socialization messages about racial pride and history for boys in neighborhoods
with low neighborhood cohesion. The findings suggest that parents' racial
socialization messages are influenced by their own racial discrimination
experiences and the cohesiveness of the neighborhood; furthermore, the content of
parental messages delivered varies based on adolescents' gender.
PMID- 27189724
TI - Power Calculation of Multi-step Combined Principal Components with Applications
to Genetic Association Studies.
AB - Principal component analysis (PCA) is a useful tool to identify important linear
combination of correlated variables in multivariate analysis and has been applied
to detect association between genetic variants and human complex diseases of
interest. How to choose adequate number of principal components (PCs) to
represent the original system in an optimal way is a key issue for PCA. Note that
the traditional PCA, only using a few top PCs while discarding the other PCs,
might significantly lose power in genetic association studies if all the PCs
contain non-ignorable signals. In order to make full use of information from all
PCs, Aschard and his colleagues have proposed a multi-step combined PCs method
(named mCPC) recently, which performs well especially when several traits are
highly correlated. However, the power superiority of mCPC has just been
illustrated by simulation, while the theoretical power performance of mCPC has
not been studied yet. In this work, we attempt to investigate theoretical
properties of mCPC and further propose a novel and efficient strategy to combine
PCs. Extensive simulation results confirm that the proposed method is more robust
than existing procedures. A real data application to detect the association
between gene TRAF1-C5 and rheumatoid arthritis further shows good performance of
the proposed procedure.
PMID- 27189725
TI - Predicting delirium after hip fracture with a 2-min cognitive screen: prospective
cohort study.
AB - BACKGROUND: although the importance of identifying hip fracture patients with
high risk for delirium has been well established, considerable controversy exists
over the choice of the screening tool. The most commonly used cognitive screeners
take an excessive amount of time and include drawing tasks that can be
troublesome for individuals with hip fracture who are invariably lying in bed.
OBJECTIVE: to evaluate the properties of the 10-point Cognitive Screener (10-CS),
a 2-min bedside tool, for predicting delirium in older adults with hip fracture.
DESIGN: prospective cohort study. SETTING: a tertiary referral hospital in Sao
Paulo, Brazil. SUBJECTS: non-delirious older adults with hip fracture (n = 147).
METHODS: the 10-CS was administered as a baseline predictor. The test is composed
of three-item temporal orientation (date, month, year), category fluency (animals
in 1 min) and three-word recall. Incident delirium has been diagnosed according
to the Confusion Assessment Method (CAM) that was administered daily from
admission to discharge. RESULTS: during hospitalisation, 61 (41.5%) patients
developed delirium. The 10-CS presented excellent accuracy for predicting
delirium, with an area under ROC curve of 0.83 (95% CI 0.76-0.89). After
adjusting for demographic and clinical variables, participants with probable
cognitive impairment (score <= 5) were more likely to develop delirium (HR =
7.48; 95% CI 2.2-25.4) compared with participants with a normal score. Lower
scores on the 10-CS were also independently associated with a longer length of
stay. CONCLUSIONS: the 10-CS is an easy-to-use bedside tool with adequate
properties to stratify the risk of delirium in older adults with hip fracture.
PMID- 27189727
TI - The development of a geriatric postgraduate education assessment instrument using
a modified Delphi procedure.
AB - There is currently wide variation in the structure and content of higher medical
training in geriatric medicine across Europe and no common framework within which
existing efforts can be compared. We set out to develop an audit tool to compare
training between countries. An initial review of indexed and grey literature was
used to develop an audit tool which was used as the basis of an Internet-based
modified Delphi process incorporating the views of 14 expert geriatricians from
across Europe. Items in the audit tool were included or excluded when supported
by >=75% or <50% of respondents, respectively. Items supported by 50-74% of
respondents were carried forward with additional suggestions and modifications
included following Round 1. Thirteen experts representing 12 countries responded
to both rounds. 40/45 items were supported at Round 1. Five items were carried
forward. A further 13 elements were introduced for consideration at Round 2.
Consensus was gained after the second round. The final tool describes 52 items
across four domains: general considerations, topics referring to knowledge in
patient care, different roles that should be considered in medical training and
topics regarding assessment. The resulting tool can be used as a basis for
comparing higher medical training programmes in geriatric medicine between
countries. Individual countries can use this to audit current practice. At an
European Union level, the insights gained through such audit will form the basis
of future work to develop an agreed postgraduate curriculum in the specialty.
PMID- 27189726
TI - Intellectual ability in young adulthood as an antecedent of physical functioning
in older age.
AB - OBJECTIVES: low cognitive ability is associated with subsequent functional
disability. Whether this association extends across adult life has been little
studied. The aim of this study was to examine the association between
intellectual ability in young adulthood and physical functioning during a 10-year
follow-up in older age. METHODS: three hundred and sixty persons of the Helsinki
Birth Cohort Study (HBCS) male members, born between 1934 and 1944 and residing
in Finland in 1971, took part in The Finnish Defence Forces Basic Intellectual
Ability Test during the first 2 weeks of their military service training between
1952 and 1972. Their physical functioning was assessed twice using the Short Form
36 (SF-36) questionnaire at average ages of 61 and 71 years. A longitudinal path
model linking Intellectual Ability Test score to the physical functioning
assessments was used to explore the effect of intellectual ability in young
adulthood on physical functioning in older age. RESULTS: after adjustments for
age at measurement, childhood socioeconomic status and adult BMI (kg/m(2)),
better intellectual ability total and arithmetic and verbal reasoning subtest
scores in young adulthood predicted better physical functioning at age 61 years
(P values <0.021). Intellectual ability total and arithmetic and verbal reasoning
subtest scores in young adulthood had indirect effects on physical functioning at
age 71 years (P values <0.022) through better physical functioning at age 61
years. Adjustment for main chronic diseases did not change the results
materially. CONCLUSION: better early-life intellectual ability helps in
maintaining better physical functioning in older age.
PMID- 27189728
TI - Neuropathic orofacial pain in the older person: the role of advanced imaging.
PMID- 27189730
TI - 'Exposing' the source of sepsis in the older patient: have you checked the
catheter?
PMID- 27189731
TI - First-principles calculated decomposition pathways for LiBH4 nanoclusters.
AB - We analyze thermodynamic stability and decomposition pathways of LiBH4
nanoclusters using grand-canonical free-energy minimization based on total
energies and vibrational frequencies obtained from density-functional theory
(DFT) calculations. We consider (LiBH4)n nanoclusters with n = 2 to 12 as
reactants, while the possible products include (Li)n, (B)n, (LiB)n, (LiH)n, and
Li2BnHn; off-stoichiometric LinBnHm (m <= 4n) clusters were considered for n = 2,
3, and 6. Cluster ground-state configurations have been predicted using prototype
electrostatic ground-state (PEGS) and genetic algorithm (GA) based structural
optimizations. Free-energy calculations show hydrogen release pathways markedly
differ from those in bulk LiBH4. While experiments have found that the bulk
material decomposes into LiH and B, with Li2B12H12 as a kinetically inhibited
intermediate phase, (LiBH4)n nanoclusters with n <= 12 are predicted to decompose
into mixed LinBn clusters via a series of intermediate clusters of LinBnHm (m <=
4n). The calculated pressure-composition isotherms and temperature-pressure
isobars exhibit sloping plateaus due to finite size effects on reaction
thermodynamics. Generally, decomposition temperatures of free-standing clusters
are found to increase with decreasing cluster size due to thermodynamic
destabilization of reaction products.
PMID- 27189729
TI - Physical activity and incidence of sarcopenia: the population-based AGES
Reykjavik Study.
AB - BACKGROUND: the prevalence of sarcopenia increases with age. Physical activity
might slow the rate of muscle loss and therewith the incidence of sarcopenia.
OBJECTIVE: to examine the association of physical activity with incident
sarcopenia over a 5-year period. DESIGN: data from the population-based Age,
Gene/Environment, Susceptibility-Reykjavik Study were used. SETTING: people
residing in the Reykjavik area at the start of the study. SUBJECTS: the study
included people aged 66-93 years (n = 2309). METHODS: the amount of moderate
vigorous physical activity (MVPA) was assessed by a self-reported questionnaire.
Sarcopenia was identified using the European Working Group on Sarcopenia in Older
People algorithm, including muscle mass (computed tomography imaging), grip
strength (computerised dynamometer) and gait speed (6 m). RESULTS: mean age of
the participants was 74.9 +/- 4.7 years. The prevalence of sarcopenia was 7.3% at
baseline and 16.8% at follow-up. The incidence proportion of sarcopenia over 5
years was 14.8% in the least-active individuals and 9.0% in the most-active
individuals. Compared with the least-active participants, those reporting a
moderate-high amount of MVPA had a significantly lower likelihood of incident
sarcopenia (OR = 0.64, 95% CI 0.45-0.91). Participants with a high amount of MVPA
had higher baseline levels of muscle mass, strength and walking speed, but
baseline MVPA was not associated with the rate of muscle loss. CONCLUSION: a
higher amount of MVPA seems to contribute to counteracting the development of
sarcopenia. To delay the onset of sarcopenia and its potential adverse outcomes,
attention should be paid to increasing physical activity levels in older adults.
PMID- 27189733
TI - Trafficking and Health: A Systematic Review of Research Methods.
AB - Trafficking in persons (TIP) is a human rights violation with serious public
health consequences. Unfortunately, assessing TIP and its health sequelae
rigorously and reliably is challenging due to TIP's clandestine nature, variation
in definitions of TIP, and the need to use research methods that ensure studies
are ethical and feasible. To help guide practice, policy, and research to assess
TIP and health, we undertook a systematic literature review of 70 peer-reviewed,
published articles to (a) identify TIP and health research methods being used,
(b) determine what we can learn about TIP and health from these varied
methodologies, and (c) determine the gaps that exist in health-focused TIP
research. Results revealed that there are various quantitative and qualitative
data collection and analysis methods being used to investigate TIP and health.
Furthermore, findings show that the limitations of current methodologies affect
what is known about TIP and health. In particular, varying definitions,
participant recruitment strategies, ethical standards, and outcome measures all
affect what is known about TIP and health. Moreover, findings demonstrate an
urgent need for representative and nonpurposive recruitment strategies in future
investigations of TIP and health as well as research on risk and protective
factors related to TIP and health, intervention effectiveness, long-term health
outcomes, and research on trafficked people beyond women trafficked for sex. We
offer recommendations for research, policy, and practice based on review results.
PMID- 27189735
TI - Surface tension measurement from the indentation of clamped thin films.
AB - We developed an indentation technique to measure the surface tension of
relatively stiff solids. In the proposed method, a suspended thin solid film is
indented by a rigid sphere and its deflection is measured by optical
interferometry. The film deflection is jointly resisted by surface tension,
elasticity and residual stress. Using a version of nonlinear von Karman plate
theory that includes surface tension, we are able to separate the contribution of
elasticity to the total tension in the film. Surface tension is determined by
extrapolating the sum of surface tension and residual stress to zero film
thickness. We measured the surface tension of polydimethylsiloxane (PDMS) using
this technique and obtained a value of 19.5 +/- 3.6 mN m(-1), consistent with the
surface energy of PDMS reported in the literature.
PMID- 27189732
TI - Benign hepatocellular nodules of healthy liver: focal nodular hyperplasia and
hepatocellular adenoma.
AB - Owing to the progress of imaging techniques, benign hepatocellular nodules are
increasingly discovered in the clinical practice. This group of lesions mostly
arises in the context of a putatively normal healthy liver and includes either
pseudotumoral and tumoral nodules. Focal nodular hyperplasia and hepatocellular
adenoma are prototypical examples of these two categories of nodules. In this
review we aim to report the main pathological criteria of differential diagnosis
between focal nodular hyperplasia and hepatocellular adenoma, which mainly rests
upon morphological and phenotypical features. We also emphasize that for a
correct diagnosis the clinical context such as sex, age, assumption of oral
contraceptives, associated metabolic or vascular disturbances is of paramount
importance. While focal nodular hyperplasia is a single entity epidemiologically
more frequent than adenoma, the latter is representative of a more heterogeneous
group which has been recently and extensively characterized from a clinical,
morphological, phenotypical and molecular profile. The use of the liver biopsy in
addition to imaging and the clinical context are important diagnostic tools of
these lesions. In this review we will survey their systematic pathobiology and
propose a diagnostic algorithm helpful to increase the diagnostic accuracy of not
dedicated liver pathologists. The differential diagnosis between so-called
typical and atypical adenoma and well differentiated hepatocellular carcinoma
will also be discussed.
PMID- 27189736
TI - IL-17A impairs host tolerance during airway chronic infection by Pseudomonas
aeruginosa.
AB - Resistance and tolerance mechanisms participate to the interplay between host and
pathogens. IL-17-mediated response has been shown to be crucial for host
resistance to respiratory infections, whereas its role in host tolerance during
chronic airway colonization is still unclear. Here, we investigated whether IL-17
mediated response modulates mechanisms of host tolerance during airways chronic
infection by P. aeruginosa. First, we found that IL-17A levels were sustained in
mice at both early and advanced stages of P. aeruginosa chronic infection and
confirmed these observations in human respiratory samples from cystic fibrosis
patients infected by P. aeruginosa. Using IL-17a(-/-) or IL-17ra(-/-) mice, we
found that the deficiency of IL-17A/IL-17RA axis was associated with: i)
increased incidence of chronic infection and bacterial burden, indicating its
role in the host resistance to P. aeruginosa; ii) reduced cytokine levels (KC),
tissue innate immune cells and markers of tissue damage (pro-MMP-9, elastin
degradation, TGF-beta1), proving alteration of host tolerance. Blockade of IL-17A
activity by a monoclonal antibody, started when chronic infection is established,
did not alter host resistance but increased tolerance. In conclusion, this study
identifies IL-17-mediated response as a negative regulator of host tolerance
during P. aeruginosa chronic airway infection.
PMID- 27189738
TI - Evolution of Locked Nailing.
PMID- 27189739
TI - Sequence-based separation of single-stranded DNA at high salt concentrations in
capillary zone electrophoresis.
AB - DNA separation by fragment length can be readily achieved using sieving gels in
electrophoresis. Separation by sequence has not been as simple, generally
requiring adequate differences in native or induced conformation between single
or hybridized strands or differences in thermal or chemical stability of
hybridized strands. Previously, it was shown that four single-stranded DNA
(ssDNA) 76-mers that differ by only a few A-G substitutions could be separated
based solely on sequence by adding guanosine-5'-monophosphate to the running
buffer in capillary zone electrophoresis (CZE). The separation was attributed to
interactions of the ssDNA with self-assembled guanine-tetrad structures; however,
subsequent studies of an expanded set of ten 76-mers showed that the separation
was a more general phenomenon that occurred at high salt concentrations. With the
long-term goal of using experimental and computational methods to provide insight
into the basis of the separation, a set of ssDNA 15-mers was designed including a
poly(dT) 15-mer and nine variants. Separations were performed using fluorescent
labeled ssDNA in CZE with laser-induced fluorescence detection. Results show that
separation improves with increasing buffer concentration and decreasing
temperature, due at least in part to longer separation times. Migration times
increase with increasing purine content, with A having a much larger effect that
G. Circular dichroism spectra of the mixtures of the strands suggest that the
separation is not due to changes in conformation of the ssDNA at high salt
concentrations.
PMID- 27189740
TI - Photoinduced charge-transfer dynamics simulations in noncovalently bonded
molecular aggregates.
AB - The rational design of new materials as prototype systems for organic solar cells
remains challenging. Perylene diimide has emerged as a promising material to
replace fullerene derivatives because of its synthetic flexibility, leading to
the manipulation of their optical properties. As a result of their fused aromatic
core that favors pi-pi stacking interactions, the aggregation of these molecules
can reach highly ordered nanostructures as one-dimensional nanofibers, with a
fast photoinduced charge transfer mechanism. In this article, we present an
atomistic description of the photoexcited exciton dynamics in noncovalently
bonded perylene diimides by time integration of the electron density in the
presence of external time varying electric fields. We show that our approach is
able to capture and explain the physics that underlies the charge transport
mechanism through perylene diimide aggregates.
PMID- 27189737
TI - Neuronal activity mediated regulation of glutamate transporter GLT-1 surface
diffusion in rat astrocytes in dissociated and slice cultures.
AB - The astrocytic GLT-1 (or EAAT2) is the major glutamate transporter for clearing
synaptic glutamate. While the diffusion dynamics of neurotransmitter receptors at
the neuronal surface are well understood, far less is known regarding the surface
trafficking of transporters in subcellular domains of the astrocyte membrane.
Here, we have used live-cell imaging to study the mechanisms regulating GLT-1
surface diffusion in astrocytes in dissociated and brain slice cultures. Using
GFP-time lapse imaging, we show that GLT-1 forms stable clusters that are
dispersed rapidly and reversibly upon glutamate treatment in a transporter
activity-dependent manner. Fluorescence recovery after photobleaching and single
particle tracking using quantum dots revealed that clustered GLT-1 is more stable
than diffuse GLT-1 and that glutamate increases GLT-1 surface diffusion in the
astrocyte membrane. Interestingly, the two main GLT-1 isoforms expressed in the
brain, GLT-1a and GLT-1b, are both found to be stabilized opposed to synapses
under basal conditions, with GLT-1b more so. GLT-1 surface mobility is increased
in proximity to activated synapses and alterations of neuronal activity can
bidirectionally modulate the dynamics of both GLT-1 isoforms. Altogether, these
data reveal that astrocytic GLT-1 surface mobility, via its transport activity,
is modulated during neuronal firing, which may be a key process for shaping
glutamate clearance and glutamatergic synaptic transmission. GLIA 2016;64:1252
1264.
PMID- 27189742
TI - Impact of students in non-teaching hospitals.
AB - BACKGROUND: The placement of medical students in non-teaching hospitals is the
norm worldwide. The suggestion that teaching standards are inferior in non
teaching hospitals has been studied and refuted. This study explores this from a
different perspective: the impact of medical student presence on the teachers,
hospital environment and patient care at King Saud Medical City, as assessed by
clinical supervisors. METHODS: A questionnaire was distributed to clinical
supervisors on the internal medical rotation at King Saud Medical City, College
of Medicine, Al Imam Muhammed Ibn Saud Islamic University, Saudi Arabia. The view
of supervisors on the impact of medical students on clinical supervisors
themselves, the hospital environment and patient care was elicited. This study
explores... the impact of student presence on teachers, hospital environment and
patient care RESULTS: Between 69 and 84 per cent of respondents believed medical
students had a positive effect on their practice, including increased reflective
practice, increased enthusiasm and prompts to review basic medical knowledge.
Accordingly, 85 per cent of clinical supervisors believed that their continuing
medical education had been improved. A majority of respondents reported a
positive impact on the hospital environment, with 66 per cent believing patient
care to have improved. DISCUSSION: Numerous studies have confirmed the standard
of education in non-teaching hospitals to be as good as or better than in the
corresponding teaching hospitals. It has also been shown that non-teaching
hospitals fulfill the needs of medical students. It is suggested that this study
demonstrates a positive impact of medical students on the clinical teachers in a
non-teaching hospital in Saudi Arabia, with a significant proportion of teachers
believing the hospital environment and quality of care to be improved by their
presence.
PMID- 27189741
TI - Preventive dental care in older adults with diabetes.
AB - BACKGROUND: The association between poor oral health and diabetes is well
documented. Preventive oral health care is, therefore, strongly indicated for
people with diabetes. The authors conducted a study to determine if there was a
difference in preventive dental care use among older adults with diabetes in 2002
and in 2011 and to compare preventive dental care use by older adults with and
without diabetes in 2002 and in 2011. METHODS: The authors used a data sample of
participants from the Medicare Current Beneficiary Survey that included older (65
years and older) fee-for-service Medicare beneficiaries. The key outcome was self
reported preventive dental care. In 2002, there were 8,725 participants; in 2011,
there were 7,425 participants. The authors conducted chi(2) and logistic
regression analyses. RESULTS: In 2002, 28.8% of participants with diabetes had
preventive dental care. In 2011, this percentage increased to 36.0%. Similar
results were seen among participants without diabetes (42.9% in 2002 and 45.5% in
2011). The increase in preventive dental care was statistically significant for
participants with and without diabetes. The participants with diabetes, as
compared with participants without diabetes, remained statistically less likely
to have had preventive dental care in adjusted logistic regression analysis with
and without considering the interaction between observation year and diabetes
(adjusted odds ratios, 0.73 and 0.86, respectively). CONCLUSIONS: Although the
percentage increase in participants with diabetes receiving preventive dental
care is welcomed, older adults with diabetes continue to have substantial
preventive dental care needs. PRACTICAL IMPLICATIONS: Additional efforts are
needed to encourage people with diabetes to obtain preventive dental care.
PMID- 27189743
TI - Monodisperse light color nanoparticle ink toward chromatic electrophoretic
displays.
AB - The facile synthesis of nanoparticles for precise image control and fast response
of chromatic electrophoretic displays (EPDs) is a challenge. Herein, we report a
general method to prepare pink, blue, and yellow nanoparticles with low density
and a tunable size of 230-310 nm. The monodispersity is down to 0.02 and surface
charges are up to 666e. Importantly, our work highlights the feasibility of
chromatic nanoparticles as cost-effective candidates for electrophoretic
displays.
PMID- 27189744
TI - Charge carrier kinetics of carbon nitride colloid: a femtosecond transient
absorption spectroscopy study.
AB - Carbon nitrides (CN) have been widely used in photocatalytic applications.
However, the charge carrier kinetics of CN after light excitation remains
unclear. Herein, we prepared a stable and transparent CN colloid in an aqueous
tetraethylammonium hydroxide solution and investigated its carrier kinetics using
both femtosecond transient absorption and picosecond time-resolved fluorescence
spectroscopy. We found that a new and positive absorption band appears in the
femtosecond transient absorption spectrum of the CN colloid, which could be
attributed to the absorption of the photogenerated electron/hole pairs (or the
electronic excited state) of the CN colloid after light excitation. Moreover, we
found that the charge carrier kinetics obtained from the femtosecond transient
absorption measurements is dramatically different from that obtained from the
picosecond time-resolved fluorescence measurements, indicating that the
photophysical process of the CN colloid after light excitation is complicated.
With the results obtained from both the femtosecond transient absorption and
picosecond time-resolved fluorescence measurements, we proposed a schematic to
understand the photophysics and charge carrier kinetics of the CN colloid. We
believe that the current study is also significant for researchers to understand
the photophysics and charge carrier kinetics of bulk CN.
PMID- 27189745
TI - Rapid Dihydrogen Cleavage by Persistent Nitroxide Radicals under Frustrated Lewis
Pair Conditions.
AB - Persistent radicals undergo hydrogen atom abstraction reactions with a great
variety of substrates, but not with dihydrogen. It has now been found that the
TEMPO radical splits dihydrogen under mild conditions in the presence of the
strong bulky B(C6 F5 )3 boron Lewis acid. The reaction is thought to proceed by a
typical frustrated Lewis pair mechanism with the TEMPO radical acting as the
active Lewis base. The reaction was analyzed by DFT, which indicates that no
significant spin density on the hydrogen atoms is accumulated along the H2
splitting reaction path.
PMID- 27189747
TI - 2015 Articles of the Year, Reviewers of the Year, and Figure of the Year.
PMID- 27189746
TI - High Resolution X-ray-Induced Acoustic Tomography.
AB - Absorption based CT imaging has been an invaluable tool in medical diagnosis,
biology, and materials science. However, CT requires a large set of projection
data and high radiation dose to achieve superior image quality. In this letter,
we report a new imaging modality, X-ray Induced Acoustic Tomography (XACT), which
takes advantages of high sensitivity to X-ray absorption and high ultrasonic
resolution in a single modality. A single projection X-ray exposure is sufficient
to generate acoustic signals in 3D space because the X-ray generated acoustic
waves are of a spherical nature and propagate in all directions from their point
of generation. We demonstrate the successful reconstruction of gold fiducial
markers with a spatial resolution of about 350 MUm. XACT reveals a new imaging
mechanism and provides uncharted opportunities for structural determination with
X-ray.
PMID- 27189752
TI - Health workers should reverse FGM procedures by deinfibulation, WHO says.
PMID- 27189753
TI - Risk-based approach to developing a national residue sampling plan for testing
under European Union regulation for veterinary medicinal products and
coccidiostat feed additives in domestic animal production.
AB - A ranking system for veterinary medicinal products and coccidiostat feed
additives has been developed as a tool to be applied in a risk-based approach to
the residue testing programme for foods of animal origin in the Irish National
Residue Control Plan (NRCP). Three characteristics of substances that may occur
as residues in food are included in the developed risk ranking system: Potency,
as measured by the acceptable daily intake assigned by the European Medicines
Agency Committee for Medicinal Products for Veterinary Use, to each substance;
Usage, as measured by the three factors of Number of Doses, use on Individual
animals or for Group treatment, and Withdrawal Period; and Residue Occurrence, as
measured by the number of Non-Compliant Samples in the NRCP. For both Number of
Doses and Non-Compliant Samples, data for the 5-year period 2008-12 have been
used. The risk ranking system for substances was developed for beef cattle, sheep
and goats, pigs, chickens and dairy cattle using a scoring system applied to the
various parameters described above to give an overall score based on the
following equation: Potency * Usage (Number of Doses + Individual/Group Use +
Withdrawal Period) * Residue Occurrence. Applying this risk ranking system, the
following substances are ranked very highly: antimicrobials such as amoxicillin
(for all species except pigs), marbofloxacillin (for beef cattle),
oxytetracycline (for all species except chickens), sulfadiazine with trimethoprim
(for pigs and chickens) and tilmicosin (for chickens); antiparasitic drugs, such
as the benzimidazoles triclabendazole (for beef and dairy cattle),
fenbendazole/oxfendazole (for sheep/goats and dairy cattle) and albendazole (for
dairy cattle), the avermectin ivermectin (for beef cattle), and anti-fluke drugs
closantel and rafoxanide (for sheep/goats); the anticoccidials monensin, narasin,
nicarbazin and toltrazuril (for chickens). The risk ranking system described is a
relatively simple system designed to provide a reliable basis for selecting the
veterinary medicinal products and coccidiostat feed additives that might be
prioritised for residue testing.
PMID- 27189756
TI - Ferroptosis, a newly characterized form of cell death in Parkinson's disease that
is regulated by PKC.
AB - Parkinson's disease (PD) is a complex illness characterized by progressive
dopaminergic neuronal loss. Several mechanisms associated with the iron-induced
death of dopaminergic cells have been described. Ferroptosis is an iron
dependent, regulated cell death process that was recently described in cancer.
Our present work show that ferroptosis is an important cell death pathway for
dopaminergic neurons. Ferroptosis was characterized in Lund human mesencephalic
cells and then confirmed ex vivo (in organotypic slice cultures) and in vivo (in
the 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine mouse model). Some of the
observed characteristics of ferroptosis differed from those reported previously.
For example, ferroptosis may be initiated by PKCalpha activation, which then
activates MEK in a RAS-independent manner. The present study is the first to
emphasize the importance of ferroptosis dysregulation in PD. In neurodegenerative
diseases like PD, iron chelators, Fer-1 derivatives and PKC inhibitors may be
strong drug candidates to pharmacologically modulate the ferroptotic signaling
cascade.
PMID- 27189757
TI - Triethyl orthoformate covalently cross-linked chitosan-(poly vinyl) alcohol based
biodegradable scaffolds with heparin-binding ability for promoting
neovascularisation.
AB - There is a need to develop pro-angiogenic biomaterials to promote wound healing
and to assist in regenerative medicine. To this end, various growth factors have
been exploited which have the potential to promote angiogenesis. However, these
are generally expensive and labile which limits their effectiveness. An
alternative approach is to immobilize heparin onto biocompatible degradable
hydrogels. The heparin in turn will then bind endogenous proangiogenic growth
factors to induce formation of new blood vessels.In this study, we continue our
development of hydrogels for wound healing purposes by exploring covalently cross
linking chitosan and polyvinyl alcohol hydrogels using triethyl orthoformate. Two
concentrations of triethyl orthoformate (4 and 16%) were compared for their
effects on the structure of hydrogels - their swelling, pore size, and rate of
degradation and for their ability to support the growth of cells and for their
heparin-binding capacity and their effects on angiogenesis in a chick
chorioallantoic membrane assay.Hydrogels formed with 4 or 16% both triethyl
orthoformate cross-linker were equally cyto-compatible. Hydrogels formed with 4%
triethyl orthoformate absorbed slightly more water than those made with 16%
triethyl orthoformate and broke down slightly faster than non-cross-linked
hydrogels. When soaked in heparin the hydrogel formed with 16% triethyl
orthoformate showed more blood vessel formation in the CAM assay than that formed
with 4% triethyl orthoformate.
PMID- 27189754
TI - 22q11.2 deletion syndrome.
AB - 22q11.2 deletion syndrome (22q11.2DS) is the most common chromosomal
microdeletion disorder, estimated to result mainly from de novo non-homologous
meiotic recombination events occurring in approximately 1 in every 1,000 fetuses.
The first description in the English language of the constellation of findings
now known to be due to this chromosomal difference was made in the 1960s in
children with DiGeorge syndrome, who presented with the clinical triad of
immunodeficiency, hypoparathyroidism and congenital heart disease. The syndrome
is now known to have a heterogeneous presentation that includes multiple
additional congenital anomalies and later-onset conditions, such as palatal,
gastrointestinal and renal abnormalities, autoimmune disease, variable cognitive
delays, behavioural phenotypes and psychiatric illness - all far extending the
original description of DiGeorge syndrome. Management requires a
multidisciplinary approach involving paediatrics, general medicine, surgery,
psychiatry, psychology, interventional therapies (physical, occupational, speech,
language and behavioural) and genetic counselling. Although common, lack of
recognition of the condition and/or lack of familiarity with genetic testing
methods, together with the wide variability of clinical presentation, delays
diagnosis. Early diagnosis, preferably prenatally or neonatally, could improve
outcomes, thus stressing the importance of universal screening. Equally
important, 22q11.2DS has become a model for understanding rare and frequent
congenital anomalies, medical conditions, psychiatric and developmental
disorders, and may provide a platform to better understand these disorders while
affording opportunities for translational strategies across the lifespan for both
patients with 22q11.2DS and those with these associated features in the general
population.
PMID- 27189758
TI - Developing a classification system of social communication functioning of
preschool children with autism spectrum disorder.
AB - AIM: Impairments in social communication are the hallmark of autism spectrum
disorder (ASD). Operationalizing 'severity' in ASD has been challenging; thus,
stratifying by functioning has not been possible. The purpose of this study is to
describe the development of the Autism Classification System of Functioning:
Social Communication (ACSF:SC) and to evaluate its consistency within and between
parent and professional ratings. METHOD: (1) ACSF:SC development based on focus
groups and surveys involving parents, educators, and clinicians familiar with
preschoolers with ASD; and (2) evaluation of the intra- and interrater agreement
of the ACSF:SC using weighted kappa (kw ). RESULTS: Seventy-six participants were
involved in the development process. Core characteristics of social communication
were ascertained: communicative intent; communicative skills and reciprocity; and
impact of environment. Five ACSF:SC levels were created and content-validated
across participants. Best capacity and typical performance agreement ratings
varied as follows: intrarater agreement on 41 children was kw =0.61 to 0.69 for
parents, and kw =0.71 to 0.95 for professionals; interrater agreement between
professionals was kw =0.47 to 0.61, and between parents and professionals was kw
=0.33 to 0.53. INTERPRETATION: Perspectives from parents and professionals
informed ACSF:SC development, providing common descriptions of the levels of
everyday communicative abilities of children with ASD to complement the
Diagnostic and Statistical Manual of Mental Disorders, Fifth Edition. Rater
agreement demonstrates that the ACSF:SC can be used with acceptable consistency
compared with other functional classification systems.
PMID- 27189760
TI - Function of Thymosin Beta-4 in Ethanol-Induced Microglial Activation.
AB - BACKGROUND/AIMS: Neuroinflammation mediated by activated microglia may play a
pivotal role in a variety of central nervous system (CNS) pathologic conditions,
including ethanol-induced neurotoxicity. The purpose of this study was to
investigate the function of Tbeta4 in ethanol-induced microglia activation.
METHODS: Quantitative real-time PCR was conducted to assess the expression of
Tbeta4 and miR-339-5p. Western blot analysis was used to measure the expression
of Tbeta4, phosphorylated p38, ERK, JNK, Akt, and NF-x03BA;B p65. The
concentration of TNF-alpha and IL-1beta was determined using ELISA. NO
concentration was measured using a nitric oxide colorimetric BioAssay Kit. Double
immunofluorescence was performed to determine Tbeta4 expression, in order to
assess microglial activation in neonatal mouse FASD model. RESULTS: Increased
Tbeta4 expression was observed in ethanol treated microglia. Knockdown of Tbeta4
enhanced ethanol-induced inflammatory mediators tumor necrosis factor-alpha (TNF
alpha) and interleukin-1beta (IL-1beta) and nitric oxide (NO) in BV-2 cells was
performed. Exogenous Tbeta4 treatment significantly inhibited expression and
secretion of these inflammatory mediators. Tbeta4 treatment attenuated p38, ERK
MAPKs, and nuclear factor-kappa B (NF-x03BA;B) pathway activation, and enhanced
miR-339-5p expression induced by ethanol exposure in microglia. A neonatal mouse
fetal alcohol spectrum disorders (FASD) model showed that Tbeta4 expression in
the microglia of the hippocampus was markedly enhanced, while Tbeta4 treatment
effectively blocked the ethanol-induced increase in inflammatory mediators, to
the level expressed in vehicle-treated control animals. CONCLUSION: This study is
the first to demonstrate the function of Tbeta4 in ethanol-induced microglia
activation, thus contributing to a more robust understanding of the role of
Tbeta4 treatment in CNS disease.
PMID- 27189759
TI - Retargeting FX-binding-ablated HAdV-5 to vascular cells by inclusion of the RGD
4C peptide in hexon hypervariable region 7 and the HI loop.
AB - Recent studies have generated interest in the function of human adenovirus
serotype 5 (HAdV-5) hexon: factor X (FX) binding and subsequent hepatocyte
transduction and interaction with the immune system. Here, we retargeted
adenovirus serotype 5 vectors, ablated for FX interaction, by replacing amino
acids in hexon HVR7 with RGD-4C or inserting the peptide into the fibre HI loop.
These genetic modifications in the capsid were compatible with virus assembly,
and could efficiently retarget transduction of the vector via the alphavbeta3/5
integrin-mediated pathway, but did not alter immune recognition by pre-existing
human neutralizing anti-HAdV-5 antibodies or by natural antibodies in mouse
serum. Thus, FX-binding-ablated HAdV-5 can be retargeted but remain sensitive to
immune-mediated attack. These findings further refine HAdV-5-based vectors for
human gene therapy and inform future vector development.
PMID- 27189755
TI - Update of neurotrophic factors in neurobiology of addiction and future
directions.
AB - Drug addiction is a chronic brain disease and drugs of abuse cause long lasting
neuroadaptations. Addiction is characterized by the loss of control over drug use
despite harmful consequences, and high rates of relapse even after long periods
of abstinence. Neurotrophic factors (NTFs) are well known for their actions on
neuronal survival in the peripheral nervous system. Moreover, NTFs have been
shown to be involved in synaptic plasticity in the brain. Brain-derived
neurotrophic factor (BDNF) and glial cell line-derived neurotrophic factor (GDNF)
are two of the most studied NTFs and both of them have been reported to increase
craving when administered into the mesocorticolimbic dopaminergic system after
drug self-administration. Here we review recent data on BDNF and GDNF functions
in addiction-related behavior and discuss them in relation to previous findings.
Finally, we give an insight into how new technologies could aid in further
elucidating the role of these factors in drug addiction.
PMID- 27189761
TI - Genetics of Type 2 Diabetes: the Power of Isolated Populations.
AB - Type 2 diabetes (T2D) affects millions of people worldwide. Improving the
understanding of the underlying mechanisms and ultimately improving the treatment
strategies are, thus, of great interest. To achieve this, identification of
genetic variation predisposing to T2D is important. A large number of variants
have been identified in large outbred populations, mainly from Europe and Asia.
However, to elucidate additional variation, isolated populations have a number of
advantageous properties, including increased amounts of linkage disequilibrium,
and increased probability for presence of high frequency disease-associated
variants due to genetic drift. Collectively, this increases the statistical power
to detect association signals in isolated populations compared to large outbred
populations. In this review, we elaborate on why isolated populations are a
powerful resource for the identification of complex disease variants and describe
their contributions to the understanding of the genetics of T2D.
PMID- 27189765
TI - The structural and dynamical aspects of boron nitride nanotubes under high
velocity impacts.
AB - This communication report is a study on the structural and dynamical aspects of
boron nitride nanotubes (BNNTs) shot at high velocities (~5 km s(-1)) against
solid targets. The experimental results show unzipping of BNNTs and the formation
of hBN nanoribbons. Fully atomistic reactive molecular dynamics simulations were
also carried out to gain insights into the BNNT fracture patterns and deformation
mechanisms. Our results show that longitudinal and axial tube fractures occur,
but the formation of BN nanoribbons from fractured tubes was only observed for
some impact angles. Although some structural and dynamical features of the
impacts are similar to the ones reported for CNTs, because BNNTs are more brittle
than CNTs this results in a larger number of fractured tubes but with fewer
formed nanoribbons.
PMID- 27189764
TI - Involvement of nigral oxytocin in locomotor activity: A behavioral,
immunohistochemical and lesion study in male rats.
AB - Oxytocin is involved in the control of different behaviors, from sexual behavior
and food consumption to empathy, social and affective behaviors. An imbalance of
central oxytocinergic neurotransmission has been also associated with different
mental pathologies, from depression, anxiety and anorexia/bulimia to
schizophrenia, autism and drug dependence. This study shows that oxytocin may
also play a role in the control of locomotor activity. Accordingly,
intraperitoneal oxytocin (0.5-2000MUg/kg) reduced locomotor activity of adult
male rats. This effect was abolished by d(CH2)5Tyr(Me)(2)-Orn(8)-vasotocin, an
oxytocin receptor antagonist, given into the lateral ventricles at the dose of
2MUg/rat, which was ineffective on locomotor activity. Oxytocin (50-200ng/site)
also reduced and d(CH2)5Tyr(Me)(2)-Orn(8)-vasotocin (2MUg/site) increased
locomotor activity when injected bilaterally into the substantia nigra, a key
area in the control of locomotor activity. Conversely, the destruction of nigral
neurons bearing oxytocin receptors by the recently characterized neurotoxin
oxytocin-saporin injected into the substantia nigra, increased basal locomotor
activity. Since oxytocin-saporin injected into the substantia nigra caused a
marked reduction of neurons immunoreactive for tyrosine hydroxylase (e.g.,
nigrostriatal dopaminergic neurons) and for vesicular glutamate transporters
VGluT1, VGluT2 and VGluT3 (e.g., glutamatergic neurons), but not for glutamic
acid decarboxylase (e.g., GABAergic neurons), together these findings suggest
that oxytocin influences locomotor activity by acting on receptors localized
presynaptically in nigral glutamatergic nerve terminals (which control the
activity of nigral GABAergic efferent neurons projecting to brain stem nuclei
controlling locomotor activity), rather than on receptors localized in the cell
bodies/dendrites of nigrostriatal dopaminergic neurons.
PMID- 27189763
TI - Elevated corticosterone during egg production elicits increased maternal
investment and promotes nestling growth in a wild songbird.
AB - Glucocorticoids circulating in breeding birds during egg production accumulate
within eggs, and may provide a potent form of maternal effect on offspring
phenotype. However, whether these steroids affect offspring development remains
unclear. Here, we employed a non-invasive technique that experimentally elevated
the maternal transfer of corticosterone to eggs in a wild population of house
wrens. Feeding corticosterone-injected mealworms to free-living females prior to
and during egg production increased the number of eggs that females produced and
increased corticosterone concentrations in egg yolks. This treatment also
resulted in an increase in the amount of yolk allocated to eggs. Offspring
hatching from these eggs begged for food at a higher rate than control offspring
and eventually attained increased prefledging body condition, a trait predictive
of their probability of recruitment as breeding adults in the study population.
Our results indicate that an increase in maternal glucocorticoids within the
physiological range can enhance maternal investment and offspring development.
PMID- 27189762
TI - Non-ovarian aromatization is required to activate female sexual motivation in
testosterone-treated ovariectomized quail.
AB - Although aromatase is expressed in both male and female brains, its functional
significance in females remains poorly understood. In female quail, sexual
receptivity is activated by estrogens. However it is not known whether sexual
motivation is similarly estrogen-dependent and whether estrogens locally produced
in the brain contribute to these behavioral responses. Four main experiments were
designed to address these questions. In Experiment 1 chronic treatment of females
with the anti-estrogen tamoxifen decreased their receptivity, confirming that
this response is under the control of estrogens. In Experiment 2 chronic
treatment with tamoxifen significantly decreased sexual motivation as treated
females no longer approached a sexual partner. In Experiment 3 (a) ovariectomy
(OVX) induced a significant decrease of time spent near the male and a
significantly decreased receptivity compared to gonadally intact females, (b)
treatment with testosterone (OVX+T) partially restored these responses and (c)
this effect of T was prevented when estradiol synthesis was inhibited by the
potent aromatase inhibitor Vorozole (OVX+T+VOR). Serum estradiol concentration
was significantly higher in OVX+T than in OVX or OVX+T+VOR females. Together
these data demonstrate that treatment of OVX females with T increases sexual
motivation and that these effects are mediated at least in part by non-gonadal
aromatization of the androgen. Finally, assays of aromatase activity on brain and
peripheral tissues (Experiment 4) strongly suggest that brain aromatization
contributes to behavioral effects observed here following T treatment but
alternative sources of estrogens (e.g. liver) should also be considered.
PMID- 27189767
TI - Anatomic features of the distal aortic arch that influence endovascular aneurysm
repair.
AB - OBJECTIVE: Successful thoracic endovascular aneurysm repair (TEVAR) is contingent
on seal and fixation of the proximal graft. The aortic arch has a wide range of
anatomic variability, and understanding that anatomy may optimize device
deployment. The purpose of this study was to assess aortic arch anatomic features
that influence zones 2 and 3 TEVAR and specifically to quantitate the impact of
gantry angle correction on proximal seal zone for patients with proximal
descending thoracic aortic disease. METHODS: Sixty patients with descending
thoracic aortic pathology that would require TEVAR with a zone 2 or 3 deployment
were evaluated. Demographic and imaging data were retrospectively reviewed.
Computed tomography scans were evaluated using a 3-dimensional workstation for
centerline and angle analyses. The optimal gantry angle was determined to be the
orthogonal view of the leading (proximal) edge of the seal zone based on a manual
adjusted centerline. Measurements were then taken of the seal zone at -10 degrees
and -20 degrees from optimal view to assess the impact of imperfect gantry
angle correction. RESULTS: The study included 38 men (63%) with a mean age of 66
years (range, 24-90 years). Thirty-eight (63%) required zone 2 deployment. Zone 2
seal zones were shorter and required less gantry angulation for an optimal view
than were zone 3 seal zones. Incomplete gantry angle correction affected expected
use of both zone 2 and 3 seal zones similarly. At 10 degrees and 20 degrees
from optimal gantry angel, the loss in use of available seal zone length is
estimated to be 2.4 +/- 1.1 and 6.2 +/- 2.3 mm, or 10% and 25%, respectively.
These results were not different for men or women, nor were they influenced by
age, sex, body mass index, height, or etiology of aortic pathology. Inner and
outer curvature measurements differed by 80%. Only four patients (7%) had a zone
1 segment >= 5 mm in length. CONCLUSIONS: Optimal gantry angle correction should
be the goal of any TEVAR procedure. Zone 2 seal zones require less gantry
angulation than zone 3 seal zones by nearly 20 degrees . This study shows that
correction within 10 degrees of optimal is unlikely to significantly impact
successful seal and fixation for most patients. At 20 degrees , however,
substantial loss of seal zone can be expected. Measurements of the inner and
outer curvature reveal different information about the distal arch and should be
assessed individually. Zone 1 deployment rarely provides meaningful additional
seal zone length.
PMID- 27189766
TI - Primary closure after carotid endarterectomy is not inferior to other closure
techniques.
AB - OBJECTIVE: Primary closure after carotid endarterectomy (CEA) has been much
maligned as an inferior technique with worse outcomes than in patch closure. Our
purpose was to compare perioperative and long-term results of different CEA
closure techniques in a large institutional experience. METHODS: A consecutive
cohort of CEAs between January 1, 2000, and December 31, 2010, was
retrospectively analyzed. Closure technique was used to divide patients into
three groups: primary longitudinal arteriotomy closure (PRC), patch closure
(PAC), and eversion closure (EVC). End points were perioperative events, long
term strokes, and restenosis >=70%. Multivariate regression models were used to
assess the effect of baseline predictors. RESULTS: There were 1737 CEA cases
(bilateral, 143; mean age, 71.4 +/- 9.3 years; 56.2% men; 35.3% symptomatic)
performed during the study period with a mean clinical follow-up of 49.8 +/- 36.4
months (range, 0-155 months). More men had primary closure, but other demographic
and baseline symptoms were similar between groups. Half the patients had PAC,
with the rest evenly distributed between PRC and EVC. The rate of nerve injury
was 2.7%, the rate of reintervention for hematoma was 1.5%, and the length of
hospital stay was 2.4 +/- 3.0 days, with no significant differences among groups.
The combined stroke and death rate was 2.5% overall and 3.9% and 1.7% in the
symptomatic and asymptomatic cohort, respectively. Stroke and death rates were
similar between groups: PRC, 11 (2.7%); PAC, 19 (2.2%); EVC, 13 (2.9%).
Multivariate analysis showed baseline symptomatic disease (odds ratio, 2.4; P =
.007) and heart failure (odds ratio, 3.1; P = .003) as predictors of
perioperative stroke and death, but not the type of closure. Cox regression
analysis demonstrated, among other risk factors, no statin use (hazard ratio,
2.1; P = .008) as a predictor of ipsilateral stroke and severe (glomerular
filtration rate <30 mL/min/1.73 m(2)) renal insufficiency (hazard ratio, 2.6; P =
.032) as the only predictor of restenosis >=70%. Type of closure did not have any
predictive value. CONCLUSIONS: In our study, baseline risk factors and statin
use, but not the type of closure, affect perioperative and long-term outcomes
after CEA.
PMID- 27189768
TI - Failure mode analysis of the Endologix endograft.
AB - OBJECTIVE: Type III (T-III) endoleaks following endovascular aneurysm repair
(EVAR) remain a major concern. Our center experienced a recent concentration of T
III endoleaks requiring elective and emergency treatment and prompted our review
of all EVAR implants over a 40-month period from April 2011 until August 2014.
This report represents a single center experience with T-III endoleak management
with analysis of factors leading to the T-III-related failure of EVAR. METHODS: A
retrospective review of all the operative reports, medical records, and computed
tomography scans were reviewed from practice surveillance. Using Society for
Vascular Surgery aneurysm reporting standards, we analyzed the morphology of the
aneurysms before and after EVAR implant using computed tomography. Index
procedure and frequency of reinterventions required to maintain aneurysm freedom
from rupture were compared across all devices using SAS v 9.4 (SAS Institute,
Inc, Cary, NC). Major adverse events (MAEs) requiring secondary interventions for
aneurysm treatment beyond primary implant were analyzed for methods of failure.
Aneurysm morphology of patients requiring EVAR was compared across all endograft
devices used for repair. For purposes of MAE analysis, patients receiving
Endologix (ELX) endograft were combined into group 1; Gore, Cook, and Medtronic
endograft patients were placed into group 2. RESULTS: Overall, technical success
and discharge survival were achieved in 97.3% and 98% of patients regardless of
device usage. There was no significant device related difference identified
between patient survival or freedom from intervention. MAEs involving aneurysm
treatment were over seven-fold more frequent with ELX (group 1) vs non-ELX (group
2) endografts (P < .01). Group 1 patients with aneurysm diameters larger than 65
mm were associated with a highly significant value for development of a T-III
endoleak (odds ratio, 11.16; 95% confidence interval, 2.17, 57.27; P = .0038).
CONCLUSIONS: While EVAR technical success and survival were similar across all
devices, ELX devices exhibited an unusually high incidence of T-III endoleaks
when implanted in abdominal aortic aneurysms with a diameter of more than 65 mm.
Frequent reinterventions were required for Endologix devices for prevention of
aneurysm rupture due to T-III endoleaks.
PMID- 27189769
TI - Outcomes after retroflexed gracilis muscle flap for vascular infections in the
groin.
AB - OBJECTIVE: Multiple catheterizations and procedures on the femoral arteries can
increase the risk of infection and eventual destruction of the overlying skin and
subcutaneous tissue. Without adequate tissue coverage, vascular structures are
exposed and, thus, vulnerable to disruption. This can lead to loss of limb and/or
life and carries a significant mortality. We hypothesized that gracilis muscle
flap (GMF) was a reliable adjunct in providing healthy tissue coverage for a
complex surgical problem. METHODS: Retrospective review of charts was performed
on all patients who had undergone GMF for groin infections at a tertiary care
medical center. RESULTS: From 1997 to 2012, GMF was performed in 68 limbs (64
patients) by vascular surgeons for infectious etiology to cover the common
femoral artery. At the time the GMF was placed, the femoral artery had synthetic
graft/patch in 14 limbs, whereas 54 limbs had procedures with autologous conduit.
Complete healing was achieved in 58 (85%) limbs. Treatment was deemed not
successful in 10 limbs where patients continued to have persistent infection. Six
out of 10 limbs had anastomosis disruption requiring emergent ligation of the
common femoral artery. Nine patients died during the perioperative period (30
day). There were a total of 13 amputations in 12 patients. Limb salvage was
achieved in 55 limbs (81%). Univariate analysis suggested that patients that had
revascularization procedures with synthetic graft had a higher complication rate
compared with autologous/vein reconstruction (24% vs 5%; P = .021). This group
also has a higher rate of persistent infection compared with the autologous group
(24% vs 2%; P = .006). Patients older than 75 years at the time of GMF had a
higher incidence of GMF-related complications (57% vs 5%; P = .04). Multivariate
analysis confirmed that presence of prosthesis led to higher incidence treatment
failures and muscle flap complications at the surgical site (odds ratio, 6.6; P =
.04; and odds ratio, 13.3; P = .03, respectively). CONCLUSIONS: GMF is
technically simple to perform and provides durable soft tissue coverage with a
high rate of healing for complex groin wounds even in the presence of synthetic
conduit.
PMID- 27189770
TI - Mortality rates and walking ability transition after lower limb major amputation
in hemodialysis patients.
AB - OBJECTIVE: The number of hemodialysis patients with peripheral artery disease is
increasing, and critical limb ischemia develops in some of these patients. The
clinical outcomes in such patients after major amputation remain unclear. We
therefore examined the mortality rates after major amputation in hemodialysis
patients. METHODS: The study enrolled 108 hemodialysis patients undergoing their
first major amputation at Community Health Care Organization Sendai Hospital
between January 2005 and December 2014 and monitored them until June 2015. All
cause mortality and additional amputation-free survival were evaluated by Kaplan
Meier analysis. RESULTS: The most dominant primary disease of renal failure was
diabetes mellitus (77%), and the duration of hemodialysis was 8.5 +/- 6.8 years.
During the median follow-up period of 11.5 months (20.3 +/- 22.6 months), 80
patients (74%) died, and the survival rates were 83% at 30 days, 56% at 1 year,
and 15% at 5 years. The median time to death was 19.9 months (95% confidence
interval, 9.8-30.0 months), and the causes of death were cardiac (45%), sepsis
(29%), cerebrovascular (4%), and others (22%). Thirty-one patients underwent
additional amputation, and the additional amputation-free survival rates were 39%
at 1 year and 9% at 5 years. The median time between the first and second
amputations was 2.5 months (5.7 +/- 7.6 months). Univariate analysis showed
previous minor amputation (P = .04) and low hematocrit level (P = .04) were
associated with the 30-day mortality rate, and age (P = .05) was associated with
the 5-year mortality rate. On multivariate Cox proportional hazard analysis, only
age was associated with mortality rate (hazard ratio, 1.02; 95% confidence
interval, 0.99-1.02; P = .04). We also compared walking ability before and after
major amputation among patients who survived >60 days. The rate changed from 34%
to 12% for of ambulatory patients, from 45% to 48% for wheelchair use, and from
21% to 40% for bedridden patients. Ambulatory patients had a significantly better
survival rate than the others (P = .02). CONCLUSIONS: The mortality rate after
major amputation in hemodialysis patients was high, and major amputation had a
huge negative effect on patients' walking ability.
PMID- 27189771
TI - HIV infection results in metabolic alterations in the gut microbiota different
from those induced by other diseases.
AB - Imbalances in gut bacteria have been associated with multiple diseases. However,
whether there are disease-specific changes in gut microbial metabolism remains
unknown. Here, we demonstrate that human immunodeficiency virus (HIV) infection
(n = 33) changes, at quantifiable levels, the metabolism of gut bacteria. These
changes are different than those observed in patients with the auto-immune
disease systemic lupus erythaematosus (n = 18), and Clostridium difficile
associated diarrhoea (n = 6). Using healthy controls as a baseline (n = 16), we
demonstrate that a trend in the nature and directionality of the metabolic
changes exists according to the type of the disease. The impact on the gut
microbial activity, and thus the metabolite composition and metabolic flux of gut
microbes, is therefore disease-dependent. Our data further provide experimental
evidence that HIV infection drastically changed the microbial community, and the
species responsible for the metabolism of 4 amino acids, in contrast to patients
with the other two diseases and healthy controls. The identification in this
present work of specific metabolic deficits in HIV-infected patients may define
nutritional supplements to improve the health of these patients.
PMID- 27189772
TI - Validation of a new measure of availability and accommodation of health care that
is valid for rural and urban contexts.
AB - CONTEXT: Patients are the most valid source for evaluating the accessibility of
services, but a previous study observed differential psychometric performance of
instruments in rural and urban respondents. OBJECTIVE: To validate a measure of
organizational accessibility free of differential rural-urban performance that
predicts consequences of difficult access for patient-initiated care. DESIGN:
Sequential qualitative-quantitative study. Qualitative findings used to adapt or
develop evaluative and reporting items. Quantitative validation study. SETTING:
Primary data by telephone from 750 urban, rural and remote respondents in Quebec,
Canada; follow-up mailed questionnaire to a subset of 316. MAIN MEASURES AND
ANALYSES: Items were developed for barriers along the care trajectory. We used
common factor and confirmatory factor analysis to identify constructs and compare
models. We used item response theory analysis to test for differential rural
urban performance; examine individual item performance; adjust response options;
and exclude redundant or non-discriminatory items. We used logistic regression to
examine predictive validity of the subscale on access difficulty (outcome).
RESULTS: Initial factor resolution suggested geographic and organizational
dimensions, plus consequences of access difficulty. After second administration,
organizational accommodation and geographic indicators were integrated into a 6
item subscale of Effective Availability and Accommodation, which demonstrates
good variability and internal consistency (alpha = 0.84) and no differential
functioning by geographic area. Each unit increase predicts decreased likelihood
of consequences of access difficulties (unmet need and problem aggravation).
CONCLUSION: The new subscale is a practical, valid and reliable measure for
patients to evaluate first-contact health services accessibility, yielding valid
comparisons between urban and rural contexts.
PMID- 27189773
TI - Mental health nurses' experiences of managing work-related emotions through
supervision.
AB - AIM: The aim of this study was to explore emotion cultures constructed in
supervision and consider how supervision functions as an emotionally safe space
promoting critical reflection. BACKGROUND: Research published between 1995-2015
suggests supervision has a positive impact on nurses' emotional well-being, but
there is little understanding of the processes involved in this and how styles of
emotion interaction are established in supervision. DESIGN: A narrative approach
was used to investigate mental health nurses' understandings and experiences of
supervision. METHODS: Eight semi-structured interviews were conducted with
community mental health nurses in the UK during 2011. Analysis of audio data used
features of speech to identify narrative discourse and illuminate meanings. A
topic-centred analysis of interview narratives explored discourses shared between
the participants. This supported the identification of feeling rules in
participants' narratives and the exploration of the emotion context of
supervision. FINDINGS: Effective supervision was associated with three feeling
rules: safety and reflexivity; staying professional; managing feelings. These
feeling rules allowed the expression and exploration of emotions, promoting
critical reflection. A contrast was identified between the emotion culture of
supervision and the nurses' experience of their workplace cultures as requiring
the suppression of difficult emotions. Despite this, contrast supervision
functioned as an emotion micro-culture with its own distinctive feeling rules.
CONCLUSIONS: The analytical construct of feeling rules allows us to connect
individual emotional experiences to shared normative discourses, highlighting how
these shape emotional processes taking place in supervision. This understanding
supports an explanation of how supervision may positively influence nurses'
emotion management and perhaps reduce burnout.
PMID- 27189774
TI - Zolpidem Mucoadhesive Formulations for Intranasal Delivery: Characterization, In
Vitro Permeability, Pharmacokinetics, and Nasal Ciliotoxicity in Rats.
AB - Zolpidem is a non-benzodiazepine hypnotic for the treatment of insomnia
characterized by difficulties with sleep initiation. Our study aimed at
developing a zolpidem mucoadhesive formulation with minimal local toxicity,
prolonged nasal residence time, and enhanced absorption after intranasal
delivery. In vitro permeability studies using artificial membrane and Calu-3 cell
culture model indicated efficient permeability of zolpidem. Aqueous solubility of
zolpidem was found to be significantly improved by hydroxypropyl-beta
cyclodextrin. Various mucoadhesive formulations were then prepared comprising
zolpidem, hydroxypropyl-beta-cyclodextrin, and mucoadhesive polymers such as
hydroxypropyl methylcellulose, sodium carboxymethylcellulose, and sodium
alginate. Pharmacokinetic studies in rats demonstrated that intranasally
administered zolpidem could achieve significantly faster absorption rate and
higher plasma concentration than that from oral route. In comparison with
solution formulation (ZLP-S03), the optimized mucoadhesive formulation (ZLP-B01)
containing 0.25% hydroxypropyl methylcellulose was found to improve Cmax from
352.6 +/- 86.0 to 555.7 +/- 175.8 ng/mL, and AUC0-inf from 32,890 +/- 7547 to
65,447 +/- 36,996 ng.min/mL with mild nasal ciliotoxicity in rats.
PMID- 27189775
TI - A novel pathway for fungal D-glucuronate catabolism contains an L-idonate forming
2-keto-L-gulonate reductase.
AB - For the catabolism of D-glucuronate, different pathways are used by different
life forms. The pathways in bacteria and animals are established, however, a
fungal pathway has not been described. In this communication, we describe an
enzyme that is essential for D-glucuronate catabolism in the filamentous fungus
Aspergillus niger. The enzyme has an NADH dependent 2-keto-L-gulonate reductase
activity forming L-idonate. The deletion of the corresponding gene, the gluC,
results in a phenotype of no growth on D-glucuronate. The open reading frame of
the A. niger 2-keto-L-gulonate reductase was expressed as an active protein in
the yeast Saccharomyces cerevisiae. A histidine tagged protein was purified and
it was demonstrated that the enzyme converts 2-keto-L-gulonate to L-idonate and,
in the reverse direction, L-idonate to 2-keto-L-gulonate using the NAD(H) as
cofactors. Such an L-idonate forming 2-keto-L-gulonate dehydrogenase has not been
described previously. In addition, the finding indicates that the catabolic D
glucuronate pathway in A. niger differs fundamentally from the other known D
glucuronate pathways.
PMID- 27189776
TI - Cotton-textile-enabled flexible self-sustaining power packs via roll-to-roll
fabrication.
AB - With rising energy concerns, efficient energy conversion and storage devices are
required to provide a sustainable, green energy supply. Solar cells hold promise
as energy conversion devices due to their utilization of readily accessible solar
energy; however, the output of solar cells can be non-continuous and unstable.
Therefore, it is necessary to combine solar cells with compatible energy storage
devices to realize a stable power supply. To this end, supercapacitors, highly
efficient energy storage devices, can be integrated with solar cells to mitigate
the power fluctuations. Here, we report on the development of a solar cell
supercapacitor hybrid device as a solution to this energy requirement. A high
performance, cotton-textile-enabled asymmetric supercapacitor is integrated with
a flexible solar cell via a scalable roll-to-roll manufacturing approach to
fabricate a self-sustaining power pack, demonstrating its potential to
continuously power future electronic devices.
PMID- 27189777
TI - Automated integer programming based separation of arteries and veins from
thoracic CT images.
AB - Automated computer-aided analysis of lung vessels has shown to yield promising
results for non-invasive diagnosis of lung diseases. To detect vascular changes
which affect pulmonary arteries and veins differently, both compartments need to
be identified. We present a novel, fully automatic method that separates arteries
and veins in thoracic computed tomography images, by combining local as well as
global properties of pulmonary vessels. We split the problem into two parts: the
extraction of multiple distinct vessel subtrees, and their subsequent labeling
into arteries and veins. Subtree extraction is performed with an integer program
(IP), based on local vessel geometry. As naively solving this IP is time
consuming, we show how to drastically reduce computational effort by
reformulating it as a Markov Random Field. Afterwards, each subtree is labeled as
either arterial or venous by a second IP, using two anatomical properties of
pulmonary vessels: the uniform distribution of arteries and veins, and the
parallel configuration and close proximity of arteries and bronchi. We evaluate
algorithm performance by comparing the results with 25 voxel-based manual
reference segmentations. On this dataset, we show good performance of the subtree
extraction, consisting of very few non-vascular structures (median value: 0.9%)
and merged subtrees (median value: 0.6%). The resulting separation of arteries
and veins achieves a median voxel-based overlap of 96.3% with the manual
reference segmentations, outperforming a state-of-the-art interactive method. In
conclusion, our novel approach provides an opportunity to become an integral part
of computer aided pulmonary diagnosis, where artery/vein separation is important.
PMID- 27189778
TI - The assessment of adipocere to estimate the post-mortem interval - a skeleton
from the tidelands.
AB - SUMMARY: This paper discusses the discovery of a skeletonized water corpse with
hollow bones filled with adipocere found in the tidelands of the river Elbe close
to Otterndorf (Wesermarsch, Cuxhaven). Through macroscopic and microscopic
methods, the existing adipocere was described. The post-mortem interval was
assessed by a comparison of the radiocarbon data and the indications about the
preservation of adipocere from the literature. The investigation has shown that
the knowledge of post-mortem changes in adipocere within bone structures is still
incomplete, especially for the assessment of water corpses with long post-mortem
intervals.
PMID- 27189779
TI - Insomnia disorder.
AB - Insomnia disorder affects a large proportion of the population on a situational,
recurrent or chronic basis and is among the most common complaints in medical
practice. The disorder is predominantly characterized by dissatisfaction with
sleep duration or quality and difficulties initiating or maintaining sleep, along
with substantial distress and impairments of daytime functioning. It can present
as the chief complaint or, more often, co-occurs with other medical or
psychiatric disorders, such as pain and depression. Persistent insomnia has been
linked with adverse long-term health outcomes, including diminished quality of
life and physical and psychological morbidity. Despite its high prevalence and
burden, the aetiology and pathophysiology of insomnia is poorly understood. In
the past decade, important changes in classification and diagnostic paradigms
have instigated a move from a purely symptom-based conceptualization to the
recognition of insomnia as a disorder in its own right. These changes have been
paralleled by key advances in therapy, with generic pharmacological and
psychological interventions being increasingly replaced by approaches that have
sleep-specific and insomnia-specific therapeutic targets. Psychological and
pharmacological therapies effectively reduce the time it takes to fall asleep and
the time spent awake after sleep onset, and produce a modest increase in total
sleep time; these are outcomes that correlate with improvements in daytime
functioning. Despite this progress, several challenges remain, including the need
to improve our knowledge of the mechanisms that underlie insomnia and to develop
more cost-effective, efficient and accessible therapies.
PMID- 27189781
TI - Social support coping style predicts women's cortisol in the laboratory and daily
life: the moderating role of social attentional biases.
AB - BACKGROUND AND OBJECTIVES: Social stress and associated coping responses can
profoundly influence women's stress physiology and health. Implicit social
attentional biases can also influence psychological and physiological stress
responses. The purpose of this study was to explore whether a coping style
characterized by greater use of social support predicts indices of cortisol
activity in laboratory and daily life contexts among female university students.
We hypothesized that the relation of this coping style to cortisol activity would
be moderated by women's attentional biases. METHODS: Seventy-four women (Mage =
19.44, range: 17.8-27.8, 64% White) completed an interpersonal stress task and an
attentional bias task in the lab, along with a self-report coping inventory.
Participants provided five saliva samples during the lab protocol, followed by
three saliva samples per day for three consecutive weekdays. Outcome measures
included cortisol response to lab tasks (AUCg), diurnal cortisol slope, diurnal
AUCg, and cortisol awakening response (CARi). RESULTS: A coping style
characterized by greater use of social support predicted lower lab AUCg and
lower, flatter average diurnal cortisol slope for women with attentional
avoidance compared to women with attentional vigilance (ps < .05). CONCLUSIONS:
Responding to stress by using social support is linked to lower cortisol
responses to social stress and diurnal cortisol activity for women with implicit
avoidance of social threat cues.
PMID- 27189782
TI - The sound of emotions-Towards a unifying neural network perspective of affective
sound processing.
AB - Affective sounds are an integral part of the natural and social environment that
shape and influence behavior across a multitude of species. In human primates,
these affective sounds span a repertoire of environmental and human sounds when
we vocalize or produce music. In terms of neural processing, cortical and
subcortical brain areas constitute a distributed network that supports our
listening experience to these affective sounds. Taking an exhaustive cross-domain
view, we accordingly suggest a common neural network that facilitates the
decoding of the emotional meaning from a wide source of sounds rather than a
traditional view that postulates distinct neural systems for specific affective
sound types. This new integrative neural network view unifies the decoding of
affective valence in sounds, and ascribes differential as well as complementary
functional roles to specific nodes within a common neural network. It also
highlights the importance of an extended brain network beyond the central limbic
and auditory brain systems engaged in the processing of affective sounds.
PMID- 27189783
TI - Revealing the quality of movement: A meta-analysis review to quantify the
thresholds to pathological variability during standing and walking.
AB - Neuromotor processes are inherently noisy, which results in variability during
movement and fluctuations in motor control. Although controversial, low levels of
variability are traditionally considered healthy, while increased levels are
thought to be pathological. This systematic review and meta-analysis of the
literature investigates the thresholds between healthy and pathological task
variability. After examining 13,195 publications, 109 studies were included.
Results from over 3000 healthy subjects and 2775 patients revealed an overall
positive effect size of pathology on variability of 0.59 for walking and 0.80 for
sway. For the coefficient of variation of stride time (ST) and sway area (SA),
upper thresholds of 2.6% and 265mm(2) discriminated pathological from
asymptomatic performance, while 1.1% and 62mm(2) identified the lower thresholds
for pathological variability. This window of healthy performance now provides
science based evidence for the discrimination of both extremely low and extremely
high levels of variability in the identification as well as standardised
monitoring of functional status in neurological cases.
PMID- 27189780
TI - Multiple pathway assessment to predict anti-atherogenic efficacy of drugs
targeting macrophages in atherosclerotic plaques.
AB - BACKGROUND: Macrophages play a central role in atherosclerosis development and
progression, hence, targeting macrophage activity is considered an attractive
therapeutic. Recently, we documented nanomedicinal delivery of the anti
inflammatory compound prednisolone to atherosclerotic plaque macrophages in
patients, which did however not translate into therapeutic efficacy. This
unanticipated finding calls for in-depth screening of drugs intended for
targeting plaque macrophages. METHODS AND RESULTS: We evaluated the effect of
several candidate drugs on macrophage activity, rating overall performance with
respect to changes in cytokine release, oxidative stress, lipid handling,
endoplasmic reticulum (ER) stress, and proliferation of macrophages. Using this
in vitro approach, we observed that the anti-inflammatory effect of prednisolone
was counterbalanced by multiple adverse effects on other key pathways.
Conversely, pterostilbene, T0901317 and simvastatin had an overall anti
atherogenic effect on multiple pathways, suggesting their potential for liposomal
delivery. CONCLUSION: This dedicated assay setup provides a framework for high
throughput assessment. Further in vivo studies are warranted to determine the
predictive value of this macrophage-based screening approach and its potential
value in nanomedicinal drug development for cardiovascular patients.
PMID- 27189784
TI - A touch with words: Dynamic synergies between manual actions and language.
AB - Manual actions are a hallmark of humanness. Their underlying neural circuitry
gives rise to species-specific skills and interacts with language processes. In
particular, multiple studies show that hand-related expressions - verbal units
evoking manual activity - variously affect concurrent manual actions, yielding
apparently controversial results (interference, facilitation, or null effects) in
varied time windows. Through a systematic review of 108 experiments, we show that
such effects are driven by several factors, such as the level of verbal
processing, action complexity, and the time-lag between linguistic and motor
processes. We reconcile key empirical patterns by introducing the Hand-Action
Network Dynamic Language Embodiment (HANDLE) model, an integrative framework
based on neural coupling dynamics and predictive-coding principles. To conclude,
we assess HANDLE against the backdrop of other action-cognition theories,
illustrate its potential applications to understand high-level deficits in motor
disorders, and discuss key challenges for further development. In sum, our work
aligns with the 'pragmatic turn', moving away from passive and static
representationalist perspectives to a more dynamic, enactive, and embodied
conceptualization of cognitive processes.
PMID- 27189785
TI - Tris (dibenzylideneacetone) dipalladium: a small-molecule palladium complex is
effective in inducing apoptosis in chronic lymphocytic leukemia B-cells.
AB - Here we tested impact of Tris (dibenzylideneacetone) dipalladium (Tris-DBA) on
chronic lymphocytic leukemia (CLL) B-cell survival. Indeed, treatment of CLL B
cells with Tris-DBA induced apoptosis in a dose-dependent manner irrespective of
IgVH mutational status. Further analyses suggest that Tris-DBA-induced apoptosis
involves reduced expression of the anti-apoptotic proteins Bcl-xL, and XIAP with
an upregulation of the pro-apoptotic protein BIM in CLL B-cells. Our findings
also indicate that Tris-DBA targets the ribosomal protein (rp)-S6, an essential
component of the Akt/mTOR signaling axis in CLL B-cells. Of interest, CLL bone
marrow stromal cells were unable to protect the leukemic B cells from Tris-DBA
induced apoptosis in an in vitro co-culture system. Finally, co-administration of
Tris-DBA and the purine nucleoside analog fludarabine (F-ara-A) augmented CLL B
cell apoptosis levels in vitro showing synergistic effects. In total, Tris-DBA is
effective at inducing apoptosis in CLL B-cells even in the presence of stromal
cells likely by targeting directly the signal mediator, rpS6.
PMID- 27189788
TI - Theories, timing and choice of audience: some key tensions in health psychology
and a response to commentaries on Ogden (2016).
PMID- 27189786
TI - Multicolor 4D Fluorescence Microscopy using Ultrathin Bessel Light Sheets.
AB - We demonstrate a simple and efficient method for producing ultrathin Bessel ('non
diffracting') light sheets of any color using a line-shaped beam and an annulus
filter. With this robust and cost-effective technology, we obtained two-color, 3D
images of biological samples with lateral/axial resolution of 250 nm/400 nm, and
high-speed, 4D volume imaging of 20 MUm sized live sample at 1 Hz temporal
resolution.
PMID- 27189787
TI - Diversity in plant hydraulic traits explains seasonal and inter-annual variations
of vegetation dynamics in seasonally dry tropical forests.
AB - We assessed whether diversity in plant hydraulic traits can explain the observed
diversity in plant responses to water stress in seasonally dry tropical forests
(SDTFs). The Ecosystem Demography model 2 (ED2) was updated with a trait-driven
mechanistic plant hydraulic module, as well as novel drought-phenology and plant
water stress schemes. Four plant functional types were parameterized on the basis
of meta-analysis of plant hydraulic traits. Simulations from both the original
and the updated ED2 were evaluated against 5 yr of field data from a Costa Rican
SDTF site and remote-sensing data over Central America. The updated model
generated realistic plant hydraulic dynamics, such as leaf water potential and
stem sap flow. Compared with the original ED2, predictions from our novel trait
driven model matched better with observed growth, phenology and their variations
among functional groups. Most notably, the original ED2 produced unrealistically
small leaf area index (LAI) and underestimated cumulative leaf litter. Both of
these biases were corrected by the updated model. The updated model was also
better able to simulate spatial patterns of LAI dynamics in Central America.
Plant hydraulic traits are intercorrelated in SDTFs. Mechanistic incorporation of
plant hydraulic traits is necessary for the simulation of spatiotemporal patterns
of vegetation dynamics in SDTFs in vegetation models.
PMID- 27189791
TI - Korean red ginseng extract enhances paclitaxel distribution to mammary tumors and
its oral bioavailability by P-glycoprotein inhibition.
AB - 1. Drug efflux by P-glycoprotein (P-gp) is a common resistance mechanism of
breast cancer cells to paclitaxel, the primary chemotherapy in breast cancer. As
a means of overcoming the drug resistance-mediated failure of paclitaxel
chemotherapy, the potential of Korean red ginseng extract (KRG) as an adjuvant
chemotherapy has been reported only in in vitro. Therefore, we assessed whether
KRG alters P-gp mediated paclitaxel efflux, and therefore paclitaxel efficacy in
in vitro and vivo models. 2. KRG inhibited P-gp protein expression and
transcellular efflux of paclitaxel in MDCK-mdr1 cells, but KRG was not a
substrate of P-gp ATPase. In female rats with mammary tumor, the combination of
paclitaxel with KRG showed the greater reduction of tumor volumes, lower P-gp
protein expression and higher paclitaxel distribution in tumors, and greater oral
bioavailability of paclitaxel than paclitaxel alone. 3. From these results, KRG
increased systemic circulation of oral paclitaxel and its distribution to tumors
via P-gp inhibition in rats and under the current study conditions.
PMID- 27189792
TI - Malignant cerebral swelling following cranioplasty.
AB - Over the past few years there have been a number of case reports and small cohort
studies that have described so called "malignant" cerebral swelling following an
uneventful cranioplasty procedure. The pathophysiology remains to be established
however it has been suggested that it may be related to a combination of failure
of autoregulation and the use of closed vacuum suction drainage. The current
study presents three further patients who had had a decompressive hemicraniectomy
for ischaemic stroke. If decompressive craniectomy is utilised in the management
of neurological emergencies, close attention and wider reporting of this type of
complication is required not only to focus attention on possible management
strategies, but also to determine which patients are at most risk of this
devastating complication.
PMID- 27189793
TI - Comparison of self-determination of students with disabilities: multivariate and
discriminant function analyses.
AB - BACKGROUND: Self-determined behaviour is composed of multiple, interrelated
component elements, and yet little empirical study has researched the self
determination components other than choice making and goal setting. Also, few
theoretical relationships have been drawn between the component elements of self
determined behaviour and the impact of disability category. Therefore, this study
examined profiles of the combination of three self-report measures of component
elements of self-determined behaviour (autonomous functioning, problem solving
and internal locus of control) between two groups (ID and learning
disabilities/emotional disorders). METHOD: We analysed data from 96 middle school
and high school students ages 13 through 22 years who completed three self-report
instruments of the Autonomy - section 1 of The Arc's Self-determination Scale,
the Problem Solving Survey and the Nowicki-Strickland Locus of Control Scale. A
multivariate analysis of covariance was conducted to investigate the differences
between the two groups after controlling for the developmental effects of age. A
discriminant function analysis examined whether membership of the two groups
could be predicted from the three component elements. RESULTS: Results showed
that each group had different profiles within the combined three component
elements of self-determination but groups were not different on any single
measure of component elements of self-determined behaviour exclusively. The
combination of three variables was useful in confirming the membership of two
dichotomous groups. CONCLUSIONS: Score differences on the three component
behaviour imply that the two groups have different instructional needs and
therefore require differentiated instructional approaches. The three measures of
the component elements of self-determined behaviour collectively separate the two
groups, suggesting that the component elements should be considered in a
combination as opposed to being treated as individual elements in the context of
discussing self-determined behaviour.
PMID- 27189794
TI - Enhanced Performance by Enlarged Nano-pores of Holly Leaf-derived Lamellar Carbon
for Sodium-ion Battery Anode.
AB - Lamellar hard carbon derived from holly leaf with enlarged pores of tiny graphite
like domains and meso-pores was prepared by hydrothermal followed high
temperature pyrolysis process. Benefiting from the enlarged nano-pores of tiny
graphite-like domains and the thin sheet structure with meso-pores, the derived
carbon delivered a high reversible capacity of 318 mAh g(-1) at a current rate of
20 mA g(-1) and excellent rate capability as the anode of sodium-ion battery. And
the hydrothermal followed high temperature pyrolysis method was also confirmed an
effective approach for betula platyphylla and sophora japonica leaf as precursor
respectively to synthesis hard carbon of lamellar structure with enlarged nano
pores of tiny graphite-like domains.
PMID- 27189795
TI - Relational Patterns and the Development of the Alliance: A Systematic Comparison
of two Cases.
AB - : A systematic case study approach was taken to explore the impact of client and
therapist relational patterns on the development of the therapeutic alliance and
symptom reduction in two cases of psychodynamic psychotherapy treated by the same
therapist. The cases were selected from a larger sample and represent two
distinct trajectories of alliance development: improvement versus deterioration.
The comparison was based on participants' ongoing narratives about each other and
about significant others, using the Relationship Anecdote Paradigm (RAP)
interview. The qualitative findings were triangulated with process and outcome
measures assessed at four time points during the year of treatment. We
hypothesized that different therapeutic processes, including different handling
by the therapist of interpersonal difficulties as they arose in treatment, could
explain the two distinct trajectories of alliance development and symptom change
within the caseload of one therapist. Results indicate two linked elements that
may explain a steady increase in alliance and decrease in symptoms in one case,
compared with the second case that started with an increase in alliance and
symptom improvement, but gradually reached an impasse and a setback in symptoms.
One element was the extent to which client's and therapist's relational patterns
clashed, impacting each other negatively. The second was the extent to which
differences and disagreements were stated openly and negotiated so that the
therapist could flexibly adapt to meet the client's relational patterns in one
case versus inability to do so in the other. Implications for training and other
psychotherapy orientations are discussed. Copyright (c) 2016 John Wiley & Sons,
Ltd. KEY PRACTITIONER MESSAGE: The interaction of client and therapist relational
patterns may be a key factor in the development of the therapeutic alliance and
might potentially impact client outcome. Therapeutic practice will likely be
improved if therapists are more aware of their own relational patterns and the
ways these interact with their clients' relational patterns. Striving for this
awareness should probably be a main focal point for therapists throughout their
careers, in their training, supervisions and personal therapies.
PMID- 27189796
TI - A reappraisal of clinical research on arterial stiffness in hypertension in
France.
PMID- 27189797
TI - Baroreflex activation therapy: future use as therapy for severe or resistant
hypertension?
PMID- 27189798
TI - Cystic fibrosis.
AB - Cystic fibrosis is an autosomal recessive, monogenetic disorder caused by
mutations in the cystic fibrosis transmembrane conductance regulator (CFTR) gene.
The gene defect was first described 25 years ago and much progress has been made
since then in our understanding of how CFTR mutations cause disease and how this
can be addressed therapeutically. CFTR is a transmembrane protein that transports
ions across the surface of epithelial cells. CFTR dysfunction affects many
organs; however, lung disease is responsible for the vast majority of morbidity
and mortality in patients with cystic fibrosis. Prenatal diagnostics, newborn
screening and new treatment algorithms are changing the incidence and the
prevalence of the disease. Until recently, the standard of care in cystic
fibrosis treatment focused on preventing and treating complications of the
disease; now, novel treatment strategies directly targeting the ion channel
abnormality are becoming available and it will be important to evaluate how these
treatments affect disease progression and the quality of life of patients. In
this Primer, we summarize the current knowledge, and provide an outlook on how
cystic fibrosis clinical care and research will be affected by new knowledge and
therapeutic options in the near future. For an illustrated summary of this
Primer, visit: http://go.nature.com/4VrefN.
PMID- 27189799
TI - Efficient plasma-enhanced method for layered LiNi1/3Co1/3Mn1/3O2 cathodes with
sulfur atom-scale modification for superior-performance Li-ion batteries.
AB - In order to improve the electrochemical performance of LiNi1/3Co1/3Mn1/3O2 as a
lithium insertion positive electrode material, atom-scale modification was
realized to obtain the layered oxysulfide LiNi1/3Co1/3Mn1/3O2-xSx using a novel
plasma-enhanced doping strategy. The structure and electrochemical performance of
LiNi1/3Co1/3Mn1/3O2-xSx are investigated systematically, which confirms that the
S doping can make the structure stable and benefit the electrochemical
performance. The phys-chemical characterizations indicate that oxygen atoms in
the initial LiNi1/3Co1/3Mn1/3O2 have been partially replaced by S atoms. It
should be pointed out that the atom-scale modification does not significantly
alter the intrinsic structure of the cathode. Compared to the pristine material,
the LiNi1/3Co1/3Mn1/3O2-xSx shows a superior performance with a higher capacity
(200.4 mA h g(-1)) and a significantly improved cycling stability (maintaining
94.46% of its initial discharge capacity after 100 cycles). Moreover, it has an
excellent rate performance especially at elevated performance, which is probably
due to the faster Li(+) transportation after S doping into the layered structure.
All the results show that the atom-scale modification with sulfur atoms on
LiNi1/3Co1/3Mn1/3O2, which significantly improved the electrochemical
performance, offers a novel anionic doping strategy to realize the atom-scale
modification of electrode materials to improve their electrochemical performance.
PMID- 27189800
TI - Matching relations for optimal entanglement concentration and purification.
AB - The bilateral controlled NOT (CNOT) operation plays a key role in standard
entanglement purification process, but the CNOT operation may not be the optimal
joint operation in the sense that the output entanglement is maximized. In this
paper, the CNOT operations in both the Schmidt-projection based entanglement
concentration and the entanglement purification schemes are replaced with a
general joint unitary operation, and the optimal matching relations between the
entangling power of the joint unitary operation and the non-maximal entangled
channel are found for optimizing the entanglement in- crement or the output
entanglement. The result is somewhat counter-intuitive for entanglement
concentration. The output entanglement is maximized when the entangling power of
the joint unitary operation and the quantum channel satisfy certain relation.
There exist a variety of joint operations with non-maximal entangling power that
can induce a maximal output entanglement, which will greatly broaden the set of
the potential joint operations in entanglement concentration. In addition, the
entanglement increment in purification process is maximized only by the joint
unitary operations (including CNOT) with maximal entangling power.
PMID- 27189801
TI - 2015 Nepal Earthquake: Analysis of Child Rescue and Treatment by a Field
Hospital.
AB - OBJECTIVE: To retrospectively analyze the rescue and treatment of pediatric
patients by the Chinese Red Cross medical team during the Nepal earthquake
relief. METHODS: The medical team set up a field hospital; the pediatric clinic
consisted of 1 pediatrician and several nurses. Children younger than 18 years
old were placed in the pediatric clinic for injury examination and treatment.
RESULTS: During the 7-day period of medical assistance (the second to third week
after the earthquake), a total of 108 pediatric patients were diagnosed and
treated, accounting for 2.8% of the total patients. The earthquake-related
injuries mainly required surgical dressing and debridement. No severe limb
fractures or traumatic brain injuries were found. Infection of the respiratory
tract, the gastrointestinal tract, and the skin were the most common ailments,
accounting for 42.3%, 18.5%, and 16.7%, respectively, of the total treated
patients. CONCLUSION: Two to 3 weeks after the earthquake, the admitted pediatric
patients mainly displayed respiratory and gastrointestinal infections. When
developing a rescue plan and arranging medical resources, we should consider the
necessity of treating non-disaster-related conditions. (Disaster Med Public
Health Preparedness. 2016;page 1 of 4).
PMID- 27189802
TI - Can Preconsent Eliminate Some Barriers to Clinical Trial Enrollment of Children
With Sickle Cell Disease in Crisis?
PMID- 27189803
TI - Dietary and pharmacological intervention to mitigate the cardiopulmonary effects
of air pollution toxicity.
AB - BACKGROUND: Exposure to air pollution contributes importantly to excess morbidity
and mortality. And while regulatory actions under the "Clean Air Act" have saved
millions of lives by improving air quality, there are still millions of people in
the U.S. who live in areas where particulate air pollution (PM) levels exceed the
U.S. Environmental Protection Agency's National Ambient Air Quality Standards.
Therefore, apart from such localities working to attain such standards the
protection of the health of public and in particular those at high risk might
benefit from interventional strategies that would ameliorate air pollution's
adverse health effects. Because inflammation and oxidative stress appear to
mediate the health effects of air pollution, one interventional approach to
consider is the use of dietary supplementation or medication with anti
inflammatory or antioxidant properties to block the biological responses that
initiate the pathophysiological process that culminates in adverse health
effects. SCOPE OF REVIEW: This article reviews the capability of dietary
supplementation, such as antioxidant vitamins, polyunsaturated fatty acids, and
medications as a strategy to mitigate air pollution-induced subclinical
cardiopulmonary effects. MAJOR CONCLUSIONS: Antioxidant vitamins C and E protect
the lungs against short-term ozone and PM exposure. Polyunsaturated fatty acids,
such as fish oil and olive oil appear to offer protection against short-term air
pollution-induced adverse cardiovascular responses. GENERAL SIGNIFICANCE: Taking
dietary supplements or medications with antioxidant or anti-inflammatory
properties has the potential to provide at least partial protection against air
pollution-induced adverse health effects in those individuals who are known to be
most susceptible, namely those with pre-existing respiratory and cardiovascular
diseases. This article is part of a Special Issue entitled Air Pollution, edited
by Wenjun Ding, Andrew J. Ghio and Weidong Wu.
PMID- 27189804
TI - A case of mistaken identity: CD11c-eYFP(+) cells in the normal mouse brain
parenchyma and neural retina display the phenotype of microglia, not dendritic
cells.
AB - Under steady-state conditions the central nervous system (CNS) is traditionally
thought to be devoid of antigen presenting cells; however, putative dendritic
cells (DCs) expressing enhanced yellow fluorescent protein (eYFP) are present in
the retina and brain parenchyma of CD11c-eYFP mice. We previously showed that
these mice carry the Crb1(rd8) mutation, which causes retinal dystrophic lesions;
therefore we hypothesized that the presence of CD11c-eYFP(+) cells within the CNS
may be due to pathology associated with the Crb1(rd8) mutation. We generated
CD11c-eYFP Crb1(wt/wt) mice and compared the distribution and immunophenotype of
CD11c-eYFP(+) cells in CD11c-eYFP mice with and without the Crb1(rd8) mutation.
The number and distribution of CD11c-eYFP(+) cells in the CNS was similar between
CD11c-eYFP Crb1(wt/wt) and CD11c-eYFP Crb1(rd8/rd8) mice. CD11c-eYFP(+) cells
were distributed throughout the inner retina, and clustered in brain regions that
receive input from the external environment or lack a blood-brain barrier. CD11c
eYFP(+) cells within the retina and cerebral cortex of CD11c-eYFP Crb1(wt/wt)
mice expressed CD11b, F4/80, CD115 and Iba-1, but not DC or antigen presentation
markers, whereas CD11c-eYFP(+) cells within the choroid plexus and pia mater
expressed CD11c, I-A/I-E, CD80, CD86, CD103, DEC205, CD8alpha and CD135. The
immunophenotype of CD11c-eYFP(+) cells and microglia within the CNS was similar
between CD11c-eYFP Crb1(wt/wt) and CD11c-eYFP Crb1(rd8/rd8) mice; however, CD11c
and I-A/I-E expression was significantly increased in CD11c-eYFP Crb1(rd8/rd8)
mice. This study demonstrates that the overwhelming majority of CNS CD11c-eYFP(+)
cells do not display the phenotype of DCs or their precursors and are most likely
a subpopulation of microglia. GLIA 2016. GLIA 2016;64:1331-1349.
PMID- 27189806
TI - Post-traumatic growth following a first episode of psychosis: a scoping review.
AB - AIM: A first-episode psychosis (FEP) is a traumatic experience that can often
result in great suffering. However, in addition to suffering, persons affected by
FEP may also experience post-traumatic growth (PTG) or the perception that good
has followed psychosis. Although much is known concerning the negative outcomes
following FEP, little attention has been given to the state of scientific
knowledge on PTG following FEP. The aim of this study is to determine the state
of knowledge concerning PTG following FEP to help set the stage for a full
systematic review. METHODS: A scoping review was conducted following six steps:
identifying the research question and relevant studies, selecting studies,
charting the data, coding and summarizing results and consulting with relevant
stakeholders regarding the findings. RESULTS: Post-traumatic growth following FEP
was described mostly as following the process of recovery and primarily, in
qualitative articles. Themes related to PTG included developing positive
character traits, making positive lifestyle changes, developing stronger
connections with others, integrating the FEP with the self, experiencing greater
religiosity and appreciating life more. CONCLUSIONS: In addition to the negative
aftermath of FEP, PTG may also occur. Evidence of PTG following FEP will be
examined in a systematic review focused on the recovery and qualitative
literature.
PMID- 27189807
TI - Mussel-Inspired Antibacterial and Biocompatible Silver-Carbon Nanotube
Composites: Green and Universal Nanointerfacial Functionalization.
AB - Nanointerfacial decoration of silver nanoparticles (AgNPs) is an ideal protocol
to improve the antibacterial efficiency of diverse nanomaterials, including
carbon nanotube (CNT), graphene, and many other intensively studied
nanoarchitectures, which provides a tremendous possibility for designing advanced
antibacterial biomaterials and biomedical devices. However, the direct exposure
of AgNPs will lead to potential mammalian cell apoptosis and death, which
significantly limits their biological applications. In this study, we
demonstrated a green and one-step approach to achieve robust antibacterial and
highly biocompatible AgNP-CNT composites. AgNPs were produced via mussel-inspired
"one-step" in situ reduction and coating process and were anchored onto the
surface of a CNT. Simultaneously, protective polymer layers were formed to shield
the AgNPs to improve their biocompatibility. Because of the bactericidal
efficiency of AgNPs, the composites showed robust antibacterial efficiency in
terms of both inhibition of bacterial cell growth and bacterial killing activity.
Moreover, owing to the shielding effects of the polymer coatings, the
nanocomposites exhibited much improved compatibility with human umbilical vein
endothelial cells compared with bare AgNP-CNTs. Furthermore, the nanocomposites
exhibited good stability in psychological solutions. With integrated excellent
antibacterial activity, cell compatibility, and long-term stability, it is
believed that the synthesized AgNP-CNT composites will be of promising potential
in antibacterial applications. Meanwhile, the proposed strategies can also be
applied to fabricate many other kinds of AgNP-based composites because of the
versatile functionality of catecholic polymers.
PMID- 27189805
TI - VEGF-B inhibits hyperglycemia- and Macugen-induced retinal apoptosis.
AB - Vascular endothelial growth factor B (VEGF-B) was discovered a long time ago.
However, its role in hyperglycemia- and VEGF-A inhibition-induced retinal
apoptosis remains unknown thus far. Yet, drugs that can block VEGF-B are being
used to treat patients with diabetic retinopathy and other ocular neovascular
diseases. It is therefore urgent to have a better understanding of the function
of VEGF-B in these pathologies. Here, we report that both streptozotocin (STZ)
induced diabetes in rats and Macugen intravitreal injection in mice leads to
retinal apoptosis in retinal ganglion cell and outer nuclear layers respectively.
Importantly, VEGF-B treatment by intravitreal injection markedly reduced retinal
apoptosis in both models. We further reveal that VEGF-B and its receptors,
vascular endothelial growth factor 1 (VEGFR1) and neuropilin 1 (NP1), are
abundantly expressed in rat retinae and choroids and are upregulated by high
glucose with concomitant activation of Akt and Erk. These data highlight an
important function of VEGF-B in protecting retinal cells from apoptosis induced
by hyperglycemia and VEGF-A inhibition. VEGF-B may therefore have a therapeutic
potential in treating various retinal degenerative diseases, and modulation of
VEGF-B activity in the eye needs careful consideration.
PMID- 27189809
TI - Does the diameter of abdominal aortic aneurysm influence late survival following
abdominal aortic aneurysm repair? A systematic review and meta-analysis.
AB - BACKGROUND: Studies reporting the influence of preoperative abdominal aortic
aneurysm diameter on late survival following abdominal aortic aneurysm repair
have not been consistent. AIM: To report the influence of abdominal aortic
aneurysm diameter on overall long-term survival following abdominal aortic
aneurysm repair. METHODS: Embase, Medline and the Cochrane electronic databases
were searched to identify articles reporting the influence of abdominal aortic
aneurysm diameter on late survival following open aneurysm repair and
endovascular aneurysm repair published up to April 2015. Data were extracted from
multivariate analysis; estimated risks were expressed as hazard ratio. RESULTS: A
total of 2167 titles/abstracts were retrieved, of which 76 studies were fully
assessed; 19 studies reporting on 22,104 patients were included. Preoperative
larger abdominal aortic aneurysm size was associated with a worse survival
compared to smaller aneurysms with a pooled hazard ratio of 1.14 (95% CI: 1.09
1.18), per 1 cm increase in abdominal aortic aneurysm diameter. Subgroup analysis
of the different types of repair was performed and the hazard ratio (95% CI), for
open aneurysm repair and endovascular aneurysm repair were 1.08 (1.03-1.12) and
1.20 (1.15-1.25), respectively, per 1 cm increase. There was a significant
difference between the groups p < 0.02. CONCLUSIONS: This meta-analysis suggests
that preoperative large abdominal aortic aneurysm independently influences
overall late survival following abdominal aortic aneurysm repair, and this
association was greater in abdominal aortic aneurysm repaired with endovascular
aneurysm repair.
PMID- 27189810
TI - Multistate empirical valence bond study of temperature and confinement effects on
proton transfer in water inside hydrophobic nanochannels.
AB - Microscopic characteristics of an aqueous excess proton in a wide range of
thermodynamic states, from low density amorphous ices (down to 100 K) to high
temperature liquids under the critical point (up to 600 K), placed inside
hydrophobic graphene slabs at the nanometric scale (with interplate distances
between 3.1 and 0.7 nm wide) have been analyzed by means of molecular dynamics
simulations. Water-proton and carbon-proton forces were modeled with a multistate
empirical valence bond method. Densities between 0.07 and 0.02 A(-3) have been
considered. As a general trend, we observed a competition between effects of
confinement and temperature on structure and dynamical properties of the lone
proton. Confinement has strong influence on the local structure of the proton,
whereas the main effect of temperature on proton properties is observed on its
dynamics, with significant variation of proton transfer rates, proton diffusion
coefficients, and characteristic frequencies of vibrational motions. Proton
transfer is an activated process with energy barriers between 1 and 10 kJ/mol for
both proton transfer and diffusion, depending of the temperature range considered
and also on the interplate distance. Arrhenius-like behavior of the transfer
rates and of proton diffusion are clearly observed for states above 100 K.
Spectral densities of proton species indicated that in all states Zundel-like and
Eigen-like complexes survive at some extent. (c) 2016 Wiley Periodicals, Inc.
PMID- 27189808
TI - ApoE4 expression accelerates hippocampus-dependent cognitive deficits by
enhancing Abeta impairment of insulin signaling in an Alzheimer's disease mouse
model.
AB - The apolipoprotein E4 (ApoE4) is the strongest genetic risk factor for
Alzheimer's disease (AD). The AD brain was shown to be insulin resistant at end
stage, but the interplay between insulin signaling, ApoE4 and Abeta across time,
and their involvement in memory decline is unclear. To investigate insulin
response in the ageing mouse hippocampus, we crossed the human ApoE-targeted
replacement mice with the mutant human amyloid precursor protein (APP) mice
(ApoExAPP). While hippocampal Abeta levels were comparable between ApoE3xAPP and
ApoE4xAPP mice at 26 weeks, insulin response was impaired in the ApoE4xAPP
hippocampus. Insulin treatment was only able to stimulate insulin signaling and
increased AMPA-GluR1 phosphorylation in forskolin pre-treated hippocampal slices
from ApoE3xAPP mice. In ApoE4xAPP mice, insulin dysfunction was also associated
with poorer spatial memory performance. Using dissociated hippocampal neuron in
vitro, we showed that insulin response in ApoE3 and ApoE4 neurons increased AMPA
receptor-mediated miniature excitatory postsynaptic current (mEPSC) amplitudes
and GluR1-subunit insertion. Pre-treatment of ApoE3 neurons with Abeta42 did not
affect insulin-mediated GluR1 subunit insertion. However, impaired insulin
sensitivity observed only in the presence of ApoE4 and Abeta42, attenuated GluR1
subunit insertion. Taken together, our results suggest that ApoE4 enhances Abeta
inhibition of insulin-stimulated AMPA receptor function, which accelerates memory
impairment in ApoE4xAPP mice.
PMID- 27189811
TI - Rhodium(I)-Catalyzed Benzannulation of Heteroaryl Propargylic Esters: Synthesis
of Indoles and Related Heterocycles.
AB - A de novo synthesis of a benzene ring allows for the preparation of a diverse
range of heterocycles including indoles, benzofurans, benzothiophenes,
carbazoles, and dibenzofurans from simple heteroaryl propargylic esters using a
unified carbonylative benzannulation strategy. Multiple substituents can be
easily introduced to the C4-C7 positions of indoles and related heterocycles.
PMID- 27189812
TI - Biologic Variability of Soluble ST2 in Patients With Stable Chronic Heart Failure
and Implications for Monitoring.
AB - Soluble ST2 (sST2) is a novel biomarker implicated in myocardial remodeling and
fibrosis. Recent studies in normal subjects have suggested that the biologic
variability (BV) of sST2 is significantly lower than that of the B-type
natriuretic peptides and N-terminal pro B-type natriuretic peptide (NTproBNP). It
may, consequently, be a better biomarker for monitoring patients with chronic
heart failure (CHF). To date, no published studies have examined the BV of sST2
in a heart failure population. Blood samples from 50 outpatients with
pharmacologically optimized stable CHF and persistent left ventricular
dysfunction (ejection fraction <40%) were collected at baseline, 1 hour, 1 month,
3 months, and 6 months. Using log-transformed data, mean intra-individual
coefficients of variation (CVI) and subsequent reference change values were
calculated for both NTproBNP and sST2. Results demonstrate significantly lower
CVI and reference change values for sST2 compared with NTproBNP at 1 month (12.02
[36%] vs 36.75 [103%]), p <0.001, 3 months (12.23 [36%] vs 40.98 [114%]), p
<0.001, and 6 months (16.41 [47%] vs 46.02 [128%]), p <0.001. In conclusion, the
BV of sST2 is significantly lower than that of NTproBNP in patients with CHF.
These results support previous indications that sST2 may be a better biomarker
for monitoring such patients.
PMID- 27189813
TI - Abundance and Significance of Iron, Zinc, Copper, and Calcium in the Hearts of
Patients With Friedreich Ataxia.
AB - Cardiomyopathy is a frequent cause of death in patients with Friedreich ataxia
(FA), and a characteristic pathological feature is the focal accumulation of iron
(Fe) in cardiomyocytes. This restricted localization of the metal contrasts with
the diffuse cardiac Fe overload in hemochromatosis and transfusion siderosis.
Nevertheless, heart Fe in FA contributes to cardiomyocyte necrosis, inflammation,
and scarring as the disease progresses. A putative mechanism of cardiomyopathy in
FA is Fe-mediated oxidative damage. Two other transition metals zinc (Zn) and
copper (Cu), are diffusely distributed throughout normal hearts and the hearts of
patients with FA. The myocardium in FA is also prone to deposits of calcium in
the form of scattered concretions. In this study, heart tissues (left and right
ventricular walls and ventricular septum) of 23 patients with genetically
confirmed FA and 8 normal controls were obtained at autopsy and analyzed for Fe,
Zn, Cu, and calcium. The principal assay methods were inductively coupled plasma
optical emission spectrometry and plasma mass spectrometry. Total levels of Fe in
bulk extracts were not significantly higher than normal, and the concentrations
of Zn also remained in the normal range. Cu levels, however, were significantly
lower in FA. In conclusion, the decrease of Cu may be important in consideration
of the potential benefit of Cu supplements in FA cardiomyopathy.
PMID- 27189815
TI - Prediction of Appropriate Shocks Using 24-Hour Holter Variables and T-Wave
Alternans After First Implantable Cardioverter-Defibrillator Implantation in
Patients With Ischemic or Nonischemic Cardiomyopathy.
AB - In patients treated with implantable cardioverter defibrillator (ICD), prediction
of both overall survival and occurrence of shocks is important if improved
patient selection is desired. We prospectively studied the predictive value of
biomarkers and indexes of cardiac and renal function and spectral microvolt T
wave alternans testing and 24-hour Holter variables in a population who underwent
first ICD implantation. Consecutive patients in sinus rhythm with ischemic or
dilated cardiomyopathy scheduled for primary or secondary prophylactic ICD
implantation were enrolled. Exercise microvolt T-wave alternans and 24-hour
Holter for number of ventricular premature contractions (VPCs), deceleration
capacity, heart rate variability, and heart rate turbulence were done. Death of
any cause and first appropriate ICD shock were defined as end points. Over 33 +/-
15 months of follow-up, 36 of 253 patients (14%) received appropriate shocks and
39 of 253 patients (15%) died. Only 3 of 253 patients (1%) died after receiving
at least 1 appropriate shock. In univariate analyses, New York Heart Association
class, ejection fraction, N-terminal pro brain-type natriuretic peptide (NT
proBNP), renal function, ICD indication, deceleration capacity, heart rate
variability, and heart rate turbulence were predictive of all-cause mortality and
VPC number and deceleration capacity predicted first appropriate shock. NT-proBNP
(>=1,600 pg/ml) was identified as the only independent predictor of all-cause
mortality (hazard ratio 3.0, confidence interval 1.3 to 7.3, p = 0.014). In
contrast, VPC number predicted appropriate shocks (hazard ratio 2.3, confidence
interval 1.0 to 5.5, p = 0.047) as the only independent risk marker. In
conclusion, NT-proBNP is a strong independent predictor of mortality in a typical
prospective cohort of newly implanted patients with ICD, among many
electrocardiographic and clinical variables studied. Number of VPCs was
identified as a predictor of appropriate shocks (clinicaltrials.gov:
NCT02010515).
PMID- 27189814
TI - Effect of Hepatitis C Positivity on Survival in Adult Patients Undergoing Heart
Transplantation (from the United Network for Organ Sharing Database).
AB - Concerns exist regarding orthotropic heart transplantation in hepatitis C virus
(HCV) seropositive recipients. Thus, a national registry was accessed to evaluate
early and late outcome in HCV seropositive recipients undergoing heart
transplant. Retrospective analysis of the United Network for Organ Sharing
registry (1991 to 2014) was performed to evaluate recipient profile and clinical
outcome of patients with HCV seropositive (HCV +ve) and seronegative (HCV -ve).
Adjusted results of early mortality and late survival were compared between
cohorts. From 23,507 patients (mean age 52 years; 75% men), 481 (2%) were HCV +ve
(mean age 52 years; 77% men). Annual proportion of HCV +ve recipients was
comparable over the study period (range 1.3% to 2.7%; p = 0.2). The HCV +ve
cohort had more African-American (22% vs 17%; p = 0.01), previous left
ventricular assist device utilization (21% vs 14%; p <0.01) and more hepatitis B
core Ag+ve recipients (17% vs 5%; p <0.01). However, both cohorts were comparable
in terms of extracorporeal membrane oxygenator usage (p = 0.7), inotropic support
(p = 0.2), intraaortic balloon pump (p = 0.7) support, serum creatinine (p =
0.7), and serum bilirubin (p = 0.7). Proportion of status 1A patients was similar
(24% HCV + vs 21% HCV -); however, wait time for HCV +ve recipients were longer
(mean 23 vs 19 days; p <0.01). Among donor variables, age (p = 0.8), hepatitis B
status (p = 0.4), and Center for Diseases Control high-risk status (p = 0.9) were
comparable in both cohorts. At a median follow-up of 4 years, 67% patients were
alive, 28% died, and 1.1% were retransplanted (3.4% missing). Overall survival
was worse in the HCV+ cohort (64.3% vs 72.9% and 43.2% vs 55% at 5 and 10 years;
p <0.01), respectively. Late renal (odds ratio [OR] 1.2 [1 to 1.6]; p = 0.02) and
liver dysfunction (odds ratio 4.5 [1.2 to 15.7]; p = 0.01) occurs more frequently
in HCV +ve recipients. On adjusted analysis, HCV seropositivity is associated
with poorer survival (hazard ratio for mortality 1.4 [1.1 to 1.6]; p <0.001). In
conclusion, a small proportion of patients receiving a heart transplant in the
United States have hepatitis C. Despite comparable preoperative hepatic function,
hepatitis C seropositive recipients demonstrate poorer long-term survival.
PMID- 27189816
TI - Validation of the 2014 European Society of Cardiology Sudden Cardiac Death Risk
Prediction Model in Hypertrophic Cardiomyopathy in a Reference Center in South
America.
AB - Sudden cardiac death (SCD) is a common cause of death in hypertrophic
cardiomyopathy (HC). Our aim was to conduct an external and independent
validation in South America of the 2014 European Society of Cardiology (ESC) SCD
risk prediction model to identify patients requiring an implantable cardioverter
defibrillator. This study included 502 consecutive patients with HC followed from
March, 1993 to December, 2014. A combined end point of SCD or appropriate
implantable cardioverter defibrillator therapy was assessed. For the quantitative
estimation of individual 5-year SCD risk, we used the formula: 1 -
0.998(exp(Prognostic index)). Our database also included the abnormal blood
pressure response to exercise as a risk marker. We analyzed the 3 categories of 5
year risk proposed by the ESC: low risk (LR) <4%; intermediate risk (IR) >=4% to
<6%, and high risk (HR) >=6%. The LR group included 387 patients (77%); the IR
group 39 (8%); and the HR group 76 (15%). Fourteen patients (3%) had
SCD/appropriate implantable cardioverter defibrillator therapy (LR: 0%; IR: 2 of
39 [5%]; and HR: 12 of 76 [16%]). In a receiver-operating characteristic curve,
the new model proved to be an excellent predictor because the area under the
curve for the estimated risk is 0.925 (statistical C: 0.925; 95% CI 0.8884 to
0.9539, p <0.0001). In conclusion, the SCD risk prediction model in HC proposed
by the 2014 ESC guidelines was validated in our population and represents an
improvement compared with previous approaches. A larger multicenter, independent
and external validation of the model with long-term follow-up would be advisable.
PMID- 27189817
TI - Corrigendum.
AB - Deceptive meaning of oxygen uptake measured at the anaerobic threshold in
patients with systolic heart failure and atrial fibrillation by Magri et al.,
European Journal of Preventive Cardiology 2015 22: 1046-1055, DOI:
10.1177/2047487314551546.
PMID- 27189818
TI - All-inorganic colloidal silicon nanocrystals-surface modification by boron and
phosphorus co-doping.
AB - Si nanocrystals (Si-NCs) with extremely heavily B- and P-doped shells are
developed and their structural and optical properties are studied. Unlike
conventional Si-NCs without doping, B and P co-doped Si-NCs are dispersible in
alcohol and water perfectly without any surface functionalization processes. The
colloidal solution of co-doped Si-NCs is very stable and no precipitates are
observed for more than 5 years. The co-doped colloidal Si-NCs exhibit size
controllable photoluminescence (PL) in a very wide energy range covering 0.85 to
1.85 eV. In this paper, we summarize the structural and optical properties of co
doped Si-NCs and demonstrate that they are a new type of environmentally-friendly
nano-light emitter working in aqueous environments in the visible and near
infrared (NIR) ranges.
PMID- 27189820
TI - Women's health: a new global agenda.
AB - Robyn Norton is co-founder and Principal Director of The George Institute for
Global Health (Australia), a not-for-profit medical research institute that aims
to increase the provision of safe, effective and affordable healthcare,
especially for disadvantaged populations worldwide. She is Professor of Global
Health and James Martin Fellow at the University of Oxford (UK), Professor of
Public Health at the University of Sydney (Australia) and Honorary Professor at
Peking University (China). Professor Norton is internationally regarded for her
research on the causes, prevention and management of injuries and the management
of various critical conditions in surgical and intensive care settings. She has
had a long-standing commitment to improving women's health, particularly in
resource-poor environments.
PMID- 27189819
TI - The Relationship Between Gene Polymorphisms and Dipping Profile in Patients With
Coronary Heart Disease.
AB - BACKGROUND: The aim of this study is to report the relationship between certain
single-nucleotide polymorphisms (SNPs) and blunted nighttime blood pressure (BP)
fall in patients with coronary artery disease confirmed by coronary angiography.
METHODS: According to the percentage decrease in mean systolic BP (SBP) and
diastolic BP (DBP) during the nighttime period, subjects were classified as
dippers or nondippers (nighttime relative SBP or DBP decline >=10% and <10%,
respectively). Genetic risk score (GRS18) was constructed to evaluate additive
effect of 18 SNPs for nondipping status. RESULTS: In the present study, 1,345
subjects with coronary heart disease (CHD) were included. During follow-up period
(median 8.3 years, interquartile range 5.3-9.0 years), there were 245 all-cause
deaths (18.2%) including 114 cardiovascular deaths (8.5%). There were significant
differences in the number of revascularizations between nondippers SBP and DBP
and dippers SBP and DBP (48.0% vs. 36.4%, P < 0.01). SNPs of the genes, MIA3,
MRAS, PCSK9, SMG6, and ZC3HC1, were related to a higher risk of nondipping SBP
and DBP status. CONCLUSIONS: In the present study, polymorphisms of genes related
to CHD (MIA3, MRAS, PCSK9, SMG6, and ZC3HC1) were associated with nondipping SBP
and DBP profile, and GRS18 was associated with nondipping status. In addition,
this profile was related to a higher risk of revascularization.
PMID- 27189821
TI - Anorexia nervosa.
AB - Anorexia nervosa (AN) is a psychiatric condition characterized by severe weight
loss and secondary problems associated with malnutrition. AN predominantly
develops in adolescence in the peripubertal period. Without early effective
treatment, the course is protracted with physical, psychological and social
morbidity and high mortality. Despite these effects, patients are noted to value
the beliefs and behaviours that contribute to their illness rather than regarding
them as problematic, which interferes with screening, prevention and early
intervention. Involving the family to support interventions early in the course
of the illness can produce sustained changes; however, those with a severe and/or
protracted illness might require inpatient nursing support and/or outpatient
psychotherapy. Prevention programmes aim to moderate the overvaluation of
'thinness' and body dissatisfaction as one of the proximal risk factors. The low
prevalence of AN limits the ability to identify risk factors and to study the
timing and sex distribution of the condition. However, genetic profiles,
premorbid features, and brain structures and functions of patients with AN show
similarities with other psychiatric disorders and contrast with obesity and
metabolic disorders. Such studies are informing approaches to address the
neuroadaptation to starvation and the other various physical and psychosocial
deficits associated with AN. This Primer describes the epidemiology, diagnosis,
screening and prevention, aetiology, treatment and quality of life of patients
with AN.
PMID- 27189822
TI - An efficient protocol to enhance the extracellular production of recombinant
protein from Escherichia coli by the synergistic effects of sucrose, glycine, and
Triton X-100.
AB - Targeting recombinant proteins at highly extracellular production in the culture
medium of Escherichia coli presents a significant advantage over cytoplasmic or
periplasmic expression. In this work, a recombinant protein between ZZ protein
and alkaline phosphatase (rZZ-AP) was constructed. Because rZZ-AP has the IgG
binding capacity and enzymatic activity, it can serve as an immunoreagent in
immunoassays. However, only a very small portion of rZZ-AP is generally secreted
into the aqueous medium under conventional cultivation procedure. Hence, we
emphasized on the optimization of the culture procedures and attempted to
dramatically enhance the yield of extracellular rZZ-AP from E. coli HB101 host
cells by adding sucrose, glycine, and Triton X-100 in the culture medium. Results
showed that the extracellular production of rZZ-AP in the culture medium
containing 5% sucrose, 1% glycine, and 1% Triton X-100 was 18.6 mg/l, which was
18.6-fold higher than that without the three chemicals. And the beta
galactosidase activity test showed that the increased extracellular rZZ-AP was
not due to cell lysis. Further analysis suggested a significant interaction
effect among the three chemicals for the enhancement of extracellular production.
Ultrastructural analysis indicated that the enhancement may be due to the
influence of sucrose, glycine, and Triton X-100 on the periplasmic osmolality,
permeability, or integrity of the cell wall, respectively. This proposed approach
presents a simple strategy to enhance the extracellular secretion of recombinant
proteins in the E. coli system at the process of cell cultivation.
PMID- 27189823
TI - Evaluation of the Melanocytic Pathology Assessment Tool and Hierarchy for
Diagnosis (MPATH-Dx) classification scheme for diagnosis of cutaneous melanocytic
neoplasms: Results from the International Melanoma Pathology Study Group.
AB - BACKGROUND: Pathologists use diverse terminology when interpreting melanocytic
neoplasms, potentially compromising quality of care. OBJECTIVE: We sought to
evaluate the Melanocytic Pathology Assessment Tool and Hierarchy for Diagnosis
(MPATH-Dx) scheme, a 5-category classification system for melanocytic lesions.
METHODS: Participants (n = 16) of the 2013 International Melanoma Pathology Study
Group Workshop provided independent case-level diagnoses and treatment
suggestions for 48 melanocytic lesions. Individual diagnoses (including, when
necessary, least and most severe diagnoses) were mapped to corresponding MPATH-Dx
classes. Interrater agreement and correlation between MPATH-Dx categorization and
treatment suggestions were evaluated. RESULTS: Most participants were board
certified dermatopathologists (n = 15), age 50 years or older (n = 12), male (n =
9), based in the United States (n = 11), and primary academic faculty (n = 14).
Overall, participants generated 634 case-level diagnoses with treatment
suggestions. Mean weighted kappa coefficients for diagnostic agreement after
MPATH-Dx mapping (assuming least and most severe diagnoses, when necessary) were
0.70 (95% confidence interval 0.68-0.71) and 0.72 (95% confidence interval 0.71
0.73), respectively, whereas correlation between MPATH-Dx categorization and
treatment suggestions was 0.91. LIMITATIONS: This was a small sample size of
experienced pathologists in a testing situation. CONCLUSION: Varying diagnostic
nomenclature can be classified into a concise hierarchy using the MPATH-Dx
scheme. Further research is needed to determine whether this classification
system can facilitate diagnostic concordance in general pathology practice and
improve patient care.
PMID- 27189824
TI - Standardized laboratory monitoring with use of isotretinoin in acne.
AB - BACKGROUND: Laboratory monitoring for adverse effects to isotretinoin occurs with
variability. Standardization of laboratory monitoring practices represents an
opportunity to improve quality of care. OBJECTIVE: We sought to develop an
evidence-based approach to laboratory monitoring of patients receiving
isotretinoin therapy for acne. METHODS: We reviewed laboratory data from 515
patients with acne undergoing 574 courses of isotretinoin from March 2003 to July
2011. Frequency, timing, and severity of abnormalities were determined. RESULTS:
Clinically insignificant leukopenia or thrombocytopenia occurred in 1.4% and 0.9%
of patients, respectively. Elevated liver transaminases were detected
infrequently and not significantly increased compared with baseline detection
rates (1.9% vs 1.6% at baseline). Significant elevations occurred with
triglyceride (19.3%) and cholesterol (22.8%) levels. The most severe
abnormalities were grade 2 (moderate). Mean duration of treatment before
abnormalities were detected was 56.3 days for hypertriglyceridemia, 61.9 days for
alanine transaminitis, and 50.1 days for hypercholesterolemia. LIMITATIONS: This
was a single-center experience examining variable isotretinoin laboratory
monitoring practices. CONCLUSIONS: In healthy patients with normal baseline lipid
panel and liver function test results, repeated studies should be performed after
2 months of isotretinoin therapy. If findings are normal, no further testing may
be required. Routine complete blood cell count monitoring is not recommended.
PMID- 27189825
TI - OPA-15406, a novel, topical, nonsteroidal, selective phosphodiesterase-4 (PDE4)
inhibitor, in the treatment of adult and adolescent patients with mild to
moderate atopic dermatitis (AD): A phase-II randomized, double-blind, placebo
controlled study.
AB - BACKGROUND: Peripheral leukocytes in patients with atopic dermatitis (AD) have
elevated phosphodiesterase-4 activity, which is associated with production of
proinflammatory mediators. OPA-15406 is a phosphodiesterase-4 inhibitor with high
selectivity for phosphodiesterase-4-B. OBJECTIVES: We sought to assess
effectiveness and tolerability of topical OPA-15406 in patients with AD. METHODS:
This was a randomized, double-blind, vehicle-controlled, phase-II study. Patients
10 to 70 years of age with mild or moderate AD received topical OPA-15406 0.3% (n
= 41), OPA-15406 1% (n = 43), or vehicle (n = 37) twice daily for 8 weeks.
RESULTS: The primary end point, Investigator Global Assessment of Disease
Severity score of 0 or 1 with greater than or equal to 2-grade reduction, was met
at week 4 in the OPA-15406 1% group (P = .0165 vs vehicle). Mean percentage
improvement from baseline Eczema Area and Severity Index score for OPA-15406 1%
was notable in week 1 (31.4% vs 6.0% for vehicle; P = .0005), even larger in week
2 (39.0% vs 3.0%; P = .0001), and persisted for 8 weeks. Visual analog scale
pruritus scores improved from moderate to mild within the first week in the OPA
15406 1% group (36.4% mean change; P = .0011). OPA-15406 levels in blood were
negligible. Incidence of adverse events was low, with most events mild in
intensity. LIMITATIONS: Further confirmatory phase-III studies are required.
CONCLUSION: OPA-15406 ointment may provide an effective therapeutic modality for
patients with mild to moderate AD.
PMID- 27189826
TI - Urocanic Acid: An Endogenous Regulator of Langerhans Cells.
PMID- 27189827
TI - Atopic Dermatitis Is Associated with Less Physical Activity in US Adults.
PMID- 27189829
TI - Binding Affinity and Interaction of LL-37 with HLA-C*06:02 in Psoriasis.
PMID- 27189828
TI - MYD88 Somatic Mutation Is a Diagnostic Criterion in Primary Cutaneous Large B
Cell Lymphoma.
PMID- 27189830
TI - Semidominant Inheritance in Olmsted Syndrome.
PMID- 27189831
TI - Clonal dissemination of linezolid-resistant Staphylococcus haemolyticus
harbouring a G2576T mutation and the cfr gene in an Indian hospital.
PMID- 27189832
TI - Contralateral risk reducing mastectomy in patients with sporadic breast cancer.
Benefits and hazards.
AB - During the last years an improving outcome of breast conserving surgery was
observed along with the availability of diagnostic procedures allowing early
diagnosis. Nevertheless, women with breast cancer are more frequently requesting
a radical approach comprising contralateral mastectomy even if they do not have a
demonstrated increased genetic risk. In this paper we reviewed the main reasons
patients ask for aggressive preventive surgery, the perceived and the real risks
of developing contralateral breast cancer, and the potential, if any, survival
benefits along with the hazards associated to contralateral risk reducing
mastectomy. A respectful management should be given to these women within a multi
disciplinary team. Psychological support is highly encouraged cope fears and
uncertainties but treating physicians should provide patients with comprehensive
and unbiased data to take the best decision for the single person. Physicians
should also give clear information on the benefits of adjuvant therapies which
are reducing the incidence of contralateral breast cancer and also of the
possible influences of healthy lifestyle (weight control, physical activity,
diet) as effective preventive methods.
PMID- 27189833
TI - Positron emission tomography (18)F-fluorodeoxyglucose uptake and prognosis in
patients with bone and soft tissue sarcoma: A meta-analysis.
AB - PURPOSE: To investigate the prognostic significance of (18)F-FDG PET imaging in
patients with bone and soft tissue sarcoma, a meta-analysis was conducted.
METHODS: Comprehensive literature searches were performed in PubMed, Embase, Web
of Science and Cochrane Library. Pooled hazard ratio (HR) values were calculated
to assess the correlations of pre-chemotherapy SUV (SUV1), post-chemotherapy SUV
(SUV2), SUV Ratio, total lesion glycolysis (TLG) and metabolic tumor volume (MTV)
with event-free survival (EFS) and overall survival (OS). RESULTS: Twenty-three
studies with 1261 patients were identified. The combined HRs for EFS were 1.84
(95% CI: 1.54-2.20) for SUV1, 2.92 (95% CI: 2.15-3.97) for SUV2, 1.90 (95% CI:
1.43-2.52) for SUV Ratio, 3.01 (95% CI: 1.36-6.67) for TLG and 2.32 (95% CI: 1.44
3.75) for MTV. The pooled HRs for OS were 1.85 (95% CI: 1.49-2.30) for SUV1, 2.00
(95% CI: 1.39-2.88) for SUV2, 2.20 (95% CI: 1.18-4.10) for SUV Ratio, 6.19 (95%
CI: 2.17-17.66) for TLG and 2.67 (95% CI: 1.52-4.68) for MTV. Besides, high SUV1
was found to be significantly associated with higher rate of metastasis (RR 5.55,
95% CI: 2.75-11.18) and local recurrence (RR 1.87 95% CI: 1.28-2.72). CONCLUSION:
(18)F-FDG PET parameters of SUV1, SUV2, SUV Ratio, TLG and MTV may have effective
prognostic significance for patients with bone and soft tissue sarcoma. (18)F-FDG
PET imaging may be a promising tool to help predict survival outcomes of these
patients.
PMID- 27189835
TI - Corrigendum.
AB - Pedro-Rosa, L.; Buckner, F.; Ranade, R. M.; et al. Identification of Potent
Inhibitors of the Trypanosoma brucei Methionyl-tRNA Synthetase via High
Throughput Orthogonal Screening. J Biomol Screen 2015: , 20, 122-130. (Original
DOI: 10.1177/1087057114548832).
PMID- 27189834
TI - Tin phosphide-based anodes for sodium-ion batteries: synthesis via solvothermal
transformation of Sn metal and phase-dependent Na storage performance.
AB - There is a great deal of current interest in the development of rechargeable
sodium (Na)-ion batteries (SIBs) for low-cost, large-scale stationary energy
storage systems. For the commercial success of this technology, significant
progress should be made in developing robust anode (negative electrode) materials
with high capacity and long cycle life. Sn-P compounds are considered promising
anode materials that have considerable potential to meet the required performance
of SIBs, and they have been typically prepared by high-energy mechanical milling.
Here, we report Sn-P-based anodes synthesised through solvothermal transformation
of Sn metal and their electrochemical Na storage properties. The temperature and
time period used for solvothermal treatment play a crucial role in determining
the phase, microstructure, and composition of the Sn-P compound and thus its
electrochemical performance. The Sn-P compound prepared under an optimised
solvothermal condition shows excellent electrochemical performance as an SIB
anode, as evidenced by a high reversible capacity of ~560 mAh g(-1) at a current
density of 100 mA g(-1) and cycling stability for 100 cycles. The solvothermal
route provides an effective approach to synthesising Sn-P anodes with controlled
phases and compositions, thus tailoring their Na storage behaviour.
PMID- 27189836
TI - Mean Platelet Volume, Platelet Distribution Width, and Platelet Count in
Varicocele: A Systematic Review and Meta-Analysis.
AB - BACKGROUND/AIMS: The aim of this study was to elucidate the usefulness of
platelet indices, mean platelet volume (MPV), platelet distribution width (PDW),
and platelet count in diagnosis and monitoring of varicocele. METHODS: The
current study included 525 patients and 379 healthy subjects from five eligible
studies. We performed meta-analysis of MPV, PDW, and platelet count and mean
differences in these platelet indices between healthy subjects and varicocele
patients. RESULTS: The pooled MPVs were 8.168 fL (95% confidence interval [CI]
7.589 to 8.747) and 8.801 fL (95% CI 8.028 to 9.574) in healthy subjects and
varicocele patients, respectively. The pooled mean difference in MPV between
healthy subjects and varicocele patients was 0.834 fL in case-control studies
(95% CI 0.195 to 1.473, P = 0.011). In both healthy subjects and varicocele
patients, low platelet count subgroups showed higher MPV than high platelet count
subgroups. The mean difference in MPV was higher in low platelet count subgroup.
There was no significant difference in PDW between healthy subjects and
varicocele patients. CONCLUSION: Taken together, our data showed that platelet
count was significantly lower in varicocele patients than in healthy subjects.
Varicocele patients showed significantly higher MPV and lower platelet count than
healthy subjects. MPV levels of patients differed according to platelet counts.
PMID- 27189838
TI - "Weariness" and "unpleasantness" reduce adherence to branched-chain amino acid
granules among Japanese patients with liver cirrhosis: results of a single-center
cross-sectional survey.
AB - AIM: Branched-chain amino acids (BCAA) are valuable in the treatment of liver
cirrhosis because they increase serum albumin levels. Poor adherence to BCAA may
adversely affect prognosis, but little is known about factors predicting
adherence. We undertook a survey of patients prescribed BCAA for the treatment of
cirrhosis. METHODS: Pharmacists carried out face-to-face interviews with patients
(or their representatives) prescribed any of nine BCAA formulations. Question
categories included patient characteristics, prescription of BCAA granules, and
perceptions of BCAA administration, including adherence and possible factors that
might impact adherence. "Poor adherence" was defined as "not taking the
medication appropriately" or "forgetting to take the medication". RESULTS:
Overall, 253 patients (or representatives) completed the survey, of whom 135 were
men, 114 were women, and 148 were >=70 years old. Most patients (163) were
prescribed BCAA for >=2 years and were using three packs per day. Thirty-two
patients did not take their medication appropriately and 69 sometimes forgot to
administer it. Weariness of taking the medication (P < 0.001) and the perceived
unpleasantness (P = 0.023) of the medication in terms of its taste and volume
were significantly associated with poor adherence. The patients reported that the
most influential educators were general practitioners, followed by certified
hepatologists, then pharmacists. CONCLUSION: Most patients had good adherence to
BCAA in clinical practice. Poor adherence was associated with weariness with
taking medication, and the unpleasantness of the medication itself. Patient
education from general practitioners and hepatologists combined with adherence
counseling from pharmacists may help improve adherence.
PMID- 27189839
TI - Breastfeeding beyond infancy: a concept analysis.
AB - AIM: To report an analysis of the concept of breastfeeding beyond infancy.
BACKGROUND: The World Health Organization and Health Canada recommends
breastfeeding is sustained until 2 years old and beyond. However, little is known
about breastfeeding a child beyond 12 months old. Breastfeeding beyond infancy is
defined as any breastfeeding over the age of 12 months with no parameters placed
on who initiates the weaning process. DESIGN: Rodger's framework of concept
analysis was used to organize and guide data analysis. DATA SOURCES: A
historical, systematic search of the literature up until 2014 was conducted using
the search terms: breastfeeding OR breast feeding OR breast-feeding AND
sustained, extended, prolonged, long-term and duration. METHODS: Literature was
selected according to whether breastfeeding beyond 12 months old was described or
analysed. All study designs and observational, descriptive and opinion papers
were included in the initial screenings. RESULTS: Four characteristics were
chosen as essential attributes of breastfeeding beyond infancy: health benefits,
maternal profiles, parenting style and experiences. Consequences included stigma
and secrecy. Additionally, the role of the nurse in supporting breastfeeding
beyond infancy was explored. CONCLUSIONS: The practice of breastfeeding beyond
infancy is entrenched in parenting beliefs that serve to address the child's
needs by fostering an intimate and attached relationship between the mother and
child. Many women conceal their breastfeeding behaviour to protect themselves
from unsolicited judgement and comments. Nurses are well-served to understand,
support and promote the practice of breastfeeding beyond infancy to ensure the
bio-psycho-social health of their clients.
PMID- 27189840
TI - Phytochemical profile of three Ballota species essential oils and evaluation of
the effects on human cancer cells.
AB - Three Ballota species, Ballota undulata, Ballota saxatilis and Ballota nigra ssp.
foetida, were investigated for their cytotoxicity against two human cancer cells,
hepatoma HepG2 cell line and breast cancer MCF-7 cell line, and for their
antioxidant activity. The chemical composition of essential oils was studied by
GC and GC-MS. Sesquiterpenes were the main constituents. The most
antiproliferative essential oil against HepG2 cells was B. undulata with a
percentage of inhibition of 81.36 +/- 3.54 at a concentration of 100 MUg/mL,
while against MCF-7 cells essential oil from B. saxatilis was the most active
with a percentage of inhibition of 24.18 +/- 1.13 at a concentration of 100
MUg/mL. The antioxidant activity was investigated by DPPH test for all the oils.
B. undulata showed the highest antiradical effect, with IC50 value of 529.7 +/-
37.4 MUg/mL.
PMID- 27189837
TI - Urokinase links plasminogen activation and cell adhesion by cleavage of the RGD
motif in vitronectin.
AB - Components of the plasminogen activation system including urokinase (uPA), its
inhibitor (PAI-1) and its cell surface receptor (uPAR) have been implicated in a
wide variety of biological processes related to tissue homoeostasis. Firstly, the
binding of uPA to uPAR favours extracellular proteolysis by enhancing cell
surface plasminogen activation. Secondly, it promotes cell adhesion and
signalling through binding of the provisional matrix protein vitronectin. We now
report that uPA and plasmin induces a potent negative feedback on cell adhesion
through specific cleavage of the RGD motif in vitronectin. Cleavage of
vitronectin by uPA displays a remarkable receptor dependence and requires
concomitant binding of both uPA and vitronectin to uPAR Moreover, we show that
PAI-1 counteracts the negative feedback and behaves as a proteolysis-triggered
stabilizer of uPAR-mediated cell adhesion to vitronectin. These findings identify
a novel and highly specific function for the plasminogen activation system in the
regulation of cell adhesion to vitronectin. The cleavage of vitronectin by uPA
and plasmin results in the release of N-terminal vitronectin fragments that can
be detected in vivo, underscoring the potential physiological relevance of the
process.
PMID- 27189841
TI - Evaluation of thermal conductivity and flexural strength properties of
poly(methyl methacrylate) denture base material reinforced with different
fillers.
AB - STATEMENT OF PROBLEM: Poly(methyl methacrylate) (PMMA) is widely used in
prosthodontics as a denture base material. However, it has several disadvantages,
including low strength and low thermal conductivity. PURPOSE: The purpose of this
in vitro study was to evaluate thermal conductivity and flexural strength after
adding powdered Ag, TiO2, ZrO2, Al2O3, SiC, SiC-nano, Si3N4, and HA-nano in
ratios of 10 wt% to PMMA. MATERIAL AND METHODS: A total of 144 specimens were
fabricated and divided into 18 groups. Specimens were left in water for 30 days.
Thermal conductivity values were measured using a heat flowmeter, flexural
strength was measured with a 3-point bend test, and specimens were investigated
with environmental scanning electron microscopy. One-way ANOVA was used to
compare means followed by using Duncan multiple range test (alpha=.05). RESULTS:
The thermal conductivity value of PMMA increased significantly after the addition
of Si3N4, SiC, Al2O3, SiC-nano, TiO2, ZrO2, HA-nano, and Ag. Progressive
increases in thermal conductivity were observed in Si3N4, SiC, and Al2O3 fillers.
Flexural strength values of the control group were not significantly different
from those of the SiC, Al2O3, or Ag group (P>.05). In the other groups, flexural
strength values decreased significantly (P<.05). On the basis of electron
microscopy, we observed that Si3N4, SiC, and Al2O3 powders had higher thermal
conductivity values that are dissipated more homogeneously in PMMA. CONCLUSIONS:
Although the addition of 10 wt% SiC, Al2O3, and Ag powder to PMMA significantly
increased thermal conductivity, the flexural strength values of PMMA were not
significantly changed.
PMID- 27189842
TI - Cross-linked Composite Gel Polymer Electrolyte using Mesoporous Methacrylate
Functionalized SiO2 Nanoparticles for Lithium-Ion Polymer Batteries.
AB - Liquid electrolytes composed of lithium salt in a mixture of organic solvents
have been widely used for lithium-ion batteries. However, the high flammability
of the organic solvents can lead to thermal runaway and explosions if the system
is accidentally subjected to a short circuit or experiences local overheating. In
this work, a cross-linked composite gel polymer electrolyte was prepared and
applied to lithium-ion polymer cells as a safer and more reliable electrolyte.
Mesoporous SiO2 nanoparticles containing reactive methacrylate groups as cross
linking sites were synthesized and dispersed into the fibrous polyacrylonitrile
membrane. They directly reacted with gel electrolyte precursors containing
tri(ethylene glycol) diacrylate, resulting in the formation of a cross-linked
composite gel polymer electrolyte with high ionic conductivity and favorable
interfacial characteristics. The mesoporous SiO2 particles also served as HF
scavengers to reduce the HF content in the electrolyte at high temperature. As a
result, the cycling performance of the lithium-ion polymer cells with cross
linked composite gel polymer electrolytes employing methacrylate-functionalized
mesoporous SiO2 nanoparticles was remarkably improved at elevated temperatures.
PMID- 27189843
TI - Increased prevalence of Pneumocystis jirovecii colonisation in acute pulmonary
exacerbations of cystic fibrosis.
AB - OBJECTIVES: This study examined the prevalence of Pneumocystis jirovecii in the
sputum of adults with cystic fibrosis during clinical stability and acute
pulmonary exacerbation. METHODS: This was a prospective, longitudinal
observational study of patients attending the Manchester Adult Cystic Fibrosis
Centre. Sputum samples were analysed for P. jirovecii DNA using PCR at enrolment
and up to 5 follow-up visits. Patients were classified as stable or exacerbating
using a modified Fuch's pulmonary exacerbation score. RESULTS: 226 samples were
tested from 111 patients. P. jirovecii was more likely to be detected in samples
at acute pulmonary exacerbation (7/76 (9.2%)) compared with stable visits (3/150
(2%)), p = 0.03. P. jirovecii was detected less frequently if patients had
received co-trimoxazole within 3 months of sample collection (0% versus 29.7%, p
= 0.03). CONCLUSIONS: Prevalence of P. jirovecii in stable patients is low, but
P. jirovecii is detected in approximately 1 in 10 patients experiencing an acute
pulmonary exacerbation.
PMID- 27189844
TI - Healing of tibial bone tunnels after bone grafting for staged revision anterior
cruciate ligament surgery: A prospective computed tomography analysis.
AB - AIM: To quantify healing of tibial bone tunnels after bone grafting in two-stage
ACL reconstruction revision. METHODS: Ten consecutive patients underwent
autogenous bone grafting prior to ACL reconstruction revision (four females and
six males, average age 28years). The indications for two-stage surgery were as
follows: (1) the enlargement of the tibial tunnel aperture was >20mm in diameter
or, (2) the existing tunnel was overlapped with the optimal tunnel and positioned
more than a half tunnel diameter posterior to the optimal position. An autogenous
iliac bone block was driven into a new tunnel. CT examinations were performed at
three, 12 and 24weeks after bone grafting. Evaluations were performed on 15 axial
planes at one-millimeter intervals from the articular surface perpendicular to
the long axis of the tibia using the following three parameters: occupying ratio
(OR), union ratio (UR), and bone mineral density (BMD) of grafted bone. RESULTS:
The average ORs were 81, 85 and 94%, and the average URs were 49, 75 and 89% at
three, 12 and 24weeks, respectively. Each parameter significantly increased over
time. The average BMD was 510 and 571mg/cm(3) at 12 and 24weeks, respectively,
with a significantly higher value at 24weeks. CONCLUSION: The average ORs, URs
and BMD at 24weeks after bone grafting were higher than those at 12weeks, which
suggests that at 24weeks after bone grating, the condition of the patients' beds
becomes favorable for safe implantation and fixation of ACL graft revision. LEVEL
OF EVIDENCE: Case series Level IV.
PMID- 27189845
TI - Reply to "The origin of the abnormal muscle response seen in hemifacial spasm
remains controversial".
PMID- 27189846
TI - Reply to "The usefulness of diffusion tensor tractography for estimating the
state of corticobulbar tract in stroke patients".
PMID- 27189847
TI - Spatial characteristics of professional tennis serves with implications for
serving aces: A machine learning approach.
AB - This study sought to determine the features of an ideal serve in men's
professional tennis. A total of 25,680 first serves executed by 151 male tennis
players during Australian Open competition were classified as either aces or
returned into play. Spatiotemporal (impact location, speed, projection angles,
landing location and relative player locations) and contextual (score) features
of each serve were extracted from Hawk-Eye data and used to construct a
classification tree model (with decision rules) that predicted serve outcome. k
means clustering was applied to the landing locations to quantify optimal landing
locations for aces. The classification tree revealed that (1) serve
directionality, relative to the returner; (2) the ball's landing proximity to the
nearest service box line and (3) serve speed classified aces with an accuracy of
87.02%. Hitting aces appeared more contingent on accuracy than speed, with serves
directed >5.88 degrees from the returner and landing <15.27 cm from a service
box line most indicative of an ace. k-means clustering revealed four distinct
locations (~0.73 m wide * 2.35 m deep) in the corners of the service box that
corresponded to aces. These landing locations provide empirically derived target
locations for players to adhere to during practice and competition.
PMID- 27189849
TI - Battle of the experts: Providers - 1, Government - 0!
PMID- 27189848
TI - An integrative review of physical activity/exercise intervention effects on
function and health-related quality of life in older adults with heart failure.
AB - This paper reviews randomized, controlled trials (RCTs) that have used a physical
activity/exercise intervention in older adults with heart failure and reported
outcomes of physical function and/or health-related quality of life. An
integrative review was necessary because a literature search indicated no reviews
have been done regarding these outcomes which are deemed very important by the
older adult population. Computerized database search strategies by authors
between 2002 and 2015 resulted in 163 studies, with 12 meeting inclusion
criteria. Interventions were performed in clinic and home-based, group and/or
individual settings with durations from three to 12 months. Interventions were
varied. Common methodological weaknesses of the studies include lack of theory
guiding the intervention, small sample and low minority representation. Strengths
included detailed intervention methods. There was a moderate effect of
interventions with no reported adverse effects. Further work is essential to
identify successful strategies to support older adults with heart failure to
increase their physical activity levels.
PMID- 27189850
TI - A proof-of-concept study of the VeinScrew: A new percutaneous venous closure
device.
AB - Objective This study evaluated the concept of percutaneous closure of
insufficient veins using the VeinScrew principle. Methods The VeinScrew is
designed to place a spring-shaped implant that contracts and clamps around the
vein. The ability of the device to occlude adequately was tested in a bench model
experiment. The feasibility of accurate placement and adequate venous occlusion
was evaluated in an animal experiment and in a human cadaveric experiment.
Results The VeinScrew implant occluded up to a pressure of 135 mmHg. In vivo
studies confirmed that deployment was challenging but technically feasible, and
subsequent phlebography showed closure of the vein. The cadaveric study showed
that percutaneous placement of the evolved VeinScrew around the great saphenous
vein was feasible and accurate. Conclusions The current studies show the
feasibility of the VeinScrew concept. Future developments and translational
studies are necessary to determine the potential of this technique as a new
option in the phlebologist's toolbox.
PMID- 27189851
TI - Vitiligo.
AB - Vitiligo is an acquired depigmenting disorder that affects 0.5% to 2% of the
world population. Three different forms are classified according to the
distribution of lesions; namely non-segmental, segmental and mixed vitiligo.
Vitiligo is associated with polymorphisms in genes involved in the immune
response and in melanogenesis. However, environmental factors are required for
the development of manifest disease. In general, the diagnosis is clinical and no
laboratory tests or biopsies are required. Metabolic alterations are central to
current concepts in pathophysiology. They induce an increased generation of
reactive oxygen species and susceptibility to mild exogenous stimuli in the
epidermis. This produces a senescent phenotype of skin cells, leads to the
release of innate immune molecules, which trigger autoimmunity, and ultimately
causes dysfunction and death of melanocytes. Clinical management aims to halt
depigmentation, and to either repigment or depigment the skin, depending on the
extent of disease. New therapeutic approaches include stimulation of melanocyte
differentiation and proliferation through alpha-melanocyte-stimulating hormone
analogues and through epidermal stem cell engineering. Several questions remain
unsolved, including the connection between melanocyte depletion and stem cell
exhaustion, the underlying degenerative mechanisms and the biological mediators
of cell death. Overall, vitiligo is an excellent model for studying degenerative
and autoimmune processes and for testing novel approaches in regenerative
medicine. For an illustrated summary of this Primer, visit:
http://go.nature.com/vIhFSC.
PMID- 27189852
TI - Paramedics' experiences of end-of-life care decision making with regard to
nursing home residents: an exploration of influential issues and factors.
AB - INTRODUCTION: For a patient nearing the end of his or her life, transfer from a
nursing home to the ED can be inappropriate, with potentially negative
consequences, but transfer in these circumstances is, regrettably, all too
common. There is a lack of published literature exploring how paramedics make
decisions in end-of-life care situations. This study aims to explore how
paramedics make decisions when asked to transport nursing home residents nearing
the end of their lives. METHODS: Phenomenological influenced design with a
pragmatic approach. Semi-structured face-to-face interviews were conducted with
six paramedics in an English NHS Ambulance Trust and subsequent data collected by
text message. Audio-recorded interviews were transcribed verbatim and analysed
using a thematic approach. RESULTS: Three themes emerged in relation to the
decision to transport patients from nursing homes to EDs in end-of-life care
situations. Paramedics identified difficulties in understanding nursing home
residents' wishes. When a patient no longer had the capacity for decision making,
paramedics' reasoning processes were aligned to best interest decision making,
weighing the risks and benefits of hospitalisation. Paramedics found it
challenging to balance patients' best interests with pressure from others:
nursing staff, patients' relatives and colleagues. CONCLUSIONS: A range of
factors influence paramedics' decisions to transport nursing home residents to
EDs in end-of-life care situations. Decision making became a process of
negotiation when the patient's perceived best interests conflicted with that of
others, resulting in contrasting approaches by paramedics. This paper considers
how paramedics might be better trained and supported in dealing with these
situations, with the aim of providing dignified and appropriate care to patients
as they reach the end of their lives.
PMID- 27189854
TI - Demographic correlates of medication knowledge in Hong Kong early psychosis
patients.
AB - AIM: Patient knowledge of prescribed medications is important for accurate
medication consumption. Not many studies have identified the demographic
correlates of medication knowledge in psychiatric patients, and fewer have
performed so for non-Western societies, which may present different results owing
to distinct cultural factors. Our objective was to identify the demographic
correlates of medication knowledge in early psychosis patients from Hong Kong.
METHODS: A short questionnaire comprising questions on six components of
medication knowledge was administered to 105 consecutive early psychosis patients
from an outpatient clinic in Hong Kong. A suite of patient demographics was
assembled from clinicians' records. RESULTS: Poor medication knowledge was
characterized by patients of older age (>30 years), low education level (<=Form
3), overall negative family relationships (as compared with overall positive
ones) and shorter treatment duration (<=4 years). Shorter treatment duration most
consistently predicted poor medication knowledge, displaying significant (P <
0.05) associations with four out of six knowledge components. Patients (54.3%)
did not know the English names of their medication. CONCLUSIONS: Specific groups
of early psychosis patients are at risk of having poor medication knowledge;
these individuals should be identified to receive regular health education.
Contrary to findings from non-psychosis groups, short treatment duration was
unequivocally associated with poorer medication knowledge in patients with early
psychosis. Local replacements for English medication names should be considered
in non-English speaking societies, especially in areas of mental health
treatment.
PMID- 27189855
TI - Nonadherence to therapy after adult solid organ transplantation: A focus on risks
and mitigation strategies.
PMID- 27189856
TI - Revision of the International Pharmaceutical Federation's Basel Statements on the
future of hospital pharmacy: From Basel to Bangkok.
AB - PURPOSE: The processes used to revise the 2008 Basel Statements on the future of
hospital pharmacy are summarized, and the revised statements are presented.
METHODS: The process for revising the Basel Statements followed an approach
similar to that used during their initial development. The Hospital Pharmacy
Section (HPS) of the International Pharmaceutical Federation (FIP) revised the
2008 FIP Basel Statements in four phases, including a survey of hospital
pharmacists worldwide, an internal review, online forums, and a face-to-face
"World Cafe" workshop in Bangkok, Thailand. RESULTS: The global survey on the
initial Basel Statements included input from 334 respondents from 62 countries.
The majority of respondents agreed that most of the initial Basel Statements were
acceptable as written and did not require revision. In total, 11 statements were
judged by more than 10% of respondents as needing revision or deletion. The FIP
HPS executive committee used the survey results to develop 69 initial revised
draft statements. After an online discussion with the international hospital
pharmacy community, including individuals from 28 countries representing all six
World Health Organization regions, a final set of draft statements was prepared
for the live discussion involving participants from 20 countries. The final 65
revised Basel Statements were voted on and accepted. CONCLUSION: Systematic
revision of the FIP Basel Statements resulted in an updated reflection of
aspirational goals for the future of hospital pharmacy practice. While this
revision reflects the development of new goals for hospital pharmacy practice,
the core principles of the Basel Statements remain an essential foundation for
the discipline.
PMID- 27189857
TI - Doxorubicin-loaded galactose-conjugated poly(d,l-lactide-co-glycolide)
nanoparticles as hepatocyte-targeting drug carrier.
AB - The objective of this work is to produce doxorubicin-loaded galactose-conjugated
poly(d,l-lactide-co-glycolide) (PLGA) nanoparticles (NPs) to be specifically
recognised by human hepatoma cellular carcinoma (Hep G2) cells and assess NPs
cytotoxicity. Doxorubicin-unloaded and doxorubicin-loaded galactose-conjugated
PLGA NPs were prepared using an emulsion method and characterised for morphology,
size, drug release behaviour, Hep G2 recognition and cell cytotoxicity. The
produced doxorubicin-loaded PLGA-galactose-conjugate nanoparticles (PLGA-GAL NPs)
are spherical in shape with a size of 365 +/- 74 nm, a drug encapsulation
efficiency of 69% and released in a biphasic pattern with higher release rates at
pH 5. In vitro cell studies confirmed the specific interaction between the
receptors of Hep G2 and the PLGA-GAL NPs. Cell cytotoxicity tests showed that
unloaded NPs are non-toxic and that doxorubicin-loaded NPs caused a cellular
viability decrease of around 80%, therefore representing a promising approach to
improve liver-specific drug delivery.
PMID- 27189858
TI - Immortalized bovine mammary epithelial cells express stem cell markers and
differentiate in vitro.
AB - The bovine mammary epithelial cell is a secretory cell, and its cell number and
secretory activity determine milk production. In this study, we immortalized a
bovine mammary epithelial cell line by SV40 large T antigen gene using a
retrovirus based on Chinese Holstein primary mammary epithelial cells (CMEC)
cultured in vitro. An immortalized bovine mammary epithelial cell line surpassed
the 50-passage mark and was designated the CMEC-H. The immortalized mammary
epithelial cells grew in close contact with each other and exhibited the typical
cobblestone morphology characteristic with obvious boundaries. The telomerase
expression of CMEC-H has consistently demonstrated the presence of telomerase
activity as an immortalized cell line, but the cell line never induced tumor
formation in nude mice. CMEC-H expressed epithelial (cytokeratins CK7, CK8, CK18,
and CK19), mesenchymal (vimentin), and stem/progenitor (CD44 and p63) cell
markers. The induced expression of milk proteins, alphaS1 -casein, beta-casein,
kappa-casein, and butyrophilin, indicated that CMEC-H maintained the synthesis
function of the mammary epithelial cells. The established immortalized bovine
mammary epithelial cell line CMEC-H is capable of self-renewal and
differentiation and can serve as a valuable reagent for studying the
physiological mechanism of the mammary gland.
PMID- 27189859
TI - Penfluridol suppresses pancreatic tumor growth by autophagy-mediated apoptosis.
AB - Pancreatic tumors exhibit enhanced autophagy as compared to any other cancer,
making it resistant to chemotherapy. We evaluated the effect of penfluridol
against pancreatic cancer. Penfluridol treatment induced apoptosis and inhibited
the growth of Panc-1, BxPC-3 and AsPC-1, pancreatic cancer cells with IC50
ranging between 6-7 MUM after 24 h of treatment. Significant autophagy was
induced by penfluridol treatment in pancreatic cancer cells. Punctate LC3B and
autophagosomes staining confirmed autophagy. Inhibiting autophagy by chloroquine,
bafilomycin, 3-methyladenine or LC3BsiRNA, significantly blocked penfluridol
induced apoptosis, suggesting that autophagy lead to apoptosis in our model.
Penfluridol treatment suppressed the growth of BxPC-3 tumor xenografts by 48% as
compared to 17% when treated in combination with chloroquine. Similarly,
penfluridol suppressed the growth of AsPC-1 tumors by 40% versus 16% when given
in combination with chloroquine. TUNEL staining and caspase-3 cleavage revealed
less apoptosis in the tumors from mice treated with penfluridol and chloroquine
as compared to penfluridol alone. Penfluridol treatment also suppressed the
growth of orthotopically implanted Panc-1 tumors by 80% by inducing autophagy
mediated apoptosis in the tumors. These studies established that penfluridol
inhibits pancreatic tumor growth by autophagy-mediated apoptosis. Since
penfluridol is already in clinic, positive findings from our study will
accelerate its clinical development.
PMID- 27189861
TI - The preservation of living cells with biocompatible microparticles.
AB - Biomedical applications of living cells have rapidly expanded in many fields such
as toxic detection, drug screening, and regenerative medicine, etc. Efficient
methods to support cell survival and maintain activity in vitro have become
increasingly important. However, traditional cryopreservation for living cell
based applications is limited by several problems. Here, we report that magnetic
hydrogel microparticles can physically assemble into a 3D environment for
efficient cell preservation in physiological conditions, avoiding any chemical
reactions that would damage the cells. Two representative cell lines (loosely and
firmly adherent) were tested to evaluate the versatility of this method. The
results showed that cell longevity was significantly extended to at least 15
days, while the control cell samples without microparticles quickly died within 3
days. Moreover, after preservation, cells can be easily retrieved by applying a
magnet to separate the magnetic particles. This strategy can also inhibit cell
over-proliferation while avoiding the use of temperature extremes or toxic
cryoprotectants that are essential in cryopreservation.
PMID- 27189853
TI - Microglia-Neuron Communication in Epilepsy.
AB - Epilepsy has remained a significant social concern and financial burden globally.
Current therapeutic strategies are based primarily on neurocentric mechanisms
that have not proven successful in at least a third of patients, raising the need
for novel alternative and complementary approaches. Recent evidence implicates
glial cells and neuroinflammation in the pathogenesis of epilepsy with the
promise of targeting these cells to complement existing strategies. Specifically,
microglial involvement, as a major inflammatory cell in the epileptic brain, has
been poorly studied. In this review, we highlight microglial reaction to
experimental seizures, discuss microglial control of neuronal activities, and
propose the functions of microglia during acute epileptic phenotypes, delayed
neurodegeneration, and aberrant neurogenesis. Future research that would help
fill in the current gaps in our knowledge includes epilepsy-induced alterations
in basic microglial functions, neuro-microglial interactions during chronic
epilepsy, and microglial contribution to developmental seizures. Studying the
role of microglia in epilepsy could inform therapies to better alleviate the
disease. GLIA 2016;65:5-18.
PMID- 27189862
TI - Prevalence of alpha(+)-Thalassemia in the Scheduled Tribe and Scheduled Caste
Populations of Damoh District in Madhya Pradesh, Central India.
AB - This study was carried out to ascertain the allelic frequency of alpha(+)
thalassemia (alpha(+)-thal) in Scheduled caste and scheduled tribe populations of
the Damoh district of Madhya Pradesh, India. Random blood samples of Scheduled
tribe (267) and Scheduled caste (168), considering the family as a sampling unit,
were analyzed for the presence of the -alpha(3.7) (rightward) (NG_000006.1:
g.34164_37967del3804) and -alpha(4.2) (leftward) (AF221717) deletions. alpha(+)
Thal was significantly higher in the Scheduled tribals (77.9%) as compared to the
scheduled caste population (9.0%). About 58.0% scheduled tribals carried at least
one chromosome with the -alpha(3.7) deletion and 20.0% scheduled tribals carried
the -alpha(4.2) deletion. Frequency for the -alpha(3.7) allele was 0.487 in the
scheduled tribal populations in comparison to 0.021 in scheduled castes. Allelic
frequency for -alpha(4.2) was 0.103 and 0.024, respectively, in the above
communities. No Hardy-Weinberg equilibrium for alpha-thal gene (p < 0.05) was
detected in the tribal population, indicating the presence of selection pressures
in favor of alpha-thal mutation and adaptation.
PMID- 27189860
TI - Blood group A and D negativity are associated with symptomatic West Nile virus
infection.
AB - BACKGROUND: West Nile virus (WNV) infection is mostly asymptomatic (AS) but 20%
of subjects report WNV fever and 1% of patients experience neurologic diseases
with higher rates in elderly and immunosuppressed persons. With no treatment and
no vaccine to prevent the development of symptomatic (S) infections, it is
essential to understand prognostic factors influencing S disease outcome. Host
genetic background has been linked to the development of WNV neuroinvasive
disease. This study investigates the association between the ABO and D blood
group status and WNV disease outcome. STUDY DESIGN AND METHODS: The distribution
of blood groups was investigated within a cohort of 374 WNV+ blood donors
including 244 AS and 130 S WNV+ blood donors. Logistic regression analyses were
used to examine associations between A, B, O, and D blood groups and WNV clinical
disease outcome. RESULTS: S WNV+ donors exhibited increased frequencies of blood
group A (S 47.6%, AS 36.8%, p = 0.04; odds ratio [OR], 1.56; 95% confidence
interval [CI], 1.01-2.40) and D- individuals (S 21.5%, AS 13.1%, p = 0.03; OR,
1.82; 95% CI, 1.04-3.18). CONCLUSION: The findings suggest a genetic
susceptibility placing blood group A and D- individuals at risk for the
development of S disease outcome after WNV infection.
PMID- 27189863
TI - Chronic obstructive pulmonary disease.
AB - Chronic obstructive pulmonary disease (COPD) is a common disease with high global
morbidity and mortality. COPD is characterized by poorly reversible airway
obstruction, which is confirmed by spirometry, and includes obstruction of the
small airways (chronic obstructive bronchiolitis) and emphysema, which lead to
air trapping and shortness of breath in response to physical exertion. The most
common risk factor for the development of COPD is cigarette smoking, but other
environmental factors, such as exposure to indoor air pollutants - especially in
developing countries - might influence COPD risk. Not all smokers develop COPD
and the reasons for disease susceptibility in these individuals have not been
fully elucidated. Although the mechanisms underlying COPD remain poorly
understood, the disease is associated with chronic inflammation that is usually
corticosteroid resistant. In addition, COPD involves accelerated ageing of the
lungs and an abnormal repair mechanism that might be driven by oxidative stress.
Acute exacerbations, which are mainly triggered by viral or bacterial infections,
are important as they are linked to a poor prognosis. The mainstay of the
management of stable disease is the use of inhaled long-acting bronchodilators,
whereas corticosteroids are beneficial primarily in patients who have coexisting
features of asthma, such as eosinophilic inflammation and more reversibility of
airway obstruction. Apart from smoking cessation, no treatments reduce disease
progression. More research is needed to better understand disease mechanisms and
to develop new treatments that reduce disease activity and progression.
PMID- 27189864
TI - Apoptosis of Corneal Epithelial Cells Caused by Ultraviolet B-induced Loss of
K(+) is Inhibited by Ba(2.).
AB - UVB exposure at ambient outdoor levels triggers rapid K(+) loss and apoptosis in
human corneal limbal epithelial (HCLE) cells cultured in medium containing 5.5 mM
K(+), but considerably less apoptosis occurs when the medium contains the high
K(+) concentration that is present in tears (25 mM). Since Ba(2+) blocks several
K(+) channels, we tested whether Ba(2+)-sensitive K(+) channels are responsible
for some or all of the UVB-activated K(+) loss and subsequent activation of the
caspase cascade and apoptosis. Corneal epithelial cells in culture were exposed
to UVB at 80 or 150 mJ/cm(2). Patch-clamp recording was used to measure UVB
induced K(+) currents. Caspase-activity and TUNEL assays were performed on HCLE
cells exposed to UVB followed by incubation in the presence or absence of Ba(2+).
K(+) currents were activated in HCLE cells following UVB-exposure. These currents
were reversibly blocked by 5 mM Ba(2+). When HCLE cells were incubated with 5 mM
Ba(2+) after exposure to UVB, activation of caspases-9, -8, and -3 and DNA
fragmentation were significantly decreased. The data confirm that UVB-induced
K(+) current activation and loss of intracellular K(+) leads to activation of the
caspase cascade and apoptosis. Extracellular Ba(2+) inhibits UVB-induced
apoptosis by preventing loss of intracellular K(+) when K(+) channels are
activated. Ba(2+) therefore has effects similar to elevated extracellular K(+) in
protecting HCLE cells from UVB-induced apoptosis. This supports our overall
hypothesis that elevated K(+) in tears contributes to protection of the corneal
epithelium from adverse effects of ambient outdoor UVB.
PMID- 27189866
TI - Contributions of the lower dimer to supramolecular actin patterning revealed by
TIRF microscopy.
AB - Two distinct dimers are formed during the initial steps of actin polymerization.
The first one, referred to as the 'lower dimer' (LD) was discovered many years
ago by means of chemical crosslinking. Owing to its transient nature, a
biological relevance had long been precluded when, using LD-specific antibodies,
we detected LD-like contacts in actin assemblies that are associated with the
endolysosomal compartment in a number of different cell lines. Moreover,
immunofluorescence showed the presence of LD-related structures at the cell
periphery of migrating fibroblasts, in the nucleus, and in association with the
centrosome of interphase cells. Here, we explore contributions of the LD to the
assembly of supramolecular actin structures in real time by total internal
reflection fluorescence (TIRF) microscopy. Our data shows that while LD on its
own cannot polymerize under filament forming conditions, it is able to
incorporate into growing F-actin filaments. This incorporation of LD triggers the
formation of X-shaped filament assemblies with barbed ends that are pointing in
the same direction in the majority of cases. Similarly, an increased frequency of
junction sites was observed when filaments were assembled in the presence of
oxidized actin. This data suggests that a disulfide bridge between Cys374
residues might stabilize LD-contacts. Based on our findings, we propose two
possible models for the molecular mechanism underlying the supramolecular actin
patterning in LD-related structures.
PMID- 27189867
TI - A multilayer micromechanical model of the cuticle of Curculio longinasus
Chittenden, 1927 (Coleoptera: Curculionidae).
AB - Curculio longinasus Chittenden, 1927 (Coleoptera: Curculionidae), is a weevil
species common throughout the southwestern United States that uses its rostrum -
a very slender, curved, beak-like projection of the head - to excavate tunnels in
plant organs (such as acorns) for egg laying (oviposition). Once the apical
portion of the rostrum has been inserted into the preferred substrate for
oviposition, the female begins rotating around the perimeter of the hole,
elevating her head by extending the fore-legs, and rotating the head in place in
a drilling motion. This action causes significant elastic deformation of the
rostrum, which will bend until it becomes completely straight. To better
understand the mechanical behavior of the cuticle as it undergoes deformation
during the preparation of oviposition sites, we develop a comprehensive
micro/macro model of the micromechanical structure and properties of the cuticle,
spanning across all cuticular regions, and reliably mirroring the resultant
macroscale properties of the cuticle. Our modeling approach relies on the use of
multi-scale, hierarchical biomaterial representation, and employs various
micromechanical schemata - e.g., Mori-Tanaka, effective field, and Maxwell - to
calculate the homogenized properties of representative volume elements at each
level in the hierarchy. We describe the configuration and behavior of this model
in detail, and discuss the theoretical implications and limitations of this
approach with emphasis on future biomechanical and comparative evolutionary
research. Our detailed account of this approach can thereby serve as a
methodological template for exploring the biomechanical behavior of new insect
structures.
PMID- 27189865
TI - Impact of Microbiome on Ocular Health.
AB - The ocular surface is continuously exposed to the environment and, therefore, it
is surprising that it harbors only few commensals with low degree of diversity.
This unique aspect of the ocular surface physiology prompts the question whether
there are core ocular commensal communities and how they affect ocular immunity.
The purpose of this review is to provide an overview of what is known about the
ocular surface commensals in health and disease and what we would like to learn
in the near future. In addition, we discuss how microbiota at sites other than
the eye may influence ocular immune responses. The information discussed in the
review has been gathered using PubMed searches for literature published from
January 1982 to December 2015.
PMID- 27189868
TI - A Novel Strategy for Live Detection of Viral Infection in Drosophila
melanogaster.
AB - We have created a transgenic reporter for virus infection, and used it to study
Nora virus infection in Drosophila melanogaster. The transgenic construct, Munin,
expresses the yeast transcription factor Gal4, tethered to a transmembrane anchor
via a linker that can be cleaved by a viral protease. In infected cells,
liberated Gal4 will then transcribe any gene that is linked to a promoter with a
UAS motif, the target for Gal4 transcription. For instance, infected cells will
glow red in the offspring of a cross between the Munin stock and flies with a UAS
RFP(nls) transgene (expressing a red fluorescent protein). In such flies we show
that after natural infection, via the faecal-oral route, 5-15% of the midgut
cells are infected, but there is little if any infection elsewhere. By contrast,
we can detect infection in many other tissues after injection of virus into the
body cavity. The same principle could be applied for other viruses and it could
also be used to express or suppress any gene of interest in infected cells.
PMID- 27189869
TI - [Ag20 {S2 P(OR)2 }12 ]: A Superatom Complex with a Chiral Metallic Core and High
Potential for Isomerism.
AB - The synthesis and structural determination of a silver nanocluster [Ag20 {S2
P(OiPr)2 }12 ] (2), which contains an intrinsic chiral metallic core, is produced
by reduction of one silver ion from the eight-electron superatom complex [Ag21
{S2 P(OiPr)2 }12 ](PF6 ) (1) by borohydrides. Single-crystal X-ray analysis
displays an Ag20 core of pseudo C3 symmetry comprising a silver-centered Ag13
icosahedron capped by seven silver atoms. Its n-propyl derivative, [Ag20 {S2
P(OnPr)2 }12 ] (3), can also be prepared by the treatment of silver(I) salts and
dithiophosphates in a stoichiometric ratio in the presence of excess amount of
[BH4 ](-) . Crystal structure analyses reveal that the capping silver-atom
positions relative to their icosahedral core are distinctly different in 2 and 3
and generate isomeric, chiral Ag20 cores. Both Ag20 clusters display an emission
maximum in the near IR region. DFT calculations are consistent with a description
within the superatom model of an 8-electron [Ag13 ](5+) core protected by a [Ag7
{S2 P(OR)2 }12 ](5-) external shell. Two additional structural variations are
predicted by DFT, showing the potential for isomerism in such [Ag20 {S2 P(OR)2
}12 ] species.
PMID- 27189870
TI - The Influence of Knee Flexion Angle for Graft Fixation on Rotational Knee
Stability During Anterior Cruciate Ligament Reconstruction: A Biomechanical
Study.
AB - PURPOSE: To evaluate the effect of knee flexion angle for hamstring graft
fixation, full extension (FE), or 30 degrees , on acceleration of the knee motion
during pivot-shift testing after either anatomic or nonanatomic anterior cruciate
ligament (ACL) reconstruction using triaxial accelerometry. METHODS: Two types of
ACL reconstructions (anatomic and nonanatomic) using 2 different angles of knee
flexion during graft fixation (FE and 30 degrees ) were performed on 12 fresh
frozen human knees making 4 groups: anatomic-FE, anatomic-30 degrees ,
nonanatomic-FE, and nonanatomic-30 degrees . Manual pivot-shift testing was
performed at ACL-intact, ACL-deficient, and ACL-reconstructed conditions. Three
dimensional acceleration of knee motion was recorded using a triaxial
accelerometer. RESULTS: The anatomic-30 degrees group showed the smallest
overall magnitude of acceleration among the ACL-reconstructed groups (P = .0039).
There were no significant differences among the anatomic-FE group, the
nonanatomic-FE group, and the nonantomic-30 degrees group (anatomic-FE vs
nonanatomic-FE, P = .1093; anatomic-FE vs nonanatomic-30 degrees , P = .8728; and
nonanatomic-FE vs nonanatomic-30 degrees , P = .1093). After ACL transection,
acceleration was reduced by ACL reconstruction with the exception of the
nonanatomic-FE group that did not show a significant difference when compared
with the ACL-deficient (P = .4537). CONCLUSIONS: The anatomic ACL reconstruction
with the graft fixed at 30 degrees of knee flexion better restored rotational
knee stability compared with FE. An ACL graft fixed with the knee at FE in
anatomic position did not show a significant difference compared with the
nonanatomic ACL reconstructions. CLINICAL RELEVANCE: Knee flexion angle at the
time of graft fixation for ACL reconstruction can be considered to maximize the
rotational knee stability.
PMID- 27189871
TI - Arthroscopic Management of Femoroacetabular Impingement in Adolescents.
AB - PURPOSE: To report the outcomes of hip arthroscopy for adolescent patients with
symptomatic femoroacetabular impingement (FAI) in relation to a control group of
adult patients treated arthroscopically for FAI. METHODS: All patients undergoing
hip arthroscopy were assessed with a modified Harris Hip Score preoperatively and
postoperatively at 3, 12, 24, and 60 months. Inclusion criteria were all patients
less than 18 years of age who underwent arthroscopic surgery for symptomatic FAI
and had achieved minimum 1-year follow-up. These cases were gathered over an 8
year period. RESULTS: The study group consisted of 122 consecutive hips (108
patients), and the control group consisted of 122 hips. Follow-up averaged 30
months (range 12 to 60 months). For the study group, the average age was 16
years, with 55 males and 65 females; control group average age was 36 years, with
71 males and 51 females. In the study group, the average scores were preoperative
68.3 and postoperative 93.6, with a 25.4-point improvement. The duration of
symptoms averaged 16.6 months, and 95.9% participated in athletic activities. The
study group included 36 cam, 17 pincer, and 69 combined lesions. One hundred
eleven labral tears underwent 85 refixations and 26 debridements; there were 101
acetabular chondral lesions (51 grade 3 or 4), with 4 microfractures and 3
femoral chondral lesions. Among the control group, the average scores were
preoperative 63.3 and postoperative 85.5, with a 22.2-point improvement. The
duration of symptoms averaged 31.2 months, and 61.5% participate in athletic
activities. The control group consisted of 53 cam, 5 pincer, and 64 combined FAI
lesions. One hundred three labral tears underwent 52 refixations and 51
debridements; there were 112 acetabular lesions (92 grade 3 or 4), with 20
microfractures and 17 femoral chondral lesions. The study group included 15
concomitant extra-articular procedures, and there were 5 in the control group. In
the study group, 4 underwent repeat arthroscopy and 1 periacetabular osteotomy;
in the control group, 1 patient underwent repeat arthroscopy. CONCLUSIONS:
Favorable outcomes of arthroscopic management of FAI in adolescents are reported
compared with an adult control group. The present data support that arthroscopy
does have a role in the management of FAI in adolescents. LEVEL OF EVIDENCE:
Level III, case control study.
PMID- 27189872
TI - Acne vulgaris.
AB - Acne vulgaris is a chronic inflammatory disease - rather than a natural part of
the life cycle as colloquially viewed - of the pilosebaceous unit (comprising the
hair follicle, hair shaft and sebaceous gland) and is among the most common
dermatological conditions worldwide. Some of the key mechanisms involved in the
development of acne include disturbed sebaceous gland activity associated with
hyperseborrhoea (that is, increased sebum production) and alterations in sebum
fatty acid composition, dysregulation of the hormone microenvironment,
interaction with neuropeptides, follicular hyperkeratinization, induction of
inflammation and dysfunction of the innate and adaptive immunity. Grading of acne
involves lesion counting and photographic methods. However, there is a lack of
consensus on the exact grading criteria, which hampers the conduction and
comparison of randomized controlled clinical trials evaluating treatments.
Prevention of acne relies on the successful management of modifiable risk
factors, such as underlying systemic diseases and lifestyle factors. Several
treatments are available, but guidelines suffer from a lack of data to make
evidence-based recommendations. In addition, the complex combination treatment
regimens required to target different aspects of acne pathophysiology lead to
poor adherence, which undermines treatment success. Acne commonly causes scarring
and reduces the quality of life of patients. New treatment options with a shift
towards targeting the early processes involved in acne development instead of
suppressing the effects of end products will enhance our ability to improve the
outcomes for patients with acne.
PMID- 27189874
TI - Features of successful academic hospitalist programs: Insights from the SCHOLAR
(SuCcessful HOspitaLists in academics and research) project.
AB - BACKGROUND: As clinical demands increase, understanding the features that allow
academic hospital medicine programs (AHPs) to thrive has become increasingly
important. OBJECTIVE: To develop and validate a quantifiable definition of
academic success for AHPs. METHODS: A working group of academic hospitalists was
formed. The group identified grant funding, academic promotion, and scholarship
as key domains reflective of success, and specific metrics and approaches to
assess these domains were developed. Self-reported data on funding and promotion
were available from a preexisting survey of AHP leaders, including total
funding/group, funding/full-time equivalent (FTE), and number of faculty at each
academic rank. Scholarship was defined in terms of research abstracts presented
over a 2-year period. Lists of top performers in each of the 3 domains were
constructed. Programs appearing on at least 1 list (the SCHOLAR cohort
[SuCcessful HOspitaLists in Academics and Research]) were examined. We compared
grant funding and proportion of promoted faculty within the SCHOLAR cohort to a
sample of other AHPs identified in the preexisting survey. RESULTS: Seventeen
SCHOLAR programs were identified, with a mean age of 13.2 years (range, 6-18
years) and mean size of 36 faculty (range, 18-95). The mean total grant
funding/program was $4 million (range, $0-$15 million), with mean funding/FTE of
$364,000 (range, $0-$1.4 million); both were significantly higher than the
comparison sample. The majority of SCHOLAR faculty (82%) were junior, a lower
percentage than the comparison sample. The mean number of research abstracts
presented over 2 years was 10.8 (range, 9-23). DISCUSSION: Our approach
effectively identified a subset of successful AHPs. Despite the relative maturity
and large size of the programs in the SCHOLAR cohort, they were comprised of
relatively few senior faculty members and varied widely in the quantity of funded
research and scholarship. Journal of Hospital Medicine 2016;11:708-713. (c) 2016
Society of Hospital Medicine.
PMID- 27189875
TI - Characteristics of Effective Disaster Responders and Leaders: A Survey of
Disaster Medical Practitioners.
AB - OBJECTIVE: To identify key attributes of effective disaster/mass casualty first
responders and leaders, thereby informing the ongoing development of a capable
disaster health workforce. METHODS: We surveyed emergency response practitioners
attending a conference session, the EMS State of the Science: A Gathering of
Eagles. We used open-ended questions to ask participants to describe key
characteristics of successful disaster/mass casualty first responders and
leaders. RESULTS: Of the 140 session attendees, 132 (94%) participated in the
survey. All responses were categorized by using a previously developed framework.
The most frequently mentioned characteristics were related to incident
command/disaster knowledge, teamwork/interpersonal skills, performing one's role,
and cognitive abilities. Other identified characteristics were related to
communication skills, adaptability/flexibility, problem solving/decision-making,
staying calm and cool under stress, personal character, and overall knowledge.
CONCLUSIONS: The survey findings support our prior focus group conclusion that
important characteristics of disaster responders and leaders are not limited to
the knowledge and skills typically included in disaster training. Further
research should examine the extent to which these characteristics are
consistently associated with actual effective performance of disaster response
personnel and determine how best to incorporate these attributes into competency
models, processes, and tools for the development of an effective disaster
response workforce. (Disaster Med Public Health Preparedness. 2016;page 1 of 4).
PMID- 27189877
TI - Nitrous oxide emissions from an aerobic granular sludge system treating low
strength ammonium wastewater.
AB - Aerobic granular sludge is a promising technology in wastewater treatment
process. Its special microorganism structure could make the emissions of
greenhouse gas nitrous oxide (N2O) more complicated. This study investigated the
N2O emissions from a batch-fed aerobic granular sludge system during
nitrification of low-strength synthetic ammonium wastewater. The N2O emission was
2.72 +/- 0.52% of the oxidized ammonium during the whole anoxic-oxic sequencing
batch reactor (SBR) cycle. Under nitrification batch test with sole ammonium
substrate (50 mg N/L), N2O emission factor was 1.82% (N2ON/NH4+-Nox) and ammonia
oxidizing bacteria (AOB) was the responsible microorganism. The presence of high
ammonium concentration (or high ammonium oxidation rate (AOR)) and accumulation
of nitrite would lead to significant N2O emissions. AOB denitrification pathway
was speculated to contribute more to the N2O emissions under nitrification
conditions. While under simultaneous nitrification and denitrification condition
with carbon source of 500 mg COD/L, the N2O emission factor increased to 2.76%.
Both AOB and heterotrophic denitrifiers were responsible for N2O emission and
heterotrophic denitrification enhances N2O emission. Step feeding of organic
carbon source declined N2O emission factor to 1.60%, which underlined the role of
storage substance consumption in N2O generation during denitrification.
PMID- 27189878
TI - Sequential Nanopatterned Block Copolymer Self-Assembly on Surfaces.
AB - Bottom-up self-assembly of high-density block-copolymer nanopatterns is of
significant interest for a range of technologies, including memory storage and
low-cost lithography for on-chip applications. The intrinsic or native spacing of
a given block copolymer is dependent upon its size (N, degree of polymerization),
composition, and the conditions of self-assembly. Polystyrene-block
polydimethylsiloxane (PS-b-PDMS) block copolymers, which are well-established for
the production of strongly segregated single-layer hexagonal nanopatterns of
silica dots, can be layered sequentially to produce density-doubled and -tripled
nanopatterns. The center-to-center spacing and diameter of the resulting silica
dots are critical with respect to the resulting double- and triple-layer
assemblies because dot overlap reduces the quality of the resulting pattern. The
addition of polystyrene (PS) homopolymer to PS-b-PDMS reduces the size of the
resulting silica dots but leads to increased disorder at higher concentrations.
The quality of these density-multiplied patterns can be calculated and predicted
using parameters easily derived from SEM micrographs of corresponding single and
multilayer patterns; simple geometric considerations underlie the degree of
overlap of dots and layer-to-layer registration, two important factors for
regular ordered patterns, and clearly defined dot borders. Because the higher
molecular-weight block copolymers tend to yield more regular patterns than
smaller block copolymers, as defined by order and dot circularity, this
sequential patterning approach may provide a route toward harnessing these
materials, thus surpassing their native feature density.
PMID- 27189876
TI - Inhibition of Endocannabinoid Degradation Improves Outcomes from Mild Traumatic
Brain Injury: A Mechanistic Role for Synaptic Hyperexcitability.
AB - Traumatic brain injury (TBI) is an increasingly prevalent condition affecting
soldiers, athletes, and motor vehicle accident victims. Unfortunately, it
currently lacks effective therapeutic interventions. TBI is defined as a primary
mechanical insult followed by a secondary cascade involving inflammation,
apoptosis, release of reactive oxygen species, and excitotoxicity, all of which
can cause synaptic changes, altered neuronal signaling, and, ultimately,
behavioral changes. Previously we showed that preventing degradation of the
endocannabinoid (EC) 2-acylglycerol (2-AG) with JZL184 after mild TBI attenuated
neuroinflammation and improved recovery of neurobehavioral function during the
early 24 h post-TBI period. The aim of this study was to extend the timeline of
observations to 2 weeks post-injury and to investigate JZL184's impact on
synaptic transmission, which we view as a potential mechanism for TBI-induced
cellular and behavioral pathology. Adult male rats underwent mild TBI (mTBI)
followed by a single intraperitoneal injection of JZL184 or vehicle 30 min post
injury. JZL184 administered-TBI animals showed improved neurobehavioral recovery
compared with vehicle-injected TBI animals beginning 24 h post-injury and
persisting for 2 weeks. JZL184-treated animals had significantly diminished gray
and white matter astrocyte activation when compared with vehicle-treated animals
at day 7 post-TBI. JZL184 administration significantly attenuated the increased
pGluR1S845/GluR1 and pERK 1/2/ERK and the increases in miniature excitatory
postsynaptic potential (mEPSC) frequency and amplitude observed in layer 5
pyramidal neurons at 10 days post-TBI. These results suggest a neuroprotective
role for ECs in ameliorating the TBI-induced neurobehavioral, neuroinflammatory,
and glutamate dyshomeostasis from mTBI. Further studies elucidating the cellular
mechanisms involved are warranted.
PMID- 27189879
TI - Liver fibrosis progression predicts survival in patients with primary biliary
cirrhosis.
PMID- 27189880
TI - Exploring the impact of parental post-traumatic stress disorder on military
family children: A review of the literature.
AB - OBJECTIVES: The number of UK service personnel who have a diagnosis of PTSD is
unclear, but there has been a recent increase in referrals to services for PTSD
symptomology. It is imperative to understand the impact this may have on the
children of affected service families. This review of literature aimed to explore
and provide insight into the experiences of services children whose parent has a
diagnosis of PTSD. DESIGN: A comprehensive review of the literature. DATA
SOURCES: Online databases CINAHL, MEDLINE, psychARTICLES, The Psychology and
Behavioural Sciences Collection and PILOTS were searched. REVIEW METHODS: The
studies were chosen in keeping with a specified inclusion and exclusion criteria.
The literature was critically analysed and key themes identified through the
strategy of thematic analysis. RESULTS: Five studies met the inclusion criteria.
Three key themes were identified from the five articles; secondary
traumatisation; impact on the child's mental health; and impact on the child's
adult relationships. CONCLUSION: The findings highlighted the prevalence of
secondary traumatisation and a potential negative impact on the child's mental
health and relationships. However, literature used in the review was conducted in
countries outside of the UK, therefore the comparison of results may be
compromised. This review identifies the paucity of research on this topic and
highlights the need for UK based research to be carried out in this area.
PMID- 27189883
TI - Neuregulin 1-ErbB4 signaling in the bed nucleus of the stria terminalis regulates
anxiety-like behavior.
AB - The bed nucleus of the stria terminalis (BNST), a nucleus defined as part of the
extended amygdala, is involved in the expression of anxiety disorders. However,
the regulatory mechanisms of BNST inhibitory activity that is involved in anxiety
are unknown. Here, we showed that blocking neuregulin 1 (NRG1)-ErbB4 signaling in
the BNST of mice, by either neutralizing endogenous NRG1 with ecto-Erbb4 or
antagonizing the ErbB4 receptor with its specific inhibitor, produced anxiogenic
responses. Interestingly, application of exogenous NRG1 into the BNST induced no
anxiolytic effects, suggesting saturating activity of endogenous NRG1. While
infusion of the GABAA receptor antagonist bicuculline into the BNST also led to
anxiety-related behaviors, it did not worsen the anxiogenic effects produced by
blocking NRG1-ErbB4 signaling, suggesting possible involvement of GABAergic
neurotransmission. Further, in vitro electrophysiological recordings showed that
BNST NRG1-ErbB4 signaling regulated the presynaptic GABA release. Together, these
results suggest that NRG1-ErbB4 signaling in the BNST may play an important role
in regulating anxiety-like behaviors.
PMID- 27189884
TI - Decline of microtubule-associated protein tau after experimental stroke in
differently aged wild-type and 3xTg mice with Alzheimer-like alterations.
AB - Stroke therapies are still limited to a minority of patients. Considering time
dependent aspects of stroke, the penumbra concept describes the transition from
functional to permanent tissue damage. Thereby, the role of cytoskeletal
elements, as for instance microtubules with associated tau remains poorly
understood and is therefore not yet considered for therapeutic approaches. This
study explored the expression of microtubule-associated protein tau related to
neuronal damage in stroke-affected brain regions. Wild-type and triple-transgenic
mice of 3, 7 and 12months of age and with an Alzheimer-like background underwent
experimental stroke. After 24h, brain sections were used for immunofluorescence
labeling of tau and Neuronal Nuclei (NeuN). Potential functional consequences of
cellular alterations were explored by statistical relationships to the general
health condition, i.e. neurobehavioral deficits and loss of body weight.
Immunoreactivity for whole tau decreased significantly in ischemic areas, while
the decline at the border zone was more drastic for tau-immunoreactivity compared
with the diminished NeuN labeling. Quantitative analyses confirmed pronounced
sensitivity for tau-immunoreactivity in the ischemic border zone. Decline of tau-
as well as NeuN-immunoreactivity correlated with body weight loss during the 24-h
observation period. In conclusion, microtubule-associated protein tau was
robustly identified as a highly sensitive cytoskeletal constitute under ischemic
conditions, suggesting a pivotal role during the transition process toward long
lasting tissue damage. Consequently, cytoskeletal elements appear as promising
targets for novel therapeutic approaches with the objective to impede ischemia
induced irreversible cellular degradation.
PMID- 27189881
TI - Modulation of pain, nociception, and analgesia by the brain reward center.
AB - The midbrain dopamine center comprises a key network for reward, salience,
motivation, and mood. Evidence from various clinical and preclinical settings
points to the midbrain dopamine circuit as an important modulator of pain
perception and pain-induced anxiety and depression. This review summarizes recent
findings that shed light to the neuroanatomical, electrophysiological and
molecular adaptations that chronic pain conditions promote in the mesolimbic
dopamine system. Chronic pain states induce changes in neuronal plasticity and
functional connectivity in several parts of the brain reward center, including
nucleus accumbens, the ventral tegmental area and the prefrontal cortex. Here, we
discuss recent findings on the mechanisms involved in the perception of chronic
pain, in pain-induced anxiety and depression, as well as in pain-killer addiction
vulnerability. Several new studies also show that the mesolimbic dopamine circuit
potently modulates responsiveness to opioids and antidepressants used for the
treatment of chronic pain. We discuss recent data supporting a role of the brain
reward pathway in treatment efficacy and we summarize novel findings on
intracellular adaptations in the brain reward circuit under chronic pain states.
PMID- 27189882
TI - Touchscreen learning deficits and normal social approach behavior in the Shank3B
model of Phelan-McDermid Syndrome and autism.
AB - SHANK3 is a synaptic scaffolding protein localized in the postsynaptic density
and has a crucial role in synaptogenesis and neural physiology. Deletions and
point mutations in SHANK3 cause Phelan-McDermid Syndrome (PMS), and have also
been implicated in autism spectrum disorder (ASD) and intellectual disabilities,
leading to the hypothesis that reduced SHANK3 expression impairs basic brain
functions that are important for social communication and cognition. Several
mouse models of Shank3 deletions have been generated, varying in the specific
domain deleted. Here we report impairments in cognitive function in mice
heterozygous for exon 13-16 (coding for the PDZ domain) deletion. The touchscreen
pairwise discrimination task was chosen by virtue of its: (a) conceptual and
technical similarities to the Cambridge Neuropsychological Test Automated Battery
(CANTAB) and NIH Toolbox Cognition Battery used for testing cognitive functions
in humans, (b) minimal demand on motor abilities, and (c) capability to measure
many aspects of learning and memory and complex cognitive functions, including
cognitive flexibility. The similarity between our mouse tasks and human cognitive
assays means a high translational validity in future intervention studies using
preclinical models. Our study revealed that Shank3B heterozygous mice (+/-) were
slower to reach criterion in the pairwise visual discrimination task, and
exhibited trends toward making more errors (first trial errors) and more
correction errors than wildtype mice (+/+). Open field activity was normal in +/
, ruling out hypo- or hyperactivity as potential confounds in the touchscreen
test. Sociability in the three chamber test was also normal in both +/+ and +/-.
These results indicate a deficit in discrimination learning in the Shank3B model
of PMS and ASD, suggesting that this mouse model is a useful preclinical tool for
studying neurobiological mechanisms behind cognitive impairments in PMS and ASD.
The current findings are the starting point for our future research in which we
will investigate multiple domains of cognition and explore pharmacological
interventions.
PMID- 27189885
TI - Sarcolemmal Ca(2+)-entry through L-type Ca(2+) channels controls the profile of
Ca(2+)-activated Cl(-) current in canine ventricular myocytes.
AB - Ca(2+)-activated Cl(-) current (ICl(Ca)) mediated by TMEM16A and/or Bestrophin-3
may contribute to cardiac arrhythmias. The true profile of ICl(Ca) during an
actual ventricular action potential (AP), however, is poorly understood. We aimed
to study the profile of ICl(Ca) systematically under physiological conditions
(normal Ca(2+) cycling and AP voltage-clamp) as well as in conditions designed to
change [Ca(2+)]i. The expression of TMEM16A and/or Bestrophin-3 in canine and
human left ventricular myocytes was examined. The possible spatial distribution
of these proteins and their co-localization with Cav1.2 was also studied. The
profile of ICl(Ca), identified as a 9-anthracene carboxylic acid-sensitive
current under AP voltage-clamp conditions, contained an early fast outward and a
late inward component, overlapping early and terminal repolarizations,
respectively. Both components were moderately reduced by ryanodine, while fully
abolished by BAPTA, but not EGTA. [Ca(2+)]i was monitored using Fura-2-AM.
Setting [Ca(2+)]i to the systolic level measured in the bulk cytoplasm (1.1MUM)
decreased ICl(Ca), while application of Bay K8644, isoproterenol, and faster
stimulation rates increased the amplitude of ICl(Ca). Ca(2+)-entry through L-type
Ca(2+) channels was essential for activation of ICl(Ca). TMEM16A and Bestrophin-3
showed strong co-localization with one another and also with Cav1.2 channels,
when assessed using immunolabeling and confocal microscopy in both canine
myocytes and human ventricular myocardium. Activation of ICl(Ca) in canine
ventricular cells requires Ca(2+)-entry through neighboring L-type Ca(2+)
channels and is only augmented by SR Ca(2+)-release. Substantial activation of
ICl(Ca) requires high Ca(2+) concentration in the dyadic clefts which can be
effectively buffered by BAPTA, but not EGTA.
PMID- 27189887
TI - Stable and biocompatible cystine knot peptides from the marine sponge Asteropus
sp.
AB - Two new cystine knot peptides, asteropsins F (ASPF) and G (ASPG), were isolated
from the marine sponge Asteropus sp. ASPF and ASPG are composed of 33 and 32
amino acids, respectively, and contain six cysteines which are involved in three
disulfide bonds. They shared the characteristic features of the asteropsin
family, such as, N-terminal pyroglutamate modification, incorporation of cis
prolines, and the unique anionic profile, which distinguish them from other
knottin families. Tertiary structures of the peptides were determined by high
resolution NMR. ASPF and ASPG were found to be remarkably resistant not only to
digestive enzymes (chymotrypsin, pepsin, elastase, and trypsin) but also to
thermal degradation. In addition, these peptides were pharmacologically inert;
non-hemolytic to human and fish red blood cells, non-stimulatory to murine
macrophage cells, and nontoxic in vitro or in vivo. These observations support
their stability and biocompatibility as suitable carrier scaffolds for the design
of oral peptide drug.
PMID- 27189888
TI - Optimization of the phenylurea moiety in a phosphoinositide 3-kinase (PI3K)
inhibitor to improve water solubility and the PK profile by introducing a
solubilizing group and ortho substituents.
AB - Phosphoinositide 3-kinase (PI3K) is a promising anti-cancer target, because
various mutations and amplifications are observed in human tumors isolated from
cancer patients. Our dihydropyrrolopyrimidine derivative with a phenylurea moiety
showed strong PI3K enzyme inhibitory activity, but its pharmacokinetic property
was poor because of lack of solubility. Herein, we report how we improved the
solubility of our PI3K inhibitors by introducing a solubilizing group and ortho
substituents to break molecular planarity.
PMID- 27189886
TI - Recent advances in the discovery and development of antibacterial agents
targeting the cell-division protein FtsZ.
AB - With the emergence of multidrug-resistant bacterial strains, there is a dire need
for new drug targets for antibacterial drug discovery and development.
Filamentous temperature sensitive protein Z (FtsZ), is a GTP-dependent
prokaryotic cell division protein, sharing less than 10% sequence identity with
the eukaryotic cell division protein, tubulin. FtsZ forms a dynamic Z-ring in the
middle of the cell, leading to septation and subsequent cell division. Inhibition
of the Z-ring blocks cell division, thus making FtsZ a highly attractive target.
Various groups have been working on natural products and synthetic small
molecules as inhibitors of FtsZ. This review summarizes the recent advances in
the development of FtsZ inhibitors, focusing on those in the last 5years, but
also includes significant findings in previous years.
PMID- 27189889
TI - Individually customisable non-invasive head immobilisation system for non-human
primates with an option for voluntary engagement.
AB - BACKGROUND: Head immobilisation is often necessary for neuroscientific
procedures. A number of Non-invasive Head Immobilisation Systems (NHIS) for
monkeys are available, but the need remains for a feasible integrated system
combining a broad range of essential features. NEW METHOD: We developed an
individualised macaque NHIS addressing several animal welfare and scientific
needs. The system comprises a customised-to-fit facemask that can be used
separately or combined with a back piece to form a full-head helmet. The system
permits presentation of visual and auditory stimuli during immobilisation and
provides mouth access for reward. RESULTS: The facemask was incorporated into an
automated voluntary training system, allowing the animals to engage with it for
increasing periods leading to full head immobilisation. We evaluated the system
during performance on several auditory or visual behavioural tasks with testing
sessions lasting 1.5-2h, used thermal imaging to monitor for and prevent pressure
points, and measured head movement using MRI. COMPARISON WITH EXISTING METHODS: A
comprehensive evaluation of the system is provided in relation to several
scientific and animal welfare requirements. Behavioural results were often
comparable to those obtained with surgical implants. Cost-benefit analyses were
conducted comparing the system with surgical options, highlighting the benefits
of implementing the non-invasive option. CONCLUSIONS: The system has a number of
potential applications and could be an important tool in neuroscientific
research, when direct access to the brain for neuronal recordings is not
required, offering the opportunity to conduct non-invasive experiments while
improving animal welfare and reducing reliance on surgically implanted head
posts.
PMID- 27189891
TI - Relationship between pulmonary arterial resistance and compliance among patients
with pulmonary arterial hypertension and congenital heart disease.
AB - BACKGROUND: It is unknown whether changes in pulmonary arterial resistance (Rp),
pulmonary arterial compliance (Cp), and the product of Rp and Cp (the RC time) in
patients with pulmonary arterial hypertension (PAH) are related to an increase in
pulmonary blood flow. The aim of this study is to clarify relationships between
these parameters before and after corrective surgery among patients with PAH and
congenital heart disease. METHODS: We performed cardiac catheter examinations and
compared Rp, Cp, and the RC time before and after corrective surgery in 100
infants (53 boys) with PAH related to ventricular septal defect. RESULTS: Median
age at surgery was 2.9 (0.6-28.5) months. Preoperative values of the ratio of
pulmonary to systemic blood flow (Qp/Qs), systolic pulmonary arterial pressure
(PAP), Rp, Cp, and the RC time were 3.5 (2.7-4.3), 65 (56-70) mm Hg, 2.18 (1.64
3.19) Wood unit/m(2), 2.67 (2.01-3.38) mL/mm Hg/m(2), and 0.36 (0.31-0.40)
seconds, respectively. Postoperative systolic PAP, Cp, and the RC time were
significantly decreased to 26 (23-29) mm Hg, 1.96 (1.77-2.26) mL/mm Hg/m(2), and
0.31 (0.26-0.36) seconds, respectively, although Rp remained unchanged at 2.53
(2.06-3.31) Wood unit/m(2). The relationship between Rp and Cp was inversely
related before and after surgery, and the Rp-Cp coupling curve was shifted
downward after surgery. In addition, lower preoperative Cp (partial regression
coefficient = -3.35; P = .001) and preterm delivery (partial regression
coefficient = 6.28; P = .02) were independently related to postoperative higher
systolic PAP. CONCLUSIONS: Rp-Cp coupling depends on both the amount of pulmonary
blood flow and the condition of the pulmonary vasculature. Lower preoperative Cp
is an independent predictive factor to predict higher postoperative pulmonary
systolic pressure leading to an increase in right ventricular workload. It is
necessary to assess Rp-Cp coupling before surgery among patients with PAH related
to congenital heart disease.
PMID- 27189890
TI - Computed tomography-guided patent blue vital dye localization of pulmonary
nodules in uniportal thoracoscopy.
AB - OBJECTIVE: Due to the limitations of the small single incision, an ideal
preoperative localization technique is essential for surgical resection of small
pulmonary nodules by uniportal video-assisted thoracoscopic surgery (VATS). The
aim of this study is to evaluate the usefulness and safety of preoperative
computed tomography (CT)-guided patent blue vital (PBV) dye localization in
patients with small indeterminate pulmonary nodules who have undergone uniportal
VATS for lung resection. METHODS: In this retrospective study, 177 consecutive
patients (196 pulmonary nodules) who underwent preoperative CT-guided PBV dye
localization and uniportal VATS from January 2013 to September 2015 were
enrolled. RESULTS: The CT-dye localization procedure was performed successfully
and correctly for 99.5% (195/196) of the nodules within a mean procedure time of
30 minutes. The mean size of the nodules was 7.8 mm, and their mean depth from
the pleural surface was 18.3 mm. Most of the nodules (78.6%, 154/196) were pure
ground-glass nodules (GGNs) and part-solid GGN with ground-glass opacity (GGO) of
50% or more. Asymptomatic pneumothorax occurred in 29.4% (52/177) of patients
after the localization procedure, but none required invasive treatment. All
nodules were successfully resected using uniportal VATS without any conversion to
thoracotomy. The postoperative course was smooth, with a short mean hospital stay
(3.3 +/- 1.2 days) and a low morbidity rate (0.6%, 1/177). CONCLUSIONS:
Preoperative CT-guided PBV dye localization is a feasible, safe, and accurate
procedure. It makes uniportal VATS easy for small, poorly located pulmonary
nodules with GGO predominance and synchronous multiple nodules.
PMID- 27189892
TI - American College of Cardiology/American Heart Association 2016 dual antiplatelet
therapy (DAPT) focused update: Implications for surgeons.
PMID- 27189893
TI - Circular shunt in bidirectional cavopulmonary anastomosis.
PMID- 27189895
TI - Erratum to "Neurosensory Recovery of the Infra-Orbital Nerve Following Maxillary
Orthognathic Surgery" [2015; vol 120, No. 5, p e202].
PMID- 27189894
TI - Probability of fallopian tube and ovarian detection with transvaginal
ultrasonography in normal women.
AB - OBJECTIVE: Some ovarian malignancies may originate in the fallopian tube. The
feasibility of ultrasonographically visualizing the fallopian tube is presented.
METHODS: In total, 549 normal women participated in the fallopian tube
visualization trial, while ovarian visualization was studied in 43,521. Chi
square analysis, t-tests and multivariate analysis determined significance and
interactions. RESULTS: Ovaries were observed in 82.7% while fallopian tubes were
detected in 77.2% of women and 85.2% of the time when an ovary was detected. Age,
BMI or parity was not significantly different when one or both fallopian tubes
were visualized. Elevated BMI had slightly greater influence than age in limiting
visualization of the fallopian tubes in multivariate analysis. CONCLUSION:
Fallopian tubes can often be identified sonographically. Ovarian visualization
provides the strongest indicator favoring fallopian tube detection. Thus,
ultrasonographic examinations for adnexal cancer could include evaluation of
fallopian tubes even in women >60 years and in women with BMI >=25.
PMID- 27189896
TI - Xerostomia: current streams of investigation.
AB - Xerostomia is the subjective feeling of dry mouth, and it is often related to
salivary hypofunction. Besides medication-related salivary hypofunction, Sjogren
syndrome and head-and-neck radiation are two common etiologies that have garnered
considerable attention. Approaches to treating and/or preventing salivary
hypofunction in patients with these conditions will likely incorporate gene
therapy, stem cell therapy, and tissue engineering. Advances in these disciplines
are central to current research in the cure for xerostomia and will be key to
eventual treatment.
PMID- 27189897
TI - Prospectively-collected, tooth-specific dosimetry correlated with adverse dental
outcomes.
AB - OBJECTIVES: To correlate radiation dose to specific tooth-bearing portions of
bone with adverse dental outcomes. STUDY DESIGN: Eighty-nine patients treated
with intensity-modulated radiation therapy with or without chemotherapy had
radiation dose to specific tooth-bearing portions of the mandible and the
maxilla. Data were collected prospectively during treatment planning, which
resulted in 2490 data points. These patients underwent a comprehensive dental
intake evaluation that included measurement of pocket depths and were then
followed up with serial dental evaluations for a median of 2.5 years (range 0.2
6.9 years). RESULTS: At the patient level, the 3-year risks of osteoradionecrosis
(ORN) and periodontal disease were 2.5% and 36.6%, respectively. For any
individual tooth, the risks of ORN and periodontal disease were 0.1% and 5.1%,
respectively, at 3 years. Radiation dose to individual tooth-bearing portions of
bone was correlated with ORN development (P = .0165). Periodontal disease also
demonstrated a significant, but more gradual, dose response (P = .0395).
CONCLUSIONS: Adverse dental outcomes directly correlate with increased tooth
specific doses.
PMID- 27189898
TI - Relabelling behaviour. The effects of psycho-education on the perceived severity
and causes of challenging behaviour in people with profound intellectual and
multiple disabilities.
AB - BACKGROUND: Prevalence rates of challenging behaviour are high in children and
adults with profound intellectual and multiple disabilities (PIMD). Moreover,
many of these behaviours are observed daily. Direct support staff report that
most challenging behaviour identified has little impact on the person with PIMD
and attribute challenging behaviour in children and adults with PIMD mainly to a
biomedical model. The purpose of this study was to evaluate whether an
intervention (psycho-education) had any effect on direct support staff's
assessment of challenging behaviour in terms of its severity and their biomedical
causal explanations (attributions) for this behaviour. METHOD: A stepped wedge
study design was used to evaluate the effects of a psycho-education intervention
on the perceived severity and the attributions offered for challenging behaviour
of people with PIMD by 198 direct support staff. We used questionnaires assessing
the perceived severity of challenging behaviour and staff views of its causes.
Data on the dependent variables were collected at four 1-month intervals.
RESULTS: The intervention was found to have an effect on the perceived severity
of challenging behaviour identified in people with PIMD in the sense that staff
generally scored challenging behaviour as more severe in its consequences after
the intervention. However, this effect was very small. No significant effects
were found in terms of reduction in the biomedical scale scores. CONCLUSION: No
evidence for the effectiveness of a psycho-educational approach on the assessment
of challenging behaviour in terms of severity and the biomedical attributions for
behaviour was found. More research is required to explore further the effects of
more elaborate training using methods to enable direct support staff to reflect
on the behaviour of people with PIMD and on their own behaviour.
PMID- 27189899
TI - A randomized controlled trial of an educational programme with telephone
reinforcement to improve perceived health status of Brazilian burn victims at 6
month post discharge.
AB - AIM: The aim of this study was to compare health status, self-efficacy, symptoms
of depression and anxiety and post-traumatic stress between two groups of burn
victims receiving information on self-care through routine care or an educational
programme with telephone reinforcement. BACKGROUND: Self-care post discharge is
an important factor on quality of life of burn victims. Reinforcement of self
care programme via telephone follow-up might improve self-efficacy and general
health perception in burn victims. DESIGN: Randomized, controlled clinical trial.
METHOD: From 2011-2012, we randomized 108 burn victims either to an educational
programme on self-care tailored for burn victims according to the cognitive
social theory and reinforcement by telephone every 4-6 weeks for 6 months post
discharge, or to the routine instructions given at discharge from the hospital.
During hospitalization, at discharge, at 6 and 12 months post discharge, we
collected data on socio-demographic characteristics, Burns Specific Health Scale
Revised (BSHS-R), Perceived Self-Efficacy Scale (PSE), Hospital Anxiety and
Depression Scales (HADS) and Impact of the Event Scale (IES). We analysed data
using t-test, Chi-square test and linear regression models. RESULTS: Groups were
similar regarding clinical and demographic characteristics, except for age. At 6
month follow-up, there were no differences between the groups on BSHS-R, PSE and
HADS Depression, while the intervention group showed fewer symptoms of anxiety
(HADS Anxiety) and lower IES scores than the control group. CONCLUSION: The
educational programme, when compared with usual care, reduced anxiety symptoms
and post-traumatic stress. Educational programmes with telephone follow-up might
be a viable intervention to improve self-care for burn victims.
PMID- 27189900
TI - Liver-related mortality in countries of the developed world: an ecological study
approach to explain the variability.
AB - BACKGROUND: Liver-related mortality varies across developed nations. AIM: To
assess the relative role of various risk factors in relation to liver-related
mortality in an ecological study approach. METHODS: Data for liver-related
mortality, prevalence data for hepatitis B and C, human immunodeficiency virus
(HIV), alcohol consumption per capita, Type 2 Diabetes mellitus (T2DM),
overweight and obesity were extracted from peer-reviewed publications or WHO
databases for different developed countries. As potential other risk-modifying
factors, purchase power parity (PPP)-adjusted gross domestic product (GDP) per
capita and health expenditure per capita were assessed. As an environmental
'hygiene factor', we also assessed the effect of the prevalence of Helicobacter
pylori. Only countries with a PPP-adjusted GDP greater than $20 000 and valid
information for at least 8 risk modifiers were included. Univariate and
multivariate analyses were utilised to quantify the contribution to the
variability in liver-related mortality. RESULTS: The proportion of chronic liver
diseases (CLD)-related mortality ranged from 0.73-2.40% [mean 1.56%, 95% CI (1.43
1.69)] of all deaths. Univariately, CLD-related mortality was significantly
associated with Hepatitis B prevalence, alcohol consumption, PPP-adjusted GDP
(all P < 0.05) and potentially H. pylori prevalence (P = 0.055). Other
investigated factors, including hepatitis C, did not yield significance. Backward
elimination suggested hepatitis B, alcohol consumption and PPP-adjusted GDP as
risk factors (explaining 66.3% of the variability). CONCLUSION: Hepatitis B
infection, alcohol consumption and GDP, but not hepatitis C or other factors,
explain most of the variance of liver-related mortality.
PMID- 27189901
TI - "Time Out": A Strategy for Reducing Men's Violence Against Women in
Relationships?
AB - This article critically explores accounts of how men attending domestic violence
perpetrator programs (DVPP) used the "time out" strategy. Findings are drawn from
71 semi-structured interviews with 44 men attending DVPPs and 27 female partners
or ex-partners of men in DVPPs. We describe three ways in which the technique was
used: first, as intended, to interrupt potential physical violence; second,
through the effective adaption of the time-out rules by victim-survivors; and
finally, misappropriation by some men to continue and extend their controlling
behaviors. Policy and practice lessons are drawn from the findings through
connecting broader and deeper measurements of what success means when working
with domestic violence perpetrators to the ways in which the time-out technique
was used.
PMID- 27189903
TI - Cortical spreading depolarization increases adult neurogenesis, and alters
behavior and hippocampus-dependent memory in mice.
AB - Cortical spreading depolarizations are an epiphenomenon of human brain
pathologies and associated with extensive but transient changes in ion
homeostasis, metabolism, and blood flow. Previously, we have shown that cortical
spreading depolarization have long-lasting consequences on the brains
transcriptome and structure. In particular, we found that cortical spreading
depolarization stimulate hippocampal cell proliferation resulting in a sustained
increase in adult neurogenesis. Since the hippocampus is responsible for explicit
memory and adult-born dentate granule neurons contribute to this function,
cortical spreading depolarization might influence hippocampus-dependent
cognition. To address this question, we induced cortical spreading depolarization
in C57Bl/6 J mice by epidural application of 1.5 mol/L KCl and evaluated
neurogenesis and behavior at two, four, or six weeks thereafter. Congruent with
our previous findings in rats, we found that cortical spreading depolarization
increases numbers of newborn dentate granule neurons. Moreover, exploratory
behavior and object location memory were consistently enhanced. Reference memory
in the water maze was virtually unaffected, whereas memory formation in the
Barnes maze was impaired with a delay of two weeks and facilitated after four
weeks. These data show that cortical spreading depolarization produces lasting
changes in psychomotor behavior and complex, delay- and task-dependent changes in
spatial memory, and suggest that cortical spreading depolarization-like events
affect the emotional and cognitive outcomes of associated brain pathologies.
PMID- 27189902
TI - Advancing age and ischemia elevate the electric threshold to elicit spreading
depolarization in the cerebral cortex of young adult rats.
AB - Spreading depolarizations of long cumulative duration have been implicated in
lesion development and progression in patients with stroke and traumatic brain
injury. Spreading depolarizations evolve less likely in the aged brain, but it
remains to be determined at what age the susceptibility to spreading
depolarizations starts to decline, especially in ischemia. Spreading
depolarizations were triggered by epidural electric stimulation prior and after
ischemia induction in the cortex of 7-30 weeks old anesthetized rats ( n = 38).
Cerebral ischemia was achieved by occlusion of both common carotid arteries.
Spreading depolarization occurrence was confirmed by the acquisition of DC
potential and electrocorticogram. Cerebral blood flow variations were recorded by
laser-Doppler flowmetry. Dendritic spine density in the cortex was determined in
Golgi-COX stained sections. Spreading depolarization initiation required
increasingly greater electric charge with older age, a potential outcome of
consolidation of cortical connections, indicated by altered dendritic spine
distribution. The threshold of spreading depolarization elicitation increased
with ischemia in all age groups, which may be caused by tissue acidosis and
increased K+ conductance, among other factors. In conclusion, the brain appears
to be the most susceptible to spreading depolarizations at adolescent age;
therefore, spreading depolarizations may occur in young patients of ischemic or
traumatic brain injury at the highest probability.
PMID- 27189905
TI - New silent and weak D alleles: molecular characterization and associated antigen
density.
PMID- 27189904
TI - Risk of long-term anticoagulation under sustained severe arterial hypertension: A
translational study comparing warfarin and the new oral anticoagulant apixaban.
AB - New oral anticoagulants for the prevention of stroke and systemic embolism in
patients with atrial fibrillation have recently been introduced. In this
translational study, we explored the risk of long-term anticoagulation on
intracerebral hemorrhage under sustained severe arterial hypertension. We
initiated anticoagulation with warfarin or apixaban in spontaneously hypertensive
rats prone to develop severe hypertension and subsequent intracerebral bleeding
complications. A non-anticoagulated group served as control. During an 11-week
study period, blood pressure, anticoagulation parameters, and clinical status
were determined regularly. The incidence of histopathologically proven
intracerebral hemorrhage was defined as the primary endpoint. Both warfarin and
apixaban anticoagulation was fairly stable during the study period, and all rats
developed severe hypertension. Intracerebral hemorrhage was determined in 29%
(4/14) of warfarin rats and in 10% (1/10) of apixaban rats. Controls did not show
cerebral bleeding complications (chi-square not significant). Mortality rate at
study termination was 33% (2/6) in controls, 43% (6/14) in the warfarin group,
and 60% (6/10) in the apixaban group. Animals died from extracerebral
complications in most cases. Our study describes an experimental intracerebral
hemorrhage model in the context of sustained hypertension and long-term
anticoagulation. Extracerebral bleeding complications occurred more often in
warfarin-treated animals compared with apixaban and control rats.
PMID- 27189906
TI - Induction of epithelial to mesenchymal transition (EMT) and inhibition on
adipogenesis: Two different sides of the same coin? Feasible roles and mechanisms
of transforming growth factor beta1 (TGF-beta1) in age-related thymic involution.
AB - Age-related thymic involution is characterized by a loss of thymic epithelial
cells (TECs) and a concomitant increase in adipocytes, but the mechanisms
involved in thymic adipogenesis are still not clear. Transforming growth factor
beta1 (TGF-beta1) is a pleiotropic cytokine that has been reported to be up
regulated with age in thymic stromal cells in both human and mouse. However, the
exact role of TGF-beta1 in age-related thymic involution remains to be further
elucidated. On the basis of previous findings, we propose a novel hypothesis that
TGF-beta1 functions a dual role in age-related thymic involution. On one hand, up
regulation of TGF-beta1 promotes epithelial to mesenchymal transition (EMT)
process in TECs via activating forkhead box protein C2 (FoxC2). On the other
hand, TGF-beta1 inhibits the transdifferentiation of EMT-derived mesenchymal
cells to adipocytes in the thymus. If confirmed, our hypothesis will not only
provide further evidence supporting that the transdifferentiation of TECs into
pre-adipocytes represents a source of thymic adiposity during age-related thymic
involution, but also uncover a unique role of TGF-beta1 in the
transdifferentiation of TECs into pre-adipocytes. Collectively, the inhibition of
TGF-beta1 may serve as a strategy to hinder age-related thymic involution or even
to restore thymic function in the elderly.
PMID- 27189907
TI - Adipokines, inflammatory mediators, and insulin-resistance parameters may not be
good markers of metabolic syndrome after liver transplant.
AB - OBJECTIVE: The role of adipokines in liver transplantation (LTx) recipients who
have metabolic syndrome (MetS) has seldom been assessed. The aim of this study
was to investigate the concentrations of adipokines, inflammatory mediators, and
insulin-resistance markers in liver recipients with MetS and its components.
METHODS: Serum samples from 34 patients (55.9% male; 54.9 +/- 13.9 y; 7.7 +/- 2.9
y after LTx; 50% presented with MetS) were assessed for adiponectin, resistin,
tumor necrosis factor (TNF)-alpha, monocyte chemoattractant protein (MCP)-1,
interleukin (IL)-6, C-reactive protein (CRP), homeostatic model assessment
insulin resistance (HOMA-IR) and free fatty acid (FFA) levels. The dosages were
uni- and multivariate analyzed to cover MetS (using the Harmonizing MetS
criteria), its components, and dietary intake. RESULTS: A higher concentration of
adiponectin (P < 0.05) was observed among patients with MetS (5.2 +/- 3.2 MUg/mL)
compared with those without MetS (3.2 +/- 1.2 MUg/mL), as well as those with MetS
components versus those without them: abdominal obesity (4.6 +/- 2.6 MUg/mL
versus 2.6 +/- 0.6 MUg/mL), high triacylglycerols (TGs; 5.6 +/- 3.1 MUg/mL versus
3 +/- 0.9 MUg/mL) and low high-density lipoprotein (HDL; 6.1 +/- 2.7 MUg/mL
versus 3.3 +/- 1.9 MUg/mL). Increased TNF-alpha and HOMA-IR values were seen in
patients with abdominal obesity. Patients with high TGs also had greater FFA
values. Independent predictors for adiponectin were waist-to-hip ratio, low HDL
and high TGs. High TGs and fasting blood glucose were independent predictors for
HOMA-IR. Independent predictors could not be identified for CRP, TNF-alpha, MCP
1, IL-6, or FFA. CONCLUSIONS: MetS and its components are related to an increased
HOMA-IR concentration and FFA. Adiponectin, resistin, and inflammatory markers,
such as TNF-alpha, IL-6, MCP-1, and CRP, were not associated with MetS in this
sample of post-LTx patients.
PMID- 27189908
TI - Adherence to the DASH and Mediterranean diets is associated with decreased risk
for gestational diabetes mellitus.
AB - OBJECTIVES: Few studies have examined the association between adherence to the
Dietary Approaches to Stop Hypertension (DASH) or Mediterranean (MED) diets and
prevalence of gestational diabetes mellitus (GDM). The aim of the present study
was to evaluate the association between the two diets and GDM. METHODS: In a case
control hospital-based study, pregnant women with (n = 200) and without (n = 260)
GMD were recruited. An average of three 24-h dietary records were used to assess
participants' dietary intakes. DASH scores were calculated based on the Fung
method and MED scores were calculated using the Trichopoulou method. GDM was
defined as fasting glucose >95 mg/dL or 1-h postprandial glucose >140 mg/dL for
the first time in the pregnancy. The risk for GDM was assessed across tertiles of
DASH and MED scores. RESULTS: DASH and MED diets were negatively related to
fasting blood glucose, hemoglobin A1c, and serum triacylglycerol concentrations.
High-density lipoprotein cholesterol was significantly higher for those in the
top tertile of the DASH diet but not the MED diet in comparison with the lowest
tertile. Total serum cholesterol level was lower in the third tertile of the MED
diet but not in the DASH diet. Participants in the highest tertile of the MED
diet had 80% lower risk for GDM compared with those in the lowest tertile (Ptrend
= 0.006). Greater adherence to the DASH eating plan was associated with 71%
reduced risk for GDM (Ptrend = 0.006) after adjustment for potential confounders.
CONCLUSION: Adherence to either the DASH or Mediterranean diet is associated with
decreased risk for GDM.
PMID- 27189909
TI - Moderately high doses of the artificial sweetener saccharin potentially induce
sleep disorders in mice.
AB - OBJECTIVES: Caloric sweeteners such as sugar and honey are replaced in thousands
of food products by noncaloric artificial sweeteners (NASs). The aim of the
present study was to determine the effects of chronic NAS intake on circadian
sleep regulation. METHODS: Circadian rhythms of sleep and locomotor activity were
evaluated in mice after consumption of drinking water containing 0.1% (w/v)
saccharin for 2 wk. RESULTS: The intake of saccharin ad libitum significantly
reduced wakefulness and increased non-rapid eye movement sleep during the first
half of the active (dark) phase, whereas wakefulness was significantly increased
at the start of the sleep phase. Saccharin consumption obviously reduced
spontaneous activity during the first half of the dark period. CONCLUSIONS: The
findings suggest that NASs disturb the circadian sleep-wake cycle and cause
behavioral inactivity in mice.
PMID- 27189910
TI - Biologic Therapies and Risk of Infection and Malignancy in Patients With
Inflammatory Bowel Disease: A Systematic Review and Network Meta-analysis.
AB - BACKGROUND & AIMS: Safety issues are a major concern for patients considering
treatments for inflammatory bowel disease (IBD). We performed a systematic review
and meta-analysis to determine whether biologic agents affect the risk of
infection or malignancy in adults with IBD. METHODS: We searched PubMed, Embase,
Scopus, Cochrane IBD Group Specialized Trials Register, World Health Organization
International Clinical Trials Registry Platform, and ClinicalTrials.gov through
March 2016 for randomized placebo-controlled or head-to-head trials of biologic
agents approved for treatment of adults with IBD (ie, adalimumab, certolizumab,
golimumab, infliximab, natalizumab, or vedolizumab). Two reviewers independently
extracted study data and outcomes (serious infections, opportunistic infections,
tuberculosis, any infection, and malignancies) and rated each trial's risk of
bias. We used conventional meta-analysis to synthesize direct evidence and a
network meta-analysis for adjusted indirect treatment comparisons. RESULTS: We
identified 49 randomized placebo-controlled studies comprising 14,590
participants. Synthesis of the evidence indicated that patients treated with
biologics had a moderate increase in risk of any infection (odds ratio [OR],
1.19; 95% confidence interval [CI], 1.10-1.29) and a significant increase in risk
of opportunistic infections (OR, 1.90; 95% CI, 1.21-3.01). Risk of serious
infections was not increased in patients treated with biologics (OR, 0.89; 95%
CI, 0.71-1.12). On the contrary, biologics appeared to significantly reduce risk
of serious infections in studies with low risk of bias (OR, 0.56; 95% CI, 0.35
0.90). We did not find an increased risk of malignancy with use of biologic
agents (OR, 0.90; 95% CI, 0.54-1.50), but data were insufficient in terms of
exposure and follow-up times. None of the indirect comparisons, either among the
individual agents or between the anti-tumor necrosis factor and anti-integrin
classes, reached significance for any of the outcomes analyzed. CONCLUSIONS: On
the basis of a systematic review and meta-analysis, biologic agents increase the
risk of opportunistic infections in patients with IBD, but not the risk of
serious infections. It is necessary to continue to monitor the comparative and
long-term safety profiles of these drugs.
PMID- 27189911
TI - Personalized Technologies in Chronic Gastrointestinal Disorders: Self-monitoring
and Remote Sensor Technologies.
AB - With increased access to high-speed Internet and smartphone devices, patients
have started to use mobile applications (apps) for various health needs. These
mobile apps are now increasingly used in integration with telemedicine and
wearables to support fitness, health education, symptom tracking, and
collaborative disease management and care coordination. More recently, evidence
(especially around remote patient monitoring) has started to build in some
chronic diseases, and some of the digital health technologies have received
approval from the Food and Drug Administration. With the changing healthcare
landscape and push for value-based care, adoption of these digital health
initiatives among providers is bound to increase. Although so far there is a
dearth of published evidence about effectiveness of these apps in
gastroenterology care, there are ongoing trials to determine whether remote
patient monitoring can lead to improvement in process metrics or outcome metrics
for patients with chronic gastrointestinal diseases.
PMID- 27189913
TI - Three-dimensional Printing in the Intestine.
AB - Intestinal transplantation remains a life-saving option for patients with severe
intestinal failure. With the advent of advanced tissue engineering techniques,
great strides have been made toward manufacturing replacement tissues and organs,
including the intestine, which aim to avoid transplant-related complications. The
current paradigm is to seed a biocompatible support material (scaffold) with a
desired cell population to generate viable replacement tissue. Although this
technique has now been extended by the three-dimensional (3D) printing of
geometrically complex scaffolds, the overall approach is hindered by relatively
slow turnover and negative effects of residual scaffold material, which affects
final clinical outcome. Methods recently developed for scaffold-free 3D
bioprinting may overcome such obstacles and should allow for rapid manufacture
and deployment of "bioprinted organs." Much work remains before 3D bioprinted
tissues can enter clinical use. In this brief review we examine the present state
and future perspectives of this nascent technology before full clinical
implementation.
PMID- 27189912
TI - Negative Effects on Psychological Health and Quality of Life of Genuine Irritable
Bowel Syndrome-type Symptoms in Patients With Inflammatory Bowel Disease.
AB - BACKGROUND & AIMS: Symptoms compatible with irritable bowel syndrome (IBS) are
common in patients with inflammatory bowel disease (IBD), but it is unclear
whether this relates to occult IBD activity. We attempted to resolve this issue
in a secondary care population by using a cross-sectional study design. METHODS:
We analyzed Rome III IBS symptoms, disease activity indices, and psychological,
somatization, and quality of life data from 378 consecutive, unselected adult
patients with IBD seen in clinics at St James's University Hospital in Leeds,
United Kingdom from November 2012 through June 2015. Participants provided a
stool sample for fecal calprotectin (FC) analysis; levels >=250 MUg/g were used
to define mucosal inflammation. By using symptom data and FC levels we identified
4 distinct groups of patients: those with true IBS-type symptoms (IBS-type
symptoms with FC levels <250 MUg/g, regardless of disease activity indices),
quiescent IBD (no IBS-type symptoms with FC levels <250 MUg/g, regardless of
disease activity indices), occult inflammation (normal disease activity indices
and FC levels >=250 MUg/g, regardless of IBS symptom status), or active IBD
(abnormal disease activity indices with FC levels >=250 MUg/g, regardless of IBS
symptom status). We compared characteristics between these groups. RESULTS: Fifty
seven of 206 patients with Crohn's disease (27.7%) and 34 of 172 patients with
ulcerative colitis (19.8%) had true IBS-type symptoms. Levels of psychological
comorbidity and somatization were significantly higher among patients with true
IBS-type symptoms than patients with quiescent IBD or occult inflammation.
Quality of life levels were also significantly reduced compared with patients
with quiescent disease or occult inflammation and were similar to those of
patients with active IBD. By using FC levels >=100 MUg/g to define mucosal
inflammation, we found a similar effect of IBS-type symptoms on psychological
health and quality of life. CONCLUSIONS: In a cross-sectional study, we
identified a distinct group of patients with IBD and genuine IBS-type symptoms in
the absence of mucosal inflammation. These symptoms had negative effects on
psychological well-being and quality of life to the same degree as active IBD.
New management strategies are required for this patient group.
PMID- 27189914
TI - Endoscopic Therapy With Lumen-apposing Metal Stents Is Safe and Effective for
Patients With Pancreatic Walled-off Necrosis.
AB - BACKGROUND & AIMS: Endoscopic ultrasound-guided transmural drainage and
necrosectomy have become the standard treatment for patients with pancreatic
walled-off necrosis (WON). Lumen-apposing metal stents (LAMS) have shown success
in the management of pancreatic fluid collections. However, there are few data on
their specific roles in management of WON. We investigated the efficacy and
safety of LAMS in treatment of WON. METHODS: We performed a retrospective
multicenter case series of 124 patients with WON who underwent endoscopic
transmural drainage by using LAMS at 17 tertiary care centers from January 2014
through May 2015. Patients underwent endoscopic ultrasound-guided
cystogastrostomy or cystoenterostomy with placement of an LAMS into the WON
collection. At the discretion of the endoscopist, we performed direct endoscopic
necrosectomy, irrigation with hydrogen peroxide, and/or nasocystic drain
placement. We performed endoscopic retrograde cholangiopancreatography with
pancreatic duct stent placement when indicated. Concomitant therapies included
direct endoscopic debridement (n = 78), pancreatic duct stent placement for leak
(n = 19), hydrogen peroxide-assisted necrosectomy (n = 38), and nasocystic
irrigation (n = 22). We collected data for a median time of 4 months (range, 1-34
months) after the LAMS placement. The primary outcomes were rates of technical
success (successful placement of the LAMS), clinical success (resolution of WON,
on the basis of image analysis, without need for further intervention via surgery
or interventional radiology), and adverse events. RESULTS: The median size of the
WON was 9.5 cm (range, 4-30 cm). Eight patients had 2 LAMS placed for multiport
access, all with technical success (100%). Clinical success was achieved in 107
patients (86.3%) after 3 months of follow-up. Thirteen patients required a
percutaneous drain, and 3 required a surgical intervention to manage their WON.
The stents remained patent in 94% of patients (117 of 124) and migrated in 5.6%
of patients (7 of 124). The median number of endoscopic interventions was 2
(range, 1-9 interventions). CONCLUSIONS: On the basis of a retrospective analysis
of 124 patients, endoscopic therapy of WON by using LAMS is safe and effective.
Creation of a large and sustained cystogastrostomy or cystoenterostomy tract is
effective in the drainage and treatment of WON.
PMID- 27189916
TI - Appropriateness of Testing for Anti-Tumor Necrosis Factor Agent and Antibody
Concentrations, and Interpretation of Results.
AB - BACKGROUND & AIMS: The availability of tests for blood concentrations of anti
tumor necrosis factor (TNF) agents and antibodies against these drugs could
improve dose selection for patients with inflammatory bowel disease (IBD).
However, there is little consensus on when to test and how to interpret test
results. We used the RAND/UCLA Appropriateness Method to determine when these
tests are appropriate and how to clinically interpret their results. METHODS: We
conducted a systematic literature search in November 2013 to identify
observational or experimental studies of the measurement of anti-TNF drug and
antibody concentrations in patients with IBD and interpretation of their results.
We developed 35 scenarios that assessed the appropriateness of testing and 143
scenarios that addressed clinical strategies in response to test results, and
presented the findings to an expert panel. The appropriateness of each scenario
was rated before and after an in-person meeting with the panel. Panelists rated
the appropriateness of various clinical management options including changing
therapy within class, switching out of class, adjusting drug dose or interval,
adding or adjusting concomitant immune modulators, and doing nothing for each of
6 permutations of high versus low drug concentrations and high, low, or
undetectable antibody concentrations. Disagreement was assessed using a validated
index. RESULTS: Assessment of anti-TNF drug and antibody concentrations was rated
appropriate at the end of induction therapy in primary nonresponders, in
secondary nonresponders, at least once during the first year of maintenance
therapy, and following a drug holiday. Routine assessment in responders at the
end of induction was rated uncertain. In nearly all scenarios, escalation of drug
dosing was rated appropriate when drug concentration was low in the absence of
antibodies, and switching within class was rated appropriate when antibodies were
present. Other recommendations depended on the specific clinical scenario for
which the test was obtained. CONCLUSIONS: Based on the RAND/UCLA Appropriateness
Method of analysis, an expert panel recommends testing for drug and antibody
concentrations in many clinical scenarios. The appropriate timing and best way to
respond to anti-TNF drug and antibody testing for IBD depends on the specific
clinical scenario. These recommendations can help guide clinicians to best
optimize anti-TNF therapy.
PMID- 27189915
TI - A Model to Identify Sarcopenia in Patients With Cirrhosis.
AB - BACKGROUND & AIMS: The severe depletion of muscle mass at the third lumbar
vertebral level (sarcopenia) is a marker of malnutrition and is independently
associated with mortality in patients with cirrhosis. Instead of monitoring
sarcopenia by cross-sectional imaging, we investigated whether ultrasound-based
measurements of peripheral muscle mass, measures of muscle function, along with
nutritional factors, are associated with severe loss of muscle mass. METHODS: We
performed a prospective study of 159 outpatients with cirrhosis (56% male; mean
age, 58 +/- 10 years; mean model for end-stage liver disease score, 10 +/- 3; 60%
Child-Pugh class A) evaluated at the Cirrhosis Care Clinic at the University of
Alberta Hospital from March 2011 through September 2012. Lumbar skeletal muscle
indices were determined by computed tomography or magnetic resonance imaging. We
collected clinical data and data on patients' body composition, nutrition, and
thigh muscle thickness (using ultrasound analysis). We also measured mid-arm
muscle circumference, mid-arm circumference, hand grip, body mass index, and
serum level of albumin; patients were evaluated using the subjective global
assessment scale. Findings from these analyses were compared with those from
cross-sectional imaging, for each sex, using logistic regression analysis.
RESULTS: Based on cross-sectional imaging analysis, 43% of patients had
sarcopenia (57% of men and 25% of women). Results from the subjective global
assessment, serum level of albumin, and most nutritional factors were
significantly associated with sarcopenia. We used multivariate analysis to
develop a model to identify patients with sarcopenia, and developed a nomogram
based on body mass index and thigh muscle thickness for patients of each sex. Our
model identified men with sarcopenia with an area under the receiver operating
characteristic curve value of 0.78 and women with sarcopenia with an area under
the receiver operating characteristic curve value of 0.89. CONCLUSIONS: In a
prospective study of patients with cirrhosis, we found that the combination of
body mass index and thigh muscle thickness (measured by ultrasound) can identify
male and female patients with sarcopenia almost as well as cross-sectional
imaging (area under the receiver operating characteristic curve values of 0.78
and 0.89, respectively). These factors might be used in screening and routine
nutritional monitoring of patients with cirrhosis.
PMID- 27189918
TI - Characterization of the gene encoding a fibrinogen-related protein expressed in
Crassostrea gigas hemocytes.
AB - Four exons of the CgFrep1 gene (3333 bp long) encode a putative fibrinogen
related protein (324 aa) bearing a single C-terminal FBG domain. Transcripts of
the gene obtained from hemocytes of different Pacific oysters show prominent
individual variation based on SNP and indels of tandem repeats resulted in
polymorphism of N-terminus of the putative CgFrep1 polypeptide. The polypeptide
chain bears N-terminal coiled-coil region potentially acting as inter-subunit
interface in the protein oligomerization. It is suggested that CgFrep1 gene
encodes the oligomeric lectin composed of at least two subunits.
PMID- 27189917
TI - The mucosal expression signatures of g-type lysozyme in turbot (Scophthalmus
maximus) following bacterial challenge.
AB - The mucosal surfaces constitute the first line of host defense against infection,
and also serve as the dynamic interfaces that simultaneously mediate a diverse
array of critical physiological processes, while in constantly contact with a
wide range of pathogens. The lysozymes are considered as key components for
innate immune response to pathogen infection with their strong antibacterial
activities. But their activities in mucosal immune responses were always
overlooked, especially for g-type lysozymes, whose expression patterns in mucosal
tissues following bacterial challenge are still limited. Towards to this end,
here, we characterized the g-type lysozymes, Lyg1 and Lyg2 in turbot, and
determined their expression patterns in mucosal barriers following different
bacterial infection. The phylogenetic analysis revealed the turbot g-type
lysozyme genes showed the closest relationship to Cynoglossus semilaevis. The two
lysozyme genes showed different expression patterns following challenge. Lyg2 was
significantly up-regulated in mucosal tissues following Vibrio anguillarum and
Streptococcus iniae challenge, while Lyg1 showed a general trend of down
regulation. The significant mucosal expression signatures of g-type lysozyme
genes indicated their key roles to prevent pathogen attachment and entry in the
first line of host defense system. Further functional studies should be carried
out to better characterize the availability of utilization of g-type lysozyme to
increase the disease resistance in the mucosal surfaces and facilitate the
disease resistant breeding selection.
PMID- 27189919
TI - Residual total pancreatectomy: Short- and long-term outcomes.
AB - BACKGROUND/OBJECTIVES: Because of limited numbers of patients, there are limited
data available regarding outcomes after residual total pancreatectomy (R-TP).
This study aimed to assess outcomes after the R-TP vs the one-stage total
pancreatectomy (O-TP), especially focused on the pancreatic adenocarcinoma cases.
METHODS: From 2005 to 2014, all patients who underwent the R-TP (n = 8) and the O
TP (n = 12) for pancreatic primary malignancy were prospectively enrolled.
RESULTS: The median time from the initial operation to the R-TP was 30 months.
Ten patients in the O-TP group and 8 in the R-TP had pancreatic adenocarcinoma.
Postoperative complications occurred in two O-TP patients and one R-TP patient.
There was no in-hospital mortality. At 12 months after surgery, the median
insulin dose was 27 U/day after the O-TP and 24 U/day after the R-TP, the median
hemoglobin A1c was 7.2% after the O-TP and 6.9% after the R-TP. There was a
significantly larger reduction in body weight after the O-TP than after the R-TP.
Postoperative fatty liver disease occurred in about half of the patients in each
group. In patients with pancreatic adenocarcinoma, the 2-year overall survival
rate was not significantly different (68.6% after the O-TP vs 71.4% after the R
TP). CONCLUSIONS: Although the postoperative morbidity and nutritional statuses
should be improved, these favorable short- and long-term outcomes demonstrate
that the R-TP is a feasible procedure for patients with malignant tumor in the
remnant pancreas.
PMID- 27189920
TI - How Prepared Are Hospitals' Emergency Management Capacity? Factors Influencing
Efficiency of Disaster Rescue.
AB - OBJECTIVES: In light of government investment over the past decade, we explored
the capacity for disaster response in Heilongjiang Province, identifying the
factors that affect response capacity. METHODS: We surveyed 1257 medical staff in
65 secondary and tertiary hospitals in Heilongjiang province to explore their
perceptions of disaster management capacity using a cross-sectional multistage,
stratified cluster sampling method. RESULTS: All tertiary hospitals (100%) and
most secondary hospitals (93%) have documented disaster management plans that are
regularly reviewed. In secondary hospitals, drills were less prevalent (76.7%)
but the occurrence of simulated training exercises was closer to tertiary
hospitals (86.0%). We noted that 95.4% of all hospitals have leadership groups
responsible for disaster preparedness capacity building, but only 10.8% have a
stockpiled network of reserve supplies. CONCLUSIONS: Although response capacity
has improved in Heilongjiang Province, vulnerabilities remain. We recommend that
priorities should be targeted at preparedness capacity building, in terms of
reliable and relevant operational response plans, the expansion of existing
response mechanisms to oversee local education and scenario training, and to
ensure there is sufficient access to protective equipment and materials, either
held in reserve, or alternatively by activating resilient supply chain
mechanisms. (Disaster Med Public Health Preparedness. 2018;12:176-183).
PMID- 27189921
TI - Tungstate as a Transition State Analog for Catalysis by Alkaline Phosphatase.
AB - The catalytic mechanisms underlying Escherichia coli alkaline phosphatase's (AP)
remarkable rate enhancement have been probed extensively. Past work indicated
that whereas the serine nucleophile (Ser102) electrostatically repels the product
phosphate, another oxyanion, tungstate, binds more strongly in the presence of
Ser102. These results predict a covalent bond between the serine nucleophile and
tungstate, a model that we test herein. The crystal structure of tungstate-bound
alkaline phosphatase provides evidence for a covalent adduct model and further
shows that the ligand adopts trigonal bipyramidal geometry, which is infrequently
observed for tungstate in small molecules and other active sites but mirrors the
geometry of the presumed phosphoryl transfer transition state. The AP active site
is known to stabilize another oxyanion, vanadate, in trigonal bipyramidal
geometry, but the extent to which binding of either ligand reproduces the
energetics of the transition state cannot be deduced from structural inspection
alone. To test for transition state analog behavior, we determined the
relationship between catalytic activity and affinity for tungstate and vanadate
for a series of 20 AP variants. Affinity and activity were highly correlated for
tungstate (r(2) = 0.89) but not vanadate (r(2) = 0.23), indicating that the
tungstate*AP complex may better mimic this enzyme's transition state properties.
The results herein suggest that tungstate will be a valuable tool for further
dissecting AP catalysis and may prove helpful in mechanistic studies of other
phosphoryl transfer enzymes.
PMID- 27189923
TI - Use of the Delta plate for surgical treatment of patients with condylar
fractures.
AB - PURPOSE: The purpose of this study was to summarize the results of our own
experience in the application of the Delta plate for surgical treatment of
condylar fractures. MATERIAL AND METHODS: The research was carried out in 42
patients of the Department for Maxillofacial Surgery, Hospital of the Ministry of
Interior in Kielce (Poland), who were surgically treated in the years 2013-2015
after a unilateral condylar fracture. To be qualified for surgery patients
fulfilled at least two of the following criteria: (1) presence of occlusal
disorders, (2) presence of displacement of fragments, (3) height decrease of the
mandibular ramus of over 4 mm, or (4) dislocation of the temporomandibular joint.
The first of the above criteria (clinical criterion) was treated as the basic
one, and the others were established based on radiological examinations. For all
patients, a Delta plate fixed with four 2.0-mm screws was applied in order to
achieve a stable osteosynthesis of the fracture. Clinical studies were carried
out in all patients on the first day after the surgery, as well as after 1, 3,
and 6 months. RESULTS: The results presented in this study were based on an
analysis of the most extensive research material in Poland (n = 42). All patients
presented correct mandible mobility on all three planes, and the range of
abduction after the conclusion of the treatment (i.e., 6 months after the
surgery) amounted to average 47 mm (range 45-54 mm). In none of the cases
analyzed in our study was there a breaking of the Delta plate. A rare
complication observed in our own experience was the loosening and partial removal
of one of the fastening screws from the bone and from the hole in the plate. This
failure was observed in three patients (7%). CONCLUSIONS: The application of the
Delta plate for the stable osteosynthesis of condylar fractures ensures fully
satisfactory treatment results, both from the radiological and the clinical
points of view. The use of Delta plates does not involve any significant
complications. There is practically no risk of a breaking of the plate, and
failures in form of the loosening of the retaining screws are very rare and do
not cause any significant disturbances in the fracture healing process.
PMID- 27189924
TI - Le Fort 1 osteotomy and calvarial bone grafting for severely resorbed maxillae.
AB - INTRODUCTION: Long standing maxillary edentulism leads to alveolar ridge
resorption which prevent implant placement and causes prosthetic malocclusion.
The aim of the study was to assess vertical and transversal bone increase
following Le Fort 1 osteotomy associated with calvarial bone grafting. MATERIALS
AND METHODS: 66 patients who presented severely atrophic maxillae were treated
with Le Fort 1 osteotomy with bone grafting from 2003 to 2014. Vertical and
transversal bone level was measured preoperatively and 6 months post-operatively
to calculate the alveolar ridge augmentation. Follow up ranged from 10 months to
11 years. RESULTS: The mean increase of bone height was 9.3 mm and the mean
increase of bone width was 6 mm 417 endosseous implants were placed in the
grafted maxilla. Mean endosseous implant length was of 10.7 mm at the first molar
site (range: 8-16 mm). A total of 25 implants failed, the overall implant
survival rate is of 94%. The definitive prosthetis was fixed in 65% of the
patients and removable in 35% of the patients. DISCUSSION: Le Fort 1 osteotomy
associated with calvarial bone grafting is the main treatment option able to
offer fixed bridge and perfect class 1 occlusion in cases of severe maxillary
atrophy.
PMID- 27189922
TI - Rodent-Adapted Filoviruses and the Molecular Basis of Pathogenesis.
AB - Ebola, Marburg, and Ravn viruses, all filoviruses, are the causative agents of
severe hemorrhagic fever. Much of what we understand about the pathogenesis of
filovirus disease is derived from work with animal models, including nonhuman
primates, which are considered the "gold standard" filovirus model since they
faithfully recapitulate the clinical hallmarks of filovirus disease. However,
rodent models, including the mouse, guinea pig, and hamster, also exist for
Ebola, Marburg, and Ravn viruses, and although they may not reproduce all the
clinical signs of filovirus disease, thanks to their relative ease of use and low
cost, they are often the first choice for initial descriptions of virus
pathogenesis and evaluation of antiviral prophylactics and therapeutics. Since
filoviruses do not cause significant disease in adult, immunocompetent rodents,
these models rely on "rodent-adapted" viruses that have been passaged several
times through their host until virulence and lethality are achieved. In the
process of adaptation, the viruses acquire numerous nucleotide/amino acid
mutations that contribute to virulence in their rodent host. Interestingly, virus
protein 24 (VP24) and nucleoprotein (NP) appear to be major virulence factors for
ebolaviruses in rodents, whereas VP40 appears to be the major virulence factor
for marburgviruses. By characterizing these mutations and understanding the
molecular mechanisms that lead to the acquisition of virulence, we can gain
better insight into the pathogenic processes that underlie filovirus disease in
humans. These processes, and the viral and/or cellular proteins that contribute
to them, will make attractive targets for the development of novel therapeutics
and counter-measures.
PMID- 27189926
TI - Sickness behaviour in the cricket Gryllus texensis: Comparison with animals
across phyla.
AB - Immune activation alters behaviour (i.e. sickness behaviour) in animals across
phyla and is thought to aid recovery from infection. Hypotheses regarding the
adaptive function of different sickness behaviours (e.g. decreased movement and
appetite) include the energy conservation and predator avoidance hypotheses.
These hypotheses were originally developed for mammals (e.g. Hart, 1988), however
similar sickness behaviours are also observed in insects (e.g., crickets). We
predicted that immune-challenged crickets (Gryllus texensis) would reduce
feeding, grooming, and locomotion as well as increase shelter use, consistent
with the energy conservation and predator avoidance hypotheses. We found evidence
of illness-induced anorexia in adult and juvenile crickets, consistent with
previous research (Adamo et al., 2010), but contrary to expectations, we found an
increase in grooming, and no evidence that crickets decreased locomotion or
increased shelter use in response to immune challenge. Therefore, our results do
not support the energy conservation or predator avoidance hypotheses. The
difference in sickness behaviour between insects and mammals is probably due, in
part, to the lack of physiological fever in insects. We hypothesize that the lack
of physiological fever reduces the need for energy conservation, decreasing the
benefits of some sickness behaviours such as increased shelter use. These
results, taken together with others in the literature, suggest that ectotherms
and endotherms may differ significantly in the selective forces leading to the
evolution of most sickness behaviours.
PMID- 27189925
TI - Entomological assessment of yellow fever-epidemic risk indices in Benue State,
Nigeria, 2010-2011.
AB - Yellow fever (YF) is a vector-borne disease affecting humans and non-human
primates in tropical areas. In the past, there have been pockets of YF outbreaks
in Nigeria that resulted in preventable deaths. Surveillance efforts towards
avoiding another outbreak have been put in place with the aim of early detection
and control. However, risk indices relating to the density of immature YF
mosquito vectors are given little consideration even though it is the first step
in curbing a possible outbreak. Immature collections from 1538 houses in Ega,
Oju, Otukpoicho and Otukpo in Benue State were carried out in 2010 and 2011. Risk
indices such as house index (HI), container index (CI) and Breteau index (BI)
were estimated. Molecular detection of YF was carried out on randomly selected
Aedes larvae and pupae. Overall, 431,381 mosquitoes were collected in and around
house premises. Thirteen species were identified: Ae. aegypti (Linneaus), Ae.
africanus (Theobald), Ae. albopictus (Skuse), Ae. cumminsii (Theobald), Ae.
luteocephalus (Newstead), Ae. simpsoni s.l. (Theobald), Ae. vittatus (Bigot),
Anopheles gambiae Giles, An. nili (Theobald), Cx. nebulosus Theobald, Culex
quinquefasciatus Say, Lutzia tigripes (Grandpre and Charmoy) and Toxorhynchites
brevipalpis Theobald. The HI, CI and BI for Ae. aegypti were high in all the
study locations, but low for Ae. lueteocephalus except in Ega. With 50 immature
Aedes mosquitoes screened across locations, only Ae. aegypti from Ega were
positive for YF. This study places Ega on a high alert of an impending YF
outbreak. Thus, urgent steps to clear this area of potential mosquito sites are
highly recommended.
PMID- 27189927
TI - "Pseudoprogression" of Pulmonary Pleomorphic Carcinoma during Nivolumab Therapy.
PMID- 27189928
TI - The Loop Technique: Addressing Celiac Artery Dissection in a Branched and
Fenestrated Endograft for the Treatment of a Type III Thoracoabdominal Aneurysm.
AB - PURPOSE: To describe a bailout technique for use during branched/fenestrated
thoracoabdominal aortic aneurysm (TAAA) repair to address celiac artery (CA)
dissection. TECHNIQUE: The technique is demonstrated in a 69-year-old man who
underwent fenestrated stent-graft repair of a 6-cm type III TAAA. The main
fenestrated stent-graft was positioned without difficulty, and the renal and
superior mesenteric arteries were stented. A stent-graft was placed in the CA,
but angiography showed the vessel to be occluded by dissection beyond the stent
graft. Wire manipulations to regain access to the true lumen culminated in
perforation. At this point, the gastroduodenal artery was catheterized with a
0.035-inch guidewire, which was advanced in retrograde fashion into the CA true
lumen and then snared back to the left brachial artery forming a loop. After
exchange for a 0.014-inch system, self-expanding stents were deployed into the
hepatic artery. Postoperative recovery was uneventful, and the patient was
discharged on day 6. At 1-year follow-up, the patient was doing very well.
Imaging demonstrated no endoleak, no graft migration, and sac shrinkage to a
diameter of 5.1 cm. CONCLUSION: The "loop technique" can be a very useful and
effective bailout maneuver in regaining access to the true lumen of a dissected
CA in patients undergoing branched/fenestrated thoracoabdominal aortic aneurysm
repair.
PMID- 27189929
TI - Retinal Sensitivity at the Junctional Zone of Eyes With Geographic Atrophy Due to
Age-Related Macular Degeneration.
AB - PURPOSE: To compare the retinal sensitivity at the junctional zone and uninvolved
retina of eyes with geographic atrophy (GA) due to age-related macular
degeneration (AMD). DESIGN: Cross-sectional, observational study. METHODS:
Patients with dry AMD were evaluated by microperimetry and Cirrus optical
coherence tomography (OCT). The GA lesion was segmented on en face OCT images and
registered to color images with the microperimetric sensitivity values. The
junctional zone, a ring 500 MUm in width, surrounding the region of atrophy was
further subdivided into "subzones": Zone 1 at the precise border of atrophy; Zone
2 as the center of this junctional region; Zone 3 at the border between the
junctional zone and adjacent "normal" retina. An additional Zone 4 was defined as
"normal" retina, at least 500 MUm from the edge of the GA lesion. The mean
sensitivities of all stimuli within each of these zones (across the entire
cohort) were compared. RESULTS: In 36 eyes with GA, the mean retinal sensitivity
in the various subzones was as follows: Zone 1 = 13.7 +/- 4.7, Zone 2 = 20.3 +/-
3.9, Zone 3 = 20.9 +/- 3.9, and Zone 4= 21.1 +/- 4.1 (all in dB). Zone 1
(atrophic margin) sensitivity was significantly lower than all other zones (P <
.001 for all comparisons), but there were no differences between the other zones.
CONCLUSION: Retinal sensitivity appears to drop precipitously at the margins of
GA lesions. The retinal sensitivity in the bulk of the junctional zone is similar
to apparently uninvolved distant regions.
PMID- 27189930
TI - Pars Plana Vitrectomy Combined With Either Secondary Scleral-Fixated or Anterior
Chamber Intraocular Lens Implantation.
AB - PURPOSE: To compare visual outcomes among eyes that underwent pars plana
vitrectomy (PPV) in combination with either anterior chamber intraocular lens
implantation (ACIOL) or scleral suturing of posterior chamber lens (PCIOL).
DESIGN: Retrospective comparative case series. METHODS: All eyes presented with
aphakia or luxated or subluxated posterior chamber intraocular lens (IOL)
following complicated cataract surgery, trauma, or spontaneous dislocation. Eyes
involving visually significant macular pathology, past retinal detachment, follow
up of less than 6 months, and surgeries requiring the removal of an ACIOL were
excluded. The main outcomes measured were final best-corrected visual acuity
(BCVA) and surgical complication rates. RESULTS: Fifty-seven eyes met inclusion
criteria; median follow-up was 13.2 months. Initial median BCVA for ACIOL
patients was logMAR 1.301 (Snellen equivalent 20/400, range 20/20 to light
perception); final median BCVA was logMAR 0.477 (Snellen equivalent 20/60, range
20/20 to light perception, P < .001). Initial median BCVA for PCIOL patients was
logMAR 1.239 (Snellen equivalent 20/347, range 20/60 to light perception); final
median BCVA was logMAR 0.301 (Snellen equivalent 20/40, range 20/20 to hand
motions, P < .001). The change in BCVA between the 2 groups over the course of
the study was similar (P > .05). More epiretinal membrane (ERM) formations
occurred postoperatively in the ACIOL group (P = .011). Other complication rates
were similar between both groups. CONCLUSIONS: PPV with secondary IOL placement
is safe and effective, resulting in improved visual outcomes regardless of the
technique used. Patients undergoing ACIOL placement have a higher incidence of
ERM formation.
PMID- 27189931
TI - Choroidal and Retinal Thickness in Children With Different Refractive Status
Measured by Swept-Source Optical Coherence Tomography.
AB - PURPOSE: To investigate the choroidal and retinal thickness in myopic,
emmetropic, and hyperopic Chinese children by swept-source longer-wavelength
optical coherence tomography. DESIGN: Cross-sectional study. METHODS: Two-hundred
and seventy-six schoolchildren aged 7-13 years underwent comprehensive ophthalmic
examinations, including cycloplegic refraction, and swept-source optical
coherence tomography measurements. The thickness of the choroid, retina, ganglion
cell layer, and nerve fiber layer were compared among children of different
refractive status. The topographic variation and factors related to the thickness
of the choroid and retinal layers were analyzed. RESULTS: Compared to emmetropic
subjects, those with myopia had a significantly thinner choroid in all regions (P
< .01), and hyperopic subjects had a thicker choroid in most regions (P < .05).
The myopic retinas were thinner than those of emmetropic or hyperopic subjects in
the superior parafoveal and all 4 perifoveal subfields (P < .05), but no other
subfields differed significantly among different refractive groups (P > .05). The
axial length and refractive diopters were independently related to central foveal
choroidal thickness (R(2) = 0.17, P < .01), while age and intraocular pressure
were independently associated with central fovea retinal (R(2) = 0.15, P < .01)
and ganglion cell layer thicknesses (R(2) = 0.10, P < .01) after adjustment for
other systematic and ocular factors. Central foveal choroidal and retinal
thickness were unrelated in children of different refractive status (P > .05).
CONCLUSIONS: Choroidal thickness, but not retinal thickness, correlated closely
with axial length and refractive diopters in Chinese children. Choroid thinning
occurs before retina thinning early in myopic progression.
PMID- 27189933
TI - Emerging role for regulated in development and DNA damage 1 (REDD1) in the
regulation of skeletal muscle metabolism.
AB - Since its discovery, the protein regulated in development and DNA damage 1
(REDD1) has been implicated in the cellular response to various stressors. Most
notably, its role as a repressor of signaling through the central metabolic
regulator, the mechanistic target of rapamycin in complex 1 (mTORC1) has gained
considerable attention. Not surprisingly, changes in REDD1 mRNA and protein have
been observed in skeletal muscle under various physiological conditions (e.g.,
nutrient consumption and resistance exercise) and pathological conditions (e.g.,
sepsis, alcoholism, diabetes, obesity) suggesting a role for REDD1 in regulating
mTORC1-dependent skeletal muscle protein metabolism. Our understanding of the
causative role of REDD1 in skeletal muscle metabolism is increasing mostly due to
the availability of genetically modified mice in which the REDD1 gene is
disrupted. Results from such studies provide support for an important role for
REDD1 in the regulation of mTORC1 as well as reveal unexplored functions of this
protein in relation to other aspects of skeletal muscle metabolism. The goal of
this work is to provide a comprehensive review of the role of REDD1 (and its
paralog REDD2) in skeletal muscle during both physiological and pathological
conditions.
PMID- 27189934
TI - Perilipin 5 is dispensable for normal substrate metabolism and in the adaptation
of skeletal muscle to exercise training.
AB - Cytoplasmic lipid droplets provide a reservoir for triglyceride storage and are a
central hub for fatty acid trafficking in cells. The protein perilipin 5 (PLIN5)
is highly expressed in oxidative tissues such as skeletal muscle and regulates
lipid metabolism by coordinating the trafficking and the reversible interactions
of effector proteins at the lipid droplet. PLIN5 may also regulate mitochondrial
function, although this remains unsubstantiated. Hence, the aims of this study
were to examine the role of PLIN5 in the regulation of skeletal muscle substrate
metabolism during acute exercise and to determine whether PLIN5 is required for
the metabolic adaptations and enhancement in exercise tolerance following
endurance exercise training. Using muscle-specific Plin5 knockout mice
(Plin5(MKO)), we show that PLIN5 is dispensable for normal substrate metabolism
during exercise, as reflected by levels of blood metabolites and rates of
glycogen and triglyceride depletion that were indistinguishable from control
(lox/lox) mice. Plin5(MKO) mice exhibited a functional impairment in their
response to endurance exercise training, as reflected by reduced maximal running
capacity (20%) and reduced time to fatigue during prolonged submaximal exercise
(15%). The reduction in exercise performance was not accompanied by alterations
in carbohydrate and fatty acid metabolism during submaximal exercise. Similarly,
mitochondrial capacity (mtDNA, respiratory complex proteins, citrate synthase
activity) and mitochondrial function (oxygen consumption rate in muscle fiber
bundles) were not different between lox/lox and Plin5(MKO) mice. Thus, PLIN5 is
dispensable for normal substrate metabolism during exercise and is not required
to promote mitochondrial biogenesis or enhance the cellular adaptations to
endurance exercise training.
PMID- 27189935
TI - No insulating effect of obesity.
AB - The development of obesity may be aggravated if obesity itself insulates against
heat loss and thus diminishes the amount of food burnt for body temperature
control. This would be particularly important under normal laboratory conditions
where mice experience a chronic cold stress (at ~20 degrees C). We used
Scholander plots (energy expenditure plotted against ambient temperature) to
examine the insulation (thermal conductance) of mice, defined as the inverse of
the slope of the Scholander curve at subthermoneutral temperatures. We verified
the method by demonstrating that shaved mice possessed only half the insulation
of nonshaved mice. We examined a series of obesity models [mice fed high-fat
diets and kept at different temperatures, classical diet-induced obese mice,
ob/ob mice, and obesity-prone (C57BL/6) vs. obesity-resistant (129S) mice]. We
found that neither acclimation temperature nor any kind or degree of obesity
affected the thermal insulation of the mice when analyzed at the whole mouse
level or as energy expenditure per lean weight. Calculation per body weight
erroneously implied increased insulation in obese mice. We conclude that, in
contrast to what would be expected, obesity of any kind does not increase thermal
insulation in mice, and therefore, it does not in itself aggravate the
development of obesity. It may be discussed as to what degree of effect excess
adipose tissue has on insulation in humans and especially whether significant
metabolic effects are associated with insulation in humans.
PMID- 27189932
TI - Insights into the role of neuronal glucokinase.
AB - Glucokinase is a key component of the neuronal glucose-sensing mechanism and is
expressed in brain regions that control a range of homeostatic processes. In this
review, we detail recently identified roles for neuronal glucokinase in glucose
homeostasis and counterregulatory responses to hypoglycemia and in regulating
appetite. We describe clinical implications from these advances in our knowledge,
especially for developing novel treatments for diabetes and obesity. Further
research required to extend our knowledge and help our efforts to tackle the
diabetes and obesity epidemics is suggested.
PMID- 27189936
TI - Negatively Charged Lipids as a Potential Target for New Amphiphilic
Aminoglycoside Antibiotics: A BIOPHYSICAL STUDY.
AB - Bacterial membranes are highly organized, containing specific microdomains that
facilitate distinct protein and lipid assemblies. Evidence suggests that
cardiolipin molecules segregate into such microdomains, probably conferring a
negative curvature to the inner plasma membrane during membrane fission upon cell
division. 3',6-Dinonyl neamine is an amphiphilic aminoglycoside derivative active
against Pseudomonas aeruginosa, including strains resistant to colistin. The
mechanisms involved at the molecular level were identified using lipid models
(large unilamellar vesicles, giant unilamelllar vesicles, and lipid monolayers)
that mimic the inner membrane of P. aeruginosa The study demonstrated the
interaction of 3',6-dinonyl neamine with cardiolipin and phosphatidylglycerol,
two negatively charged lipids from inner bacterial membranes. This interaction
induced membrane permeabilization and depolarization. Lateral segregation of
cardiolipin and membrane hemifusion would be critical for explaining the effects
induced on lipid membranes by amphiphilic aminoglycoside antibiotics. The
findings contribute to an improved understanding of how amphiphilic
aminoglycoside antibiotics that bind to negatively charged lipids like
cardiolipin could be promising antibacterial compounds.
PMID- 27189937
TI - Immunoresponsive Gene 1 and Itaconate Inhibit Succinate Dehydrogenase to Modulate
Intracellular Succinate Levels.
AB - Metabolic reprogramming is emerging as a hallmark of the innate immune response,
and the dynamic control of metabolites such as succinate serves to facilitate the
execution of inflammatory responses in macrophages and other immune cells.
Immunoresponsive gene 1 (Irg1) expression is induced by inflammatory stimuli, and
its enzyme product cis-aconitate decarboxylase catalyzes the production of
itaconate from the tricarboxylic acid cycle. Here we identify an immunometabolic
regulatory pathway that links Irg1 and itaconate production to the succinate
accumulation that occurs in the context of innate immune responses. Itaconate
levels and Irg1 expression correlate strongly with succinate during LPS exposure
in macrophages and non-immune cells. We demonstrate that itaconate acts as an
endogenous succinate dehydrogenase inhibitor to cause succinate accumulation.
Loss of itaconate production in activated macrophages from Irg1(-/-) mice
decreases the accumulation of succinate in response to LPS exposure. This
metabolic network links the innate immune response and tricarboxylic acid
metabolism to function of the electron transport chain.
PMID- 27189938
TI - Thiopurine Prodrugs Mediate Immunosuppressive Effects by Interfering with Rac1
Protein Function.
AB - 6-Thiopurine (6-TP) prodrugs include 6-thioguanine and azathioprine. Both are
widely used to treat autoimmune disorders and certain cancers. This study showed
that a 6-thioguanosine triphosphate (6-TGTP), converted in T-cells from 6-TP,
targets Rac1 to form a disulfide adduct between 6-TGTP and the redox-sensitive
GXXXXGK(S/T)C motif of Rac1. This study also showed that, despite the
conservation of the catalytic activity of RhoGAP (Rho-specific GAP) on the 6-TGTP
Rac1 adduct to produce the biologically inactive 6-thioguanosine diphosphate (6
TGDP)-Rac1 adduct, RhoGEF (Rho-specific GEF) cannot exchange the 6-TGDP adducted
on Rac1 with free guanine nucleotide. The biologically inactive 6-TGDP-Rac1
adduct accumulates in cells because of the ongoing combined actions of RhoGEF and
RhoGAP. Because other Rho GTPases, such as RhoA and Cdc42, also possess the
GXXXXGK(S/T)C motif, the proposed mechanism for the inactivation of Rac1 also
applies to RhoA and Cdc42. However, previous studies have shown that CD3/CD28
stimulated T-cells contain more activated Rac1 than other Rho GTPases such as
RhoA and Cdc42. Accordingly, Rac1 is the main target of 6-TP in activated T
cells. This explains the T-cell-specific Rac1-targeting therapeutic action of 6
TP that suppresses the immune response. This proposed mechanism for the action of
6-TP on Rac1 performs a critical role in demonstrating the capability to design a
Rac1-targeting chemotherapeutic agent(s) for autoimmune disorders. Nevertheless,
the results also suggest that the targeting action of other Rho GTPases in other
organ cells, such as RhoA in vascular cells, may be linked to cytotoxicities
because RhoA plays a key role in vasculature functions.
PMID- 27189939
TI - Crystal Structure of a Two-domain Fragment of Hepatocyte Growth Factor Activator
Inhibitor-1: FUNCTIONAL INTERACTIONS BETWEEN THE KUNITZ-TYPE INHIBITOR DOMAIN-1
AND THE NEIGHBORING POLYCYSTIC KIDNEY DISEASE-LIKE DOMAIN.
AB - Hepatocyte growth factor activator inhibitor-1 (HAI-1) is a type I transmembrane
protein and inhibitor of several serine proteases, including hepatocyte growth
factor activator and matriptase. The protein is essential for development as
knock-out mice die in utero due to placental defects caused by misregulated
extracellular proteolysis. HAI-1 contains two Kunitz-type inhibitor domains
(Kunitz), which are generally thought of as a functionally self-contained
protease inhibitor unit. This is not the case for HAI-1, where our results reveal
how interdomain interactions have evolved to stimulate the inhibitory activity of
an integrated Kunitz. Here we present an x-ray crystal structure of an HAI-1
fragment covering the internal domain and Kunitz-1. The structure reveals not
only that the previously uncharacterized internal domain is a member of the
polycystic kidney disease domain family but also how the two domains engage in
interdomain interactions. Supported by solution small angle x-ray scattering and
a combination of site-directed mutagenesis and functional assays, we show that
interdomain interactions not only stabilize the fold of the internal domain but
also stimulate the inhibitory activity of Kunitz-1. By completing our structural
characterization of the previously unknown N-terminal region of HAI-1, we provide
new insight into the interplay between tertiary structure and the inhibitory
activity of a multidomain protease inhibitor. We propose a previously unseen
mechanism by which the association of an auxiliary domain stimulates the
inhibitory activity of a Kunitz-type inhibitor (i.e. the first structure of an
intramolecular interaction between a Kunitz and another domain).
PMID- 27189940
TI - Role of the JP45-Calsequestrin Complex on Calcium Entry in Slow Twitch Skeletal
Muscles.
AB - We exploited a variety of mouse models to assess the roles of JP45-CASQ1 (CASQ,
calsequestrin) and JP45-CASQ2 on calcium entry in slow twitch muscles. In flexor
digitorum brevis (FDB) fibers isolated from JP45-CASQ1-CASQ2 triple KO mice,
calcium transients induced by tetanic stimulation rely on calcium entry via
La(3+)- and nifedipine-sensitive calcium channels. The comparison of excitation
coupled calcium entry (ECCE) between FDB fibers from WT, JP45KO, CASQ1KO,
CASQ2KO, JP45-CASQ1 double KO, JP45-CASQ2 double KO, and JP45-CASQ1-CASQ2 triple
KO shows that ECCE enhancement requires ablation of both CASQs and JP45. Calcium
entry activated by ablation of both JP45-CASQ1 and JP45-CASQ2 complexes supports
tetanic force development in slow twitch soleus muscles. In addition, we show
that CASQs interact with JP45 at Ca(2+) concentrations similar to those present
in the lumen of the sarcoplasmic reticulum at rest, whereas Ca(2+) concentrations
similar to those present in the SR lumen after depolarization-induced calcium
release cause the dissociation of JP45 from CASQs. Our results show that the
complex JP45-CASQs is a negative regulator of ECCE and that tetanic force
development in slow twitch muscles is supported by the dynamic interaction
between JP45 and CASQs.
PMID- 27189941
TI - Generation of Integration-free Induced Neural Stem Cells from Mouse Fibroblasts.
AB - The viral vector-mediated overexpression of the defined transcription factors,
Brn4/Pou3f4, Sox2, Klf4, and c-Myc (BSKM), could induce the direct conversion of
somatic fibroblasts into induced neural stem cells (iNSCs). However, viral
vectors may be randomly integrated into the host genome thereby increasing the
risk for undesired genotoxicity, mutagenesis, and tumor formation. Here we
describe the generation of integration-free iNSCs from mouse fibroblasts by non
viral episomal vectors containing BSKM. The episomal vector-derived iNSCs (e
iNSCs) closely resemble control NSCs, and iNSCs generated by retrovirus (r-iNSCs)
in morphology, gene expression profile, epigenetic status, and self-renewal
capacity. The e-iNSCs are functionally mature, as they could differentiate into
all the neuronal cell types both in vitro and in vivo Our study provides a novel
concept for generating functional iNSCs using a non-viral, non-integrating,
plasmid-based system that could facilitate their biomedical applicability.
PMID- 27189943
TI - Activation of the Caenorhabditis elegans Degenerin Channel by Shear Stress
Requires the MEC-10 Subunit.
AB - Mechanotransduction in Caenorhabditis elegans touch receptor neurons is mediated
by an ion channel formed by MEC-4, MEC-10, and accessory proteins. To define the
role of these subunits in the channel's response to mechanical force, we
expressed degenerin channels comprising MEC-4 and MEC-10 in Xenopus oocytes and
examined their response to laminar shear stress (LSS). Shear stress evoked a
rapid increase in whole cell currents in oocytes expressing degenerin channels as
well as channels with a MEC-4 degenerin mutation (MEC-4d), suggesting that C.
elegans degenerin channels are sensitive to LSS. MEC-10 is required for a robust
LSS response as the response was largely blunted in oocytes expressing homomeric
MEC-4 or MEC-4d channels. We examined a series of MEC-10/MEC-4 chimeras to
identify specific domains (amino terminus, first transmembrane domain, and
extracellular domain) and sites (residues 130-132 and 134-137) within MEC-10 that
are required for a robust response to shear stress. In addition, the LSS response
was largely abolished by MEC-10 mutations encoded by a touch-insensitive mec-10
allele, providing a correlation between the channel's responses to two different
mechanical forces. Our findings suggest that MEC-10 has an important role in the
channel's response to mechanical forces.
PMID- 27189942
TI - EHD3 Protein Is Required for Tubular Recycling Endosome Stabilization, and an
Asparagine-Glutamic Acid Residue Pair within Its Eps15 Homology (EH) Domain
Dictates Its Selective Binding to NPF Peptides.
AB - An elaborate network of dynamic lipid membranes, termed tubular recycling
endosomes (TRE), coordinates the process of endocytic recycling in mammalian
cells. The C-terminal Eps15 homology domain (EHD)-containing proteins have been
implicated in the bending and fission of TRE, thus regulating endocytic
recycling. EHD proteins have an EH domain that interacts with proteins containing
an NPF motif. We found that NPF-containing EHD1 interaction partners such as
molecules interacting with CasL-like1 (MICAL-L1) and Syndapin2 are essential for
TRE biogenesis. Also crucial for TRE biogenesis is the generation of phosphatidic
acid, an essential lipid component of TRE that serves as a docking point for
MICAL-L1 and Syndapin2. EHD1 and EHD3 have 86% amino acid identity; they homo-
and heterodimerize and partially co-localize to TRE. Despite their remarkable
identity, they have distinct mechanistic functions. EHD1 induces membrane
vesiculation, whereas EHD3 supports TRE biogenesis and/or stabilization by an
unknown mechanism. While using phospholipase D inhibitors (which block the
conversion of glycerophospholipids to phosphatidic acid) to deplete cellular TRE,
we observed that, upon inhibitor washout, there was a rapid and dramatic
regeneration of MICAL-L1-marked TRE. Using this "synchronized" TRE biogenesis
system, we determined that EHD3 is involved in the stabilization of TRE rather
than in their biogenesis. Moreover, we identify the residues Ala-519/Asp-520 of
EHD1 and Asn-519/Glu-520 of EHD3 as defining the selectivity of these two
paralogs for NPF-containing binding partners, and we present a model to explain
the atomic mechanism and provide new insight for their differential roles in
vesiculation and tubulation, respectively.
PMID- 27189944
TI - Molecular Basis of Membrane Association by the Phosphatidylinositol
Mannosyltransferase PimA Enzyme from Mycobacteria.
AB - Phosphatidyl-myo-inositol mannosyltransferase A (PimA) is an essential
glycosyltransferase that initiates the biosynthetic pathway of phosphatidyl-myo
inositol mannoside, lipomannan, and lipoarabinomannan, which are key
glycolipids/lipoglycans of the mycobacterial cell envelope. PimA belongs to a
large family of membrane-associated glycosyltransferases for which the
understanding of the molecular mechanism and conformational changes that govern
substrate/membrane recognition and catalysis remains a major challenge. Here, we
determined that PimA preferentially binds to negatively charged phosphatidyl-myo
inositol substrate and non-substrate membrane model systems (small unilamellar
vesicle) through its N-terminal domain, inducing an important structural
reorganization of anionic phospholipids. By using a combination of single-point
mutagenesis, circular dichroism, and a variety of fluorescence spectroscopy
techniques, we determined that this interaction is mainly mediated by an
amphipathic alpha-helix (alpha2), which undergoes a substantial conformational
change and localizes in the vicinity of the negatively charged lipid headgroups
and the very first carbon atoms of the acyl chains, at the PimA-phospholipid
interface. Interestingly, a flexible region within the N-terminal domain, which
undergoes beta-strand-to-alpha-helix and alpha-helix-to-beta-strand transitions
during catalysis, interacts with anionic phospholipids; however, the effect is
markedly less pronounced to that observed for the amphipathic alpha2, likely
reflecting structural plasticity/variability. Altogether, we propose a model in
which conformational transitions observed in PimA might reflect a molten globule
state that confers to PimA, a higher affinity toward the dynamic and highly
fluctuating lipid bilayer.
PMID- 27189945
TI - Mutants of Cytochrome P450 Reductase Lacking Either Gly-141 or Gly-143
Destabilize Its FMN Semiquinone.
AB - NADPH-cytochrome P450 oxidoreductase transfers electrons from NADPH to
cytochromes P450 via its FAD and FMN. To understand the biochemical and
structural basis of electron transfer from FMN-hydroquinone to its partners,
three deletion mutants in a conserved loop near the FMN were characterized.
Comparison of oxidized and reduced wild type and mutant structures reveals that
the basis for the air stability of the neutral blue semiquinone is protonation of
the flavin N5 and strong H-bond formation with the Gly-141 carbonyl. The DeltaGly
143 protein had moderately decreased activity with cytochrome P450 and cytochrome
c It formed a flexible loop, which transiently interacts with the flavin N5,
resulting in the generation of both an unstable neutral blue semiquinone and
hydroquinone. The DeltaGly-141 and DeltaG141/E142N mutants were inactive with
cytochrome P450 but fully active in reducing cytochrome c In the DeltaGly-141
mutants, the backbone amide of Glu/Asn-142 forms an H-bond to the N5 of the
oxidized flavin, which leads to formation of an unstable red anionic semiquinone
with a more negative potential than the hydroquinone. The semiquinone of
DeltaG141/E142N was slightly more stable than that of DeltaGly-141, consistent
with its crystallographically demonstrated more rigid loop. Nonetheless, both
DeltaGly-141 red semiquinones were less stable than those of the corresponding
loop in cytochrome P450 BM3 and the neuronal NOS mutant (DeltaGly-810). Our
results indicate that the catalytic activity of cytochrome P450 oxidoreductase is
a function of the length, sequence, and flexibility of the 140s loop and
illustrate the sophisticated variety of biochemical mechanisms employed in fine
tuning its redox properties and function.
PMID- 27189946
TI - Type II Turn of Receptor-bound Salmon Calcitonin Revealed by X-ray
Crystallography.
AB - Calcitonin is a peptide hormone consisting of 32 amino acid residues and the
calcitonin receptor is a Class B G protein-coupled receptor (GPCR). The crystal
structure of the human calcitonin receptor ectodomain (CTR ECD) in complex with a
truncated analogue of salmon calcitonin ([BrPhe(22)]sCT(8-32)) has been
determined to 2.1-A resolution. Parallel analysis of a series of peptide ligands
showed that the rank order of binding of the CTR ECD is identical to the rank
order of binding of the full-length CTR, confirming the structural integrity and
relevance of the isolated CTR ECD. The structure of the CTR ECD is similar to
other Class B GPCRs and the ligand binding site is similar to the binding site of
the homologous receptors for the calcitonin gene-related peptide (CGRP) and
adrenomedulin (AM) recently published (Booe, J. M., Walker, C. S., Barwell, J.,
Kuteyi, G., Simms, J., Jamaluddin, M. A., Warner, M. L., Bill, R. M., Harris, P.
W., Brimble, M. A., Poyner, D. R., Hay, D. L., and Pioszak, A. A. (2015) Mol.
Cell 58, 1040-1052). Interestingly the receptor-bound structure of the ligand
[BrPhe(22)]sCT(8-32) differs from the receptor-bound structure of the homologous
ligands CGRP and AM. They all adopt an extended conformation followed by a C
terminal beta turn, however, [BrPhe(22)]sCT(8-32) adopts a type II turn (Gly(28)
Thr(31)), whereas CGRP and AM adopt type I turns. Our results suggest that a type
II turn is the preferred conformation of calcitonin, whereas a type I turn is the
preferred conformation of peptides that require RAMPs; CGRP, AM, and amylin. In
addition the structure provides a detailed molecular explanation and hypothesis
regarding ligand binding properties of CTR and the amylin receptors.
PMID- 27189947
TI - Structure-based Epitope Mapping of Mycobacterium tuberculosis Secretary Antigen
MTC28.
AB - Secretary proteins of Mycobacterium tuberculosis are key players of the
mycobacterial infection pathway. MTC28 is a 28-kDa proline-rich secretary antigen
of Mycobacterium tuberculosis and is only conserved in pathogenic strains of
mycobacteria. Here we report the crystal structure of MTC28 at 2.8- and 2.15-A
resolutions for the structure-based epitope design. MTC28 shares a "mog1p"-fold
consisting of seven antiparallel beta strands stacked between alpha helices. Five
probable epitopes have been located on a solvent-accessible flexible region by
computational analysis of the structure of MTC28. Simultaneously, the protein is
digested with trypsin and the resulting fragments are purified by HPLC. Such 10
purified peptide fragments are screened against sera from patients infected with
pulmonary tuberculosis (PTB). Two of these 10 fragments, namely
(127)ALDITLPMPPR(137) and (138)WTQVPDPNVPDAFVVIADR(156),are found to be major
immunogenic epitopes that are localized on the outer surface of the protein
molecule and are part of a single continuous epitope that have been predicted in
silico Mutagenesis and antibody inhibition studies are in accordance with the
results obtained from epitope mapping.
PMID- 27189948
TI - Essential Role of an Unusually Long-lived Tyrosyl Radical in the Response to Red
Light of the Animal-like Cryptochrome aCRY.
AB - Cryptochromes constitute a group of flavin-binding blue light receptors in
bacteria, fungi, plants, and insects. Recently, the response of cryptochromes to
light was extended to nearly the entire visible spectral region on the basis of
the activity of the animal-like cryptochrome aCRY in the green alga Chlamydomonas
reinhardtii This finding was explained by the absorption of red light by the
flavin neutral radical as the dark state of the receptor, which then forms the
anionic fully reduced state. In this study, time-resolved UV-visible spectroscopy
on the full-length aCRY revealed an unusually long-lived tyrosyl radical with a
lifetime of 2.6 s, which is present already 1 MUs after red light illumination of
the flavin radical. Mutational studies disclosed the tyrosine 373 close to the
surface to form the long-lived radical and to be essential for photoreduction.
This residue is conserved exclusively in the sequences of other putative aCRY
proteins distinguishing them from conventional (6-4) photolyases. Size exclusion
chromatography showed the full-length aCRY to be a dimer in the dark at 0.5 mm
injected concentration with the C-terminal extension as the dimerization site.
Upon illumination, partial oligomerization was observed via disulfide bridge
formation at cysteine 482 in close proximity to tyrosine 373. The lack of any
light response in the C-terminal extension as evidenced by FTIR spectroscopy
differentiates aCRY from plant and Drosophila cryptochromes. These findings imply
that aCRY might have evolved a different signaling mechanism via a light
triggered redox cascade culminating in photooxidation of a yet unknown substrate
or binding partner.
PMID- 27189949
TI - Inadequate Reference Datasets Biased toward Short Non-epitopes Confound B-cell
Epitope Prediction.
AB - X-ray crystallography has shown that an antibody paratope typically binds 15-22
amino acids (aa) of an epitope, of which 2-5 randomly distributed amino acids
contribute most of the binding energy. In contrast, researchers typically choose
for B-cell epitope mapping short peptide antigens in antibody binding assays.
Furthermore, short 6-11-aa epitopes, and in particular non-epitopes, are over
represented in published B-cell epitope datasets that are commonly used for
development of B-cell epitope prediction approaches from protein antigen
sequences. We hypothesized that such suboptimal length peptides result in weak
antibody binding and cause false-negative results. We tested the influence of
peptide antigen length on antibody binding by analyzing data on more than 900
peptides used for B-cell epitope mapping of immunodominant proteins of Chlamydia
spp. We demonstrate that short 7-12-aa peptides of B-cell epitopes bind
antibodies poorly; thus, epitope mapping with short peptide antigens falsely
classifies many B-cell epitopes as non-epitopes. We also show in published
datasets of confirmed epitopes and non-epitopes a direct correlation between
length of peptide antigens and antibody binding. Elimination of short, <=11-aa
epitope/non-epitope sequences improved datasets for evaluation of in silico B
cell epitope prediction. Achieving up to 86% accuracy, protein disorder tendency
is the best indicator of B-cell epitope regions for chlamydial and published
datasets. For B-cell epitope prediction, the most effective approach is plotting
disorder of protein sequences with the IUPred-L scale, followed by antibody
reactivity testing of 16-30-aa peptides from peak regions. This strategy
overcomes the well known inaccuracy of in silico B-cell epitope prediction from
primary protein sequences.
PMID- 27189950
TI - Nucleoside Triphosphate Phosphohydrolase I (NPH I) Functions as a 5' to 3'
Translocase in Transcription Termination of Vaccinia Early Genes.
AB - Vaccinia virus early genes are transcribed immediately upon infection. Nucleoside
triphosphate phosphohydrolase I (NPH I) is an essential component of the early
gene transcription complex. NPH I hydrolyzes ATP to release transcripts during
transcription termination. The ATPase activity of NPH I requires single-stranded
(ss) DNA as a cofactor; however, the source of this cofactor within the
transcription complex is not known. Based on available structures of
transcription complexes it has been hypothesized that the ssDNA cofactor is
obtained from the unpaired non-template strand within the transcription bubble.
In vitro transcription on templates that lack portions of the non-template strand
within the transcription bubble showed that the upstream portion of the
transcription bubble is required for efficient NPH I-mediated transcript release.
Complementarity between the template and non-template strands in this region is
also required for NPH I-mediated transcript release. This observation complicates
locating the source of the ssDNA cofactor within the transcription complex
because removal of the non-template strand also disrupts transcription bubble
reannealing. Prior studies have shown that ssRNA binds to NPH I, but it does not
activate ATPase activity. Chimeric transcription templates with RNA in the non
template strand confirm that the source of the ssDNA cofactor for NPH I is the
upstream portion of the non-template strand in the transcription bubble.
Consistent with this conclusion we also show that isolated NPH I acts as a 5' to
3' translocase on single-stranded DNA.
PMID- 27189952
TI - Comparative Effectiveness of Second Vasoactive Agents in Septic Shock Refractory
to Norepinephrine.
AB - OBJECTIVE: We aim to identify the appropriate vasoactive agent in patients with
septic shock who are refractory to optimal doses of norepinephrine. METHODS: In
this retrospective observational cohort study over a 4-year period, patients who
received norepinephrine within 24 hours of ICU admission and a second agent
within 48 hours were enrolled. RESULTS: Among 2640 patients screened, 234
patients were enrolled, aged 60.8 +/- 17.8 years, Acute Physiology and Chronic
Health Evaluation IV 98.3 +/- 27.5, 81.6% mechanically ventilated, and 65.8% in
hospital mortality. Within 96 hours, 2.8 +/- 1.0 vasoactive agents were
administered. Fifty, 50, 66, and 68 patients received dobutamine, dopamine,
phenylephrine, and vasopressin as the second agent, with crude in-hospital
mortality 40.0%, 66.0%, 74.2%, and 76.5%, respectively, P < .001. Survival
analysis showed a statistically significant difference in survival time by second
vasoactive agent, P < .001. After adjusting for confounding variables, dobutamine
showed significant decreased odds ratio (OR) for mortality compared to
vasopressin: OR 0.34 (95% confidence interval 0.14-0.84, P = .04). The relative
risk of dying was 55.8% lower in patients receiving dobutamine versus
vasopressin, P < .01. CONCLUSION: Dobutamine is associated with decreased
mortality compared to other second vasoactive agents in septic shock when
norepinephrine is not sufficient. A prospective randomized trial examining the
outcome impact of the second vasoactive agent is needed.
PMID- 27189951
TI - A Gene of the beta3-Glycosyltransferase Family Encodes N
Acetylglucosaminyltransferase II Function in Trypanosoma brucei.
AB - The bloodstream form of the human pathogen Trypanosoma brucei expresses
oligomannose, paucimannose, and complex N-linked glycans, including some
exceptionally large poly-N-acetyllactosamine-containing structures. Despite the
presence of complex N-glycans in this organism, no homologues of the canonical N
acetylglucosaminyltransferase I or II genes can be found in the T. brucei genome.
These genes encode the activities that initiate the elaboration of the Manalpha1
3 and Manalpha1-6 arms, respectively, of the conserved trimannosyl-N
acetylchitobiosyl core of N-linked glycans. Previously, we identified a highly
divergent T. brucei N-acetylglucosaminyltransferase I (TbGnTI) among a set of
putative T. brucei glycosyltransferase genes belonging to the beta3
glycosyltransferase superfamily (Damerow, M., Rodrigues, J. A., Wu, D., Guther,
M. L., Mehlert, A., and Ferguson, M. A. (2014) J. Biol. Chem. 289, 9328-9339).
Here, we demonstrate that TbGT15, another member of the same beta3
glycosyltransferase family, encodes an equally divergent N
acetylglucosaminyltransferase II (TbGnTII) activity. In contrast to multicellular
organisms, where GnTII activity is essential, TbGnTII null mutants of T. brucei
grow in culture and are still infectious to animals. Characterization of the
large poly-N-acetyllactosamine containing N-glycans of the TbGnTII null mutants
by methylation linkage analysis suggests that, in wild-type parasites, the
Manalpha1-6 arm of the conserved trimannosyl core may carry predominantly linear
poly-N-acetyllactosamine chains, whereas the Manalpha1-3 arm may carry
predominantly branched poly-N-acetyllactosamine chains. These results provide
further detail on the structure and biosynthesis of complex N-glycans in an
important human pathogen and provide a second example of the adaptation by
trypanosomes of beta3-glycosyltransferase family members to catalyze beta1-2
glycosidic linkages.
PMID- 27189953
TI - Fluconazole-induced long QT syndrome via impaired human ether-a-go-go-related
gene (hERG) protein trafficking in rabbits.
AB - Aims: hERG protein trafficking deficiency has long been known in drug-induced
long QT syndrome (LQTS). However, validated evidence from in vivo data kept
scanty. Our goal was to investigate the proarrhythmic action of fluconazole and
its underlying mechanism in an animal model. Methods and results: Twenty female
Japanese long-eared white rabbits were randomly distributed into a control group
and a fluconazole group for a chronic 2-week treatment. The control group was
treated with 0.5% sodium carboxymethylcellulose (CMCNa), and the fluconazole
group was treated with fluconazole. Electrocardiograms (ECGs) were recorded
during the experimental period. Isolated arterially perfused left ventricular
wedge preparations from the rabbits were made 2 weeks after treatment, and the
arrhythmia events, the transmural ECG, and action potential from both the
endocardium and epicardium were recorded. The changes in hERG protein expression
were measured by western blot. The fluconazole group showed a longer QT interval
1 week after treatment (P < 0.05) and a higher arrhythmia occurrence 2 weeks
after treatment (P < 0.05) than the control group. The fluconazole group also
showed a longer transmural dispersion of repolarization and a higher occurrence
of life-threatening torsades de pointes in arterially perfused left ventricular
preparations. Furthermore, western blot analysis showed that the density of
mature hERG protein was lower in the fluconazole group than that in the control
group. Conclusion: Fluconazole can prolong the QT interval and possess
proarrhythmic activity due to its inhibition of hERG protein trafficking in our
experimental model. These findings may impact the clinical potential of
fluconazole in humans.
PMID- 27189956
TI - Solvent-facilitated lead disconnection for battery replacement in patients with
pacemakers or implantable cardioverter defibrillators.
AB - AIMS: Battery exchange in pacemaker (PM) or implantable cardioverter
defibrillator (ICD) devices may be occasionally problematic because of
difficulties in lead disconnection procedures and risk of injuring the fragile
leads. This pilot study compares ethanol and dimethyl sulfoxide (DMSO) as
solvents to assist removal of leads from PM or ICD device headers in cases of
stuck leads or difficulties in untightening device header screws. METHODS AND
RESULTS: Of the total number (527) of our patients requiring battery replacement
due to end-of-life (EOL) warnings, conventional exchange was not possible in 34
(6.5%) due to embedding of the lead within blood-derived material. Of these, 30
(17 with PM, 13 with ICD) consented to the study and were randomly assigned to a
primary attempt at lead disconnection by ethanol (n = 17) or by DMSO (n = 13). If
the primary attempt failed, a secondary attempt at lead disconnection was
undertaken using the alternate solvent. Ethanol was a superior solvent compared
with DMSO, yielding successful disconnection at primary attempt in 88.2% (15/17)
vs. 23.1% (3/13) of cases. In 8 patients in whom the primary DMSO-attempted
disconnection failed, a secondary attempt with ethanol yielded success in 6 (75%)
cases. Use of either ethanol or DMSO in lead disconnection was not associated
with any adverse events or effects. CONCLUSION: Ethanol has utility as a simple
and inexpensive modality for lead disconnection from ICD or PM headers.
PMID- 27189954
TI - Multipoint pacing via a quadripolar left-ventricular lead: preliminary results
from the Italian registry on multipoint left-ventricular pacing in cardiac
resynchronization therapy (IRON-MPP).
AB - Aims: This registry was created to describe the experience of 76 Italian centres
with a large cohort of recipients of multipoint pacing (MPP) capable cardiac
resynchronization therapy (CRT) devices. Methods and results: A total of 507
patients in whom these devices had been successfully implanted were enrolled
between August 2013 and May 2015. We analysed: (i) current clinical practices for
the management of such patients, and (ii) the impact of MPP on heart failure
clinical composite response and on the absolute change in ejection fraction (EF)
at 6 months. Multipoint pacing was programmed to 'ON' in 46% of patients before
discharge. Methods of optimizing MPP programming were most commonly based on
either the greatest narrowing of the QRS complex (38%) or the electrical delays
between the electrodes (34%). Clinical and echocardiographic follow-up data were
evaluated in 232 patients. These patients were divided into two groups according
to whether MPP was programmed to 'ON' (n = 94) or 'OFF' (n = 138) at the time of
discharge. At 6 months, EF was significantly higher in the MPP group than in the
biventricular-pacing group (39.1 +/- 9.6 vs. 34.7 +/- 7.6%; P < 0.001). Even
after adjustments, early MPP activation remained an independent predictor of
absolute increase in LVEF of >=5% (odds ratio 2.5; P = 0.001). At 6 months, an
improvement in clinical composite score was recorded in a greater proportion of
patients with MPP-ON than in controls (56 vs. 38%; P = 0.009). On comparing
optimal MPP and conventional vectors, QRS was also seen to have decreased
significantly (P < 0.001). Conclusion: This study provides information that is
essential in order to deal with the expected increase in the number of patients
receiving MPP devices in the coming years. The results revealed different
practices among centres, and establishing the optimal programming that can
maximize the benefit of MPP remains a challenging issue. Compared with
conventional CRT, MPP improved clinical status and resulted in an additional
increase in EF. Clinical Trial Registration: http://www.clinicaltrial.gov/.
Unique identifier: NCT02606071.
PMID- 27189957
TI - Serotonin synthesis rate and the tryptophan hydroxylase-2: G-703T polymorphism in
social anxiety disorder.
AB - It is disputed whether anxiety disorders, like social anxiety disorder, are
characterized by serotonin over- or underactivity. Here, we evaluated whether our
recent finding of elevated neural serotonin synthesis rate in patients with
social anxiety disorder could be reproduced in a separate cohort, and whether
allelic variation in the tryptophan hydroxylase-2 (TPH2) G-703T polymorphism
relates to differences in serotonin synthesis assessed with positron emission
tomography. Eighteen social anxiety disorder patients and six healthy controls
were scanned during 60 minutes in a resting state using positron emission
tomography and 5-hydroxy-L-[beta -(11)C]tryptophan, [(11)C]5-HTP, a substrate of
the second enzymatic step in serotonin synthesis. Parametric images were
generated, using the reference Patlak method, and analysed using Statistical
Parametric Mapping (SPM8). Blood samples for genotyping of the TPH2 G-703T
polymorphism were obtained from 16 social anxiety disorder patients (T carriers:
n=5, GG carriers: n=11). A significantly elevated [(11)C]5-HTP accumulation rate,
indicative of enhanced decarboxylase activity and thereby serotonin synthesis
capacity, was detected in social anxiety disorder patients compared with controls
in the hippocampus and basal ganglia nuclei and, at a more lenient (uncorrected)
statistical threshold, in the amygdala and anterior cingulate cortex. In
patients, the serotonin synthesis rate in the amygdala and anterior cingulate
cortex was significantly elevated in TPH2 T carriers in comparison with GG
homozygotes. Our results support that social anxiety disorder entails an
overactive presynaptic serotonergic system that, in turn, seems functionally
influenced by the TPH2 G-703T polymorphism in emotionally relevant brain regions.
PMID- 27189959
TI - Ethics, aesthetics and euphemism: the vulva in contemporary society.
PMID- 27189958
TI - Helicobacter pylori vacA transcription is genetically-determined and stratifies
the level of human gastric inflammation and atrophy.
AB - AIMS: Helicobacter pylori infection is the major cause of peptic ulceration and
gastric cancer, and an important virulence determinant is its vacuolating
cytotoxin vacA. Previously, we have described allelic variation in vacA which
determines toxin activity and disease risk. Here we aimed to quantify vacA mRNA
expression in the human stomach, define its genetic determinants and assess how
well it predicts gastric pathology. METHODS: Gastric biopsies were donated by 39
patients with H. pylori infection attending for endoscopy at Queen's Medical
Centre, Nottingham, UK. Total RNA was extracted, and vacA mRNA quantified by
reverse transcriptase quantitative PCR. Separate biopsies were histologically
scored for inflammation and atrophy using the updated Sydney system. H. pylori
strains were isolated from further biopsies, and the nucleotide sequence upstream
of vacA determined. RESULTS: vacA mRNA levels in human stomachs varied by two
orders of magnitude independently of vacA allelic type. Among vacA i1-type
(toxic) strains, increased vacA expression was strongly associated with higher
grade gastric inflammation (p<0.02), neutrophil infiltration (p<0.005) and the
presence of atrophy (p<0.01). A polymorphism at nucleotide +28 near the base of a
potential stem-loop structure within the 5' untranslated region was significantly
associated with vacA transcript level and inflammation. CONCLUSIONS: Increased
gastric vacA expression during H. pylori infection is associated with
inflammation and premalignant pathology. The +28 nucleotide within the vacA 5'
stem-loop stratifies disease risk among toxic vacA i1-type strains.
PMID- 27189960
TI - The Rapidly Acting Antidepressant Ketamine and the mGlu2/3 Receptor Antagonist
LY341495 Rapidly Engage Dopaminergic Mood Circuits.
AB - Ketamine is a rapidly acting antidepressant in patients with treatment-resistant
depression (TRD). Although the mechanisms underlying these effects are not fully
established, inquiry to date has focused on the triggering of synaptogenesis
transduction pathways via glutamatergic mechanisms. Preclinical data suggest that
blockade of metabotropic glutamate (mGlu2/3) receptors shares many overlapping
features and mechanisms with ketamine and may also provide rapid efficacy for TRD
patients. Central dopamine circuitry is recognized as an end target for mood
regulation and hedonic valuation and yet has been largely neglected in
mechanistic studies of antidepressant-relevant effects of ketamine. Herein, we
evaluated the changes in dopaminergic neurotransmission after acute
administration of ketamine and the mGlu2/3 receptor antagonist LY341495 [(2S)-2
amino-2-[(1S,2S)-2-carboxycycloprop-1-yl]-3-(xanth-9-yl) propanoic acid ] in
preclinical models using electrophysiologic, neurochemical, and behavioral
endpoints. When given acutely, both ketamine and LY341495, but not the selective
serotonin reuptake inhibitor (SSRI) citalopram, increased the number of
spontaneously active dopamine neurons in the ventral tegmental area (VTA),
increased extracellular levels of dopamine in the nucleus accumbens and
prefrontal cortex, and enhanced the locomotor stimulatory effects of the dopamine
D2/3 receptor agonist quinpirole. Further, both ketamine and LY341495 reduced
immobility time in the tail-suspension assay in CD1 mice, which are relatively
resistant to SSRI antidepressants. Both the VTA neuronal activation and the
antidepressant phenotype induced by ketamine and LY341495 were attenuated by the
alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid (AMPA) receptor
antagonist 1,2,3,4-tetrahydro-6-nitro-2,3-dioxo- (9CI)-benzo[f]quinoxaline-7
sulfonamide, indicating AMPA-dependent effects. These findings provide another
overlapping mechanism of action of ketamine and mGlu2/3 receptor antagonism that
differentiates them from conventional antidepressants and thus support the
potential rapidly acting antidepressant actions of mGlu2/3 receptor antagonism in
patients.
PMID- 27189964
TI - Endogenous 5-HT2C Receptors Phosphorylate the cAMP Response Element Binding
Protein via Protein Kinase C-Promoted Activation of Extracellular-Regulated
Kinases-1/2 in Hypothalamic mHypoA-2/10 Cells.
AB - Serotonin 5-HT2C receptors (5-HT2CR) activate Gq proteins and are expressed in
the central nervous system (CNS). 5-HT2CR regulate emotion, feeding, reward, or
cognition and may serve as promising drug targets to treat psychiatric disorders
or obesity. Owing to technical difficulties in isolating cells from the CNS and
the lack of suitable cell lines endogenously expressing 5-HT2CR, our knowledge
about this receptor subtype in native environments is rather limited. The
hypothalamic mHypoA-2/10 cell line was recently established and resembles
appetite-regulating hypothalamic neurons of the paraventricular nucleus (PVN),
where 5-HT2CR have been detected in vivo. Therefore, we tested mHypoA-2/10 cells
for endogenous 5-HT2CR expression. Serotonin or the 5-HT2CR preferential agonist
WAY-161,503 initiated cAMP response element (CRE)-dependent gene transcription
with EC50 values of 15.5 +/- 9.8 and 1.1 +/- 0.9 nM, respectively. Both responses
were blocked by two unrelated 5-HT2CR-selective antagonists (SB-242,084, RS
102,221) but not by a 5-HT2AR (EMD-281,014) or 5-HT2BR (RS-127,455) antagonists.
By single-cell calcium imaging, we found that serotonin and WAY-161,503 induced
robust calcium transients, which were also blunted by both 5-HT2CR antagonists.
Additionally we revealed, first, that 5-HT2CR induced CRE activation via protein
kinase C (PKC)-mediated engagement of extracellular-regulated kinases-1/2 and,
second, that intrinsic activity of WAY-161,503 was in the range of 0.3-0.5
compared with serotonin, defining the frequently used 5-HT2CR agonist as a
partial agonist of endogenous 5-HT2CR. In conclusion, we have shown that
hypothalamic mHypoA-2/10 cells endogenously express 5-HT2CR and thus are the
first cell line in which to analyze 5-HT2CR pharmacology, signaling, and
regulation in its natural environment.
PMID- 27189961
TI - Reactive Oxygen Species Differentially Regulate Bone Turnover in an Age-Specific
Manner in Catalase Transgenic Female Mice.
AB - Chronic ethyl alcohol (EtOH) consumption results in reactive oxygen species (ROS)
generation in bone and osteopenia due to increased bone resorption and reduced
bone formation. In this study, transgenic C57Bl/6J mice overexpressing human
catalase (TgCAT) were used to test whether limiting excess hydrogen peroxide
would protect against EtOH-mediated bone loss. Micro-computed tomography analysis
of the skeletons of 6-week-old female chow-fed TgCAT mice revealed a high bone
mass phenotype with increased cortical bone area and thickness as well as
significantly increased trabecular bone volume (P < 0.05). Six-week-old wild-type
(WT) and TgCAT female mice were chow fed or pair fed (PF) liquid diets with or
without EtOH, approximately 30% of calories, for 8 weeks. Pair feeding of WT had
no demonstrable effect on the skeleton; however, EtOH feeding of WT mice
significantly reduced cortical and trabecular bone parameters along with bone
strength compared with PF controls (P < 0.05). In contrast, EtOH feeding of TgCAT
mice had no effect on trabecular bone compared with PF controls. At 14 weeks of
age, there was significantly less trabecular bone and cortical cross-sectional
area in TgCAT mice than WT mice (P < 0.05), suggesting impaired normal bone
accrual with age. TgCAT mice expressed less collagen1alpha and higher sclerostin
mRNA (P < 0.05), suggesting decreased bone formation in TgCAT mice. In
conclusion, catalase overexpression resulted in greater bone mass than in WT mice
at 6 weeks and lower bone mass at 14 weeks. EtOH feeding induced significant
reductions in bone architecture and strength in WT mice, but TgCAT mice were
partially protected. These data implicate ROS signaling in the regulation of bone
turnover in an age-dependent manner, and indicate that excess hydrogen peroxide
generation contributes to alcohol-induced osteopenia.
PMID- 27189963
TI - Physiological Content and Intrinsic Activities of 10 Cytochrome P450 Isoforms in
Human Normal Liver Microsomes.
AB - Due to a lack of physiologic cytochrome P450 (P450) isoform content, P450
activity is typically only determined at the microsomal level (per milligram of
microsomal protein) and not at the isoform level (per picomole of P450 isoform),
which could result in the misunderstanding of variations in P450 activity between
individuals and further hinder development of personalized medicine. We found
that there were large variations in protein content, mRNA levels, and intrinsic
activities of the 10 P450s in 100 human liver samples, in which CYP2E1 and CYP2C9
showed the highest expression levels. P450 gene polymorphisms had different
effects on activity at two levels: CYP3A5*3 and CYP2A6*9 alleles conferred
increased activity at the isoform level but decreased activity at the microsomal
level; CYP2C9*3 had no effect at the isoform level but decreased activity at the
microsomal level. The different effects at each level stem from the different
effects of each polymorphism on the resulting P450 protein. Individuals with
CYP2A6*1/*4, CYP2A6*1/*9, CYP2C9*1/*3, CYP2D6 100C>T TT, CYP2E1 7632T>A AA,
CYP3A5*1*3, and CYP3A5*3*3 genotypes had significantly lower protein content,
whereas CYP2D6 1661G>C mutants had a higher protein content. In conclusion, we
first offered the physiologic data of 10 P450 isoform contents and found that
some single nucleotide polymorphisms had obvious effects on P450 expression in
human normal livers. The effects of gene polymorphisms on intrinsic P450 activity
at the isoform level were quite different from those at the microsomal level,
which might be due to changes in P450 protein content.
PMID- 27189962
TI - Nuclear Factor Erythroid 2-Related Factor 2 Deficiency Results in Amplification
of the Liver Fat-Lowering Effect of Estrogen.
AB - Transcription factor nuclear factor erythroid 2-related factor 2 (Nrf2) regulates
multiple biologic processes, including hepatic lipid metabolism. Estrogen exerts
actions affecting energy homeostasis, including a liver fat-lowering effect.
Increasing evidence indicates the crosstalk between these two molecules. The aim
of this study was to evaluate whether Nrf2 modulates estrogen signaling in
hepatic lipid metabolism. Nonalcoholic fatty liver disease (NAFLD) was induced in
wild-type and Nrf2-null mice fed a high-fat diet and the liver fat-lowering
effect of exogenous estrogen was subsequently assessed. We found that exogenous
estrogen eliminated 49% and 90% of hepatic triglycerides in wild-type and Nrf2
null mice with NAFLD, respectively. This observation demonstrates that Nrf2
signaling is antagonistic to estrogen signaling in hepatic fat metabolism; thus,
Nrf2 absence results in striking amplification of the liver fat-lowering effect
of estrogen. In addition, we found the association of trefoil factor 3 and fatty
acid binding protein 5 with the liver fat-lowering effect of estrogen. In
summary, we identified Nrf2 as a novel and potent inhibitor of estrogen signaling
in hepatic lipid metabolism. Our finding may provide a potential strategy to
treat NAFLD by dually targeting Nrf2 and estrogen signaling.
PMID- 27189965
TI - Ethanol Tolerance Affects Endogenous Adenosine Signaling in Mouse Hippocampus.
AB - Ethanol has many pharmacological effects, including increases in endogenous
adenosine levels and adenosine receptor activity in brain. Ethanol consumption is
associated with both positive and negative health outcomes, but tolerance to the
behavioral effects of ethanol can lead to increased consumption, which increases
the risk of negative health outcomes. The present study was performed to test
whether a 7-day treatment with ethanol is linked to reduced adenosine signaling
and whether this is a consequence of reduced ecto-5'-nucleotidase activity. Wild
type (CD73(+/+)) and ecto-5'-nucleotidase-deficient (CD73(-/-)) mice were treated
with ethanol (2 g/kg) or saline for 7 days. In CD73(+/+) mice, repeated ethanol
treatment reduced the hypothermic and ataxic effects of acute ethanol, indicating
the development of tolerance to the acute effects of ethanol. In CD73(+/+) mice,
this 7-day ethanol treatment led to increased hippocampal synaptic activity and
reduced adenosine A1 receptor activity under both basal and low Mg(2+)
conditions. These effects of ethanol tolerance were associated with an 18%
decrease in activity of ecto-5'-nucleotidase activity in hippocampal cell
membranes. In contrast, ethanol treatment was not associated with changes in
synaptic activity or adenosine signaling in hippocampus from CD73(-/-) mice.
These data indicate that ethanol treatment is associated with a reduction in
adenosine signaling through adenosine A1 receptors in hippocampus, mediated, at
least in part, via reduced ecto-5'-nucleotidase activity.
PMID- 27189968
TI - New Insight into the Dietary Cause of Atherosclerosis: Implications for
Pharmacology.
AB - At present, the guideline approach to the medical treatment and prevention of
atherosclerotic cardiovascular disease (ASCVD) is to classify patients by risk
and treat the known risk factors (contributory causes), e.g., hypertension,
diabetes, obesity, smoking, and poor diet, as appropriate. All high-risk patients
should receive statins. This approach has had substantial success but ASCVD still
remains the number one cause of death in the United States. Until recently, the
underlying cause of ASCVD remained unknown, although a potential dietary cause
was suggested by the fact that vegetarians, especially vegans, have a much lower
incidence of ASCVD than animal flesh eaters. Recently, consistent with the
vegetarian data, substantial evidence for a cause of ASCVD in animals and humans
has been discovered. Trimethylamine (TMA)-containing dietary compounds in meat,
milk, and other animal foods (e.g., lecithin, choline, and carnitine) are
converted by closely related gut bacterial TMA lyases to TMA, which is absorbed
and converted predominantly by flavin mono-oxygenase 3 to the toxic
trimethylamine N-oxide (TMAO). TMAO causes atherosclerosis in animals and is
elevated in patients with coronary heart disease. Inhibition of bacterial lyases
in mice prevents TMA and secondarily TMAO formation and atherosclerosis, strong
evidence for the TMAO hypothesis. At present, the challenge for the
pharmaceutical industry is to discover and develop a potent "broad spectrum"
bacterial lyase inhibitor that, along with diet and exercise, could, if the TMAO
hypothesis is correct, revolutionize the preventive treatment of ASCVD.
PMID- 27189966
TI - Protective Action of Anandamide and Its COX-2 Metabolite against l-Homocysteine
Induced NLRP3 Inflammasome Activation and Injury in Podocytes.
AB - Recent studies have demonstrated that l-homocysteine (Hcys)-induced podocyte
injury leading to glomerular damage or sclerosis is attributable to the
activation of the nucleotide-binding oligomerization domain-like receptor
containing pyrin domain 3 (NLRP3) inflammasome. Given the demonstrated anti
inflammatory effects of endocannabinoids, the present study was designed to test
whether anandamide (AEA) or its metabolites diminish NLRP3 inflammasome
activation and prevent podocyte injury and associated glomerular damage during
hyperhomocysteinemia (hHcys). AEA (100 MUM) inhibited Hcys-induced NLRP3
inflammasome activation in cultured podocytes, as indicated by elevated caspase-1
activity and interleukin-1beta levels, and attenuated podocyte dysfunction, as
shown by reduced vascular endothelial growth factor production. These effects of
AEA were inhibited by the cyclooxygenase-2 (COX-2) inhibitor celecoxib (CEL). In
mice in vivo, AEA treatment attenuated glomerular NLRP3 inflammasome activation
induced by hHcys accompanying a folate-free diet, on the basis of inhibition of
hHcys-induced colocalization of NLRP3 molecules and increased interleukin-1beta
levels in glomeruli. Correspondingly, AEA prevented hHcys-induced proteinuria,
albuminuria, and glomerular damage observed microscopically. Hcys- and AEA
induced effects were absent in NLRP3-knockout mice. These beneficial effects of
AEA against hHcys-induced NLRP3 inflammasome activation and glomerular injury
were not observed in mice cotreated with CEL. We further demonstrated that
prostaglandin E2-ethanolamide (PGE2-EA), a COX-2 product of AEA, at 10 MUM had a
similar inhibitory effect to that of 100 MUM AEA on Hcys-induced NLRP3
inflammasome formation and activation in cultured podocytes. From these results,
we conclude that AEA has anti-inflammatory properties, protecting podocytes from
Hcys-induced injury by inhibition of NLRP3 inflammasome activation through its
COX-2 metabolite, PGE2-EA.
PMID- 27189967
TI - Preferential Delivery of an Opioid Antagonist to the Fetal Brain in Pregnant
Mice.
AB - Prolonged fetal exposure to opioids results in neonatal abstinence syndrome
(NAS), a major medical problem requiring intensive care and increased
hospitalization times for newborns with NAS. Multiple strategies are currently
available to alleviate withdrawal in infants with NAS. To prevent NAS caused by
opioid maintenance programs in pregnant women, blocking fetal dependence without
compromising the mother's opiate therapy is desirable. Here we tested in pregnant
mice whether a peripherally selective opioid antagonist can preferentially enter
the fetal brain and, thereby, in principle, selectively protect the fetus. We
show using mass spectrometry that 6beta-naltrexol, a neutral opioid antagonist
with very limited ability to cross the blood-brain barrier (BBB), readily crosses
the placental barrier and enters the fetal brain at high levels, although it is
relatively excluded from the maternal brain. Furthermore, owing to the late
development of the BBB in postnatal mice, we show that 6beta-naltrexol can
readily enter the juvenile mouse brain until at least postnatal day 14. Taking
advantage of this observation, we show that long-term exposure to morphine
starting in the second postnatal week causes robust and quantifiable dependence
behaviors that are suppressed by concomitant administration of 6beta-naltrexol
with much greater potency (ID50 0.022-0.044 mg/kg, or 1/500 the applied dose of
morphine) than previously demonstrated for either the suppression of central
nervous system opioid effects or the induction of withdrawal in adults. These
results indicate that peripherally selective opioid antagonists capable of
penetrating the placenta may be beneficial for preventing or reducing neonatal
dependence and NAS in a dose range that should not interfere with maternal opioid
maintenance.
PMID- 27189969
TI - Berberine Decreased Inducible Nitric Oxide Synthase mRNA Stability through
Negative Regulation of Human Antigen R in Lipopolysaccharide-Induced Macrophages.
AB - Berberine, a major isoquinoline alkaloid found in medicinal herbs, has been
reported to possess anti-inflammatory effects; however, the underlying mechanisms
responsible for its actions are poorly understood. In the present study, we
investigated the inhibitory effects of berberine and the molecular mechanisms
involved in lipopolysaccharide (LPS)-treated RAW 264.7 and THP-1 macrophages and
its effects in LPS-induced septic shock in mice. In both macrophage cell types,
berberine inhibited the LPS-induced nitric oxide (NO) production and inducible NO
synthase (iNOS) protein expression, but it had no effect on iNOS mRNA
transcription. Suppression of LPS-induced iNOS protein expression by berberine
occurred via a human antigen R (HuR)-mediated reduction of iNOS mRNA stability.
Molecular data revealed that the suppression on the LPS-induced HuR binding to
iNOS mRNA by berberine was accompanied by a reduction in nucleocytoplasmic HuR
shuttling. Pretreatment with berberine reduced LPS-induced iNOS protein
expression and the cytoplasmic translocation of HuR in liver tissues and
increased the survival rate of mice with LPS-induced endotoxemia. These results
show that the suppression of iNOS protein expression by berberine under LPS
induced inflammatory conditions is associated with a reduction in iNOS mRNA
stability resulting from inhibition of the cytoplasmic translocation of HuR.
PMID- 27189970
TI - Blockade of Cocaine or sigma Receptor Agonist Self Administration by Subtype
Selective sigma Receptor Antagonists.
AB - The identification of sigma receptor (sigmaR) subtypes has been based on
radioligand binding and, despite progress with sigma1R cellular function, less is
known about sigmaR subtype functions in vivo. Recent findings that cocaine self
administration experience will trigger sigmaR agonist self administration was
used in this study to assess the in vivo receptor subtype specificity of the
agonists (+)-pentazocine, PRE-084 [2-(4-morpholinethyl) 1
phenylcyclohexanecarboxylate hydrochloride], and 1,3-di-o-tolylguanidine (DTG)
and several novel putative sigmaR antagonists. Radioligand binding studies
determined in vitro sigmaR selectivity of the novel compounds, which were
subsequently studied for self administration and antagonism of cocaine, (+)
pentazocine, PRE-084, or DTG self administration. Across the dose ranges studied,
none of the novel compounds were self administered, nor did they alter cocaine
self administration. All compounds blocked DTG self administration, with a subset
also blocking (+)-pentazocine and PRE-084 self administration. The most selective
of the compounds in binding sigma1Rs blocked cocaine self administration when
combined with a dopamine transport inhibitor, either methylphenidate or
nomifensine. These drug combinations did not decrease rates of responding
maintained by food reinforcement. In contrast, the most selective of the
compounds in binding sigma2Rs had no effect on cocaine self administration in
combination with either dopamine transport inhibitor. Thus, these results
identify subtype-specific in vivo antagonists, and the utility of sigmaR agonist
substitution for cocaine self administration as an assay capable of
distinguishing sigmaR subtype selectivity in vivo. These results further suggest
that effectiveness of dual sigmaR antagonism and dopamine transport inhibition in
blocking cocaine self administration is specific for sigma1Rs and further support
this dual targeting approach to development of cocaine antagonists.
PMID- 27189971
TI - Vascular and Central Activation of Peroxisome Proliferator-Activated Receptor
beta Attenuates Angiotensin II-Induced Hypertension: Role of RGS-5.
AB - Activation of peroxisome proliferator-activated receptor-beta/delta (PPARbeta)
lowers blood pressure in genetic and mineralocorticoid-induced hypertension.
Regulator of G-protein-coupled receptor signaling 5 (RGS5) protein, which
interferes in angiotensin II (AngII) signaling, is a target gene to PPARbeta The
aim of the present study was to examine whether PPARbeta activation in resistance
arteries and brain tissues prevents the elevated blood pressure in AngII-induced
hypertension and evaluate the role of RGS5 in this effect. C57BL/6J male mice
were divided into five groups (control mice, PPARbeta agonist [4-[[[2-[3-Fluoro-4
(trifluoromethyl)phenyl]-4-methyl-5-thiazolyl]methyl]thio]-2-methylphenoxy]acetic
acid (GW0742)-treated mice AngII-infused mice, GW0742-treated AngII-infused mice,
and AngII-infused mice treated with GW0742 plus PPARbeta antagonist 3-[[[2
Methoxy-4-(phenylamino)phenyl]amino]sulfonyl]-2-thiophenecarboxylic acid methyl
ester (GSK0660)) and were followed for 3 weeks. GW0742 prevented the increase in
both arterial blood pressure and plasma noradrenaline levels and the higher
reduction of blood pressure after ganglionic blockade, whereas it reduced the
mesenteric arterial remodeling and the hyper-responsiveness to vasoconstrictors
(AngII and endothelin-1) in AngII-infused mice. These effects were accompanied by
an inhibition of NADPH oxidase expression and activity in the brain. Gene
expression profiling revealed a marked loss of brainstem and vascular RGS5 in
AngII-infused mice, which was restored by GW0742. GW0742-induced effects were
abolished by GSK0660. Small interfering RNA targeting RGS5 caused augmented
contractile response to AngII in resistance mesenteric arteries and blunted the
inhibitory effect of GW0742 on this response. In conclusion, GW0742 exerted
antihypertensive effects, restoring sympathetic tone and vascular structure and
function in AngII-infused mice by PPARbeta activation in brain and vessels
inhibiting AngII signaling as a result of RGS5 upregulation.
PMID- 27189972
TI - Interaction of the Sodium/Glucose Cotransporter (SGLT) 2 inhibitor Canagliflozin
with SGLT1 and SGLT2.
AB - Canagliflozin, a selective sodium/glucose cotransporter (SGLT) 2 inhibitor,
suppresses the renal reabsorption of glucose and decreases blood glucose level in
patients with type 2 diabetes. A characteristic of canagliflozin is its modest
SGLT1 inhibitory action in the intestine at clinical dosage. To reveal its
mechanism of action, we investigated the interaction of canagliflozin with SGLT1
and SGLT2. Inhibition kinetics and transporter-mediated uptake were examined in
human SGLT1- or SGLT2-expressing cells. Whole-cell patch-clamp recording was
conducted to examine the sidedness of drug action. Canagliflozin competitively
inhibited SGLT1 and SGLT2, with high potency and selectivity for SGLT2.
Inhibition constant (Ki) values for SGLT1 and SGLT2 were 770.5 and 4.0 nM,
respectively. (14)C-canagliflozin was suggested to be transported by SGLT2;
however, the transport rate was less than that of alpha-methyl-d-glucopyranoside.
Canagliflozin inhibited alpha-methyl-d-glucopyranoside-induced SGLT1- and SGLT2
mediated inward currents preferentially from the extracellular side and not from
the intracellular side. Based on the Ki value, canagliflozin is estimated to
sufficiently inhibit SGLT2 from the urinary side in renal proximal tubules. The
Ki value for SGLT1 suggests that canagliflozin suppresses SGLT1 in the small
intestine from the luminal side, whereas it does not affect SGLT1 in the heart
and skeletal muscle, considering the maximal concentration of plasma-unbound
canagliflozin. Similarly, SGLT1 in the kidney would not be inhibited, thereby
aiding in the prevention of hypoglycemia. After binding to SGLT2, canagliflozin
may be reabsorbed by SGLT2, which leads to the low urinary excretion and
prolonged drug action of canagliflozin.
PMID- 27189973
TI - The gamma-Secretase Modulator, BMS-932481, Modulates Abeta Peptides in the Plasma
and Cerebrospinal Fluid of Healthy Volunteers.
AB - The pharmacokinetics, pharmacodynamics, safety, and tolerability of BMS-932481, a
gamma-secretase modulator (GSM), were tested in healthy young and elderly
volunteers after single and multiple doses. BMS-932481 was orally absorbed,
showed dose proportionality after a single dose administration, and had
approximately 3-fold accumulation after multiple dosing. High-fat/caloric meals
doubled the Cmax and area under the curve and prolonged Tmax by 1.5 hours.
Consistent with the preclinical pharmacology of GSMs, BMS-932481 decreased
cerebrospinal fluid (CSF) Abeta39, Abeta40, and Abeta42 while increasing Abeta37
and Abeta38, thereby providing evidence of gamma-secretase enzyme modulation
rather than inhibition. In plasma, reductions in Abeta40 and Abeta42 were
observed with no change in total Abeta; in CSF, modest decreases in total Abeta
were observed at higher dose levels. Increases in liver enzymes were observed at
exposures associated with greater than 70% CSF Abeta42 lowering after multiple
dosing. Although further development was halted due to an insufficient safety
margin to test the hypothesis for efficacy of Abeta lowering in Alzheimer's
disease, this study demonstrates that gamma-secretase modulation is achievable in
healthy human volunteers and supports further efforts to discover well tolerated
GSMs for testing in Alzheimer's disease and other indications.
PMID- 27189975
TI - The costs of inequality: whole-population modelling study of lifetime inpatient
hospital costs in the English National Health Service by level of neighbourhood
deprivation.
AB - BACKGROUND: There are substantial socioeconomic inequalities in both life
expectancy and healthcare use in England. In this study, we describe how these
two sets of inequalities interact by estimating the social gradient in hospital
costs across the life course. METHODS: Hospital episode statistics, population
and index of multiple deprivation data were combined at lower-layer super output
area level to estimate inpatient hospital costs for 2011/2012 by age, sex and
deprivation quintile. Survival curves were estimated for each of the deprivation
groups and used to estimate expected annual costs and cumulative lifetime costs.
RESULTS: A steep social gradient was observed in overall inpatient hospital
admissions, with rates ranging from 31 298/100 000 population in the most
affluent fifth of areas to 43 385 in the most deprived fifth. This gradient was
steeper for emergency than for elective admissions. The total cost associated
with this inequality in 2011/2012 was L4.8 billion. A social gradient was also
observed in the modelled lifetime costs where the lower life expectancy was not
sufficient to outweigh the higher average costs in the more deprived populations.
Lifetime costs for women were 14% greater than for men, due to higher costs in
the reproductive years and greater life expectancy. CONCLUSIONS: Socioeconomic
inequalities result in increased morbidity and decreased life expectancy.
Interventions to reduce inequality and improve health in more deprived
neighbourhoods have the potential to save money for health systems not only
within years but across peoples' entire lifetimes, despite increased costs due to
longer life expectancies.
PMID- 27189976
TI - Socioeconomic inequalities in a 16-year longitudinal measurement of successful
ageing.
AB - BACKGROUND: This study examines to what extent education, occupation and income
are associated with the multidimensional process of successful ageing,
encompassing trajectories of physical, mental and social functioning in old age.
METHODS: We employed 16-year longitudinal data from 2095 participants aged 55-85
years at baseline in the Dutch, nationally representative Longitudinal Aging
Study Amsterdam. For 9 indicators of successful ageing, separate Latent Class
Growth models were used to identify subgroups of older adults with a 'successful'
trajectory. A 'Successful Aging Index' expressed the number of indicators for
which individual respondents had a successful trajectory (range 0-9). Using
multivariate regression models, we investigated associations between
socioeconomic position and the Successful Ageing Index, and with separate
indicators of successful ageing. RESULTS: Higher education, occupational skill
level and income were independently associated with higher numbers of successful
trajectories. Education (beta=0.09) was a slightly stronger correlate of
successful ageing than income (beta=0.08). Analyses of separate indicators of
successful ageing showed that cognitive functioning, functional limitations and
emotional support given were associated with all three components of
socioeconomic position, while other indicators were associated with only one (eg,
life satisfaction) or none (eg, social loneliness). For some indicators of
successful ageing, socioeconomic inequalities were present at baseline, and also
increased or decreased during follow-up. CONCLUSIONS: Education, occupation and
income represent distinct socioeconomic life course factors, each of which
contribute in a specific way to inequalities in successful ageing. Physical and
cognitive functioning were associated more strongly with socioeconomic position
than social and emotional functioning.
PMID- 27189974
TI - Robust Translation of gamma-Secretase Modulator Pharmacology across Preclinical
Species and Human Subjects.
AB - The amyloid-beta peptide (Abeta)-in particular, the 42-amino acid form, Abeta1-42
is thought to play a key role in the pathogenesis of Alzheimer's disease (AD).
Thus, several therapeutic modalities aiming to inhibit Abeta synthesis or
increase the clearance of Abeta have entered clinical trials, including gamma
secretase inhibitors, anti-Abeta antibodies, and amyloid-beta precursor protein
cleaving enzyme inhibitors. A unique class of small molecules, gamma-secretase
modulators (GSMs), selectively reduce Abeta1-42 production, and may also decrease
Abeta1-40 while simultaneously increasing one or more shorter Abeta peptides,
such as Abeta1-38 and Abeta1-37. GSMs are particularly attractive because they do
not alter the total amount of Abeta peptides produced by gamma-secretase
activity; they spare the processing of other gamma-secretase substrates, such as
Notch; and they do not cause accumulation of the potentially toxic processing
intermediate, beta-C-terminal fragment. This report describes the translation of
pharmacological activity across species for two novel GSMs, (S)-7-(4
fluorophenyl)-N2-(3-methoxy-4-(3-methyl-1H-1,2,4-triazol-1-yl)phenyl)-N4-methyl
6,7-dihydro-5H-cyclopenta[d]pyrimidine-2,4-diamine (BMS-932481) and (S,Z)-17-(4
chloro-2-fluorophenyl)-34-(3-methyl-1H-1,2,4-triazol-1-yl)-16,17-dihydro-15H-4
oxa-2,9-diaza-1(2,4)-cyclopenta[d]pyrimidina-3(1,3)-benzenacyclononaphan-6-ene
(BMS-986133). These GSMs are highly potent in vitro, exhibit dose- and time
dependent activity in vivo, and have consistent levels of pharmacological effect
across rats, dogs, monkeys, and human subjects. In rats, the two GSMs exhibit
similar pharmacokinetics/pharmacodynamics between the brain and cerebrospinal
fluid. In all species, GSM treatment decreased Abeta1-42 and Abeta1-40 levels
while increasing Abeta1-38 and Abeta1-37 by a corresponding amount. Thus, the GSM
mechanism and central activity translate across preclinical species and humans,
thereby validating this therapeutic modality for potential utility in AD.
PMID- 27189977
TI - The X-linked inhibitor of apoptosis regulates long-term depression and learning
rate.
AB - Hippocampal long-term depression (LTD) is an active form of synaptic plasticity
that is necessary for consolidation of spatial memory, contextual fear memory,
and novelty acquisition. Recent studies have shown that caspases (CASPs) play an
important role in NMDA receptor-dependent LTD and are involved in postsynaptic
remodeling and synaptic maturation. In the present study, we examined the role of
X-linked inhibitor of apoptosis (XIAP), a putative endogenous CASP inhibitor, in
synaptic plasticity in the hippocampus. Analysis in acute brain slices and in
cultured hippocampal neurons revealed that XIAP deletion increases CASP-3
activity, enhances alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid
(AMPA) receptor internalization, sharply increases LTD, and significantly reduces
synapse density. In vivo behaviors related to memory were also altered in XIAP(-/
) mice, with faster acquisition of spatial object location and increased fear
memory observed. Together, these results indicate that XIAP plays an important
physiologic role in regulating sublethal CASP-3 activity within central neurons
and thereby facilitates synaptic plasticity and memory acquisition.-Gibon, J.,
Unsain, N., Gamache, K., Thomas, R. A., De Leon, A., Johnstone, A., Nader, K.,
Seguela, P., Barker, P. A. The X-linked inhibitor of apoptosis regulates long
term depression and learning rate.
PMID- 27189978
TI - Transport of vitamin A across blood-tissue barriers is facilitated by STRA6.
AB - Vitamin A bound to retinol binding protein 4 (RBP4) constitutes the major
transport mode for retinoids in fasting circulation. Emerging evidence suggests
that membrane protein, STRA6 (stimulated by retinoic acid 6), is the RBP4
receptor and vitamin A channel; however, the role of STRA6 in vitamin A
homeostasis remains to be defined in vivo We subjected Stra6-knockout mice to
diets sufficient and insufficient for vitamin A and used heterozygous siblings as
controls. We determined vitamin A levels of the eyes, brain, and testis, which
highly express Stra6, as well as of tissues with low expression, such as lung and
fat. We also studied the consequence of STRA6 deficiency on retinoid-dependent
processes in tissues. Furthermore, we examined how STRA6 deficiency affected
retinoid homeostasis of the aging mouse. The picture that emerged indicates a
critical role for STRA6 in the transport of vitamin A across blood-tissue
barriers in the eyes, brain, and testis. Concurrently, fat and lung rely on
dietary vitamin A. In testis and brain, Stra6 expression was regulated by vitamin
A. In controls, this regulation reduced vitamin A consumption when the dietary
supply was limited, sequestering it for the eye. Thus, STRA6 is critical for
vitamin A homeostasis and the adaption of this process to the fluctuating supply
of the vitamin.-Kelly, M., Widjaja-Adhi, M. A. K., Palczewski, G., von Lintig, J.
Transport of vitamin A across blood-tissue barriers is facilitated by STRA6.
PMID- 27189979
TI - Methanogenesis and the Wood-Ljungdahl Pathway: An Ancient, Versatile, and Fragile
Association.
AB - Methanogenesis coupled to the Wood-Ljungdahl pathway is one of the most ancient
metabolisms for energy generation and carbon fixation in the Archaea. Recent
results are sensibly changing our view on the diversity of methane-cycling
capabilities in this Domain of Life. The availability of genomic sequences from
uncharted branches of the archaeal tree has highlighted the existence of novel
methanogenic lineages phylogenetically distant to previously known ones, such as
the Methanomassiliicoccales. At the same time, phylogenomic analyses have
suggested a methanogenic ancestor for all Archaea, implying multiple independent
losses of this metabolism during archaeal diversification. This prediction has
been strengthened by the report of genes involved in methane cycling in members
of the Bathyarchaeota (a lineage belonging to the TACK clade), representing the
first indication of the presence of methanogenesis outside of the Euryarchaeota.
In light of these new data, we discuss how the association between methanogenesis
and the Wood-Ljungdahl pathway appears to be much more flexible than previously
thought, and might provide information on the processes that led to loss of this
metabolism in many archaeal lineages. The combination of environmental
microbiology, experimental characterization and phylogenomics opens up exciting
avenues of research to unravel the diversity and evolutionary history of
fundamental metabolic pathways.
PMID- 27189982
TI - The Unicellular Ancestry of Groucho-Mediated Repression and the Origins of
Metazoan Transcription Factors.
AB - Groucho is a co-repressor that interacts with many transcription factors playing
a crucial role in animal development. The evolutionary origins of Groucho are not
clear. It is generally regarded as being a distinct animal-specific protein,
although with similarities to the yeast Tup-like proteins. Here, it is shown that
Groucho has true orthologs in unicellular relatives of animals. Based on their
phylogenetic distribution, and an analysis of ligand-binding residues, these
genes are unlikely to be orthologs of the fungal Tup-like genes. By identifying
conserved candidate Groucho interaction motifs (GIMs) in nonmetazoan
transcription factors, it is demonstrated that the details of molecular
interactions between Groucho and transcription factors are likely to have been
established prior to the origin of animals, but that the association of GIMs with
many transcription factor types can be regarded as a metazoan innovation.
PMID- 27189981
TI - Comparative Expression Dynamics of Intergenic Long Noncoding RNAs in the Genus
Drosophila.
AB - Thousands of long noncoding RNAs (lncRNAs) have been annotated in eukaryotic
genomes, but comparative transcriptomic approaches are necessary to understand
their biological impact and evolution. To facilitate such comparative studies in
Drosophila, we identified and characterized lncRNAs in a second Drosophilid-the
evolutionary model Drosophila pseudoobscura Using RNA-Seq and computational
filtering of protein-coding potential, we identified 1,589 intergenic lncRNA loci
in D. pseudoobscura We surveyed multiple sex-specific developmental stages and
found, like in Drosophila melanogaster, increasingly prolific lncRNA expression
through male development and an overrepresentation of lncRNAs in the testes.
Other trends seen in D. melanogaster, like reduced pupal expression, were not
observed. Nonrandom distributions of female-biased and non-testis-specific male
biased lncRNAs between the X chromosome and autosomes are consistent with
selection-based models of gene trafficking to optimize genomic location of sex
biased genes. The numerous testis-specific lncRNAs, however, are randomly
distributed between the X and autosomes, and we cannot reject the hypothesis that
many of these are likely to be spurious transcripts. Finally, using annotated
lncRNAs in both species, we identified 134 putative lncRNA homologs between D.
pseudoobscura and D. melanogaster and find that many have conserved developmental
expression dynamics, making them ideal candidates for future functional analyses.
PMID- 27189980
TI - The Role of microRNAs in the Repeated Parallel Diversification of Lineages of
Midas Cichlid Fish from Nicaragua.
AB - Cichlid fishes are an ideal model system for studying biological diversification
because they provide textbook examples of rapid speciation. To date, there has
been little focus on the role of gene regulation during cichlid speciation.
However, in recent years, gene regulation has been recognized as a powerful force
linking diversification in gene function to speciation. Here, we investigated the
potential role of miRNA regulation in the diversification of six cichlid species
of the Midas cichlid lineage (Amphilophus spp.) inhabiting the Nicaraguan crater
lakes. Using several genomic resources, we inferred 236 Midas miRNA genes that
were used to predict the miRNA target sites on 8,232 Midas 3'-UTRs. Using
population genomic calculations of SNP diversity, we found the miRNA genes to be
more conserved than protein coding genes. In contrast to what has been observed
in other cichlid fish, but similar to what has been typically found in other
groups, we observed genomic signatures of purifying selection on the miRNA
targets by comparing these sites with the less conserved nontarget portion of the
3'-UTRs. However, in one species pair that has putatively speciated sympatrically
in crater Lake Apoyo, we recovered a different pattern of relaxed purifying
selection and high genetic divergence at miRNA targets. Our results suggest that
sequence evolution at miRNA binding sites could be a critical genomic mechanism
contributing to the rapid phenotypic evolution of Midas cichlids.
PMID- 27189983
TI - Pangenome Evolution in the Marine Bacterium Alteromonas.
AB - We have examined a collection of the free-living marine bacterium Alteromonas
genomes with cores diverging in average nucleotide identities ranging from 99.98%
to 73.35%, i.e., from microbes that can be considered members of a natural clone
(like in a clinical epidemiological outbreak) to borderline genus level. The
genomes were largely syntenic allowing a precise delimitation of the core and
flexible regions in each. The core was 1.4 Mb (ca. 30% of the typical strain
genome size). Recombination rates along the core were high among strains
belonging to the same species (37.7-83.7% of all nucleotide polymorphisms) but
they decreased sharply between species (18.9-5.1%). Regarding the flexible
genome, its main expansion occurred within the boundaries of the species, i.e.,
strains of the same species already have a large and diverse flexible genome.
Flexible regions occupy mostly fixed genomic locations. Four large genomic
islands are involved in the synthesis of strain-specific glycosydic receptors
that we have called glycotypes. These genomic regions are exchanged by homologous
recombination within and between species and there is evidence for their import
from distant taxonomic units (other genera within the family). In addition,
several hotspots for integration of gene cassettes by illegitimate recombination
are distributed throughout the genome. They code for features that give each
clone specific properties to interact with their ecological niche and must flow
fast throughout the whole genus as they are found, with nearly identical
sequences, in different species. Models for the generation of this genomic
diversity involving phage predation are discussed.
PMID- 27189984
TI - Diversity of Group I and II Clostridium botulinum Strains from France Including
Recently Identified Subtypes.
AB - In France, human botulism is mainly food-borne intoxication, whereas infant
botulism is rare. A total of 99 group I and II Clostridium botulinum strains
including 59 type A (12 historical isolates [1947-1961], 43 from France [1986
2013], 3 from other countries, and 1 collection strain), 31 type B (3 historical,
23 recent isolates, 4 from other countries, and 1 collection strain), and 9 type
E (5 historical, 3 isolates, and 1 collection strain) were investigated by
botulinum locus gene sequencing and multilocus sequence typing analysis.
Historical C. botulinum A strains mainly belonged to subtype A1 and sequence type
(ST) 1, whereas recent strains exhibited a wide genetic diversity: subtype A1 in
orfX or ha locus, A1(B), A1(F), A2, A2b2, A5(B2') A5(B3'), as well as the
recently identified A7 and A8 subtypes, and were distributed into 25 STs.
Clostridium botulinum A1(B) was the most frequent subtype from food-borne
botulism and food. Group I C. botulinum type B in France were mainly subtype B2
(14 out of 20 historical and recent strains) and were divided into 19 STs. Food
borne botulism resulting from ham consumption during the recent period was due to
group II C. botulinum B4. Type E botulism is rare in France, 5 historical and 1
recent strains were subtype E3. A subtype E12 was recently identified from an
unusual ham contamination. Clostridium botulinum strains from human botulism in
France showed a wide genetic diversity and seems to result not from a single
evolutionary lineage but from multiple and independent genetic rearrangements.
PMID- 27189986
TI - DnaK-Dependent Accelerated Evolutionary Rate in Prokaryotes.
AB - Many proteins depend on an interaction with molecular chaperones in order to fold
into a functional tertiary structure. Previous studies showed that protein
interaction with the GroEL/GroES chaperonine and Hsp90 chaperone can buffer the
impact of slightly deleterious mutations in the protein sequence. This capacity
of GroEL/GroES to prevent protein misfolding has been shown to accelerate the
evolution of its client proteins. Whether other bacterial chaperones have a
similar effect on their client proteins is currently unknown. Here, we study the
impact of DnaK (Hsp70) chaperone on the evolution of its client proteins.
Evolutionary parameters were derived from comparison of the Escherichia coli
proteome to 1,808,565 orthologous proteins in 1,149 proteobacterial genomes. Our
analysis reveals a significant positive correlation between protein binding
frequency with DnaK and evolutionary rate. Proteins with high binding affinity to
DnaK evolve on average 4.3-fold faster than proteins in the lowest binding
affinity class at the genus resolution. Differences in evolutionary rates of DnaK
interactor classes are still significant after adjusting for possible effects
caused by protein expression level. Furthermore, we observe an additive effect of
DnaK and GroEL chaperones on the evolutionary rates of their common interactors.
Finally, we found pronounced similarities in the physicochemical profiles that
characterize proteins belonging to DnaK and GroEL interactomes. Our results thus
implicate DnaK-mediated folding as a major component in shaping protein
evolutionary dynamics in bacteria and supply further evidence for the long-term
manifestation of chaperone-mediated folding on genome evolution.
PMID- 27189985
TI - Opsin Repertoire and Expression Patterns in Horseshoe Crabs: Evidence from the
Genome of Limulus polyphemus (Arthropoda: Chelicerata).
AB - Horseshoe crabs are xiphosuran chelicerates, the sister group to arachnids. As
such, they are important for understanding the most recent common ancestor of
Euchelicerata and the evolution and diversification of Arthropoda. Limulus
polyphemus is the most investigated of the four extant species of horseshoe
crabs, and the structure and function of its visual system have long been a major
focus of studies critical for understanding the evolution of visual systems in
arthropods. Likewise, studies of genes encoding Limulus opsins, the protein
component of the visual pigments, are critical for understanding opsin evolution
and diversification among chelicerates, where knowledge of opsins is limited, and
more broadly among arthropods. In the present study, we sequenced and assembled a
high quality nuclear genomic sequence of L. polyphemus and used these data to
annotate the full repertoire of Limulus opsins. We conducted a detailed
phylogenetic analysis of Limulus opsins, including using gene structure and
synteny information to identify relationships among different opsin classes. We
used our phylogeny to identify significant genomic events that shaped opsin
evolution and therefore the visual system of Limulus We also describe the tissue
expression patterns of the 18 opsins identified and show that transcripts
encoding a number, including a peropsin, are present throughout the central
nervous system. In addition to significantly extending our understanding of
photosensitivity in Limulus and providing critical insight into the genomic
evolution of horseshoe crab opsins, this work provides a valuable genomic
resource for addressing myriad questions related to xiphosuran physiology and
arthropod evolution.
PMID- 27189987
TI - The Small Nuclear Genomes of Selaginella Are Associated with a Low Rate of Genome
Size Evolution.
AB - The haploid nuclear genome size (1C DNA) of vascular land plants varies over
several orders of magnitude. Much of this observed diversity in genome size is
due to the proliferation and deletion of transposable elements. To date, all
vascular land plant lineages with extremely small nuclear genomes represent
recently derived states, having ancestors with much larger genome sizes. The
Selaginellaceae represent an ancient lineage with extremely small genomes. It is
unclear how small nuclear genomes evolved in Selaginella We compared the rates of
nuclear genome size evolution in Selaginella and major vascular plant clades in a
comparative phylogenetic framework. For the analyses, we collected 29 new flow
cytometry estimates of haploid genome size in Selaginella to augment publicly
available data. Selaginella possess some of the smallest known haploid nuclear
genome sizes, as well as the lowest rate of genome size evolution observed across
all vascular land plants included in our analyses. Additionally, our analyses
provide strong support for a history of haploid nuclear genome size stasis in
Selaginella Our results indicate that Selaginella, similar to other early
diverging lineages of vascular land plants, has relatively low rates of genome
size evolution. Further, our analyses highlight that a rapid transition to a
small genome size is only one route to an extremely small genome.
PMID- 27189988
TI - Nuclear Architecture and Patterns of Molecular Evolution Are Correlated in the
Ciliate Chilodonella uncinata.
AB - The relationship between nuclear architecture and patterns of molecular evolution
in lineages across the eukaryotic tree of life is not well understood, partly
because molecular evolution is traditionally explored as changes in base pairs
along a linear sequence without considering the context of nuclear position of
chromosomes. The ciliate Chilodonella uncinata is an ideal system to address the
relationship between nuclear architecture and patterns of molecular evolution as
the somatic macronucleus of this ciliate is composed of a peripheral DNA-rich
area (orthomere) and a DNA-poor central region (paramere) to form a "heteromeric"
macronucleus. Moreover, because the somatic chromosomes of C. uncinata are highly
processed into "gene-sized" chromosomes (i.e., nanochromosomes), we can assess
fine-scale relationships between location and sequence evolution. By combining
fluorescence microscopy and analyses of transcriptome data from C. uncinata, we
find that highly expressed genes have the greatest codon usage bias and are
enriched in DNA-poor regions. In contrast, genes with less biased sequences tend
to be concentrated in DNA abundant areas, at least during vegetative growth. Our
analyses are consistent with recent work in plants and animals where nuclear
architecture plays a role in gene expression. At the same time, the unusual
localization of nanochromosomes suggests that the highly structured nucleus in C.
uncinata may create a "gene bank" that facilitates rapid changes in expression of
genes required only in specific life history stages. By using "nonmodel"
organisms like C. uncinata, we can explore the universality of eukaryotic
features while also providing examples of novel properties (i.e., the presence of
a gene bank) that build from these features.
PMID- 27189989
TI - Ancient Eukaryotic Origin and Evolutionary Plasticity of Nuclear Lamina.
AB - The emergence of the nucleus was a major event of eukaryogenesis. How the nuclear
envelope (NE) arose and acquired functions governing chromatin organization and
epigenetic control has direct bearing on origins of developmental/stage-specific
expression programs. The configuration of the NE and the associated lamina in the
last eukaryotic common ancestor (LECA) is of major significance and can provide
insight into activities within the LECA nucleus. Subsequent lamina evolution,
alterations, and adaptations inform on the variation and selection of distinct
mechanisms that subtend gene expression in distinct taxa. Understanding lamina
evolution has been difficult due to the diversity and limited taxonomic
distributions of the three currently known highly distinct nuclear lamina. We
rigorously searched available sequence data for an expanded view of the
distribution of known lamina and lamina-associated proteins. While the lamina
proteins of plants and trypanosomes are indeed taxonomically restricted, homologs
of metazoan lamins and key lamin-binding proteins have significantly broader
distributions, and a lamin gene tree supports vertical evolution from the LECA.
Two protist lamins from highly divergent taxa target the nucleus in mammalian
cells and polymerize into filamentous structures, suggesting functional
conservation of distant lamin homologs. Significantly, a high level of divergence
of lamin homologs within certain eukaryotic groups and the apparent absence of
lamins and/or the presence of seemingly different lamina proteins in many
eukaryotes suggests great evolutionary plasticity in structures at the NE, and
hence mechanisms of chromatin tethering and epigenetic gene control.
PMID- 27189990
TI - Genus-Wide Comparative Genome Analyses of Colletotrichum Species Reveal Specific
Gene Family Losses and Gains during Adaptation to Specific Infection Lifestyles.
AB - Members from Colletotrichum genus adopt a diverse range of lifestyles during
infection of plants and represent a group of agriculturally devastating
pathogens. In this study, we present the draft genome of Colletotrichum incanum
from the spaethianum clade of Colletotrichum and the comparative analyses with
five other Colletotrichum species from distinct lineages. We show that the C.
incanum strain, originally isolated from Japanese daikon radish, is able to
infect both eudicot plants, such as certain ecotypes of the eudicot Arabidopsis,
and monocot plants, such as lily. Being closely related to Colletotrichum species
both in the graminicola clade, whose members are restricted strictly to monocot
hosts, and to the destructivum clade, whose members are mostly associated with
dicot infections, C. incanum provides an interesting model system for comparative
genomics to study how fungal pathogens adapt to monocot and dicot hosts. Genus
wide comparative genome analyses reveal that Colletotrichum species have tailored
profiles of their carbohydrate-degrading enzymes according to their infection
lifestyles. In addition, we show evidence that positive selection acting on
secreted and nuclear localized proteins that are highly conserved may be
important in adaptation to specific hosts or ecological niches.
PMID- 27189991
TI - Pooled Enrichment Sequencing Identifies Diversity and Evolutionary Pressures at
NLR Resistance Genes within a Wild Tomato Population.
AB - Nod-like receptors (NLRs) are nucleotide-binding domain and leucine-rich repeats
containing proteins that are important in plant resistance signaling. Many of the
known pathogen resistance (R) genes in plants are NLRs and they can recognize
pathogen molecules directly or indirectly. As such, divergence and copy number
variants at these genes are found to be high between species. Within populations,
positive and balancing selection are to be expected if plants coevolve with their
pathogens. In order to understand the complexity of R-gene coevolution in wild
nonmodel species, it is necessary to identify the full range of NLRs and infer
their evolutionary history. Here we investigate and reveal polymorphism occurring
at 220 NLR genes within one population of the partially selfing wild tomato
species Solanum pennellii. We use a combination of enrichment sequencing and
pooling ten individuals, to specifically sequence NLR genes in a resource and
cost-effective manner. We focus on the effects which different mapping and single
nucleotide polymorphism calling software and settings have on calling
polymorphisms in customized pooled samples. Our results are accurately verified
using Sanger sequencing of polymorphic gene fragments. Our results indicate that
some NLRs, namely 13 out of 220, have maintained polymorphism within our S.
pennellii population. These genes show a wide range of piN/piS ratios and
differing site frequency spectra. We compare our observed rate of heterozygosity
with expectations for this selfing and bottlenecked population. We conclude that
our method enables us to pinpoint NLR genes which have experienced natural
selection in their habitat.
PMID- 27189993
TI - Genomic Signatures of Speciation in Sympatric and Allopatric Hawaiian Picture
Winged Drosophila.
AB - The Hawaiian archipelago provides a natural arena for understanding adaptive
radiation and speciation. The Hawaiian Drosophila are one of the most diverse
endemic groups in Hawaii with up to 1,000 species. We sequenced and analyzed
entire genomes of recently diverged species of Hawaiian picture-winged
Drosophila, Drosophila silvestris and Drosophila heteroneura from Hawaii Island,
in comparison with Drosophila planitibia, their sister species from Maui, a
neighboring island where a common ancestor of all three had likely occurred.
Genome-wide single nucleotide polymorphism patterns suggest the more recent
origin of D. silvestris and D. heteroneura, as well as a pervasive influence of
positive selection on divergence of the three species, with the signatures of
positive selection more prominent in sympatry than allopatry. Positively selected
genes were significantly enriched for functional terms related to sensory
detection and mating, suggesting that sexual selection played an important role
in speciation of these species. In particular, sequence variation in Olfactory
receptor and Gustatory receptor genes seems to play a major role in adaptive
radiation in Hawaiian pictured-winged Drosophila.
PMID- 27189992
TI - Detection of Allelic Frequency Differences between the Sexes in Humans: A
Signature of Sexually Antagonistic Selection.
AB - Sexually antagonistic (SA) selection, a form of selection that can occur when
both sexes have different fitness optima for a trait, is a major force shaping
the evolution of organisms. A seminal model developed by Rice (Rice WR. 1984. Sex
chromosomes and the evolution of sexual dimorphism. Evolution 38:735-742.)
predicts that the X chromosome should be a hotspot for the accumulation of loci
under SA selection as compared with the autosomes. Here, we propose a
methodological framework designed to detect a specific signature of SA selection
on viability, differences in allelic frequencies between the sexes. Applying this
method on genome-wide single nucleotide polymorphism (SNP) data in human
populations where no sex-specific population stratification could be detected, we
show that there are overall significantly more SNPs exhibiting differences in
allelic frequencies between the sexes on the X chromosome as compared with
autosomes, supporting the predictions of Rice's model. This pattern is consistent
across populations and is robust to correction for potential biases such as
differences in linkage disequilibrium, sample size, and genotyping errors between
chromosomes. Although SA selection is not the only factor resulting in allelic
frequency differences between the sexes, we further show that at least part of
the identified X-linked loci is caused by such a sex-specific processes.
PMID- 27189994
TI - C-Terminal Region of Sulfite Reductase Is Important to Localize to Chloroplast
Nucleoids in Land Plants.
AB - Chloroplast (cp) DNA is compacted into cpDNA-protein complexes, called cp
nucleoids. An abundant and extensively studied component of cp nucleoids is the
bifunctional protein sulfite reductase (SiR). The preconceived role of SiR as the
core cp nucleoid protein, however, is becoming less likely because of the recent
findings that SiRs do not associate with cp nucleoids in some plant species, such
as Zea mays and Arabidopsis thaliana To address this discrepancy, we have
performed a detailed phylogenetic analysis of SiRs, which shows that cp nucleoid
type SiRs share conserved C-terminally encoded peptides (CEPs). The CEPs are
likely to form a bacterial ribbon-helix-helix DNA-binding motif, implying a
potential role in attaching SiRs onto cp nucleoids. A proof-of-concept experiment
was conducted by fusing the nonnucleoid-type SiR from A. thaliana (AtSiR) with
the CEP from the cp nucleoid-type SiR of Phaseolus vulgaris The addition of the
CEP drastically altered the intra-cp localization of AtSiR to cp nucleoids. Our
analysis supports the possible functions of CEPs in determining the localization
of SiRs to cp nucleoids and illuminates a possible evolutionary scenario for SiR
as a cp nucleoid protein.
PMID- 27189995
TI - Evolutionary Transitions of MicroRNA-Target Pairs.
AB - How newly generated microRNA (miRNA) genes are integrated into gene regulatory
networks during evolution is fundamental in understanding the molecular and
evolutionary bases of robustness and plasticity in gene regulation. A recent
model proposed that after the birth of a miRNA, the miRNA is generally integrated
into the network by decreasing the number of target genes during evolution.
However, this decreasing model remains to be carefully examined by considering in
vivo conditions. In this study, we therefore compared the number of target genes
among miRNAs with different ages, combining experiments with bioinformatics
predictions. First, we focused on three Drosophila miRNAs with different ages. As
a result, we found that an older miRNA has a greater number of target genes than
a younger miRNA, suggesting the increasing number of targets for each miRNA
during evolution (increasing model). To further confirm our results, we also
predicted all target genes for all miRNAs in D. melanogaster, considering co
expression of miRNAs and mRNAs in vivo The results obtained also do not support
the decreasing model but are reasonably consistent with the increasing model of
miRNA-target pairs. Furthermore, our large-scale analyses of currently available
experimental data of miRNA-target pairs also showed a weak but the same trend in
humans. These results indicate that the current decreasing model of miRNA-target
pairs should be reconsidered and the increasing model may be more appropriate to
explain the evolutionary transitions of miRNA-target pairs in many organisms.
PMID- 27189997
TI - Antibiotic Resistance, Core-Genome and Protein Expression in IncHI1 Plasmids in
Salmonella Typhimurium.
AB - Conjugative plasmids from the IncHI1 incompatibility group play an important role
in transferring antibiotic resistance in Salmonella Typhimurium. However,
knowledge of their genome structure or gene expression is limited. In this study,
we determined the complete nucleotide sequences of four IncHI1 plasmids
transferring resistance to antibiotics by two different next generation
sequencing protocols and protein expression by mass spectrometry. Sequence data
including additional 11 IncHI1 plasmids from GenBank were used for the definition
of the IncHI1 plasmid core-genome and pan-genome. The core-genome consisted of
approximately 123 kbp and 122 genes while the total pan-genome represented
approximately 600 kbp. When the core-genome sequences were used for multiple
alignments, the 15 tested IncHI1 plasmids were separated into two main lineages.
GC content in core-genome genes was around 46% and 50% in accessory genome genes.
A multidrug resistance region present in all 4 sequenced plasmids extended over
20 kbp and, except for tet(B), the genes responsible for antibiotic resistance
were those with the highest GC content. IncHI1 plasmids therefore represent
replicons that evolved in low GC content bacteria. From their original host, they
spread to Salmonella and during this spread these plasmids acquired multiple
accessory genes including those coding for antibiotic resistance. Antibiotic
resistance genes belonged to genes with the highest level of expression and were
constitutively expressed even in the absence of antibiotics. This is the likely
mechanism that facilitates host cell survival when antibiotics suddenly emerge in
the environment.
PMID- 27189998
TI - The Frequency of Internal Shine-Dalgarno-like Motifs in Prokaryotes.
AB - In prokaryotes, translation initiation typically depends on complementary binding
between a G-rich Shine-Dalgarno (SD) motif in the 5' untranslated region of
mRNAs, and the 3' tail of the 16S ribosomal RNA (the anti-SD sequence). In some
cases, internal SD-like motifs in the coding region generate "programmed"
ribosomal pauses that are beneficial for protein folding or accurate targeting.
On the other hand, such pauses can also reduce protein production, generating
purifying selection against internal SD-like motifs. This selection should be
stronger in GC-rich genomes that are more likely to harbor the G-rich SD motif.
However, the nature and consequences of selection acting on internal SD-like
motifs within genomes and across species remains unclear. We analyzed the
frequency of SD-like hexamers in the coding regions of 284 prokaryotes (277 with
known anti-SD sequences and 7 without a typical SD mechanism). After accounting
for GC content, we found that internal SD-like hexamers are avoided in 230
species, including three without a typical SD mechanism. The degree of avoidance
was higher in GC-rich genomes, mesophiles, and N-terminal regions of genes. In
contrast, 54 species either showed no signature of avoidance or were enriched in
internal SD-like motifs. C-terminal gene regions were relatively enriched in SD
like hexamers, particularly for genes in operons or those followed closely by
downstream genes. Together, our results suggest that the frequency of internal SD
like hexamers is governed by multiple factors including GC content and genome
organization, and further empirical work is necessary to understand the evolution
and functional roles of these motifs.
PMID- 27189996
TI - Comparative Genomics of Two Closely Related Wolbachia with Different Reproductive
Effects on Hosts.
AB - Wolbachia pipientis are obligate intracellular bacteria commonly found in many
arthropods. They can induce various reproductive alterations in hosts, including
cytoplasmic incompatibility, male-killing, feminization, and parthenogenetic
development, and can provide host protection against some viruses and other
pathogens. Wolbachia differ from many other primary endosymbionts in arthropods
because they undergo frequent horizontal transmission between hosts and are well
known for an abundance of mobile elements and relatively high recombination
rates. Here, we compare the genomes of two closely related Wolbachia (with 0.57%
genome-wide synonymous divergence) that differ in their reproductive effects on
hosts. wVitA induces a sperm-egg incompatibility (also known as cytoplasmic
incompatibility) in the parasitoid insect Nasonia vitripennis, whereas wUni
causes parthenogenetic development in a different parasitoid, Muscidifurax
uniraptor Although these bacteria are closely related, the genomic comparison
reveals rampant rearrangements, protein truncations (particularly in proteins
predicted to be secreted), and elevated substitution rates. These changes occur
predominantly in the wUni lineage, and may be due in part to adaptations by wUni
to a new host environment, or its phenotypic shift to parthenogenesis induction.
However, we conclude that the approximately 8-fold elevated synonymous
substitution rate in wUni is due to a either an elevated mutation rate or a
greater number of generations per year in wUni, which occurs in semitropical host
species. We identify a set of genes whose loss or pseudogenization in the wUni
lineage implicates them in the phenotypic shift from cytoplasmic incompatibility
to parthenogenesis induction. Finally, comparison of these closely related
strains allows us to determine the fine-scale mutation patterns in Wolbachia
Although Wolbachia are AT rich, mutation probabilities estimated from 4-fold
degenerate sites are not AT biased, and predict an equilibrium AT content much
less biased than observed (57-50% AT predicted vs. 76% current content at
degenerate sites genome wide). The contrast suggests selection for increased AT
content within Wolbachia genomes.
PMID- 27189999
TI - Capturing the Phylogeny of Holometabola with Mitochondrial Genome Data and
Bayesian Site-Heterogeneous Mixture Models.
AB - After decades of debate, a mostly satisfactory resolution of relationships among
the 11 recognized holometabolan orders of insects has been reached based on
nuclear genes, resolving one of the most substantial branches of the tree-of
life, but the relationships are still not well established with mitochondrial
genome data. The main reasons have been the absence of sufficient data in several
orders and lack of appropriate phylogenetic methods that avoid the systematic
errors from compositional and mutational biases in insect mitochondrial genomes.
In this study, we assembled the richest taxon sampling of Holometabola to date
(199 species in 11 orders), and analyzed both nucleotide and amino acid data sets
using several methods. We find the standard Bayesian inference and maximum
likelihood analyses were strongly affected by systematic biases, but the site
heterogeneous mixture model implemented in PhyloBayes avoided the false grouping
of unrelated taxa exhibiting similar base composition and accelerated
evolutionary rate. The inclusion of rRNA genes and removal of fast-evolving sites
with the observed variability sorting method for identifying sites deviating from
the mean rates improved the phylogenetic inferences under a site-heterogeneous
model, correctly recovering most deep branches of the Holometabola phylogeny. We
suggest that the use of mitochondrial genome data for resolving deep phylogenetic
relationships requires an assessment of the potential impact of substitutional
saturation and compositional biases through data deletion strategies and by using
site-heterogeneous mixture models. Our study suggests a practical approach for
how to use densely sampled mitochondrial genome data in phylogenetic analyses.
PMID- 27190000
TI - Teff, an Orphan Cereal in the Chloridoideae, Provides Insights into the Evolution
of Storage Proteins in Grasses.
AB - Seed storage proteins (SSP) in cereals provide essential nutrition for humans and
animals. Genes encoding these proteins have undergone rapid evolution in
different grass species. To better understand the degree of divergence, we
analyzed this gene family in the subfamily Chloridoideae, where the genome of
teff (Eragrostis tef) has been sequenced. We find gene duplications, deletions,
and rapid mutations in protein-coding sequences. The main SSPs in teff, like
other grasses, are prolamins, here called eragrostins. Teff has gamma- and delta
prolamins, but has no beta-prolamins. One delta-type prolamin (delta1) in teff
has higher methionine (33%) levels than in maize (23-25%). The other delta-type
prolamin (delta2) has reduced methionine residues (<10%) and is phylogenetically
closer to alpha prolamins. Prolamin delta2 in teff represents an intermediate
between delta and alpha types that appears to have been lost in maize and other
Panicoideae, and was replaced by the expansion of alpha-prolamins. Teff also has
considerably larger numbers of alpha-prolamin genes, which we further divide into
five sub-groups, where alpha2 and alpha5 represent the most abundant alpha
prolamins both in number and in expression. In addition, indolines that determine
kernel softness are present in teff and the panicoid cereal called foxtail millet
(Setaria italica) but not in sorghum or maize, indicating that these genes were
only recently lost in some members of the Panicoideae Moreover, this study
provides not only information on the evolution of SSPs in the grass family but
also the importance of alpha-globulins in protein aggregation and germplasm
divergence.
PMID- 27190002
TI - Breaking Good: Accounting for Fragility of Genomic Regions in Rearrangement
Distance Estimation.
AB - Models of evolution by genome rearrangements are prone to two types of flaws: One
is to ignore the diversity of susceptibility to breakage across genomic regions,
and the other is to suppose that susceptibility values are given. Without
necessarily supposing their precise localization, we call "solid" the regions
that are improbably broken by rearrangements and "fragile" the regions outside
solid ones. We propose a model of evolution by inversions where breakage
probabilities vary across fragile regions and over time. It contains as a
particular case the uniform breakage model on the nucleotidic sequence, where
breakage probabilities are proportional to fragile region lengths. This is very
different from the frequently used pseudouniform model where all fragile regions
have the same probability to break. Estimations of rearrangement distances based
on the pseudouniform model completely fail on simulations with the truly uniform
model. On pairs of amniote genomes, we show that identifying coding genes with
solid regions yields incoherent distance estimations, especially with the
pseudouniform model, and to a lesser extent with the truly uniform model. This
incoherence is solved when we coestimate the number of fragile regions with the
rearrangement distance. The estimated number of fragile regions is surprisingly
small, suggesting that a minority of regions are recurrently used by
rearrangements. Estimations for several pairs of genomes at different divergence
times are in agreement with a slowly evolvable colocalization of active genomic
regions in the cell.
PMID- 27190001
TI - Plastid-Nuclear Interaction and Accelerated Coevolution in Plastid Ribosomal
Genes in Geraniaceae.
AB - Plastids and mitochondria have many protein complexes that include subunits
encoded by organelle and nuclear genomes. In animal cells, compensatory evolution
between mitochondrial and nuclear-encoded subunits was identified and the high
mitochondrial mutation rates were hypothesized to drive compensatory evolution in
nuclear genomes. In plant cells, compensatory evolution between plastid and
nucleus has rarely been investigated in a phylogenetic framework. To investigate
plastid-nuclear coevolution, we focused on plastid ribosomal protein genes that
are encoded by plastid and nuclear genomes from 27 Geraniales species.
Substitution rates were compared for five sets of genes representing plastid- and
nuclear-encoded ribosomal subunit proteins targeted to the cytosol or the plastid
as well as nonribosomal protein controls. We found that nonsynonymous
substitution rates (dN) and the ratios of nonsynonymous to synonymous
substitution rates (omega) were accelerated in both plastid- (CpRP) and nuclear
encoded subunits (NuCpRP) of the plastid ribosome relative to control sequences.
Our analyses revealed strong signals of cytonuclear coevolution between plastid-
and nuclear-encoded subunits, in which nonsynonymous substitutions in CpRP and
NuCpRP tend to occur along the same branches in the Geraniaceae phylogeny. This
coevolution pattern cannot be explained by physical interaction between amino
acid residues. The forces driving accelerated coevolution varied with cellular
compartment of the sequence. Increased omega in CpRP was mainly due to
intensified positive selection whereas increased omega in NuCpRP was caused by
relaxed purifying selection. In addition, the many indels identified in plastid
rRNA genes in Geraniaceae may have contributed to changes in plastid subunits.
PMID- 27190005
TI - The Somatic Nature of Cancer Allows It to Affect Highly Constrained Genes.
AB - Cancer is special among genetic disorders in two major ways: first, cancer is a
disease of the most basic of cellular functions, such as cell proliferation,
differentiation, and the maintenance of genomic integrity. Second, in contrast to
most genetic disorders that are mediated by germline (hereditary) mutations,
cancer is largely a somatic disease. Here we show that these two traits are not
detached and that it is the somatic nature of cancer that allows it to affect the
most basic of cellular functions. We begin by demonstrating that cancer genes are
both more functionally central (as measured by their patterns of expression and
protein interaction) and more evolutionarily constrained than non-cancer genetic
disease genes. We then compare genes that are only modified somatically in cancer
(hereinafter referred to as "somatic cancer genes") to those that can also be
modified in a hereditary manner, contributing to cancer development (hereinafter
referred to as "hereditary cancer genes"). We show that both somatic and
hereditary cancer genes are much more functionally central than genes
contributing to non-cancer genetic disorders. At the same time, hereditary cancer
genes are only as constrained as non-cancer hereditary disease genes, while
somatic cancer genes tend to be much more constrained in evolution. Thus, it
appears that it is the somatic nature of cancer that allows it to modify the most
constrained genes and, therefore, affect the most basic of cellular functions.
PMID- 27190003
TI - The Role of Transcription Factors at Antisense-Expressing Gene Pairs in Yeast.
AB - Genes encoded close to one another on the chromosome are often coexpressed, by a
mechanism and regulatory logic that remain poorly understood. We surveyed the
yeast genome for tandem gene pairs oriented tail-to-head at which expression
antisense to the upstream gene was conserved across species. The intergenic
region at most such tandem pairs is a bidirectional promoter, shared by the
downstream gene mRNA and the upstream antisense transcript. Genomic analyses of
these intergenic loci revealed distinctive patterns of transcription factor
regulation. Mutation of a given transcription factor verified its role as a
regulator in trans of tandem gene pair loci, including the proximally initiating
upstream antisense transcript and downstream mRNA and the distally initiating
upstream mRNA. To investigate cis-regulatory activity at such a locus, we focused
on the stress-induced NAD(P)H dehydratase YKL151C and its downstream neighbor,
the metabolic enzyme GPM1 Previous work has implicated the region between these
genes in regulation of GPM1 expression; our mutation experiments established its
function in rich medium as a repressor in cis of the distally initiating YKL151C
sense RNA, and an activator of the proximally initiating YKL151C antisense RNA.
Wild-type expression of all three transcripts required the transcription factor
Gcr2. Thus, at this locus, the intergenic region serves as a focal point of
regulatory input, driving antisense expression and mediating the coordinated
regulation of YKL151C and GPM1 Together, our findings implicate transcription
factors in the joint control of neighboring genes specialized to opposing
conditions and the antisense transcripts expressed between them.
PMID- 27190004
TI - Host-Associated Genomic Features of the Novel Uncultured Intracellular Pathogen
Ca. Ichthyocystis Revealed by Direct Sequencing of Epitheliocysts.
AB - Advances in single-cell and mini-metagenome sequencing have enabled important
investigations into uncultured bacteria. In this study, we applied the mini
metagenome sequencing method to assemble genome drafts of the uncultured
causative agents of epitheliocystis, an emerging infectious disease in the
Mediterranean aquaculture species gilthead seabream. We sequenced multiple cyst
samples and constructed 11 genome drafts from a novel beta-proteobacterial
lineage, Candidatus Ichthyocystis. The draft genomes demonstrate features typical
of pathogenic bacteria with an obligate intracellular lifestyle: a reduced genome
of up to 2.6 Mb, reduced G + C content, and reduced metabolic capacity.
Reconstruction of metabolic pathways reveals that Ca Ichthyocystis genomes lack
all amino acid synthesis pathways, compelling them to scavenge from the fish
host. All genomes encode type II, III, and IV secretion systems, a large
repertoire of predicted effectors, and a type IV pilus. These are all considered
to be virulence factors, required for adherence, invasion, and host manipulation.
However, no evidence of lipopolysaccharide synthesis could be found. Beyond the
core functions shared within the genus, alignments showed distinction into
different species, characterized by alternative large gene families. These
comprise up to a third of each genome, appear to have arisen through duplication
and diversification, encode many effector proteins, and are seemingly critical
for virulence. Thus, Ca Ichthyocystis represents a novel obligatory intracellular
pathogenic beta-proteobacterial lineage. The methods used: mini-metagenome
analysis and manual annotation, have generated important insights into the
lifestyle and evolution of the novel, uncultured pathogens, elucidating many
putative virulence factors including an unprecedented array of novel gene
families.
PMID- 27190006
TI - Conserved Features in the Structure, Mechanism, and Biogenesis of the Inverse
Autotransporter Protein Family.
AB - The bacterial cell surface proteins intimin and invasin are virulence factors
that share a common domain structure and bind selectively to host cell receptors
in the course of bacterial pathogenesis. The beta-barrel domains of intimin and
invasin show significant sequence and structural similarities. Conversely, a
variety of proteins with sometimes limited sequence similarity have also been
annotated as "intimin-like" and "invasin" in genome datasets, while other recent
work on apparently unrelated virulence-associated proteins ultimately revealed
similarities to intimin and invasin. Here we characterize the sequence and
structural relationships across this complex protein family. Surprisingly,
intimins and invasins represent a very small minority of the sequence diversity
in what has been previously the "intimin/invasin protein family". Analysis of the
assembly pathway for expression of the classic intimin, EaeA, and a
characteristic example of the most prevalent members of the group, FdeC, revealed
a dependence on the translocation and assembly module as a common feature for
both these proteins. While the majority of the sequences in the grouping are most
similar to FdeC, a further and widespread group is two-partner secretion systems
that use the beta-barrel domain as the delivery device for secretion of a variety
of virulence factors. This comprehensive analysis supports the adoption of the
"inverse autotransporter protein family" as the most accurate nomenclature for
the family and, in turn, has important consequences for our overall understanding
of the Type V secretion systems of bacterial pathogens.
PMID- 27190008
TI - Dynamic relationships between age, amyloid-beta deposition, and glucose
metabolism link to the regional vulnerability to Alzheimer's disease.
AB - SEE HANSSON AND GOURAS DOI101093/AWW146 FOR A SCIENTIFIC COMMENTARY ON THIS
ARTICLE: Although some brain regions such as precuneus and lateral temporo
parietal cortex have been shown to be more vulnerable to Alzheimer's disease than
other areas, a mechanism underlying the differential regional vulnerability to
Alzheimer's disease remains to be elucidated. Using fluorodeoxyglucose and
Pittsburgh compound B positron emission tomography imaging glucose metabolism and
amyloid-beta deposition, we tested whether and how life-long changes in glucose
metabolism relate to amyloid-beta deposition and Alzheimer's disease-related
hypometabolism. Nine healthy young adults (age range: 20-30), 96 cognitively
normal older adults (age range: 61-96), and 20 patients with Alzheimer's disease
(age range: 50-90) were scanned using fluorodeoxyglucose and Pittsburgh compound
B positron emission tomography. Among cognitively normal older subjects, 32 were
further classified as amyloid-positive, with 64 as amyloid-negative. To assess
the contribution of glucose metabolism to the regional vulnerability to amyloid
beta deposition, we defined the highest and lowest metabolic regions in young
adults and examined differences in amyloid deposition between these regions
across groups. Two-way analyses of variance were conducted to assess regional
differences in age and amyloid-beta-related changes in glucose metabolism.
Multiple regressions were applied to examine the association between amyloid-beta
deposition and regional glucose metabolism. Both region of interest and whole
brain voxelwise analyses were conducted to complement and confirm the results
derived from the other approach. Regional differences in glucose metabolism
between the highest and lowest metabolism regions defined in young adults (T =
12.85, P < 0.001) were maintained both in Pittsburgh compound B-negative
cognitively normal older subjects (T = 6.66, P < 0.001) and Pittsburgh compound B
positive cognitively normal older subjects (T = 10.62, P < 0.001), but, only the
Pittsburgh compound B-positive cognitively normal older subjects group showed
significantly higher Pittsburgh compound B retention in the highest compared to
the lowest glucose metabolism regions defined in young adults (T = 2.05, P <
0.05). Regional differences in age and amyloid-beta-dependent changes in glucose
metabolism were found such that frontal glucose metabolism was reduced with age,
while glucose metabolism in the precuneus was maintained across the lifespan
(right hemisphere: F = 7.69, P < 0.001; left hemisphere: F = 8.69, P < 0.001).
Greater Alzheimer's disease-related hypometabolism was observed in brain regions
that showed both age-invariance and amyloid-beta-related increases in glucose
metabolism. Our results indicate that although early and life-long regional
variation in glucose metabolism relates to the regional vulnerability to amyloid
beta accumulation, Alzheimer's disease-related hypometabolism is more specific to
brain regions showing age-invariant glucose metabolism and amyloid-beta-related
hypermetabolism.
PMID- 27190007
TI - Reinventing the Wheel and Making It Round Again: Evolutionary Convergence in
Buchnera-Serratia Symbiotic Consortia between the Distantly Related Lachninae
Aphids Tuberolachnus salignus and Cinara cedri.
AB - Virtually all aphids (Aphididae) harbor Buchnera aphidicola as an obligate
endosymbiont to compensate nutritional deficiencies arising from their phloem
diet. Many species within the Lachninae subfamily seem to be consistently
associated also with Serratia symbiotica We have previously shown that both
Cinara (Cinara) cedri and Cinara (Cupressobium) tujafilina (Lachninae: Eulachnini
tribe) have indeed established co-obligate associations with both Buchnera and S.
symbiotica However, while Buchnera genomes of both Cinara species are similar,
genome degradation differs greatly between the two S. symbiotica strains. To gain
insight into the essentiality and degree of integration of S. symbiotica within
the Lachninae, we sequenced the genome of both Buchnera and S. symbiotica
endosymbionts from the distantly related aphid Tuberolachnus salignus (Lachninae:
Tuberolachnini tribe). We found a striking level of similarity between the
endosymbiotic system of this aphid and that of C. cedri In both aphid hosts, S.
symbiotica possesses a highly reduced genome and is found exclusively
intracellularly inside bacteriocytes. Interestingly, T. salignus' endosymbionts
present the same tryptophan biosynthetic metabolic complementation as C. cedri's,
which is not present in C. tujafilina's. Moreover, we corroborate the riboflavin
biosynthetic-role take-over/rescue by S. symbiotica in T. salignus, and
therefore, provide further evidence for the previously proposed establishment of
a secondary co-obligate endosymbiont in the common ancestor of the Lachninae
aphids. Finally, we propose that the putative convergent split of the tryptophan
biosynthetic role between Buchnera and S. symbiotica could be behind the
establishment of S. symbiotica as an obligate intracellular symbiont and the
triggering of further genome degradation.
PMID- 27190009
TI - Dopamine D2 receptor gene variants and response to rasagiline in early
Parkinson's disease: a pharmacogenetic study.
AB - The treatment of early Parkinson's disease with dopaminergic agents remains the
mainstay of symptomatic therapy for this incurable neurodegenerative disorder.
However, clinical responses to dopaminergic drugs vary substantially from person
to person due to individual-, drug- and disease-related factors that may in part
be genetically determined. Using clinical data and DNA samples ascertained
through the largest placebo-controlled clinical trial of the monoamine oxidase B
inhibitor, rasagiline (ClinicalTrials.gov number, NCT00256204), we examined how
polymorphisms in candidate genes associate with the clinical response to
rasagiline in early Parkinson's disease. Variants in genes that express proteins
involved in the pharmacokinetics and pharmacodynamics of rasagiline, and genes
previously associated with the risk to develop Parkinson's disease were
genotyped. The LifeTechnologies OpenArray NT genotyping platform and polymerase
chain reaction-based methods were used to analyse 204 single nucleotide
polymorphisms and five variable number tandem repeats from 30 candidate genes in
692 available DNA samples from this clinical trial. The peak symptomatic response
to rasagiline, the rate of symptom progression, and their relation to genetic
variation were examined controlling for placebo effects using general linear and
mixed effects models, respectively. Single nucleotide polymorphisms, rs2283265
and rs1076560, in the dopamine D2 receptor gene (DRD2) were found to be
significantly associated with a favourable peak response to rasagiline at 12
weeks in early Parkinson's disease after controlling for multiple testing. From a
linear regression, the betas were 2.5 and 2.38, respectively, with false
discovery rate-corrected P-values of 0.032. These polymorphisms were in high
linkage disequilibrium with each other (r(2) = 0.96) meaning that the same
clinical response signal was identified by each of them. No polymorphisms were
associated with slowing the rate of worsening in Parkinson symptoms from Weeks 12
to 36 after correction for multiple testing. This is the largest and most
comprehensive pharmacogenetics study to date examining clinical response to an
anti-parkinsonian drug and the first to be conducted in patients with early stage
Parkinson's disease receiving monotherapy. The results indicate a clinically
meaningful benefit to rasagiline in terms of the magnitude of improvement in
parkinsonian symptoms for those with the favourable response genotypes. Future
work is needed to elucidate the specific mechanisms through which these DRD2
variants operate in modulating the function of the nigrostriatal dopaminergic
system.media-1vid110.1093/brain/aww109_video_abstractaww109_video_abstract.
PMID- 27190011
TI - C9orf72 mutations and the puzzle of cerebro-cerebellar network degeneration.
PMID- 27190010
TI - Cyclooxygenase inhibition targets neurons to prevent early behavioural decline in
Alzheimer's disease model mice.
AB - Identifying preventive targets for Alzheimer's disease is a central challenge of
modern medicine. Non-steroidal anti-inflammatory drugs, which inhibit the
cyclooxygenase enzymes COX-1 and COX-2, reduce the risk of developing Alzheimer's
disease in normal ageing populations. This preventive effect coincides with an
extended preclinical phase that spans years to decades before onset of cognitive
decline. In the brain, COX-2 is induced in neurons in response to excitatory
synaptic activity and in glial cells in response to inflammation. To identify
mechanisms underlying prevention of cognitive decline by anti-inflammatory drugs,
we first identified an early object memory deficit in APPSwe-PS1DeltaE9 mice that
preceded previously identified spatial memory deficits in this model. We modelled
prevention of this memory deficit with ibuprofen, and found that ibuprofen
prevented memory impairment without producing any measurable changes in amyloid
beta accumulation or glial inflammation. Instead, ibuprofen modulated hippocampal
gene expression in pathways involved in neuronal plasticity and increased levels
of norepinephrine and dopamine. The gene most highly downregulated by ibuprofen
was neuronal tryptophan 2,3-dioxygenase (Tdo2), which encodes an enzyme that
metabolizes tryptophan to kynurenine. TDO2 expression was increased by neuronal
COX-2 activity, and overexpression of hippocampal TDO2 produced behavioural
deficits. Moreover, pharmacological TDO2 inhibition prevented behavioural
deficits in APPSwe-PS1DeltaE9 mice. Taken together, these data demonstrate broad
effects of cyclooxygenase inhibition on multiple neuronal pathways that
counteract the neurotoxic effects of early accumulating amyloid-beta oligomers.
PMID- 27190013
TI - Migraine with aura and risk of silent brain infarcts and white matter
hyperintensities: an MRI study.
AB - A small number of population-based studies reported an association between
migraine with aura and risk of silent brain infarcts and white matter
hyperintensities in females. We investigated these relations in a population
based sample of female twins. We contacted female twins ages 30-60 years
identified through the population-based Danish Twin Registry. Based on
questionnaire responses, twins were invited to participate in a telephone-based
interview conducted by physicians. Headache diagnoses were established according
to the International Headache Society criteria. Cases with migraine with aura,
their co-twins, and unrelated migraine-free twins (controls) were invited to a
brain magnetic resonance imaging scan performed at a single centre. Brain scans
were assessed for the presence of infarcts, and white matter hyperintensities
(visual rating scales and volumetric analyses) blinded to headache diagnoses.
Comparisons were based on 172 cases, 34 co-twins, and 139 control subjects.
Compared with control subjects, cases did not differ with regard to frequency of
silent brain infarcts (four cases versus one control), periventricular white
matter hyperintensity scores [adjusted mean difference (95% confidence interval):
-0.1 (-0.5 to 0.2)] or deep white matter hyperintensity scores [adjusted mean
difference (95% confidence interval): 0.1 (-0.8 to 1.1)] assessed by Scheltens'
scale. Cases had a slightly higher total white matter hyperintensity volume
compared with controls [adjusted mean difference (95% confidence interval): 0.17
(-0.08 to 0.41) cm(3)] and a similar difference was present in analyses
restricted to twin pairs discordant for migraine with aura [adjusted mean
difference 0.21 (-0.20 to 0.63)], but these differences did not reach statistical
significance. We found no evidence of an association between silent brain
infarcts, white matter hyperintensities, and migraine with aura.
PMID- 27190014
TI - Reply: SCA23 and prodynorphin: is it time for gene retraction?
PMID- 27190012
TI - The human subthalamic nucleus encodes the subjective value of reward and the cost
of effort during decision-making.
AB - Adaptive behaviour entails the capacity to select actions as a function of their
energy cost and expected value and the disruption of this faculty is now viewed
as a possible cause of the symptoms of Parkinson's disease. Indirect evidence
points to the involvement of the subthalamic nucleus-the most common target for
deep brain stimulation in Parkinson's disease-in cost-benefit computation.
However, this putative function appears at odds with the current view that the
subthalamic nucleus is important for adjusting behaviour to conflict. Here we
tested these contrasting hypotheses by recording the neuronal activity of the
subthalamic nucleus of patients with Parkinson's disease during an effort-based
decision task. Local field potentials were recorded from the subthalamic nucleus
of 12 patients with advanced Parkinson's disease (mean age 63.8 years +/- 6.8;
mean disease duration 9.4 years +/- 2.5) both OFF and ON levodopa while they had
to decide whether to engage in an effort task based on the level of effort
required and the value of the reward promised in return. The data were analysed
using generalized linear mixed models and cluster-based permutation methods.
Behaviourally, the probability of trial acceptance increased with the reward
value and decreased with the required effort level. Dopamine replacement therapy
increased the rate of acceptance for efforts associated with low rewards. When
recording the subthalamic nucleus activity, we found a clear neural response to
both reward and effort cues in the 1-10 Hz range. In addition these responses
were informative of the subjective value of reward and level of effort rather
than their actual quantities, such that they were predictive of the participant's
decisions. OFF levodopa, this link with acceptance was weakened. Finally, we
found that these responses did not index conflict, as they did not vary as a
function of the distance from indifference in the acceptance decision. These
findings show that low-frequency neuronal activity in the subthalamic nucleus may
encode the information required to make cost-benefit comparisons, rather than
signal conflict. The link between these neural responses and behaviour was
stronger under dopamine replacement therapy. Our findings are consistent with the
view that Parkinson's disease symptoms may be caused by a disruption of the
processes involved in balancing the value of actions with their associated effort
cost.
PMID- 27190015
TI - SCA23 and prodynorphin: is it time for gene retraction?
PMID- 27190018
TI - Reply: Role of cortico-pallidal connectivity in the pathophysiology of dystonia.
PMID- 27190017
TI - CSF1R mosaicism in a family with hereditary diffuse leukoencephalopathy with
spheroids.
AB - Mutations in the colony stimulating factor 1 receptor (CSF1R) have recently been
discovered as causal for hereditary diffuse leukoencephalopathy with axonal
spheroids. We identified a novel, heterozygous missense mutation in CSF1R
[c.1990G > A p.(E664K)] by exome sequencing in five members of a family with
hereditary diffuse leukoencephalopathy with axonal spheroids. Three affected
siblings had characteristic white matter abnormalities and presented with
progressive neurological decline. In the fourth affected sibling, early
progression halted after allogeneic haematopoietic stem cell transplantation from
a related donor. Blood spot DNA from this subject displayed chimerism in CSF1R
acquired after haematopoietic stem cell transplantation. Interestingly, both
parents were unaffected but the mother's blood and saliva were mosaic for the
CSF1R mutation. Our findings suggest that expression of wild-type CSF1R in some
cells, whether achieved by mosaicism or chimerism, may confer benefit in
hereditary diffuse leukoencephalopathy with axonal spheroids and suggest that
haematopoietic stem cell transplantation might have a therapeutic role for this
disorder.
PMID- 27190016
TI - Corticolimbic anatomical characteristics predetermine risk for chronic pain.
AB - SEE TRACEY DOI101093/BRAIN/AWW147 FOR A SCIENTIFIC COMMENTARY ON THIS ARTICLE:
Mechanisms of chronic pain remain poorly understood. We tracked brain properties
in subacute back pain patients longitudinally for 3 years as they either
recovered from or transitioned to chronic pain. Whole-brain comparisons indicated
corticolimbic, but not pain-related circuitry, white matter connections
predisposed patients to chronic pain. Intra-corticolimbic white matter
connectivity analysis identified three segregated communities: dorsal medial
prefrontal cortex-amygdala-accumbens, ventral medial prefrontal cortex-amygdala,
and orbitofrontal cortex-amygdala-hippocampus. Higher incidence of white matter
and functional connections within the dorsal medial prefrontal cortex-amygdala
accumbens circuit, as well as smaller amygdala volume, represented independent
risk factors, together accounting for 60% of the variance for pain persistence.
Opioid gene polymorphisms and negative mood contributed indirectly through
corticolimbic anatomical factors, to risk for chronic pain. Our results imply
that persistence of chronic pain is predetermined by corticolimbic
neuroanatomical factors.
PMID- 27190019
TI - The migraine generator revisited: continuous scanning of the migraine cycle over
30 days and three spontaneous attacks.
AB - Functional imaging using positron emission tomography and later functional
magnetic resonance imaging revealed a particular brainstem area that is believed
to be specifically activated in migraine during, but not outside of the attack,
and consequently has been coined the 'migraine generator'. However, the
pathophysiological concept behind this term is not undisputed and typical
migraine premonitory symptoms such as fatigue and yawning, but also a typical
association of attacks to circadian and menstrual cycles, all make the
hypothalamus a possible regulating region of migraine attacks. Neuroimaging
studies investigating native human migraine attacks however are scarce and for
methodological but also clinical reasons there are currently no studies
investigating the last 24 h before headache onset. Here we report a migraine
patient who had magnetic resonance imaging every day for 30 days, always in the
morning, to cover, using functional imaging, a whole month and three complete,
untreated migraine attacks. We found that hypothalamic activity as a response to
trigeminal nociceptive stimulation is altered during the 24 h prior to pain
onset, i.e. increases towards the next migraine attack. More importantly, the
hypothalamus shows altered functional coupling with the spinal trigeminal nuclei
and the region of the migraine generator, i.e. the dorsal rostral pons during the
preictal day and the pain phase of native human migraine attacks. These data
suggest that although the brainstem is highly linked to the migraine biology, the
real driver of attacks might be the functional changes in hypothalamo-brainstem
connectivity.
PMID- 27190020
TI - Microvascular alterations and the role of complement in dermatomyositis.
AB - Different mechanisms have been proposed to explain the pathological basis of
perifascicular muscle fibre atrophy in dermatomyositis. These include ischaemia
due to immune-mediated microvascular injury, enhanced expression of type 1
interferon-induced gene transcripts in perifascicular capillaries and muscle
fibres, and occlusion of larger perimysial blood vessels. Microvascular
complement deposition is a feature of dermatomyositis pathology but the trigger
for complement activation, the predominant complement pathway involved, or its
role in the pathogenesis of the disease, has not been clearly defined. In the
first step of this study we examined the density of capillaries and transverse
vessels and searched for occlusion or depletion of larger perimysial blood
vessels in 10 patients with dermatomyositis. This revealed an invariable
association of perifascicular atrophy with capillary and transverse vessel
depletion. The capillary and transverse vessel densities in non-atrophic fibre
regions were not significantly different from those in muscle specimens of 10 age
matched controls. Next, in the same 10, as well as in 40 additional
dermatomyositis patients, we searched for vascular deposits of IgG, IgM, and the
C5b-9 complement membrane attack complex. Thirty-one of 50 dermatomyositis
specimens contained C5b-9 reactive endomysial microvessels but none of these or
other vessels reacted for IgG. Ten of 50 specimens harboured IgM-positive
capillaries but only a few of these reacted for C5b-9. Finally, we analysed and
compared different pathways of complement activation in dermatomyositis, lupus
nephritis, and necrotic muscle fibres in Duchenne dystrophy. In lupus nephritis,
C5-b9 deposits co-localized with IgG, IgM, C1q, and C4d, consistent with immune
complex dependent activation of the classical complement pathway. In both
dermatomyositis and Duchenne dystrophy, C5-b9 deposits co-localized with C1q and
C4d and rarely with IgM indicating activation of the classical complement
pathway. We conclude that: perifascicular atrophy in dermatomyositis is
consistently associated with focal microvascular depletion, and that
microvascular membrane attack complex deposits in dermatomyositis result from
activation of the classical complement pathway triggered by direct binding of C1q
to injured endothelial cells.
PMID- 27190021
TI - Universal and language-specific sublexical cues in speech perception: a novel
electroencephalography-lesion approach.
AB - SEE CAPPA DOI101093/BRAIN/AWW090 FOR A SCIENTIFIC COMMENTARY ON THIS ARTICLE :
The phonological structure of speech supports the highly automatic mapping of
sound to meaning. While it is uncontroversial that phonotactic knowledge acts
upon lexical access, it is unclear at what stage these combinatorial rules,
governing phonological well-formedness in a given language, shape speech
comprehension. Moreover few studies have investigated the neuronal network
affording this important step in speech comprehension. Therefore we asked 70
participants-half of whom suffered from a chronic left hemispheric lesion-to
listen to 252 different monosyllabic pseudowords. The material models universal
preferences of phonotactic well-formedness by including naturally spoken
pseudowords and digitally reversed exemplars. The latter partially violate
phonological structure of all human speech and are rich in universally
dispreferred phoneme sequences while preserving basic auditory parameters.
Language-specific constraints were modelled in that half of the naturally spoken
pseudowords complied with the phonotactics of the native language of the
monolingual participants (German) while the other half did not. To ensure
universal well-formedness and naturalness, the latter stimuli comply with Slovak
phonotactics and all stimuli were produced by an early bilingual speaker. To
maximally attenuate lexico-semantic influences, transparent pseudowords were
avoided and participants had to detect immediate repetitions, a task orthogonal
to the contrasts of interest. The results show that phonological 'well
formedness' modulates implicit processing of speech at different levels:
universally dispreferred phonological structure elicits early, medium and late
latency differences in the evoked potential. On the contrary, the language
specific phonotactic contrast selectively modulates a medium latency component of
the event-related potentials around 400 ms. Using a novel event-related potential
lesion approach allowed us to furthermore supply first evidence that implicit
processing of these different phonotactic levels relies on partially separable
brain areas in the left hemisphere: contrasting forward to reversed speech the
approach delineated an area comprising supramarginal and angular gyri.
Conversely, the contrast between legal versus illegal phonotactics consistently
projected to anterior and middle portions of the middle temporal and superior
temporal gyri. Our data support the notion that phonological structure acts on
different stages of phonologically and lexically driven steps of speech
comprehension. In the context of previous work we propose context-dependent
sensitivity to different levels of phonotactic well-formedness.
PMID- 27190022
TI - Migraine photophobia originating in cone-driven retinal pathways.
AB - Migraine headache is uniquely exacerbated by light. Using psychophysical
assessments in patients with normal eyesight we found that green light
exacerbates migraine headache significantly less than white, blue, amber or red
lights. To delineate mechanisms, we used electroretinography and visual evoked
potential recording in patients, and multi-unit recording of dura- and light
sensitive thalamic neurons in rats to show that green activates cone-driven
retinal pathways to a lesser extent than white, blue and red; that thalamic
neurons are most responsive to blue and least responsive to green; and that
cortical responses to green are significantly smaller than those generated by
blue, amber and red lights. These findings suggest that patients' experience with
colour and migraine photophobia could originate in cone-driven retinal pathways,
fine-tuned in relay thalamic neurons outside the main visual pathway, and
preserved by the cortex. Additionally, the findings provide substrate for the
soothing effects of green light.
PMID- 27190024
TI - Role of cortico-pallidal connectivity in the pathophysiology of dystonia.
PMID- 27190023
TI - In vivo imaging of neuromelanin in Parkinson's disease using 18F-AV-1451 PET.
AB - The tau tangle ligand (18)F-AV-1451 ((18)F-T807) binds to neuromelanin in the
midbrain, and may therefore be a measure of the pigmented dopaminergic neuronal
count in the substantia nigra. Parkinson's disease is characterized by
progressive loss of dopaminergic neurons. Extrapolation of post-mortem data
predicts that a ~30% decline of nigral dopamine neurons is necessary to cause
motor symptoms in Parkinson's disease. Putamen dopamine terminal loss at disease
onset most likely exceeds that of the nigral cell bodies and has been estimated
to be of the order of 50-70%. We investigated the utility of (18)F-AV-1451
positron emission tomography to visualize the concentration of nigral
neuromelanin in Parkinson's disease and correlated the findings to dopamine
transporter density, measured by (123)I-FP-CIT single photon emission computed
tomography. A total of 17 patients with idiopathic Parkinson's disease and 16 age
and sex-matched control subjects had (18)F-AV-1451 positron emission tomography
using a Siemens high-resolution research tomograph. Twelve patients with
Parkinson's disease also received a standardized (123)I-FP-CIT single photon
emission computed tomography scan at our imaging facility. Many of the patients
with Parkinson's disease displayed visually apparent decreased (18)F-AV-1451
signal in the midbrain. On quantitation, patients showed a 30% mean decrease in
total nigral (18)F-AV-1451 volume of distribution compared with controls (P =
0.004), but there was an overlap of the individual ranges. We saw no significant
correlation between symptom dominant side and contralateral nigral volume of
distribution. There was no correlation between nigral (18)F-AV-1451 volume of
distribution and age or time since diagnosis. In the subset of 12 patients, who
also had a (123)I-FP-CIT scan, the mean total striatal dopamine transporter
signal was decreased by 45% and the mean total (18)F-AV-1451 substantia nigra
volume of distribution was decreased by 33% after median disease duration of 4.7
years (0.5-12.4 years). (18)F-AV-1451 positron emission tomography may be the
first radiotracer to reflect the loss of pigmented neurons in the substantia
nigra of parkinsonian patients. The magnitude of the nigral signal loss was
smaller than the decrease in striatal dopamine transporter signal measured by
dopamine transporter single photon emission computed tomography. These findings
suggest a more severe loss of striatal nerve terminal function compared with
neuronal cell bodies, in accordance with the post-mortem literature.
PMID- 27190027
TI - A causal role for the anterior mid-cingulate cortex in negative affect and
cognitive control.
AB - Converging evidence has linked the anterior mid-cingulate cortex to negative
affect, pain and cognitive control. It has previously been proposed that this
region uses information about punishment to control aversively motivated actions.
Studies on the effects of lesions allow causal inferences about brain function;
however, naturally occurring lesions in the anterior mid-cingulate cortex are
rare. In two studies we therefore recruited 94 volunteers, comprising 15 patients
with treatment-resistant depression who had received bilateral anterior
cingulotomy, which consists of lesions made within the anterior mid-cingulate
cortex, 20 patients with treatment-resistant depression who had not received
surgery and 59 healthy control subjects. Using the Ekman 60 faces paradigm and
two Stroop paradigms, we tested the hypothesis that patients who received
anterior cingulotomy were impaired in recognizing negative facial affect
expressions but not positive or neutral facial expressions, and impaired in
Stroop cognitive control, with larger lesions being associated with more
impairment. Consistent with this hypothesis, we found that larger volume lesions
predicted more impairment in recognizing fear, disgust and anger, and no
impairment in recognizing facial expressions of surprise or happiness. However,
we found no impairment in recognizing expressions of sadness. Also consistent
with the hypothesis, we found that larger volume lesions predicted impaired
Stroop cognitive control. Notably, this relationship was only present when
anterior mid-cingulate cortex lesion volume was defined as the overlap between
cingulotomy lesion volume and Shackman's meta-analysis-derived binary masks for
negative affect and cognitive control. Given substantial evidence from healthy
subjects that the anterior mid-cingulate cortex is part of a network associated
with the experience of negative affect and pain, engaging cognitive control
processes for optimizing behaviour in the presence of such stimuli, our findings
support the assertion that this region has a causal role in these processes.
While the clinical justification for cingulotomy is empirical and not
theoretical, it is plausible that lesions within a brain region associated with
the subjective experience of negative affect and pain may be therapeutic for
patients with otherwise intractable mood, anxiety and pain syndromes.
PMID- 27190025
TI - Thalamic pathology and memory loss in early Alzheimer's disease: moving the focus
from the medial temporal lobe to Papez circuit.
AB - It is widely assumed that incipient protein pathology in the medial temporal lobe
instigates the loss of episodic memory in Alzheimer's disease, one of the
earliest cognitive deficits in this type of dementia. Within this region, the
hippocampus is seen as the most vital for episodic memory. Consequently, research
into the causes of memory loss in Alzheimer's disease continues to centre on
hippocampal dysfunction and how disease-modifying therapies in this region can
potentially alleviate memory symptomology. The present review questions this
entrenched notion by bringing together findings from post-mortem studies, non
invasive imaging (including studies of presymptomatic, at-risk cases) and
genetically modified animal models. The combined evidence indicates that the loss
of episodic memory in early Alzheimer's disease reflects much wider
neurodegeneration in an extended mnemonic system (Papez circuit), which
critically involves the limbic thalamus. Within this system, the anterior
thalamic nuclei are prominent, both for their vital contributions to episodic
memory and for how these same nuclei appear vulnerable in prodromal Alzheimer's
disease. As thalamic abnormalities occur in some of the earliest stages of the
disease, the idea that such changes are merely secondary to medial temporal lobe
dysfunctions is challenged. This alternate view is further strengthened by the
interdependent relationship between the anterior thalamic nuclei and
retrosplenial cortex, given how dysfunctions in the latter cortical area provide
some of the earliest in vivo imaging evidence of prodromal Alzheimer's disease.
Appreciating the importance of the anterior thalamic nuclei for memory and
attention provides a more balanced understanding of Alzheimer's disease.
Furthermore, this refocus on the limbic thalamus, as well as the rest of Papez
circuit, would have significant implications for the diagnostics, modelling, and
experimental treatment of cognitive symptoms in Alzheimer's disease.
PMID- 27190029
TI - Reply: C9orf72 mutations and the puzzle of cerebro-cerebellar network
degeneration.
PMID- 27190028
TI - The unfolded protein response: mechanisms and therapy of neurodegeneration.
AB - Activation of the unfolded protein response is emerging as a common theme in
protein-misfolding neurodegenerative diseases, with relevant markers observed in
patient tissue and mouse models. Genetic and pharmacological manipulation of the
pathway in several mouse models has shown that this is not a passive consequence
of the neurodegeneration process. Rather, overactivation of the protein kinase
RNA-like ER kinase (PERK, encoded by EIF2AK3) branch of the unfolded protein
response directly contributes to disease pathogenesis through the critical
reduction in neuronal protein synthesis rates, essential for learning and memory
and for neuronal survival. The pharmacological inhibition of this process in
these models is strikingly neuroprotective, resulting in the discovery of the
first small molecule preventing neurodegeneration and clinical disease in vivo
This now represents a potential generic approach for boosting memory and
preventing neurodegeneration across the spectrum of these disorders, albeit with
some exceptions, independent of disease-specific proteins. Targeting the unfolded
protein response, and particularly PERK-branch mediated translational failure is
thus an increasingly compelling strategy for new treatments for dementia and
neurodegenerative disease.
PMID- 27190026
TI - MicroRNAs targeting TGFbeta signalling underlie the regulatory T cell defect in
multiple sclerosis.
AB - Transforming growth factor beta (TGFbeta) signalling is critical for regulatory T
cell development and function, and regulatory T cell dysregulation is a common
observation in autoimmune diseases, including multiple sclerosis. In a
comprehensive miRNA profiling study of patients with multiple sclerosis naive CD4
T cells, 19 differentially expressed miRNAs predicted to target the TGFbeta
signalling pathway were identified, leading to the hypothesis that miRNAs may be
responsible for the regulatory T cell defect observed in patients with multiple
sclerosis. Patients with multiple sclerosis had reduced levels of TGFbeta
signalling components in their naive CD4 T cells. The differentially expressed
miRNAs negatively regulated the TGFbeta pathway, resulting in a reduced capacity
of naive CD4 T cells to differentiate into regulatory T cells. Interestingly, the
limited number of regulatory T cells, that did develop when these TGFbeta
targeting miRNAs were overexpressed, were capable of suppressing effector T
cells. As it has previously been demonstrated that compromising TGFbeta
signalling results in a reduced regulatory T cell repertoire insufficient to
control autoimmunity, and patients with multiple sclerosis have a reduced
regulatory T cell repertoire, these data indicate that the elevated expression of
multiple TGFbeta-targeting miRNAs in naive CD4 T cells of patients with multiple
sclerosis impairs TGFbeta signalling, and dampens regulatory T cell development,
thereby enhancing susceptibility to developing multiple sclerosis.
PMID- 27190031
TI - Of sex and determination: marking 25 years of Randy, the sex-reversed mouse.
AB - On Thursday 9 May 1991, the world awoke to front-page news of a breakthrough in
biological research. From Washington to Wollongong, newspapers, radio and TV were
abuzz with the story of a transgenic mouse in London called Randy. Why was this
mouse so special? The mouse in question was a chromosomal female (XX) made male
by the presence of a transgene containing the Y chromosome gene Sry This sex
reversal provided clear experimental proof that Sry was the elusive mammalian sex
determining gene. Twenty-five years on, we reflect on what this discovery meant
for our understanding of how males and females arise and what remains to be
understood.
PMID- 27190032
TI - Transcriptional interpretation of Shh morphogen signaling: computational modeling
validates empirically established models.
PMID- 27190030
TI - Emerging therapies for mitochondrial disorders.
AB - Mitochondrial disorders are a diverse group of debilitating conditions resulting
from nuclear and mitochondrial DNA mutations that affect multiple organs, often
including the central and peripheral nervous system. Despite major advances in
our understanding of the molecular mechanisms, effective treatments have not been
forthcoming. For over five decades patients have been treated with different
vitamins, co-factors and nutritional supplements, but with no proven benefit.
There is therefore a clear need for a new approach. Several new strategies have
been proposed acting at the molecular or cellular level. Whilst many show promise
in vitro, the clinical potential of some is questionable. Here we critically
appraise the most promising preclinical developments, placing the greatest
emphasis on diseases caused by mitochondrial DNA mutations. With new animal and
cellular models, longitudinal deep phenotyping in large patient cohorts, and
growing interest from the pharmaceutical industry, the field is poised to make a
breakthrough.
PMID- 27190033
TI - Mathematical models help explain experimental data. Response to 'Transcriptional
interpretation of Shh morphogen signaling: computational modeling validates
empirically established models'.
PMID- 27190034
TI - An overview of mammalian pluripotency.
AB - Mammalian pluripotency is the ability to give rise to all somatic cells as well
as the germ cells of an adult mammal. It is a unique feature of embryonic
epiblast cells, existing only transiently, as cells pass through early
developmental stages. By contrast, pluripotency can be captured and stabilized
indefinitely in cell culture and can also be reactivated in differentiated cells
via nuclear reprogramming. Pluripotent stem cells (PSCs) are the in vitro
carriers of pluripotency and they can inhabit discrete pluripotent states
depending on the stage at which they were derived and their culture conditions.
Here, and in the accompanying poster, we provide a summary of mammalian
pluripotency both in vivo and in vitro, and highlight recent and future
applications of PSCs for basic and translational research.
PMID- 27190035
TI - Neurogenesis in Cancun: where science meets the sea.
AB - In March 2016, meeting organizers Sebastian Jessberger and Hongjun Song brought
together over 100 scientists from around the world to Cancun, Mexico to present
the latest research on neurogenesis. The meeting covered diverse aspects of
embryonic and adult neurogenesis with a focus on novel technologies, including
chemogenetics and optogenetics, live cell two-photon imaging, cell fate
reprogramming and human pluripotent stem cell models. This Meeting Review
describes the exciting work that was presented and some of the emerging themes
from the meeting.
PMID- 27190038
TI - Hypoxia promotes production of neural crest cells in the embryonic head.
AB - Hypoxia is encountered in either pathological or physiological conditions, the
latter of which is seen in amniote embryos prior to the commencement of a
functional blood circulation. During the hypoxic stage, a large number of neural
crest cells arise from the head neural tube by epithelial-to-mesenchymal
transition (EMT). As EMT-like cancer dissemination can be promoted by hypoxia, we
investigated whether hypoxia contributes to embryonic EMT. Using chick embryos,
we show that the hypoxic cellular response, mediated by hypoxia-inducible factor
(HIF)-1alpha, is required to produce a sufficient number of neural crest cells.
Among the genes that are involved in neural crest cell development, some genes
are more sensitive to hypoxia than others, demonstrating that the effect of
hypoxia is gene specific. Once blood circulation becomes fully functional, the
embryonic head no longer produces neural crest cells in vivo, despite the
capability to do so in a hypoxia-mimicking condition in vitro, suggesting that
the oxygen supply helps to stop emigration of neural crest cells in the head.
These results highlight the importance of hypoxia in normal embryonic
development.
PMID- 27190036
TI - The transcriptional repressor Blimp1 is expressed in rare luminal progenitors and
is essential for mammary gland development.
AB - Mammary gland morphogenesis depends on a tight balance between cell
proliferation, differentiation and apoptosis, to create a defined functional
hierarchy within the epithelia. The limited availability of stem cell/progenitor
markers has made it challenging to decipher lineage relationships. Here, we
identify a rare subset of luminal progenitors that express the zinc finger
transcriptional repressor Blimp1, and demonstrate that this subset of highly
clonogenic luminal progenitors is required for mammary gland development.
Conditional inactivation experiments using K14-Cre and WAPi-Cre deleter strains
revealed essential functions at multiple developmental stages. Thus, Blimp1
regulates proliferation, apoptosis and alveolar cell maturation during puberty
and pregnancy. Loss of Blimp1 disrupts epithelial architecture and lumen
formation both in vivo and in three-dimensional (3D) primary cell cultures.
Collectively, these results demonstrate that Blimp1 is required to maintain a
highly proliferative luminal subset necessary for mammary gland development and
homeostasis.
PMID- 27190041
TI - The Joint Toxicity of Different Temperature Coefficient Insecticides on Apolygus
lucorum (Hemiptera: Miridae).
AB - The effect of temperature on the cotoxicity coefficient (CTC) value was used to
evaluate mixture efficacy of different temperature coefficient chemicals from 15
to 35 degrees C by exposing third-instar Apolygus lucorum (Meyer-Dur) to dip
treated asparagus bean pods. The results indicated the joint toxicity of same
temperature coefficient insecticide (TCI) types were unaffected by temperature.
This means that even when temperatures change, the mixture ratios of the highest
CTC values remained the same, and the effect of temperature on the joint toxicity
of same TCI types was only on the CTC values. However, the effect of temperature
was variable when considering the joint toxicity of different TCI types. The
effect of temperature on the joint toxicity of both strong positive and strong
negative TCI types was clear, and the highest CTC values of mixture ratios
changed with temperature regularly. When comparing the influence of temperature
between strong/slight positive/negative insecticides, the results indicated a
greater influence of the strong TCI. Paradoxically, the highest CTC value of the
imidacloprid and methomyl mixture did not change with temperature changes
consistently, even with the variance of imidacloprid ratios, a strong TCI. These
results will guide pest managers in choosing the most effective insecticide
mixtures for A. lucorum control under given environmental conditions.
PMID- 27190037
TI - The ADP-ribose polymerase Tankyrase regulates adult intestinal stem cell
proliferation during homeostasis in Drosophila.
AB - Wnt/beta-catenin signaling controls intestinal stem cell (ISC) proliferation, and
is aberrantly activated in colorectal cancer. Inhibitors of the ADP-ribose
polymerase Tankyrase (Tnks) have become lead therapeutic candidates for Wnt
driven cancers, following the recent discovery that Tnks targets Axin, a negative
regulator of Wnt signaling, for proteolysis. Initial reports indicated that Tnks
is important for Wnt pathway activation in cultured human cell lines. However,
the requirement for Tnks in physiological settings has been less clear, as
subsequent studies in mice, fish and flies suggested that Tnks was either
entirely dispensable for Wnt-dependent processes in vivo, or alternatively, had
tissue-specific roles. Here, using null alleles, we demonstrate that the
regulation of Axin by the highly conserved Drosophila Tnks homolog is essential
for the control of ISC proliferation. Furthermore, in the adult intestine, where
activity of the Wingless pathway is graded and peaks at each compartmental
boundary, Tnks is dispensable for signaling in regions where pathway activity is
high, but essential where pathway activity is relatively low. Finally, as
observed previously for Wingless pathway components, Tnks activity in absorptive
enterocytes controls the proliferation of neighboring ISCs non-autonomously by
regulating JAK/STAT signaling. These findings reveal the requirement for Tnks in
the control of ISC proliferation and suggest an essential role in the
amplification of Wnt signaling, with relevance for development, homeostasis and
cancer.
PMID- 27190042
TI - Western Corn Rootworm (Coleoptera: Chrysomelidae) Larval Movement in
eCry3.1Ab+mCry3A Seed Blend Scenarios.
AB - Corn fields planted with plant-incorporated Bacillus thuringiensis (Bt) proteins
must have a portion of the field planted with non-Bt, isoline, plants that serve
as a refuge for susceptible insects. In the Corn Belt, refuge seeds are now
blended in the bag with Bt seeds for corn hybrids containing two or more toxins
targeted toward the western corn rootworm, Diabrotica virgifera virgifera
LeConte. Syngenta's corn hybrid, Agrisure Duracade, containing the eCry3.1Ab
(event 5307) and mCry3a (event MIR604) rootworm-targeted toxins were registered
as a seed blend in 2014. Western corn rootworm larval movement between the refuge
plants and the Duracade plants was assessed to determine western corn rootworm
survival and amount of root damage on these plants when planted in all possible
seed blend scenarios. In this study, western corn rootworm larvae moved between
isoline and Bt plants and adult survival was greater on Bt plants if movement
from a neighboring infested isoline plant had occurred. However, root damage to
these Bt plants did not reach economic levels. The low numbers of western corn
rootworm larvae that did move from an infested Bt plant to an isoline plant could
potentially select for resistance if they survived to adulthood.
PMID- 27190043
TI - Molecular Cloning, Expression, and Identification of Bre Genes Involved in
Glycosphingolipids Synthesis in Helicoverpa armigera (Lepidoptera: Noctuidae).
AB - Glycosphingolipids (GSLs) play important roles in the cellular biology of
vertebrate and invertebrate organisms, such as cell differentiation, tumor
metastasis, and cell coordination. GSLs also serve as receptors for different
bacterial toxins. For example, in the nematode Caenorhabditis elegans, GSLs
function as receptors of the insecticidal Cry toxins produced by Bacillus
thuringiensis (Bt), and mutations in bre genes involved in GSLs synthesis
resulted in resistance to Cry5 toxin in this organism. However, the information
of GSLs function in insects is still limited. In this study, three genes for
glycosyltransferases, bre2, bre3, and bre4, from Helicoverpa armigera were
identified and cloned. The previously reported bre5 gene from H. armigera was
also analyzed. Protein sequence alignments revealed that proteins codified by H.
armigera Bre shared high identity with homologous proteins from other organisms.
Expression profile analysis revealed that the expressions of bre genes varied in
the different tissues and also in the different developmental stages of H.
armigera. Finally, the heterologous expression of bre genes in Trichoplusia ni
Hi5 cell line showed that the corresponding translated proteins were localized in
the cytoplasm of Hi5 cells. These results provide the bases for further
functional studies of bre genes and analyzing potential roles of GSLs in mode of
action of Cry1A toxin in H. armigera.
PMID- 27190044
TI - All Things in Moderation: Prevention of Intestinal Adenomas by DNA
Hypomethylation.
AB - DNA hypomethylation can prevent intestinal tumorigenesis, presumably by reducing
epigenetic silencing of tumor-suppressor genes. A study in this issue by Sheaffer
and colleagues challenges this notion by showing that severe DNA hypomethylation
by tissue-specific Dnmt1 knockout can actually promote intestinal adenoma
formation. Cancer Prev Res; 9(7); 509-11. (c)2016 AACRSee related article by
Sheaffer, et al., p. 534.
PMID- 27190045
TI - Lifetime Number of Ovulatory Cycles and Risks of Ovarian and Endometrial Cancer
Among Postmenopausal Women.
AB - Previous studies have shown that a greater number of ovulatory cycles,
cumulatively summed as lifetime number of ovulatory cycles (LOC), increases
ovarian cancer risk, but there is no uniform algorithm with which to compute LOC.
The association between LOC and endometrial cancer is less certain. Accordingly,
we identified 14 different LOC algorithms in a literature review and calculated
LOCs in the Polish Cancer Study (2001-2003). We evaluated the associations of LOC
with ovarian and endometrial cancer risks using unconditional logistic
regression, with and without adjustment for individual risk factors used in the
LOC computations. Our analysis included 302 ovarian cancer cases with 1,356
controls and 532 endometrial cancer cases with 1,286 controls. We found a high
correlation between LOC values among the combined controls (r >= 0.88) and
identified 5 groups of similar LOC algorithms. A LOC value in the highest
quartile was associated with ovarian cancer risk as computed by 2 algorithms
(odds ratio (OR) = 2.22 (95% confidence interval (CI): 1.07, 4.62) and OR = 2.44
(95% CI: 1.22, 4.87)) and with endometrial cancer risk as computed by 1 algorithm
(OR = 1.95, 95% CI: 1.11, 3.44). LOC algorithms using a core set of variables
widely available in epidemiologic studies may be independently associated with
risk of gynecological cancers beyond the contribution of the individual risk
factors, such as ages at menopause and menarche.
PMID- 27190050
TI - Phytohormone regulation of root growth triggered by P deficiency or Al toxicity.
AB - Phosphorus (P) deficiency and aluminum (Al) toxicity often coexist and limit
plant growth on acid soils. It has been well documented that both P deficiency
and Al toxicity alter root growth, including inhibition of primary roots and
promotion of lateral roots. This suggests that plants adapt to both stresses
through a common regulation pathway. Although an expanding set of results shows
that phytohormones play vital roles in controlling root responses to Pi
starvation and Al toxicity, it remains largely unknown whether P and Al
coordinately regulate root growth through interacting phytohormone biosynthesis
and signal transduction pathways. This review provides a summary of recent
results concerning the influences of P deficiency and Al toxicity on root growth
through the action of phytohormones, most notably auxin and ethylene. The
objective is to facilitate increasing insights into complex responses of plants
to adverse factors common on acid soils, which can spur development of 'smart'
cultivars with better root growth and higher yield on these globally distributed
marginal soils.
PMID- 27190055
TI - Myosin light chain phosphorylation, novel targets to repair a broken heart?
PMID- 27190057
TI - Ocular Metabolism of Levobunolol: Historic and Emerging Metabolic Pathways.
AB - Although ocular transport and delivery have been well studied, metabolism in the
eye is not well documented, even for clinically available medications such as
levobunolol, a potent and nonselective beta-adrenergic receptor antagonist.
Recently, we reported an in vitro methodology that could be used to evaluate
ocular metabolism across preclinical species and humans. The current
investigation provides detailed in vitro ocular and liver metabolism of
levobunolol in rat, rabbit, and human S9 fractions, including the formation of
equipotent active metabolite, dihydrolevobunolol, with the help of high
resolution mass spectrometry. 11 of the 16 metabolites of levobunolol identified
herein, including a direct acetyl conjugate of levobunolol observed in all ocular
and liver fractions, have not been reported in the literature. The study
documents the identification of six human ocular metabolites that have never been
reported. The current investigation presents evidence for ocular and hepatic
metabolism of levobunolol via non-cytochrome P450 pathways, which have not been
comprehensively investigated to date. Our results indicated that rat liver S9 and
human ocular S9 fractions formed the most metabolites. Furthermore, liver was a
poor in vitro surrogate for eye, and rat and rabbit were poor surrogates for
human in terms of the rate and extent of levobunolol metabolism.
PMID- 27190059
TI - Selective HDAC6 inhibition prevents TNF-alpha-induced lung endothelial cell
barrier disruption and endotoxin-induced pulmonary edema.
AB - Lung endothelial damage contributes to the pathogenesis of acute lung injury. New
strategies against lung endothelial barrier dysfunction may provide therapeutic
benefits against lung vascular injury. Cell-cell junctions and microtubule
cytoskeleton are basic components in maintaining endothelial barrier integrity.
HDAC6, a deacetylase primarily localized in the cytoplasm, has been reported to
modulate nonnuclear protein function through deacetylation. Both alpha-tubulin
and beta-catenin are substrates for HDAC6. Here, we examined the effects of
tubastatin A, a highly selective HDAC6 inhibitor, on TNF-alpha induced lung
endothelial cell barrier disruption and endotoxin-induced pulmonary edema.
Selective HDAC6 inhibition by tubastatin A blocked TNF-alpha-induced lung
endothelial cell hyperpermeability, which was associated with increased alpha
tubulin acetylation and microtubule stability. Tubastatin A pretreatment
inhibited TNF-alpha-induced endothelial cell contraction and actin stress fiber
formation with reduced myosin light chain phosphorylation. Selective HDAC6
inhibition by tubastatin A also induced beta-catenin acetylation in human lung
endothelial cells, which was associated with increased membrane localization of
beta-catenin and stabilization of adherens junctions. HDAC6 knockdown by small
interfering RNA also prevented TNF-alpha-induced barrier dysfunction and
increased alpha-tubulin and beta-catenin acetylation in endothelial cells.
Furthermore, in a mouse model of endotoxemia, tubastatin A was able to prevent
endotoxin-induced deacetylation of alpha-tubulin and beta-catenin in lung
tissues, which was associated with reduced pulmonary edema. Collectively, our
data indicate that selective HDAC6 inhibition by tubastatin A is a potent
approach against lung endothelial barrier dysfunction.
PMID- 27190056
TI - Trimethylamine and Trimethylamine N-Oxide, a Flavin-Containing Monooxygenase 3
(FMO3)-Mediated Host-Microbiome Metabolic Axis Implicated in Health and Disease.
AB - Flavin-containing monooxygenase 3 (FMO3) is known primarily as an enzyme involved
in the metabolism of therapeutic drugs. On a daily basis, however, we are exposed
to one of the most abundant substrates of the enzyme trimethylamine (TMA), which
is released from various dietary components by the action of gut bacteria. FMO3
converts the odorous TMA to nonodorous TMA N-oxide (TMAO), which is excreted in
urine. Impaired FMO3 activity gives rise to the inherited disorder primary
trimethylaminuria (TMAU). Affected individuals cannot produce TMAO and,
consequently, excrete large amounts of TMA. A dysbiosis in gut bacteria can give
rise to secondary TMAU. Recently, there has been much interest in FMO3 and its
catalytic product, TMAO, because TMAO has been implicated in various conditions
affecting health, including cardiovascular disease, reverse cholesterol
transport, and glucose and lipid homeostasis. In this review, we consider the
dietary components that can give rise to TMA, the gut bacteria involved in the
production of TMA from dietary precursors, the metabolic reactions by which
bacteria produce and use TMA, and the enzymes that catalyze the reactions. Also
included is information on bacteria that produce TMA in the oral cavity and
vagina, two key microbiome niches that can influence health. Finally, we discuss
the importance of the TMA/TMAO microbiome-host axis in health and disease,
considering factors that affect bacterial production and host metabolism of TMA,
the involvement of TMAO and FMO3 in disease, and the implications of the host
microbiome axis for management of TMAU.
PMID- 27190058
TI - ASIC1-mediated calcium entry stimulates NFATc3 nuclear translocation via PICK1
coupling in pulmonary arterial smooth muscle cells.
AB - The development of chronic hypoxia (CH)-induced pulmonary hypertension is
associated with increased pulmonary arterial smooth muscle cell (PASMC) Ca(2+)
influx through acid-sensing ion channel-1 (ASIC1) and activation of the
Ca(2+)/calcineurin-dependent transcription factor known as nuclear factor of
activated T-cells isoform c3 (NFATc3). Whether Ca(2+) influx through ASIC1
contributes to NFATc3 activation in the pulmonary vasculature is unknown.
Furthermore, both ASIC1 and calcineurin have been shown to interact with the
scaffolding protein known as protein interacting with C kinase-1 (PICK1). In the
present study, we tested the hypothesis that ASIC1 contributes to NFATc3 nuclear
translocation in PASMC in a PICK1-dependent manner. Using both ASIC1 knockout
(ASIC1(-/-)) mice and pharmacological inhibition of ASIC1, we demonstrate that
ASIC1 contributes to CH-induced (1 wk at 380 mmHg) and endothelin-1 (ET-1)
induced (10(-7) M) Ca(2+) responses and NFATc3 nuclear import in PASMC. The
interaction between ASIC1/PICK1/calcineurin was shown using a Duolink in situ
Proximity Ligation Assay. Inhibition of PICK1 by using FSC231 abolished ET-1
induced and ionomycin-induced NFATc3 nuclear import, but it did not alter ET-1
mediated Ca(2+) responses, suggesting that PICK1 acts downstream of Ca(2+)
influx. The key findings of the present work are that 1) Ca(2+) influx through
ASIC1 mediates CH- and ET-1-induced NFATc3 nuclear import and 2) the scaffolding
protein PICK1 is necessary for NFATc3 nuclear import. Together, these data
provide an essential link between CH-induced ASIC1-mediated Ca(2+) influx and
activation of the NFATc3 transcription factor. Identification of this
ASIC1/PICK1/NFATc3 signaling complex increases our understanding of the
mechanisms contributing to the vascular remodeling and increased vascular
contractility that are associated with CH-induced pulmonary hypertension.
PMID- 27190060
TI - Cellular response of mucociliary differentiated primary bronchial epithelial
cells to diesel exhaust.
AB - Diesel emissions are the main source of air pollution in urban areas, and diesel
exposure is linked with substantial adverse health effects. In vitro diesel
exposure models are considered a suitable tool for understanding these effects.
Here we aimed to use a controlled in vitro exposure system to whole diesel
exhaust to study the effect of whole diesel exhaust concentration and exposure
duration on mucociliary differentiated human primary bronchial epithelial cells
(PBEC). PBEC cultured at the air-liquid interface were exposed for 60 to 375 min
to three different dilutions of diesel exhaust (DE). The DE mixture was generated
by an engine at 47% load, and characterized for particulate matter size and
distribution and chemical and gas composition. Cytotoxicity and epithelial
barrier function was assessed, as well as mRNA expression and protein release
analysis. DE caused a significant dose-dependent increase in expression of
oxidative stress markers (HMOX1 and NQO1; n = 4) at 6 h after 150 min exposure.
Furthermore, DE significantly increased the expression of the markers of the
integrated stress response CHOP and GADD34 and of the proinflammatory chemokine
CXCL8, as well as release of CXCL8 protein. Cytotoxic effects or effects on
epithelial barrier function were observed only after prolonged exposures to the
highest DE dose. These results demonstrate the suitability of our model and that
exposure dose and duration and time of analysis postexposure are main
determinants for the effects of DE on differentiated primary human airway
epithelial cells.
PMID- 27190061
TI - Reduced force of diaphragm muscle fibers in patients with chronic thromboembolic
pulmonary hypertension.
AB - Patients with pulmonary hypertension (PH) suffer from inspiratory muscle
weakness. However, the pathophysiology of inspiratory muscle dysfunction in PH is
unknown. We hypothesized that weakness of the diaphragm, the main inspiratory
muscle, is an important contributor to inspiratory muscle dysfunction in PH
patients. Our objective was to combine ex vivo diaphragm muscle fiber
contractility measurements with measures of in vivo inspiratory muscle function
in chronic thromboembolic pulmonary hypertension (CTEPH) patients. To assess
diaphragm muscle contractility, function was studied in vivo by maximum
inspiratory pressure (MIP) and ex vivo in diaphragm biopsies of the same CTEPH
patients (N = 13) obtained during pulmonary endarterectomy. Patients undergoing
elective lung surgery served as controls (N = 15). Muscle fiber cross-sectional
area (CSA) was determined in cryosections and contractility in permeabilized
muscle fibers. Diaphragm muscle fiber CSA was not significantly different between
control and CTEPH patients in both slow-twitch and fast-twitch fibers. Maximal
force-generating capacity was significantly lower in slow-twitch muscle fibers of
CTEPH patients, whereas no difference was observed in fast-twitch muscle fibers.
The maximal force of diaphragm muscle fibers correlated significantly with MIP.
The calcium sensitivity of force generation was significantly reduced in fast
twitch muscle fibers of CTEPH patients, resulting in a ~40% reduction of
submaximal force generation. The fast skeletal troponin activator CK-2066260 (5
MUM) restored submaximal force generation to levels exceeding those observed in
control subjects. In conclusion, diaphragm muscle fiber contractility is hampered
in CTEPH patients and contributes to the reduced function of the inspiratory
muscles in CTEPH patients.
PMID- 27190062
TI - beta2-Adrenergic agonists attenuate organic dust-induced lung inflammation.
AB - Agricultural dust exposure results in significant lung inflammation, and
individuals working in concentrated animal feeding operations (CAFOs) are at risk
for chronic airway inflammatory diseases. Exposure of bronchial epithelial cells
to aqueous extracts of hog CAFO dusts (HDE) leads to inflammatory cytokine
production that is driven by protein kinase C (PKC) activation. cAMP-dependent
protein kinase (PKA)-activating agents can inhibit PKC activation in epithelial
cells, leading to reduced inflammatory cytokine production following HDE
exposure. beta2-Adrenergic receptor agonists (beta2-agonists) activate PKA, and
we hypothesized that beta2-agonists would beneficially impact HDE-induced adverse
airway inflammatory consequences. Bronchial epithelial cells were cultured with
the short-acting beta2-agonist salbutamol or the long-acting beta2-agonist
salmeterol prior to stimulation with HDE. beta2-Agonist treatment significantly
increased PKA activation and significantly decreased HDE-stimulated IL-6 and IL-8
production in a concentration- and time-dependent manner. Salbutamol treatment
significantly reduced HDE-induced intracellular adhesion molecule-1 expression
and neutrophil adhesion to epithelial cells. Using an established intranasal
inhalation exposure model, we found that salbutamol pretreatment reduced airway
neutrophil influx and IL-6, TNF-alpha, CXCL1, and CXCL2 release in
bronchoalveolar lavage fluid following a one-time exposure to HDE. Likewise, when
mice were pretreated daily with salbutamol prior to HDE exposure for 3 wk, HDE
induced neutrophil influx and inflammatory mediator production were also reduced.
The severity of HDE-induced lung pathology in mice repetitively exposed to HDE
for 3 wk was also decreased with daily salbutamol pretreatment. Together, these
results support the need for future clinical investigations to evaluate the
utility of beta2-agonist therapies in the treatment of airway inflammation
associated with CAFO dust exposure.
PMID- 27190063
TI - Selective and inducible targeting of CD11b+ mononuclear phagocytes in the murine
lung with hCD68-rtTA transgenic systems.
AB - During homeostasis two distinct macrophage (Mo) populations inhabit the lungs:
tissue Mo (often called interstitial Mo) and resident alveolar Mo (resAMo).
During acute lung inflammation, monocytes from the circulation migrate to areas
of injury where they mature into a third Mo population: recruited Mo. Resident
AMo uniquely express low levels of CD11b and high levels of CD11c. In comparison,
recruited Mo and tissue Mo express high levels of CD11b and low levels of CD11c.
It is likely that these three Mo subpopulations play distinct roles in injury and
disease states; however, tools with which to individually target or track these
populations are lacking. Here we demonstrate the utility of an hCD68-rtTA
transgenic system for specific, robust, and inducible targeting of CD11b(+)
recruited Mo and tissue Mo in the murine lung with negligible activation in
resAMo. Using hCD68rtTA-GFP reporter mice, we show both during homeostasis and
inflammation that administration of doxycycline induces tet-On reporter
expression in recruited Mo and tissue Mo but not in resident AMo. We further
demonstrate how hCD68-rtTA can be effectively combined with tet-On Cre to target
these same recMo and tissue Mo. Accordingly, the hCD68-rtTA system is a powerful
new tool that can be used for lineage tracing, fate mapping, and gene deletion in
a variety of murine models, thereby enabling sophisticated investigation of the
unique role of these CD11b(+) Mo during lung heath and disease.
PMID- 27190066
TI - Macrophage migration inhibitory factor, a role in COPD.
AB - Macrophage migration inhibitor factor (MIF) is a pluripotent cytokine associated
with several different inflammatory conditions, but its role within lung
inflammation and chronic obstructive pulmonary disease (COPD) is unclear. This
study aimed to examine MIF in both stable COPD and during acute exacerbations
(AECOPD). The study included 433 patients with COPD aged 41-76 and 325
individuals from the Bergen COPD cohort study who served as controls. All
patients had an FEV1 of <80% predicted, FEV1/FVC ratio of <0.7, and a smoking
history >10 pack-years. Serum levels of MIF were compared between the two groups
at baseline, and for 149 patients, measurements were also carried out during
AECOPD. Linear regression models were fitted with MIF as the outcome variable and
adjusted for sex, age, body composition, smoking, and Charlson Comorbidity Score
(CCS). Median MIF (interquartile range) in patients with COPD was 20.1 ng/ml
(13.5-30.9) compared with 14.9 ng/ml (11.1-21.6) in controls (P < 0.01). MIF was
bivariately associated with sex, body composition, and CCS (P < 0.05 for all). In
the regression analyses, MIF was significantly higher in patients with COPD,
coefficient 1.32 (P < 0.01) and 1.30 (P < 0.01) unadjusted and adjusted,
respectively. In addition, in 149 patients during episodes of AECOPD, MIF was
significantly elevated, with a median of 23.2 ng/ml (14.1-42.3) compared with
measurements at stable disease of 19.3 ng/ml (12.4-31.3, P < 0.01). Serum levels
of MIF were significantly higher in patients with COPD compared with controls. We
also identified an additional increase in MIF levels during episodes of AECOPD.
PMID- 27190064
TI - BMP signaling is essential in neonatal surfactant production during respiratory
adaptation.
AB - Deficiency in pulmonary surfactant results in neonatal respiratory distress, and
the known genetic mutations in key components of surfactant only account for a
small number of cases. Therefore, determining the regulatory mechanisms of
surfactant production and secretion, particularly during the transition from
prenatal to neonatal stages, is essential for better understanding of the
pathogenesis of human neonatal respiratory distress. We have observed significant
increase of bone morphogenetic protein (BMP) signaling in neonatal mouse lungs
immediately after birth. Using genetically manipulated mice, we then studied the
relationship between BMP signaling and surfactant production in neonates.
Blockade of endogenous BMP signaling by deleting Bmpr1a (Alk3) or Smad1 in
embryonic day 18.5 in perinatal lung epithelial cells resulted in severe neonatal
respiratory distress and death, accompanied by atelectasis in histopathology and
significant reductions of surfactant protein B and C, as well as Abca3, whereas
prenatal lung development was not significantly affected. We then identified a
new BMP-Smad1 downstream target, Nfatc3, which is known as an important
transcription activator for surfactant proteins and Abca3. Furthermore,
activation of BMP signaling in cultured lung epithelial cells was able to promote
endogenous Nfatc3 expression and also stimulate the activity of an Nfatc3
promoter that contains a Smad1-binding site. Therefore, our study suggests that
the BMP-Alk3-Smad1-Nfatc3 regulatory loop plays an important role in enhancing
surfactant production in neonates, possibly helping neonatal respiratory
adaptation from prenatal amniotic fluid environment to neonatal air breathing.
PMID- 27190065
TI - Early coagulation events induce acute lung injury in a rat model of blunt
traumatic brain injury.
AB - Acute lung injury (ALI) and systemic coagulopathy are serious complications of
traumatic brain injury (TBI) that frequently lead to poor clinical outcomes.
Although the release of tissue factor (TF), a potent initiator of the extrinsic
pathway of coagulation, from the injured brain is thought to play a key role in
coagulopathy after TBI, its function in ALI following TBI remains unclear. In
this study, we investigated whether the systemic appearance of TF correlated with
the ensuing coagulopathy that follows TBI in ALI using an anesthetized rat blunt
trauma TBI model. Blood and lung samples were obtained after TBI. Compared with
controls, pulmonary edema and increased pulmonary permeability were observed as
early as 5 min after TBI without evidence of norepinephrine involvement. Systemic
TF increased at 5 min and then diminished 60 min after TBI. Lung injury and
alveolar hemorrhaging were also observed as early as 5 min after TBI. A biphasic
elevation of TF was observed in the lungs after TBI, and TF-positive
microparticles (MPs) were detected in the alveolar spaces. Fibrin(ogen)
deposition was also observed in the lungs within 60 min after TBI. Additionally,
preadministration of a direct thrombin inhibitor, Refludan, attenuated lung
injuries, thus implicating thrombin as a direct participant in ALI after TBI. The
results from this study demonstrated that enhanced systemic TF may be an
initiator of coagulation activation that contributes to ALI after TBI.
PMID- 27190068
TI - The Economic Impact of Acetabular Labral Tears: A Cost-effectiveness Analysis
Comparing Hip Arthroscopic Surgery and Structured Rehabilitation Alone in
Patients Without Osteoarthritis.
AB - BACKGROUND: Hip arthroscopic surgery has emerged as a successful procedure to
manage acetabular labral tears and concurrent hip injuries, which if left
untreated, may contribute to hip osteoarthritis (OA). Therefore, it is essential
to analyze the economic impact of this treatment option. PURPOSE: To investigate
the cost-effectiveness of hip arthroscopic surgery versus structured
rehabilitation alone for acetabular labral tears, to examine the effects of age
on cost-effectiveness, and to estimate the rate of symptomatic OA and total hip
arthroplasty (THA) in both treatment arms over a lifetime horizon. STUDY DESIGN:
Economic and decision analysis; Level of evidence, 2. METHODS: A cost
effectiveness analysis of hip arthroscopic surgery compared with structured
rehabilitation for symptomatic labral tears was performed using a Markov decision
model constructed over a lifetime horizon. It was assumed that patients did not
have OA. Direct costs (in 2014 United States dollars), utilities of health states
(in quality-adjusted life years [QALYs] gained), and probabilities of
transitioning between health states were estimated from a comprehensive
literature review. Costs were estimated using national averages of Medicare
reimbursements, adjusted for all payers in the United States from a societal
perspective. Utilities were estimated from the Harris Hip Score. Cost
effectiveness was assessed using the incremental cost-effectiveness ratio (ICER).
One-way and probabilistic sensitivity analyses were performed to determine the
effect of uncertainty on the model outcomes. RESULTS: For a cohort representative
of patients undergoing hip arthroscopic surgery at our facility, arthroscopic
surgery was more costly (additional $2653) but generated more utility (additional
3.94 QALYs) compared with rehabilitation over a lifetime. The mean ICER was
$754/QALY, well below the conventional willingness to pay of $50,000/QALY.
Arthroscopic surgery was cost-effective for 94.5% of patients. Although
arthroscopic surgery decreased in cost-effectiveness with increasing age,
arthroscopic surgery remained more cost-effective than rehabilitation for
patients in the second to seventh decades of life. The lifetime incidence of
symptomatic hip OA was over twice as high for patients treated with
rehabilitation compared with arthroscopic surgery. The preferred treatment was
sensitive to the utility after successful hip arthroscopic surgery, although the
utility at which arthroscopic surgery becomes less cost-effective than
rehabilitation is far below our best estimate. For older patients, the lifetime
cost of arthroscopic surgery was greater, while the lifetime utility of
arthroscopic surgery was less, approaching that of the rehabilitation arm.
CONCLUSION: Hip arthroscopic surgery is more cost-effective and results in a
considerably lower incidence of symptomatic OA than structured rehabilitation
alone in treating symptomatic labral tears of patients in the second to seventh
decades of life without pre-existing OA.
PMID- 27190067
TI - Regional lung response to bronchodilator reversibility testing determined by
electrical impedance tomography in chronic obstructive pulmonary disease.
AB - Patients with obstructive lung diseases commonly undergo bronchodilator
reversibility testing during examination of their pulmonary function by
spirometry. A positive response is defined by an increase in forced expiratory
volume in 1 s (FEV1). FEV1 is a rather nonspecific criterion not allowing the
regional effects of bronchodilator to be assessed. We employed the imaging
technique of electrical impedance tomography (EIT) to visualize the spatial and
temporal ventilation distribution in 35 patients with chronic obstructive
pulmonary disease at baseline and 5, 10, and 20 min after bronchodilator
inhalation. EIT scanning was performed during tidal breathing and forced full
expiration maneuver in parallel with spirometry. Ventilation distribution was
determined by EIT by calculating the image pixel values of FEV1, forced vital
capacity (FVC), tidal volume, peak flow, and mean forced expiratory flow between
25 and 75% of FVC. The global inhomogeneity indexes of each measure and
histograms of pixel FEV1/FVC values were then determined to assess the
bronchodilator effect on spatial ventilation distribution. Temporal ventilation
distribution was analyzed from pixel values of times needed to exhale 75 and 90%
of pixel FVC. Based on spirometric FEV1, significant bronchodilator response was
found in 17 patients. These patients exhibited higher postbronchodilator values
of all regional EIT-derived lung function measures in contrast to nonresponders.
Ventilation distribution was inhomogeneous in both groups. Significant
improvements were noted for spatial distribution of pixel FEV1 and tidal volume
and temporal distribution in responders. By providing regional data, EIT might
increase the diagnostic and prognostic information derived from reversibility
testing.
PMID- 27190070
TI - Erratum: Safety of percutaneous endoscopic gastrostomy tube placement in patients
with continuous-flow ventricular assist devices.
PMID- 27190069
TI - Incidence, Degree, and Clinical Effect of Subchondral Bone Overgrowth After
Microfracture in the Knee.
AB - BACKGROUND: Subchondral bone overgrowth has been described with variable
frequency after microfracture, but little systematic information is available
about the clinical incidence, risk factors, or clinical relevance of this
phenomenon. HYPOTHESIS: Subchondral overgrowth (1) occurs with high incidence and
various degrees after microfracture, (2) is associated with risk factors, and (3)
affects clinical outcome score and failure rate. STUDY DESIGN: Cohort study;
Level of evidence, 3. METHODS: A total of 84 patients undergoing microfracture in
the knee were prospectively followed up to 6 years postoperatively through use of
cartilage-sensitive magnetic resonance imaging to detect the incidence of
subchondral bone overgrowth. The quantity of the overgrowth was graded
systematically and was then correlated to patient and lesion characteristics,
surgical technique, validated functional outcome scores, and failure rate.
RESULTS: Subchondral bone overgrowth was observed in 62% of patients at a mean of
22 months after surgery. Most bone overgrowth (64%) was low grade and developed
during the first 12 months after microfracture. Risk factors for bone overgrowth
included high body mass index, defects on the lateral femoral condyle, and
aggressive debridement of the calcified cartilage layer. Knee injury and
Osteoarthritis Outcome Score results were not significantly different between
patients with or without subchondral bone overgrowth. However, 93% of patients
who failed microfracture demonstrated osseous overgrowth, and patients with
osseous overgrowth showed a significantly higher failure rate (25%) than patients
without overgrowth (3.1%; P < .01). CONCLUSION: Subchondral bone overgrowth is
frequently observed after microfracture surgery but is mostly of low grade.
Several risk factors were identified that can affect the incidence of this
phenomenon. Importantly, subchondral overgrowth is associated with an increased
rate of postoperative failure after microfracture.
PMID- 27190072
TI - Efficiency of Respirator Filter Media against Diesel Particulate Matter: A
Comparison Study Using Two Diesel Particulate Sources.
AB - Diesel engines have been a mainstay within many industries since the early 1900s.
Exposure to diesel particulate matter (DPM) is a major issue in many industrial
workplaces given the potential for serious health impacts to exposed workers;
including the potential for lung cancer and adverse irritant and cardiovascular
effects. Personal respiratory protective devices are an accepted safety measure
to mitigate worker exposure against the potentially damaging health impacts of
DPM. To be protective, they need to act as effective filters against carbon and
other particulates. In Australia, the filtering efficiency of respiratory
protective devices is determined by challenging test filter media with
aerosolised sodium chloride to determine penetration at designated flow rates.
The methodology outlined in AS/NZS1716 (Standards Australia International Ltd and
Standards New Zealand 2012. Respiratory protective devices. Sydney/Wellington:
SAI Global Limited/Standards New Zealand) does not account for the differences
between characteristics of workplace contaminants like DPM and sodium chloride
such as structure, composition, and particle size. This study examined filtering
efficiency for three commonly used AS/NZS certified respirator filter models,
challenging them with two types of diesel emissions; those from a diesel
generator and a diesel engine. Penetration through the filter media of elemental
carbon (EC), total carbon (TC), and total suspended particulate (TSP) was
calculated. Results indicate that filtering efficiency assumed by P2
certification in Australia was achieved for two of the three respirator models
for DPM generated using the small diesel generator, whilst when the larger diesel
engine was used, filtering efficiency requirements were met for all three filter
models. These results suggest that the testing methodology specified for
certification of personal respiratory protective devices by Standards Australia
may not ensure adequate protection for respirator users against DPM under all
circumstances of diesel generated particles.
PMID- 27190071
TI - The relation among aldosterone, galectin-3, and myocardial fibrosis: a
prospective clinical pilot follow-up study.
AB - Primary aldosteronism has been associated with myocardial fibrosis, and is the
most common cause of secondary hypertension. We previously showed that
aldosterone can induce the secretion of galectin-3. The aim of this study was to
investigate the association between myocardial fibrosis and plasma galectin-3
level in patients with primary aldosteronism. We prospectively analyzed 11
patients with aldosterone-producing adenoma (APA) who received adrenalectomy from
December 2006 to October 2008, and 17 patients with essential hypertension as
controls. Levels of plasma galectin-3 were determined in both groups, and both
groups underwent echocardiography with cyclic variations of integrated
backscatter (CVIBS) to characterize tissue initially and 1 year after surgery in
the APA group. Diastolic blood pressure, concentration of plasma aldosterone and
aldosterone-renin ratio were significantly higher, and serum potassium level and
plasma renin activity significantly lower in the APA group compared to the
controls. In addition, left ventricular mass index was significantly higher and
CVIBS significantly lower in the APA group (7.3+/-2.0 vs 9.2+/-1.7 dB, p=0.015).
Furthermore, the concentration of plasma galectin-3 was significantly higher in
the APA group (2.1+/-0.9 vs 1.1+/-0.6 ng/mL, p=0.005) compared to the controls.
CVIBS was correlated to plasma galectin-3 level. In the APA group, CVIBS
increased significantly (7.3+/-2.0 to 9.2+/-2.4 dB, p=0.032) and plasma galectin
3 decreased (2.1+/-0.9 to 1.2+/-0.6, p=0.049) 1 year postadrenalectomy. The
patients with APA had increased myocardial fibrosis, and this was associated with
a higher plasma galectin-3 level. Both increased myocardial fibrosis and plasma
galectin-3 level recovered at least partially after adrenalectomy. TRIAL
REGISTRATION NUMBER: 200611031R; Results.
PMID- 27190074
TI - News Feature: Better models for brain disease.
PMID- 27190076
TI - Expectant management with close monitoring of mother and neonate should be
practised after late preterm prelabour rupture of membranes.
PMID- 27190077
TI - Restarting oral anticoagulation among patients with atrial fibrillation with
gastrointestinal bleeding was associated with lower risk of all-cause mortality
and thromboembolism.
PMID- 27190078
TI - Serum advanced glycation endproducts are associated with left ventricular
dysfunction in normal glucose metabolism but not in type 2 diabetes: The Hoorn
Study.
AB - OBJECTIVE: To investigate whether serum advanced glycation endproducts are
associated with left ventricular systolic and diastolic function in participants
with normal glucose metabolism, impaired glucose metabolism and type 2 diabetes
mellitus. METHODS: Participants from a cross-sectional, population-based study (n
= 280 with normal glucose metabolism, n = 171 with impaired glucose metabolism, n
= 242 with type 2 diabetes mellitus) underwent echocardiography. Serum protein
bound advanced glycation endproducts [i.e. Nepsilon-(carboxymethyl)lysine,
pentosidine and Nepsilon-(carboxyethyl)lysine] were measured. Linear regression
analyses were used and stratified according to glucose metabolism status.
RESULTS: In normal glucose metabolism, higher Nepsilon-(carboxymethyl)lysine and
pentosidine levels were associated with worse diastolic function (left atrial
volume index and left atrial volume * left ventricular mass index product term)
and higher Nepsilon-(carboxymethyl)lysine and Nepsilon-(carboxyethyl)lysine
levels with worse systolic function (ejection fraction). In impaired glucose
metabolism, a similar pattern emerged, though less consistent. In type 2 diabetes
mellitus, these associations were non-existent for diastolic function or even
reversed for systolic function. CONCLUSION: This suggests that serum advanced
glycation endproducts are associated with impaired left ventricular function in
normal glucose metabolism, but that with deteriorating glucose metabolism status,
serum advanced glycation endproducts may not mirror heart failure risk.
PMID- 27190079
TI - Central arterial stiffness is associated with systemic inflammation among Asians
with type 2 diabetes.
AB - OBJECTIVE: To examine the relationship between inflammation and central arterial
stiffness in a type 2 diabetes Asian cohort. METHOD: Central arterial stiffness
was estimated by carotid-femoral pulse wave velocity and augmentation index.
Linear regression model was used to evaluate the association of high-sensitivity
C-reactive protein and soluble receptor for advanced glycation end products with
pulse wave velocity and augmentation index. High-sensitivity C-reactive protein
was analysed as a continuous variable and categories (<1, 1-3, and >3 mg/L).
RESULTS: There is no association between high-sensitivity C-reactive protein and
pulse wave velocity. Augmentation index increased with high-sensitivity C
reactive protein as a continuous variable (beta = 0.328, p = 0.049) and
categories (beta = 1.474, p = 0.008 for high-sensitivity C-reactive protein: 1-3
mg/L and beta = 1.323, p = 0.019 for high-sensitivity C-reactive protein: >3
mg/L) after multivariable adjustment. No association was observed between
augmentation index and soluble receptor for advanced glycation end products. Each
unit increase in natural log-transformed soluble receptor for advanced glycation
end products was associated with 0.328 m/s decrease in pulse wave velocity after
multivariable adjustment (p = 0.007). CONCLUSION: Elevated high-sensitivity C
reactive protein and decreased soluble receptor for advanced glycation end
products are associated with augmentation index and pulse wave velocity,
respectively, suggesting the potential role of systemic inflammation in the
pathogenesis of central arterial stiffness in type 2 diabetes.
PMID- 27190080
TI - Considerably decreased risk of cardiovascular disease with combined reductions in
HbA1c, blood pressure and blood lipids in type 2 diabetes: Report from the
Swedish National Diabetes Register.
AB - OBJECTIVES: Assess the effect of risk factors changes on risk for cardiovascular
disease and mortality in patients with type 2 diabetes selected from the Swedish
National Diabetes Register. METHODS: Observational study of 13,477 females and
males aged 30-75 years, with baseline HbA1c 41-67 mmol/mol, systolic blood
pressure 122-154 mmHg and ratio non-HDL:HDL 1.7-4.1, followed for mean 6.5 years
until 2012. Four groups were created: a reference group (n = 6757) with
increasing final versus baseline HbA1c, systolic blood pressure and non-HDL:HDL
cholesterol during the study period, and three groups with decreasing HbA1c (n =
1925), HbA1c and systolic blood pressure (n = 2050) or HbA1c and systolic blood
pressure and non-HDL:HDL (n = 2745). RESULTS: Relative risk reduction for
fatal/nonfatal cardiovascular disease was 35% with decrease in HbA1c only (mean 6
to final 49 mmol/mol), 56% with decrease in HbA1c and systolic blood pressure
(mean 12 to final 128 mmHg) and 75% with combined decreases in HbA1c, systolic
blood pressure and non-HDL:HDL (mean 0.8 to final 2.1), all p < 0.001 adjusting
for clinical characteristics, other risk factors, treatments and previous
cardiovascular disease. Similar risk reductions were found for fatal/nonfatal
coronary heart disease, fatal cardiovascular disease, all-cause mortality and
also in a subgroup of 3038 patients with albuminuria. CONCLUSION: Considerable
risk reductions for cardiovascular disease and mortality were seen with combined
long-term risk factor improvement.
PMID- 27190082
TI - Early myocardial impairment in type 1 diabetes patients without known heart
disease assessed with tissue Doppler echocardiography: The Thousand & 1 study.
AB - PURPOSE: Cardiovascular disease is the most common cause of mortality in type 1
diabetes; patients with albuminuria are at greatest risk. We investigated
myocardial function and premature myocardial impairment in type 1 diabetes
patients with and without albuminuria compared to controls. METHODS: This study
included a cross-sectional survey of 1093 type 1 diabetes patients from Steno
Diabetes Center and 200 healthy controls. Conventional and tissue Doppler
echocardiographic measurements were analysed in multivariable models in
normoalbuminuria (n = 760), microalbuminuria (n = 227) and macroalbuminuria (n =
106). Investigators were blinded to degree of albuminuria. RESULTS: For the type
1 diabetes patients, mean age was 49.6 years, 53% were men and mean diabetes
duration was 25.5 years. In multivariable models systolic velocity s' did not
differ between type 1 diabetes patients with normoalbuminuria and controls (beta
coefficient [95% confidence interval]: -0.17 [-0.41; 0.08], p = 0.19), but was
impaired between controls and microalbuminuria (-0.53 [-0.84; -0.23], p = 0.001)
and macroalbuminuria (-0.59 [-0.96; -0.22], p = 0.002). Diastolic measurements
(e', a', e'/a', and E/e') were all significantly impaired in type 1 diabetes, for
example, e'/a': normoalbuminuria, microalbuminuria and macroalbuminuria versus
controls: -0.38 [-0.52; -0.23], p < 0.001; -0.49 [-0.67; -0.32], p < 0.001; and
0.81 [-1.03; -0.59], p < 0.001. In age-related analyses, myocardial impairment
occurred prematurely in type 1 diabetes compared to controls (e.g. E/e' = 8; 9.2
years [normoalbuminuria], 17.3 years [microalbuminuria] and 41.4 years
[macroalbuminuria] prematurely, respectively). CONCLUSION: In type 1 diabetes
patients with albuminuria, both systolic and diastolic functions are impaired,
whereas in patients without albuminuria only diastolic function is affected.
Myocardial impairment is detectable many years prematurely in type 1 diabetes,
especially in patients with albuminuria.
PMID- 27190081
TI - Risk stratification for 25-year cardiovascular disease incidence in type 1
diabetes: Tree-structured survival analysis of the Pittsburgh Epidemiology of
Diabetes Complications study.
AB - BACKGROUND: The formal identification of subgroups with varying levels of risk is
uncommon in observational studies of cardiovascular disease, although such
insight might be useful for clinical management. METHODS: Tree-structured
survival analysis was utilized to determine whether there are meaningful
subgroups at varying levels of cardiovascular disease risk in the Pittsburgh
Epidemiology of Diabetes Complications study, a prospective cohort study of
childhood-onset (<17 years old) type 1 diabetes. RESULTS: Of the 561 participants
free of cardiovascular disease (coronary artery disease, stroke or lower
extremity arterial disease) at baseline, 263 (46.9%) had an incident
cardiovascular disease event over the 25-year follow-up. Tree-structured survival
analysis revealed a range of risk groups, from 24% to 85%, which demonstrate that
those with short diabetes duration and elevated non-high-density lipoprotein
cholesterol have similar cardiovascular disease risk to those with long diabetes
duration and that renal disease is a better discriminator of risk in men than in
women. CONCLUSION: Our findings suggest that subgroups with major cardiovascular
disease risk differences exist in this type 1 diabetes cohort. Using tree
structured survival analysis may help to identify these groups and the
interrelationships between their associated risk factors. This approach may
improve our understanding of various clinical pathways to cardiovascular disease
and help target intervention strategies.
PMID- 27190083
TI - Ranirestat has a stronger inhibitory activity on aldose reductase and suppresses
inflammatory reactions in high glucose-exposed endothelial cells.
AB - OBJECTIVE: Under diabetic conditions, glucose is converted to sorbitol via aldose
reductase, whose process could contribute to diabetic vascular complications.
However, effects of aldose reductase inhibitors are modest in diabetic patients.
This may be attributed to weak inhibitory activity of aldose reductase
inhibitors. We compared effects of ranirestat on endothelial cell damage with
those of epalrestat. MATERIALS AND METHODS: Intracellular formations of sorbitol
and superoxide were measured by liquid chromatography-mass spectrometry-mass
spectrometry and dihydroethidium staining, respectively. Vascular cell adhesion
molecule-1 gene expression was analysed by reverse transcription polymerase chain
reaction. THP-1 cell adhesion to human umbilical vein endothelial cells was
evaluated using a fluorescent probe. RESULTS: High glucose significantly
increased sorbitol levels, superoxide generation and vascular cell adhesion
molecule-1 mRNA levels in, and THP-1 cell adhesion to, human umbilical vein
endothelial cells, all of which were prevented by 500 nM ranirestat, but not
epalrestat except for superoxide production. CONCLUSION: Our present results
suggest that ranirestat has a stronger inhibitory activity on aldose reductase
than epalrestat and suppresses inflammatory reactions in high glucose-exposed
human umbilical vein endothelial cells.
PMID- 27190084
TI - A preliminary study of brain macrovascular reactivity in impaired glucose
tolerance and type-2 diabetes: Quantitative internal carotid artery blood flow
using magnetic resonance phase contrast angiography.
AB - OBJECTIVE: The aims of this study were (1) to examine cerebrovascular
autoregulation in subjects with impaired glucose tolerance and type 2 diabetes
and (2) to clarify whether cardiovascular autonomic nerve function is associated
with abnormal cerebrovascular autoregulation. RESEARCH DESIGN AND METHODS:
Totally, 46 subjects were recruited (12 = impaired glucose tolerance, 17 = type 2
diabetes and 17 = healthy volunteers). Arterial blood flow was assessed within
the internal carotid artery at baseline and 20 min after intravenous
pharmacological stress (1 g acetazolamide), using quantitative magnetic resonance
phase-contrast angiography. Internal carotid artery vascular reactivity and
pulsatility index was determined. All subjects underwent baroreceptor reflex
sensitivity assessment. RESULTS: Subjects with impaired glucose tolerance and
type 2 diabetes had significantly lower internal carotid artery vascular
reactivity [40.2%(19.8) and 41.5%(18.7)], respectively, compared with healthy
volunteers [57.0%(14.2); analysis of variance, p = 0.02]. There was no
significant difference in internal carotid artery vascular reactivity between
type 2 diabetes and impaired glucose tolerance groups (p = 0.84). There was a
significant positive correlation between baroreceptor reflex sensitivity (low
frequency:high frequency) with cardiac rhythm variability (rho = 0.47, p = 0.04)
and PI (rho = 0.46, p = 0.04). CONCLUSION: We have demonstrated significant
cerebrovascular haemodynamic abnormalities in subjects with type 2 diabetes and
impaired glucose tolerance. This was associated with greater sympathovagal
imbalance. This may provide an important mechanistic explanation for increased
risk of cerebrovascular disease in diabetes. It also highlights that these
abnormalities may already be present in prediabetes.
PMID- 27190085
TI - The haptoglobin 2-2 genotype is associated with inflammation and carotid artery
intima-media thickness.
AB - The haptoglobin 2-2 genotype is associated with atherosclerosis in type 2
diabetes mellitus. We examined the associations of the haptoglobin 2-2 genotype
with C-reactive protein (high-sensitivity C-reactive protein) and carotid artery
intima-media thickness, adjusting for age, gender, ethnicity, type 2 diabetes
mellitus, smoking status, body mass index, blood pressure, glycated haemoglobin,
non-high-density lipoprotein cholesterol and medications via logistic
multivariate regression in 200 subjects (160 type 2 diabetes mellitus versus 40
healthy individuals). The prevalence of the haptoglobin 2-2 genotype was 58%
(115/200), higher in the Indians than in Chinese (72% versus 45%, p = 0.001).
Multivariate analysis showed that the haptoglobin 2-2 genotype was associated
with high-sensitivity C-reactive protein [mean: 3.5 +/- 3.9 versus 2.2 +/- 2.6
mg/L (non-haptoglobin 2-2), p < 0.001], haptoglobin concentration [mean: 116.9 +/
54.4.0 versus 147.2 +/- 54.5 mg/dL (non-haptoglobin 2-2), p < 0.001] and average
carotid artery intima-media thickness (multiplied by 10) [6.15 +/- 1.22 versus
5.98 +/- 1.20 mm (non-haptoglobin 2-2), p = 0.013]. This pilot study shows an
association of the haptoglobin 2-2 genotype with low-grade inflammation,
haptoglobin concentration and carotid artery intima-media thickness in multi
ethnic Singapore.
PMID- 27190086
TI - Amino acid metabolism reflecting arginase activity is increased in patients with
type 2 diabetes and associated with endothelial dysfunction.
AB - BACKGROUND: Endothelial dysfunction contributes to the development of vascular
complication in diabetes. Arginase has emerged as a key mechanism behind
endothelial dysfunction by its reciprocal regulation of nitric oxide production
by substrate competition. We hypothesized that increased arginase activity in
patients with type 2 diabetes shifts the metabolism of l-arginine from nitric
oxide synthase to arginase resulting in an increase in the plasma ratio of
ornithine/citrulline, and that this ratio is associated with endothelial
dysfunction. METHODS: Forearm endothelium-dependent vasodilatation and
endothelium-independent vasodilatation were determined in 15 patients with type 2
diabetes and 10 healthy controls and related to amino acids reflecting arginase
and nitric oxide synthase activity. RESULTS: Compared to healthy controls,
patients with diabetes had impaired endothelium-dependent vasodilatation and
endothelium-independent vasodilatation. The ratios of ornithine/citrulline and
proline/citrulline were 60% and 95% higher, respectively, in patients with
diabetes than in controls (p < 0.001). The plasma ornithine/arginine ratio was
36% higher in patients with diabetes, indicating increased arginase activity.
These ratios were inversely correlated to endothelium-dependent vasodilatation
and endothelium-independent vasodilatation. CONCLUSION: Patients with diabetes
and macrovascular complications have increased amino acid ratios reflecting a
shift in arginine metabolism due to arginase activation. These changes are
inversely related to endothelial function supporting that arginase activity
contributes to endothelial dysfunction.
PMID- 27190088
TI - Copeptin, insulin-like growth factor binding protein-1 and sitagliptin: A report
from the BEta-cell function in Glucose abnormalities and Acute Myocardial
Infarction study.
AB - PURPOSE: To investigate whether sitagliptin affects copeptin and osmolality,
suggesting arginine vasopressin activation and a potential for fluid retention,
compared with placebo, in patients with a recent acute coronary syndrome and
newly discovered type 2 diabetes or impaired glucose tolerance. A second aim was
to confirm whether copeptin correlated with insulin-like growth factor binding
protein-1. METHODS: Fasting blood samples were used from the BEta-cell function
in Glucose abnormalities and Acute Myocardial Infarction trial, in which patients
recently hospitalized due to acute coronary syndrome and with newly detected
abnormal glucose tolerance were randomized to sitagliptin 100 mg once daily (n =
34) or placebo (n = 37). Copeptin, osmolality and insulin-like growth factor
binding protein-1 were analysed at baseline and after 12 weeks. RESULTS: Copeptin
and osmolality were unaffected by sitagliptin. There was no correlation between
copeptin and insulin-like growth factor binding protein-1. CONCLUSION:
Sitagliptin therapy does not appear to be related to activation of the arginine
vasopressin system.
PMID- 27190087
TI - Linagliptin and pioglitazone combination therapy versus monotherapy with
linagliptin or pioglitazone: A randomised, double-blind, parallel-group,
multinational clinical trial.
AB - Linagliptin plus pioglitazone single-pill combinations were evaluated. Patients
(n = 936) with insufficient glycaemic control, despite lifestyle interventions,
were randomised for 30 weeks to either monotherapy with linagliptin 5 mg;
pioglitazone 15, 30 or 45 mg; or single-pill combination with linagliptin 5 mg
plus pioglitazone 15, 30 or 45 mg. An extension (?54 weeks) planned to evaluate
linagliptin plus pioglitazone 30 or 45 mg single-pill combinations was not
completed due to a protocol amendment. Adjusted mean (95% confidence interval)
differences in HbA1c change from baseline at week 30 for linagliptin plus
pioglitazone 15, 30 and 45 mg were -0.17% (-0.41, 0.07), -0.37% (-0.60, -0.14)
and -0.41% (-0.64, -0.18) versus pioglitazone monotherapies, respectively, and
0.44% (-0.67, -0.20), -0.68% (-0.91, -0.44) and -0.89% (-1.12, -0.66) versus
linagliptin monotherapy, respectively. Single-pill combinations were generally
well tolerated. Hypoglycaemia frequency was ?1.5% per group. Linagliptin plus
pioglitazone combinations were efficacious, with safety profiles comparable to
the individual monotherapies.
PMID- 27190089
TI - Role of Plasmodium vivax Duffy-binding protein 1 in invasion of Duffy-null
Africans.
AB - The ability of the malaria parasite Plasmodium vivax to invade erythrocytes is
dependent on the expression of the Duffy blood group antigen on erythrocytes.
Consequently, Africans who are null for the Duffy antigen are not susceptible to
P. vivax infections. Recently, P. vivax infections in Duffy-null Africans have
been documented, raising the possibility that P. vivax, a virulent pathogen in
other parts of the world, may expand malarial disease in Africa. P. vivax binds
the Duffy blood group antigen through its Duffy-binding protein 1 (DBP1). To
determine if mutations in DBP1 resulted in the ability of P. vivax to bind Duffy
null erythrocytes, we analyzed P. vivax parasites obtained from two Duffy-null
individuals living in Ethiopia where Duffy-null and -positive Africans live side
by-side. We determined that, although the DBP1s from these parasites contained
unique sequences, they failed to bind Duffy-null erythrocytes, indicating that
mutations in DBP1 did not account for the ability of P. vivax to infect Duffy
null Africans. However, an unusual DNA expansion of DBP1 (three and eight copies)
in the two Duffy-null P. vivax infections suggests that an expansion of DBP1 may
have been selected to allow low-affinity binding to another receptor on Duffy
null erythrocytes. Indeed, we show that Salvador (Sal) I P. vivax infects
Squirrel monkeys independently of DBP1 binding to Squirrel monkey erythrocytes.
We conclude that P. vivax Sal I and perhaps P. vivax in Duffy-null patients may
have adapted to use new ligand-receptor pairs for invasion.
PMID- 27190090
TI - Incoming human papillomavirus type 16 genome resides in a vesicular compartment
throughout mitosis.
AB - During the entry process, the human papillomavirus (HPV) capsid is trafficked to
the trans-Golgi network (TGN), whereupon it enters the nucleus during mitosis. We
previously demonstrated that the minor capsid protein L2 assumes a
transmembranous conformation in the TGN. Here we provide evidence that the
incoming viral genome dissociates from the TGN and associates with microtubules
after the onset of mitosis. Deposition onto mitotic chromosomes is L2-mediated.
Using differential staining of an incoming viral genome by small molecular dyes
in selectively permeabilized cells, nuclease protection, and flotation assays, we
found that HPV resides in a membrane-bound vesicle until mitosis is completed and
the nuclear envelope has reformed. As a result, expression of the incoming viral
genome is delayed. Taken together, these data provide evidence that HPV has
evolved a unique strategy for delivering the viral genome to the nucleus of
dividing cells. Furthermore, it is unlikely that nuclear vesicles are unique to
HPV, and thus we may have uncovered a hitherto unrecognized cellular pathway that
may be of interest for future cell biological studies.
PMID- 27190091
TI - Optogenetic toolkit reveals the role of Ca2+ sparklets in coordinated cell
migration.
AB - Cell migration is controlled by various Ca(2+) signals. Local Ca(2+) signals, in
particular, have been identified as versatile modulators of cell migration
because of their spatiotemporal diversity. However, little is known about how
local Ca(2+) signals coordinate between the front and rear regions in
directionally migrating cells. Here, we elucidate the spatial role of local
Ca(2+) signals in directed cell migration through combinatorial application of an
optogenetic toolkit. An optically guided cell migration approach revealed the
existence of Ca(2+) sparklets mediated by L-type voltage-dependent Ca(2+)
channels in the rear part of migrating cells. Notably, we found that this locally
concentrated Ca(2+) influx acts as an essential transducer in establishing a
global front-to-rear increasing Ca(2+) gradient. This asymmetrical Ca(2+)
gradient is crucial for maintaining front-rear morphological polarity by
restricting spontaneous lamellipodia formation in the rear part of migrating
cells. Collectively, our findings demonstrate a clear link between local Ca(2+)
sparklets and front-rear coordination during directed cell migration.
PMID- 27190092
TI - Leadership behaviours and healthcare research performance: prospective
correlational study.
AB - OBJECTIVES: The aims of the study were to determine whether differences in
leadership self-perception/behaviour in healthcare researchers may influence
research performance and to evaluate whether certain leadership characteristics
are associated with enhanced leadership efficiency in terms of motivation,
effectiveness and satisfaction. DESIGN AND PARTICIPANTS: All Faculty of Medicine
Professors at Imperial College London (n=215) were sent the Multifactor
Leadership Questionnaire (MLQ) Self form as a means of evaluating self-perception
of leadership behaviours. MAIN OUTCOME MEASURES: For each professor, we extracted
objective research performance measures (total number of publications, total
number of citations and h index) from 1 January 2007 to 31 December 2009. The MLQ
measured three leadership outcomes, which included motivation, effectiveness and
satisfaction. Regression analysis was used to determine associations. RESULTS: A
total number of 90 responses were received, which equated to a 42% response rate.
There were no significant correlations between transformational, transactional or
passive/avoidant leadership behaviours and any of the research performance
measures. The five transformational leadership behaviours (ie, idealised
attributes (IA), idealised behaviours (IB), inspirational motivation (IM),
intellectual stimulation (IS), individual consideration (IC)) were highly
significant predictors of leadership outcomes, extra effort (all B>0.404,
SE=0.093-0.146, p<0.001), effectiveness (IA, IM, IS, IC B>0.359, SE=0.093-0.146,
p<0.001; IB B=0.233, SE=0.103, p=0.026) and satisfaction (IA, IM, IS, IC B>0.483,
SE=0.086-0.139, p<0.001; IB B=0.296, SE=0.101, p=0.004). Similarly, contingent
reward was a significant predictor of extra effort (B=0.400, SE=0.123, p=0.002),
effectiveness (B=0.353, SE=0.113, p=0.002) and satisfaction (B=0.326, SE=0.114,
p=0.005). CONCLUSIONS: This study demonstrates that transformational leadership
and contingent reward positively influence leadership efficiency in healthcare
researchers. Although we did not show an association between leadership
behaviours and research performance metrics, further studies using contextual
performance measures at team and organisational levels are required.
PMID- 27190093
TI - Outcomes of transfemoral transcatheter aortic valve implantation at hospitals
with and without on-site cardiac surgery department: insights from the
prospective German aortic valve replacement quality assurance registry (AQUA) in
17 919 patients.
AB - AIMS: Performing transcatheter aortic valve implantation (TAVI) at hospitals with
only cardiology department but no cardiac surgery (CS) on-site is at great odds
with current Guidelines. METHODS AND RESULTS: We analysed data from the official,
prospective German Quality Assurance Registry on Aortic Valve Replacement to
compare characteristics and in-hospital outcomes of patients undergoing
transfemoral TAVI at hospitals with (n = 75) and without CS departments (n = 22).
An interdisciplinary Heart Team was established at all centres (internal staff
physicians at hospitals with on-site CS; in-house cardiologists and visiting
cardiac surgical teams from collaborating hospitals at non-CS hospitals). In 2013
and 2014, 17 919 patients (81.2 +/- 6.1 years, 55% females, German aortic valve
(GAV) score 2.0 5.6 +/- 5.8%, logistic EuroSCORE I 21.1 +/- 15.4%) underwent
transfemoral TAVI in Germany: 1332 (7.4%) at hospitals without on-site CS
department. Patients in non-CS hospitals were older (82.1 +/- 5.8 vs. 81.1 +/-
6.1 years, P < 0.001), with more frequent co-morbidities. Predicted mortality
risks per GAV-score 2.0 (6.1 + 5.5 vs. 5.5 +/- 5.9%, P < 0.001) and logEuroSCORE
I (23.2 +/- 15.8 vs. 21.0 +/- 15.4%, P < 0.001) were higher in patients at non-CS
sites. Complications, including strokes (2.6 vs. 2.3%, P = 0.452) and in-hospital
mortality (3.8 vs. 4.2%, P = 0.396), were similar in both groups. Matched-pair
analysis of 555 patients in each group with identical GAV-score confirmed similar
rates of intraprocedural complications (9.2 vs. 10.3%, P = 0.543), strokes (3.2%
for both groups, P = 1.00), and in-hospital mortality (1.8 vs. 2.9%, P = 0.234).
CONCLUSION: Although patients undergoing TAVI at hospitals without on-site CS
department were older and at higher predicted perioperative death risk, major
complications, and in-hospital mortality were not statistically different,
suggesting the feasibility and safety of Heart Team-based TAVI at non-CS sites.
These findings need confirmation in future randomized study.
PMID- 27190096
TI - Does knee replacement surgery for osteoarthritis improve survival? The jury is
still out.
AB - BACKGROUND: The relation of knee replacement (KR) surgery to all-cause mortality
has not been well established owing to potential biases in previous studies.
Thus, we aimed to examine the relation of KR to mortality risk among patients
with knee osteoarthritis (OA) focusing on identifying biases that may threaten
the validity of prior studies. METHODS: We included knee OA subjects (ages 50-89
years) from The Health Improvement Network, an electronic medical records
database in the UK. Risk of mortality among KR subjects was compared with
propensity score-matched non-KR subjects. To explore residual confounding bias,
subgroup analyses stratified by age and propensity scores were performed.
RESULTS: Subjects with KR had 28% lower risk of mortality than non-KR subjects
(HR 0.72, 95% CI 0.66 to 0.78). However, when stratified by age, protective
effect was noted only in older age groups (>63 years) but not in younger subjects
(<=63 years). Further, the mortality rate among KR subjects decreased as
candidacy (propensity score) for KR increased among subjects with KR, but no such
consistent trend was noted among non-KR subjects. CONCLUSIONS: While a protective
effect of KR on mortality cannot be ruled out, findings of lower mortality among
older KR subjects and those with higher propensity scores suggest that prognosis
based selection for KR may lead to intractable confounding by indication; hence,
the protective effect of KR on all-cause mortality may be overestimated.
PMID- 27190097
TI - Confirmation on the immunogenicity assay used in the SB4 phase III study:
response to the comments by Meacci et al.
PMID- 27190094
TI - Sustained safety and performance of the second-generation drug-eluting absorbable
metal scaffold in patients with de novo coronary lesions: 12-month clinical
results and angiographic findings of the BIOSOLVE-II first-in-man trial.
AB - AIMS: Metal absorbable scaffolds constitute a conceptually attractive alternative
to polymeric scaffolds. Promising 6-month outcomes of a second-generation drug
eluting absorbable metal scaffold (DREAMS 2G), consisting of an absorbable
magnesium scaffold backbone, have been reported. We assessed the 12-month safety
and performance of this novel device. METHODS AND RESULTS: The prospective,
international, multi-centre, first-in-man BIOSOLVE-II trial enrolled 123 patients
with up to two de novo lesions with a reference diameter between 2.2 and 3.7 mm.
All patients were scheduled for angiographic follow-up at 6 months, and-if
subjects consented-at 12 months. Dual antiplatelet therapy was recommended for 6
months. Quantitative coronary angiography (QCA) parameters remained stable from 6
to 12 months [paired data of 42 patients: in-segment late lumen loss 0.20 +/-
0.21 mm vs. 0.25 +/- 0.22 mm, P = 0.117, Delta 0.05 +/- 0.21 mm (95% CI:
0.01;0.12); in-scaffold late lumen loss 0.37 +/- 0.25 mm vs. 0.39 +/- 0.27 mm, P
= 0.446, Delta 0.03 +/- 0.22 (95% CI: -0.04;0.10), respectively]. Intravascular
ultrasound and optical coherence tomography findings corroborated the QCA
results. Target lesion failure occurred in four patients (3.4%), consisting of
one death of unknown cause, one target-vessel myocardial infarction, and two
clinically driven target lesion revascularization. No additional event occurred
beyond the 6-month follow-up. During the entire follow-up of 12 months, none of
the patients experienced a definite or probable scaffold thrombosis. CONCLUSION:
The novel drug-eluting metal absorbable scaffold DREAMS 2G showed a continuous
favourable safety profile up to 12 months and stable angiographic parameters
between 6 and 12 months. CLINICALTRIALSGOV IDENTIFIER: NCT01960504.
PMID- 27190095
TI - Biolimus-A9 polymer-free coated stent in high bleeding risk patients with acute
coronary syndrome: a Leaders Free ACS sub-study.
AB - Aims: Although a true clinical challenge, high bleeding risk patients with an
acute coronary syndrome (ACS) undergoing percutaneous coronary intervention (PCI)
have never been specifically studied. Leaders Free ACS, a pre-specified Leaders
Free sub-study, determined efficacy, and safety of a combination of 1-month dual
anti-platelet therapy (DAPT) with implantation of either a polymer-free Biolimus
A9-coated stent (BA9-DCS) or a bare-metal stent (BMS) in these patients. Methods
and results: Leaders Free included 2466 patients undergoing PCI who had at least
1 of 13 pre-defined factors for an increased bleeding risk. Of these, 659 ACS
patients were included in this analysis (BA9-DCS 330, BMS 329). At 12-month
follow-up, treatment with the BA9-DCS was more effective (clinically driven
target-lesion revascularization 3.9 vs. 9.0%, P = 0.009) and safer (cumulative
incidence of cardiac death, myocardial infarction, or definite or probable stent
thrombosis 9.3 vs. 18.5%, P = 0.001), driven by significantly lower rates of
cardiac mortality (3.4 vs. 6.9%, P = 0.049) and myocardial infarction (6.9 vs.
13.8%, P = 0.005). Conclusion: We believe that the results of this sub-analysis
from the Leaders Free trial are likely to significantly impact clinical practice
for high bleeding risk patients presenting with an ACS: the use of a BMS can, in
our view, no longer be recommended, and, given the paucity of available data for
second-generation DES with shortened DAPT in these patients, the BA9-DCS should
currently be considered as the device with the strongest evidence to support its
use for this indication.
PMID- 27190098
TI - Economic considerations and patients' preferences affect treatment selection for
patients with rheumatoid arthritis: a discrete choice experiment among European
rheumatologists.
AB - OBJECTIVE: To compare the value that rheumatologists across Europe attach to
patients' preferences and economic aspects when choosing treatments for patients
with rheumatoid arthritis. METHODS: In a discrete choice experiment, European
rheumatologists chose between two hypothetical drug treatments for a patient with
moderate disease activity. Treatments differed in five attributes: efficacy
(improvement and achieved state on disease activity), safety (probability of
serious adverse events), patient's preference (level of agreement), medication
costs and cost-effectiveness (incremental cost-effectiveness ratio (ICER)). A
Bayesian efficient design defined 14 choice sets, and a random parameter logit
model was used to estimate relative preferences for rheumatologists across
countries. Cluster analyses and latent class models were applied to understand
preference patterns across countries and among individual rheumatologists.
RESULTS: Responses of 559 rheumatologists from 12 European countries were
included in the analysis (49% females, mean age 48 years). In all countries,
efficacy dominated treatment decisions followed by economic considerations and
patients' preferences. Across countries, rheumatologists avoided selecting a
treatment that patients disliked. Latent class models revealed four respondent
profiles: one traded off all attributes except safety, and the remaining three
classes disregarded ICER. Among individual rheumatologists, 57% disregarded ICER
and these were more likely from Italy, Romania, Portugal or France, whereas 43%
disregarded uncommon/rare side effects and were more likely from Belgium,
Germany, Hungary, the Netherlands, Norway, Spain, Sweden or UK. CONCLUSIONS:
Overall, European rheumatologists are willing to trade between treatment
efficacy, patients' treatment preferences and economic considerations. However,
the degree of trade-off differs between countries and among individuals.
PMID- 27190100
TI - Evaluation of delayed puberty: what diagnostic tests should be performed in the
seemingly otherwise well adolescent?
AB - Delayed puberty (DP) is defined as the lack of pubertal development by an age
that is 2-2.5 SDs beyond the population mean. Although it generally represents a
normal variant in pubertal timing, concern that DP could be the initial
presentation of a serious underlying disorder has led to a diagnostic approach
that is variable and may include tests that are unnecessary and costly. In this
review, we examine available literature regarding the recommended diagnostic
tests and aetiologies identified during the evaluation of youth with DP. We view
this literature through the prism of the seemingly otherwise well adolescent. To
provide further clinical context, we also evaluate the clinical and laboratory
data from patients seen with DP in our centre over a 2-year period. The
literature and our data reveal wide variability in the number of tests performed
and raise the question of whether tests, other than gonadotropins, obtained in
the absence of signs or symptoms of an underlying disorder are routinely
warranted. Together this information provides a pragmatic rationale for
revisiting recommendations calling for broad testing during the initial
diagnostic evaluation of an otherwise healthy adolescent with DP. We highlight
the need for further research comparing the utility of broader screening with a
more streamlined approach, such as limiting initial testing to gonadotropins and
a bone age, which, while not diagnostic, is often useful for height prediction,
followed by close clinical monitoring. If future research supports a more
streamlined approach to DP, then much unnecessary testing could be eliminated.
PMID- 27190101
TI - Early clinical and echocardiographic outcomes after SAPIEN 3 transcatheter aortic
valve replacement in inoperable, high-risk and intermediate-risk patients with
aortic stenosis.
AB - AIMS: Based on randomized trials using first-generation devices, transcatheter
aortic valve replacement (TAVR) is well established in the treatment of high-risk
(HR) patients with severe aortic stenosis (AS). To date, there is a paucity of
adjudicated, prospective data evaluating outcomes with newer generation devices
and in lower risk patients. We report early outcomes of a large, multicentre
registry of inoperable, HR, and intermediate-risk (IR) patients undergoing
treatment with the next-generation SAPIEN 3 transcatheter heart valve (THV).
METHODS AND RESULTS: Patients with severe, symptomatic AS (583 high surgical risk
or inoperable and 1078 IR) were enrolled in a multicentre, non-randomized
registry at 57 sites in the USA and Canada. All patients received TAVR with the
SAPIEN 3 system via transfemoral (n = 1443, 86.9%) and transapical or transaortic
(n = 218, 13.1%) access routes. The rate of 30-day all-cause mortality was 2.2%
in HR/inoperable patients [mean Society of Thoracic Surgeons (STS) score 8.7%]
and 1.1% in IR patients (mean STS score 5.3%); cardiovascular mortality was 1.4
and 0.9%, respectively. In HR/inoperable patients, the 30-day rate of
major/disabling stroke was 0.9%, major bleeding 14.0%, major vascular
complications 5.1%, and requirement for permanent pacemaker 13.3%. In IR
patients, the 30-day rate of major/disabling stroke was 1.0%, major bleeding
10.6%, major vascular complications 6.1%, and requirement for permanent pacemaker
10.1%. Mean overall Kansas City Cardiomyopathy Questionnaire score increased from
47.8 to 67.8 (HR/inoperable, P < 0.0001) and 54.7 to 74.0 (IR, P < 0.0001).
Overall, paravalvular regurgitation at 30 days was none/trace in 55.9% of
patients, mild in 40.7%, moderate in 3.4%, and severe in 0.0%. Mean gradients
among patients with paired baseline and 30-day or discharge echocardiograms
decreased from 45.8 mmHg at baseline to 11.4 mmHg at 30 days, while aortic valve
area increased from 0.69 to 1.67 cm(2). CONCLUSIONS: The SAPIEN 3 THV system was
associated with low rates of 30-day mortality and major/disabling stroke as well
as low rates of moderate or severe paravalvular regurgitation. TRIAL
REGISTRATION: ClinicalTrials.gov #NCT01314313.
PMID- 27190102
TI - Electrocardiographic screening of children and adolescents: the search for hidden
risk.
PMID- 27190099
TI - Omega-3 fatty acids are associated with a lower prevalence of autoantibodies in
shared epitope-positive subjects at risk for rheumatoid arthritis.
AB - OBJECTIVES: Previously, we found that omega-3 fatty acids (n-3 FAs) were
inversely associated with anti-cyclic citrullinated peptide (anti-CCP) positivity
in participants at risk for future rheumatoid arthritis (RA). We investigated
whether n-3 FAs were also associated with rheumatoid factor (RF) positivity and
whether these associations were modified by shared epitope (SE) positivity.
METHODS: The Studies of the Etiology of RA (SERA) cohort includes RA-free
participants who are at increased risk for RA. We conducted a nested case-control
study (n=136) to determine the association between RF and anti-CCP2 positivity
and n-3 FA percentage in erythrocyte membranes (n-3 FA% in red blood cells
(RBCs)). Additionally, in the baseline visit of the SERA cohort (n=2166), we
evaluated the association between reported n-3 FA supplement use and prevalence
of RF and anti-CCP2. We assessed SE positivity as an effect modifier. RESULTS: In
the case-control study, increasing n-3 FA% in RBCs was inversely associated with
RF positivity in SE-positive participants (OR 0.27, 95% CI 0.10 to 0.79), but not
SE-negative participants. Similar associations were seen with anti-CCP positivity
in SE-positive participants (OR 0.42, 95% CI 0.20 to 0.89), but not SE-negative
participants. In the SERA cohort at baseline, n-3 FA supplement use was
associated with a lower prevalence of RF positivity in SE-positive participants
(OR 0.32, 95% CI 0.12 to 0.82), but not SE-negative participants; similar but non
significant trends were observed with anti-CCP2. CONCLUSIONS: The potential
protective effect of n-3 FAs on RA-related autoimmunity may be most pronounced in
those who exhibit HLA class II genetic susceptibility to RA.
PMID- 27190104
TI - Switching from clopidogrel to prasugrel or ticagrelor: tips and tricks.
PMID- 27190103
TI - Low-gradient aortic stenosis.
AB - An important proportion of patients with aortic stenosis (AS) have a 'low
gradient' AS, i.e. a small aortic valve area (AVA <1.0 cm(2)) consistent with
severe AS but a low mean transvalvular gradient (<40 mmHg) consistent with non
severe AS. The management of this subset of patients is particularly challenging
because the AVA-gradient discrepancy raises uncertainty about the actual stenosis
severity and thus about the indication for aortic valve replacement (AVR) if the
patient has symptoms and/or left ventricular (LV) systolic dysfunction. The most
frequent cause of low-gradient (LG) AS is the presence of a low LV outflow state,
which may occur with reduced left ventricular ejection fraction (LVEF), i.e.
classical low-flow, low-gradient (LF-LG), or preserved LVEF, i.e. paradoxical LF
LG. Furthermore, a substantial proportion of patients with AS may have a normal
flow, low-gradient (NF-LG) AS: i.e. a small AVA-low-gradient combination but with
a normal flow. One of the most important clinical challenges in these three
categories of patients with LG AS (classical LF-LG, paradoxical LF-LG, and NF-LG)
is to differentiate a true-severe AS that generally benefits from AVR vs. a
pseudo-severe AS that should be managed conservatively. A low-dose dobutamine
stress echocardiography may be used for this purpose in patients with classical
LF-LG AS, whereas aortic valve calcium scoring by multi-detector computed
tomography is the preferred modality in those with paradoxical LF-LG or NF-LG AS.
Although patients with LF-LG severe AS have worse outcomes than those with high
gradient AS following AVR, they nonetheless display an important survival benefit
with this intervention. Some studies suggest that transcatheter AVR may be
superior to surgical AVR in patients with LF-LG AS.
PMID- 27190105
TI - Drosophila Pkaap regulates Rab4/Rab11-dependent traffic and Rab11 exocytosis of
innate immune cargo.
AB - The secretion of immune-mediators is a critical step in the host innate immune
response to pathogen invasion, and Rab GTPases have an important role in the
regulation of this process. Rab4/Rab11 recycling endosomes are involved in the
sorting of immune-mediators into specialist Rab11 vesicles that can traffic this
cargo to the plasma membrane; however, how this sequential delivery process is
regulated has yet to be fully defined. Here, we report that Drosophila Pkaap, an
orthologue of the human dual-specific A-kinase-anchoring protein 2 or D-AKAP2
(also called AKAP10), appeared to have a nucleotide-dependent localisation to
Rab4 and Rab11 endosomes. RNAi silencing of pkaap altered Rab4/Rab11 recycling
endosome morphology, suggesting that Pkaap functions in cargo sorting and
delivery in the secretory pathway. The depletion of pkaap also had a direct
effect on Rab11 vesicle exocytosis and the secretion of the antimicrobial peptide
Drosomycin at the plasma membrane. We propose that Pkaap has a dual role in
antimicrobial peptide traffic and exocytosis, making it an essential component
for the secretion of inflammatory mediators and the defence of the host against
pathogens.
PMID- 27190107
TI - Impact of white striping on functionality attributes of broiler breast meat1.
AB - The influence of white striping (WS) on the water-holding capacity (WHC) and
protein functionality attributes of broiler breast meat was investigated.
Boneless breast fillets (Pectoralis major) were collected from the deboning line
of a commercial processing plant and categorized by WS score (normal, moderate,
severe). The physical (weight, pH, CIE-color values), water-holding capacity
(salt-induced water uptake, cook loss, final yield), protein functionality
(solubility, emulsifying activity), and protein composition (SDS-PAGE)
characteristics of the fillets were measured in three experiments. Breast meat
with WS exhibited greater fillet weights, higher pH, and similar color values
(L*a*b*) to normal fillets. In experiment 1, fillets were frozen-thawed prior to
analysis. The WS condition reduced thaw loss, sarcoplasmic protein solubility,
and the emulsifying activity of the myofibrillar proteins, but did not
significantly affect salt-induced water uptake, cook loss, final yield, or
myofibrillar protein solubility. In experiment 2, breast meat was analyzed fresh
and after a freeze-thaw cycle. Freezing samples prior to analysis negatively
influenced WHC and reduced sarcoplasmic protein solubility in both WS and normal
fillets. In fresh and frozen-thawed meat, the WS condition decreased sarcoplasmic
protein solubility but did not significantly alter WHC or myofibrillar protein
solubility. For experiment 3, fillets were portioned into 3 sections (Location A,
cranial end-ventral surface; Location B, cranial end-dorsal surface; Location C,
caudal end). The effects of WS on WHC and protein solubility were dependent upon
breast fillet sampling location. Fillets with WS exhibited lower salt-induced
water uptake, greater cook loss, and lower sarcoplasmic protein solubility than
normal fillets when sampled from location A. SDS-PAGE analysis indicated that
differences in the composition of sarcoplasmic and myofibrillar protein fractions
between WS and normal fillets were influenced by sampling location. These results
suggest that WS diminishes the WHC and protein functionality of broiler breast
meat, but demonstrate that the WS effects on these traits are not uniform
throughout the breast muscle.
PMID- 27190106
TI - Metal ion-oxytetracycline pharmacokinetic interactions after oral co
administration in broiler chickens.
AB - The influence of the composition of calcium (Ca(2+)), magnesium (Mg(2+)), and
iron (Fe(3+)) ions in two concentration levels (low-500 mg/L of CaCl2, 125 mg/L
of MgCl2, and 10 mg/L of FeCl3 and high-2,500 mg/L of CaCl2, 625 mg/L of MgCl2,
and 50 mg/L of FeCl3) contained in water on the pharmacokinetics (PK) of
oxytetracycline (OTC) was determined. OTC hydrochloride was administered at a
dose of 25 mg/kg of body weight to broiler chickens divided into four groups of
nine birds each, including 3 oral groups (in deionized water -control, in water
with low ion concentration, and in water with high ion concentration) and 1
intravenous group. OTC concentrations in plasma were determined using liquid
chromatography-tandem mass spectrometry, after which non-compartmental
pharmacokinetic analysis was conducted.The absolute bioavailability of OTC in the
group of birds exposed to higher ions concentration was reduced (8.68% +/- 2.56)
as compared to the control (13.71% +/- 2.60). Additionally, in this group,
decrease in PK parameters such as: area under the concentration-time curve from 0
to infinity (15.36 MUg * h/mL +/- 4.36), from 0 to t (14.78 MUg * h/mL +/- 4.37),
area under the first moment of curve from 0 to t (107.54 MUg * h/mL +/- 36.48),
and maximum plasma concentration (2.13 MUg/mL +/- 0.32) were also observed. It is
noteworthy, all mentioned parameters demonstrated a downward trend with high
correlation coefficient (P = 0.004, P = 0.002, P = 0.005, P = 0.004, P = 0.011,
respectively), reflecting the influence of increasing concentrations of Ca(2+),
Mg(2+), and Fe(3+) ions on the decreasing absorption rate of OTC.Based on the
current research results, it can be assumed that high concentrations of several
ions applied concomitantly are able to decrease the absorption of OTC from
gastrointestinal tract in broiler chickens. This occurrence might impair the
drug's clinical efficacy toward some pathogenic microorganisms. It implies that
using OTC on a farm may require administration of higher doses than the routine
one when infections are caused by less sensitive pathogens.
PMID- 27190108
TI - Genetic parameters of egg quality traits in long-term pedigree recorded Japanese
quail.
AB - This study was conducted to determine the genetic parameters of internal and
external quality traits of Japanese quail eggs. Two statistical models were used
in the calculation of genetic parameters and variance components. While 286 eggs
were used based on model 1, 1,524 eggs were used based on model 2. Genetic
parameters of the first eggs were calculated with direct genetic effect included
in the analysis as random factors by using model 1. Model 2 was used for all eggs
(5 to 6 eggs from each hen for six rearing groups). As different from model 1,
their permanent environmental effects were also included in the model 2.
Heritability of egg weight, egg length, egg width, shape index, shell weight,
shell thickness, and shell ratio among the external quality traits of the eggs
was respectively found to be 0.44, 0.53, 0.51, 0.70, 0.19, 0.16, and 0.05,
respectively, according to model 1. These values were found to be 0.46, 0.40,
0.74, 0.48, 0.60, 0.28, and 0.21, respectively, according to model 2. Yolk
weight, yolk diameter, yolk height, yolk index, yolk ratio, albumen weight,
albumen height, albumen ratio, and Haugh unit values among the internal quality
traits of the egg were found to be 0.22, 0.32, 0.02, 0.16, 0.19, 0.34, 0.19,
0.17, and 0.17, respectively, according to model 1. These internal quality traits
were found to be 0.27, 0.18, 0.38, 0.06, 0.20, 0.41, 0.15, 0.15, and 0.12,
respectively, according to model 2. Consequently, in this study, strong genetic
correlations were detected between albumen height and Haugh unit, and also
between albumen height and albumen weight. Additionally, a high and positive
correlation was observed between some yolk traits (yolk weight and diameter) and
albumen traits (weight and height). All these genetic correlations can be used to
improve egg quality with a selection according to albumen weight.
PMID- 27190109
TI - Relationship between different enteric viral infections and the occurrence of
diarrhea in broiler flocks in Jordan.
AB - The aim of this study is to determine if enteric viruses are the cause of
diarrhea in broiler flocks in Jordan. Intestinal content samples were collected
from 101 broiler flocks from several regions of Jordan to detect the presence of
astrovirus, coronavirus, reovirus, and rotavirus, by using reverse transcriptase
polymerase chain reaction (RT-PCR). Forty-six of these flocks were clinically
healthy with no enteric disease, and the other 55 flocks were clinically
suffering from diarrhea. The samples were collected between 5 and 16 d of age.
The results show that 79% of total 101 flocks tested were infected with one or
more of the above enteric viruses. Coronavirus was the most common virus,
detected in 56.4% of these flocks, with astrovirus in 29.7% of the flocks, and
rotavirus (9.9%) and reovirus (5.6%) being the least common. None of these flocks
were found to be infected with all four viruses, but one of the flocks was found
to be infected with astrovirus, coronavirus, and rotavirus simultaneously.
Individual infection was noted with astrovirus, coronavirus and rotavirus but not
with reovirus, whereas all flocks infected with reovirus were also infected with
coronavirus. There was no statistical evidence to link these viruses as the main
cause of diarrhea in the flocks tested. This is the first study in Jordan to
detect all of these viruses and to correlate their presence with diarrhea in
chicken flocks.
PMID- 27190110
TI - Determination of the adequate dose of garlic diallyl disulfide and diallyl
trisulfide for effecting changes in growth performance, total-tract nutrient and
energy digestibility, ileal characteristics, and serum immune parameters in
broiler chickens.
AB - The objective of the current experiment was to determine the adequate dose and
impact of graded concentrations of garlic diallyl disulfide (DADS) and diallyl
trisulfide (DATS) on growth performance, total-tract nutrient and energy
digestibility, serum immune parameters, and ileal morphology in broiler chickens.
At 28-d post-hatch, male broiler chickens were allotted on the basis of initial
body weight (1.34 +/- 0.106 kg) in a randomized complete block desing ( RCBD: )
to one of six treatments that consisted of an oral gavage of 0, 0.45, 0.90, 1.80,
3.6, or 7.2 mg of DADS + DATS per kg bodyweight (BW) with 8 replicate cages per
treatment and 4 birds per cage. The DADS + DATS was administered to birds by
daily oral gavage for a period of 6 d. Growth performance was recorded and
excreta were collected for analysis of DM, nitrogen ( N: ), and energy ( E: )
digestibility and on the last day of the experiment, the median bird in each cage
was euthanized and the mid ileum was excised for morphological and gene
expression measurements and blood was collected for serum natural antibody and
complement assays. Body weight gain and villus height were linearly increased (P
< 0.01) with oral gavage of DADS + DATS. There was a quadratic effect (P < 0.01)
of the oral gavage on digestibility of DM, N, and E that corresponded to an
average broken-line regression-derived adequate dose of 1.16 mg DADS + DATS per
kg BW. Supplementation of DADS + DATS by oral gavage had no impact on gene
expression markers although there was a tendency for an increase (P = 0.10) in
serum natural antibody activity due to treatment. Results from the current study
indicate that supplementation of a gavage containing DADS + DATS improves BW
gain, ileal morphology, and digestibility of DM, N, and E and may affect serum
immune parameters in broiler chickens. The average broken-line regression-derived
adequate dose to optimize BW gain and villus height response was 2.51 mg DADS +
DATS per kg BW.
PMID- 27190111
TI - Interactive effects of dietary adaptation period length and titration diet type
on apparent ileal phosphorus digestibility and phosphorus retention in growing
broilers.
AB - Two experiments were conducted to examine the effects of different corn titration
diets and dietary adaptation period length (DAPL) on intestinal histology,
apparent ileal P digestibility (AIPD), and apparent P retention (APR) in Ross *
Ross 708 male broilers from 20 to 24 d of age. It was hypothesized that purified
ingredients in nutrient-deficient titration diets may affect P availability with
varying DAPL. In experiment 1, 1,152 broilers were utilized in a 3 * 3 factorial
treatment structure with 3 diets (control, 25% corn titration diet [25CTD], or
75% corn titration diet [75CTD]) and 3 DAPL (0, 24, or 72 h). Experiment 2 was
conducted with 576 broilers as a 4 * 3 factorial arrangement with 4 diets
(control, 25CTD, 75CTD, or nitrogen-free diet [NFD]) and 3 DAPL (24, 48, or 72
h). All diets contained purified ingredients except for the control diet, which
had the same formulation as the common starter and served as a control for DAPL.
The NFD diet was fed as a highly purified protein-free diet. Broilers were fed a
common diet until 19 d of age and then transferred to experimental diets at 20 d
of age. In experiment 1, diet type did not affect (P > 0.05) intestinal
histology. However, diet type and DAPL each influenced (P.<=.0.001) diet AIPD.
Higher (P.<=.0.001) AIPD was measured for the control diet compared with the
75CDT, and the 25CTD had the lowest AIPD. Following a 24 h DAPL, AIPD was higher
(P.<=.0.001) than after a DAPL of 0 or 72 h. In experiment 2, diet type * DAPL
interactions (P.<=.0.001) were observed for APR of the control diet, 75CTD, and
NFD, but not the 25CTD. Because APR of the control diet was affected by varying
DAPL, factors other than differences in diet type may have been responsible for
inconsistencies in the measure of P availability. Furthermore, no clear evidence
was observed that broilers were able to adapt to P-deficient diets by increasing
APR or AIPD. In conclusion, a standard DAPL should be established as a means to
reduce variability associated with measuring of feedstuff P availability.
PMID- 27190112
TI - Disseminated histiocytic sarcoma in a child: a clinicopathological dichotomy with
8 years survival.
AB - A 3-year-old girl presented with a non-healing ulcer, originating as a pustule
over the right anterior chest wall of 11/2 month duration associated with high
fever. A subcutaneous nodule along with right apical and anterior axillary lymph
nodes was palpable. Abdominal ultrasound and chest skiagram were normal. Fine
needle aspiration cytology (FNAC) from the axillary lymph node was suggestive of
embryonal rhabdomyosarcoma. Bone marrow aspirate was normal. The ulcer and
subcutaneous nodule were excised completely with adequate margins.
Histopathological examinations were compatible with malignancy of histiocytic
origin with clear resected margins. The axillary nodes were free of tumour.
Adjuvant chemotherapy was given for 13 months. The patient is doing well at 8
years follow-up.
PMID- 27190113
TI - Conjunctival metastatic adenocarcinoma of unknown origin.
AB - We describe the case of a presumed metastatic adenocarcinoma discovered in the
conjunctival limbus of a 75-year-old male with a history of prostate
adenocarcinoma. After an initial clinical diagnosis of pinguecula and
unsuccessful topical steroid therapy, the lesion was excised and sent for
pathological evaluation and special staining. The histopathological evaluation
was consistent with a diagnosis of adenocarcinoma, without evidence of lacrimal
tissue. Surprisingly, results from special staining were most consistent with
lung adenocarcinoma rather than that from a prostate origin. Systemic
radiographic evaluation did not locate the primary tumour, and the patient did
not present with any symptoms consistent with malignancy. Watchful waiting was
chosen as the therapeutic strategy to manage the patient. This is the first
report of an adenocarcinoma, likely metastatic, at the conjunctival limbus.
PMID- 27190114
TI - Hyperimmunoglobulinaemia D syndrome: a rare cause of prolonged fever and
treatment with anti-interleukin 1 agent.
AB - Hyperimmunoglobulinaemia D syndrome (HIDS) is an autosomal recessive,
autoinflammatory disease that is characterised with intermittent febrile
episodes, cervical lymphadenopathy, rashes, arthritis and gastrointestinal
symptoms associated with synovial or serosal inflammation. HIDS is caused by
mutations in the gene encoding mevalonate kinase enzyme. The febrile attacks
usually start in early childhood and triggered by stress or vaccinations. We
report a case of 16-month-old boy who had episodes of recurrent fever accompanied
by maculopapular rash and lymphadenopathy. He was diagnosed as HIDS and he had
heterozygote mutation of mevalonate kinase gene.
PMID- 27190115
TI - Idiopathic spinal cord herniation of the cervical cord: unusual cause of proximal
muscle weakness in upper limbs.
AB - Idiopathic spinal cord herniation (ISCH) is a recognised rare cause of
progressive and potentially curable myelopathy. Around 170 cases have been
described in the literature, all to be found between the T2 and T8 vertebrae. We
report a case of ISCH in the cervical region. A 23-year-old man with no history
of trauma presented with a 6-year history of bilateral mild resting hand tremor
and left scapular pain radiating to the left arm for a duration of 8 months.
Nerve conduction studies showed some denervation changes of the upper limbs and
bulbar regions. MRI of the spine showed anterior midline herniation of the spinal
cord at the level of C7 vertebra with an associated collection of cerebrospinal
fluid in the extradural space in the cervical region. Owing to the non
progressive nature of symptoms, currently the patient is managed conservatively.
PMID- 27190117
TI - Management of a case of caesarean scar pregnancy and all its complications.
AB - Caesarean scar pregnancy is a rare form of an ectopic pregnancy that can lead to
serious consequences like massive bleeding and uterine rupture. Although there is
no consensus for treatment, many treatment options have been described. We
present a female patient who had to undergo most of these available treatments
due to unforeseen circumstances. These treatments include local injection of
methotrexate and potassium chloride into the pregnancy, transcervical aspiration
of the pregnancy under laparoscopic guidance, balloon occlusion of the internal
iliac arteries and eventually a laparoscopic hysterectomy. She also developed a
complication of vault dehiscence due to an abscess formation after her
hysterectomy. Owing to the potential need for multiple interventions and
admissions, adequate counselling is required for these patients to manage their
expectations in what is usually a very difficult situation.
PMID- 27190116
TI - Successful vaginal delivery following spontaneous adrenal haemorrhage at term.
AB - Spontaneous adrenal haemorrhage (SAH) is a rare event in the general population,
estimated to be around 0.3-1.8%. The exact incidence in pregnancy is unknown but
rare. Most cases of SAH at or near term have presented with massive haemorrhage
and haemodynamic instability, requiring emergency caesarean delivery or
intrauterine fetal death. This is the first reported case of a successful vaginal
delivery after acute, spontaneous, left adrenal haemorrhage at term.
PMID- 27190118
TI - Pancreaticopericardial fistula: a rare complication of chronic pancreatitis.
AB - Pancreaticopericardial fistula (PPF) is an extremely rare clinical problem
encountered in patients with chronic pancreatitis. The diagnosis should be
suspected if a patient presents with pericardial effusion on a background of
chronic pancreatitis. Significantly raised amylase in the pericardial fluid
offers an important clue for the diagnosis. CT is the initial imaging modality to
look for pancreatic and pericardial changes. The therapeutic options include
medical, endoscopic or surgical interventions. Medical and endoscopic therapies
are the preferred modes of treatment while surgery is reserved for those who fail
these measures.
PMID- 27190119
TI - Carotid artery aneurysm: last among equals.
AB - A 66-year-old man presented initially with a swelling in the left side of the
neck, which was confirmed to be a carotid artery aneurysm on ultrasonography. He
was subsequently admitted reporting intermittent episodes of visual loss in the
left eye and right arm weakness. Further imaging confirmed multiple, small acute
infarcts in the left cerebral hemisphere. The patient underwent open repair of
the aneurysm and made an uncomplicated recovery with no persisting neurological
deficit.
PMID- 27190121
TI - In the thick of it: cerebral venous sinus thrombosis precipitated by iron
deficiency anaemia and sickle cell trait.
PMID- 27190120
TI - Thymic hyperplasia and its spontaneous resolution with treatment of Graves'
hyperthyroidism.
PMID- 27190122
TI - Pancreatic gout and the role of multimodality imaging in its management.
AB - Uric acid deposition in the pancreas is very rare and neither an endoscopic
ultrasound (EUS) nor a contrast-enhanced CT image of this condition has ever been
published. We describe a case of asymptomatic pancreatic gout that was detected
incidentally on CT. Imaging features mimicked pancreatic neoplasm, warranting
further evaluation with EUS-guided fine-needle aspiration. Samples revealed
debris encrusted with monosodium urate crystals. Follow-up CT showed complete
resolution with urate-lowering therapy. We aim to augment current knowledge on
the imaging of pancreatic gout and discuss its management.
PMID- 27190124
TI - Positional hoarseness: an unusual symptom in jugular foramen mass.
AB - We came across a case of jugular foramen mass causing positional hoarseness on
turning the head left and disappearing on returning the head to a straight
position. Hoarseness of voice due to vagus nerve involvement is seen in jugular
foramen mass but positional hoarseness has never been seen before. We report this
rarest presentation and discuss the pathophysiology behind it.
PMID- 27190123
TI - Temporary leadless pacing in a patient with severe device infection.
AB - A 64-year-old patient underwent implantation of a transcatheter pacing systems
(TPS) for severe lead endocarditis. The patient experienced fever after a dental
procedure. On the transoesophageal echocardiogram (TEE), vegetations were
attached to the leads. Because the patient was pacemaker dependent, a temporary
pacing lead had to be placed. After removal, however, he did not improve. A
second TEE showed new vegetations. Ventricular fibrillation occurred
spontaneously; so isoprenalin had to be stopped and a new lead was implanted.
Vegetations appeared soon after the new temporary lead was placed. We used a TPS
as a bridging device, followed by implantation of a resynchronisation system, and
explantation of the TPS. After the Micra TPS was implanted, the patient recovered
noticeably. All inflammation parameters were negative and an additional (18)F
fluorodeoxyglucose-positron emission tomography/CT imaging also proved to be
negative. So a CRT-D device was then implanted, and the TCP was removed.
PMID- 27190125
TI - Partners for life.
AB - The hormones insulin and glucagon both play important roles in the development of
diabetes.
PMID- 27190126
TI - Effectiveness and safety of dexamethasone implants for postsurgical macular
oedema including Irvine-Gass syndrome: the EPISODIC-2 study.
AB - AIM: To assess the effectiveness of intravitreal dexamethasone implants for
treating postsurgical macular oedema (PSMO) including Irvine-Gass syndrome and
determining the predictive factors of treatment response. METHODS: Descriptive,
observational, retrospective, consecutive, uncontrolled, multicentre, national
case series. One hundred patients were included between April 2011 and June 2014,
with a minimum of 1-year follow-up. Patients received dexamethasone implant 0.7
mg at baseline. Clinical characteristics, best-corrected visual acuity (BCVA),
central subfield macular thickness (CSMT) and intraocular pressure were measured
at each visit. The main outcome measure was the change in BCVA (Early Treatment
of Diabetic Retinopathy Study (ETDRS) letters: L). An analysis of predictive
factors of treatment response is also provided. RESULTS: Mean improvement in BCVA
was 9.6 (+/-10.6) L at month 6 and 10.3 (+/-10.7) L at month 12 (p<0.001). The
proportion of eyes with gains in BCVA of 15 or more letters was 32.5% and 37.5%
at months 6 and 12, respectively. The mean reduction in CSMT was 135.2 and 160.9
um at months 6 and 12, respectively (p<0.001). Thirty-seven per cent of patients
did not need a second injection after the first injection during follow-up. The
presence of at least one PSMO risk factor decreases the probability of a gain in
visual acuity (VA) >=10 L (p=0.006). Initial VA <=50 L at baseline and non-naive
status decrease the probability of having only one injection during follow-up
(p=0.044). CONCLUSIONS: The significant gain in BCVA from baseline achieved at
month 6 was maintained at month 12 after intravitreal injection of dexamethasone
implant. Naive status seems to be a good predictive factor of treatment response.
PMID- 27190127
TI - Three-month outcome of intravitreal ziv-aflibercept in eyes with diabetic macular
oedema.
AB - PURPOSE: We report the 3-month efficacy of monthly intravitreal ziv-aflibercept
in patients with diabetic macular oedema (DME). METHODS: Prospectively,
consecutive patients with DME underwent intravitreal injection of 0.05 ml of
compounded ziv-aflibercept (1.25 mg) from March 2015 to November 2015. Monitoring
of best-corrected visual acuity (BCVA), intraocular inflammation, cataract
progression and retinal structure by spectral domain optical coherence tomography
was carried out at baseline, 1 week, 1 month, 2 months and 3 months after 3
monthly injections. RESULTS: A total of 17 eyes (11 right eyes and 6 left eyes)
were treated. The participants were divided into 10 Caucasians and 6 Indians, 11
men and 5 women, and had a mean age of 61.5 years. Five eyes were treatment-naive
cases and 12 eyes were treatment non- naive with last treatment received at least
more than 4-month interval. Mean BCVA in log MAR (equivalent Snellen visual
acuity) improved from baseline 0.70 (20/100) to 0.49 (20/60) at 1 month, 0.43
(20/50) at 2 months and 0.42 (20/50) at 3 months (p <= 0.003). Central macular
thickness decreased from mean baseline 517.5 to 388.1 MUm at 1 week, 355.4 MUm at
1 month, 351.4 MUm at 2 months and 322.2 MUm at 3 months (p <= 0.001).
CONCLUSIONS: Off-label use of intravitreal ziv-aflibercept improves visual
acuity, without detectable ocular toxicity or systemic side effects in DME. It
offers a less expensive alternative to the approved intravitreal aflibercept
(Eylea), especially in the low/middle-income countries and in countries where
Eylea is not available.
PMID- 27190129
TI - MicroRNA 486-3P as a stability marker in acute coronary syndrome.
AB - Easily accessible biomarkers are needed to diagnose cardiovascular disease
precisely-particularly, to distinguish between disease subtypes that are
encountered in clinical practice. Per the hypothesis that plasma miRNA is
valuable for this purpose, we performed complete transcriptional profiling of an
miRNA discovery-set in 14 samples: three patients with ST-elevated acute
myocardial infarction (STEMI) at baseline and after three months of follow-up,
four with stable ischaemic heart disease (stable-IHD) and four healthy age
matched volunteers. Our aim was to determine whether we could distinguish
patients with unstable plaques from stable patients following a STEMI event.
After analysing miRNA profiles, we conducted a validation study comparing three
month STEMI (n=40) with stable-IHD (n=35), which confirmed that miR-486-3P
differentiates patients with three-month STEMI from those with stable-IHD
(P=0.019).
PMID- 27190128
TI - Choroidal structure in eyes with drusen and reticular pseudodrusen determined by
binarisation of optical coherence tomographic images.
AB - PURPOSE: To compare luminal and stromal area of the choroid in eyes with drusen
and reticular pseudodrusen (RPD) and to investigate their changes over 24 months.
METHODS: In eyes with drusen and RPD and control subjects, total choroidal,
luminal and stromal area were measured on optical coherence tomography B-scans
converted to binary images, at baseline and after 24 months. RESULTS: Eighteen
eyes of 18 subjects for each group were included. In drusen and RPD, we found
reduction of mean total choroidal (p=0.0005 and p<0.0001, respectively), luminal
(p=0.003 and p<0.0001, respectively) and stromal area (p=0.007 and p=0.0002,
respectively) from baseline to month 24; no change of ratio between luminal
stromal and the choroidal area was recorded. Mean luminal, stromal and total
choroidal areas were reduced in RPD, as compared with drusen and controls at both
baseline and month 24 (p<0.05 for all). In RPD, the stromal area was more
represented, as we found lower mean ratio of luminal and total choroidal area
compared with drusen and control at both baseline and month 24 (p<0.05 for all).
CONCLUSIONS: Mean total choroidal, luminal and stromal area decreased over 24
months similarly in eyes with drusen and RPD. Mean total choroidal, luminal and
stromal area were more reduced in eyes with RPD, as compared with eyes with
drusen and controls; however, stromal area was more represented in eyes with RPD
suggesting a possible role of choroidal vascular depletion and fibrotic
replacement in the pathogenesis and disease progression.
PMID- 27190130
TI - The Swi3 protein plays a unique role in regulating respiration in eukaryotes.
AB - Recent experimental evidence increasingly shows that the dysregulation of
cellular bioenergetics is associated with a wide array of common human diseases,
including cancer, neurological diseases and diabetes. Respiration provides a
vital source of cellular energy for most eukaryotic cells, particularly high
energy demanding cells. However, the understanding of how respiration is globally
regulated is very limited. Interestingly, recent evidence suggests that Swi3 is
an important regulator of respiration genes in yeast. In this report, we
performed an array of biochemical and genetic experiments and computational
analysis to directly evaluate the function of Swi3 and its human homologues in
regulating respiration. First, we showed, by computational analysis and
measurements of oxygen consumption and promoter activities, that Swi3, not Swi2,
regulates genes encoding functions involved in respiration and oxygen
consumption. Biochemical analysis showed that the levels of mitochondrial
respiratory chain complexes were substantially increased in Deltaswi3 cells,
compared with the parent cells. Additionally, our data showed that Swi3 strongly
affects haem/oxygen-dependent activation of respiration gene promoters whereas
Swi2 affects only the basal, haem-independent activities of these promoters. We
found that increased expression of aerobic expression genes is correlated with
increased oxygen consumption and growth rates in Deltaswi3 cells in air.
Furthermore, using computational analysis and RNAi knockdown, we showed that the
mammalian Swi3 BAF155 and BAF170 regulate respiration in HeLa cells. Together,
these experimental and computational data demonstrated that Swi3 and its
mammalian homologues are key regulators in regulating respiration.
PMID- 27190131
TI - Association between selenium levels and oesophageal adenocarcinoma risk: evidence
from a meta-analysis.
AB - Quantification of the association between selenium and risk of oesophageal
adenocarcinoma (OAC) is still conflicting. The purpose of this meta-analysis is
to explore the relationship between selenium levels and OAC risk. PubMed and Web
of Knowledge were searched for the related articles. Pooled relative risks (RRs)
with 95% confidence intervals (CIs) from random effects models were calculated.
Sensitivity analysis and publication bias were conducted. Dose-response
relationship was assessed by restricted cubic spline and variance-weighted least
squares regression analysis. Five articles involving 748 OAC cases were included
in this meta-analysis. Pooled results suggest that higher selenium level was not
significantly associated with the risk of OAC (summary RRs=1.08, 95% CIs=0.84
1.39, I(2)=0%). Besides, no significant association was found in case-control
studies (summary RRs=1.13, 95% CIs=0.84-1.52, I(2)=0%) or cohort studies (summary
RRs=0.99, 95% CIs=0.55-1.78, I(2)=32.6%). A linear dose-response relationship was
attested that an increase in dietary selenium intake of 10 MUg/day is marginally
associated with 1% increase in the risk of developing OAC (summary RRs=1.01, 95%
CIs=0.99-1.03), but not statistically significant. No publication bias was found.
In conclusion, our analysis indicated that a higher selenium level was not
significantly associated with the risk of OAC. The relevant further studies are
warranted.
PMID- 27190132
TI - A randomised controlled trial comparing skin closure in total knee arthroplasty
in the same knee: nylon sutures versus skin staples.
AB - OBJECTIVES: Nylon sutures and skin staples are used commonly in total knee
arthroplasty (TKA) surgical wound closure. However, there is no study that
compares the wound healing efficacy and patient satisfaction scores of both
techniques in the same knee. METHODS: We randomised 70 patients who underwent
primary TKA into two groups. In one group of 34 patients, the skin at the upper
half of the wound was closed with skin staples and the lower half of the wound
was closed with simple interrupted nylon sutures. In the other group of 36
patients, the skin at the upper half of the wound was closed with nylon stitches
and the lower half of the wound was closed with skin staples. We recorded the
wound closure time, pain score at the time of stitch removal, wound complication
rate, patient satisfaction score, and the Hollander wound evaluation score at the
post-operative periods of five days, 14 days, six weeks, three months, and six
months. Each half wound was analysed separately. RESULTS: The mean patient body
mass index was 26.8 kg/m(2) (standard deviation 6.3). A total of 70 nylon
stitched wounds and 70 skin stapled wounds were analysed. There were no
significant differences in wound complication rates, patient satisfaction score,
and the Hollander wound evaluation score between both types of wounds (p > 0.05).
The wound closure time for skin stapled wounds was significantly lower than the
nylon stitched wounds (p < 0.001). However, the skin stapled wounds had a
significantly higher pain score at the time of stitch removal (p < 0.001).
CONCLUSION: Skin staples and nylon stitches had comparable results with respect
to wound healing and patient satisfaction in TKA wound closure in non-obese
patients. The benefit of skin staples over nylon stitches was a decrease in
operative time, but was more painful upon removal.Cite this article: V.
Yuenyongviwat. A randomised controlled trial comparing skin closure in total knee
arthroplasty in the same knee: nylon sutures versus skin staples. Bone Joint Res
2016;5:185-190. DOI: 10.1302/2046-3758.55.2000629.
PMID- 27190133
TI - Haemostasis with fibrin glue injection into the pericardial space for right
ventricular perforation caused by an iatrogenic procedural complication.
AB - An 89-year-old woman with severe aortic valve stenosis and bradycardia presented
with circulatory shock due to cardiac tamponade. We performed pericardiocentesis,
and then diagnosed right ventricular perforation by echocardiography with
microcavitation contrast medium just before inserting a drainage tube. We then
inserted the drainage tube in the appropriate position and withdrew blood-filled
fluid. The patient was haemodynamically stabilised, but haemorrhage from the
perforation site continued for a few days. We injected fibrin glue into the
pericardial space through the drainage tube and achieved haemostasis. Thus, we
avoided surgery to close the perforation in this high-risk patient. There was no
recurrence of haemorrhage. She subsequently had elective aortic valve replacement
at another hospital. No adhesions in the pericardial space were seen during
surgery.
PMID- 27190134
TI - Percutaneous coronary intervention in a case of anomalous single coronary artery.
AB - Anomalous origin of the right coronary artery is an uncommon entity with a
reported incidence of 0.26%. The anomalous origin is usually from the left sinus
of Valsalva. Anomalous origin of the right coronary artery (RCA) from the left
anterior descending (LAD) artery is a rare occurrence. Symptomatic patients with
associated significant coronary artery disease (CAD) may be treated with routine
interventions such as percutaneous coronary intervention or coronary artery
bypass surgery. We report a case of single coronary artery with severe proximal
LAD stenosis. The RCA had its origin from the mid LAD. Coronary intervention was
successfully carried out on the severe stenosis at the proximal LAD artery. These
cases are rare. We discuss accurate diagnosis and appropriate management in such
cases.
PMID- 27190135
TI - Cardiac metastatic melanoma.
PMID- 27190136
TI - Differential effects of NOX4 and NOX1 on immune cell-mediated inflammation in the
aortic sinus of diabetic ApoE-/- mice.
AB - Oxidative stress and inflammation are central mediators of atherosclerosis
particularly in the context of diabetes. The potential interactions between the
major producers of vascular reactive oxygen species (ROS), NADPH oxidase (NOX)
enzymes and immune-inflammatory processes remain to be fully elucidated. In the
present study we investigated the roles of the NADPH oxidase subunit isoforms,
NOX4 and NOX1, in immune cell activation and recruitment to the aortic sinus
atherosclerotic plaque in diabetic ApoE(-/-) mice. Plaque area analysis showed
that NOX4- and NOX1-derived ROS contribute to atherosclerosis in the aortic sinus
following 10 weeks of diabetes. Immunohistochemical staining of the plaques
revealed that NOX4-derived ROS regulate T-cell recruitment. In addition, NOX4
deficient mice showed a reduction in activated CD4(+) T-cells in the draining
lymph nodes of the aortic sinus coupled with reduced pro-inflammatory gene
expression in the aortic sinus. Conversely, NOX1-derived ROS appeared to play a
more important role in macrophage accumulation. These findings demonstrate
distinct roles for NOX4 and NOX1 in immune-inflammatory responses that drive
atherosclerosis in the aortic sinus of diabetic mice.
PMID- 27190137
TI - Genome-Wide Analysis of Polycistronic MicroRNAs in Cultivated and Wild Rice.
AB - MicroRNAs (miRNAs) are small noncoding RNAs that direct posttranscriptional gene
silencing in eukaryotes. They are frequently clustered in the genomes of animals
and can be independently transcribed or simultaneously transcribed into single
polycistronic transcripts. Only a few miRNA clusters have been described in
plants, and most of them are generated from independent transcriptional units.
Here, we used a combination of bioinformatic tools and experimental analyses to
discover new polycistronic miRNAs in rice. A genome-wide analysis of clustering
patterns of MIRNA loci in the rice genome was carried out using a criterion of 3
kb as the maximal distance between two miRNAs. This analysis revealed 28 loci
with the ability to form the typical hairpin structure of miRNA precursors in
which 2 or more mature miRNAs mapped along the same structure. RT-PCR provided
evidence for the polycistronic nature of seven miRNA precursors containing
homologous or nonhomologous miRNA species. Polycistronic miRNAs and candidate
polycistronic miRNAs are located across different rice chromosomes, except
chromosome 12, and resided in both duplicated and nonduplicated chromosomal
regions. Finally, most polycistronic and candidate polycistronic miRNAs showed a
pattern of conservation in the genome of rice species with an AA genome. The
diversity in the organization of MIR genes that are transcribed as polycistrons
suggests a versatile mechanism for the control of gene expression in different
biological processes and supports additional levels of complexity in miRNA
functioning in plants.
PMID- 27190139
TI - State of primary care sports and exercise medicine in Brazil.
PMID- 27190140
TI - Epidemiology of 3825 injuries sustained in six seasons of National Collegiate
Athletic Association men's and women's soccer (2009/2010-2014/2015).
AB - AIM: To describe the epidemiology of National Collegiate Athletic Association
(NCAA) men's and women's soccer injuries during the 2009/2010-2014/2015 academic
years. METHODS: This descriptive epidemiology study used NCAA Injury Surveillance
Program (NCAA-ISP) data during the 2009/2010-2014/2015 academic years, from 44
men's and 64 women's soccer programmes (104 and 167 team seasons of data,
respectively). Non-time-loss injuries were defined as resulting in <24 h lost
from sport. Injury counts, percentages and rates were calculated. Injury rate
ratios (RRs) and injury proportion ratios (IPRs) with 95% CIs compared rates and
distributions by sex. RESULTS: There were 1554 men's soccer and 2271 women's
soccer injuries with injury rates of 8.07/1000 athlete exposures (AE) and
8.44/1000AE, respectively. Injury rates for men and women did not differ in
competitions (17.53 vs 17.04/1000AE; RR=1.03; 95% CI 0.94 to 1.13) or practices
(5.47 vs 5.69/1000AE; RR=0.96; 95% CI 0.88 to 1.05). In total, 47.2% (n=733) of
men's soccer injuries and 47.5% (n=1079) of women's were non-time loss. Most
injuries occurred to the lower extremity and were diagnosed as sprains. Women had
higher concussion rates (0.59 vs 0.34/1000AE; RR=1.76; 95% CI 1.32 to 2.35) than
men. CONCLUSIONS: Non-time-loss injuries accounted for nearly half of the
injuries in men's and women's soccer. Sex differences were found in competition
injuries, specifically for concussion. Further study into the incidence,
treatment and outcome of non-time-loss injuries may identify a more accurate
burden of these injuries.
PMID- 27190138
TI - An Ancient Transkingdom Horizontal Transfer of Penelope-Like Retroelements from
Arthropods to Conifers.
AB - Comparative genomics analyses empowered by the wealth of sequenced genomes have
revealed numerous instances of horizontal DNA transfers between distantly related
species. In eukaryotes, repetitive DNA sequences known as transposable elements
(TEs) are especially prone to move across species boundaries. Such horizontal
transposon transfers, or HTTs, are relatively common within major eukaryotic
kingdoms, including animals, plants, and fungi, while rarely occurring across
these kingdoms. Here, we describe the first case of HTT from animals to plants,
involving TEs known as Penelope-like elements, or PLEs, a group of
retrotransposons closely related to eukaryotic telomerases. Using a combination
of in situ hybridization on chromosomes, polymerase chain reaction experiments,
and computational analyses we show that the predominant PLE lineage, EN(+)PLEs,
is highly diversified in loblolly pine and other conifers, but appears to be
absent in other gymnosperms. Phylogenetic analyses of both protein and DNA
sequences reveal that conifers EN(+)PLEs, or Dryads, form a monophyletic group
clustering within a clade of primarily arthropod elements. Additionally, no
EN(+)PLEs were detected in 1,928 genome assemblies from 1,029 nonmetazoan and
nonconifer genomes from 14 major eukaryotic lineages. These findings indicate
that Dryads emerged following an ancient horizontal transfer of EN(+)PLEs from
arthropods to a common ancestor of conifers approximately 340 Ma. This represents
one of the oldest known interspecific transmissions of TEs, and the most
conspicuous case of DNA transfer between animals and plants.
PMID- 27190141
TI - A sulfide:quinone oxidoreductase from Chlorobaculum tepidum displays unusual
kinetic properties.
AB - Sulfide:quinone oxidoreductase (SQR) is the primary sulfide-oxidizing enzyme
found in all three domains of life. Of the six phylogenetically distinct types of
SQR, four have representatives that have been biochemically characterized. The
genome of Chlorobaculum tepidum encodes three SQR homologs. One of these, encoded
by CT1087, is a type VI SQR that has been previously shown to be required for
growth at high sulfide concentrations and to be expressed in sulfide-dependent
manner. Therefore, CT1087 was hypothesized to be a high sulfide adapted SQR.
CT1087 was expressed in Escherichia coli with an N-terminal His-tag (CT1087NHis6)
and purified by Ni-NTA chromatography. CT1087NHis6 was active and contained FAD
as a strongly bound cofactor. The measured kinetic parameters for CT1087NHis6
indicate a low affinity for sulfide and a high enzymatic turnover rate consistent
with the hypothesis for its function inferred from genetic and expression data.
These are the first kinetic data for a type VI SQR and have implications for
structure-function analyses of all SQR's.
PMID- 27190142
TI - The global regulator CodY is required for the fitness of Bacillus cereus in
various laboratory media and certain beverages.
AB - The impact of gene mutations on the growth of the cells can be studied using pure
cultures. However, the importance of certain proteins and pathways can be also
examined via co-culturing wild type and its mutant derivative. Here, the relative
fitness of a mutant strain that lacks the global nitrogen regulator, CodY, was
examined in Bacillus cereus, a food poisoning Gram-positive bacterium. Fitness
measurements revealed that the DeltacodY strain was outcompeted when cocultured
with the wild-type ATCC 14579 under various rich laboratory medium, and also when
inoculated in certain beverages. In nutrient-poor minimal medium, the DeltacodY
mutant had comparable fitness to the wild-type strain. Interestingly, the
relative fitness of the DeltacodY strain was antagonistic when it was cultivated
in apple or orange juices due to unknown properties of these beverages,
highlighting the importance of chemical composition of the test medium during the
bacterial fitness measurements.
PMID- 27190143
TI - Biofilm formation-defective mutants in Pseudomonas putida.
AB - Out of 8000 candidates from a genetic screening for Pseudomonas putida KT2442
mutants showing defects in biofilm formation, 40 independent mutants with
diminished levels of biofilm were analyzed. Most of these mutants carried
insertions in genes of the lap cluster, whose products are responsible for
synthesis, export and degradation of the adhesin LapA. All mutants in this class
were strongly defective in biofilm formation. Mutants in the flagellar regulatory
genes fleQ and flhF showed similar defects to that of the lap mutants. On the
contrary, transposon insertions in the flagellar structural genes fliP and flgG,
that also impair flagellar motility, had a modest defect in biofilm formation. A
mutation in gacS, encoding the sensor element of the GacS/GacA two-component
system, also had a moderate effect on biofilm formation. Additional insertions
targeted genes involved in cell envelope function: PP3222, encoding the permease
element of an ABC-type transporter and tolB, encoding the periplasmic component
of the Tol-OprL system required for outer membrane stability. Our results
underscore the central role of LapA, suggest cross-regulation between motility
and adhesion functions and provide insights on the role of cell envelope
trafficking and maintenance for biofilm development in P. putida.
PMID- 27190144
TI - Transfer of mupirocin resistance from Staphylococcus haemolyticus clinical
strains to Staphylococcus aureus through conjugative and mobilizable plasmids.
AB - Coagulase-negative staphylococci are thought to act as reservoirs of antibiotic
resistance genes that can be transferred to Staphylococcus aureus, thus hindering
the combat of this bacterium. In this work, we analyzed the presence of plasmids
conferring resistance to the antibiotic mupirocin-widely used to treat and
prevent S. aureus infections in hospital environments-in nosocomial S.
haemolyticus strains. About 12% of the 75 strains tested were resistant to
mupirocin, and this phenotype was correlated with the presence of plasmids. These
plasmids were shown to be diverse, being either conjugative or mobilizable, and
capable of transferring mupirocin resistance to S. aureus Our findings reinforce
that S. haemolyticus, historically and mistakenly considered as a less important
pathogen, is a reservoir of resistance genes which can be transferred to other
bacteria, such as S. aureus, emphasizing the necessity of more effective
strategies to detect and combat this emergent opportunistic pathogen.
PMID- 27190145
TI - Purification, characterization and physiological significance of a chitinase from
the pilei of Coprinopsis cinerea fruiting bodies.
AB - We purified a chitinase from pilei extractions of Coprinopsis cinerea fruiting
bodies by ammonium sulfate precipitation and CM Sepharose cation exchange
chromatography. MALDI-TOF/TOF MS analysis characterized this purified chitinase
as a putative class V chitinase, ChiB1. ChiB1 hydrolyzed colloidal chitin and
chitosan, whereas it did not hydrolyze chitin powder. ChiB1 cleaved only pNP
(GlcNAc)2, rather than pNP-GlcNAc or pNP-(Glc-NAc)3, to release nitrophenol.
ChiB1 preferably and progressively released (GlcNAc)2 from (GlcNAc)6 and digested
(GlcNAc)6 to two molecules of (GlcNAc)3 in a small proportion, but did not split
(GlcNAc)2, so it is an exochitinase. ChiB1 has an optimum temperature range of 35
degrees C to 40 degrees C and an optimum pH of 5.0. ChiB1 exhibited Km and Vmax
values of 2.63 mg ml(-1) and 2.31 MUmol min(-1) mg protein(-1) for colloidal
chitin, respectively. The ChiB1 gene, along with another putative endochitinase
(class III chitinase gene), was expressed dominantly among eight predicted
chitinase genes in the genome, and its expression level increased with the
maturation of fruiting bodies. ChiB1 incubation released a large amount of
soluble beta-glucan fractions from alkali-insoluble cell wall fractions of C.
cinerea fruiting bodies, thereby it may promote the degradation of cell walls in
synergy with the beta-1,3-glucanases during pileus autolysis.
PMID- 27190146
TI - Control of Listeria monocytogenes biofilms on industrial surfaces by the
bacteriocin-producing Lactobacillus sakei CRL1862.
AB - The effect of the bacteriocin-producing Lactobacillus sakei CRL1862 and its
bacteriocin in the control of Listeria biofilm formation on industrial surfaces
at 10 degrees C was investigated. A screening among different Listeria species
was performed allowing selecting L. monocytogenes FBUNT for its use as a biofilm
producer on stainless steel (SS) and polytetrafluoroe-thylene (PTFE) surfaces.
Three conditions were simulated to evaluate the ability of the bacteriocinogenic
strain to displace, exclude and compete pathogen biofilm formation. Lactobacillus
sakei CRL1862 effectively inhibited biofilm formation by L. monocytogenes FBUNT
through the three assayed mechanisms, pathogen inhibition being more efficient on
PTFE than on SS surface. Moreover, co-culture of L. monocytogenes FBUNT with the
bacteriocin-producer displayed the highest efficacy reducing the pathogen by 5.54
+/- 0.12 and 4.52 +/- 0.01 on PTFE and SS, respectively. Industrially, the pre
treatment with L. sakei CRL1862 or its bacteriocin (exclusion) constitutes the
most realistic way to prevent pathogen biofilm settlement. The use of
bacteriocins and/or the bacteriocin-producer strain represents a safe and
environmentally-friendly sanitation method to mitigate post-processing food
contamination.
PMID- 27190148
TI - A new lactobacilli in vivo expression system for the production and delivery of
heterologous proteins at mucosal surfaces.
AB - Food-grade lactic acid bacteria, such as lactobacilli, represent good candidates
for the development of mucosal vectors. Indeed, they are generally recognized as
safe microorganisms and some strains display beneficial effects (probiotics). In
this study, we described a new lactobacilli in vivo expression (LIVE) system for
the production and delivery of therapeutic molecules at mucosal surfaces. The
versatility and functionality of this system was successfully validated in
several lactobacilli species; furthermore, we assessed in vivo LIVE system in two
different mouse models of human pathologies: (i) a model of therapy against
intestinal inflammation (inflammatory bowel diseases) and (ii) a model of
vaccination against dental caries. We demonstrated that Lactobacillus gasseri
expressing the anti-inflammatory cytokine IL-10 under LIVE system efficiently
delivered the recombinant protein at mucosal surfaces and display anti
inflammatory effects. In the vaccination model against caries, LIVE system
allowed the heterologous expression of Streptococcus mutans antigen GbpB by L.
gasseri, leading to a stimulation of the host immune response.
PMID- 27190147
TI - Twitching motility and cAMP levels: signal transduction through a single methyl
accepting chemotaxis protein.
AB - The Pseudomonas aeruginosa Chp chemosensory system regulates twitching motility,
intracellular adenosine 3('') 5(')-cyclic monophosphate (cAMP) levels and is
postulated to be involved in directional twitching towards
phosphatidylethanolamine (PE). Because PilJ is the only methyl-accepting
chemotaxis protein (MCP) identified in the Chp system, we determined the role of
PilJ in mediating signal transduction for the distinct outputs of this system.
Mutants that lack the periplasmic domain of PilJ (pilJDelta74-273) showed lower
levels of cAMP but retained directional twitching towards PE. While initial
studies revealed reduced twitching motility by PilJDelta74-273, this was due to
decreased cAMP levels. Our data illustrate the importance of the periplasmic
domain of PilJ in regulating cAMP. This is the first time a defined domain within
PilJ has been identified as having a distinct role in signal transduction.
PMID- 27190149
TI - Boric acid-dependent decrease in regulatory histone H3 acetylation is not
mutagenic in yeast.
AB - Candida albicans is a dimorphic yeast commonly found on human mucosal membranes
that switches from yeast to hyphal morphology in response to environmental
factors. The change to hyphal growth requires histone H3 modifications by the
yeast-specific histone acetyltransferase Rtt109. In addition to its role in
morphogenesis, Rtt109-dependent acetylation of histone H3 lysine residues 9 and
56 has regulatory functions during DNA replication and repair. Boric acid (BA) is
a broad-spectrum agent that specifically inhibits C. albicans hyphal growth,
locking the fungus in its harmless commensal yeast state. The present study
characterizes the effect of BA on C. albicans histone acetylation in respect to
specificity, time-course and significance. We demonstrate that sublethal
concentrations of BA reduce H3K9/H3K56 acetylation, both on a basal level and in
response to genotoxic stress. Acetylation at other selected histone sites were
not affected by BA. qRT-PCR expression analysis of the DNA repair gene Rad51
indicated no elevated level of genotoxic stress during BA exposure. A forward
mutation analysis demonstrated the BA does not increase spontaneous or induced
mutations. The findings suggest that DNA repair remains effective even when
histone H3 acetylation decreases and dispels the notion that BA treatment impairs
genome integrity in yeast.
PMID- 27190151
TI - Uptake and effect of rare earth elements on gene expression in Methylosinus
trichosporium OB3b.
AB - It is well known that Methylosinus trichosporium OB3b has two forms of methane
monooxygenase (MMO) responsible for the initial conversion of methane to
methanol, a cytoplasmic (soluble) methane monooxygenase and a membrane-associated
(particulate) methane monooxygenase, and that copper strongly regulates
expression of these alternative forms of MMO. More recently, it has been
discovered that M. trichosporium OB3b has multiple types of the methanol
dehydrogenase (MeDH), i.e. the Mxa-type MeDH (Mxa-MeDH) and Xox-type MeDH (Xox
MeDH), and the expression of these two forms is regulated by the availability of
the rare earth element (REE), cerium. Here, we extend these studies and show that
lanthanum, praseodymium, neodymium and samarium also regulate expression of
alternative forms of MeDH. The effect of these REEs on MeDH expression, however,
was only observed in the absence of copper. Further, a mutant of M. trichosporium
OB3b, where the Mxa-MeDH was knocked out, was able to grow in the presence of
lanthanum, praseodymium and neodymium, but was not able to grow in the presence
of samarium. Collectively, these data suggest that multiple levels of gene
regulation by metals exist in M. trichosporium OB3b, but that copper overrides
the effect of other metals by an as yet unknown mechanism.
PMID- 27190150
TI - Bioluminescence-based system for rapid detection of natural transformation.
AB - Horizontal gene transfer plays a significant role in bacterial evolution and has
major clinical importance. Thus, it is vital to understand the mechanisms and
kinetics of genetic transformations. Natural transformation is the driving
mechanism for horizontal gene transfer in diverse genera of bacteria. Our study
introduces a simple and rapid method for the investigation of natural
transformation. This highly sensitive system allows the detection of a
transformation event directly from a bacterial population without any separation
step or selection of cells. The system is based on the bacterial luciferase
operon from Photorhabdus luminescens The studied molecular tools consist of the
functional modules luxCDE and luxAB, which involve a replicative plasmid and an
integrative gene cassette. A well-established host for bacterial genetic
investigations, Acinetobacter baylyi ADP1, is used as the model bacterium. We
show that natural transformation followed by homologous recombination or plasmid
recircularization can be readily detected in both actively growing and static
biofilm-like cultures, including very rare transformation events. The system
allows the detection of natural transformation within 1 h of introducing sample
DNA into the culture. The introduced method provides a convenient means to study
the kinetics of natural transformation under variable conditions and
perturbations.
PMID- 27190152
TI - Identification of a multidrug efflux pump in Mycobacterium smegmatis.
AB - Cell wall impermeability and active efflux of drugs are among the primary reasons
for drug resistance in mycobacteria. Efflux pumps are tripartite membrane
localized transport proteins that expel drug molecules outside the cells. Several
of such efflux pumps are annotated in mycobacteria, but few have been
characterized, like MSMEG_2991, a putative efflux pump permease of Mycobacterium
smegmatis To substantiate this, we overexpressed MSMEG_2991 protein in
Escherichia coli 2443. Expression of MSMEG_2991 elevated the resistance towards
structurally unrelated groups of antibiotics. An active antibiotic efflux pump
nature of MSMEG_2991 was revealed by assessing the acquisition of ciprofloxacin
in the absence and presence of the efflux pump inhibitor, carbonyl cyanide m
chlorophenyl hydrazone, indicating the involvement of proton-motive force (pmf)
during the efflux activity. MSMEG_2991 expression elevated biofilm formation in
E. coli by 4-fold, keeping parity to some of the earlier reported efflux pumps.
In silico analysis suggested the presence of 12 transmembrane helices in
MSMEG_2991 resembling EmrD efflux pump of E. coli Based on in vivo and in silico
analyses, MSMEG_2991 may be designated as a pmf-mediated multidrug efflux pump
protein that expels diverse groups of antibiotics and might as well be involved
in the biofilm enhancement.
PMID- 27190153
TI - Low disease-causing threshold in a frog species susceptible to chytridiomycosis.
AB - A simple diagnosis of the presence or absence of an infection is an uninformative
metric when individuals differ considerably in their tolerance to different
infection loads or resistance to rates of disease progression. Models that
incorporate the relationship between the progression of the infection with the
potential alternate outcomes provide a far more powerful predictive tool than
diagnosis alone. The global decline of amphibians has been amplified by
Batrachochytrium dendrobatidis, a pathogen that can cause the fatal disease
chytridiomycosis. We measured the infection load and observed signs of disease in
Litoria aurea Receiver operating characteristic curves were used to quantify the
dissimilarity between the infection loads of L. aurea that showed signs
associated with chytridiomycosis and those that did not. Litoria aurea had a 78%
probability of developing chytridiomycosis past a threshold of 68 zoospore
equivalents (ZE) per swab and chytridiomycosis occurred within a variable range
of 0.5-490 ZE. Studies should incorporate a species-specific threshold as a
predictor of chytridiomycosis, rather than a binary diagnosis. Measures of
susceptibility to chytridiomycosis must account not only for the ability of B.
dendrobatidis to increase its abundance on the skin of amphibians but also to
determine how each species tolerates these infection loads.
PMID- 27190154
TI - Peer review: from recognition to improved practices.
AB - Scientific publishing has experienced profound changes in recent years, such as
the advent of open-access journals, the increasing use of preprint archives or
post-publication blogs, to name a few. One pillar still remains: peer review as a
key ingredient that, in most cases, contributes to clarity and quality, often
detecting errors and misinterpretations. Unfortunately, peer review is poorly
recognized and good reviewers are rather a 'rare avis'. Even worse, this
necessary task in science is generally overlooked in curricula and post-graduate
education. Some considerations should help us all to ameliorate greatly our
understanding and duties.
PMID- 27190155
TI - Cytotoxic damage of soybean agglutinin on intestinal epithelial cells of broiler
chicks: in vitro protection by Bifidobacterium infantis CRL1395.
AB - Plant lectins, which are proteins/glycoproteins present in a wide range of
vegetables, fruits, cereals and beans, are resistant to digestive enzymes and
food cooking temperatures. They bind reversibly to specific glycosidic residues
expressed on the membrane of intestinal epithelial cells (IEC) and cause anti
nutritional effects in humans and animals. Soybean lectin (SBA) has been detected
in poultry diets, and its ability to bind to the intestinal epithelium has been
reported. The development of new methods for removing SBA from feeds or to
prevent interaction with the intestinal mucosa is of interest. In this study, the
in vitro cytotoxicity of SBA on IEC of chicks was demonstrated for the first
time. The LD50, assessed after 2 h exposure of IEC to SBA, was 6.13 MUg mL(-1)
The ability of Bifidobacterium infantis CRL1395 to bind SBA on the bacterial
envelope was confirmed, and prevention of IEC cytotoxicity by lectin removal was
demonstrated. Safety of B. infantis CRL1395, resistance to gastrointestinal
stress and adhesion were also determined. It was concluded that the early
administration of B. infantis CRL1395 to chicks would effectively reduce the
toxicity of SBA. Besides, it would favour the colonization of the gut with a
beneficial microbiota.
PMID- 27190156
TI - Lichen-forming fungus Caloplaca flavoruscens inhibits transcription factors and
chromatin remodeling system in fungi.
AB - Lichen-forming fungi and extracts derived from them have been used as alternative
medicine sources for millennia and recently there has been a renewed interest in
their known bioactive properties for anticancer agents, cosmetics and
antibiotics. Although lichen-forming fungus-derived compounds are biologically
and commercially valuable, few studies have been performed to determine their
modes of action. This study used chemical-genetic and chemogenomic high
throughput analyses to gain insight into the modes of action of Caloplaca
flavoruscens extracts. High-throughput screening of 575 lichen extracts was
performed and 39 extracts were identified which inhibited yeast growth. A C.
flavoruscens extract was selected as a promising antifungal and was subjected to
genome-wide haploinsufficiency profiling and homozygous profiling assays. These
screens revealed that yeast deletion strains lacking Rsc8, Pro1 and Toa2 were
sensitive to three concentrations (IC25.5, IC25 and IC50, respectively) of C.
flavoruscens extract. Gene-enrichment analysis of the data showed that C.
flavoruscens extracts appear to perturb transcription and chromatin remodeling.
PMID- 27190157
TI - Impact of pnpR, a LysR-type regulator-encoding gene, on the cellular processes of
Pseudomonas putida DLL-E4.
AB - LysR-type transcriptional regulators (LTTRs) regulate various cellular processes
in bacteria. pnpR is an LTTR-encoding gene involved in the regulation of
hydroquinone (HQ) degradation, and its effects on the cellular processes of
Pseudomonas putida DLL-E4 were investigated at the physiological, biochemical and
molecular levels. Reverse transcription polymerase chain reaction revealed that
pnpR positively regulated its own expression and that of the pnpC1C2DECX1X2
operon; additionally, pnpR partially regulated the expression of pnpA when P.
putida was grown on para-nitrophenol (PNP) or HQ. Strains DLL-E4 and DLL
DeltapnpR exhibited similar cellular morphologies and growth rates. Transcriptome
analysis revealed that pnpR regulated the expression of genes in addition to
those involved in PNP degradation. A total of 20 genes were upregulated and 19
genes were downregulated by at least 2-fold in strain DLL-DeltapnpR relative to
strain DLL-E4. Bioinformatic analysis revealed putative PnpR-binding sites
located in the upstream regions of genes involved in PNP degradation, carbon
catabolite repression and other cellular processes. The utilization of L-aspartic
acid, L-histidine, L-pyroglutamic acid, L-serine, gamma-aminobutyric acid, D,L
lactic acid, D-saccharic acid, succinic acid and L-alaninamide was increased at
least 1.3-fold in strain DLL-DeltapnpR as shown by BIOLOG assays, indicating that
pnpR plays a potential negative regulation role in the utilization of carbon
sources.
PMID- 27190158
TI - Characterization of three positive regulators for tetramycin biosynthesis in
Streptomyces ahygroscopicus.
AB - Three putative regulatory genes, namely ttmRI, ttmRII and ttmRIII, which are
present in the tetramycin (ttm) biosynthetic gene cluster, were found in
Streptomyces ahygroscopicus Disruption of ttmRI, ttmRII or ttmRIII reduced
tetramycin production, and their complementation restored production to varying
degrees. Gene expression analysis of the wild-type (WT) and mutant strains
through reverse transcriptase-polymerase chain reaction (RT-PCR) of the ttm gene
cluster showed that the expression levels of most of the biosynthetic genes were
reduced in DeltattmRI, DeltattmRII and DeltattmRIII Electrophoretic mobility
shift assays demonstrated that TtmRI, TtmRII and TtmRIII bound the promoters of
several genes in the ttm gene cluster. This study found that these three proteins
are a group of positive regulators that activate the transcription of the ttm
gene cluster in S. ahygroscopicus In addition, DeltattmRII had a reduced degree
of grey pigmentation. Thus, TtmRII has a pleiotropic regulatory function in the
tetramycin biosynthetic pathway and in development.
PMID- 27190159
TI - Identification of essential arginine residues of Escherichia coli DedA/Tvp38
family membrane proteins YqjA and YghB.
AB - Escherichia coli DedA/Tvp38 family proteins YghB and YqjA are putative membrane
transporters with 62% amino acid identity and overlapping functions. An E. coli
strain (BC202) with nonpolar DeltayghB and DeltayqjA mutations displays cell
division defects and temperature sensitivity and is sensitive to antibiotics and
alkaline pH. In this study, we performed site-directed mutagenesis on conserved,
charged amino acids of YqjA and YghB. We discovered two conserved predicted
membrane-embedded arginines (R130 and R136) that are critical for function in
both proteins as defined by their ability to complement BC202 phenotypes, when
expressed from a plasmid. Lysine can substitute for arginine at position R130
indicating a charge dependence at this position, but could not substitute at
R136. In light of the established role that arginine plays in the translocation
mechanism of numerous membrane transporters, we hypothesize that these amino
acids play a role in the transport mechanism of these DedA/Tvp38 family proteins.
PMID- 27190160
TI - A new biotype of Fusarium oxysporum f. sp. lycopersici race 2 emerged by a
transposon-driven mutation of avirulence gene AVR1.
AB - Emergence of races in Fusarium oxysporum f. sp. lycopersici (Fol) is caused by
loss or mutation of at least one avirulence (AVR) gene. The product of AVR1 is a
small protein (Avr1) secreted by Fol in tomato xylem sap during infection. This
protein triggers Fol race 1 specific resistance (I) in tomato, indicating that
AVR1 is an AVR gene. Deletion of AVR1 in race 1 resulted in the emergence of race
2, and an additional mutation in AVR2 generated race 3. Previously, we reported a
new biotype of race 3, KoChi-1, in which AVR1 was truncated by a transposon
Hormin, which suggested a new route to evolution of races in Fol However, to date
no race 2 isolate carrying Hormin-truncated AVR1 has been reported. In this
report, we describe such isolates, represented by Chiba-5, in which Hormin
insertion occurred in AVR1 at a position different from that in KoChi-1. AVR1
truncation in both isolates resulted in production of defective Avr1 proteins.
Chiba-5 and KoChi-1 belong to different phylogenetic clades, A1 and A2,
respectively, suggesting that insertion of Hormin in AVR1 in Chiba-5 and KoChi-1
occurred as independent evolutionary events.
PMID- 27190161
TI - A new custom microarray for sRNA profiling in Escherichia coli.
AB - Bacterial small RNAs (sRNAs) play essential roles in the post-transcriptional
control of gene expression. To improve their detection by conventional
microarrays, we designed a custom microarray containing a group of probes
targeting known and some putative Escherichia coli sRNAs. To assess its potential
in detection of sRNAs, RNA profiling experiments were performed with total RNA
extracted from E. coli MG1655 cells exponentially grown in rich (Luria-Bertani)
and minimal (M9/glucose) media. We found that many sRNAs could yield reasonably
strong and statistically significant signals corresponding to nearly all sRNAs
annotated in the EcoCyc database. Besides differential expression of two sRNAs
(GcvB and RydB), expression of other sRNAs was less affected by the composition
of the growth media. Other examples of the differentially expressed sRNAs were
revealed by comparing gene expression of the wild-type strain and its isogenic
mutant lacking functional poly(A) polymerase I (pcnB). Further, northern blot
analysis was employed to validate these data and to assess the existence of new
putative sRNAs. Our results suggest that the use of custom microarrays with
improved capacities for detection of sRNAs can offer an attractive opportunity
for efficient gene expression profiling of sRNAs and their target mRNAs at the
whole transcriptome level.
PMID- 27190162
TI - OxyR-regulated catalase activity is critical for oxidative stress resistance,
nodulation and nitrogen fixation in Azorhizobium caulinodans.
AB - The legume-rhizobial interaction results in the formation of symbiotic nodules in
which rhizobia fix nitrogen. During the process of symbiosis, reactive oxygen
species (ROS) are generated. Thus, the response of rhizobia to ROS is important
for successful nodulation and nitrogen fixation. In this study, we investigated
how Azorhizobium caulinodans, a rhizobium that forms both root and stem nodules
on its host plant, regulates ROS resistance. We found that in-frame deletions of
a gene encoding the putative catalase-peroxidase katG or a gene encoding a LysR
family regulatory protein, oxyR, exhibited increased sensitivity to H2O2 We then
showed that OxyR positively regulated katG expression in an H2O2-independent
fashion. Furthermore, we found that deletion of katG or oxyR led to significant
reduction in the number of stem nodules and decrease of nitrogen fixation
capacities in symbiosis. Our results revealed that KatG and OxyR are not only
critical for antioxidant defense in vitro, but also important for nodule
formation and nitrogen fixation during interaction with plant hosts.
PMID- 27190163
TI - Plants of the fynbos biome harbour host species-specific bacterial communities.
AB - The fynbos biome in South Africa is globally recognised as a plant biodiversity
hotspot. However, very little is known about the bacterial communities associated
with fynbos plants, despite interactions between primary producers and bacteria
having an impact on the physiology of both partners and shaping ecosystem
diversity. This study reports on the structure, phylogenetic composition and
potential roles of the endophytic bacterial communities located in the stems of
three fynbos plants (Erepsia anceps, Phaenocoma prolifera and Leucadendron
laureolum). Using Illumina MiSeq 16S rRNA sequencing we found that different
subpopulations of Deinococcus-Thermus, Alphaproteobacteria, Acidobacteria and
Firmicutes dominated the endophytic bacterial communities. Alphaproteobacteria
and Actinobacteria were prevalent in P. prolifera, whereas Deinococcus-Thermus
dominated in L. laureolum, revealing species-specific host-bacteria associations.
Although a high degree of variability in the endophytic bacterial communities
within hosts was observed, we also detected a core microbiome across the stems of
the three plant species, which accounted for 72% of the sequences. Altogether, it
seems that both deterministic and stochastic processes shaped microbial
communities. Endophytic bacterial communities harboured putative plant growth
promoting bacteria, thus having the potential to influence host health and
growth.
PMID- 27190164
TI - Stx1 prophage excision in Escherichia coli strain PA20 confers strong curli and
biofilm formation by restoring native mlrA.
AB - Prophage insertions in Escherichia coli O157:H7 mlrA contribute to the low
expression of curli fimbriae and biofilm observed in many clinical isolates.
Varying levels of CsgD-dependent curli/biofilm expression are restored to strains
bearing prophage insertions in mlrA by mutation of regulatory genes affecting
csgD Our previous study identified strong biofilm- and curli-producing variants
in O157:H7 cultures that had lost the mlrA-imbedded prophage characteristic of
the parent population, suggesting prophage excision as a mechanism for restoring
biofilm properties. In this study, we compared genomic, transcriptomic and
phenotypic properties of parent strain PA20 (stx1, stx2) and its prophage-cured
variant, 20R2R (stx2), and confirmed the mechanism underlying the differences in
biofilm formation.
PMID- 27190165
TI - Characterization of LysPBC4, a novel Bacillus cereus-specific endolysin of
bacteriophage PBC4.
AB - Bacillus cereus is a spore-forming, Gram-positive bacterium and is a major food
borne pathogen. A B. cereus-specific bacteriophage PBC4 was isolated from the
soil of a stock farm, and its genome was analyzed. PBC4 belongs to the
Siphoviridae family and has a genome consisting of 80 647-bp-long double-stranded
DNA, including 123 genes and two tRNAs. LysPBC4, the endolysin of PBC4, has an
enzymatically active domain (EAD) on its N-terminal region and a putative cell
wall-binding domain (CBD) on its C-terminal region, respectively. Although the
phage PBC4 showed a very limited host range, LysPBC4 could lyse all of the B.
cereus strains tested. However, LysPBC4 did not kill other bacteria such as B.
subtilis or Listeria, indicating that the endolysin has specific lytic activity
against the B. cereus group species. Furthermore, LysPBC4_CBD fused with enhanced
green fluorescent protein (EGFP) could decorate limited strains of B. cereus
group, suggesting that the LysPBC4_CBD may be a promising material for specific
detection of B. cereus.
PMID- 27190167
TI - Bioreactors and in situ product recovery techniques for acetone-butanol-ethanol
fermentation.
AB - The microbial fermentation process is one of the sustainable and environment
friendly ways to produce 1-butanol and other bio-based chemicals. The success of
the fermentation process greatly relies on the choice of bioreactors and the
separation methods. In this review, the history and the performance of
bioreactors for the acetone-butanol-ethanol (ABE) fermentation is discussed. The
subject is then focused on in situ product recovery (ISPR) techniques,
particularly for the integrated extraction-gas stripping. The usefulness of this
promising hybrid ISPR device is acknowledged by its incorporation with batch, fed
batch and continuous processes to improve the performance of ABE fermentation.
PMID- 27190168
TI - Characterization of Bacillus cereus isolates from local dairy farms in China.
AB - Bacillus cereus is an important opportunistic foodborne pathogen. In the present
work, a total of 306 milk and environmental samples were collected from 10 local
dairy farms in Beijing, China. Of the 92 B. cereus-like isolates, 88 and 4
belonged to B. cereus and B. thuringiensis, respectively. The prevalence of B.
cereus isolates in bedding, feces, feed, liquid manure and raw milk was 93.3%,
78.9%, 41.2%, 100.0% and 9.8%, respectively. Three main toxin genes nhe, hbl and
ces were detected with rates of 100.0%, 78.3% and 1.1%, but no strain harbored
cytK1 The production of Nhe, Hbl and cereulide could be confirmed by specific
monoclonal antibodies-based enzyme immunoassays in 94.6%, 70.7% and 1.1% of all
isolates, respectively. Cytotoxicity tests were used to further corroborate the
results of genetic and protein-based assays; 91.3% of the isolates showed
cytotoxicity to Vero cells. All isolates were tested for antimicrobial resistance
against 17 antibiotics. All isolates were resistant to lincomycin, retapamulin,
tiamulin and valnemulin, while two strains were susceptible to ampicillin and
ceftiofur. A total of 16 isolated strains were resistant to tetracycline. Since
spores of B. cereus are not inactivated during manufacturing of most milk
products, contamination of milk with B. cereus on the farm level may represent a
potential hazard, particularly with respect to emetic toxin-producing strains.
PMID- 27190169
TI - Dopamine Reuptake Inhibitors in Parkinson's Disease: A Review of Nonhuman Primate
Studies and Clinical Trials.
AB - Striatal dopamine deficiency is the core feature of the pathology of Parkinson's
disease (PD), and dopamine replacement with l-3,4-dihydroxyphenylalanine (l-DOPA)
is the mainstay of PD treatment. Unfortunately, chronic l-DOPA administration is
marred by the emergence of dyskinesia and wearing-off. Alternatives to l-DOPA for
alleviation of parkinsonism are of interest, although none can match the efficacy
of l-DOPA to date. Catechol-O-methyltransferase and monoamine oxidase inhibitors
are currently used to alleviate wearing-off, but they do not increase "on-time"
without exacerbating dyskinesia. Alternate approaches to dopamine replacement in
parkinsonism generally (and to wearing-off and dyskinesia, specifically) are
therefore urgently needed. Inasmuch as they increase synaptic dopamine levels,
dopamine transporter (DAT) inhibitors, whether they are selective or have actions
on noradrenaline or serotonin transporters, theoretically represent an attractive
way to alleviate parkinsonism per se and potentially enhance l-DOPA
antiparkinsonian action (provided that sufficient dopamine terminals remain
within the striatum). Several nonhuman primate studies and clinical trials have
been performed to evaluate the potential of DAT inhibitors for PD. In this
article, we review nonhuman primate studies and clinical trials, we summarize the
current knowledge of DAT inhibitors in PD, and we propose a hypothesis as to how
tailoring the selectivity of DAT inhibitors might maximize the benefits of DAT
inhibition in PD.
PMID- 27190166
TI - Comparison of inferred relatedness based on multilocus variable-number tandem
repeat analysis and whole genome sequencing of Vibrio cholerae O1.
AB - Vibrio cholerae causes cholera, a severe diarrheal disease. Understanding the
local genetic diversity and transmission of V. cholerae will improve our ability
to control cholera. Vibrio cholerae isolates clustered in genetically related
groups (clonal complexes, CC) by multilocus variable tandem-repeat analysis
(MLVA) were compared by whole genome sequencing (WGS). Isolates in CC1 had been
isolated from two geographical locations. Isolates in a second genetically
distinct group, CC2, were isolated only at one location. Using WGS, CC1 isolates
from both locations revealed, on average, 43.8 nucleotide differences, while
those strains comprising CC2 averaged 19.7 differences. Strains from both MLVA
CCs had an average difference of 106.6. Thus, isolates comprising CC1 were more
closely related (P < 10(-6)) to each other than to isolates in CC2. Within a MLVA
CC, after removing all paralogs, alternative alleles were found in all possible
combinations on separate chromosomes indicative of recombination within the core
genome. Including recombination did not affect the distinctiveness of the MLVA
CCs when measured by WGS. We found that WGS generally reflected the same genetic
relatedness of isolates as MLVA, indicating that isolates from the same MLVA-CC
shared a more recent common ancestor than isolates from the same location that
clustered in a distinct MLVA-CC.
PMID- 27190171
TI - Correction to "Combined Antiproliferative Effects of the Aminoalkylindole
WIN55,212-2 and Radiation in Breast Cancer Cells".
PMID- 27190170
TI - Analysis of beta-Subunit-dependent GABAA Receptor Modulation and Behavioral
Effects of Valerenic Acid Derivatives.
AB - Valerenic acid (VA)-a beta2/3-selective GABA type A (GABAA) receptor modulator
displays anxiolytic and anticonvulsive effects in mice devoid of sedation, making
VA an interesting drug candidate. Here we analyzed beta-subunit-dependent
enhancement of GABA-induced chloride currents (IGABA) by a library of VA
derivatives and studied their effects on pentylenetetrazole (PTZ)-induced seizure
threshold and locomotion. Compound-induced IGABA enhancement was determined in
oocytes expressing alpha1beta1gamma2S, alpha1beta2gamma2S, or alpha1beta3gamma2S
receptors. Effects on seizure threshold and locomotion were studied using
C57BL/6N mice and compared with saline-treated controls. beta2/3-selective VA
derivatives such as VA-amide (VA-A) modulating alpha1beta3gamma2S (VA-A: Emax =
972 +/- 69%, n = 6, P < 0.05) and alpha1beta2gamma2S receptors (Emax = 1119 +/-
72%, n = 6, P < 0.05) more efficaciously than VA (alpha1beta3gamma2S: VA: Emax =
632 +/- 88%, n = 9 versus alpha1beta2gamma2S: VA: Emax = 721 +/- 68%, n = 6)
displayed significantly more pronounced seizure threshold elevation than VA
(saline control: 40.4 +/- 1.4 mg/kg PTZ versus VA 10 mg/kg: 49.0 +/- 1.8 mg/kg
PTZ versus VA-A 3 mg/kg: 57.9 +/- 1.9 mg/kg PTZ, P < 0.05). Similarly, VA's
methylamide (VA-MA) enhancing IGABA through beta3-containing receptors more
efficaciously than VA (Emax = 1043 +/- 57%, P < 0.01, n = 6) displayed stronger
anticonvulsive effects. Increased potency of IGABA enhancement and anticonvulsive
effects at lower doses compared with VA were observed for VA-tetrazole
(alpha1beta3gamma2S: VA-TET: EC50 = 6.0 +/- 1.0 MUM, P < 0.05; VA-TET: 0.3 mg/kg:
47.3 +/- 0.5 mg/kg PTZ versus VA: 10 mg/kg: 49.0 +/- 1.8 mg/kg PTZ, P < 0.05). At
higher doses (>=10 mg/kg), VA-A, VA-MA, and VA-TET reduced locomotion. In
contrast, unselective VA derivatives induced anticonvulsive effects only at high
doses (30 mg/kg) or did not display any behavioral effects. Our data indicate
that the beta2/3-selective compounds VA-A, VA-MA, and VA-TET induce
anticonvulsive effects at low doses (<=10 mg/kg), whereas impairment of
locomotion was observed at doses >=10 mg/kg.
PMID- 27190174
TI - CardioPulse Articles.
PMID- 27190173
TI - Syncope and sudden cardiac death: some answers to clinical challenges.
PMID- 27190172
TI - Impact of a Healthcare Provider Educational Intervention on Frequency of
Clostridium difficile Polymerase Chain Reaction Testing in Children: A Segmented
Regression Analysis.
AB - Background.: Although Clostridium difficile infections (CDIs) are increasingly
diagnosed in children, many children diagnosed with CDI lack classic risk
factors. Frequent use of highly sensitive tcdB polymerase chain reaction (PCR)
testing in low-risk patients leads to CDI misdiagnosis and unnecessary CDI
antibiotic use in children with C difficile carriage. Methods.: For this quasi
experimental study, we developed and implemented an educational intervention (EI)
to inform healthcare providers (HCPs) about tcdB PCR test limitations. We
provided HCP didactic education and built an electronic notification into the
tcdB PCR test order that describes scenarios in which carriage is more likely
than CDI. Segmented regression analysis assessed changes in level (ie, overall
rates) and trend of C difficile testing rate ([TR] number of tests performed per
1000 patient encounters) and test positivity rate ([PR] number of positive tests
per 1000 patient encounters) between the pre- (August 2009-August 2013) and
postintervention (February 2014-July 2015) periods. Results.: Hospital-wide,
absolute TR reduction was 0.71 (P[level] = .0067; P[trend] = .0042) and absolute
PR reduction was 0.14 (P[level] = .22; P[trend] = .018). In the outpatient
setting, absolute TR reduction was 0.30 (P[level] = .0015; P[trend] < .001) and
absolute PR reduction was 0.09 (P[level] = .0069; P[trend] = .046). The incidence
density of healthcare facility-associated CDI did not significantly change after
the EI. The EI was associated with avoidance of 574 tests and 113 positive tests
(and subsequent antibiotic courses) during the postintervention period, which
saved approximately $250 000 in patient charges related to CDI testing and
treatment. Conclusions.: Healthcare provider education can cost-effectively
reduce the frequency of C difficile testing and CDI misdiagnosis by improving
test utilization among low-risk children.
PMID- 27190175
TI - STING-Dependent 2'-5' Oligoadenylate Synthetase-Like Production Is Required for
Intracellular Mycobacterium leprae Survival.
AB - Cytosolic detection of nucleic acids elicits a type I interferon (IFN) response
and plays a critical role in host defense against intracellular pathogens.
Herein, a global gene expression profile of Mycobacterium leprae-infected primary
human Schwann cells identified the genes differentially expressed in the type I
IFN pathway. Among them, the gene encoding 2'-5' oligoadenylate synthetase-like
(OASL) underwent the greatest upregulation and was also shown to be upregulated
in M. leprae-infected human macrophage cell lineages, primary monocytes, and skin
lesion specimens from patients with a disseminated form of leprosy. OASL knock
down was associated with decreased viability of M. leprae that was concomitant
with upregulation of either antimicrobial peptide expression or autophagy levels.
Downregulation of MCP-1/CCL2 release was also observed during OASL knock down. M.
leprae-mediated OASL expression was dependent on cytosolic DNA sensing mediated
by stimulator of IFN genes signaling. The addition of M. leprae DNA enhanced
nonpathogenic Mycobacterium bovis bacillus Calmette-Guerin intracellular
survival, downregulated antimicrobial peptide expression, and increased MCP
1/CCL2 secretion. Thus, our data uncover a promycobacterial role for OASL during
M. leprae infection that directs the host immune response toward a niche that
permits survival of the pathogen.
PMID- 27190177
TI - Saposin-like Proteins, a Multigene Family of Schistosoma Species, are Biomarkers
for the Immunodiagnosis of Schistosomiasis Japonica.
AB - BACKGROUND: One major obstacle to schistosomiasis prevention and control is the
lack of accurate and sensitive diagnostic approaches, which are essential for
planning, targeting, and evaluating disease control efforts. METHODS: Based on
bioinformatics analysis, we identified a multigene family of saposin-like protein
(SAPLP) in the schistosome genomes. Schistosoma japonicum SAPLPs (SjSAPLPs),
including recently reported promising biomarker SjSP-13, were systematically and
comparatively assessed as immunodiagnostic antigens for schistosomiasis japonica.
RESULTS: Two novel antigens (SjSAPLP4 and SjSAPLP5) could specifically react to
serum samples from both S. japonicum-infected laboratory animals and patients.
The sensitivities of SjSAPLP4, SjSAPLP5, and SjSP-13 for immunodiagnosis were 98%
(95% confidence interval, 88.0%-99.9%), 96% (85.1%-99.3%), and 88% (75.0%-95.0%),
respectively, and 100% (91.1%-100%) specificity was observed for the 3 antigens
with enzyme-linked immunosorbent assay; there was no cross-reaction with
clonorchiosis (0 of 19 patients), echinococcosis (0 of 20 patients), or
trichinellosis (0 of 18 patients) for the 3 antigens. Antibodies to the 3
antigens could be detected in the serum samples of rabbits infected with 1000
cercariae as early as 3-4 weeks after infection. CONCLUSIONS: These results
suggest that SjSAPLP4 and SjSAPLP5 could serve as novel biomarkers for the
immunodiagnosis of schistosomiasis japonica, which will further improve
diagnostic sensitivity and specificity.
PMID- 27190176
TI - Enhanced Genetic Characterization of Influenza A(H3N2) Viruses and Vaccine
Effectiveness by Genetic Group, 2014-2015.
AB - BACKGROUND: During the 2014-2015 US influenza season, expanded genetic
characterization of circulating influenza A(H3N2) viruses was used to assess the
impact of the genetic variability of influenza A(H3N2) viruses on influenza
vaccine effectiveness (VE). METHODS: A novel pyrosequencing assay was used to
determine genetic group, based on hemagglutinin (HA) gene sequences, of influenza
A(H3N2) viruses from patients enrolled at US Influenza Vaccine Effectiveness
Network sites. VE was estimated using a test-negative design comparing
vaccination among patients infected with influenza A(H3N2) viruses and uninfected
patients. RESULTS: Among 9710 enrollees, 1868 (19%) tested positive for influenza
A(H3N2) virus; genetic characterization of 1397 viruses showed that 1134 (81%)
belonged to 1 HA genetic group (3C.2a) of antigenically drifted influenza A(H3N2)
viruses. Effectiveness of 2014-2015 influenza vaccination varied by influenza
A(H3N2) virus genetic group from 1% (95% confidence interval [CI], -14% to 14%)
against illness caused by antigenically drifted influenza A(H3N2) virus group
3C.2a viruses versus 44% (95% CI, 16%-63%) against illness caused by vaccine-like
influenza A(H3N2) virus group 3C.3b viruses. CONCLUSIONS: Effectiveness of 2014
2015 influenza vaccination varied by genetic group of influenza A(H3N2) virus.
Changes in HA genes related to antigenic drift were associated with reduced VE.
PMID- 27190178
TI - Universal Mass Vaccination Against Rotavirus: Indirect Effects on Rotavirus
Infections in Neonates and Unvaccinated Young Infants Not Eligible for
Vaccination.
AB - BACKGROUND: Rotavirus (RV)-associated infections account for high numbers of
hospitalizations in neonates and young infants. Universal mass vaccination (UMV)
has been shown to prevent the burden of disease in vaccinated children. METHODS:
The present study investigated the long-term effects of UMV on RV-associated
hospitalizations in children with particular focus on neonates and young infants
(<=42 days old) not eligible for vaccination. Ten years of Austrian surveillance
data were compared, including 10 960 laboratory-confirmed RV cases before
(prevaccination period [PreVP]) and after (postvaccination period [PostVP])
introduction of UMV. RESULTS: A postvaccination decrease in hospitalized
community-acquired RV infections by 89.3% was seen in all age groups, including
unvaccinated neonates and young infants. Of the latter, 27.6% had a nosocomial RV
infection in PreVP, and 19.3% in PostVP. Overall, the proportion of nosocomial RV
infections increased from 5.5% in PreVP to 13.0% in PostVP. Breakthrough
infections, usually after incomplete RV vaccination, could be identified in 6.2%
of patients. CONCLUSIONS: Unvaccinated neonates and infants <=42 days old may
indirectly benefit from UMV by reduction of RV infections. Breakthrough
infections underline the importance of early and complete protection by the
vaccine. In older patients, heightened awareness of nosocomial RV infections is
warranted. Identification of RV reservoirs is also needed.
PMID- 27190180
TI - VAR2CSA Domain-Specific Analysis of Naturally Acquired Functional Antibodies to
Plasmodium falciparum Placental Malaria.
AB - BACKGROUND: Placental malaria is caused by Plasmodium falciparum-infected
erythrocytes (IEs) that surface-express VAR2CSA and bind chondroitin sulfate A.
The inflammatory response to placenta-sequestered parasites is associated with
poor pregnancy outcomes, and protection may be mediated in part by VAR2CSA
antibodies that block placental IE adhesion. METHODS: In this study, we used a
new approach to assess VAR2CSA domains for functional epitopes recognized by
naturally acquired antibodies. Antigen-specific immunoglobulin (Ig) G targeting
Duffy binding-like (DBL) domains from different alleles were sequentially
purified from plasma pooled from multigravid women and then characterized using
enzyme-linked immunosorbent assay, flow cytometry, and antiadhesion assays.
RESULTS: Different DBL domain-specific IgGs could react to homologous as well as
heterologous antigens and parasites, suggesting that conserved epitopes are
shared between allelic variants. Homologous blocking of IE binding was observed
with ID1-DBL2-ID2a-, DBL4-, and DBL5-specific IgG (range, 42%-75%), whereas
partial cross-inhibition activity was observed with purified IgG specific to ID1
DBL2-ID2a and DBL4 antigens. Plasma retained broadly neutralizing activity after
complete depletion of these VAR2CSA specificities. CONCLUSIONS: Broadly
neutralizing antibodies of multigravidae are not depleted on VAR2CSA recombinant
antigens, and hence development of VAR2CSA vaccines based on a single construct
and variant might induce antibodies with limited broadly neutralizing activity.
PMID- 27190181
TI - Immunologic Markers of Protection in Leishmania (Viannia) braziliensis Infection:
A 5-Year Cohort Study.
AB - BACKGROUND: The control of Leishmania braziliensis by individuals with
subclinical infection (SC) are unknown. METHODS: A cohort of 308 household
contacts (HCs) of patients with cutaneous leishmaniasis (CL) was established in
2010 in an endemic area and followed up for 5 years. Whole-blood cultures
stimulated with soluble Leishmania antigen and a Leishmania skin test (LST) were
performed in years 0, 2, and 4. The identification of the lymphocyte subsets
secreting interferon (IFN) gamma and the ability of monocytes to control
Leishmania were determined. RESULTS: During follow-up, 118 subjects (38.3%) had
evidence of L. braziliensis infection. Of the HCs, CL was documented in 45
(14.6%), 101 (32.8%) had SC infection, and 162 (52.6%) did not have evidence of
exposure to L. braziliensis The ratio of infection to disease was 3.2:1. IFN
gamma production, mainly by natural killer cells, was associated with protection,
and a positive LST result did not prevent development of disease. Moreover,
monocytes from subjects with SC infection were less permissive to parasite
penetration and had a greater ability to control L. braziliensis than cells from
patients with CL. CONCLUSIONS: Protection against CL was associated with IFN
gamma production, negative LST results, impaired ability of Leishmania to
penetrate monocytes, and increased ability to control Leishmania growth.
PMID- 27190182
TI - Dually Active HIV/HBV Antiretrovirals as Protection Against Incident Hepatitis B
Infections: Potential for Prophylaxis.
AB - BACKGROUND: Hepatitis B virus (HBV) has a detrimental effect on human
immunodeficiency virus (HIV) natural course, and HBV vaccination is less
effective in the HIV infected. We examine the protective effect of dually active
antiretroviral therapy (DAART) for HIV/HBV (tenofovir, lamivudine, and
emtricitabine) in a large cohort encompassing heterosexuals, men who have sex
with men, and intravenous drug users who are HIV infected yet susceptible to HBV,
with comprehensive follow-up data about risky behavior and immunological
profiles. METHODS: We defined an incident HBV infection as the presence of any of
HBV serological markers (hepatitis B surface antigen, anti-hepatitis B core
antibodies, or HBV DNA) after a negative baseline test result for anti-hepatitis
B core antibodies. Patients with positive anti-hepatitis B surface antigen
serology were excluded. Cox proportional hazards models were used, with an
incident case of HBV infection as the outcome variable. RESULTS: We analyzed 1716
eligible patients from the Swiss HIV Cohort Study with 177 incident HBV cases.
DAART was negatively associated with incident HBV infection (hazard ratio [HR],
0.4; 95% confidence interval [CI], .2-.6). This protective association was robust
to adjustment (HR, 0.3; 95% CI, .2-.5) for condomless sex, square-root
transformed CD4 cell count, drug use, and patient demographics. Condomless sex
(HR, 1.9; 95% CI, 1.4-2.6), being a man who has sex with men (2.7; 1.7-4.2), and
being an intravenous drug user (3.8; 2.4-6.1) were all associated with a higher
hazard of contracting HBV. CONCLUSIONS: Our study suggests that DAART,
independently of CD4 cell count and risky behavior, has a potentially strong
public health impact, including pre-exposure prophylaxis of HBV coinfection in
the HIV infected.
PMID- 27190183
TI - Robust Cytokine and Chemokine Response in Nasopharyngeal Secretions: Association
With Decreased Severity in Children With Physician Diagnosed Bronchiolitis.
AB - BACKGROUND: Bronchiolitis causes substantial disease in young children. Previous
findings had indicated that a robust innate immune response was not associated
with a poor clinical outcome in bronchiolitis. This study tested the hypothesis
that increased concentrations of cytokines and chemokines in nasal wash specimens
were associated with decreased severity in bronchiolitis. METHODS: Children <24
months old who presented to the emergency department with signs and symptoms of
bronchiolitis were eligible for enrollment. Nasal wash specimens were analyzed
for viral pathogens and cytokine/chemokine concentrations. These results were
evaluated with regard to disposition. RESULTS: One hundred eleven children with
bronchiolitis were enrolled. A viral pathogen was identified in 91.9% of patients
(respiratory syncytial virus in 51.4%, human rhinovirus in 11.7%). Higher levels
of cytokines and chemokines (interferon [IFN] gamma; interleukin [IL] 4, 15, and
17; CXCL10; and eotaxin) were significantly associated with a decreased risk of
hospitalization. IL-17, IL-4, IFN-gamma, and IFN-gamma-inducible protein 10
(CXCL10 or IP-10) remained statistically significant in the multivariate
analyses. CONCLUSIONS: The cytokines and chemokines significantly associated with
decreased bronchiolitis severity are classified in a wide range of functional
groups (T-helper 1 and 2, regulatory, and chemoattractant). The involvement of
these functional groups suggest that a broadly overlapping cytokine/chemokine
response is required for control of virus-mediated respiratory disease in young
children.
PMID- 27190179
TI - Baseline Inflammatory Biomarkers Identify Subgroups of HIV-Infected African
Children With Differing Responses to Antiretroviral Therapy.
AB - BACKGROUND: Identifying determinants of morbidity and mortality may help target
future interventions for human immunodeficiency virus (HIV)-infected children.
METHODS: CD4(+) T-cell count, HIV viral load, and levels of biomarkers (C
reactive protein, tumor necrosis factor alpha [TNF-alpha], interleukin 6 [IL-6],
and soluble CD14) and interleukin 7 were measured at antiretroviral therapy (ART)
initiation in the ARROW trial (case-cohort design). Cases were individuals who
died, had new or recurrent World Health Organization clinical stage 4 events, or
had poor immunological response to ART. RESULTS: There were 115 cases (54 died,
45 had World Health Organization clinical stage 4 events, and 49 had poor
immunological response) and 485 controls. Before ART initiation, the median ages
of cases and controls were 8.2 years (interquartile range [IQR], 4.4-11.4 years)
and 5.8 years (IQR, 2.3-9.3 years), respectively, and the median percentages of
lymphocytes expressing CD4 were 4% (IQR, 1%-9%) and 13% (IQR, 8%-18%),
respectively. In multivariable logistic regression, cases had lower age
associated CD4(+) T-cell count ratio (calculated as the ratio of the subject's
CD4(+) T-cell count to the count expected in healthy individuals of the same age;
P < .0001) and higher IL-6 level (P = .002) than controls. Clustering biomarkers
and age-associated CD4(+) and CD8(+) T-cell count ratios identified 4 groups of
children. Group 1 had the highest frequency of cases (41% cases; 16% died) and
profound immunosuppression; group 2 had similar mortality (23% cases; 15% died),
but children were younger, with less profound immunosuppression and high levels
of inflammatory biomarkers and malnutrition; group 3 comprised young children
with moderate immunosuppression, high TNF-alpha levels, and high age-associated
CD8(+) T-cell count ratios but lower frequencies of events (12% cases; 7% died);
and group 4 comprised older children with low inflammatory biomarker levels,
lower HIV viral loads, and good clinical outcomes (11% cases; 5% died).
CONCLUSIONS: While immunosuppression is the major determinant of poor outcomes
during ART, baseline inflammation is an additional important factor, identifying
a subgroup of young children with similar mortality. Antiinflammatory
interventions may help improve outcomes.
PMID- 27190184
TI - Streptococcus oralis and Candida albicans Synergistically Activate MU-Calpain to
Degrade E-cadherin From Oral Epithelial Junctions.
AB - Streptococcus oralis forms robust mucosal biofilms with Candida albicans that
have increased pathogenic potential. In this study, using oral epithelial
cultures, organotypic oral mucosal constructs, and a mouse model of oral
infection, we demonstrated that S. oralis augmented C. albicans invasion through
epithelial junctions. C. albicans and S. oralis decreased epithelial E-cadherin
levels by synergistically increasing u-calpain, a proteolytic enzyme that targets
E-cadherin. In the mouse coinfection model this was accompanied by increased
fungal kidney dissemination. Coinfection with a secreted aspartyl protease (sap)
mutant sap2456 and S. oralis increased MU-calpain and triggered mucosal invasion
and systemic dissemination, suggesting that fungal protease activity is not
required for invasion during coinfection. We conclude that C. albicans and S.
oralis synergize to activate host enzymes that cleave epithelial junction
proteins and increase fungal invasion.
PMID- 27190185
TI - Genital Anaerobic Bacterial Overgrowth and the PrePex Male Circumcision Device,
Rakai, Uganda.
AB - The PrePex circumcision device causes ischemic necrosis of the foreskin, raising
concerns of anaerobic overgrowth. We compared the subpreputial microbiome of 2
men 7 days after PrePex device placement to that of 145 uncircumcised men in
Rakai, Uganda, using 16S ribosomal (rRNA) RNA gene-based quantitative polymerase
chain reaction analysis and sequencing. PrePex users had higher absolute
abundance of all bacteria than uncircumcised men (P = .001), largely due to
increased numbers of the following anaerobes: Porphyromonas (5.2 * 10(7) 16S rRNA
gene copies/swab in the PrePex group and 1.1 * 10(6) 16S rRNA gene copies/swab in
uncircumcised men; P = .002), Peptoniphilus (1.0 * 10(7) and 1.8 * 10(6) 16S rRNA
gene copies/swab, respectively; P < .05), Anaerococcus (1.0 * 10(7) and 1.1 *
10(6) 16S rRNA gene copies/swab, respectively; P < .001), and Campylobacter
ureolyticus (1.7 * 10(5) and 1.6 * 10(7)16S rRNA gene copies/swab, respectively;
P < .001). The PrePex-associated increase in anaerobes may account for unpleasant
odor and a possible heightened risk of tetanus.
PMID- 27190186
TI - Therapeutic Effect of Recombinant Mutated Interleukin 11 in the Mouse Model of
Tuberculosis.
AB - Earlier we demonstrated that blocking of interleukin 11 (IL-11) by systemic
administration of anti-IL-11 antibodies attenuates severity of Mycobacterium
tuberculosis infection in mice. The substitution W147A in the IL-11 molecule
creates the form of cytokine capable to disrupt gp130/IL11R signaling complex
formation, thus serving as a high-affinity specific antagonist of IL-11-mediated
signaling. We hypothesized that this mutant form of IL-11 may serve as an
effective tool for inhibition of native IL-11 activity in vivo. We established
the recombinant W147A mutant form of IL-11 in an optimized Escherichia coli
expression system and administered it as the aerosol in the lungs of M.
tuberculosis-susceptible I/St mice infected with M. tuberculosis Our results show
that this therapeutic approach markedly inhibits tuberculous inflammation in
lungs, increases the survival time of infected animals, and decreases expression
of key inflammatory factors at the RNA and protein levels. These findings are a
step toward clinical evaluation of the anti-IL-11 therapy for tuberculosis.
PMID- 27190188
TI - T-705 as a Potential Therapeutic Agent for Rabies.
PMID- 27190187
TI - Bioaerosol Sampling in Modern Agriculture: A Novel Approach for Emerging Pathogen
Surveillance?
AB - BACKGROUND: Modern agricultural practices create environmental conditions
conducive to the emergence of novel pathogens. Current surveillance efforts to
assess the burden of emerging pathogens in animal production facilities in China
are sparse. In Guangdong Province pig farms, we compared bioaerosol surveillance
for influenza A virus to surveillance in oral pig secretions and environmental
swab specimens. METHODS: During the 2014 summer and fall/winter seasons, we used
3 sampling techniques to study 5 swine farms weekly for influenza A virus.
Samples were molecularly tested for influenza A virus, and positive specimens
were further characterized with culture. Risk factors for influenza A virus
positivity for each sample type were assessed. RESULTS: Seventy-one of 354
samples (20.1%) were positive for influenza A virus RNA by real-time reverse
transcription polymerase chain reaction analysis. Influenza A virus positivity in
bioaerosol samples was a statistically significant predictor for influenza A
virus positivity in pig oral secretion and environmental swab samples.
Temperature of <20 degrees C was a significant predictor of influenza A virus
positivity in bioaerosol samples. DISCUSSIONS: Climatic factors and routine
animal husbandry practices may increase the risk of human exposure to aerosolized
influenza A viruses in swine farms. Data suggest that bioaerosol sampling in pig
barns may be a noninvasive and efficient means to conduct surveillance for novel
influenza viruses.
PMID- 27190190
TI - Reply to Virojanapirom et al.
PMID- 27190189
TI - A High-Resolution Look at Influenza Virus Antigenic Drift.
PMID- 27190192
TI - Adaptation Helps Fish Thrive in Toxic Environments.
PMID- 27190191
TI - Genetic Evolution of a Helicobacter pylori Acid-Sensing Histidine Kinase and
Gastric Disease.
AB - Helicobacter pylori is the strongest risk factor for gastric adenocarcinoma,
which develops within a hypochlorhydric environment. We sequentially isolated H.
pylori (strain J99) from a patient who developed corpus-predominant gastritis and
hypochlorhydia over a 6-year interval. Archival J99 survived significantly better
under acidic conditions than recent J99 strains. H. pylori arsRS encodes a 2
component system critical for stress responses; recent J99 isolates harbored 2
nonsynonymous arsS mutations, and arsS inactivation abolished acid survival. In
vivo, acid-resistant archival, but not recent J99, successfully colonized high
acid-secreting rodents. Thus, genetic evolution of arsS may influence progression
to hypochlorhydia and gastric cancer.
PMID- 27190193
TI - When Silent Mutations Provide Evolutionary Advantages.
PMID- 27190199
TI - Three-dimensional computed tomography imaging of the mitral valve with huge
vegetation.
PMID- 27190198
TI - Moderate hypothermia >=24 and <=28 degrees C with hypothermic circulatory arrest
for proximal aortic operations in patients with previous cardiac surgery.
AB - OBJECTIVES: To determine whether, in patients with previous cardiac operations,
moderate hypothermia (between 24 and 28 degrees C) for hypothermic circulatory
arrest (HCA) during antegrade cerebral perfusion (ACP) is safe for use during
surgery on the proximal aorta and transverse aortic arch. METHODS: Over a 7-year
period, 118 patients underwent ascending aortic and hemiarch repair (n = 70;
59.3%), total arch replacement (n = 47; 39.8%) or ascending aortic replacement to
treat porcelain aorta (n = 1; 0.9%). Simultaneous procedures included aortic root
repair or replacement (n = 33; 28.0%) and coronary artery bypass grafting (n =
21; 17.8%). All patients had previously undergone cardiac operations via a median
sternotomy. Eighteen patients (15.3%) had more than 1 previous sternotomy, and 24
patients (20.3%) required emergent/urgent operation. Median cardiopulmonary
bypass, cardiac ischaemic, circulatory arrest and ACP times (min) were 136.0 [118
180 interquartile range (IQR)], 91.0 (68-119 IQR), 34.0 (21-59 IQR) and 33.5 (20
59 IQR), respectively. The median temperature when HCA was initiated was 24.2
degrees C (24.1-24.8 degrees C IQR). RESULTS: The operative mortality rate was
10.2% (n = 12). Six patients (5.1%) had a permanent stroke, and 16 patients
(13.6%) had a composite adverse outcome (operative mortality and/or a permanent
neurological event and/or permanent haemodialysis at discharge). Preoperative
renal disease was significantly more prevalent (P= 0.020) and the median
circulatory arrest time significantly longer (48.5 vs 33 min; P= 0.058) in
patients with composite adverse outcomes. Multivariable analysis of the redo
patients showed that age (P =0.025), preoperative renal disease (P =0.024) and
ACP time (P =0.012) were independent risk factors for a new postoperative renal
injury. CONCLUSIONS: Moderate hypothermia for HCA during ACP is being used with
increasing frequency, but has not been thoroughly evaluated in patients
undergoing cardiovascular reoperations. Our experience suggests that in patients
with previous cardiac surgery who are undergoing hemiarch and total aortic arch
operations, moderate hypothermia is safe and produces respectable results.
PMID- 27190200
TI - Small bowel obstruction 5 years following the ingestion of serrated scissors.
AB - Ingested foreign bodies are common in the cohort of psychiatric patients, however
clinical quiescence in this group is rare. We present a case of a 45-year-old
female with emotionally unstable personality disorder (borderline type)
presenting with partial intestinal obstruction 5 years after the known ingestion
of serrated metallic scissors. In the asymptomatic interim a conservative
approach of tracking the blades radiologically was taken. Following discussion,
we conclude the following: early surgical intervention is encouraged if natural
passage does not occur within 3 days following ingestion, and that any concurrent
surgical needs should be addressed at this time.
PMID- 27190201
TI - A rare case of occult splenic rupture after left pneumonectomy.
AB - Cardiopulmonary resuscitation (CPR) techniques are now well-established and play
a crucial role in improving survival in cardiac arrest. Recognized complications
associated with CPR include injury to the upper abdominal viscera, including the
liver, stomach and spleen. We present a rare case of occult splenic rupture
following cardiac arrest in a 63-year-old male immediately after left
pneumonectomy. We discuss potential mechanisms predisposing the spleen to injury
in this case, and highlight the difficulty of promptly identifying such a
traumatic injury within the confines of a cardiac arrest scenario. Clinicians
should be aware that anatomical changes following thoracic surgery may render the
intra-abdominal viscera at increased risk of injury following CPR.
PMID- 27190202
TI - Examine the patient not the hernia: identification of an asymptomatic giant
primary retroperitoneal pseudocyst. A case report and literature review.
AB - We present the case of a 70-year-old man with a giant right-sided retroperitoneal
pseudocyst, confirmed histologically after resection to be benign with
appearances dissimilar to pancreatic and adrenal tissue. The cyst was noted
incidentally on table at the time of laparoscopic surgery. Retroperitoneal
pseudocysts most commonly arise from the pancreas and adrenal glands occurring as
a result of an inflammatory process. Primary retroperitoneal pseudocysts are a
rare entity. This case highlights the importance of examining the patient
thoroughly and not focusing on the obvious. The mass was not palpated on initial
review prior to listing for surgery, and the patient was asymptomatic from the
mass.
PMID- 27190204
TI - Population and Evolutionary Genomics of Amblyomma americanum, an Expanding
Arthropod Disease Vector.
AB - The lone star tick, Amblyomma americanum, is an important disease vector and the
most frequent tick found attached to humans in the eastern United States. The
lone star tick has recently experienced a rapid range expansion into the
Northeast and Midwest, but despite this emerging infectious threat to wildlife,
livestock, and human health, little is known about the genetic causes and
consequences of the geographic expansion. In the first population genomic
analysis of any tick species, we characterize the genetic diversity and
population structure of A. americanum across its current geographic range, which
has recently expanded. Using a high-throughput genotyping-by-sequencing approach,
we discovered more than 8,000 single nucleotide polymorphisms in 90 ticks from
five locations. Surprisingly, newly established populations in New York (NY) and
Oklahoma (OK) are as diverse as historic range populations in North and South
Carolina. However, substantial population structure occurs among regions, such
that new populations in NY and OK are genetically distinct from historic range
populations and from one another. Ticks from a laboratory colony are genetically
distinct from wild populations, underscoring the need to account for natural
variation when conducting transmission or immunological studies, many of which
utilize laboratory-reared ticks. An FST-outlier analysis comparing a recently
established population to a long-standing population detected numerous outlier
sites, compatible with positive and balancing selection, highlighting the
potential for adaptation during the range expansion. This study provides a
framework for applying high-throughput DNA sequencing technologies for future
investigations of ticks, which are common vectors of diseases.
PMID- 27190203
TI - Parallel Evolution in Streptococcus pneumoniae Biofilms.
AB - Streptococcus pneumoniae is a commensal human pathogen and the causative agent of
various invasive and noninvasive diseases. Carriage of the pneumococcus in the
nasopharynx is thought to be mediated by biofilm formation, an environment where
isogenic populations frequently give rise to morphological colony variants,
including small colony variant (SCV) phenotypes. We employed metabolic
characterization and whole-genome sequencing of biofilm-derived S. pneumoniae
serotype 22F pneumococcal SCVs to investigate diversification during biofilm
formation. Phenotypic profiling revealed that SCVs exhibit reduced growth rates,
reduced capsule expression, altered metabolic profiles, and increased biofilm
formation compared to the ancestral strain. Whole-genome sequencing of 12 SCVs
from independent biofilm experiments revealed that all SCVs studied had mutations
within the DNA-directed RNA polymerase delta subunit (RpoE). Mutations included
four large-scale deletions ranging from 51 to 264 bp, one insertion resulting in
a coding frameshift, and seven nonsense single-nucleotide substitutions that
result in a truncated gene product. This work links mutations in the rpoE gene to
SCV formation and enhanced biofilm development in S. pneumoniae and therefore may
have important implications for colonization, carriage, and persistence of the
organism. Furthermore, recurrent mutation of the pneumococcal rpoE gene presents
an unprecedented level of parallel evolution in pneumococcal biofilm development.
PMID- 27190205
TI - The Genome of Haemoproteus tartakovskyi and Its Relationship to Human Malaria
Parasites.
AB - The phylogenetic relationships among hemosporidian parasites, including the
origin of Plasmodium falciparum, the most virulent malaria parasite of humans,
have been heavily debated for decades. Studies based on multiple-gene sequences
have helped settle many of these controversial phylogenetic issues. However,
denser taxon sampling and genome-wide analyses are needed to confidently resolve
the evolutionay relationships among hemosporidian parasites. Genome sequences of
several Plasmodium parasites are available but only for species infecting
primates and rodents. To root the phylogenetic tree of Plasmodium, genomic data
from related parasites of birds or reptiles are required. Here, we use a novel
approach to isolate parasite DNA from microgametes and describe the first genome
of a bird parasite in the sister genus to Plasmodium, Haemoproteus tartakovskyi
Similar to Plasmodium parasites, H. tartakovskyi has a small genome (23.2 Mb,
5,990 genes) and a GC content (25.4%) closer to P. falciparum (19.3%) than to
Plasmodium vivax (42.3%). Combined with novel transcriptome sequences of the bird
parasite Plasmodium ashfordi, our phylogenomic analyses of 1,302 orthologous
genes demonstrate that mammalian-infecting malaria parasites are monophyletic,
thus rejecting the repeatedly proposed hypothesis that the ancestor of Laverania
parasites originated from a secondary host shift from birds to humans. Genes and
genomic features previously found to be shared between P. falciparum and bird
malaria parasites, but absent in other mammal malaria parasites, are therefore
signatures of maintained ancestral states. We foresee that the genome of H.
tartakovskyi will open new directions for comparative evolutionary analyses of
malarial adaptive traits.
PMID- 27190207
TI - Higher diurnal salivary cortisol levels are related to smaller prefrontal cortex
surface area in elderly men and women.
AB - OBJECTIVE: Elevated cortisol levels with aging have been associated with atrophy
of the hippocampus and prefrontal cortex (PFC), as well as with impaired
cognitive functions in men. However, coexisting diseases have confounded many
studies examining these relationships. Studies in women are lacking. Our
objective was to test whether salivary cortisol levels were related to morphology
of the hippocampus and the PFC, and to cognitive performance. DESIGN: A cross
sectional study including 200 elderly (55-80 years old) men and women. METHOD: We
used magnetic resonance imaging, tests of episodic-, semantic-, and working
memory, visuospatial ability, and cortisol levels in four saliva samples
collected during 1 day. RESULTS: Area under the curve (AUC) for cortisol levels
was negatively related to cortical surface area of the left anterior cingulate
gyrus (caudal P<0.001; rostral P=0.006), right lateral orbitofrontal cortex
(P=0.004), and right rostral middle frontal gyrus (P=0.003). In women, there was
also a negative relationship with cortical surface area in the left rostral
middle frontal gyrus (P=0.006). No relationship was found between cortisol levels
and hippocampal volume. CONCLUSION: This study suggests that the structure of the
medial PFC is related to cortisol levels in both elderly women and men.
PMID- 27190206
TI - "Every Gene Is Everywhere but the Environment Selects": Global Geolocalization of
Gene Sharing in Environmental Samples through Network Analysis.
AB - The spatial distribution of microbes on our planet is famously formulated in the
Baas Becking hypothesis as "everything is everywhere but the environment
selects." While this hypothesis does not strictly rule out patterns caused by
geographical effects on ecology and historical founder effects, it does propose
that the remarkable dispersal potential of microbes leads to distributions
generally shaped by environmental factors rather than geographical distance. By
constructing sequence similarity networks from uncultured environmental samples,
we show that microbial gene pool distributions are not influenced nearly as much
by geography as ecology, thus extending the Bass Becking hypothesis from whole
organisms to microbial genes. We find that gene pools are shaped by their broad
ecological niche (such as sea water, fresh water, host, and airborne). We find
that freshwater habitats act as a gene exchange bridge between otherwise
disconnected habitats. Finally, certain antibiotic resistance genes deviate from
the general trend of habitat specificity by exhibiting a high degree of cross
habitat mobility. The strong cross-habitat mobility of antibiotic resistance
genes is a cause for concern and provides a paradigmatic example of the rate by
which genes colonize new habitats when new selective forces emerge.
PMID- 27190209
TI - From Newborn to Senescence Morphological and Functional Remodeling Leads to
Increased Contractile Capacity of Arteries.
AB - Aging induces substantial morphological and functional changes in vessels. We
hypothesized that due to morphological remodeling the total contractile forces of
arteries increase, especially in older age as a function of age. Mean arterial
blood pressure of rats and morphological and functional characteristics of
isolated carotid arteries rats, from newborn to senescent, were assessed. The
arterial blood pressure of rats increased significantly from 0.25 to the age of 6
months, and then it reached a level, which was maintained until age of 30 months.
Wall lumen and wall thickness increased with age, mostly due to media (smooth
muscle) thickening, whereas wall tension gradually reduced with age. Contractions
of arteries to nonreceptor-mediated vasomotor agent (KCl, 60mM) increased in
three consecutive age groups, whereas contractility first increased (until 2
months), then it did not change further with aging. Norepinephrine-induced
contractions initially increased in young age and then did not change further in
older age. These findings suggest that during normal aging due to remodeling of
arterial wall (smooth muscle) the contractile capacity of arteries increases,
which seems to be independent from systemic blood pressure. Thus, arterial
remodeling can favor the development of increased circulatory resistance in older
age.
PMID- 27190208
TI - Gonadal macrophage infiltration in congenital lipoid adrenal hyperplasia.
AB - OBJECTIVE: Congenital lipoid adrenal hyperplasia (lipoid CAH) results in
impairment of adrenal and gonadal steroidogenesis caused by STAR mutations. Our
previous study revealed upregulation of genes associated with inflammatory or
immune response and macrophage infiltration in the adrenal cortex of Star
knockout mice. This study aimed at investigating macrophage infiltration in the
gonads from human patients with lipoid CAH. DESIGN: This study includes seven
patients with lipoid CAH who underwent gonadectomy: two XX women (age, 22 and 40
years) and five XY boys (1 year). Two women with ovarian cysts (32 and 40 years)
and six boys with autopsy or tumor (1 year) were examined as controls.
Immunohistochemical analysis of their gonads was performed to determine
steroidogenic cells by NR5A1 or CYP17A1 and macrophages by IBA1 or CD68. RESULTS:
An increased number of macrophages infiltrated into the ovaries of lipoid CAH and
consisted of two subpopulations: one scattered within and around a layer of theca
cells of maturing follicles and the other massively aggregated in the stroma.
Abundant cytoplasmic lipid droplets were observed not only in the theca cells but
also in the stromal macrophages. There was no significant difference in the
number of macrophages in the testicular interstitium between lipoid CAH (95%
confidence interval (95% CI: 19.3-47.7 per 0.2mm(2)) and controls (95% CI: 13.3
25.8 per 0.2mm(2)) (P=0.10). CONCLUSIONS: These results demonstrate that
macrophages infiltrate the ovaries of lipoid CAH, where the theca cells and the
stromal macrophages have abundant cytoplasmic lipid droplets.
PMID- 27190210
TI - Understanding the Bases of Function and Modulation of alpha7 Nicotinic Receptors:
Implications for Drug Discovery.
AB - The nicotinic acetylcholine receptor (nAChR) belongs to a superfamily of
pentameric ligand-gated ion channels involved in many physiologic and pathologic
processes. Among nAChRs, receptors comprising the alpha7 subunit are unique
because of their high Ca(2+) permeability and fast desensitization. nAChR
agonists elicit a transient ion flux response that is further sustained by the
release of calcium from intracellular sources. Owing to the dual
ionotropic/metabotropic nature of alpha7 receptors, signaling pathways are
activated. The alpha7 subunit is highly expressed in the nervous system, mostly
in regions implicated in cognition and memory and has therefore attracted
attention as a novel drug target. Additionally, its dysfunction is associated
with several neuropsychiatric and neurologic disorders, such as schizophrenia and
Alzheimer's disease. alpha7 is also expressed in non-neuronal cells, particularly
immune cells, where it plays a role in immunity, inflammation, and
neuroprotection. Thus, alpha7 potentiation has emerged as a therapeutic strategy
for several neurologic and inflammatory disorders. With unique activation
properties, the receptor is a sensitive drug target carrying different potential
binding sites for chemical modulators, particularly agonists and positive
allosteric modulators. Although macroscopic and single-channel recordings have
provided significant information about the underlying molecular mechanisms and
binding sites of modulatory compounds, we know just the tip of the iceberg.
Further concerted efforts are necessary to effectively exploit alpha7 as a drug
target for each pathologic situation. In this article, we focus mainly on the
molecular basis of activation and drug modulation of alpha7, key pillars for
rational drug design.
PMID- 27190211
TI - Temperature Effects on Kinetics of KV11.1 Drug Block Have Important Consequences
for In Silico Proarrhythmic Risk Prediction.
AB - Drug block of voltage-gated potassium channel subtype 11.1 human ether-a-go-go
related gene (Kv11.1) (hERG) channels, encoded by the KCNH2 gene, is associated
with reduced repolarization of the cardiac action potential and is the
predominant cause of acquired long QT syndrome that can lead to fatal cardiac
arrhythmias. Current safety guidelines require that potency of KV11.1 block is
assessed in the preclinical phase of drug development. However, not all drugs
that block KV11.1 are proarrhythmic, meaning that screening on the basis of
equilibrium measures of block can result in high attrition of potentially low
risk drugs. The basis of the next generation of drug-screening approaches is set
to be in silico risk prediction, informed by in vitro mechanistic descriptions of
drug binding, including measures of the kinetics of block. A critical issue in
this regard is characterizing the temperature dependence of drug binding.
Specifically, it is important to address whether kinetics relevant to physiologic
temperatures can be inferred or extrapolated from in vitro data gathered at room
temperature in high-throughout systems. Here we present the first complete study
of the temperature-dependent kinetics of block and unblock of a proarrhythmic
drug, cisapride, to KV11.1. Our data highlight a complexity to binding that
manifests at higher temperatures and can be explained by accumulation of an
intermediate, non-blocking encounter-complex. These results suggest that for
cisapride, physiologically relevant kinetic parameters cannot be simply
extrapolated from those measured at lower temperatures; rather, data gathered at
physiologic temperatures should be used to constrain in silico models that may be
used for proarrhythmic risk prediction.
PMID- 27190212
TI - Cryo-EM Analysis of the Conformational Landscape of Human P-glycoprotein (ABCB1)
During its Catalytic Cycle.
AB - The multidrug transporter P-glycoprotein (P-gp, ABCB1) is an ATP-dependent pump
that mediates the efflux of structurally diverse drugs and xenobiotics across
cell membranes, affecting drug pharmacokinetics and contributing to the
development of multidrug resistance. Structural information about the
conformational changes in human P-gp during the ATP hydrolysis cycle has not been
directly demonstrated, although mechanistic information has been inferred from
biochemical and biophysical studies conducted with P-gp and its orthologs, or
from structures of other ATP-binding cassette transporters. Using single-particle
cryo-electron microscopy, we report the surprising discovery that, in the absence
of the transport substrate and nucleotides, human P-gp can exist in both open
[nucleotide binding domains (NBDs) apart; inward-facing] and closed (NBDs close;
outward-facing) conformations. We also probe conformational states of human P-gp
during the catalytic cycle, and demonstrate that, following ATP hydrolysis, P-gp
transitions through a complete closed conformation to a complete open
conformation in the presence of ADP.
PMID- 27190213
TI - IL15 promotes growth and invasion of endometrial stromal cells and inhibits
killing activity of NK cells in endometriosis.
AB - Endometriosis (EMS) is associated with an abnormal immune response to endometrial
cells, which can facilitate the implantation and proliferation of ectopic
endometrial tissues. It has been reported that human endometrial stromal cells
(ESCs) express interleukin (IL)15. The aim of our study was to elucidate whether
or not IL15 regulates the cross talk between ESCs and natural killer (NK) cells
in the endometriotic milieu and, if so, how this regulation occurs. The ESC
behaviors in vitro were verified by Cell Counting Kit-8 (CCK-8), Annexin/PI, and
Matrigel invasion assays, respectively. To imitate the local immune
microenvironment, the co-culture system between ESCs and NK cells was
constructed. The effect of IL15 on NK cells in the co-culture unit was
investigated by flow cytometry (FCM). In this study, we found that ectopic
endometrium from patients with EMS highly expressed IL15. Rapamycin, an autophagy
inducer, decreased the level of IL15 receptors (i.e. IL15Ralpha and IL2Rbeta).
IL15 inhibits apoptosis and promotes the invasiveness, viability, and
proliferation of ESCs. Meanwhile, a co-culture with ESCs led to a decrease in
CD16 on NK cells. In the co-culture system, IL15 treatment downregulated the
levels of Granzyme B and IFN-gamma in CD16(+)NK cells, NKG2D in CD56(dim)CD16(
)NK cells, and NKP44 in CD56(bright)CD16(-)NK cells. On the one hand, these
results indicated that IL15 derived from ESCs directly stimulates the growth and
invasion of ESCs. On the other hand, IL15 may help the immune escape of ESCs by
suppressing the cytotoxic activity of NK cells in the ectopic milieu, thereby
facilitating the progression of EMS.
PMID- 27190214
TI - Status of the Archaeal and Bacterial Census: an Update.
AB - A census is typically carried out for people across a range of geographical
levels; however, microbial ecologists have implemented a molecular census of
bacteria and archaea by sequencing their 16S rRNA genes. We assessed how well the
census of full-length 16S rRNA gene sequences is proceeding in the context of
recent advances in high-throughput sequencing technologies because full-length
sequences are typically used as references for classification of the short
sequences generated by newer technologies. Among the 1,411,234 and 53,546 full
length bacterial and archaeal sequences, 94.5% and 95.1% of the bacterial and
archaeal sequences, respectively, belonged to operational taxonomic units (OTUs)
that have been observed more than once. Although these metrics suggest that the
census is approaching completion, 29.2% of the bacterial and 38.5% of the
archaeal OTUs have been observed more than once. Thus, there is still
considerable diversity to be explored. Unfortunately, the rate of new full-length
sequences has been declining, and new sequences are primarily being deposited by
a small number of studies. Furthermore, sequences from soil and aquatic
environments, which are known to be rich in bacterial diversity, represent only
7.8 and 16.5% of the census, while sequences associated with host-associated
environments represent 55.0% of the census. Continued use of traditional
approaches and new technologies such as single-cell genomics and short-read
assembly are likely to improve our ability to sample rare OTUs if it is possible
to overcome this sampling bias. The success of ongoing efforts to use short-read
sequencing to characterize archaeal and bacterial communities requires that
researchers strive to expand the depth and breadth of this census. IMPORTANCE:
The biodiversity contained within the bacterial and archaeal domains dwarfs that
of the eukaryotes, and the services these organisms provide to the biosphere are
critical. Surprisingly, we have done a relatively poor job of formally tracking
the quality of the biodiversity as represented in full-length 16S rRNA genes. By
understanding how this census is proceeding, it is possible to suggest the best
allocation of resources for advancing the census. We found that the ongoing
effort has done an excellent job of sampling the most abundant organisms but
struggles to sample the rarer organisms. Through the use of new sequencing
technologies, we should be able to obtain full-length sequences from these rare
organisms. Furthermore, we suggest that by allocating more resources to sampling
environments known to have the greatest biodiversity, we will be able to make
significant advances in our characterization of archaeal and bacterial diversity.
PMID- 27190215
TI - Ubiquitin-Like Proteasome System Represents a Eukaryotic-Like Pathway for
Targeted Proteolysis in Archaea.
AB - The molecular mechanisms of targeted proteolysis in archaea are poorly
understood, yet they may have deep evolutionary roots shared with the ubiquitin
proteasome system of eukaryotic cells. Here, we demonstrate in archaea that TBP2,
a TATA-binding protein (TBP) modified by ubiquitin-like isopeptide bonds, is
phosphorylated and targeted for degradation by proteasomes. Rapid turnover of
TBP2 required the functions of UbaA (the E1/MoeB/ThiF homolog of archaea), AAA
ATPases (Cdc48/p97 and Rpt types), a type 2 JAB1/MPN/MOV34 metalloenzyme
(JAMM/MPN+) homolog (JAMM2), and 20S proteasomes. The ubiquitin-like protein
modifier small archaeal modifier protein 2 (SAMP2) stimulated the degradation of
TBP2, but SAMP2 itself was not degraded. Analysis of the TBP2 fractions that were
not modified by ubiquitin-like linkages revealed that TBP2 had multiple N
termini, including Met1-Ser2, Ser2, and Met1-Ser2(p) [where (p) represents
phosphorylation]. The evidence suggested that the Met1-Ser2(p) form accumulated
in cells that were unable to degrade TBP2. We propose a model in archaea in which
the attachment of ubiquitin-like tags can target proteins for degradation by
proteasomes and be controlled by N-terminal degrons. In support of a proteolytic
mechanism that is energy dependent and recycles the ubiquitin-like protein tags,
we find that a network of AAA ATPases and a JAMM/MPN+ metalloprotease are
required, in addition to 20S proteasomes, for controlled intracellular
proteolysis. IMPORTANCE: This study advances the fundamental knowledge of signal
guided proteolysis in archaea and sheds light on components that are related to
the ubiquitin-proteasome system of eukaryotes. In archaea, the ubiquitin-like
proteasome system is found to require function of an E1/MoeB/ThiF homolog, a type
2 JAMM/MPN+ metalloprotease, and a network of AAA ATPases for the targeted
destruction of proteins. We provide evidence that the attachment of the ubiquitin
like protein is controlled by an N-terminal degron and stimulates proteasome
mediated proteolysis.
PMID- 27190216
TI - Loss of Dependence on Continued Expression of the Human Papillomavirus 16 E7
Oncogene in Cervical Cancers and Precancerous Lesions Arising in Fanconi Anemia
Pathway-Deficient Mice.
AB - Fanconi anemia (FA) is a rare genetic disorder caused by defects in DNA damage
repair. FA patients often develop squamous cell carcinoma (SCC) at sites where
high-risk human papillomaviruses (HPVs) are known to cause cancer, including the
cervix. However, SCCs found in human FA patients are often HPV negative, even
though the majority of female FA patients with anogenital cancers had preexisting
HPV-positive dysplasia. We hypothesize that HPVs contribute to the development of
SCCs in FA patients but that the continued expression of HPV oncogenes is not
required for the maintenance of the cancer state because FA deficiency leads to
an accumulation of mutations in cellular genes that render the cancer no longer
dependent upon viral oncogenes. We tested this hypothesis, making use of Bi-L E7
transgenic mice in which we temporally controlled expression of HPV16 E7, the
dominant viral oncogene in HPV-associated cancers. As seen before, the
persistence of cervical neoplastic disease was highly dependent upon the
continued expression of HPV16 E7 in FA-sufficient mice. However, in mice with FA
deficiency, cervical cancers persisted in a large fraction of the mice after
HPV16 E7 expression was turned off, indicating that these cancers had escaped
from their dependency on E7. Furthermore, the severity of precancerous lesions
also failed to be reduced significantly in the mice with FA deficiency upon
turning off expression of E7. These findings confirm our hypothesis and may
explain the fact that, while FA patients have a high frequency of infections by
HPVs and HPV-induced precancerous lesions, the cancers are frequently HPV
negative. IMPORTANCE : Fanconi anemia (FA) patients are at high risk for
developing squamous cell carcinoma (SCC) at sites where high-risk human
papillomaviruses (HPVs) frequently cause cancer. Yet these SCCs are often HPV
negative. FA patients have a genetic defect in their capacity to repair damaged
DNA. HPV oncogenes cause an accumulation of DNA damage. We hypothesize,
therefore, that DNA damage induced by HPV leads to an accumulation of mutations
in patients with FA deficiency and that such mutations allow HPV-driven cancers
to become independent of the viral oncogenes. Consistent with this hypothesis, we
found that cervical cancers arising in HPV16 transgenic mice with FA deficiency
frequently escape from dependency on the HPV16 oncogene that drove its
development. Our report provides further support for vaccination of FA patients
against HPVs and argues for the need to define mutational profiles of SCCs
arising in FA patients in order to inform precision medicine-based approaches to
treating these patients.
PMID- 27190218
TI - Type I Interferons in Newborns-Neurotoxicity versus Antiviral Defense.
AB - In most children and adults, primary infection with herpes simplex virus 1 (HSV
1) is asymptomatic. However, very rarely (incidence of 1 in 1,000,000), it can
cause herpes simplex encephalitis (HSE). HSE also occurs in infants but with a
much starker incidence of one in three. This age difference in susceptibility to
HSV-1-caused HSE is not well understood. In a recent article in mBio, authors
have identified the choroid plexus as the anatomical site of robust HSV-1
replication in the brain. They point to low levels of type I interferon (IFN)
receptor as causal of the lack of HSV-1 replication control in neonates, in
contrast to adults. Here, I discuss these findings in the context of human
genetic evidence. I point to the balancing act of type I IFN acting as a
neurotoxin and an antiviral agent, an evolutionary choice of a lesser evil.
PMID- 27190217
TI - A Herpesviral Lytic Protein Regulates the Structure of Latent Viral Chromatin.
AB - Latent infections by viruses usually involve minimizing viral protein expression
so that the host immune system cannot recognize the infected cell through the
viral peptides presented on its cell surface. Herpes simplex virus (HSV), for
example, is thought to express noncoding RNAs such as latency-associated
transcripts (LATs) and microRNAs (miRNAs) as the only abundant viral gene
products during latent infection. Here we describe analysis of HSV-1 mutant
viruses, providing strong genetic evidence that HSV-infected cell protein 0
(ICP0) is expressed during establishment and/or maintenance of latent infection
in murine sensory neurons in vivo Studies of an ICP0 nonsense mutant virus showed
that ICP0 promotes heterochromatin and latent and lytic transcription, arguing
that ICP0 is expressed and functional. We propose that ICP0 promotes
transcription of LATs during establishment or maintenance of HSV latent
infection, much as it promotes lytic gene transcription. This report introduces
the new concept that a lytic viral protein can be expressed during latent
infection and can serve dual roles to regulate viral chromatin to optimize latent
infection in addition to its role in epigenetic regulation during lytic
infection. An additional implication of the results is that ICP0 might serve as a
target for an antiviral therapeutic acting on lytic and latent infections.
IMPORTANCE: Latent infection by viruses usually involves minimizing viral protein
synthesis so that the host immune system cannot recognize the infected cells and
eliminate them. Herpes simplex virus has been thought to express only noncoding
RNAs as abundant gene products during latency. In this study, we found genetic
evidence that an HSV lytic protein is functional during latent infection, and
this protein may provide a new target for antivirals that target both lytic and
latent infections.
PMID- 27190220
TI - Erratum for Fang and Casadevall, Research Funding: the Case for a Modified
Lottery.
PMID- 27190219
TI - One More Disguise in the Stealth Behavior of Streptococcus pyogenes.
AB - The ability to hide in the animal kingdom is essential for survival; the same is
true for bacteria. Streptococcus pyogenes is considered one of the more
successful stealth bacteria in its production of a hyaluronic acid capsule that
is chemically identical to the hyaluronic acid lining human joints. It has also
acquired the capacity to enter eukaryotic cells to avoid the onslaught of the
host's immune defenses, as well as drugs. From this intracellular vantage point,
it may remain dormant from days to weeks, only to cause disease again at a later
time, perhaps causing a relapse in a drug-treated patient. We now learn that it
is able to enter macrophages as well, enabling the Streptococcus to use this
"Trojan horse" approach to be transported to distant sites in the body.
PMID- 27190221
TI - Correction to: "Biased Type 1 Cannabinoid Receptor Signaling Influences Neuronal
Viability in a Cell Culture Model of Huntington Disease".
PMID- 27190223
TI - A review of CARE's Community Score Card experience and evidence.
AB - The global community's growing enthusiasm for the potential of social
accountability approaches to improve health system performance and accelerate
health progress makes it imperative that we learn from social accountability
intervention implementation experience and results. To this end, we carried out a
review of Cooperative for Assistance and Relief Everywhere, Inc. (CARE)'s
experience with the Community Score Card(c) (CSC)-a social accountability
approach CARE developed in Malawi. We reviewed projects that CARE implemented
between 2002 and 2013 that employed the CSC and that had at least one evaluation
in English. We systematically collected and synthesized information from
evaluations on the projects' characteristics, CSC-related outcomes and
challenges. Eight projects, spanning five countries, met our inclusion criteria.
The projects applied the CSC to various focus areas, mostly health. We identified
one to three evaluations, mostly qualitative, for each project. While the
evaluations had many limitations, consistency of the results, as well as the
range of outcomes, suggests that the CSC is contributing to significant changes.
All projects reported CSC-related governance outcomes and service outcomes. There
is promising evidence that the CSC can contribute to citizen empowerment, service
provider and power-holder effectiveness, accountability and responsiveness and
spaces for negotiation between the two that are expanded, effective and
inclusive. There is also evidence that the CSC may contribute to improvements in
service availability, access, utilization and quality. The CSC seems particularly
suited to building trust and strengthening relationships between the community
and service providers and to improving the user-centred dimension of quality. All
of the projects reported challenges, with ensuring national responsiveness and
inclusion of marginalized groups in the CSC process proving to be the most
intractable. To improve health system performance and accelerate health progress
we recommend further CSC use, enhancements and research.
PMID- 27190224
TI - Effect of High-Frequency Oscillations on Cough Peak Flows Generated by Mechanical
In-Exsufflation in Medically Stable Subjects With Amyotrophic Lateral Sclerosis.
AB - BACKGROUND: Mechanically assisted coughing with mechanical in-exsufflation (MI-E)
is recommended for noninvasive management of respiratory secretions in
amyotrophic lateral sclerosis (ALS). To improve the effectiveness of the
technique, a new device combining MI-E with high-frequency oscillations (HFO) has
been developed. This work aimed to assess the effect of HFO on the cough peak
flow generated by MI-E in medically stable subjects with ALS. METHODS: This was a
prospective study that included subjects with ALS in a medically stable
condition. Cough peak flow generated by MI-E was measured in 4 situations:
without HFO, with HFO during insufflation, with HFO during exsufflation, and with
HFO in both cycles. The parameters used were: insufflation pressure of +40 cm
H2O, exsufflation pressure of -40 cm H2O, insufflation time 2 s, exsufflation
time 3 s, amplitude of oscillations 10 cm H2O, and frequency of oscillations 15
Hz. RESULTS: Forty-seven subjects with ALS were included: 66% males, 68.2 +/- 9.2
y, 40% with bulbar onset, FVC = 1.7 +/- 1.1 L, percent-of-predicted FVC = 54.4 +/
26.6%, cough peak flow = 3.8 +/- 2.2 L/s, PImax = -39.4 +/- 26.4 cm H2O, revised
ALS scale = 28.5 +/- 9.3, Norris bulbar subscore = 26.1 +/- 10.4. No statistical
differences were found in cough peak flow generated by MI-E in the 4 situations
(without HFO = 4.0 +/- 1.2 L/s, with insufflation HFO = 3.9 +/- 1.2 L/s, with
exsufflation HFO = 4.1 +/- 1.2 L/s, with in-exsufflation HFO = 3.9 +/- 1.1 L/s).
CONCLUSIONS: The addition of HFO to mechanically assisted coughing with MI-E does
not have an effect on the cough peak flow of medically stable subjects with ALS.
PMID- 27190222
TI - Effectiveness of interventions to provide culturally appropriate maternity care
in increasing uptake of skilled maternity care: a systematic review.
AB - Addressing cultural factors that affect uptake of skilled maternity care is
recognized as an important step in improving maternal and newborn health. This
article describes a systematic review to examine the evidence available on the
effects of interventions to provide culturally appropriate maternity care on the
use of skilled maternity care during pregnancy, for birth or in the postpartum
period. Items published in English, French and/or Spanish between 1 January 1990
and 31 March 2014 were considered. Fifteen studies describing a range of
interventions met the inclusion criteria. Data were extracted on population and
intervention characteristics; study design; definitions and data for relevant
outcomes; and the contexts and conditions in which interventions occurred.
Because most of the included studies focus on antenatal care outcomes, evidence
of impact is particularly limited for care seeking for birth and after birth.
Evidence in this review is clustered within a small number of countries, and
evidence from low- and middle-income countries is notably lacking. Interventions
largely had positive effects on uptake of skilled maternity care. Cultural
factors are often not the sole factor affecting populations' use of maternity
care services. Broader social, economic, geographical and political factors
interacted with cultural factors to affect targeted populations' access to
services in included studies. Programmes and policies should seek to establish an
enabling environment and support respectful dialogue with communities to improve
use of skilled maternity care. Whilst issues of culture are being recognized by
programmes and researchers as being important, interventions that explicitly
incorporate issues of culture are rarely evaluated.
PMID- 27190225
TI - Benefits of walking and solo experiences in UK wild places.
AB - This paper examines human-nature interaction and how therapeutic this
relationship is by investigating the efficacy of structured outdoor experience.
Two walking and solo experience (WSEs) explored university students' (aged 20-43
years) perceptions of walking through and being with nature. The first was a 5
day journey (n = 4; 3 females and 1 male) and the second (n = 5; 3 females and 2
males) took place over two weekends, with a 2-week interval in-between. Pre- and
post-experience interviews, journal writing, group discussions and a 9-month
follow-up interviews were used to collect data and thematic analysis [Braun and
Clarke (Using thematic analysis in psychology. Qual Res Psychol 2006;3:77-101.)]
was applied. Both WSEs were considered together during analysis, as well as
comparisons made between the two, in order to evaluate implications for practice.
Benefits of the WSE that contributed to a general sense of well-being were: (i)
gaining a sense of freedom and escape; (ii) gaining a sense of awareness and
sensitivity to one's environment and its influence (iii) gaining confidence in
being able to cope and take action; (iv) gaining a sense of perspective on and
appreciation for life. Furthermore, the meaning participants formed in relation
to their environment before, during and after the WSE, and the activity within
that environment, played a role in their sense of well-being and in their
motivations to re-access nature in other places. Findings suggest that WSEs are a
cost effective way to give rise to beneficial and durable experiences, but a more
holistic approach to policy is needed.
PMID- 27190226
TI - Comment on: Real-life effectiveness of canakinumab in cryoprin-associated
periodic syndrome.
PMID- 27190227
TI - Golf: a matter of life and death, health and happiness, or just Olympic medals?
PMID- 27190228
TI - Rugby Sevens: Olympic debutante and research catalyst.
PMID- 27190229
TI - Injury and illness surveillance at the International Sailing Federation Sailing
World Championships 2014.
AB - BACKGROUND/AIM: The Sailing World Championships 2014 was contested by 1167
sailors in all 10 Olympic classes. Our objective was to characterise sailing
related injuries and illnesses in sailors participating in this regatta. METHODS:
We conducted 2 surveys: (1) prior to the World Championships, sailors answered a
12-month recall questionnaire on sailing-related injuries and illnesses and (2)
during the Championships, injuries and illnesses were documented. RESULTS: There
were 760 respondents (65% of all participants) for the 12-month recall
questionnaire (58% male, 42% female), of whom 244 participants reported 299
injuries (0.59 injuries per 1000 h of sailing). Injuries were most prevalent in
the 49erFX (64%), RS:X Women (39%), 49er (37%) and Nacra 17 (36%). Lower back
(29% of sailors), knee (13%), shoulder (12%) and ankle (10%) injuries were most
prevalent; most (58% of all injuries) were overuse injuries; and 56% of sailors
lost sailing time. Most illnesses (40%) were infections, primarily of the
respiratory system (43%). During the Championships, there were 67 injuries (4 per
1000 days of sailing). The 49er (24% of all injuries), 470 Men and Women (24%),
and 49erFX (19%) had the highest incidence. Injuries to the hand/fingers (22% of
all injuries), back (18%), and foot (12%) were most common, as were contusions
(37% of all injuries), cuts/lacerations (24%), and sprains (9%). Of the 29
illnesses (2 per 1000 days of sailing), 9 (31%) were gastrointestinal and 6 (21%)
respiratory, while 2 (7%) were gout attacks. CONCLUSIONS: The Olympic classes
introduced since 2000 (49erFX, 49er, Nacra 17) have resulted in a rise in
injuries.
PMID- 27190230
TI - Should player fatigue be the focus of injury prevention strategies for
international rugby sevens tournaments?
AB - OBJECTIVE: To assess the incidence, severity and nature of injuries, to determine
risk factors for injury and to identify potential injury prevention strategies in
men's international Rugby-7s tournaments. DESIGN: A prospective cohort study.
PARTICIPANTS: Players from core teams competing in matches at 6 Sevens World
Series from 2008/2009 to 2014/2015. RESULTS: The incidence of injury across all
Series was 108.3 injuries/1000 player-match-hours (backs: 121.0; forwards: 91.5)
with a mean severity of 44.2 days (backs: 46.1; forwards: 40.9) and a median
severity of 28 days (backs: 29; forwards: 26). The proportion of injuries
sustained in the second half was significantly higher (60%; p<0.001) than the
first half of matches and the proportion increased from match to match and day to
day in a tournament. The knee (17.4%), ankle (15.9%) and posterior thigh (13.2%)
were the most common injury locations for backs and the knee (18.5%), head/face
(17.3%) and shoulder/clavicle (13.0%) for forwards. The four most common injuries
across all players were knee ligament (13.0%), ankle ligament (12.8%), concussion
(10.4%) and posterior thigh muscle strains (9.8%). CONCLUSIONS: The incidence of
injury in Rugby-7s suggests that teams require squads of around 20 players for a
Sevens World Series. The higher incidence of injury in the second half of matches
is probably the result of player fatigue; therefore, injury prevention strategies
for teams and the Governing Body should address this issue. The results presented
support the World Rugby trial allowing 'rolling substitutes' during Sevens World
Series matches, as this approach may help to mitigate the effects of player
fatigue during the second half of matches.
PMID- 27190231
TI - A systematic computational analysis of the rRNA-3' UTR sequence complementarity
suggests a regulatory mechanism influencing post-termination events in metazoan
translation.
AB - Nucleic acid sequence complementarity underlies many fundamental biological
processes. Although first noticed a long time ago, sequence complementarity
between mRNAs and ribosomal RNAs still lacks a meaningful biological
interpretation. Here we used statistical analysis of large-scale sequence data
sets and high-throughput computing to explore complementarity between 18S and 28S
rRNAs and mRNA 3' UTR sequences. By the analysis of 27,646 full-length 3' UTR
sequences from 14 species covering both protozoans and metazoans, we show that
the computed 18S rRNA complementarity creates an evolutionarily conserved
localization pattern centered around the ribosomal mRNA entry channel, suggesting
its biological relevance and functionality. Based on this specific pattern and
earlier data showing that post-termination 80S ribosomes are not stably anchored
at the stop codon and can migrate in both directions to codons that are cognate
to the P-site deacylated tRNA, we propose that the 18S rRNA-mRNA complementarity
selectively stabilizes post-termination ribosomal complexes to facilitate
ribosome recycling. We thus demonstrate that the complementarity between 18S rRNA
and 3' UTRs has a non-random nature and very likely carries information with a
regulatory potential for translational control.
PMID- 27190232
TI - Characterization of CRISPR RNA transcription by exploiting stranded
metatranscriptomic data.
AB - CRISPR-Cas systems are bacterial adaptive immune systems, each typically composed
of a locus of cas genes and a CRISPR array of spacers flanked by repeats.
Processed transcripts of CRISPR arrays (crRNAs) play important roles in the
interference process mediated by these systems, guiding targeted immunity. Here
we developed computational approaches that allow us to characterize the
expression of many CRISPRs in their natural environments, using community RNA-seq
(metatranscriptomic) data. By exploiting public human gut metatranscriptomic data
sets, we studied the expression of 56 repeat-sequence types of CRISPRs, revealing
that most CRISPRs are transcribed in one direction (producing crRNAs). In rarer
cases, including a type II system associated with Bacteroides fragilis, CRISPRs
are transcribed in both directions. Type III CRISPR-Cas systems were found in the
microbiomes, but metatranscriptomic reads were barely found for their CRISPRs. We
observed individual-level variation of the crRNA transcription, and an even
greater transcription of a CRISPR from the antisense strand than the crRNA strand
in one sample. The orientations of CRISPR expression implicated by
metatranscriptomic data are largely in agreement with prior predictions for
CRISPRs, with exceptions. Our study shows the promise of exploiting community RNA
seq data for investigating the transcription of CRISPR-Cas systems.
PMID- 27190233
TI - LDSS-P: an advanced algorithm to extract functional short motifs associated with
coordinated gene expression.
AB - Identifying functional elements in promoter sequences is a major goal in
computational and experimental genome biology. Here, we describe an algorithm,
Local Distribution of Short Sequences for Prokaryotes (LDSS-P), to identify
conserved short motifs located at specific positions in the promoters of co
expressed prokaryotic genes. As a test case, we applied this algorithm to a
symbiotic nitrogen-fixing bacterium, Sinorhizobium meliloti The LDSS-P profiles
that overlap with the 5' section of the extracytoplasmic function RNA polymerase
sigma factor RpoE2 consensus sequences displayed a sharp peak between -34 and -32
from TSS positions. The corresponding genes overlap significantly with RpoE2
targets identified from previous experiments. We further identified several
groups of genes that are co-regulated with characterized marker genes. Our data
indicate that in S. meliloti, and possibly in other Rhizobiaceae species, the
master cell cycle regulator CtrA may recognize an expanded motif (AACCAT), which
is positionally shifted from the previously reported CtrA consensus sequence in
Caulobacter crescentus Bacterial one-hybrid experiments showed that base
substitution in the expanded motif either increase or decrease the binding by
CtrA. These results show the effectiveness of LDSS-P as a method to delineate
functional promoter elements.
PMID- 27190235
TI - Differential distribution improves gene selection stability and has competitive
classification performance for patient survival.
AB - A consistent difference in average expression level, often referred to as
differential expression (DE), has long been used to identify genes useful for
classification. However, recent cancer studies have shown that when transcription
factors or epigenetic signals become deregulated, a change in expression
variability (DV) of target genes is frequently observed. This suggests that
assessing the importance of genes by either differential expression or
variability alone potentially misses sets of important biomarkers that could lead
to improved predictions and treatments. Here, we describe a new approach for
assessing the importance of genes based on differential distribution (DD), which
combines information from differential expression and differential variability
into a unified metric. We show that feature ranking and selection stability based
on DD can perform two to three times better than DE or DV alone, and that DD
yields equivalent error rates to DE and DV. Finally, assessing genes via
differential distribution produces a complementary set of selected genes to DE
and DV, potentially opening up new categories of biomarkers.
PMID- 27190234
TI - RNAontheBENCH: computational and empirical resources for benchmarking RNAseq
quantification and differential expression methods.
AB - RNA sequencing (RNAseq) has become the method of choice for transcriptome
analysis, yet no consensus exists as to the most appropriate pipeline for its
analysis, with current benchmarks suffering important limitations. Here, we
address these challenges through a rich benchmarking resource harnessing (i) two
RNAseq datasets including ERCC ExFold spike-ins; (ii) Nanostring measurements of
a panel of 150 genes on the same samples; (iii) a set of internal, genetically
determined controls; (iv) a reanalysis of the SEQC dataset; and (v) a focus on
relative quantification (i.e. across-samples). We use this resource to compare
different approaches to each step of RNAseq analysis, from alignment to
differential expression testing. We show that methods providing the best absolute
quantification do not necessarily provide good relative quantification across
samples, that count-based methods are superior for gene-level relative
quantification, and that the new generation of pseudo-alignment-based software
performs as well as established methods, at a fraction of the computing time. We
also assess the impact of library type and size on quantification and
differential expression analysis. Finally, we have created a R package and a web
platform to enable the simple and streamlined application of this resource to the
benchmarking of future methods.
PMID- 27190238
TI - Hans Georg Truper (1936-2016).
PMID- 27190237
TI - Antigenic characterization of dimorphic surface protein in Mycobacterium
tuberculosis.
AB - The Mycobacterium tuberculosis Rv0679c protein is a surface protein that
contributes to host cell invasion. We previously showed that a single nucleotide
transition of the Rv0679c gene leads to a single amino acid substitution from
asparagine to lysine at codon 142 in the Beijing genotype family. In this study,
we examined the immunological effect of this substitution. Several recombinant
proteins were expressed in Escherichia coli and Mycobacterium smegmatis and
characterized with antisera and two monoclonal antibodies named 5D4-C2 and 8G10
H2. A significant reduction of antibody binding was detected by enzyme-linked
immunosorbent assay (ELISA) and western blot analysis in the Lys142-type protein.
This reduction of 8G10-H2 binding was more significant, with the disappearance of
a signal in the proteins expressed by recombinant mycobacteria in western blot
analysis. In addition, epitope mapping analysis of the recombinant proteins
showed a linear epitope by 5D4-C2 and a discontinuous epitope by 8G10-H2. The
antibody recognizing the conformational epitope detected only mycobacterial
Asn142-type recombinant protein. Our results suggest that a single amino acid
substitution of Rv0679c has potency for antigenic change in Beijing genotype
strains.
PMID- 27190236
TI - Heatmapper: web-enabled heat mapping for all.
AB - Heatmapper is a freely available web server that allows users to interactively
visualize their data in the form of heat maps through an easy-to-use graphical
interface. Unlike existing non-commercial heat map packages, which either lack
graphical interfaces or are specialized for only one or two kinds of heat maps,
Heatmapper is a versatile tool that allows users to easily create a wide variety
of heat maps for many different data types and applications. More specifically,
Heatmapper allows users to generate, cluster and visualize: (i) expression-based
heat maps from transcriptomic, proteomic and metabolomic experiments; (ii)
pairwise distance maps; (iii) correlation maps; (iv) image overlay heat maps; (v)
latitude and longitude heat maps and (vi) geopolitical (choropleth) heat maps.
Heatmapper offers a number of simple and intuitive customization options for
facile adjustments to each heat map's appearance and plotting parameters.
Heatmapper also allows users to interactively explore their numeric data values
by hovering their cursor over each heat map cell, or by using a
searchable/sortable data table view. Heat map data can be easily uploaded to
Heatmapper in text, Excel or tab delimited formatted tables and the resulting
heat map images can be easily downloaded in common formats including PNG, JPG and
PDF. Heatmapper is designed to appeal to a wide range of users, including
molecular biologists, structural biologists, microbiologists, epidemiologists,
environmental scientists, agriculture/forestry scientists, fish and wildlife
biologists, climatologists, geologists, educators and students. Heatmapper is
available at http://www.heatmapper.ca.
PMID- 27190239
TI - Watch out for your TRP1 marker: the effect of TRP1 gene on the growth at high and
low temperatures in budding yeast.
AB - TRP1 is a frequently used auxotrophic marker for genetic modifications and
selections in trp(-) budding yeast strains, including the commonly used wild-type
strain W303a. However, we found that introduction of the TRP1 gene into a trp(-)
strain significantly affected vegetative growth at low and high temperatures.
Therefore, caution should be needed when working in a trp(-) background strain
and using the TRP1 marker to study stress response phenotypes, particularly when
analyzing temperature sensitivities.
PMID- 27190240
TI - Accumulation of PHA granules in Cupriavidus necator as seen by confocal
fluorescence microscopy.
AB - Many bacteria are capable of accumulating intracellular granules of
polyhydroxyalkanoates (PHA). In this work, we developed confocal microscopy
analysis of bacterial cells to study changes in the diameters of cells as well as
PHA granules during growth and PHA accumulation in the bacterium Cupriavidus
necator H16 (formerly Ralstonia eutropha). The cell envelope was stained by
DiD((r)) fluorescent probe and PHA granules by Nile Red. Signals from both probes
were separated based on their spectral and fluorescence life-time properties.
During growth and PHA accumulation, bacterial cells increased their length but
the width of the cells remained constant. The volume fraction of PHA granules in
cells increased during PHA accumulation, nevertheless, its value did not exceed
40 vol. % regardless of the PHA weight content. It seems that bacterial cultures
lengthen the cells in order to control the PHA volume portion. However, since
similar changes in cell length were also observed in a PHA non-accumulating
mutant, it seems that there is no direct control mechanism, which regulates the
prolongation of the cells with respect to PHA granules volume. It is more likely
that PHA biosynthesis and the length of cells are influenced by the same external
stimuli such as nutrient limitation.
PMID- 27190241
TI - Diversity and distribution of catechol 2, 3-dioxygenase genes in surface
sediments of the Bohai Sea.
AB - Catechol 2, 3-dioxygenase (C23O) is the key enzyme for aerobic aromatic
degradation. Based on clone libraries and quantitative real-time polymerase chain
reaction, we characterized diversity and distribution patterns of C23O genes in
surface sediments of the Bohai Sea. The results showed that sediments of the
Bohai Sea were dominated by genes related to C23O subfamily I.2.A. The samples
from wastewater discharge area (DG) and aquaculture farm (KL) showed distinct
composition of C23O genes when compared to the samples from Bohai Bay (BH), and
total organic carbon was a crucial determinant accounted for the composition
variation. C6BH12-38 and C2BH2-35 displayed the highest gene copies and highest
ratios to the 16S rRNA genes in KL, and they might prefer biologically labile
aromatic hydrocarbons via aquaculture inputs. Meanwhile, C7BH3-48 showed the
highest gene copies and highest ratios to the 16S rRNA genes in DG, and this
could be selective effect of organic loadings from wastewater discharge. An
evident increase in C6BH12-38 and C7BH3-48 gene copies and reduction in diversity
of C23O genes in DG and KL indicated composition perturbations of C23O genes and
potential loss in functional redundancy. We suggest that ecological habitat and
trophic specificity could shape the distribution of C23O genes in the Bohai Sea
sediments.
PMID- 27190242
TI - False detection of Coxiella burnetii-what is the risk?
PMID- 27190243
TI - ATF3 provides protection from Staphylococcus aureus and Listeria monocytogenes
infections.
PMID- 27190244
TI - Colony types and virulence traits of Legionella feeleii determined by
exopolysaccharide materials.
AB - Legionella feeleii is a Gram-negative pathogenic bacterium that causes Pontiac
fever and pneumonia in humans. When L. feeleii serogroup 1 (ATCC 35072) was
cultured on BCYE agar plates, two types of colonies were observed and exhibited
differences in color, opacity and morphology. Since the two colony types are
white rugose and brown translucent, they were termed as white rugose L. feeleii
(WRLf) and brown translucent L. feeleii (BTLf), respectively. They exhibited
different growth capacities in BYE broth in vitro, and it was found that WRLf
could transform to BTLf. Under the electron microscope, it was observed that WRLf
secreted materials which could be stained with ruthenium red, which was absent in
BTLf. When U937 macrophages and HeLa cells were infected with the bacteria, WRLf
manifested stronger internalization ability than BTLf. Intracellular growth in
murine macrophages and Acanthamoeba cells was affected by the level of initial
phagocytosis. WRLf was more resistant to human serum bactericidal action than
BTLf. After being inoculated to guinea pigs, both organisms caused fever in the
animals. These results suggest that ruthenium red-stained materials secreted in
the surroundings may play a crucial role in determining L. feeleii colony
morphology and virulence traits.
PMID- 27190245
TI - Programmable biomaterials for dynamic and responsive drug delivery.
AB - Biomaterials are continually being designed that enable new methods for
interacting dynamically with cell and tissues, in turn unlocking new capabilities
in areas ranging from drug delivery to regenerative medicine. In this review, we
explore some of the recent advances being made in regards to programming
biomaterials for improved drug delivery, with a focus on cancer and infection. We
begin by explaining several of the underlying concepts that are being used to
design this new wave of drug delivery vehicles, followed by examining recent
materials systems that are able to coordinate the temporal delivery of multiple
therapeutics, dynamically respond to changing tissue environments, and reprogram
their bioactivity over time.
PMID- 27190246
TI - Original Research: Metabolic alterations from early life thyroxine replacement
therapy in male Ames dwarf mice are transient.
AB - Ames dwarf mice are exceptionally long-lived due to a Prop1 loss of function
mutation resulting in deficiency of growth hormone, thyroid-stimulating hormone
and prolactin. Deficiency in thyroid-stimulating hormone and growth hormone leads
to greatly reduced levels of circulating thyroid hormones and insulin-like growth
factor 1, as well as a reduction in insulin secretion. Early life growth hormone
replacement therapy in Ames dwarf mice significantly shortens their longevity,
while early life thyroxine (T4) replacement therapy does not. Possible mechanisms
by which early life growth hormone replacement therapy shortens longevity include
deleterious effects on glucose homeostasis and energy metabolism, which are long
lasting. A mechanism explaining why early life T4 replacement therapy does not
shorten longevity remains elusive. Here, we look for a possible explanation as to
why early life T4 replacement therapy does not impact longevity of Ames dwarf
mice. We found that early life T4 replacement therapy increased body weight and
advanced the age of sexual maturation. We also find that early life T4
replacement therapy does not impact glucose tolerance or insulin sensitivity, and
any deleterious effects on oxygen consumption, respiratory quotient and heat
production are transient. Lastly, we find that early life T4 replacement therapy
has long-lasting effects on bone mineral density and bone mineral content. We
suggest that the transient effects on energy metabolism and lack of effects on
glucose homeostasis are the reasons why there is no shortening of longevity after
early life T4 replacement therapy in Ames dwarf mice.
PMID- 27190247
TI - Development of a bead-based suspension array for the detection of pathogens in
acute respiratory tract infections.
AB - We developed a high-throughput bead-based suspension array for simultaneous
detection of 20 respiratory tract pathogens in clinical specimens. Pathogen
specific genes were amplified and hybridized to probes coupled to carboxyl
encoded microspheres. Fluorescence intensities generated via the binding of
phycoerythrin-conjugated streptavidin with biotin-labeled targets were measured
by the Luminex 100 bead-based suspension array system. The bead-based suspension
array detected bacteria in a significantly higher number of samples compared to
the conventional culture. There was no significant difference in the detection
rate of atypical pathogensatypical pathogens or viruses between the bead-based
suspension array and real-time PCR. This technology can play a significant role
in screening patients with pneumonia.
PMID- 27190248
TI - Original Research: Influence of okadaic acid on hyperphosphorylation of tau and
nicotinic acetylcholine receptors in primary neurons.
AB - The aim of the study was to investigate the influence of hyperphosphorylation of
tau induced by okadaic acid on the expression of nicotinic acetylcholine
receptors and the neurotoxicity of beta-amyloid peptide. Primary cultures of
neurons isolated from the hippocampus of the brains of neonatal rats were exposed
to okadaic acid or/and Abeta1-42 Tau phosphorylated at Ser404 and Ser202, and the
protein expressions of alpha7, alpha4 and alpha3 nAChR subunits were quantified
by Western blotting, and their corresponding mRNAs by real-time PCR. Superoxide
dismutase activity was assayed biochemically and malondialdehyde by
thiobarbituric acid-reactive substance. As compared to controls, phosphorylations
of tau at Ser404 and Ser202 in the neurons were elevated by exposure to 20 nM
okadaic acid for 48 h but not by 1 or 2 uM Abeta1-42 Treatment with 20 nM okadaic
acid or 1 uM Abeta1-42 for 48 h resulted in the reduced alpha7, alpha4 and alpha3
proteins, and alpha4 and alpha3 mRNAs, as well as the decreased activity of
superoxide dismutase and the increased malondialdehyde. Okadaic acid and Abeta1
42 together caused more pronounced changes in the expressions of alpha7 and
alpha4, superoxide dismutase activity and lipid peroxidation than either alone.
When pre-treatment with vitamin E or lovastatin, the neurotoxicity induced by
okadaic acid was significantly attenuated. These findings indicate that
hyperphosphorylation of tau induced by okadaic acid inhibits the expression of
nicotinic acetylcholine receptors at both the protein and mRNA levels, as well as
enhances the neurotoxicity of beta-amyloid peptide.
PMID- 27190249
TI - Gradually increased oxygen administration promoted survival after hemorrhagic
shock.
AB - Gradually increased oxygen administration (GIOA) seems promising in hemorrhagic
shock. However, the effects of GIOA on survival remain unclear, and details of
GIOA are to be identified. After the induction of hemorrhagic shock, the rats
were randomized into five groups (n = 9): normoxic group (Normo), hyperoxic group
(Hypero), normoxic to hyperoxic group (GIOA1), long-time hypoxemic to hyperoxic
group (GIOA2), and short-time hypoxemic to hyperoxic group (GIOA3). Survival was
recorded for 96 h, plasma alanine transaminase, oxidative stress, hemodynamics,
and blood gas were measured. The mean survival time of the GIOA3 was
significantly longer than that of the Normo, Hypero, and GIOA2. Plasma alanine
transaminase levels were significantly lower in the Normo, GIOA1, and GIOA3
compared to the Hypero and GIOA2 at 2 h post-resuscitation (PR). Plasma 3
nitrotyrosine levels at 2 h PR were significantly lower in the GIOA2 and GIOA3
compared to the Normo and Hypero. Central venous oxygen saturation at 2 h PR in
the GIOA3 was significantly higher than the Normo; however, no significant
difference was observed between GIOA1 and Normo. Besides, at 2 h PR, mean
arterial pressure in the GIOA3 was significantly higher than the GIOA2; however,
no significant difference was observed between GIOA1 and GIOA2. (1) GIOA could
significantly prolong survival time compared to normoxemic resuscitation and
hyperoxic resuscitation; (2) early moments of GIOA are critical to the benefits;
and (3) hypoxemia at onset of resuscitation may be imperative, more works are
needed to determine the optimal initial oxygen concentration of GIOA.
PMID- 27190250
TI - Exogenous spermine inhibits hypoxia/ischemia-induced myocardial apoptosis via
regulation of mitochondrial permeability transition pore and associated pathways.
AB - Myocardial infarction (MI) is associated with a high mortality rate, which is
attributed to the effects of myocyte loss that occurs as a result of ischemia
induced cell death. Very few therapies can effectively prevent or delay the
effects of ischemia. Polyamines (PAs) are polycations required for cell growth
and division, and their use may prevent cell loss. The aim of this study was to
investigate the relationship between hypoxia/ischemia (H/I)-induced cell
apoptosis and PA metabolism and to investigate the ability of spermine to limit
H/I injury in cardiomyocytes by blocking the mitochondrial apoptotic pathway.
Neonatal rat cardiomyocytes were placed under hypoxic conditions for 24 h after
being subjected to 5 MUM of spermine as a pretreatment therapy. H/I induced PA
catabolism, which was indicated by a 1.3-fold up-regulation of
spermidine/spermine N(1)-acetyltransferase expression. Exogenous spermine
significantly reduced H/I-induced cell death rate (60 +/- 2 to 36 +/- 2%) and
apoptosis rate (42 +/- 2 to 21 +/- 2%); it also attenuated lactate dehyodrogenase
and creatine kinase leakage (440 +/- 13 and 336 +/- 16 U/L to 275 +/- 15 and 235
+/- 13 U/L). Furthermore, it decreases calcium overload (3.8 +/- 0.2 to 2.2 +/-
0.1 a.u.). Moreover, spermine pretreatment remarkably decreased cytochrome c
release from the mitochondria to the cytosol, lowering the expression of cleaved
caspase-3 and -9. With spermine pretreatment, there was an increase in Bcl-2
levels and phosphorylation of ERK1/2, phosphoinositide 3-kinase, Akt, and GSK
3beta, preserving mitochondrial membrane potential and inducing an mitochondrial
permeability transition pore opening. In conclusion, H/I decreased endogenous
spermine concentrations in cardiomyocytes, which ultimately induced apoptosis.
The addition of exogenous spermine effectively prevented myocyte cell death.
PMID- 27190251
TI - Original Research: Polyphenols extracted from grape powder induce lipogenesis and
glucose uptake during differentiation of murine preadipocytes.
AB - Assessing the effects of grapes and grape powder extracted polyphenols on
lipogenesis and glucose uptake in adipocytes may clarify the risk/benefit of
recommending them to individuals with obesity and insulin resistance. We
investigated the effect of grape powder extracted polyphenols (GPEP) on
intracellular fat accumulation and glucose uptake during differentiation of 3T3
F442A preadipocytes. Total polyphenols were extracted and measured based on
gallic acid equivalents (GAE). There were 2167 mg of GAE polyphenols in 100 g of
grape powder. 3T3-F442A cells were incubated with GPEP, extracted from 125-500 ug
GP/mL of media, until day 8 of differentiation when the cells were collected for
different assays. AdipoRedTM assay and Oil Red O staining showed that GPEP
induced, in a dose-dependent manner, an increase in intracellular triacylglycerol
(TAG) content of adipocytes. Concomitantly, grape powder extracted polyphenols
increased, in a dose-dependent manner, glucose uptake by 3T3-F442A cells, and
there was a strong positive correlation between glucose uptake and the amount of
TAG accumulation (r = 0.826, n = 24, P <= 0.001). No changes in cell viability
was measured by Trypan Blue staining, suggesting that these effects were
independent of cytotoxicity. Western-blot showed that GPEP upregulated protein
level of glucose transport protein 4 (GLUT4), p-PKB/Akt, and p-AMPK in 3T3-F442A
adipocytes. LY294002 (10 umol/L), a phosphatidyl-inositol 3 kinase inhibitor
(PI3K), reversed the effects of grape powder extracted polyphenols on cellular
lipid content and glucose uptake. Furthermore, quantitative real-time polymerase
chain reaction showed that GPEP increased mRNA expression of GLUT4, fatty acid
synthase, lipoprotein lipase, adiponectin, and peroxisome proliferator-activated
receptor gamma, while it decreased mRNA expression of leptin and Insig-1. Our
results indicate that GPEP may induce adipocyte differentiation via upregulation
of GLUT4, PI3K and adipogenic genes. Future research may be directed toward obese
individuals with insulin resistance or individuals with diabetes.
PMID- 27190252
TI - Alteration of FXR phosphorylation and sumoylation in liver in the development of
adult catch-up growth.
AB - Catch-up growth in adult, is increasingly recognized as an important causative
factor for the extremely prevalent insulin resistance-related diseases especially
in developing countries/territories. We aimed to investigate the alteration of
bile acids level, phosphorylation and sumoylation of its interacting protein,
bile acid receptor/farnesoid X receptor and their downstream signaling pathway,
as well as insulin sensitivity and lipid profile in catch-up growth in adult
rats. Male Sprague-Dawley rats were randomly allocated into four groups for two
sampling points: caloric restriction group, catch-up growth in adult refed with
normal chow and their normal chow controls for four or eight weeks (N4, N8
individually).We found that total serum bile acids and farnesoid X receptor
phosphorylation increased without significant changes in farnesoid X receptor
sumoylation and its downstream small heterodimer partner expression at the end of
caloric restriction stage, while the visceral fat decreased and insulin
resistance never occurred in these animals; After refeeding, total serum bile
acids, farnesoid X receptor phosphorylation and sumoylation, as well as Cyp7a1,
SREBP-1c mRNA levels were higher with significant decrease in small heterodimer
partner expression, which is associated fat accumulation, and drastic insulin
resistance in whole body and skeletal muscle. Our findings demonstrated that the
fat accumulation and insulin resistance are associated with increases of bile
acids, alteration of farnesoid X receptor phosphorylation, and sumoylation and
its downstream signaling pathway. These changes of bile acids, farnesoid X
receptor phosphorylation and sumoylation, as well as their downstream signaling
might be of importance in the etiology of fat accumulation and insulin resistance
in catch-up growth in adult.
PMID- 27190253
TI - Emerging nanotechnologies for cancer immunotherapy.
AB - Founded on the growing insight into the complex cancer-immune system
interactions, adjuvant immunotherapies are rapidly emerging and being adapted for
the treatment of various human malignancies. Immune checkpoint inhibitors, for
example, have already shown clinical success. Nevertheless, many approaches are
not optimized, require frequent administration, are associated with systemic
toxicities and only show modest efficacy as monotherapies. Nanotechnology can
potentially enhance the efficacy of such immunotherapies by improving the
delivery, retention and release of immunostimulatory agents and biologicals in
targeted cell populations and tissues. This review presents the current status
and emerging trends in such nanotechnology-based cancer immunotherapies including
the role of nanoparticles as carriers of immunomodulators, nanoparticles-based
cancer vaccines, and depots for sustained immunostimulation. Also highlighted are
key translational challenges and opportunities in this rapidly growing field.
PMID- 27190255
TI - Original Research: Analysis of hepatic microRNA alterations in response to
hepatitis B virus infection and pegylated interferon alpha-2a treatment.
AB - Interferons play important roles in defense mechanisms against viral infection,
and thus interferon therapy has been a standard treatment in chronic hepatitis B
patients. Interferons signaling pathways promote interferon-inducible genes
including microRNAs. In this research, we aimed to determine microRNAs expression
profiles in vitro and in vivo For in vitro model, Huh7 cells were transfected
with or without hepatitis B virus plasmid for 6 h, and then treated with 100 ng
of pegylated-interferon alpha-2a for 24 h. In vivo, we defined microRNAs
expression profiles in pair-liver tissues of chronic hepatitis B patients in
comparison between before and after treatment of pegylated-interferon alpha-2a
for 48 weeks. Cellular small RNAs were extracted followed by library preparation.
To determine microRNAs expression profiles, the next-generation sequencing was
carried out on MiSeq platform (Illumina(r)). In vitro analysis demonstrated that
microRNAs can be classified into up-regulated and down-regulated microRNAs in
response to hepatitis B virus, interferon, and combination of hepatitis B virus
and interferon. Moreover, in vivo analysis revealed microRNAs profiles in non
responders, responders without hepatitis B surface antigen clearance, and
responders with hepatitis B surface antigen clearance. The target genes of the
candidate microRNAs were determined in terms of roles in cellular pathways and
immune response, which might be related to treatment in chronic hepatitis B
patients. Results revealed that two down-regulated microRNAs including miR-185-5p
and miR-186-5p were correlated in both in vitro and in vivo studies. These two
microRNAs might be represented as specific hepatic microRNAs responding to
hepatitis B virus and pegylated-interferon alpha-2a treatment, which may
remarkable and attractive for further study involving in the association of their
target genes and prediction of pegylated-interferon alpha-2a response.
Interestingly, microRNAs expression patterns might be useful for understanding
the response mechanism and serve as biomarkers for prediction of pegylated
interferon alpha-2a treatment response in patients with chronic hepatitis B.
PMID- 27190254
TI - Naturally derived biomaterials for addressing inflammation in tissue
regeneration.
AB - Tissue regeneration strategies have traditionally relied on designing
biomaterials that closely mimic features of the native extracellular matrix (ECM)
as a means to potentially promote site-specific cellular behaviors. However,
inflammation, while a necessary component of wound healing, can alter processes
associated with successful tissue regeneration following an initial injury. These
processes can be further magnified by the implantation of a biomaterial within
the wound site. In addition to designing biomaterials to satisfy biocompatibility
concerns as well as to replicate elements of the composition, structure, and
mechanics of native tissue, we propose that ECM analogs should also include
features that modulate the inflammatory response. Indeed, strategies that
enhance, reduce, or even change the temporal phenotype of inflammatory processes
have unique potential as future pro-regenerative analogs. Here, we review
derivatives of three natural materials with intrinsic anti-inflammatory
properties and discuss their potential to address the challenges of inflammation
in tissue engineering and chronic wounds.
PMID- 27190257
TI - Brief Communication: Featured Article: Histone H2A mono-ubiquitination and
cellular transformation are inversely related in N-nitrosodiethylamine-induced
hepatocellular carcinoma.
AB - Aberrant changes in histone post-translational modifications are encountered
frequently in diseases like cancer. Although histone H3 post-translational
modifications have been extensively studied in context of diseases, the
functionally important histone H2A PTM H2A119ub (H2Aub) has not gained much
attention. In this study, we report that H2Aub markedly decreases in
hepatocellular carcinoma. Usp21, a H2A deubiquitinase, is probably responsible
for decrease in H2Aub. In addition, the H2Aub levels showed an inverse
correlation with H3S10 phosphorylation (H3S10p) and the proliferative state of
the cells. Downregulation of H2Aub is also associated with increased expression
of growth factor gene lipocalin 2. Interestingly, we show that treatment of cells
with histone deacetylase inhibitor trichostatin A results in increase of H2Aub
and decrease in H3S10p. Our work for the first time suggests the in vivo
association of H3S10p, H4ac, and H2A119ub with cellular transformation.
PMID- 27190256
TI - Drug delivery strategies to control macrophages for tissue repair and
regeneration.
AB - Tissue repair and regeneration is a complex process. Our bodies have an excellent
capacity to regenerate damaged tissues in many situations. However, tissue
healing is impaired in injuries that exceed a critical size or are exacerbated by
chronic inflammatory diseases like diabetes. In these instances, biomaterials and
drug delivery strategies are often required to facilitate tissue regeneration by
providing physical and biochemical cues. Inflammation is the body's response to
injury. It is critical for wound healing and biomaterial integration and
vascularization, as long as the timing is well controlled. For example, chronic
inflammation is well known to impair healing in chronic wounds. In this review,
we highlight the importance of a well-controlled inflammatory response, primarily
mediated by macrophages in tissue repair and regeneration and discuss various
strategies designed to promote regeneration by controlling macrophage behavior.
These strategies include temporally controlled delivery of anti-inflammatory
drugs, delivery of macrophages as cellular therapy, controlled release of
cytokines that modulate macrophage phenotype, and the design of nanoparticles
that exploit the inherent phagocytic behavior of macrophages. A clear outcome of
this review is that a deeper understanding of the role and timing of complex
macrophage phenotypes or activation states is required to fully harness their
abilities with drug delivery strategies.
PMID- 27190258
TI - Creating biomaterials with spatially organized functionality.
AB - Biomaterials for tissue engineering provide scaffolds to support cells and guide
tissue regeneration. Despite significant advances in biomaterials design and
fabrication techniques, engineered tissue constructs remain functionally inferior
to native tissues. This is largely due to the inability to recreate the complex
and dynamic hierarchical organization of the extracellular matrix components,
which is intimately linked to a tissue's biological function. This review
discusses current state-of-the-art strategies to control the spatial presentation
of physical and biochemical cues within a biomaterial to recapitulate native
tissue organization and function.
PMID- 27190260
TI - Platelet-mimetic strategies for modulating the wound environment and inflammatory
responses.
AB - Platelets closely interface with the immune system to fight pathogens, target
wound sites, and regulate tissue repair. Natural platelet levels within the body
can be depleted for a variety of reasons, including excessive bleeding following
traumatic injury, or diseases such as cancer and bacterial or viral infections.
Platelet transfusions are commonly used to improve platelet count and hemostatic
function in these cases, but transfusions can be complicated by the contamination
risks and short storage life of donated platelets. Lyophilized platelets that can
be freeze-dried and stored for longer periods of time and synthetic platelet
mimetic technologies that can enhance or replace the functions of natural
platelets, while minimizing adverse immune responses have been explored as
alternatives to transfusion. Synthetic platelets typically comprise nanoparticles
surface-decorated with peptides or ligands to recreate specific biological
characteristics of platelets, including targeting of wound and disease sites and
facilitating platelet aggregation. Recent efforts in synthetic platelet design
have additionally focused on matching platelet shape and mechanics to recreate
the marginalization and clot contraction capabilities of natural platelets. The
ability to specifically tune the properties of synthetic platelet-mimetic
materials has shown utility in a variety of applications including hemostasis,
drug delivery, and targeted delivery of cancer therapeutics.
PMID- 27190261
TI - Isotetrandrine ameliorates tert-butyl hydroperoxide-induced oxidative stress
through upregulation of heme oxygenase-1 expression.
AB - 1R, 1'S-isotetrandrine, a naturally occurring plant alkaloid found in Mahonia of
Berberidaceae, possesses anti-inflammatory, antibacterial, and antiviral
properties, but the antioxidative activity and mechanism action remain unclear.
In this study, we demonstrated the antioxidative effect and mechanism of 1R, 1'S
isotetrandrine against tert-butyl hydroperoxide-induced oxidative damage in HepG2
cells. We found that 1R, 1'S-isotetrandrine suppressed cytotoxicity, reactive
oxygen species generation, and glutathione depletion. Additionally, our study
confirmed that 1R, 1'S-isotetrandrine significantly increased the antioxidant
enzyme heme oxygenase-1 expression and nuclear translocation of factor-erythroid
2 p45-related factor 2 (Nrf2). Specifically, the nuclear translocation of Nrf2
induced by 1R, 1'S-isotetrandrine was associated with Nrf2 negative regulatory
protein Keap1 inactivation and phosphorylation of both extracellular signal
regulated protein kinase and c-Jun NH2-terminal kinase. Preincubation with thiol
reducing agents reduced 1R, 1'S-isotetrandrine-induced heme oxygenase-1
expression, and treatment with either extracellular signal-regulated protein
kinase or c-Jun NH2-terminal kinase inhibitors attenuated the levels of 1R, 1'S
isotetrandrine-induced Nrf2 activation and heme oxygenase-1 expression.
Furthermore, the cytoprotective effect of 1R, 1'S-isotetrandrine was abolished by
heme oxygenase-1, extracellular signal-regulated protein kinase, and c-Jun NH2
terminal kinase inhibitors. These results indicated that the 1R, 1'S
isotetrandrine ameliorated tert-butyl hydroperoxide-induced oxidative damage
through upregulation of heme oxygenase-1 expression by the dissociation of Nrf2
from Nrf2-Keap1 complex via extracellular signal-regulated protein kinase and c
Jun NH2-terminal kinase activation and Keap1 inactivation.
PMID- 27190259
TI - Glycomaterials for probing host-pathogen interactions and the immune response.
AB - The initial engagement of host cells by pathogens is often mediated by glycan
structures presented on the cell surface. Various components of the glycocalyx
can be targeted by pathogens for adhesion to facilitate infection. Glycans also
play integral roles in the modulation of the host immune response to infection.
Therefore, understanding the parameters that define glycan interactions with both
pathogens and the various components of the host immune system can aid in the
development of strategies to prevent, interrupt, or manage infection.
Glycomaterials provide a unique and powerful tool with which to interrogate the
compositional and functional complexity of the glycocalyx. The objective of this
review is to highlight some key contributions from this area of research in
deciphering the mechanisms of pathogenesis and the associated host response.
PMID- 27190262
TI - Original Research: The expression of MMP2 and MMP9 in the hippocampus and
cerebral cortex of newborn mice under maternal lead exposure.
AB - The current study focused on the MMP2 and MMP9 expression in cerebral cortex and
hippocampus of newborn mice under maternal lead exposure. Lead exposure was
initiated from gestation to weaning. Lead acetate was dissolved in deionized
water with concentration of 0.1, 0.2, and 0.5% and was absorbed through daily
drinking. On day 21 after birth, lead in blood and tissue levels was examined by
Graphite Furnace Atomic Absorption Spectrum (GFAAS). The protein expressions of
MMP2 and MMP9 in hippocampus and cerebral cortex tissues were tested by western
blotting and immunohistochemistry. Compared to the control group, blood, cerebral
cortex, and hippocampus lead levels of newborn mice in 0.1, 0.2, and 0.5% lead
exposure groups were markedly high (P < 0.05), and mice within the 0.2 and 0.5%
lead exposure groups performed much worse than that of the control group in Water
Maze test (P < 0.05). Compared with the control group, MMP2 and MMP9 expressions
in hippocampus were up-regulated in the lead exposure groups (P < 0.05), and the
MMP2 and MMP9 expressions in cerebral cortex were also higher (P < 0.05). The
increased expression of MMP2 and MMP9 in the hippocampus and cerebral cortex may
lead to the neurotoxicity in the context of maternal lead exposure.
PMID- 27190263
TI - Promoter methylation status of tumor suppressor genes and inhibition of
expression of DNA methyltransferase 1 in non-small cell lung cancer.
AB - DNA methylation is an epigenetic DNA modification catalyzed by DNA
methyltransferase 1 (DNMT1). The purpose of this study was to investigate DNMT1
gene and protein expression and the effects of methylation status on tumor
suppressor genes in human non-small cell lung cancer (NSCLC) cell lines grown in
vitro and in vivo Human lung adenocarcinoma cell lines, A549 and H838, were grown
in vitro and inoculated subcutaneously into nude mice to form tumors and were
then treated with the DNA methylation inhibitor, 5-aza-2'-deoxycytidine, with and
without treatment with the benzamide histone deacetylase inhibitor, entinostat
(MS-275). DNMT1 protein expression was quantified by Western blot. Promoter
methylation status of tumor suppressor genes (RASSF1A, ASC, APC, MGMT, CDH13,
DAPK, ECAD, P16, and GATA4) was evaluated by methylation-specific polymerase
chain reaction. Methylation status of the tumor suppressor genes was regulated by
the DNMT1 gene, with the decrease of DNMT1 expression following DNA methylation
treatment. Demethylation of tumor suppressor genes (APC, ASC, and RASSF1A)
restored tumor growth in nude mice. The results of this study support a role for
methylation of DNA as a potential epigenetic clinical biomarker of prognosis or
response to therapy and for DNMT1 as a potential therapeutic target in NSCLC.
PMID- 27190264
TI - Original Research: Atorvastatin prevents rat cardiomyocyte hypertrophy induced by
parathyroid hormone 1-34 associated with the Ras-ERK signaling.
AB - We investigated the effects of atorvastatin (Ator) on cardiomyocyte hypertrophy
(CMH) induced by rat parathyroid hormone 1-34 (PTH1-34) and Ras-extracellular
signal regulated protein kinases 1/2 (ERK1/2) signaling. Rat cardiomyocytes were
randomly divided into seven groups: normal controls (NC), PTH1-34 (10(-7) mol/L),
Ator (10(-5) mol/L), farnesyl transferase inhibitors-276 (FTI-276, 4 * 10(-5)
mol/L), PTH1-34 + Ator, PTH1-34 + FTI-276 and PTH1-34 + Ator + mevalonic acid
(MVA, 10(-4) mol/L). After treatment, the hypertrophic responses of
cardiomyocytes were assessed by measuring cell diameter, detecting protein
synthesis, and single-cell protein content. The concentrations of hypertrophic
markers such as atrial natriuretic peptide (ANP) and brain natriuretic peptide
(BNP) were measured by ELISA. Protein expressions of ERK1/2, p-ERK1/2 and Ras
were detected by western blotting. The results showed that compared with the PTH1
34 group, cellular diameter, 3H-leucine incorporation, single-cell protein
content, ANP and BNP concentration decreased by 12.07 um, 1622 cpm/well, 84.34
pg, 7.13 ng/L and 20.04 ug/L, respectively, and the expressions of Ras and p
ERK1/2 were downregulated in PTH1-34 + Ator group (P < 0.05). Compared to the
PTH1-34 + Ator group, the corresponding hypertrophic responses and hypertrophic
markers increased by 4.95 um, 750 cpm/well, 49.08 pg, 3.12 ng/L and 9.35 ug/L,
respectively, and the expressions of Ras and p-ERK1/2 were upregulated in the
PTH1-34 + Ator + MVA group (P < 0.05). In conclusion, Ator prevents neonatal rat
CMH induced by PTH1-34 and Ras-ERK signaling may be involved in this process.
PMID- 27190265
TI - Brief Communication: SIR-2.1-dependent lifespan extension of Caenorhabditis
elegans by oxyresveratrol and resveratrol.
AB - Resveratrol (RES) has been studied for its effects on the lifespan extension of
Caenorhabditis elegans, but controversy still remains on its mechanism related
with SIR-2. In this study, longevity assay was performed to confirm SIR-2
dependent lifespan extension of C. elgeans with RES and oxyresveratrol (OXY), an
isomer of hydroxylated RES using loss-of-function mutants of C. elegans including
sir-2.1 mutant. The results showed that OXY and RES significantly (P < 0.05)
extended the lifespan of C. elegans compared with the control. OXY and RES also
significantly (P < 0.05) increased the mRNA expression levels of sir-2.1 and aak
2 in a dose-dependent manner and increased the protein expression levels of SIR
2.1. OXY and RES treatment extended the lifespan in daf-16 loss-of-function
mutants, which suggested that lifespan extension was not occurring via the
activation of DAF-16. However, OXY and RES failed to extend the lifespan in loss
of-function mutants of sir-2.1 and aak-2 Therefore, OXY and RES extend the
lifespan of C. elegans by overexpression of SIR-2.1, which is related to lifespan
extension through calorie restriction and the AMP-activated protein kinase (AMPK)
pathway, although this process is independent of the FOXO/DAF-16 pathway.
PMID- 27190267
TI - Featured Article: Effect of copper on nuclear translocation of copper chaperone
for superoxide dismutase-1.
AB - Copper chaperone for superoxide dismutase-1 (CCS-1), facilitating copper
insertion into superoxide dismutase 1 (SOD-1), is present in the nucleus.
However, it is unknown how CCS-1 is translocated to the nucleus. The present
study was undertaken to determine the effect of copper on nuclear translocation
of CCS-1. Human umbilical vein endothelial cells (HUVECs) were subjected to
hypoxia, causing an increase in both copper and CCS-1 in the nucleus. Treatment
with tetraethylenepentamine (TEPA) not only decreased the total cellular
concentration and the nuclear translocation of copper, but also completely
suppressed the entry of CCS-1 to the nucleus. On the other hand, siRNA targeting
CCS-1 neither inhibited the increase in total concentrations nor blocked the
nuclear translocation of copper. This study thus demonstrates that under hypoxia
condition, both copper and CCS-1 are transported to the nucleus. The nuclear
translocation of CCS-1 is copper dependent, but the nuclear translocation of
copper could take place alternatively in a CCS-1-independent pathway.
PMID- 27190266
TI - Original Research: Different imiquimod creams resulting in differential effects
for imiquimod-induced psoriatic mouse models.
AB - Imiquimod (IMQ)-induced mouse psoriatic model is one of the useful models
displaying most of psoriatic features. To compare the modeling efficacy of
different IMQ creams, we induced the psoriatic models by topically applying two
different brands of IMQ 5% creams to the shaved Balb/c mice skin and assessed the
results. Balb/c female mice (n = 24) 8-12 weeks of age were randomly divided into
experimental groups A (Likejie), B (Aldara), and control group C (Vaseline);
Likejie, Aldara, or Vaseline was topically applied to the back skin for mice in
groups A, B, and C, respectively, for six consecutive days. The total psoriasis
area and severity index scores of groups A, B, and C were 3.25 +/- 1.56, 9.81 +/-
0.84, and 0, respectively; the Baker's scores were 2.93 +/- 1.07, 6.47 +/- 1.50,
and 0, respectively; and the epidermis thickness was 49.79 +/- 14.16, 85.62 +/-
17.55, and 20.04 +/- 3.68 um, respectively. The differences between the three
groups in dual were statistically significant (P < 0.005 for the groups in dual).
Aldara group showed more characteristic alterations of psoriasiform lesions than
that of Likejie both macroscopically and histopathologically. The results
suggested that different brands of IMQ creams may result in differential efficacy
when performing the IMQ-induced psoriasis mouse models.
PMID- 27190268
TI - Original Research: Establishment of an early embolus-related cerebral injury
model after cardiopulmonary bypass in miniature pigs.
AB - Embolus-related cerebral injury is still a serious adverse event after
cardiopulmonary bypass (CPB). But there is no stable animal model for basic and
clinical research purposes. We chose miniature pig to establish a stable animal
model of embolus-related cerebral injury after CPB and verified the validity of
results by correlating the histopathological findings with those of diffusion
weighted magnetic resonance imaging (DW-MRI). Based on different treatment
regimens, 24 male miniature pigs were randomly assigned into four groups:
Control, CPB, embolus, and CPB-embolus groups. DW-MRI was performed before and
after surgery to diagnose and locate the brain lesions. Histopathological changes
in brain tissues were examined using H&E and Nissl staining. All surgical
procedures were uneventful with 100% postoperative survival of pigs. Two animals
in the Embolus group and six animals in the CPB-embolus group showed signs of
ischemic penumbra on DW-MRI performed 6 h after surgery. Consistent with the
results of DW-MRI, histopathological examination showed necrosis and ischemic
lesions. In this paper, we demonstrate the feasibility and validity of a pig
model of embolus-related cerebral injury associated with CPB. This model may be
used in the future for basic and translational research.
PMID- 27190269
TI - Copper, iron, and selenium dietary deficiencies negatively impact skeletal
integrity: A review.
AB - Nutrients have been known to have a significant role in maintaining the health of
the skeleton, both bone and cartilage. The nutrients that have received the
majority of the attention are Vitamin D and calcium. However, limited attention
has been directed toward three trace elements that may have mechanistic impact
upon the skeletal tissues and could compromise skeletal health resulting from
inadequate intakes of copper, iron, and selenium. The role of copper and selenium
has been known, but the role of iron has only received recent attention. Copper
deficiency is thought to impact bone health by a decrease in lysyl oxidase, a
copper-containing enzyme, which facilitates collagen fibril crosslinking. Iron
deficiency impact upon bone has only recently been discovered but the exact
mechanism on how the deficient states enhance bone pathology is speculative.
Selenium deficiency has an impact on cartilage thereby having an indirect impact
on bone. However, several studies suggest that a mycotoxin when consumed by
humans is the culprit in some cartilage disorders and the presence of selenium
could attenuate the pathology. This review summarizes the current knowledge base
with respect to skeletal integrity when each of these three trace elements are
inadequate in diets of both animals and humans.
PMID- 27190270
TI - Original Research: Label-free detection for radiation-induced apoptosis in
glioblastoma cells.
AB - Current flow cytometry (FCM) requires fluorescent dyes labeling cells which make
the procedure costly and time consuming. This manuscript reports a feasibility
study of detecting the cell apoptosis with a label-free method in glioblastoma
cells. A human glioma cell line M059K was exposed to 8 Gy dose of radiation,
which enables the cells to undergo radiation-induced apoptosis. The rates of
apoptosis were studied at different time points post-irradiation with two
different methods: FCM in combination with Annexin V-FITC/PI staining and a newly
developed technique named polarization diffraction imaging flow cytometry.
Totally 1000 diffraction images were acquired for each sample and the gray level
co-occurrence matrix (GLCM) algorithm was used in morphological characterization
of the apoptotic cells. Among the feature parameters extracted from each image
pair, we found that the two GLCM parameters of angular second moment (ASM) and
sum entropy (SumEnt) exhibit high sensitivities and consistencies as the
apoptotic rates (Pa) measured with FCM method. In addition, no significant
difference exists between Pa and ASM_S, Pa and SumEnt_S, respectively (P > 0.05).
These results demonstrated that the new label-free method can detect cell
apoptosis effectively. Cells can be directly used in the subsequent biochemical
experiments as the structure and function of cells and biomolecules are well
preserved with this new method.
PMID- 27190272
TI - Gene-gene interaction of erythropoietin gene polymorphisms and diabetic
retinopathy in Chinese Han.
AB - The aim of this study was to investigate the association of three single
nucleotide polymorphisms in the erythropoietin gene polymorphisms with diabetic
retinopathy and additional role of gene-gene interaction on diabetic retinopathy
risk. A total of 1193 patients (579 men, 614 women) with type 2 diabetes mellitus
were selected, including 397 diabetic retinopathy patients and 796 controls (type
2 diabetes mellitus patients without diabetic retinopathy); the mean age of all
participants was 56.7 +/- 13.9 years. Three single nucleotide polymorphisms were
selected: rs507392, rs1617640, and rs551238. The t-test was used for comparison
of erythropoietin protein level erythropoietin levels in patients having
different erythropoietin genotypes. Logistic regression model was used to examine
the association between three single nucleotide polymorphisms and diabetic
retinopathy. Odds ratio (OR) and 95% confident interval (95% CI) were calculated.
Generalized multifactor dimensionality reduction was employed to analyze the
impact of interaction among three single nucleotide polymorphisms on CVD risk.
After covariates adjustment, the carriers of homozygous mutant of three single
nucleotide polymorphisms have higher diabetic retinopathy risk than those with
wild-type homozygotes, OR (95% CI) were 2.04 (1.12-2.35), 1.87 (1.10-2.41) and
1.15 (1.06-1.76), respectively. Generalized multifactor dimensionality reduction
model indicated a significant three-locus model (p = 0.0010) involving rs507392,
rs1617640, and rs551238. Overall, the three-locus models had a cross-validation
consistency of 10 of 10, and had the testing accuracy of 60.72%. Subjects with TC
or CC-TG or GG-AC or CC genotype have the highest diabetic retinopathy risk. In
conclusion, our results support an important association of rs507392, rs1617640
and rs551238 minor allele of erythropoietin with increased diabetic retinopathy
risk, and additional interaction among three single nucleotide polymorphisms.
PMID- 27190271
TI - Deciphering signaling networks in osteosarcoma pathobiology.
AB - Osteosarcoma is the most frequent type of primary bone tumors among children and
adolescents. During the past years, little progress has been made regarding
prognosis of osteosarcoma patients, especially for those with metastatic disease.
Genomic instability and gene alterations are common, but current data do not
reveal a consistent and repeatable pattern of osteosarcoma development, thus
paralleling the tumor's high heterogeneity. Critical signal transduction pathways
have been implicated in osteosarcoma pathobiology and are being evaluated as
therapeutic targets, including receptor activator for nuclear factor-kappaB
(RANK), Wnt, Notch, phosphatidylinositol 3-kinase/Akt/mammalian target of
rapamycin, and mechanotransduction pathways. Herein, we recapitulate and discuss
recent advances in the context of molecular mechanisms and signaling networks
that contribute to osteosarcoma progression and metastasis, towards patient
tailored and novel-targeted treatments.
PMID- 27190273
TI - The use of CD47-modified biomaterials to mitigate the immune response.
AB - Addressing the aberrant interactions between immune cells and biomaterials
represents an unmet need in biomaterial research. Although progress has been made
in the development of bioinert coatings, identifying and targeting relevant
cellular and molecular pathways can provide additional therapeutic strategies to
address this major healthcare concern. To that end, we describe the immune
inhibitory motif, receptor-ligand pairing of signal regulatory protein alpha and
its cognate ligand CD47 as a potential signaling pathway to enhance
biocompatibility. The goals of this article are to detail the known roles of CD47
signal regulatory protein alpha signal transduction pathway and to describe how
immobilized CD47 can be used to mitigate the immune response to biomaterials.
Current applications of CD47-modified biomaterials will also be discussed herein.
PMID- 27190274
TI - Polyunsaturated fatty acid induces cardioprotection against ischemia-reperfusion
through the inhibition of NF-kappaB and induction of Nrf2.
AB - The mechanistic evidence to support the cardioprotective effects of
polyunsaturated fatty acids (PUFA) are controversial. The aim was to test
cardioprotective mechanisms induced by PUFA supplementation against cardiac
ischemia-reperfusion (IR) injury. Ten-week-old male Wistar rats (225 +/- 14 g, n
= 14) were divided in two groups: rats without supplementation ( n = 7) and a
PUFA group, supplemented by PUFA (0.6 g/kg/day; DHA:EPA = 3:1) for eight weeks (
n = 7). Hearts were perfused with Krebs-Henseleit buffer for 20 min (control
conditions); others were subjected to control conditions, 30 min of global
ischemia and 120 min of reperfusion (IR group). Infarct size (IS) and left
ventricular developed pressure (LVDP) were measured at 120 min of reperfusion.
Oxidative stress biomarkers (TBARS, total carbonyls), antioxidant status (CAT,
catalase; SOD, superoxide dismutase; GSH-Px, glutathione peroxidase activity and
GSH/GSSG ratio), myeloperoxidase activity, ATP levels and nuclear transcription
factor erythroid 2-related factor 2 (Nrf2) and nuclear factor kappaB (NF-kappaB)
were determined in both experimental conditions. At the end of reperfusion,
hearts supplemented with PUFA showed lower IS and a higher LVDP compared with the
nonsupplemented rats. Hearts in the group supplemented with PUFA showed lower
levels of oxidative stress markers and higher antioxidant activity, decreased MPO
activity and NF-kappaB and Nrf2 activation compared with the nonsupplemented
group. Cardioprotective effects of PUFA are exerted through induction of anti
inflammatory and antioxidant mechanism at tissue level.
PMID- 27190275
TI - Original Research: Orexins A and B stimulate proliferation and differentiation of
porcine preadipocytes.
AB - Orexin A (OXA) and B (OXB) are neuropeptides which regulate appetite, energy
expenditure, and arousal via G-protein coupled receptors termed as OXR1 and OXR2.
The aim of this study was to characterize the effects of OXA and OXB on
proliferation and differentiation of porcine preadipocytes. Porcine preadipocytes
express both OXRs. OXA and OXB enhance porcine preadipocyte proliferation by
54.8% or 63.2 %, respectively. OXA and OXB potentiate differentiation of porcine
preadipocytes, as judged by the increased lipid accumulation and expression of
proadipogenic genes. Cellular lipid content after exposure of preadipocytes for
six days to 100 nM OXA or OXB increased by 82.2% or 59.2%, respectively. OXA and
OXB suppressed glycerol release by 23.9% or 24.9% in preadipocytes differentiated
for six days. OXA (100 nM) increased peroxisome proliferator-activated receptor
gamma (PPARgamma) expression in cells differentiated for 24 h by 100.5%.
PPARgamma expression was also stimulated in preadipocytes differentiated in the
presence of 10 nM (58.3%) or 100 nM OXA (50.6%) for three days. OXB potentiated
PPARgamma mRNA expression at 1 nM (59%), 10 nM (53.2%), and 100 nM (73.9%) in
cells differentiated for three days. OXA increased CCAAT/enhancer binding protein
alpha expression in preadipocytes differentiated for six days by 65%. OXB
stimulated CCAAT/enhancer binding protein beta expression in preadipocytes
differentiated for three days at 10 nM (149.5%) as well as 100 nM (207.2%).
Lipoprotein lipase mRNA expression increased in cells treated with 10 nM OXA by
152.6% and 100 nM OXA by 162%. Lipoprotein lipase expression increased by 134% at
100 nM OXB. Furthermore, OXA (100 nM) and OXB (100 nM) increased leptin mRNA
expression in preadipocytes differentiated for three days by 49.9% or 71.3%,
respectively. These data indicate that orexin receptors may be relevant in the
context of white adipose tissue formation.
PMID- 27190277
TI - Resveratrol counters systemic lupus erythematosus-associated atherogenicity by
normalizing cholesterol efflux.
AB - Resveratrol is a bioactive molecule used in dietary supplements and herbal
medicines and consumed worldwide. Numerous investigations by our group and others
have indicated cardioprotective and anti-inflammatory properties of resveratrol.
The present study explored potential atheroprotective actions of resveratrol on
cholesterol efflux in cultured human macrophages exposed to plasma from systemic
lupus erythematosus (SLE) patients. These results were confirmed in ApoE(-/-)Fas(
/-) double knockout mice, displaying a lupus profile with accelerated
atherosclerosis. Resveratrol treatment attenuated atherosclerosis in these mice.
THP-1 human macrophages were exposed to 10% pooled or individual plasma from
patients who met diagnostic criteria for SLE. Expression of multiple proteins
involved in reverse cholesterol transport (ABCA1, ABCG1, SR-B1, and cytochrome
P450 27-hydroxylase) was assessed using QRT-PCR and Western blotting techniques.
Ten-week-old ApoE(-/-)Fas(-/-) double knockout mice (n = 30) were randomly
divided into two equal groups of 15, one of which received 0.01% resveratrol for
10 consecutive weeks. Atherosclerosis progression was evaluated in murine aortas.
Bone marrow-derived macrophages (BMDM) were cultured and expression of
cholesterol efflux proteins was analyzed in each group of mice. Our data indicate
that inhibition of cholesterol efflux by lupus plasma in THP-1 human macrophages
is rescued by resveratrol. Similarly, administration of resveratrol in a lupus
like murine model reduces plaque formation in vivo and augments cholesterol
efflux in BMDM. This study presents evidence for a beneficial role of resveratrol
in atherosclerosis in the specific setting of SLE. Therefore, resveratrol may
merit investigation as an additional resource available to reduce lipid
deposition and atherosclerosis in humans, especially in such vulnerable
populations as lupus patients.
PMID- 27190278
TI - Detection of expressional changes induced by intrauterine growth restriction in
the developing rat pancreas.
AB - Intrauterine growth retardation (IUGR) is a disorder that can result in permanent
changes in the physiology and metabolism of the newborn, which increased the risk
of disease in adulthood. Evidence supports IUGR as a risk factor for the
development of diabetes mellitus, which could reflect changes in pancreas
developmental pathways. We sought to characterize the IUGR-induced alterations of
the complex pathways of pancreas development in a rat model of IUGR. We analyzed
the pancreases of Sprague Dawley rats after inducing IUGR by feeding a maternal
low calorie diet from gestational day 1 until term. IUGR altered the pancreatic
structure, islet areas, and islet quantities and resulted in abnormal
morphological changes during pancreatic development, as determined by HE staining
and light microscopy. We identified multiple differentially expressed genes in
the pancreas by RT-PCR. The genes of the insulin/FoxO1/Pdx1/MafA signaling
pathway were first expressed at embryonic day 14 (E14). The expressions of
insulin and MafA increased as the fetus grew while the expressions of FoxO1 and
Pdx1 decreased. Compared with the control rats, the expressions of FoxO1, Pdx1,
and MafA were lower in the IUGR rats, whereas insulin levels showed no change.
Microarray profiling, in combination with quantitative real-time PCR, uncovered a
subset of microRNAs that changed in their degree of expression throughout
pancreatic development. In conclusion, our data support the hypothesis that IUGR
influences the development of the rat pancreas. We also identified new pathways
that appear to be programmed by IUGR.
PMID- 27190279
TI - Differential Time Course of Microstructural White Matter in Patients With
Psychotic Disorder and Individuals at Risk: A 3-Year Follow-up Study.
AB - BACKGROUND: Although widespread reduced white matter (WM) integrity is a
consistent finding in cross-sectional diffusion tensor imaging (DTI) studies of
schizophrenia, little is known about the course of these alterations. This study
examined to what degree microstructural WM alterations display differential
trajectories over time as a function of level of psychosis liability. METHODS:
Two DTI scans with a 3-year time interval were acquired from 159 participants (55
patients with a psychotic disorder, 55 nonpsychotic siblings and 49 healthy
controls) and processed with tract-based spatial statistics. The mean fractional
anisotropy (FA) change over time was calculated. Main effects of group, as well
as group * region interactions in the model of FA change were examined with
multilevel (mixed-effects) models. RESULTS: Siblings revealed a significant mean
FA decrease over time compared to controls (B = -0.004, P = .04), resulting in a
significant sibling-control difference at follow-up (B = -0.007, P = .03).
Patients did not show a significant change over time, but their mean FA was lower
than controls both at baseline and at follow-up. A significant group * region
interaction (chi2 = 105.4, P = .01) revealed group differences in FA change in
the right cingulum, left posterior thalamic radiation, right retrolenticular part
of the internal capsule, and the right posterior corona radiata. CONCLUSION:
Whole brain mean FA remained stable over a 3-year period in patients with
psychotic disorder and declined over time in nonaffected siblings, so that at
follow-up both groups had lower FA with respect to controls. The results suggest
that liability for psychosis may involve a process of WM alterations.
PMID- 27190276
TI - Intermittent hypoxia training protects cerebrovascular function in Alzheimer's
disease.
AB - Alzheimer's disease (AD) is a leading cause of death and disability among older
adults. Modifiable vascular risk factors for AD (VRF) include obesity,
hypertension, type 2 diabetes mellitus, sleep apnea, and metabolic syndrome.
Here, interactions between cerebrovascular function and development of AD are
reviewed, as are interventions to improve cerebral blood flow and reduce VRF.
Atherosclerosis and small vessel cerebral disease impair metabolic regulation of
cerebral blood flow and, along with microvascular rarefaction and altered trans
capillary exchange, create conditions favoring AD development. Although currently
there are no definitive therapies for treatment or prevention of AD, reduction of
VRFs lowers the risk for cognitive decline. There is increasing evidence that
brief repeated exposures to moderate hypoxia, i.e. intermittent hypoxic training
(IHT), improve cerebral vascular function and reduce VRFs including systemic
hypertension, cardiac arrhythmias, and mental stress. In experimental AD, IHT
nearly prevented endothelial dysfunction of both cerebral and extra-cerebral
blood vessels, rarefaction of the brain vascular network, and the loss of neurons
in the brain cortex. Associated with these vasoprotective effects, IHT improved
memory and lessened AD pathology. IHT increases endothelial production of nitric
oxide (NO), thereby increasing regional cerebral blood flow and augmenting the
vaso- and neuroprotective effects of endothelial NO. On the other hand, in AD
excessive production of NO in microglia, astrocytes, and cortical neurons
generates neurotoxic peroxynitrite. IHT enhances storage of excessive NO in the
form of S-nitrosothiols and dinitrosyl iron complexes. Oxidative stress plays a
pivotal role in the pathogenesis of AD, and IHT reduces oxidative stress in a
number of experimental pathologies. Beneficial effects of IHT in experimental
neuropathologies other than AD, including dyscirculatory encephalopathy, ischemic
stroke injury, audiogenic epilepsy, spinal cord injury, and alcohol withdrawal
stress have also been reported. Further research on the potential benefits of IHT
in AD and other brain pathologies is warranted.
PMID- 27190282
TI - A Positive Detour.
PMID- 27190280
TI - Predictive Motor Timing and the Cerebellar Vermis in Schizophrenia: An fMRI
Study.
AB - Abnormalities in both time processing and dopamine (DA) neurotransmission have
been observed in schizophrenia. Time processing seems to be linked to DA
neurotransmission. The cognitive dysmetria hypothesis postulates that psychosis
might be a manifestation of the loss of coordination of mental processes due to
impaired timing. The objective of the present study was to analyze timing
abilities and their corresponding functional neuroanatomy in schizophrenia. We
performed a functional magnetic resonance imaging (fMRI) study using a predictive
motor timing paradigm in 28 schizophrenia patients and 27 matched healthy
controls (HC). The schizophrenia patients showed accelerated time processing
compared to HC; the amount of the acceleration positively correlated with the
degree of positive psychotic symptoms and negatively correlated with
antipsychotic dose. This dysfunctional predictive timing was associated with BOLD
signal activity alterations in several brain networks, especially those
previously described as timing networks (basal ganglia, cerebellum, SMA, and
insula) and reward networks (hippocampus, amygdala, and NAcc). BOLD signal
activity in the cerebellar vermis was negatively associated with accelerated time
processing. Several lines of evidence suggest a direct link between DA
transmission and the cerebellar vermis that could explain their relevance for the
neurobiology of schizophrenia.
PMID- 27190283
TI - Characterization of a highly potent antimicrobial peptide microcin N from
uropathogenic Escherichia coli.
AB - Microcin N is a low-molecular weight, highly active antimicrobial peptide
produced by uropathogenic Escherichia coli In this study, the native peptide was
expressed and purified from pGOB18 plasmid carrying E. coli in low yield. The
pure peptide was characterized using mass spectrometry, N-terminal sequencing by
Edman degradation as well as trypsin digestion. We found that the peptide is 74
residue long, cationic (+2 total charge), highly hydrophobic and consists of
glycine as the first N-terminal residue. The minimum inhibitory concentration of
the peptide against Salmonella enteritidis was found to be 150 nM. Evaluation of
the solution conformation of the peptide using circular dichroism spectroscopy
showed that the peptide is well folded in 40% trifluoroethanol with helical
structure whereas the folded structure is lost in aqueous solution. To increase
the yield of this potent peptide, we overexpressed GST-tagged microcin N using E.
coli BL21. Recombinant GST-tagged microcin N was successfully expressed in E.
coli BL21; however, the cleaved mature microcin N did not show activity against
the indicator strain (S. enterica) most likely due to the extreme hydrophobic
nature of the peptide. Efforts to produce active microcin N in large scale are
discussed as this peptide has huge potential to be the next generation
antimicrobial agent.
PMID- 27190281
TI - White Matter Abnormalities Associated With Subsyndromal Psychotic-Like Symptoms
Predict Later Social Competence in Children and Adolescents.
AB - INTRODUCTION: Recent data suggest that healthy children and adolescents who
report psychotic-like experiences (PLEs) evidence abnormalities in white matter
(WM). To date, no study has examined whether WM abnormalities associated with
PLEs are predictive of outcome at a later time-point. The present study examined
whether abnormalities in WM associated with PLEs in children and adolescents at a
baseline assessment were predictive of social functioning at a 12-month follow
up. SUBJECTS AND METHODS: Healthy children and adolescents aged 8-18 years (N =
56) were recruited from the community and received a diffusion tensor imaging
exam and a clinical exam at baseline. Voxel-wise statistical analysis of
fractional anisotropy (FA), using Tract-Based Spatial Statistics, and
probabilistic tractography were used to identify WM abnormalities associated with
PLEs at baseline. These abnormalities were then examined for association to
social problems and social competence in 28 participants at 12-month follow-up.
RESULTS: Lower FA in regions proximal to the superior longitudinal fasciculus
(SLF) and corticospinal tract bilaterally as well as in the left inferior fronto
occipital fasciculus and inferior longitudinal fasciculus were associated with
higher levels of PLEs at baseline. Moreover, baseline FA in the SLF, but not
baseline severity of PLEs, was significantly predictive of social competence at a
12-month follow-up. In contrast, baseline severity of PLEs, but not baseline FA
in the SLF, predicted social problems at 12-month follow-up. DISCUSSION: These
findings suggest that alterations in WM, which are associated with symptoms of
psychosis well below the threshold of clinical significance, may have significant
ramifications for later social development.
PMID- 27190284
TI - Vitamin C induced DevR-dependent synchronization of Mycobacterium smegmatis
growth and its effect on the proliferation of mycobacteriophage D29.
AB - Vitamin C is known to inhibit mycobacterial growth by acting as a hypoxia
inducing agent. While investigating how mycobacteriophage growth is influenced by
hypoxic conditions induced by vitamin C, using Mycobacterium smegmatis-
mycobacteriophage D29 as a model system, it was observed that prior exposure of
the host to such conditions resulted in increased burst size of the phage.
Vitamin C pre-exposure was also found to induce synchronous growth of the host. A
mutant defective in DevR, the response regulator that controls hypoxic responses
in mycobacteria, neither supported higher phage bursts nor was it able to undergo
synchronized growth following vitamin C pre-exposure, indicating thereby that the
two phenomena are interrelated. Further evidence supporting such an
interrelationship was obtained from the observation that phage burst sizes varied
depending on the stage of synchronous growth that the host cells were in, at the
time of infection-higher bursts were observed in the resting/synthetic phases and
lower in the dividing ones. The effects were specific in nature as
synchronization by an unrelated method, known as 'crowding', did not lead to the
same consequence. The results indicate that growth synchronization induced by
vitamin C treatment is a DevR-dependent phenomenon which is exploited by
mycobacteriophage D29 to grow in larger numbers.
PMID- 27190286
TI - Contribution of chloride channel permease to fluoride resistance in Streptococcus
mutans.
AB - Genes encoding fluoride transporters have been identified in bacterial and
archaeal species. The genome sequence of the cariogenic Streptococcus mutans
bacteria suggests the presence of a putative fluoride transporter, which is
referred to as a chloride channel permease. Two homologues of this gene (GenBank
locus tags SMU_1290c and SMU_1289c) reside in tandem in the genome of S. mutans
The aim of this study was to determine whether the chloride channel permeases
contribute to fluoride resistance. We constructed SMU_1290c- and SMU_1289c
knockout S. mutans UA159 strains. We also constructed a double-knockout strain
lacking both genes. SMU_1290c or SMU_1289c was transformed into a fluoride
transporter- disrupted Escherichia coli strain. All bacterial strains were
cultured under appropriate conditions with or without sodium fluoride, and
fluoride resistance was evaluated. All three gene-knockout S. mutans strains
showed lower resistance to sodium fluoride than did the wild-type strain. No
significant changes in resistance to other sodium halides were recognized between
the wild-type and double-knockout strains. Both SMU_1290c and SMU_1289c
transformation rescued fluoride transporter-disrupted E. coli cell from fluoride
toxicity. We conclude that the chloride channel permeases contribute to fluoride
resistance in S. mutans.
PMID- 27190285
TI - Properties and biotechnological applications of ice-binding proteins in bacteria.
AB - Ice-binding proteins (IBPs), such as antifreeze proteins (AFPs) and ice
nucleating proteins (INPs), have been described in diverse cold-adapted
organisms, and their potential applications in biotechnology have been recognized
in various fields. Currently, both IBPs are being applied to biotechnological
processes, primarily in medicine and the food industry. However, our knowledge
regarding the diversity of bacterial IBPs is limited; few studies have purified
and characterized AFPs and INPs from bacteria. Phenotypically verified IBPs have
been described in members belonging to Gammaproteobacteria, Actinobacteria and
Flavobacteriia classes, whereas putative IBPs have been found in
Gammaproteobacteria, Alphaproteobacteria and Bacilli classes. Thus, the main goal
of this minireview is to summarize the current information on bacterial IBPs and
their application in biotechnology, emphasizing the potential application in less
explored fields such as agriculture. Investigations have suggested the use of INP
producing bacteria antagonists and AFPs-producing bacteria (or their AFPs) as a
very attractive strategy to prevent frost damages in crops. UniProt database
analyses of reported IBPs (phenotypically verified) and putative IBPs also show
the limited information available on bacterial IBPs and indicate that major
studies are required.
PMID- 27190287
TI - Resistance-nodulation-division efflux pump acrAB is modulated by florfenicol and
contributes to drug resistance in the fish pathogen Piscirickettsia salmonis.
AB - Piscirickettsia salmonis is a fastidious intracellular pathogen responsible for
high mortality rates in farmed salmonids, with serious economic consequences for
the Chilean aquaculture industry. Oxytetracycline and florfenicol are the most
frequently used antibiotics against P. salmonis, but routine use could contribute
to drug resistance. This study identified differentiated florfenicol
susceptibilities in two P. salmonis strains, LF-89 and AUSTRAL-005. The less
susceptible isolate, AUSTRAL-005, also showed a high ethidium bromide efflux
rate, indicating a higher activity of general efflux pump genes than LF-89. The
P. salmonis genome presented resistance nodulation division (RND) family members,
a family containing typical multidrug resistance-related efflux pumps in Gram
negative bacteria. Additionally, efflux pump acrAB genes were overexpressed in
AUSTRAL-005 following exposure to the tolerated maximal concentration of
florfenicol, in contrast to LF-89. These results indicate that tolerated maximum
concentrations of florfenicol can modulate RND gene expression and increase
efflux pump activity. We propose that the acrAB efflux pump is essential for P.
salmonis survival at critical florfenicol concentrations and for the generation
of antibiotic-resistant bacterial strains.
PMID- 27190288
TI - Microbial impact on polysulfide dynamics in the environment.
AB - Polysulfides (Sx (2-)) are sulfide oxidation intermediates that are important for
a variety of environmentally relevant processes including pyrite formation,
organic matter sulfidization, isotope exchange among reduced sulfur species, and
metal chelation. In addition to their chemical reactivity, laboratory experiments
with microbial cultures and enzymes indicate both indirect and direct roles for
microorganisms in affecting polysulfide chemistry in natural environments through
production and consumption. As polysulfides have been detected in a wide array of
natural systems ranging from microbial mats to hydrothermal vents, constraining
their biogeochemical cycling has broad impacts. However, many questions remain
regarding the processes responsible for polysulfide dynamics in these
environments and the precise role that microorganisms play in these processes.
This review provides a summary of laboratory experiments investigating the role
of polysulfides in microbial metabolism, and observations of polysulfides in the
environment in order to provide further insight into and highlight open questions
about this significant component of the sulfur cycle.
PMID- 27190290
TI - Widespread ability of fungi to drive quinone redox cycling for biodegradation.
AB - Wood-rotting fungi possess remarkably diverse extracellular oxidation mechanisms,
including enzymes, such as laccase and peroxidases, and Fenton chemistry. The
ability to biologically drive Fenton chemistry by the redox cycling of quinones
has previously been reported to be present in both ecologically diverging main
groups of wood-rotting basidiomycetes. Therefore, we investigated whether it is
even more widespread among fungal organisms. Screening of a diverse selection of
a total of 18 ascomycetes and basidiomycetes for reduction of the model compound
2,6-dimethoxy benzoquinone revealed that all investigated strains were capable of
reducing it to its corresponding hydroquinone. In a second step, depolymerization
of the synthetic polymer polystyrene sulfonate was used as a proxy for quinone
dependent Fenton-based biodegradation capabilities. A diverse subset of the
strains, including environmentally ubiquitous molds, white-rot fungi, as well as
peatland and aquatic isolates, caused substantial depolymerization indicative for
the effective employment of quinone redox cycling as biodegradation tool. Our
results may also open up new paths to utilize diverse fungi for the
bioremediation of recalcitrant organic pollutants.
PMID- 27190289
TI - The ferrichrome receptor A as a new target for Pseudomonas aeruginosa virulence
attenuation.
AB - Pseudomonas aeruginosa is an opportunistic pathogen, known to develop robust
biofilms. Its biofilm development increases when antibiotics are presented at
subminimal inhibitory concentrations (MICs) for reasons that remain unclear. In
order to identify genes that affect biofilm development under such a sublethal
antibiotic stress condition, we screened a transposon (Tn) mutant library of
PAO1, a prototype P. aeruginosa strain. Among ~5000 mutants, a fiuA gene mutant
was verified to form very defective biofilms in the presence of sub-MIC
carbenicillin. The fiuA gene encodes ferrichrome receptor A, involved in the iron
acquisition process. Of note, biofilm formation was not decreased in the
DeltapchDeltapvd mutant defective in the production of pyochelin and pyoverdine,
two well-characterized P. aeruginosa siderophore molecules. Moreover, DeltafiuA,
a non-polar fiuA deletion mutant, produced a significantly decreased level of
elastase, a major virulence determinant. Mouse airway infection experiments
revealed that the mutant expressed significantly less pathogenicity. Our results
suggest that the fiuA gene has pleiotropic functions that affect P. aeruginosa
biofilm development and virulence. The targeting of FiuA could enable the
attenuation of P. aeruginosa virulence and may be suitable for the development of
a drug that specifically controls the virulence of this important pathogen.
PMID- 27190292
TI - Nicotinamide cofactor ratios in engineered strains of Clostridium thermocellum
and Thermoanaerobacterium saccharolyticum.
AB - Clostridium thermocellum and Thermoanaerobacterium saccharolyticum are bacteria
under investigation for production of biofuels from plant biomass.
Thermoanaerobacterium saccharolyticum has been engineered to produce ethanol at
high yield (>90% of theoretical) and titer (>70 g/l). Efforts to engineer C.
thermocellum have not, to date, been as successful, and efforts are underway to
transfer the ethanol production pathway from T. saccharolyticum to C.
thermocellum One potential challenge in transferring metabolic pathways is the
possibility of incompatible levels of nicotinamide cofactors. These cofactors
(NAD(+), NADH, NADP(+) and NADPH) and their oxidation state are important in the
context of microbial redox metabolism. In this study we directly measured the
concentrations and reduced oxidized ratios of these cofactors in a number of
strains of C. thermocellum and T. saccharolyticum by using acid/base extraction
and enzymatic assays. We found that cofactor ratios are maintained in a fairly
narrow range, regardless of the metabolic network modifications considered. We
have found that the ratios are similar in both organisms, which is a relevant
observation in the context of transferring the T. saccharolyticum ethanol
production pathway to C. thermocellum.
PMID- 27190291
TI - Endophytic fungi associated with Sudanese medicinal plants show cytotoxic and
antibiotic potential.
AB - In this study, we isolated 15 endophytic fungi from five Sudanese medicinal
plants. Each fungal endophytic strain was identified by sequencing of internal
transcribed spacer (ITS) regions of rDNA. Ethyl acetate extracts were prepared
from each endophyte cultivated in vitro and tested for their respective
antibacterial activities and antiproliferative activities against human cancer
cells. Antibacterial screening was carried out against two bacterial strains:
Gram-negative Escherichia coli and Gram-positive methicillin-resistant
Staphylococcus aureus, by the broth dilution method. Cell viability was evaluated
by the MTT procedure after exposure of MCF7 breast cancer cells and HT29 or
HCT116 human colon adenocarcinoma cells to each endophytic extract. Of interest,
Byssochlamys spectabilis isolated from Euphorbia prostata showed cytotoxicity
(IC50 = 1.51 +/- 0.2 MUg mL(-1)) against MCF7 cells, but had a low effect against
HT29 or HCT116 cells (IC50 > 20 MUg mL(-1)). Cladosporium cladosporioides 2,
isolated from Vernonia amygdalina leaves, showed antiproliferative activities
against MCF7 cells (IC50 = 10.5 +/- 1.5 MUg mL(-1)) only. On the other hand, B.
spectabilis and Alternaria sp. extract had antibacterial activities against the
S. aureus strain. The findings of this work revealed that endophytic fungi
associated with medicinal plants from Sudan could be considered as an attractive
source of new therapeutic compounds.
PMID- 27190293
TI - A case in support of implementing innovative bio-processes in the metal mining
industry.
AB - The metal mining industry faces many large challenges in future years, among
which is the increasing need to process low-grade ores as accessible higher grade
ores become depleted. This is against a backdrop of increasing global demands for
base and precious metals, and rare earth elements. Typically about 99% of solid
material hauled to, and ground at, the land surface currently ends up as waste
(rock dumps and mineral tailings). Exposure of these to air and water frequently
leads to the formation of acidic, metal-contaminated run-off waters, referred to
as acid mine drainage, which constitutes a severe threat to the environment.
Formation of acid drainage is a natural phenomenon involving various species of
lithotrophic (literally 'rock-eating') bacteria and archaea, which oxidize
reduced forms of iron and/or sulfur. However, other microorganisms that reduce
inorganic sulfur compounds can essentially reverse this process. These
microorganisms can be applied on industrial scale to precipitate metals from
industrial mineral leachates and acid mine drainage streams, resulting in a net
improvement in metal recovery, while minimizing the amounts of leachable metals
to the tailings storage dams. Here, we advocate that more extensive exploitation
of microorganisms in metal mining operations could be an important way to green
up the industry, reducing environmental risks and improving the efficiency and
the economy of metal recovery.
PMID- 27190295
TI - Purification, characterization, and function analysis of an extracellular beta
glucosidase from elongating stipe cell walls in Coprinopsis cinerea.
PMID- 27190294
TI - Cloning, expression and mutation of a triazophos hydrolase gene from Burkholderia
sp. SZL-1.
AB - Triazophos is a broad-spectrum and highly effective insecticide, and the residues
of triazophos have been frequently detected in the environment. A triazophos
degrading bacterium, Burkholderia sp. SZL-1, was isolated from a long-term
triazophos-polluted soil. Strain SZL-1 could hydrolyze triazophos to 1-phenyl-3
hydroxy-1,2,4-triazole, which was further utilized as the carbon sources for
growth. The triazophos hydrolase gene trhA, cloned from strain SZL-1, was
expressed and homogenously purified using Ni-nitrilotriacetic acid affinity
chromatography. TrhA is 55 kDa and displays maximum activity at 25 degrees C, pH
8.0. This enzyme still has nearly 60% activity at the range of 15 degrees C-50
degrees C for 30 min. TrhA was mutated by sequential error prone PCR and screened
for improved activity for triazophos degradation. One purified variant protein
(Val89-Gly89) named TrhA-M1 showed up to 3-fold improvement in specific activity
against triazophos, and the specificity constants of Kcat and Kcat/Km for TrhA-M1
were improved up to 2.3- and 8.28-fold, respectively, compared to the wild-type
enzyme. The results in this paper provided potential material for the
contaminated soil remediation and hydrolase genetic structure research.
PMID- 27190296
TI - Sickle cell disease severity: an introduction.
PMID- 27190303
TI - A novel microfluidic assay reveals a key role for protein kinase C delta in
regulating human neutrophil-endothelium interaction.
AB - A key step in neutrophil-mediated tissue damage is the migration of activated
neutrophils across the vascular endothelium. Previously, we identified protein
kinase C delta as a critical regulator of neutrophil migration in sepsis but did
not identify specific steps in migration. In this study, we used our novel
biomimetic microfluidic assay to delineate systematically the mechanism by which
protein kinase C delta regulates individual steps in human neutrophil-endothelial
interaction during inflammation. The biomimetic microfluidic assay includes a
network of vascular channels, produced from in vivo images connected to a tissue
compartment through a porous barrier. HUVECs cultured in vascular channels formed
a complete lumen under physiologic shear flow. HUVECs were pretreated with TNF
alpha +/- a protein kinase C delta inhibitor, and the tissue compartment was
filled with a chemoattractant (fMLP or IL-8). Under physiologic shear flow, the
role of protein kinase C delta on spatial and temporal neutrophil
adherence/migration was quantified. Protein kinase C delta inhibition
significantly reduced neutrophil adhesion in response to fMLP and IL-8 only under
low shear rate and near bifurcations. Protein kinase C delta inhibition also
decreased adherence to nonactivated HUVECs in response to fMLP or IL-8. Protein
kinase C delta inhibition reduced neutrophil migration into the tissue
compartment in response to fMLP and to a lesser degree, to IL-8. Antibody-coated
microparticles demonstrated that protein kinase C delta inhibition down-regulated
E-selectin and ICAM-1 but not VCAM-1 expression. With the use of a
physiologically relevant in vitro model system, we demonstrate that protein
kinase C delta plays an important role in the regulation of neutrophil
adherence/migration during inflammation and identifies key steps regulated by
protein kinase C delta in neutrophil-endothelial interactions.
PMID- 27190305
TI - Circulating T helper and T regulatory subsets in untreated early rheumatoid
arthritis and healthy control subjects.
AB - The pathogenic role and frequency of T cell subtypes in early rheumatoid
arthritis are still unclear. We therefore performed a comprehensive analysis of
the circulating T cell subtype pattern in patients with untreated early
rheumatoid arthritis compared to healthy control subjects. Peripheral blood
mononuclear cells were obtained from 26 patients with untreated early rheumatoid
arthritis and from with 18 age- and sex-matched healthy control subjects. T
helper cell types Th0, Th1, Th2, Th17, and Th1/17 and nonclassic T helper subsets
were defined by flow cytometry based on the expression of chemokine receptors
CCR4, CCR6, and CXCR3. Regulatory T cells were defined by expression of CD25+
CD127low and also FOXP3 CXCR5+ cells among regulatory and nonregulatory T cells
were defined as T follicular regulatory and T follicular helper cells,
respectively. The phenotype of T cell subsets was confirmed by transcription
factor and cytokine secretion analyses. Multivariate discriminant analysis showed
that patients with untreated early rheumatoid arthritis were segregated from
healthy control subjects based on the circulating T cell subset profile. Among
the discriminator subsets, CCR4+CXCR3- (Th2 and Th17), CTLA4+ and FOXP3+ subsets
were present in significantly higher frequencies, whereas CCR4- (Th1/Th17,
CCR6+CCR4-CXCR3-, and Th1) subsets were present in lower frequencies in patients
with untreated early rheumatoid arthritis compared with healthy control subjects.
The proportions of Th2 and Th17 subsets associated positively with each other and
negatively with the CXCR3+/interferon gamma-secreting subsets (Th1 and Th1/Th17)
in patients with untreated rheumatoid arthritis. The proportions of Th2 cells
increased with age in patients with untreated early rheumatoid arthritis and
healthy control subjects. The dominance of circulating CCR4+CXCR3- T helper
subsets (Th2 and Th17) in untreated early rheumatoid arthritis point toward a
pathogenic role of these cells in early stages of the disease.
PMID- 27190310
TI - Spontaneous Pulsation of Peptide Microstructures in an Abiotic Liquid System.
AB - We report observations of pulsating peptide formation and depeptidization in 70%
aqueous acetonitrile solutions of l-Pro-l-Phe and l-Cys, resulting in the
oscillatory appearance and disappearance of solid masses of microfibers and
microspheres, respectively. We monitor the concentration changes of the monomeric
amino acids by high-performance liquid chromatography. The concentration of all
amino acid solutions used is 1.0 mg mL(-1), due to solubility limitations in 70%
aqueous acetonitrile. The nonlinear concentration changes of l-Pro, l-Phe and l
Cys, and the amounts of the main peptidization products observed within our
monitoring periods (for l-Pro-l-Phe, 250 h, and for l-Cys, 70 h) are typically
from several to 20% of the original monomer concentrations. We follow the
formation and decay of the insoluble peptides by turbidimetry. We also
investigate the materials formed using scanning electron microscopy and mass
spectrometry. We carry out numerical simulations on a simple model that reflects
the main features of spontaneous pulsation of peptide fiber or sphere formation
in this abiotic liquid system.
PMID- 27190304
TI - The protease inhibitor cystatin C down-regulates the release of IL-beta and TNF
alpha in lipopolysaccharide activated monocytes.
AB - Human cystatin C, a member of the cysteine proteinase-inhibitory family, is
produced by all nucleated cells and has important roles in regulating natural
immunity. Nematode homologs to human cystatin C have been shown to have anti
inflammatory effects on monocytes and to reduce colitis in mice. In Crohn's
disease, pathogenic activated monocytes help drive inflammatory processes via the
release of proinflammatory cytokines and chemokines. In particular, tumor
necrosis factor-alpha-producing inflammatory monocytes have a central role in the
intestinal inflammation in patients with Crohn's disease. We investigated the
potential of human cystatin C to regulate pathogenic activated monocytes and its
potential as an Immunomodulator in Crohn's disease. We found that cystatin C
significantly decreased the lipopolysaccharide-stimulated release and expression
of interleukin-1beta and tumor necrosis factor-alpha in monocyte and peripheral
blood mononuclear cell cultures from healthy donors, whereas interleukin-6 and
interleukin-8 levels were unchanged. A similar reduction of interleukin-1beta and
tumor necrosis factor-alpha was also seen in peripheral blood mononuclear cell
cultures from patients with Crohn's disease, and in particular, tumor necrosis
factor-alpha was reduced in supernatants from lamina propria cell cultures from
patients with Crohn's disease. Further investigation revealed that cystatin C was
internalized by monocytes via an active endocytic process, decreased
phosphorylation of the mitogen-activated protein kinase pathway extracellular
signal-regulated kinase-1/2, and altered surface marker expression. The ability
of cystatin C to modulate the cytokine expression of monocytes, together with its
protease-inhibitory function, indicates that modulation of the local cystatin C
expression could be an option in future Crohn's disease therapy.
PMID- 27190311
TI - A cAMP and CREB-mediated feed-forward mechanism regulates GSK3beta in polycystic
kidney disease.
AB - Glycogen synthase kinase 3beta (GSK3beta), a serine/threonine protein kinase, is
commonly known to be regulated at the level of its activity. However, in some
diseases including polycystic kidney disease (PKD), GSK3beta expression is
increased and plays a pathophysiological role. The current studies aimed to
determine the mechanism for the increased GSK3beta expression in PKD and its
significance to disease progression. In mouse models of PKD, increases in renal
GSK3beta corresponded with increases in renal cAMP levels and disease
progression. In vivo and in vitro studies revealed that GSK3beta is a cAMP
responsive gene, and elevated cAMP levels, as seen in PKD, can increase GSK3beta
expression. In normal mice, vasopressin signaling induced by water deprivation
increased GSK3beta expression, which decreased following rehydration. Examination
of the GSK3beta promoter revealed five potential binding sites for the
transcription factor, cAMP response element binding protein (CREB). CREB was
found to bind to GSK3beta promoter and essential for cAMP-mediated regulation of
GSK3beta. Importantly, this regulation was demonstrated to be part of a feed
forward loop in which cAMP through CREB regulates GSK3beta expression, and
GSK3beta in turn positively regulates cAMP generation. GSK3beta or CREB
inhibition reduced transepithelial fluid secretion and cyst expansion in vitro
Thus, disruption at any point of this destructive cycle may be therapeutically
useful to reduce cyst expansion and preserve renal function in PKD.
PMID- 27190313
TI - BTG4 is a key regulator for maternal mRNA clearance during mouse early
embryogenesis.
PMID- 27190312
TI - Sublytic C5b-9 triggers glomerular mesangial cell apoptosis in rat Thy-1
nephritis via Gadd45 activation mediated by Egr-1 and p300-dependent ATF3
acetylation.
AB - The apoptosis of glomerular mesangial cells (GMCs) is considered to be an
important contributor to the initiation and development of rat Thy-1 nephritis
(Thy-1N) and is accompanied by sublytic C5b-9 deposition. However, the mechanism
by which sublytic C5b-9 triggers GMC apoptosis has not been elucidated. In this
study, functional and histological examinations were performed on GMCs treated
with sublytic C5b-9 (in vitro) and renal tissues of Thy-1N rats (in vivo). The in
vitro studies found that sublytic C5b-9 could trigger GMC apoptosis through
upregulating Egr-1, ATF3, and Gadd45 expression. Egr-1-mediated post
transcriptional modulation of ATF3, Egr-1/ATF3-enhanced Gadd45 promoter activity,
and p300-mediated ATF3 acetylation were all involved in GMC apoptosis. More
importantly, the effective binding elements for Egr-1 and ATF3 to
Gadd45beta/gamma promoters and the ATF3 acetylation site were identified. In
vivo, silencing renal p300, Egr-1, ATF3, and Gadd45beta/gamma significantly
decreased GMC apoptosis, secondary GMC proliferation, and urinary protein
secretion in Thy-1N rats. Together, these findings implicate that sublytic C5b-9
induced activation of Egr-1/p300-ATF3/Gadd45 axis plays a critical role in GMC
apoptosis in Thy-1N rats.
PMID- 27190316
TI - A 27-Year-Old Woman With an Unusual Cause of Periprosthetic Joint Infection.
PMID- 27190314
TI - Crumbs 3b promotes tight junctions in an ezrin-dependent manner in mammalian
cells.
AB - Crumbs 3 (CRB3) is a component of epithelial junctions, which has been implicated
in apical-basal polarity, apical identity, apical stability, cell adhesion, and
cell growth. CRB3 undergoes alternative splicing to yield two variants: CRB3a and
CRB3b. Here, we describe novel data demonstrating that, as with previous studies
on CRB3a, CRB3b also promotes the formation of tight junctions (TJs). However,
significantly we demonstrate that the 4.1-ezrin-radixin-moesin-binding motif of
CRB3b is required for CRB3b functionality and that ezrin binds to the FBM of
CRB3b. Furthermore, we show that ezrin contributes to CRB3b functionality and the
correct distribution of TJ proteins. We demonstrate that both CRB3 isoforms are
required for the production of functionally mature TJs and also the localization
of ezrin to the plasma membrane. Finally, we demonstrate that reduced CRB3b
expression in head and neck squamous cell carcinoma (HNSCC) correlates with
cytoplasmic ezrin, a biomarker for aggressive disease, and shows evidence that
while CRB3a expression has no effect, low CRB3b and high cytoplasmic ezrin
expression combined may be prognostic for HNSCC.
PMID- 27190318
TI - A Novel Collaborative Community-Based Hepatitis B Screening and Linkage to Care
Program for African Immigrants.
AB - BACKGROUND: Sub-Saharan African nations have among the highest rates of chronic
hepatitis B virus (HBV) infection worldwide, but little is known about HBV
infection in African-born persons in the United States. METHODS: From October
2011 to July 2013, community-based HBV screenings were conducted targeting
persons originating from Africa in New York City. Persons were identified as
currently HBV infected (HBsAg positive) or exposed (HBcAb positive). RESULTS:
Overall, 955 persons were screened for HBV; the median age was 45 years
(interquartile range, 35-54 years) and 75.5% were men. Of these, 919 persons had
no history of liver disease, of whom 9.6% (n = 88) had current HBV infection and
73.9% (n = 679) had exposure. In logistic regression, older age (odds ratio [OR],
0.97; 95% confidence interval [CI], .94-.99; P < .01) and female sex (OR, 0.35;
95% CI, .14-.75; P < .01) were less likely to be associated with HBV infection,
whereas having a mother with hepatitis was associated with infection (OR, 18.8;
95% CI, 2.72-164.65; P < .01). HBV exposure was associated with older age (OR,
1.03; 95% CI, 1.01-1.04; P < .01), whereas female sex (OR, 0.46; 95% CI, .33-.66;
P < .01) and history of blood transfusion (OR, 0.43; 95% CI, .22-.83; P = .01)
were negatively associated. A patient navigator linked 97% of infected persons to
care. Eleven persons were recommended for treatment, of whom 9 (82%) started
therapy. Three persons were diagnosed with hepatocellular carcinoma on the first
screening ultrasound. CONCLUSIONS: The high burden of HBV infection among African
immigrants in the United States underscores a need for continued screening and
linkage to care in this at-risk population.
PMID- 27190317
TI - Mechanism of Hepatitis B Virus Persistence in Hepatocytes and Its Carcinogenic
Potential.
AB - Liver disease associated with persistent infection with hepatitis B virus (HBV)
continues to be a major health problem of global impact. Despite the existence of
an effective vaccine, at least 240 million people are chronically infected
worldwide, and are at risk of developing liver cirrhosis and hepatocellular
carcinoma. Although chronic HBV infection is considered the main risk factor for
liver cancer development, the molecular mechanisms determining persistence of
infection and long-term pathogenesis are not fully elucidated but appear to be
multifactorial. Current therapeutic regimens based on the use of polymerase
inhibitors can efficiently suppress viral replication but are unable to eradicate
the infection. This is due both to the persistence of the HBV genome, which forms
a stable minichromosome, the covalently closed circular DNA (cccDNA), in the
nucleus of infected hepatocytes, as well as to the inability of the immune system
to efficiently counteract chronic HBV infection. In this regard, the unique
replication strategies adopted by HBV and viral protein production also appear to
contribute to infection persistence by limiting the effectiveness of innate
responses. The availability of improved experimental systems and molecular
techniques have started to provide new information about the complex network of
interactions that HBV establishes within the hepatocyte and that may contribute
to disease progression and tumor development. Thus, this review will mostly focus
on events involving the hepatocyte: the only target cell where HBV infection and
replication take place.
PMID- 27190319
TI - Virus and Host Testing to Manage Chronic Hepatitis B.
AB - Chronic hepatitis B virus (HBV) infection is a major cause of cirrhosis and
hepatocellular carcinoma worldwide. The past 50 years have seen rapid
developments in HBV testing. Beginning from traditional serologic tests, the
availability of sensitive HBV DNA assays allows a thorough understanding of the
virology and natural history of chronic HBV infection. Quantification of
hepatitis B surface antigen levels reflects the amount and transcriptional
activities of covalently closed circular DNA in the liver and may be used to
evaluate the stage of disease and guide antiviral therapy. The natural history of
chronic HBV infection is also a manifestation of the interaction between the host
and the virus, and recent genomic works have shed light on the host-virus
relationship and may provide novel tests in the future. This review highlights
recent advances in the application of HBV tests in the management of chronic
hepatitis B.
PMID- 27190320
TI - Hepatitis B Virus Reactivation in the Setting of Cancer Chemotherapy and Other
Immunosuppressive Drug Therapy.
AB - Hepatitis B virus reactivation (HBVr) is an important complication of
immunosuppressive drug therapy (ISDT). It can occur with active or resolved
hepatitis B virus (HBV) infection with a clinical spectrum that ranges from mild
elevations in liver tests to fulminant hepatic failure. The risk of it occurring
is determined by the interplay between HBV serological status, level of viremia,
and the immunosuppressive potency of the drug(s) used. Reactivation is most
common during treatment of hematologic malignancies but also occurs with
chemotherapy for breast cancer and numerous other solid organ malignancies, organ
transplant, and immune suppression for nonmalignant conditions. The expansion of
new biologic treatments for malignant and nonmalignant disorders has enlarged the
population at risk. Increased awareness of HBVr among healthcare providers who
prescribe ISDT, adoption of routine HBV screening, and linking the results of
screening to antiviral prophylaxis are needed to reduce the incidence of this
potentially fatal but preventable disorder.
PMID- 27190321
TI - Hepatitis B in Pregnancy.
AB - Chronic hepatitis B virus (HBV) infection is estimated to affect >350 million
people worldwide and represents a significant cause of morbidity and mortality
related to cirrhosis and hepatocellular carcinoma. Mother-to-child transmission
(MTCT) of HBV remains an important source of incident cases of HBV. Current
barriers to eradication of incident HBV infections via MTCT include
underutilization of immunoprophylaxis with hepatitis B vaccination and hepatitis
B immune globulin in certain endemic regions as well as failure of
immunoprophylaxis.
PMID- 27190322
TI - Eradication Strategies for Chronic Hepatitis B Infection.
AB - Chronic hepatitis B infection affects >300 million people worldwide and is a
leading cause of liver failure and cancer. Current approaches to treatment for
chronic hepatitis B involve suppression of hepatitis B virus (HBV) DNA with the
use of nucleoside analogues. Chronic suppressive therapy rarely results in a
"functional cure" or absence of detectable HBV DNA in plasma and loss of
detectable hepatitis B surface antigen after cessation of therapy. The major
obstacles to achieving a functional cure are the presence of covalently closed
circular DNA and ineffective/exhaustive immune system. This review focuses on
novel approaches to target viral life cycle and host immunity to achieve a
functional cure.
PMID- 27190324
TI - Risk of fracture in adults on renal replacement therapy: a Danish national cohort
study.
AB - BACKGROUND: Patients on dialysis treatment or living with a transplanted kidney
have several risk factors for bone fracture, especially disturbances in mineral
metabolism and immunosuppressive therapy. We describe the incidence of fracture
in this retrospective national Danish cohort study and explore the influence of
age, gender, comorbidity and prescribed medication. METHODS: By individual-level
linkage between nationwide administrative registries, the risk of fracture was
compared between the group of patients receiving chronic dialysis treatment and
patients receiving their first renal transplant in the study period, using the
Danish background population as reference group. All three groups were followed
up until first fracture, emigration, death or end of study. Cox proportional
hazard models with fracture as outcome were fitted to the data. RESULTS: The
hazard ratio (HR) for any fracture was 3.14 [95% confidence interval (95%
CI):2.97-3.31] in the dialysis group and 1.94 (95% CI: 1.72-2.18) in the renal
transplanted group. The HR remained increased, but was modified by adjustment for
age, gender, comorbidity and prior fracture [dialysis group: 1.85 (95% CI: 1.75
1.95); renal transplanted group: 1.82 (95% CI: 1.62-2.06)]. Prescribed diuretics,
lipid-modifying agents and proton pump inhibitors also modulated the fracture
risk. CONCLUSIONS: Patients on dialysis or living with a transplanted kidney have
a significantly higher risk of fracture than the Danish background population.
Differences in age, gender, drug use and comorbidity only partly explain this
increased risk. Further studies are warranted to explore the reason for this
increased fracture risk in patients on renal replacement therapy.
PMID- 27190326
TI - Averting the legacy of kidney disease: focus on childhood.
PMID- 27190325
TI - Resveratrol delays polycystic kidney disease progression through attenuation of
nuclear factor kappaB-induced inflammation.
AB - BACKGROUND: Inflammation plays an important role in polycystic kidney disease
(PKD). The current study aimed to examine the efficacy of the anti-inflammatory
compound resveratrol in PKD and to investigate its underlying mechanism of
action. METHODS: Male Han:SPRD (Cy/+) rats with PKD were treated with 200
mg/kg/day resveratrol or vehicle by gavage for 5 weeks. Human autosomal dominant
(AD) PKD cells, three-dimensional (3D) Madin-Darby canine kidney cells and
zebrafish were treated with various concentrations of resveratrol or the nuclear
factor kappaB (NF-kappaB) inhibitor QNZ. RESULTS: Resveratrol treatment reduced
blood urea nitrogen levels and creatinine levels by 20 and 24%, respectively, and
decreased two-kidney/total body weight ratio by 15% and cyst volume density by
24% in Cy/+ rats. The proliferation index and the macrophage infiltration index
were reduced by 40 and 43%, respectively, in resveratrol-treated cystic kidneys.
Resveratrol reduced the levels of the pro-inflammatory factors monocyte
chemoattractant protein-1 (MCP-1), tumor necrosis factor-alpha (TNF-alpha) and
complement factor B (CFB) in Cy/+ rat kidneys in parallel with the decreased
activity of NF-kappaB (p50/p65). The activation of NF-kappaB and its correlation
with pro-inflammatory factor expression were confirmed in human ADPKD cells and
kidney tissues. Resveratrol and QNZ inhibited the expression of MCP-1, TNF-alpha
and CFB and reduced NF-kappaB activity in ADPKD cells. Moreover, NF-kappaB
blockage minimized the inhibition of inflammatory factor production by
resveratrol treatment. Furthermore, resveratrol or QNZ inhibited cyst formation
in the 3D cyst and zebrafish models. CONCLUSIONS: The NF-kappaB signaling pathway
is activated and partly responsible for inflammation in polycystic kidney
tissues. Targeting inflammation through resveratrol could be a new strategy for
PKD treatment in the future.
PMID- 27190327
TI - Renal biopsy in patients with diabetes: a pooled meta-analysis of 48 studies.
AB - Background: The utility of renal biopsy in patients with diabetes is highly
debated. Diabetics with rapidly worsening renal disease are often 'clinically'
labelled as having diabetic nephropathy (DN), whereas, in many cases, they are
rather developing a non-diabetic renal disease (NDRD) or mixed forms (DN + NDRD).
Methods: We performed a systematic search for studies on patients with diabetes
with data on the frequency of DN, NDRD and mixed forms, and assessed the positive
predictive values (PPVs) and odds ratios (ORs) for such diagnoses by meta
analysing single-study prevalence. Possible factors explaining heterogeneity
among the different diagnoses were explored by meta-regression. Results: In the
48 included studies ( n = 4876), the prevalence of DN, NDRD and mixed forms
ranged from 6.5 to 94%, 3 to 82.9% and 4 to 45.5% of the overall diagnoses,
respectively. IgA nephropathy was the most common NDRD (3-59%). PPVs for DN, NDRD
and mixed forms were 50.1% [95% confidence interval (CI): 44.7-55.2], 36.9% (95%
CI: 32.3-41.8) and 19.7% (95% CI: 16.3-23.6), respectively. The PPV when
combining NDRD and mixed forms was 49.2% (95% CI: 43.8-54.5). Meta-regression
identified systolic pressure, HbA1c, diabetes duration and retinopathy as factors
explaining heterogeneity for NDRD, creatinine and glomerular filtration rate for
mixed forms and only serum creatinine for DN. ORs of DN versus NDRD and mixed
forms were 1.71 (95% CI: 1.54-1.91) and 4.1 (95% CI: 3.43-4.80), respectively.
Conclusions: NDRD are highly prevalent in patients with diabetes. Clinical
judgment alone can lead to wrong diagnoses and delay the establishment of
adequate therapies. Risk stratification according to individual factors is needed
for selecting patients who might benefit from biopsy.
PMID- 27190328
TI - Sex hormones in women with kidney disease.
AB - Menstrual disorders, infertility and premature menopause are common but often
underrecognized phenomena among women with chronic kidney disease. Hypothalamic,
rather than ovarian dysfunction, may be the cause of the abnormal reproductive
milieu, which can be at least partially reversed by kidney transplantation and
increased intensity of hemodialysis. Endogenous sex hormones, and specifically
estradiol, appear to be renoprotective in women, although the effects of
exogenous estradiol (as an oral contraceptive and postmenopausal hormone therapy)
on kidney function are more controversial. Treatment with postmenopausal hormone
therapy in women with end-stage kidney disease (ESKD) has been associated with
improved quality of life, bone health and markers of cardiovascular risk, as well
as an increased risk of arteriovenous access thrombosis. The selective estrogen
receptor modulator raloxifene has been associated with both a decreased fracture
risk as well as renoprotection in women with kidney disease. Young women with
ESKD are more likely to die from infection or develop malignancy, suggesting an
immunomodulatory role of estrogen. Whether the premature menopause commonly
observed in female patients with kidney disease results in increased
cardiovascular morbidity and mortality is unknown, although preliminary studies
have suggested a possible therapeutic role for manipulation of the sex hormone
milieu to mitigate risk in this population. Large, prospective, randomized
studies examining the role of sex hormones in women with kidney disease are
required to address the question.
PMID- 27190330
TI - Obesity and the risk of cardiovascular and all-cause mortality in chronic kidney
disease: a systematic review and meta-analysis.
AB - Background: Obesity is a risk factor for cardiovascular disease and death in
people without chronic kidney disease (CKD), but the effect of obesity in people
with CKD is uncertain. Methods: Medline and Embase (from inception to January
2015) were searched for cohort studies measuring obesity by body mass index
(BMI), waist:hip ratio (WHR) and/or waist circumference (WC) and all-cause and
cardiovascular mortality or events in patients with any stage of CKD. Data were
summarized using random effects models. Meta-regression was conducted to assess
sources of heterogeneity. Results: Of 4065 potentially eligible citations, 165
studies ( n = 1 534 845 participants) were analyzed. In studies that found a
nonlinear relationship, underweight people with CKD (3-5) on hemodialysis
experienced an increased risk of death compared with those with normal weight. In
transplant recipients, excess risk was observed at levels of morbid obesity (>35
kg/m 2 ). Of studies that found the relationship to be linear, a 1 kg/m 2
increase in BMI was associated with a 3 and 4% reduction in all-cause and
cardiovascular mortality in patients on hemodialysis, respectively {adjusted
hazard ratio [HR] 0.97 [95% confidence interval (CI) 0.96-0.98] and adjusted HR
0.96 (95% CI 0.92-1.00)}. In CKD Stages 3-5, for every 1 kg/m 2 increase in BMI
there was a 1% reduction in all-cause mortality [HR 0.99 (95% CI 0.0.97-1.00)].
There was no apparent association between obesity and mortality in transplanted
patients or those on peritoneal dialysis. Sparse data for WHR and WC did not
allow further analyses. Conclusions: Being obese may be protective for all-cause
mortality in the predialysis and hemodialysis populations, while being
underweight suggests increased risk, but not in transplant recipients.
PMID- 27190329
TI - Efficacy and safety of nicotinamide in haemodialysis patients: the NICOREN study.
AB - Background: Nicotinamide (NAM) has been proposed as an alternative treatment to
phosphate binders for hyperphosphataemia in chronic kidney disease. Methods: The
NICOREN multicentre, open-label and randomized study was designed to examine non
inferiority and safety of NAM when compared with sevelamer (SEV) in chronic
haemodialysis patients. One hundred patients were randomized to either NAM or SEV
treatment for 24 weeks. Serum biochemistry and NAM's main metabolite, N -methyl-2
pyridone-5-carboxamide (2PY), were measured to assess compliance, efficacy and
safety. Results: After 24 weeks, we observed a comparable decrease in serum
phosphorus in the NAM and SEV treatment arms, from 2.1 +/- 0.4 to 1.8 +/- 0.5 and
2.3 +/- 0.5 to 1.7 +/- 0.5 mM (P = not significant), respectively. The criterion
for non-inferiority was, however, not met due to a more limited number of
patients being included than planned. Treatment discontinuation due to adverse
events was 1.6 times higher in the NAM than in the SEV group with only 55% of
study completers in the NAM arm versus 90% in the SEV arm. Thrombocytopenia was
observed in four NAM-treated patients. Serum 2PY levels were comparable at
baseline, but increased markedly in the NAM group, but not in the SEV group, at
24 weeks (P < 0.0001). Conclusions: Thus, both drugs are equally effective in
lowering serum phosphorus, but patients' tolerance of NAM was largely inferior to
that of SEV. Extremely high 2PY levels may contribute to NAM's side effects.
PMID- 27190331
TI - A simple care bundle for use in acute kidney injury: a propensity score-matched
cohort study.
AB - BACKGROUND: Consensus guidelines for acute kidney injury (AKI) have recommended
prompt treatment including attention to fluid balance, drug dosing and avoidance
of nephrotoxins. These simple measures can be incorporated in a care bundle to
facilitate early implementation. The objective of this study was to assess the
effect of compliance with the AKI care bundle (AKI-CB) on in-hospital case
fatality and AKI progression. METHODS: In this larger, propensity score-matched
cohort of multifactorial AKI, we examined the impact of compliance with an AKI-CB
in 3717 consecutive episodes of AKI in 3518 patients between 1 August 2013 and 31
January 2015. Propensity score matching was performed to match 939 AKI events
where the AKI-CB was completed with 1823 AKI events where AKI-CB was not
completed. RESULTS: The AKI-CB was completed in 25.6% of patients within 24 h.
The unadjusted case-fatality was higher when the AKI-CB was not completed versus
when the AKI-CB was completed (24.4 versus 20.4%, P = 0.017). In multivariable
analysis, AKI-CB completion within 24 h was associated with lower odds for in
hospital death [odds ratio (OR): 0.76; 95% confidence interval (95% CI): 0.62
0.92]. Increasing age (OR: 1.04; 95% CI: 1.03-1.05), hospital-acquired AKI (OR:
1.28; 95% CI: 1.04-1.58), AKI stage 2 (OR: 1.91; 95% CI: 1.53-2.39) and
increasing Charlson's comorbidity index (CCI) [OR: 3.31 (95% CI: 2.37-4.64) for
CCI of more than 5 compared with zero] had higher odds for death, whereas AKI
during elective admission was associated with lower odds for death (OR: 0.29; 95%
CI: 0.16-0.52). Progression to higher AKI stages was lower when the AKI-CB was
completed (4.2 versus 6.7%, P = 0.02). CONCLUSIONS: Compliance with an AKI-CB was
associated with lower mortality and reduced progression of AKI to higher stages.
The AKI-CB is simple and inexpensive, and could therefore be applied in all
healthcare settings to improve outcomes.
PMID- 27190332
TI - Fetuin-A-containing calciprotein particles in mineral trafficking and vascular
disease.
AB - Calcium and phosphate combine to form insoluble precipitates in both inorganic
and organic materials. This property is useful biologically and has been used by
numerous organisms to create hard tissues, a process referred to as
biomineralisation [1]. In humans, calcium and phosphate combine to form useful
crystal structures largely composed of calcium hydroxyapatite [Ca10(PO4)6(OH)2]
and these are essential in the growth, maintenance and strength of parts of the
skeleton and other structures like teeth. However, it remains unclear how the
body achieves the exquisite specificity involved in biomineralisation. In ageing
and disease, these pathways are perturbed, resulting in ectopic calcium crystal
deposition impairing tissue function and, interestingly, frequently accompanied
by simultaneous loss of mineral from sites where it is useful (e.g. bone). One
paradigm for this maladaptive situation is renal failure; a situation that we
know is associated with vascular stiffening and calcification, along with mineral
loss from the skeleton. Mineral trafficking is a loose term used to describe the
movements of calcium salts around the body, and new insights into these pathways
may explain some of the problems of previous models of bone mineral disease in
renal failure and point to potential future therapeutic strategies.
PMID- 27190333
TI - APOL1-associated glomerular disease among African-American children: a
collaboration of the Chronic Kidney Disease in Children (CKiD) and Nephrotic
Syndrome Study Network (NEPTUNE) cohorts.
AB - Background: Individuals of African ancestry harboring two variant alleles within
apolipoprotein L1 ( APOL1 ) are classified with a high-risk (HR) genotype. Adults
with an HR genotype have increased risk of focal segmental glomerulosclerosis and
chronic kidney disease compared with those with a low-risk (LR) genotype (0 or 1
variants). The role of APOL1 risk genotypes in children with glomerular disease
is less well known. Methods: This study characterized 104 African-American
children with a glomerular disease by APOL1 genotype in two cohorts: the Chronic
Kidney Disease in Children (CKiD) and Nephrotic Syndrome Study Network (NEPTUNE).
Results: Among these subjects, 46% had an HR genotype with a similar age at
cohort enrollment. For APOL1 HR children, the median age of disease onset was
older (CKiD: 4.5 versus 11.5 years for LR versus HR; NEPTUNE: 11 versus 14 years
for LR versus HR, respectively) and preterm birth was more common [CKiD: 27
versus 4%; NEPTUNE: 26 versus 12%; combined odds ratio 4.6 (95% confidence
interval: 1.4, 15.5)]. Within studies, HR children had lower initial estimated
glomerular filtration rate (eGFR) (CKiD: 53 versus 69 mL/min/1.73 m 2 ; NEPTUNE:
74 versus 94 mL/min/1.73 m 2 ). Longitudinal eGFR decline was faster among HR
children versus LR (CKiD: -18 versus -8% per year; NEPTUNE: -13 versus -3% per
year). Conclusions: Children with an HR genotype in CKiD and NEPTUNE seem to have
a more aggressive form of glomerular disease, in part due to a higher prevalence
of focal segmental glomerulosclerosis. These consistent findings across
independent cohorts suggest a common natural history for children with APOL1
associated glomerular disease. Further study is needed to determine the
generalizability of these findings.
PMID- 27190334
TI - Outcomes in patients with chronic kidney disease not on dialysis receiving
extended dosing regimens of darbepoetin alfa: long-term results of the EXTEND
observational cohort study.
AB - BACKGROUND: Extended dosing of the erythropoiesis-stimulating agent (ESA)
darbepoetin alfa (DA) once biweekly or monthly reduces anaemia treatment burden.
This observational study assessed outcomes and dosing patterns in patients with
chronic kidney disease not on dialysis (CKD-NoD) commencing extended dosing of
DA. METHODS: Adult CKD-NoD patients starting extended dosing of DA in Europe or
Australia in June 2006 or later were followed up until December 2012. Outcomes
included haemoglobin (Hb) concentration, ESA dosing, mortality rates and receipt
of dialysis and renal transplantation. Subgroup analyses were conducted for
selected outcomes. RESULTS: Of 6035 enrolled subjects, 5723 (94.8%) met analysis
criteria; 1795 (29.7%) received dialysis and 238 (3.9%) underwent renal
transplantation. Mean (standard deviation) Hb concentration at commencement of
extended dosing was 11.0 (1.5) g/dL. Mean [95% confidence interval (CI)] Hb 12
months after commencement of extended dosing (primary outcome) was 11.6 g/dL
(11.5, 11.6) overall and was similar across countries, with no differences
between subjects previously treated with an ESA versus ESA-naive subjects,
subjects with versus without prior renal transplant or diabetics versus non
diabetics. Weekly ESA dose gradually decreased following commencement of extended
DA dosing and was similar across subgroups. The decrease in weekly DA dose was
accompanied by an increase in the proportion of patients receiving iron therapy.
Hb concentrations declined following changes in ESA labels and treatment
guidelines. The mortality rate (95% CI) was 7.06 (6.68, 7.46) deaths per 100
years of follow-up. Subjects alive at study end had stable Hb concentrations in
the preceding year, while those who died had lower and declining Hb
concentrations in their last year. CONCLUSIONS: Long-term, extended dosing of DA
maintained Hb concentrations in patients already treated with an ESA and
corrected and maintained Hb in ESA-naive patients.
PMID- 27190335
TI - Genotypic and phenotypic predictors of inflammation in patients with chronic
kidney disease.
AB - BACKGROUND: In complex diseases such as chronic kidney disease (CKD), the risk of
clinical complications is determined by interactions between phenotypic and
genotypic factors. However, clinical epidemiological studies rarely attempt to
analyse the combined effect of large numbers of phenotype and genotype features.
We have recently shown that the relaxed linear separability (RLS) model of
feature selection can address such complex issues. Here, it is applied to
identify risk factors for inflammation in CKD. METHODS: The RLS model was applied
in 225 CKD stage 5 patients sampled in conjunction with dialysis initiation.
Fifty-seven anthropometric or biochemical measurements and 79 genetic
polymorphisms were entered into the model. The model was asked to identify
phenotypes and genotypes that, when combined, could separate inflamed from non
inflamed patients. Inflammation was defined as a high-sensitivity C-reactive
protein concentration above the median (5 mg/L). RESULTS: Among the 60 genotypic
and phenotypic features predicting inflammation, 31 were genetic. Among the 10
strongest predictors of inflammation, 8 were single nucleotide polymorphisms
located in the NAMPT, CIITA, BMP2 and PIK3CB genes, whereas fibrinogen and bone
mineral density were the only phenotypic biomarkers. CONCLUSION: These results
indicate a larger involvement of hereditary factors in inflammation than might
have been expected and suggest that inclusion of genotype features in risk
assessment studies is critical. The RLS model demonstrates that inflammation in
CKD is determined by an extensive panel of factors and may prove to be a suitable
tool that could enable a much-needed multifactorial approach as opposed to the
commonly utilized single-factor analysis.
PMID- 27190336
TI - Clinical safety and performance of VIVIA: a novel home hemodialysis system.
AB - Background: The VIVIA Hemodialysis System (Baxter Healthcare Corporation,
Deerfield, IL, USA) was designed for patient use at home to reduce the burden of
treatment and improve patient safety. It has unique features including extended
use of the dialyzer and blood set through in situ hot-water disinfection between
treatments; generation of on-line infusible-quality dialysate for automated
priming, rinseback and hemodynamic support during hypotension and a fully
integrated access disconnect sensor. Methods: The safety and performance of VIVIA
were assessed in two clinical studies. A first-in-man study was a prospective,
single-arm study that involved 22 prevalent hemodialysis (HD) patients who were
treated for ~4 h, four times a week, for 10 weeks. A second clinical study was a
prospective, single-arm study (6-8 h of dialysis treatment at night three times a
week) that involved 17 prevalent patients treated for 6 weeks. Results: There
were 1114 treatments from the two studies (first-in-man study, 816; extended
duration study, 298). Adverse events (AEs) were similar in the two studies to
those expected for prevalent HD patients. No deaths and no device-related serious
AEs occurred. Adequacy of dialysis ( Kt / V ) urea in both clinical trials was
well above the clinical guidelines. VIVIA performed ultrafiltration accurately as
prescribed in the two studies. The majority of patients achieved 10 or more uses
of the dialyzer. Endotoxin levels and bacterial dialysate sampling met infusible
quality dialysate standards. Conclusion: These results confirm the safety and
expected performance of VIVIA.
PMID- 27190337
TI - Intestinal metabolites, chronic kidney disease and renal transplantation: Enigma
Variations?
PMID- 27190340
TI - KDIGO-based acute kidney injury criteria operate differently in hospitals and the
community-findings from a large population cohort.
AB - BACKGROUND: Early recognition of acute kidney injury (AKI) is important. It
frequently develops first in the community. KDIGO-based AKI e-alert criteria may
help clinicians recognize AKI in hospitals, but their suitability for application
in the community is unknown. METHODS: In a large renal cohort (n = 50 835) in one
UK health authority, we applied the NHS England AKI 'e-alert' criteria to
identify and follow three AKI groups: hospital-acquired AKI (HA-AKI), community
acquired AKI admitted to hospital within 7 days (CAA-AKI) and community-acquired
AKI not admitted within 7 days (CANA-AKI). We assessed how AKI criteria operated
in each group, based on prior blood tests (number and time lag). We compared 30
day, 1- and 5-year mortality, 90-day renal recovery and chronic renal replacement
therapy (RRT). RESULTS: In total, 4550 patients met AKI e-alert criteria, 61.1%
(2779/4550) with HA-AKI, 22.9% (1042/4550) with CAA-AKI and 16.0% (729/4550) with
CANA-AKI. The median number of days since last blood test differed between groups
(1, 52 and 69 days, respectively). Thirty-day mortality was similar for HA-AKI
and CAA-AKI, but significantly lower for CANA-AKI (24.2, 20.2 and 2.6%,
respectively). Five-year mortality was high in all groups, but followed a similar
pattern (67.1, 64.7 and 46.2%). Differences in 5-year mortality among those not
admitted could be explained by adjusting for comorbidities and restricting to 30
day survivors (hazard ratio 0.91, 95% confidence interval 0.80-1.04, versus
hospital AKI). Those with CANA-AKI (versus CAA-AKI) had greater non-recovery at
90 days (11.8 versus 3.5%, P < 0.001) and chronic RRT at 5 years (3.7 versus
1.2%, P < 0.001). CONCLUSIONS: KDIGO-based AKI criteria operate differently in
hospitals and in the community. Some patients may not require immediate admission
but are at substantial risk of a poor long-term outcome.
PMID- 27190341
TI - Skeletal fractures in patients on renal replacement therapy: how large still is
the knowledge gap?
PMID- 27190343
TI - Central blood pressures in early chronic kidney disease: an analysis of
CARTaGENE.
AB - Background: Vascular stiffness and advanced chronic kidney disease (CKD) are
strong determinants of higher central blood pressure (BP) and are associated with
high cardiovascular morbidity and mortality. Whether mild-to-moderate CKD is
associated with higher central BP independently of other comorbid conditions
remains uncertain. Methods: We evaluated the central hemodynamic profile [central
systolic BP, central pulse pressure (PP), augmentation index, PP amplification,
augmented pressure] of Stage 3 CKD patients and compared it with participants
with estimated glomerular filtration rate (eGFR) >60 mL/min/1.73 m 2 in the
CARTaGENE populational cohort through propensity score matching and multivariate
regression analyses. Results: Of the 20 004 participants, 13 114 had valid pulse
wave analysis and eGFRs >30 mL/min/1.73 m 2 , of which 515 had Stage 3 CKD. These
515 patients had significantly higher peripheral systolic BP (127 +/- 16 versus
125 +/- 15 mmHg, P = 0.01) and central PP (43.0 +/- 11.4 versus 39.7 +/- 10.0
mmHg, P <0.001) than the control group (eGFR >60 mL/min/1.73 m 2 ). Propensity
score matching allowed the creation of 500 pairs with similar clinical
characteristics. In this matched cohort, central BPs were similar in Stage 3 CKD
patients compared with controls (central PP 42.9 +/- 11.3 versus 43.7 +/- 11.3
mmHg, P = 0.3). Multivariate analysis using data from all patients also found
that the higher central hemodynamic readings found in Stage 3 CKD patients
disappeared after adjusting for comorbid conditions. In a subset of 609
participants in whom albuminuria levels were measured, urine albumin excretion
was not independently associated with higher central hemodynamic indices.
Conclusion: In this large cohort from the general population, early CKD and
albuminuria was not independently associated with detrimental central hemodynamic
parameters.
PMID- 27190342
TI - Angiotensin-converting enzyme inhibitor/angiotensin receptor blocker use and
cardiovascular outcomes in patients initiating peritoneal dialysis.
AB - Background: Data on the effectiveness of angiotensin-converting enzyme inhibitors
(ACEIs) and angiotensin II receptor blockers (ARBs) in reducing cardiovascular
(CV) risk in patients undergoing peritoneal dialysis (PD) are limited. We
investigated the association between ACEI/ARB use and CV outcomes in patients
initiating PD. Methods: In this observational cohort study, we identified from
the United States Renal Data System all adult patients who initiated PD from 2007
to 2011 and participated in Medicare Part D, a federal prescription drug benefits
program, for the first 90 days of dialysis. Patients who filled a prescription
for an ACEI or ARB in those 90 days were considered users. We applied Cox
regression to an inverse probability of treatment weighted cohort to estimate the
hazard ratios (HRs) for the combined outcome of death, ischemic stroke or
myocardial infarction (MI) and each outcome individually. Results: Among 4879
patients, 2063 (42%) used an ACEI/ARB. Patients were followed up for a median of
1.2 years. We recorded 1771 events, for a composite rate of 25 events per 100
person-years. ACEI/ARB use (versus nonuse) was associated with a reduced risk of
the composite outcome {HR 0.84 [95% confidence interval (CI) 0.76-0.93]}, all
cause mortality [HR 0.83 (95% CI 0.75-0.92)] and CV death [HR 0.74 (95% CI 0.63
0.87)], but not MI [HR 0.88 (95% CI 0.69-1.12)] or ischemic stroke [HR 1.06 (95%
CI 0.79-1.43)]. Results were similar in as-treated analyses. In a subgroup
analysis, we did not find any effect modification by residual renal function.
Conclusions: ACEI/ARB use is common in patients initiating PD and is associated
with a lower risk of fatal CV outcomes.
PMID- 27190344
TI - Cum grano salis.
PMID- 27190346
TI - NUP107 mutations in children with steroid-resistant nephrotic syndrome.
AB - Background: NUP107 is a novel gene associated with autosomal recessive steroid
resistant nephrotic syndrome (SRNS) with focal segmental glomerulosclerosis
(FSGS) in children. The frequency of NUP107 mutations in children with SR-FSGS
remains unknown. Methods: Nine families with two siblings affected by childhood
onset SRNS or proteinuria were recruited. FSGS was confirmed by a kidney biopsy
in at least one affected sibling in all families. Additionally, 69 sporadic
pediatric cases with biopsy-proven SR-FSGS who had not responded to any treatment
were included. All coding exons with flanking introns of the NUP107 gene were
amplified using polymerase chain reaction and directly sequenced. Results:
Biallelic NUP107 mutations were detected in four pairs (44.4%) of siblings from
the familial cases and three (4.3%) sporadic cases. All affected patients
harbored the p.Asp831Ala mutation in one allele and a truncating or abnormal
splicing mutation in the other allele. NUP107 mutation-positive patients showed
an earlier onset age (39.4 +/- 13.1 versus 76.8 +/- 50.0 months, P= 0.027) and
more rapid progression to end-stage renal disease (at the ages of 58.9 +/- 23.4
versus 123.1 +/- 62.7 months, P < 0.001) compared with mutation-negative
patients. None of the eight mutation-positive cases, who underwent kidney
transplantation, showed recurrence of FSGS in the graft kidney, while 35.3% of
mutation-negative cases showed recurrence of FSGS. Conclusions: An unexpectedly
high incidence of NUP107 mutations was observed in Korean children with SR-FSGS.
Initial genetic screening of children with SR-FSGS should include the NUP107
gene, at least in Korea. Further studies are necessary to determine the
incidences of NUP107 mutations in other countries.
PMID- 27190347
TI - Effect of a sustained difference in hemodialytic clearance on the plasma levels
of p-cresol sulfate and indoxyl sulfate.
AB - BACKGROUND: The protein-bound solutes p-cresol sulfate (PCS) and indoxyl sulfate
(IS) accumulate to high plasma levels in renal failure and have been associated
with adverse events. The clearance of these bound solutes can be altered
independently of the urea clearance by changing the dialysate flow and dialyzer
size. This study tested whether a sustained difference in clearance would change
the plasma levels of PCS and IS. METHODS: Fourteen patients on thrice-weekly
nocturnal hemodialysis completed a crossover study of two periods designed to
achieve widely different bound solute clearances. We compared the changes in pre
dialysis plasma PCS and IS levels from baseline over the course of the two
periods. RESULTS: The high-clearance period provided much higher PCS and IS
clearances than the low-clearance period (PCS: 23 +/- 4 mL/min versus 12 +/- 3
mL/min, P < 0.001; IS: 30 +/- 5 mL/min versus 17 +/- 4 mL/min, P < 0.001).
Despite the large difference in clearance, the high-clearance period did not have
a different effect on PCS levels than the low-clearance period [from baseline,
high: +11% (-5, +37) versus low: -8% (-18, +32), (median, 25th, 75th percentile),
P = 0.50]. In contrast, the high-clearance period significantly lowered IS levels
compared with the low-clearance period [from baseline, high: -4% (-17, +1) versus
low: +22% (+14, +31), P < 0.001). The amount of PCS removed in the dialysate was
significantly greater at the end of the high-clearance period [269 (206, 312)
versus 199 (111, 232) mg per treatment, P < 0.001], while the amount of IS
removed was not different [140 (87, 196) versus 116 (89, 170) mg per treatment, P
= 0.15]. CONCLUSIONS: These findings suggest that an increase in PCS generation
prevents plasma levels from falling when the dialytic clearance is increased.
Suppression of solute generation may be required to reduce plasma PCS levels in
dialysis patients.
PMID- 27190345
TI - Advances and unmet needs in genetic, basic and clinical science in Alport
syndrome: report from the 2015 International Workshop on Alport Syndrome.
AB - Alport syndrome (AS) is a genetic disease characterized by haematuric
glomerulopathy variably associated with hearing loss and anterior lenticonus. It
is caused by mutations in the COL4A3, COL4A4 or COL4A5 genes encoding the
alpha3alpha4alpha5(IV) collagen heterotrimer. AS is rare, but it accounts for >1%
of patients receiving renal replacement therapy. Angiotensin-converting enzyme
inhibition slows, but does not stop, the progression to renal failure; therefore,
there is an urgent requirement to expand and intensify research towards
discovering new therapeutic targets and new therapies. The 2015 International
Workshop on Alport Syndrome targeted unmet needs in basic science, genetics and
diagnosis, clinical research and current clinical care. In three intensive days,
more than 100 international experts including physicians, geneticists,
researchers from academia and industry, and patient representatives from all over
the world participated in panel discussions and breakout groups. This report
summarizes the most important priority areas including (i) understanding the
crucial role of podocyte protection and regeneration, (ii) targeting mutations by
new molecular techniques for new animal models and potential gene therapy, (iii)
creating optimal interaction between nephrologists and geneticists for early
diagnosis, (iv) establishing standards for mutation screening and databases, (v)
improving widespread accessibility to current standards of clinical care, (vi)
improving collaboration with the pharmaceutical/biotech industry to investigate
new therapies, (vii) research in hearing loss as a huge unmet need in Alport
patients and (viii) the need to evaluate the risk and benefit of novel (including
'repurposing') therapies on an international basis.
PMID- 27190348
TI - Validation of cystatin C-based equations for evaluating residual renal function
in patients on continuous ambulatory peritoneal dialysis.
AB - Background: Residual renal function needs to be assessed frequently in patients
on continuous ambulatory peritoneal dialysis (CAPD). A commonly used method is to
measure creatinine (Cr) and urea clearance in urine collected over 24 h, but
collection can be cumbersome and difficult to manage. A faster, simpler
alternative is to measure levels of cystatin C (CysC) in serum, but the accuracy
and reliability of this method is controversial. Our study aims to validate
published CysC-based equations for estimating residual renal function in patients
on CAPD. Methods: Residual renal function was measured by calculating average
clearance of urea and Cr in 24-h urine as well as by applying CysC- or Cr-based
equations published by Hoek and Yang. We then compared the performance of the
equations against the 24-h urine results. Results: In our sample of 255 patients
ages 47.9 +/- 15.6 years, the serum CysC level was 6.43 +/- 1.13 mg/L. Serum CysC
level was not significantly associated with age, gender, height, weight, body
mass index, hemoglobin, intact parathyroid hormone, normalized protein catabolic
rate or the presence of diabetes. In contrast, serum CysC levels did correlate
with peritoneal clearance of CysC and with levels of prealbumin and high
sensitivity C-reactive protein. Residual renal function was 2.56 +/- 2.07
mL/min/1.73 m 2 based on 24-h urine sampling, compared with estimates
(mL/min/1.73 m 2 ) of 2.98 +/- 0.66 for Hoek's equation, 2.03 +/- 0.97 for Yang's
CysC-based equation and 2.70 +/- 1.30 for Yang's Cr-based equation. Accuracies
within 30%/50% of measured residual renal function for the three equations were
29.02/48.24, 34.90/56.86 and 31.37/54.90. Conclusion: The three equations for
estimating residual renal function showed similar limits of agreement and
differed significantly from the measured value. Published CysC-based equations do
not appear to be particularly reliable for patients on CAPD. Further development
and validation of CysC-based equations should take into account peritoneal
clearance of CysC and other relevant factors.
PMID- 27190351
TI - Midkine, a heparin-binding growth factor, and its roles in atherogenesis and
inflammatory kidney diseases.
AB - The heparin-binding protein midkine is a potent growth factor with emerging roles
in numerous inflammatory diseases. Beyond its characterization in embryogenesis
and organ development, ample insights into its function have been collected from
experimental disease models using knockout animals or knockdown intervention
strategies. Here a comprehensive overview on midkine and its functions in
atherogenesis and kidney diseases is provided. Molecular clues to key signalling
pathways (Akt, ERK, HIF1alpha) and key events in atherosclerotic vessels link
midkine expression with vascular smooth muscle proliferation and
(neo)angiogenesis. In acute and chronic kidney diseases, midkine expression is
upregulated in tubular as well as endothelial cells. Experimental disease models
that mimic diabetic nephropathy and/or immunologic glomerular damage indicate
dichotomous midkine activities, with cytoprotective as well as injurious effects.
This review also pinpoints the commonalities of the disease models. An
understanding of the underlying molecular events will be required in order to
design a targeted intervention into cardiovascular or renal diseases as well as
inflammatory processes.
PMID- 27190350
TI - Erythropoiesis-stimulating agent dosing, haemoglobin and ferritin levels in UK
haemodialysis patients 2005-13.
AB - Background: Erythropoiesis-stimulating agents (ESAs) with intravenous iron
supplementation are the main treatment for anaemia in patients with chronic
kidney disease. Although observational studies suggest better outcomes for
patients who achieve higher haemoglobin (Hb) levels, randomized controlled trials
comparing higher and lower Hb targets have led to safety concerns over higher
targets and to changes in treatment guidelines. Methods: Quarterly data from 2005
to 2013 were obtained on 28 936 haemodialysis patients from the UK Renal
Registry. We examined trends in ESA use and average dose, Hb and ferritin values
over time and Hb according to the UK Renal Association guideline range. Results:
The average ESA dose declined over time, with sharper decreases of epoetin seen
towards the end of 2006 and from 2009. Average Hb for patients on ESAs was 114.1
g/L [95% confidence interval (CI) 113.7, 114.6] in the first quarter of 2005,
which decreased to 109.6 g/L (95% CI 109.3, 109.9) by the end of 2013. Average
serum ferritin was 353 ug/L (95% CI 345, 360) at the start of 2005, increasing to
386 ug/L (95% CI 380, 392) in the final quarter of 2013. The percentage of
patients with Hb in the range of 100-120 g/L increased from 46.1 at the start of
2005 to 57.6 at the end of 2013. Conclusions: Anaemia management patterns for
haemodialysis patients changed in the UK between 2005 and 2013. These patterns
most likely reflect clinician response to emerging trial evidence and practice
guidelines. Registries play an important role in continued observation of anaemia
management and will monitor further changes as new evidence on optimal care
emerges.
PMID- 27190349
TI - Determining the research priorities for patients with chronic kidney disease not
on dialysis.
AB - Background: The importance of engaging key stakeholders, and patients in
particular, in determining research priorities has been recognized. We sought to
identify the top 10 research priorities for patients with non-dialysis chronic
kidney disease (CKD), their caregivers, and the clinicians and policy-makers
involved in their care. Methods: We used the four-step James Lind Alliance
process to establish the top 10 research priorities. A national survey of
patients with non-dialysis CKD (estimated glomerular filtration rate <45
mL/min/1.73 m 2 ), their caregivers, and the clinicians and policy-makers
involved in their care was conducted to identify research uncertainties. A
Steering Group of patients, caregivers, clinicians and researchers combined and
reduced these uncertainties to 30 through a series of iterations. Finally, a
workshop with participants from across Canada (12 patients, 6 caregivers, 3
physicians, 2 nurses, 1 pharmacist and 1 policy-maker) was held to determine the
top 10 research priorities, using a nominal group technique. Results: Overall,
439 individuals responded to the survey and identified 1811 uncertainties, from
which the steering group determined the top 30 uncertainties to be considered at
the workshop. The top 10 research uncertainties prioritized at the workshop
included questions about treatments to prevent progression of kidney disease
(including diet) and to treat symptoms of CKD, provider- and patient-targeted
strategies for managing CKD, the impact of lifestyle on disease progression,
harmful effects of medications on disease progression, optimal strategies for
treatment of cardiovascular disease in CKD and for early identification of kidney
disease, and strategies for equitable access to care for patients with CKD.
Conclusions: We identified the top 10 research priorities for patients with CKD
that can be used to guide researchers, as well as inform funders of health-care
research.
PMID- 27190352
TI - Reaccumulation of globotriaosylceramide in podocytes after agalsidase dose
reduction in young Fabry patients.
AB - Background: Agalsidase-alpha 0.2 mg/kg every other week (eow) and agalsidase-beta
1.0 mg/kg/eow are licensed in Europe as equipotent treatment of the alpha
galactosidase deficiency in Fabry disease. This case series describes the effects
of agalsidase dose adjustments in serial kidney biopsies in switch patients.
Methods: All treatment-naive patients with classical Fabry disease in our centre
started on agalsidase-beta 1.0 mg/kg/eow and subsequently switched to agalsidase
alpha 0.2 mg/kg/eow were included ( n = 3). The median age at enzyme replacement
therapy start was 11 (range 7-18) years. Kidney biopsies were performed at
baseline, after 5 years of agalsidase-beta 1.0 mg/kg/eow and after 3 subsequent
years of agalsidase-alpha 0.2 mg/kg/eow. One patient was re-biopsied 2 years
after reswitch to agalsidase-beta 1.0 mg/kg/eow. The scoring system of the
International Scoring Group of Fabry Nephropathy was used. Results: The patients
completely cleared globotriaosylceramide (GL3) from mesangial and endothelial
cells and partly cleared podocytes on agalsidase-beta 1.0 mg/kg/eow.
Reaccumulation of GL3 in podocytes, but not in the mesangium or endothelium,
occurred after 3 years of agalsidase-alpha 0.2 mg/kg/eow. Subsequent reduction of
podocyte GL3 was observed in the single patient rebiopsied 2 years after reswitch
to agalsidase-beta 1.0 mg/kg/eow. Conclusion: Partial clearance, reaccumulation
and renewed partial clearance of podocyte GL3 deposits in serial kidney biopsies
over 8-10 years were seen in parallel with agalsidase dose adjustments. Repeated
kidney biopsies may impact therapeutic choices in Fabry disease.
PMID- 27190353
TI - Effect of resveratrol on progression of polycystic kidney disease: a case of
cautious optimism.
PMID- 27190354
TI - Renal effects of novel antiretroviral drugs.
AB - Chronic kidney disease (CKD) is a critical comorbidity for patients living with
HIV, with an estimated prevalence between 2.4 and 17%. Such patients are
increasingly affected by diseases associated with ageing, including
cardiovascular disease and CKD, and the prevalence of risk factors such as
smoking and dyslipidaemia is increased in this population. Proteinuria is also
now recognized as a common finding in individuals living with HIV. While
combination antiretroviral (ARV) treatments reduce CKD in the HIV-infected
population overall, some ARV drugs have been shown to be nephrotoxic and
associated with worsening renal function. Over the last few years, several highly
efficacious new ARV agents have been introduced. This brief review will look at
the novel agents dolutegravir, raltegravir, elvitegravir, cobicistat, tenofovir
alafenamide fumarate and atazanavir, all of which have been licensed relatively
recently, and describe issues relevant to renal function, creatinine handling and
potential nephrotoxicity. Given the prevalence of CKD, the wide range of possible
interactions between HIV, ARV therapy, CKD and its treatments, nephrologists need
to be aware of these newer agents and their possible effect on kidneys.
PMID- 27190355
TI - Tolvaptan suppresses monocyte chemotactic protein-1 excretion in autosomal
dominant polycystic kidney disease.
AB - Background: Autosomal-dominant polycystic kidney disease (ADPKD) is characterized
by multitudes of expanding renal cysts associated with mononuclear interstitial
infiltrates. Monocyte chemotactic protein-1 is produced in the kidneys and
excreted in the urine (uMCP1) of these patients in increased amounts. In the
TEMPO 3:4 trial, tolvaptan slowed the rate of increase in total kidney volume
(TKV) and the rate of decline in estimated glomerular filtration rate (eGFR). In
a sub-analysis, we determined whether tolvaptan administration for up to 3 years
changed the urinary excretion of MCP-1 referenced to creatinine in 869 treated
subjects compared with 438 placebo subjects. Methods: Treatment group differences
of uMCP1 at 0.75, 12, 24 and 36 months were evaluated by ANCOVA with factor of
treatment and covariate baseline. Results: At baseline, mean uMCP1 was 429 +/-
224 pg/mg in the tolvaptan and 434 +/- 233 pg/mg in the placebo groups, ~4-fold
greater than normal. Log uMCP1 associated positively with log TKV ( r = 0.2645, P
< 0.0001) and negatively with eGFR ( r = -0.1555 P < 0.0001) and fasting urine
osmolality ( r = -0.1933, P < 0.0001). Tolvaptan reduced uMCP1 13.8 +/- 4.4% (P <
0.0001) below placebo-treated subjects at 24 months and 14.4 +/- 3.7% (P <
0.0001) at 36 months, and to the same extent in females and males. The effect of
tolvaptan on uMCP1 excretion at 36 months extended across CKD Stage 1 (11.1 +/-
6.4%, P = 0.0595), CKD 2 (13.9 +/- 5.4%, P = 0.0050) and CKD 3 (21.4 +/- 8.0%, P
= 0.0020). Conclusion: Tolvaptan, administered for 3 years to patients with
ADPKD, caused a sustained reduction in the urinary excretion of MCP-1 relative to
placebo.
PMID- 27190357
TI - Moderator's view: Cyclophosphamide in lupus nephritis.
AB - Mycophenolate mofetil was recently accepted as the effective induction treatment
of lupus nephritis, with the potential to replace cyclophosphamide or at least
expand our therapeutic armamentarium in patients with this lifelong disease often
requiring repeated induction treatment of its relapses. Compared with
cyclophosphamide, mycophenolate may be more effective in black patients, and the
risk of gonadotoxicity may be significantly lower in mycophenolate-treated
subjects. However, experience with mycophenolate in severe lupus nephritis is
still limited and we also have insufficient data on the long-term outcome of
mycophenolate-treated patients. Treatment with mycophenolate is more expensive
than with cyclophosphamide, which may limit its use, especially in low- and
middle-income countries. The efficacy of mycophenolate mofetil may be more
dependent on the patient's compliance compared with intravenous cyclophosphamide
pulses. Low-dose cyclophosphamide remains an effective and relatively safe
induction treatment of active lupus nephritis, but to decrease its cumulative
toxicity, repeated exposure to cyclophosphamide in relapsing patients should be
(if possible) avoided.
PMID- 27190358
TI - Con: Cyclophosphamide for the treatment of lupus nephritis.
AB - Kidney involvement is a major determinant for morbidity and mortality in patients
with systemic lupus erythematosus. The treatment target of lupus renal disease is
to induce and maintain remission and to minimize disease or treatment-related
comorbidities. Cyclophosphamide (CYC), in conjunction with glucocorticoids, has
conventionally been used for the initial treatment of lupus nephritis. However,
the major concerns of CYC are its toxicities, such as infertility, urotoxicity
and oncogenicity, which are particularly relevant in women of childbearing age.
As a result, maintenance therapy of lupus nephritis with an extended course of
CYC pulses has largely been replaced by other immunosuppressive agents such as
mycophenolate mofetil (MMF) and azathioprine. Recent randomized controlled trials
have demonstrated non-inferiority of MMF to pulse CYC as induction therapy of
lupus nephritis. Although MMF as induction-maintenance therapy has been
increasingly used in lupus nephritis, its efficacy in the long-term preservation
of renal function remains to be elucidated. MMF is not necessarily less toxic
than CYC. Meta-analyses of clinical trials show similar incidence of infective
complications and gastrointestinal adverse events in both MMF- and CYC-based
regimens. However, considering the reduction in gonadal toxicity and the risk of
oncogenicity, MMF may be used as first-line therapy of lupus nephritis.
Tacrolimus (TAC) has recently been shown to be equivalent to either MMF or CYC
for inducing remission of lupus nephritis and may be considered as another non
CYC alternative. Combined low-dose MMF and TAC appears to be more effective than
CYC pulses in Chinese patients with lupus nephritis and has the potential to
replace the more toxic CYC regimens in high-risk patients. Currently, CYC still
plays an important role in the management of lupus nephritis patients with
impaired or rapidly deteriorating renal function, crescentic glomerulonephritis
or as salvage therapy for recalcitrant disease.
PMID- 27190359
TI - Pro: Cyclophosphamide in lupus nephritis.
AB - Based on efficacy and toxicity considerations, both low-dose pulse
cyclophosphamide as part of the Euro-Lupus Nephritis protocol and mycophenolate
mofetil (MMF) with corticosteroids may be considered for induction of remission
in patients with proliferative lupus nephritis. The long-term follow-up data
available for low-dose pulse cyclophosphamide, the fact that compliance is
guaranteed with this regimen and economic issues all favour the Euro-Lupus
regimen in this author's opinion. For maintenance treatment, either azathioprine
(AZA) or MMF may be used; AZA is preferred in case pregnancy is planned, while
MMF is preferred when the disease relapses during use of AZA and, possibly, after
successful induction of remission with MMF.
PMID- 27190360
TI - The effect of rituximab dose on infectious complications in ABO-incompatible
kidney transplantation.
AB - BACKGROUND: Rituximab (RIT) improves the outcomes of ABO-incompatible (ABOi)
kidney transplantation (KT), but it has been associated with infectious
complications. The aim of this study was to investigate infectious complications
according to the dose of RIT in ABOi KT. METHODS: We analyzed 213 recipients [118
ABO-compatible (ABOc) KT and 95 ABOi KT] who underwent living donor KT between
2010 and 2014. ABOi KT patients were categorized by RIT dose: standard RIT (375
mg/m(2), n = 76) versus reduced RIT (200 mg, n = 19). All patients received
basiliximab and maintained on triple immunosuppression consisting of tacrolimus,
prednisone and mycophenolate mofetil. Infectious complications and post
transplant outcomes were analyzed for 1 year following KT. RESULTS: The rates of
overall infectious complications among the three groups were comparable (22.9% in
ABOc KT, 38.2% in standard RIT and 26.3% in reduced RIT, P = 0.069). In the
standard RIT group, hepatitis B virus reactivation occurred in three recipients
(3.9%) with hepatitis B surface antigen[-]/anti-hepatitis B core antibody[+].
Three cases (3.9%) of Pneumocystis jirovecii pneumonia occurred in the standard
RIT group. Serious infections developed in 13 of the ABOc KT (11.0%), 20 from the
standard RIT group (26.3%) and 2 from the reduced RIT group (10.5%, P = 0.015).
Standard-dose RIT was found to be an independent risk factor for serious
infections [hazard ratio: 2.59 (95% confidence interval: 1.33-5.07), P = 0.005].
There were no significant differences in rejection, renal function, graft
survival and patient survival between standard and reduced RIT groups.
CONCLUSIONS: Standard RIT increased the risk of serious infection when compared
with reduced-dose RIT. Reduced-dose RIT might be sufficient for ABOi KT without
increasing the risk of serious infection.
PMID- 27190356
TI - The effect of frequent hemodialysis on self-reported sleep quality: Frequent
Hemodialysis Network Trials.
AB - BACKGROUND: Many patients who receive maintenance hemodialysis experience poor
sleep. Uncontrolled studies suggest frequent hemodialysis improves sleep quality,
which is a strong motivation for some patients to undertake the treatment. We
studied the effects of frequent in-center ('daily') and nocturnal home
hemodialysis on self-reported sleep quality in two randomized trials. METHODS:
Participants were randomly assigned to frequent (six times per week) or
conventional (three times per week) hemodialysis in the Frequent Hemodialysis
Network Daily (n = 245) and Nocturnal (n = 87) Trials. We used the Medical
Outcomes Study Sleep Problems Index II (SPI II), a validated and reliable
instrument in patients with end-stage renal disease, to measure self-reported
sleep quality. The SPI II is scored from 0-100, with a higher value indicating
poorer quality of sleep. A mean relative decline in SPI II would suggest improved
sleep quality. The primary sleep outcome was the change in the SPI II score over
12 months. RESULTS: In the Daily Trial, after adjustment for baseline SPI II,
subjects randomized to frequent as compared with conventional in-center
hemodialysis experienced a 4.2 [95% confidence interval (CI) 0.4-8.0] point
adjusted mean relative decline in SPI II at 4 months and a 2.6 (95% CI -2.3-7.5)
point adjusted mean relative decline at 12 months. In the Nocturnal Trial,
subjects randomized to frequent nocturnal as compared with conventional home
hemodialysis experienced 2.9 (95% CI -3.4-9.3) and 4.5 (95% CI -3.2-12.2) point
mean relative declines at Months 4 and 12, respectively. CONCLUSIONS: Although a
possible benefit of frequent in-center hemodialysis was observed at 4 months,
neither frequent in-center hemodialysis nor home nocturnal hemodialysis
demonstrated significant improvements in self-reported sleep quality compared
with conventional hemodialysis at 12 months.
PMID- 27190362
TI - Deceased donor kidney transplantation across donor-specific antibody barriers:
predictors of antibody-mediated rejection.
AB - BACKGROUND: Apheresis-based desensitization allows for successful transplantation
across major immunological barriers. For donor-specific antibody (DSA)- and/or
crossmatch-positive transplantation, however, it has been shown that even intense
immunomodulation may not completely prevent antibody-mediated rejection (ABMR).
METHODS: In this study, we evaluated transplant outcomes in 101 DSA+ deceased
donor kidney transplant recipients (transplantation between 2009 and 2013; median
follow-up: 24 months) who were subjected to immunoadsorption (IA)-based
desensitization. Treatment included a single pre-transplant IA session, followed
by anti-lymphocyte antibody and serial post-transplant IA. In 27 cases, a
positive complement-dependent cytotoxicity crossmatch (CDCXM) was rendered
negative immediately before transplantation. Seventy-four of the DSA+ recipients
had a negative CDCXM already before IA. RESULTS: Three-year death-censored graft
survival in DSA+ patients was significantly worse than in 513 DSA- recipients
transplanted during the same period (79 versus 88%, P = 0.008). Thirty-three DSA+
recipients (33%) had ABMR. While a positive baseline CDCXM showed only a trend
towards higher ABMR rates (41 versus 30% in CDCXM- recipients, P = 0.2), DSA mean
fluorescence intensity (MFI) in single bead assays significantly associated with
rejection, showing 20 versus 71% ABMR rates at <5000 versus >15 000 peak DSA MFI.
The predictive value of MFI was moderate, with the highest accuracy at a median
of 13 300 MFI (after cross-validation: 0.72). Other baseline variables, including
CDC assay results, human leukocyte antigen mismatch, prior transplantation or
type of induction treatment, did not add independent predictive information.
CONCLUSIONS: IA-based desensitization failed to prevent ABMR in a considerable
number of DSA+ recipients. Assessing DSA MFI may help stratify risk of rejection,
supporting its use as a guide to organ allocation and individualized treatment.
PMID- 27190363
TI - Causality at the dawn of the 'omics' era in medicine and in nephrology.
AB - Causality is a core concept in medicine. The quantitative determinacy
characterizing today's biomedical science is unprecedented. The assessment of
causal relations in human diseases is evolving, and it is therefore fundamental
to keep up with the steady pace of theoretical and technological advancements.
The exact specification of all causes of pathologies at the individual level,
precision medicine, is expected to allow the complete eradication of disease. In
this article, we discuss the various conceptualizations of causation that are at
play in the context of randomized clinical trials and observational studies.
Genomics, proteomics, metabolomics and epigenetics can now produce the precise
knowledge we need for 21st century medicine. New conceptions of causality are
needed to form the basis of the new precision medicine.
PMID- 27190364
TI - Kidney transplantation due to medical urgency: time for reconsideration?
PMID- 27190361
TI - Impact of individual intravenous iron preparations on the differentiation of
monocytes towards macrophages and dendritic cells.
AB - BACKGROUND: Treatment of iron deficiency with intravenous (i.v.) iron is a first
line strategy to improve anaemia of chronic kidney disease. Previous in vitro
experiments demonstrated that different i.v. iron preparations inhibit
differentiation of haematopoietic stem cells to monocytes, but their effect on
monocyte differentiation to macrophages and mature dendritic cells (mDCs) has not
been assessed. We investigated substance-specific effects of iron sucrose (IS),
sodium ferric gluconate (SFG), ferric carboxymaltose (FCM) and iron isomaltoside
1000 (IIM) on monocytic differentiation to M1/M2 macrophages and mDCs. METHODS:
Via flow cytometry and microRNA (miRNA) expression analysis, we morphologically
and functionally characterized monocyte differentiation to M1/M2 macrophages and
mDCs after monocyte stimulation with IS, SFG, FCM and IIM (0.133, 0.266 and 0.533
mg/mL, respectively). To assess potential clinical implications, we compared
monocytic phagocytosis capacity in dialysis patients who received either 500 mg
IS or IIM. RESULTS: Phenotypically, IS and SFG dysregulated the expression of
macrophage (e.g. CD40, CD163) and mDC (e.g. CD1c, CD141) surface markers.
Functionally, IS and SFG impaired macrophage phagocytosis capacity. Phenotypic
and functional alterations were less pronounced with FCM, and virtually absent
with IIM. In miRNA expression analysis of mDCs, IS dysregulated miRNAs such as
miR-146b-5p and miR-155-5p, which are linked to Toll-like receptor and mitogen
activated protein kinase signalling pathways. In vivo, IS reduced monocytic
phagocytosis capacity within 1 h after infusion, while IIM did not. CONCLUSIONS:
This study demonstrates that less stable i.v. iron preparations specifically
affect monocyte differentiation towards macrophages and mDCs.
PMID- 27190366
TI - Indirect estimation of nephron number: a new tool to predict outcomes in renal
transplantation?
PMID- 27190365
TI - Curcumin ameliorates nephrosclerosis via suppression of histone acetylation
independent of hypertension.
AB - BACKGROUND: Although histone acetylation, an epigenetic modification, has been
reported to be related to the progression of various diseases, its involvement in
nephrosclerosis is unclear. METHODS: Dahl salt-sensitive rats were used as a
model of nephrosclerosis in this study. The rats were divided into three groups:
(i) normal-salt diet group, (ii) high-salt diet group (HS), and (iii) HS
administered daily with curcumin, a histone acetyltransferase inhibitor (HS+C).
At 6 weeks after the treatment, the kidneys were dissected. Morphologic changes
were assessed by Masson's trichrome staining. The number of macrophages,
fibroblasts and the cells expressing acetylated histone H3 at Lys 9 (H3K9) were
assessed by immunohistochemistry. RESULTS: Although both HS and HS+C rats
revealed a marked increase in systolic blood pressure, serum creatinine was
increased only in HS rats at 6 weeks. In the HS rats, nephrosclerosis was
induced, accompanying a significant accumulation of macrophages and fibroblasts.
The inflammation and fibrosis was markedly suppressed in the HS+C group. The
level of histone acetylation at Lys 9 was enhanced in the HS rats, whereas
curcumin administration suppressed the histone acetylation. Moreover, in the HS
rats, interleukin-6 gene expression was associated with acetylated H3K9, as
revealed by chromatin immunoprecipitation assay. CONCLUSIONS: Our results
suggested that curcumin ameliorates nephrosclerosis via suppression of histone
acetylation, independently of hypertension.
PMID- 27190369
TI - Dynamics and epitope specificity of anti-human leukocyte antibodies following
renal allograft nephrectomy.
AB - BACKGROUND: A considerable proportion of patients awaiting kidney transplantation
is immunized by previous transplantation(s). We investigated how allograft
nephrectomy (Nx) and withdrawal of maintenance immunosuppression (WD-MIS) in
patients with a failed renal allograft contribute to allosensitization. METHODS:
HLA antibodies (HLAabs) were analyzed before and after Nx and/or WD-MIS using a
single antigen bead assay. Patients were grouped as follows: (A) Nx and
concomitant WD-MIS (n = 28), (B) Nx (n = 14) and (C) WD-MIS (n = 12). In a
subgroup of patients, the epitope specificity of HLAabs was determined by
adsorption and elution of sera with recombinant single HLA allele-expressing cell
lines. RESULTS: Following Nx and/or WD-MIS, HLAabs were detectable in 100, 100
and 92% of patients in Groups A, B and C, respectively. In patients of all
groups, de novo donor-specific HLAabs (DSAs) were found. After Nx, an increase in
the breadth [percent panel reactive antibody (%PRA)] and mean fluorescence
intensity of class I HLAabs was predominant. In contrast, an increase of class II
HLAabs prevailed following WD-MIS. Experimental analysis of the epitope
specificities revealed that 64% of the class I HLAabs classically denoted as non
DSA were donor epitope-specific HLAabs (DESA). CONCLUSIONS: Both Nx and WD-MIS
contribute to alloimmunization with differing patterns concerning class I and II
HLAabs. Nx preferentially increased class I HLAabs and most of the observed class
I HLAabs were DESA. Considering that class I, but not class II, HLA molecules are
constitutively expressed, our results support the hypothesis that the increase of
HLAabs following Nx might have been caused by removal of the adsorbing donor
tissue (sponge hypothesis).
PMID- 27190368
TI - Chronicity following ischaemia-reperfusion injury depends on tubular-macrophage
crosstalk involving two tubular cell-derived CSF-1R activators: CSF-1 and IL-34.
AB - Two structurally unrelated ligands activate the macrophage colony stimulating
factor receptor (CSF-1R, c-fms, CD115): M-CSF/CSF-1 and interleukin-34 (IL-34).
Both ligands promote macrophage proliferation, survival and differentiation. IL
34 also activates the protein-tyrosine phosphatase zeta receptor (PTP-zeta,
PTPRZ1). Both receptors and cytokines are increased during acute kidney injury.
While tubular cell-derived CSF-1 is required for kidney repair, Baek et al (J
Clin Invest 2015; 125: 3198-3214) have now identified tubular epithelial cell
derived IL-34 as a promoter of kidney neutrophil and macrophage infiltration and
tubular cell destruction during experimental kidney ischaemia-reperfusion,
leading to chronic injury. IL-34 promoted proliferation of both intrarenal
macrophages and bone marrow cells, increasing circulating neutrophils and
monocytes and their kidney recruitment. Thus, injured tubular cells release two
CSF-1R activators, one (CSF-1) that promotes tubular cell survival and kidney
repair and another (IL-34) that promotes chronic kidney damage. These results
hold promise for the development of IL-34-targeting strategies to prevent
ischaemia-reperfusion kidney injury in contexts such as kidney transplantation.
However, careful consideration should be given to the recent characterization by
Bezie et al. (J Clin Invest 2015; 125: 3952-3964) of IL-34 as a T regulatory cell
(Treg) cytokine that modulates macrophage responses so that IL-34-primed
macrophages potentiate the immune suppressive capacity of Tregs and promote graft
tolerance.
PMID- 27190367
TI - Association of serum vitamin B12 and folate with mortality in incident
hemodialysis patients.
AB - Background: Vitamin B12 (B12) and folate are essential vitamins that play
important roles in physiological processes. In the general population, many
studies have evaluated the association of these vitamins with clinical outcomes,
yet this association in hemodialysis (HD) patients remains unclear. Methods: We
examined the association of serum folate and B12 with mortality in a 5-year
cohort of 9517 (folate) and 12 968 (B12) HD patients using Cox models with
hierarchical adjustment for sociodemographics, comorbidities, and laboratory
variables associated with the malnutrition and inflammation complex syndrome. The
associations of baseline B12 and folate (separately) with all-cause mortality
were evaluated across five categories of B12 [<400 (reference), 400-<550, 550
<650, 650-<750 and >=750 pg/mL] and folate [<6.2, 6.2-<8.4, 8.4-<11 (reference),
11-<14.3 and >=14.3 ng/mL]. Results: The study cohort with B12 measurements had a
mean +/- standard deviation age of 63 +/- 15 years, among whom 43% were female,
33% were African-American, and 57% were diabetic. Higher B12 concentrations >=550
pg/mL were associated with a higher risk of mortality after adjusting for
sociodemographic and laboratory variables. However, only lower serum folate
concentrations <6.2 ng/mL were associated with a higher risk of all-cause
mortality when adjusted for sociodemographic variables [adjusted hazard ratio
(95% confidence-interval): 1.18 (1.03-1.35)]. Conclusions: Higher B12
concentrations are associated with higher all-cause mortality in HD patients
independent of sociodemographics and laboratory variables, whereas lower folate
concentrations were associated with higher all-cause mortality after accounting
for sociodemographic variables. Further studies are warranted to determine the
optimal B12 and folate level targets in this population.
PMID- 27190370
TI - Acetazolamide enhances the release of urinary exosomal aquaporin-1.
AB - BACKGROUND: Renal aquaporin-1 (AQP1), a water channel protein, is known to be
secreted into urine, conveyed by nano-sized extracellular vesicles called
exosomes. A previous study has demonstrated that acetazolamide (AZ), a diuretic
that inhibits carbonic anhydrases, alters the expression level of AQP1 in
cultured cells. Here we investigated whether AZ alters the release of urinary
exosomal AQP1 in vivo. METHODS: The effect of AZ on urinary exosomal AQP1
secretion was examined in rats and compared with furosemide (another diuretic),
NaHCO3 (an alkalizing agent) and NH4Cl (an acidifying agent). Urine, blood and
kidney samples were obtained 2 h after each treatment. Urinary exosomes were
isolated by a differential centrifugation technique and urinary exosomal proteins
were analyzed by immunoblotting. RESULTS: The release of exosomal AQP1 into urine
was markedly increased after treatment with AZ, accompanied by alkaluria and
metabolic acidosis. Immunohistochemistry clearly demonstrated that AZ increased
the apical membrane expression of AQP1 in the proximal tubules. AZ did not affect
the release of exosomal marker proteins (tumor susceptibility gene 101 protein
and apoptosis-linked gene 2 interacting protein X). Treatment with furosemide did
not change, whereas NaHCO3 and NH4Cl decreased the exosomal release of AQP1.
CONCLUSION: The present findings indicate that AZ increases the release of
exosomal AQP1 into urine in association with enhanced apical membrane expression
of AQP1.
PMID- 27190371
TI - Elevated urinary podocyte-derived extracellular microvesicles in renovascular
hypertensive patients.
AB - Background: An increased number of podocyte-derived extracellular vesicles (pEVs)
may reflect podocyte injury in renal disease. Elevated glomerular pressure and
other insults may injure podocytes, yet it remains unclear whether the numbers of
pEVs are altered in hypertensive patients. We tested the hypothesis that urinary
pEV levels would be elevated in patients with renovascular hypertension (RVH)
compared with essential hypertension (EH) or healthy volunteers (HVs). Methods:
We prospectively enrolled patients with EH ( n = 30) or RVH ( n = 31) to study
renal blood flow (RBF) and cortical perfusion using multidetector computed
tomography under controlled condition (regulated sodium intake and renin
angiotensin blockade). After isolation from urine samples, pEVs (nephrin and
podocalyxin positive) were characterized by flow cytometry. Fourteen RVH patients
were studied again 3 months after stenting or continued medical therapy. HVs ( n
= 15) served as controls. Results: The fraction of pEV among urinary EVs was
elevated in RVH compared with HVs and EH (11.4 +/- 6.4, 6.8 +/- 3.4 and 6.3 +/-
3.7%, respectively; P < 0.001) and remained unchanged after 3 additional months
of therapy and after controlling for clinical parameters. However, eGFR- and age
adjusted pEV levels did not correlate with any clinical or renal parameters.
Conclusions: In hypertensive patients under controlled conditions, urinary pEV
levels are elevated in patients with RVH and low eGFR compared with patients with
EH and relatively preserved renal function. These pEVs may reflect podocyte
injury secondary to kidney damage, and their levels might represent a novel
therapeutic target.
PMID- 27190372
TI - Mild prolonged chronic hyponatremia and risk of hip fracture in the elderly.
AB - BACKGROUND: Hip fractures are among the most serious bone fractures in the
elderly, producing significant morbidity and mortality. Several observational
studies have found that mild hyponatremia can adversely affect bone, with
fractures occurring as a potential complication. We examined if there is an
independent association between prolonged chronic hyponatremia (>90 days
duration) and risk of hip fracture in the elderly. METHODS: We performed a
retrospective cohort study in adults >60 years of age from a prepaid health
maintenance organization who had two or more measurements of plasma sodium
between 2005 and 2012. The incidence of hip fractures was assessed in a very
restrictive population: subjects with prolonged chronic hyponatremia, defined as
plasma sodium values <135 mmol/L, lasting >90 days. Multivariable Cox regression
was performed to determine the hazard ratio (HR) for hip fracture risk associated
with prolonged chronic hyponatremia after adjustment for the propensity to have
hyponatremia, fracture risk factors and relevant baseline characteristics.
RESULTS: Among 31 527 eligible patients, only 228 (0.9%) had prolonged chronic
hyponatremia. Mean plasma sodium was 132 +/- 5 mmol/L in hyponatremic patients
and 139 +/- 3 mmol/L in normonatremic patients (P < 0.001). The absolute risk for
hip fracture was 7/282 in patients with prolonged chronic hyponatremia and
411/313 299 in normonatremic patients. Hyponatremic patients had a substantially
elevated rate of hip fracture [adjusted HR 4.52 (95% CI 2.14-9.6)], which was
even higher in those with moderate hyponatremia (<130 mmol/L) [adjusted HR 7.61
(95% CI 2.8-20.5)]. CONCLUSION: Mild prolonged chronic hyponatremia is
independently associated with hip fracture risk in the elderly population,
although the absolute risk is low. However, proof that correcting hyponatremia
will result in a reduction of hip fractures is lacking.
PMID- 27190373
TI - Factors influencing withdrawal from dialysis: a national registry study.
AB - BACKGROUND: Dialysis withdrawal is the third most common cause of death in
patients receiving dialysis for established renal failure (ERF) in Scotland. We
describe incidence, risk factors and themes influencing decision-making in a
national renal registry. METHODS: Details of deaths in those receiving renal
replacement therapy (RRT) for ERF in Scotland are reported to the Scottish Renal
Registry via a unique mortality report. We extracted patient demographics and
comorbidity, cause and location of death, duration of RRT and pertinent free text
comments from 1 January 2008 to 31 December 2014. Withdrawal incidence was
calculated and logistic regression used to identify significantly influential
variables. Themes emerging from clinician comments were tabulated for descriptive
purposes. RESULTS: There were 2596 deaths; median age at death was 68
[interquartile range (IQR) 58, 76] years, 41.5% were female. Median duration on
RRT was 1110 (IQR 417, 2151) days. Dialysis withdrawal was the primary cause of
death in 497 (19.1%) patients and withdrawal contributed to death in a further
442 cases (17.0%). The incidence was 41 episodes per 1000 patient-years.
Regression analysis revealed increasing age, female sex and prior cerebrovascular
disease were associated with dialysis withdrawal as a primary cause of death.
Conversely, interstitial renal disease, angiographically proven ischaemic heart
disease, valvular heart disease and malignancy were negatively associated.
Analysis of free text comments revealed common themes, portraying an image of
physical and psychological decline accelerated by acute illnesses. CONCLUSIONS:
Death following dialysis withdrawal is common. Factors important to physical
independence-prior cerebrovascular disease and increasing age-are associated with
withdrawal. When combined with clinician comments this study provides an insight
into the clinical decline affecting patients and the complexity of this decision.
Early recognition of those likely to withdraw may improve end of life care.
PMID- 27190375
TI - The effects of short sleep duration on proteinuria and chronic kidney disease: a
systematic review and meta-analysis.
AB - Background: The risks of proteinuria and chronic kidney disease (CKD) in adults
who regularly have short sleep duration (short sleepers) are controversial. The
aim of this meta-analysis was to assess the effects of short sleep duration on
proteinuria and CKD. Methods: A literature search was conducted using MEDLINE,
EMBASE and the Cochrane Database of Systematic Reviews from the inception of the
databases through November 2015. Studies that reported relative risks, odd ratios
or hazard ratios comparing the risks of proteinuria and CKD in short sleepers
were included. Pooled risk ratios (RR) and 95% confidence intervals (CI) were
computed utilizing a random-effect, generic inverse variance method. Results: Six
observational studies with 252 075 individuals and three observational studies
with 37 197 individuals were included in the analyses to assess the risks of CKD
and proteinuria in short sleepers, respectively. The pooled RR of CKD in short
sleepers was 1.51 (95% CI, 0.99-2.55). When meta-analysis was restricted only to
studies with adjusted analysis for confounders assessing the risk of CKD in short
sleepers, the pooled RR of CKD was 1.54 (95% CI, 0.80-2.95). The pooled RR of
proteinuria in short sleepers was 1.47 (95% CI, 1.26-1.72). Conclusions: Despite
the lack of significant association between short sleep duration and CKD, our
meta-analysis suggests a potential association between short sleep duration and
proteinuria, a surrogate marker for kidney disease progression. Future study is
required to investigate if reversal of short sleep helps reduce proteinuria.
PMID- 27190374
TI - Impact of using two dialyzers in parallel on phosphate clearance in hemodialysis
patients: a randomized trial.
AB - Background: Dietary restriction and phosphate binders are the main interventions
used to manage hyperphosphatemia in people on hemodialysis, but have limited
efficacy. Modifying conventional dialysis regimens to enhance phosphate clearance
as an alternative approach remains relatively unstudied. Methods: This was a 10
week, 2-arm, randomized crossover study. Participants were prevalent dialysis
patients ( n = 32) with consecutive serum phosphate levels >1.6 mmol/L and on
stable doses of a phosphate binder. Following a 2-week run-in period,
participants were randomized to initiate dialysis using two high flux dialyzers
in parallel (blood flow >=350 mL/min, dialysate flow 800 mL/min) or standard
dialysis using one high flux dialyzer (blood flow >=350 mL/min, dialysate flow of
800 mL/min). Each regimen was 3 weeks in duration. After a 2-week washout period,
participants received the alternate regimen. The primary outcome was the mean
difference in phosphate clearance by dialyzer strategy. Secondary outcomes were
phosphate removal and pre-dialysis serum phosphate. Results: Phosphate clearance
for the double dialyzer strategy did not differ significantly from the single
dialyzer strategy [mean difference 7.5 mL/min (95% confidence interval, 95% CI,
6.1, 21.0), P = 0.28]. There was no difference in total phosphate removal and pre
dialysis phosphate between the double and single dialyzer strategies [total
phosphate removal mean difference -0.2 mmol (95% CI -4.1, 3.7), P = 0.93; pre
dialysis mean difference 0.01 mmol/L (95% CI -0.18, 0.21), P = 0.88]. There was
no difference in the proportion of participants who experienced at least one
episode of intradialytic hypotension (32 versus 47%, P = 0.13). A limitation of
the study was frequent protocol deviations in the dialysis prescription.
Conclusions: In this study, the use of two dialyzers in parallel did not increase
phosphate clearance, phosphate removal or pre-dialysis serum phosphorus when
compared with a standard dialysis treatment strategy. Future studies should
continue to evaluate novel methods of phosphate removal using conventional
hemodialysis.
PMID- 27190376
TI - A novel COL4A1 frameshift mutation in familial kidney disease: the importance of
the C-terminal NC1 domain of type IV collagen.
AB - BACKGROUND: Hereditary microscopic haematuria often segregates with mutations of
COL4A3, COL4A4 or COL4A5 but in half of families a gene is not identified. We
investigated a Cypriot family with autosomal dominant microscopic haematuria with
renal failure and kidney cysts. METHODS: We used genome-wide linkage analysis,
whole exome sequencing and cosegregation analyses. RESULTS: We identified a novel
frameshift mutation, c.4611_4612insG:p.T1537fs, in exon 49 of COL4A1. This
mutation predicts truncation of the protein with disruption of the C-terminal
part of the NC1 domain. We confirmed its presence in 20 family members, 17 with
confirmed haematuria, 5 of whom also had stage 4 or 5 chronic kidney disease.
Eleven family members exhibited kidney cysts (55% of those with the mutation),
but muscle cramps or cerebral aneurysms were not observed and serum creatine
kinase was normal in all individuals tested. CONCLUSIONS: Missense mutations of
COL4A1 that encode the CB3 [IV] segment of the triple helical domain (exons 24
and 25) are associated with HANAC syndrome (hereditary angiopathy, nephropathy,
aneurysms and cramps). Missense mutations of COL4A1 that disrupt the NC1 domain
are associated with antenatal cerebral haemorrhage and porencephaly, but not
kidney disease. Our findings extend the spectrum of COL4A1 mutations linked with
renal disease and demonstrate that the highly conserved C-terminal part of the
NC1 domain of the alpha1 chain of type IV collagen is important in the integrity
of glomerular basement membrane in humans.
PMID- 27190378
TI - Should we aim for oral health to improve outcomes in chronic kidney disease?
PMID- 27190377
TI - Aortic stiffness and change in glomerular filtration rate and albuminuria in
older people.
AB - Background: Aortic stiffness increases with age and increases pulsatile stress in
the microcirculation. Abnormalities in kidney microvascular structure and
function may contribute to development or progression of chronic kidney disease
in older people. Methods: We performed a longitudinal analysis of 629 community
dwelling elderly Icelandic adults from the Age, Gene/Environment Susceptibility
Reykjavik Study with two visits over a mean follow-up of 5.3 years. We evaluated
the associations of carotid-femoral pulse wave velocity (CFPWV), carotid pulse
pressure (CPP) and augmentation index (AI), with the change in estimated
glomerular filtration rate (eGFR) and urine albumin-to-creatinine ratio (UACR)
assessed as annual change and dichotomized as large changes. Models were adjusted
for age, sex, height, heart rate, traditional cardiovascular disease risk factors
and baseline kidney measures. Results: When eGFR was analyzed as a continuous
variable, higher baseline CFPWV and CPP, but not AI, were significantly
associated with a larger annual decline in eGFR in models adjusted for age, sex,
height, heart rate and baseline eGFR, but not after additional adjustment for the
mean arterial pressure. When eGFR was analyzed as a categorical variable, higher
CFPWV was significantly associated with a decrease in eGFR of >=3 mL/min/1.73 m 2
/year [odds ratio (OR) 1.53, 95% confidence interval (CI) 1.11-2.13] and higher
AI was associated with 30% eGFR decline during follow-up (OR 1.44 and 95% CI 1.03
2.00) in fully adjusted models. None of the tonometry measures was associated
with change in UACR. Conclusions: Abnormalities in vascular health may play a
role in large declines in eGFR beyond the traditional cardiovascular disease
risks in this older Icelandic cohort.
PMID- 27190379
TI - The natural history of immunoglobulin M nephropathy in adults.
AB - Background: Immunoglobulin M (IgM) nephropathy is an idiopathic
glomerulonephritis characterized by diffuse mesangial deposition of IgM. IgM
nephropathy has been a controversial diagnosis since it was first reported, and
there are few data identifying specific pathological features that predict the
risk of progression of renal disease. Methods: We identified 57 cases of IgM
nephropathy among 3220 adults undergoing renal biopsy at our institution.
Biopsies had to satisfy the following three criteria to meet the definition of
IgM nephropathy in this study: (i) dominant mesangial staining for IgM, (ii)
mesangial deposits on electron microscopy (EM) and (iii) exclusion of systemic
disease. Results: The median age was 42 years and 24 patients were male. Thirty
nine per cent of patients presented with the nephrotic syndrome, 49% presented
with non-nephrotic proteinuria and 39% had eGFR <60 mL/min. The median post
biopsy follow-up was 40 months and serum creatinine had doubled in 31% by 5
years. Of histological parameters, glomerular sclerosis and tubular atrophy, but
not mesangial proliferation, were risk factors for renal insufficiency. Thirty
nine per cent of nephrotic patients achieved complete remission, and outcome was
significantly worse in those who did not respond to treatment. Focal segmental
glomerulosclerosis was diagnosed in 80% of those undergoing repeat renal biopsy,
despite ongoing mesangial IgM deposition. Conclusions: We propose criteria for a
consensus definition of IgM nephropathy.
PMID- 27190380
TI - Lung ultrasound: a novel technique for detecting fluid overload in children on
dialysis.
AB - Background: Optimizing the target weight of infants and children on dialysis
remains an important clinical challenge. The use of ultrasound to detect fluid
overload in adult patients on dialysis is receiving growing attention. We
hypothesized that fluid overload can be quantified in infants and children
receiving dialysis using lung ultrasound. Methods: In this prospective
observational study, infants and children receiving dialysis for end-stage renal
disease (ESRD) or acute kidney injury (AKI) in a regional paediatric nephrology
centre were eligible. Lung ultrasound examinations were performed during in
centre dialysis, on home visits or in an outpatient clinic. Fluid overload was
assessed by quantifying B-lines on ultrasound and compared with proportional (%)
increase in patient weight from the target weight. Results: A total of 142
ultrasound assessments were performed in 23 children. In children with AKI,
median B-line score reduced from 5 (range 0-22) at presentation to 1.5 (0-4) at
recovery (P = 0.04) with concurrent improvement in fluid overload judged by
weight from 7.2 (-1.9 to 15.2)% to 0%. A linear correlation between lung
ultrasound B-line score and fluid overload judged by weight was observed in
children with AKI (r = 0.83) and ESRD (r = 0.61). Inter-observer variability was
acceptable. Conclusions: Lung ultrasound is a practical and sensitive method of
quantifying subclinical fluid overload in infants and children on dialysis.
Interventional studies to determine the benefits of using lung ultrasound to
optimize the target weight for children with ESRD are merited.
PMID- 27190381
TI - Prevalence of reduced kidney function and albuminuria in older adults: the Berlin
Initiative Study.
AB - Background: Although CKD is said to increase among older adults, epidemiologic
data on kidney function in people >=70 years of age are scarce. The Berlin
Initiative Study (BIS) aims to fill this gap by evaluating the CKD burden in
older adults. Methods: The BIS is a prospective population-based cohort study
whose participants are members of Germany's biggest insurance company. This cross
sectional analysis (i) gives a detailed baseline characterization of the
participants, (ii) analyses the representativeness of the cohort's disease
profile, (iii) assesses GFR and albuminuria levels across age categories, (iv)
associates cardiovascular risk factors with GFR as well as albuminuria and (v)
compares means of GFR values according to different estimating equations with
measured GFR. Results: A total of 2069 participants (52.6% female, mean age 80.4
years) were enrolled: 26.1% were diabetic, 78.8% were on antihypertensive
medication, 8.7% had experienced a stroke, 14% a myocardial infarction, 22.6% had
cancer, 17.8% were anaemic and 26.5% were obese. The distribution of
comorbidities in the BIS cohort was very similar to that in the insurance 'source
population'. Creatinine and cystatin C as well as the albumin:creatinine ratio
(ACR) increased with increasing age. After multivariate adjustments, reduced GFR
and elevated ACR were associated with most cardiovascular risk factors. The
prevalence of a GFR <60 mL/min/1.73 m 2 ranged from 38 to 62% depending on the
estimation equation used. Conclusions: The BIS is a very well-characterized,
representative cohort of older adults. Participants with an ACR >=30 had
significantly higher odds for most cardiovascular risk factors compared with an
ACR <30 mg/g. Kidney function declined and ACR rose with increasing age.
PMID- 27190382
TI - Systemic complement activation and complement gene analysis in enterohaemorrhagic
Escherichia coli-associated paediatric haemolytic uraemic syndrome.
AB - BACKGROUND: In contrast to atypical haemolytic uraemic syndrome (aHUS), only
single case reports and limited data have been published on systemic activation
of the complement system and mutations in complement genes in paediatric
enterohaemorrhagic Escherichia coli-induced HUS (EHEC-HUS). METHODS: Complement
activation (CH50, APH50, C3d, sC5b-9) was analysed at four timepoints (Week 1,
Week 2, Month 3 and Month 6 after primary diagnosis of HUS) in 25 children with
EHEC-HUS. Seven patients received the complement C5 inhibitor eculizumab.
Targeted next generation sequencing for a total of 89 genes involved in
complement regulation and coagulation and haemostasis was performed in all
patients. RESULTS: Activity of classical (CH50) and alternative (APH50)
complement pathways was normal or even elevated throughout the observation time,
except for patients under eculizumab treatment. In contrast, the mean
concentration of the soluble terminal complement complex (sC5b-9) was
significantly elevated at the first timepoint (mean 498 ng/mL), dropping to
normal values after 2 weeks. Initially elevated (42 mU/L) median C3d
concentration reached normal levels from Week 2. Levels of sC5b-9 >320 ng/mL at
the time of HUS diagnosis were associated with arterial hypertension, oedema and
lower platelet counts, but not with the duration of dialysis. Genetic analysis
revealed various changes that may have had a modifying impact on the clinical
course. CONCLUSIONS: Complement activation at the acute phase of EHEC-HUS,
indicated by increased levels of sC5b-9, predicts a poor outcome. Complement
alterations appear to be more frequent in patients with EHEC-HUS than previously
thought and are suspected to have a role in the severity of the disease.
PMID- 27190384
TI - Immunosuppression with mammalian target of rapamycin inhibitor and incidence of
post-transplant cancer in kidney transplant recipients.
AB - BACKGROUND: Evidence is limited regarding the effect of de novo therapy with
mammalian target of rapamycin (mTOR) inhibitors on cancer risk after kidney
transplantation. METHODS: Collaborative Transplant Study data from 78 146 adult
recipients of first deceased-donor kidney transplants (1999-2013) were analysed
(4279 mTOR inhibitor, 73 867 no mTOR inhibitor) using standard methods.
Propensity score matching was performed for analysis of basal cell and squamous
cell skin cancer. RESULTS: Standardized incidence ratios (SIR) versus a matched
non-transplant population showed reduced tumour incidence in recipients with de
novo mTOR inhibitor therapy compared with no mTOR inhibitor for non-melanoma skin
cancer (NMSC) (SIR 5.1 versus 6.1; P =0.019) but not non-NMSC cancers (SIR 1.6
versus 1.7; P =0.35). Within propensity score-matched groups (n = 4265),
multivariable Cox regression analysis showed a trend to reduced NMSC with mTOR
inhibition [hazard ratio (HR) 0.77; P =0.063] but not for all non-NMSC tumours
(HR 0.94; P= 0.59). A significant effect for mTOR inhibition was observed for
basal cell carcinoma of the skin (HR 0.56; P= 0.004) but not squamous cell
carcinoma (HR 0.87; P= 0.54). CONCLUSIONS: De novo mTOR inhibition was associated
with a substantially and significantly reduced risk of basal cell carcinoma of
the skin after kidney transplantation. A significant reduction of the incidence
of other cancers was not found.
PMID- 27190385
TI - Factors influencing pathological ankle-brachial index values along the chronic
kidney disease spectrum: the NEFRONA study.
AB - Background: The ankle-brachial index (ABI) is widely used to diagnose subclinical
peripheral artery disease (PAD) in the general population, but data assessing its
prevalence and related factors in different chronic kidney disease (CKD) stages
are scarce. The aim of this study is to evaluate the prevalence and associated
factors of pathological ABI values in CKD patients. Methods: NEFRONA is a
multicentre prospective project that included 2445 CKD patients from 81 centres
and 559 non-CKD subjects from 9 primary care centres across Spain. A trained team
collected clinical and laboratory data, performed vascular ultrasounds and
measured the ABI. Results: PAD prevalence was higher in CKD than in controls
(28.0 versus 12.3%, P < 0.001). Prevalence increased in more advanced CKD stages,
due to more patients with an ABI >=1.4, rather than <=0.9. Diabetes was the only
factor predicting both pathological values in all CKD stages. Age, female sex,
carotid plaques, higher carotid intima-media thickness, higher high-sensitivity C
reactive protein (hsCRP) and triglycerides, and lower 25-hydroxi-vitamin D were
independently associated with an ABI <=0.9. Higher phosphate and hsCRP, lower low
density lipoprotein (LDL)-cholesterol and dialysis were associated with an ABI
>=1.4. A stratified analysis showed different associated factors in each CKD
stage, with phosphate being especially important in earlier CKD, and LDL
cholesterol being an independent predictor only in Sage 5D CKD. Conclusions:
Asymptomatic PAD is very prevalent in all CKD stages, but factors related to a
low or high pathological ABI differ, revealing different pathogenic pathways.
Diabetes, dyslipidaemia, inflammation and mineral-bone disorders play a role in
the appearance of PAD in CKD.
PMID- 27190386
TI - Efficacy and safety of antibody induction therapy in the current era of kidney
transplantation.
AB - BACKGROUND: Antibody induction with polyclonal rabbit-antithymocyte globulin
(rATG) or an interleukin-2 receptor antagonist (IL-2RA) is widely used in kidney
transplantation. METHODS: Collaborative Transplant Study data from 38 311 first
deceased-donor kidney transplants (2004-13) were analysed. Transplants were
classified as 'normal risk' or 'increased risk' according to current guidelines.
Cox regression analysis was applied to subpopulations of propensity score-matched
recipients. RESULTS: rATG or IL-2RA induction was given to 64% of increased-risk
and 53% of normal-risk patients, respectively. rATG and IL-2RA induction were
each associated with reduced risk for graft loss versus no induction in increased
risk patients [hazard ratio (HR) 0.85, P = 0.046 and HR 0.89, P = 0.011,
respectively]. The HR values for incidence of treated rejection in increased-risk
patients for rATG and IL-2RA versus no induction were 0.75 (P = 0.037) and 0.77
(P < 0.001), respectively. In the normal risk subpopulation, neither induction
therapy significantly affected the risk of graft loss or treated rejection.
Hospitalization for infection was increased by rATG (P < 0.001) and IL-2RA (P <
0.001) induction. In contrast to patients transplanted during 1994-2003, among
patients transplanted during 2004-13, rATG did not significantly affect the risk
of non-Hodgkin's lymphoma versus no induction (P = 0.68). CONCLUSION: Induction
therapy following kidney transplantation should be targeted to increased-risk
transplants. In this analysis, a beneficial effect of antibody induction in
normal-risk transplants could not be demonstrated.
PMID- 27190383
TI - Matrix metalloproteinase 9 is associated with peritoneal membrane solute
transport and induces angiogenesis through beta-catenin signaling.
AB - Background: For patients using peritoneal dialysis (PD), the peritoneal membrane
can develop fibrosis and angiogenesis, leading to ultrafiltration failure,
chronic hypervolemia and increased risk of technique failure and mortality.
Matrix metalloproteinases (MMPs), and specifically the gelatinases (MMP2 and
MMP9), may be involved in peritoneal membrane injury. Methods: From stable PD
patients, mesothelial cells were assayed for MMP gene expression. MMP9 was
overexpressed in mouse peritoneum by adenovirus, and MMP9 -/- mice were subjected
to transforming growth factor beta (TGF-beta)-induced peritoneal fibrosis.
Results: MMP9 mRNA expression correlated with peritoneal membrane solute
transport properties. Overexpression of MMP9 in the mouse peritoneum induced
submesothelial thickening and angiogenesis. MMP9 induced mesothelial cell
transition to a myofibroblast phenotype measured by increased alpha smooth muscle
actin and decreased E-cadherin expression. Angiogenesis was markedly reduced in
MMP9 -/- mice treated with an adenovirus expressing active TGF-beta compared with
wild-type mice. TGF-beta-mediated E-cadherin cleavage was MMP9 dependent, and E
cadherin cleavage led to beta-catenin-mediated signaling. A beta-catenin
inhibitor blocked the angiogenic response induced by AdMMP9. Conclusions: Our
data suggest that MMP9 is involved in peritoneal membrane injury possibly through
cleavage of E-cadherin and induction of beta-catenin signaling. MMP9 is a
potential biomarker for peritoneal membrane injury and is a therapeutic target to
protect the peritoneal membrane in PD patients.
PMID- 27190387
TI - Opponent's comments.
PMID- 27190388
TI - Opponent's comments.
PMID- 27190391
TI - Opponent's comments.
PMID- 27190390
TI - Pro: Should we correct vitamin D deficiency/insufficiency in chronic kidney
disease patients with inactive forms of vitamin D or just treat them with active
vitamin D forms?
AB - Evidence for the usefulness of using vitamin D to treat 'renal bone disease' is
now nearly six decades old. In regular clinical practice, however, it is more
like three decades, at most, that we have routinely been using vitamin D to try
to prevent, or reverse, the impact of hyperparathyroidism on the skeleton of
patients with chronic kidney disease (CKD). The practice has been in the main to
use high doses of synthetic vitamin D compounds, not naturally occurring ones.
However, the pharmacological impacts of the different vitamin D species and of
their different modes, and styles of administration cannot be assumed to be
uniform across the spectrum. It is disappointingly true to say that even in 2016
there is a remarkable paucity of evidence concerning the clinical benefits of
vitamin D supplementation to treat vitamin D insufficiency in patients with stage
3b-5 CKD. This is even more so if we consider the non-dialysis population. While
there are a number of studies that report the impact of vitamin D supplementation
on serum vitamin D concentrations (unsurprisingly, usually reporting an
increase), and some variable evidence of parathyroid hormone concentration
suppression, there has been much less focus on hard or semi-rigid clinical end
point analysis (e.g. fractures, hospitalizations and overall mortality). Now, in
2016, with the practice pattern changes of first widespread clinical use of
vitamin D and second widespread supplementation of cholecalciferol or
ergocalciferol by patients (alone, or as multivitamins), it is now, in my view,
next to impossible to run a placebo-controlled trial over a decent period of
time, especially one which involved clinically meaningful (fractures,
hospitalisation, parathyroidectomy, death) end-points. In this challenging
situation, we need to ask what it is we are trying to achieve here, and how best
to balance potential benefits with potential harm.
PMID- 27190392
TI - Con: Nutritional vitamin D replacement in chronic kidney disease and end-stage
renal disease.
AB - Insufficiency of 25-hydroxyvitamin D [25(OH)D] is highly prevalent among patients
with chronic kidney disease (CKD) or end-stage renal disease (ESRD) and is a
critical component in the pathogenesis of secondary hyperparathyroidism.
Accordingly, current National Kidney Foundation-Kidney Disease Outcomes Quality
Initiative and Kidney Disease: Improving Global Outcomes guidelines recommend the
correction of hypovitaminosis D through nutritional vitamin D replacement as a
first-step therapeutic approach targeting secondary hyperparathyroidism. In this
Polar Views debate, we summarize the existing evidence, aiming to defend the
position that nutritional vitamin D replacement is not evidence-based and should
not be applied to patients with CKD. This position is supported by the following:
(i) our meta-analysis of randomized controlled trials shows that whereas
nutritional vitamin D significantly increases serum 25(OH)D levels relative to
placebo, there is no evidence either in predialysis CKD or in ESRD that
parathyroid hormone (PTH) is lowered; (ii) on the other hand, in randomized head
to-head comparisons, nutritional vitamin D is shown to be inferior to activated
vitamin D analogs in reducing PTH levels; (iii) nutritional vitamin D is reported
to exert minimal to no beneficial actions in a series of surrogate risk factors,
including aortic stiffness, left ventricular mass index (LVMI), epoetin
utilization and immune function among others; and (iv) there is no evidence to
support a benefit of nutritional vitamin D on survival and other 'hard' clinical
outcomes. Whereas nutritional vitamin D replacement may restore 25(OH)D
concentration to near normal, the real target of treating vitamin D insufficiency
is to treat secondary hyperparathyroidism, which is untouched by nutritional
vitamin D. Furthermore, the pleotropic benefits of nutritional vitamin D remain
to be proven. Thus, there is little, if any, benefit of nutritional vitamin D
replacement in CKD.
PMID- 27190393
TI - Opponent's comments.
PMID- 27190394
TI - Moderator's view: Vitamin D deficiency treatment in advanced chronic kidney
disease: a close look at the emperor's clothes.
AB - Two recent vitamin D supplementation (ergocalciferol) trials in stage G5D CKD
patients with vitamin D insufficiency showed that this sterol effectively
increases serum 25-hydroxyvitamin D [25(OH)D] but fails to modify serum PTH and
other clinical outcomes. The Pro side of this polar view emphasizes that the
duration of these studies was too short to allow sensible analyses based on a
clinical endpoint. Furthermore, he notes that in the second study, the use of
active forms of vitamin D, phosphate binders and cinacalcet could have hindered
appreciation of the effect of ergocalciferol supplementation per se The Con side
produces an updated meta-analysis showing that inactive vitamin D forms largely
fail to reduce serum PTH and affect various relevant endpoints, including muscle
strength, functional capacity, quality of life and hospitalization. Studies
suggesting an effect of inactive vitamin D forms in advanced CKD are either very
small and mainly based on sequential, uncontrolled observations or inherently
weak, simple pre/post studies. No biological or clinical evidence exists that
25(OH)D may exert meaningful effects in CKD patients who are being treated with
active forms of vitamin D. Careful a etiologic studies based on the omics
sciences, i.e. precise pathophysiological profiling of individual CKD patients
followed by consequential, well-targeted intervention(s) in the precision
medicine scenario, will likely provide a definitive answer to the lingering
question of whether inactive vitamin D forms may have biological effects beyond
those produced by their proximate metabolite 1,25-dihydroxyvitamin D3.
PMID- 27190396
TI - Molecular regulation of the renin-angiotensin system in haemodialysis patients.
PMID- 27190397
TI - A study of correlation of angioarchitecture of intracranial blood vessels with
difficulty during endovascular coiling of aneurysms.
AB - OBJECTIVE: The duration and complications of coiling are dependent on several
factors. These include experience with the armamentarium and the expertise of the
operator. Also, the angioarchitecture of the blood vessel can play an important
role in the duration of the procedure and outcome. METHODS: 41 patients underwent
endovascular coiling. The angioarchitecture of the vessels in terms of the course
of the blood vessels from the arch of the aorta to the aneurysm, and the angles
between the arch and its branches, between the parent and feeding arteries, and
between the feeding artery and the aneurysm were measured. During coiling,
duration, attempts, complications, and outcome were recorded. A correlation was
made between angioarchitecture and difficulty during coiling. RESULTS: Based on
number of attempts of coiling, two groups were defined. In group I, 26 patients
underwent a single attempt with a mean duration of 61 min and in group II, 15
patients had more than one attempt with a mean duration of 98 min. The mean angle
between the arch and its branches, between the internal carotid artery and the
anterior cerebral artery, and between the anterior communicating artery and the
aneurysm was more acute in group II compared with group I. Spearman's correlation
suggested that as the angle at different vessel levels decreased, duration,
attempt, and complications increased, and vice versa. CONCLUSIONS:
Angioarchitecture analysis revealed that the sharper the angle (acute angle)
between various vessels, the greater the difficulty in negotiating a
microcatheter through the vessels and the more complications, duration, number of
attempts, and poor outcome. We therefore feel that angioarchitecture analysis
should be done carefully in all patients in whom the decision to undergo coiling
is taken.
PMID- 27190398
TI - Mechanical thrombectomy with the ERIC retrieval device: initial experience.
AB - OBJECTIVE: To report our experience with the Embolus Retriever with Interlinked
Cage (ERIC) stentriever for use in mechanical endovascular thrombectomy (MET).
METHODS: Thirty-four consecutive patients with acute stroke (21 men and 13 women;
median age 66 years) determined appropriate for MET were treated with ERIC and
prospectively included over a 6-month period at three different centers. The ERIC
device differs from typical stentrievers in that it is designed with a series of
interlinked adjustable nitinol cages that allow for fast thrombus capture,
integration, and withdrawal. The evaluated endpoints were successful
revascularization (Thrombolysis in Cerebral Infarction (TICI) 2b-3) and good
clinical outcomes at 3 months (modified Rankin Scale (mRS) 0-2). RESULTS:
Locations of the occlusions included the middle cerebral artery (13 patients),
terminal carotid artery (11 patients), basilar artery (1 patient), and tandem
occlusions (9 patients). IV thrombolysis was performed in 20/34 (58.8%) patients.
Median times from symptom onset to recanalization and from puncture to
recanalization were 325.5 min (180-557) and 78.5 min (14-183), respectively. Used
as the first-line device, ERIC achieved a successful recanalization in 20/24
(83.3%) patients. Successful recanalization was associated with lower National
Institutes of Health Stroke Scale scores at 24 h (8+/-6.5 vs 21.5+/-2.1; p=0.008)
and lower mRS at 3 months (2.7+/-2.1 vs 5.3+/-1.1; p=0.04). Three procedural
complications and four asymptomatic hemorrhages were recorded. Good clinical
outcomes at 3 months were seen in 15/31 (48.4%) patients. CONCLUSIONS: The ERIC
device is an innovative stentriever allowing fast, effective, and safe MET.
PMID- 27190399
TI - Time-to-Pregnancy Associated With Couples' Use of Tobacco Products.
AB - INTRODUCTION: Previous studies suggest female smoking increases time-to-pregnancy
(TTP), a couple-dependent reproductive outcome, while associations with male
smoking are more ambiguous. Furthermore, despite small increases in smokeless
tobacco use in the United States, no prior study has evaluated TTP among
smokeless tobacco users. METHODS: Using population-based sampling in 16 counties
in Michigan and Texas, 501 couples discontinuing contraception to become pregnant
were followed until positive pregnancy test or 12 months of trying. Participants
were interviewed on lifetime and current cigarette, cigar, and chew/snuff
(smokeless) use and provided blood samples for quantification of heavy metals and
cotinine. Fecundability odds ratios (FORs) and 95% confidence intervals (95% CIs)
were estimated, adjusted for demographics/lifestyle. FORs less than 1 reflect
longer TTP. RESULTS: Eleven percentage of females and 15% of males smoked
cigarettes. Among men, 14% smoked cigars, 9% used snuff, and 2% used chew.
Compared with never tobacco users, male (FOR: 0.41, 95% CI: 0.24, 0.68) and
female (FOR: 0.53, 95% CI: 0.33, 0.85) smoking were individually associated with
longer TTP; males' smoking remained significant (FOR: 0.46, 95% CI: 0.27, 0.79)
when modeling partners together. Cadmium levels were higher in smokers than
smokeless tobacco and never users; adjusting for cadmium attenuated the cigarette
TTP association, particularly among women. TTP was shorter among smokeless
tobacco users relative to smokers (FOR: 2.86, 95% CI: 1.47, 5.57). CONCLUSIONS:
Compared with never users, smokeless tobacco did not alter TTP in our cohort;
however, TTP was shorter compared with smokers. We observed longer TTP in male
and female smokers; cadmium may partially contribute. IMPLICATIONS: Both
partners' preconception smoking contributed to longer TTP, highlighting the
importance of both partners' lifestyles in healthy reproduction and underscores
the need for couple-based preconception guidance. The male's contribution is a
new finding. Higher cadmium levels may partially contribute to longer TTP in
smokers, particularly among females. Though we do not observe longer TTP among a
small sample of smokeless tobacco users compared with never tobacco users, we
observe shorter TTP compared with smokers. Further work is needed to more
thoroughly delineate the relationship between smokeless tobacco use and TTP and
possible mechanisms of tobacco use's effects on reproduction.
PMID- 27190400
TI - Fetal Exposure to Carcinogens With Tobacco Use in Pregnancy: Phase 1 MAW Study
Findings.
AB - INTRODUCTION: The high prevalence of smoking and smokeless tobacco (ST) use
during pregnancy in Alaska Native (AN) women is concerning due to the detrimental
effects of these products to the mother and the developing fetus. We sought to
correlate maternal cotinine levels with fetal exposure to a tobacco-specific
carcinogen to incorporate in a biomarker feedback intervention to motivate
tobacco cessation during pregnancy. METHODS: Demographic and tobacco use data
were collected from a convenience sample of pregnant AN smokers, ST users, and
non-users. Maternal and neonatal urine were collected at delivery. Maternal urine
cotinine and neonatal urine total 4-(methylnitrosamino)-1-(3-pyridyl)-1-butanol
(NNAL, a tobacco-specific carcinogen) levels in smokers and ST users were
analyzed and their correlations determined by Spearman correlation coefficients.
RESULTS: During 2012-2014, we enrolled 64 non-users, 54 smokers, and 30 ST (20
homemade iqmik; 10 commercial ST) users (n = 148). Analyses of paired maternal
infant urine samples obtained for 36 smokers demonstrated a moderate to strong
correlation (r = 0.73, P < .001) between maternal cotinine and infant NNAL
levels. The correlation was not significant for 25 iqmik users (r = 0.36, P =
.17) or 9 commercial ST users (r = 0.60, P = .09). No analysis was conducted for
55 non-users with cotinine and NNAL levels < limits of quantification.
CONCLUSIONS: There is a moderate to strong correlation between maternal smoking
and fetal exposure to the tobacco-specific carcinogen NNAL. IMPLICATIONS: The
correlation between maternal smoking and fetal carcinogen exposure may provide an
education tool to help motivate smoking cessation among pregnant AN women.
Further investigation is warranted to determine correlations between maternal
commercial ST and iqmik use and neonatal NNAL.
PMID- 27190401
TI - Exposure to Secondhand Smoke Among Nonsmokers in New York City in the Context of
Recent Tobacco Control Policies: Current Status, Changes Over the Past Decade,
and National Comparisons.
AB - INTRODUCTION: Exposure to secondhand smoke is hazardous and can cause cancer,
coronary heart disease, and birth defects. New York City (NYC) and other
jurisdictions have established smoke-free air laws in the past 10-15 years.
METHODS: NYC Health and Nutrition Examination Survey (HANES) 2013-2014 was a
population-based survey of NYC residents, aged 20 years and older, in which
biospecimens were collected and cotinine levels were measured. Secondhand smoke
exposure was assessed by demographics and risk factors and compared with that
from NYC HANES 2004 and national HANES. RESULTS: More than a third (37.1%, 95%
confidence interval [CI] = 33.3%-41.2%) of nonsmoking adult New Yorkers were
exposed to secondhand smoke, defined as a cotinine level of 0.05-10ng/mL. This
was significantly lower than in 2004 NYC HANES, when 56.7% (95% CI = 53.6%-59.7%)
of nonsmokers were exposed to secondhand smoke, but was greater than the
proportion of adults exposed nationwide, as measured by national HANES (24.4%,
95% CI = 22.0%-26.9% in 2011-2012). Men, non-Hispanic blacks, adults aged 20-39,
those with less education, and those living in high-poverty neighborhoods were
more likely to be exposed. CONCLUSIONS: There has been a large decrease in
secondhand smoke exposure in NYC, although disparities persist. The decrease may
be the result of successful policies to limit exposure to secondhand smoke in
public places and of smokers smoking fewer cigarettes per day. Yet NYC residents
still experience more secondhand smoke exposure than US residents overall.
Possible explanations include multiunit housing, greater population density, and
pedestrian exposure. IMPLICATIONS: Measuring exposure to secondhand smoke can be
difficult, and few studies have monitored changes over time. This study uses
serum cotinine, a nicotine metabolite, from a local population-based examination
survey, the NYC HANES 2013-2014, to examine exposure to secondhand smoke in an
urban area that has implemented stringent antismoking laws. Comparison with NYC
HANES conducted 10 years ago allows for an assessment of changes in the last
decade in the context of municipal tobacco control policies. Results may be
helpful to jurisdictions considering implementing similar tobacco control
policies.
PMID- 27190402
TI - Tobacco Use and 12-Month Suicidality Among Adults in the United States.
AB - INTRODUCTION: To examine how 12-month prevalences of suicidality vary by tobacco
use. METHODS: Data were from 325 800 adults who participated in the 2008-2014
National Survey on Drug Use and Health. Descriptive analyses and multivariable
multinomial logistic regression models were applied. RESULTS: Among adults aged
18 or older in the United States during 2008-2014, 27.4% (annual average,
standard error [SE] = 0.14%) were never tobacco users, 38.8% (SE = 0.17%) were
former tobacco users, 5.9% (SE = 0.07%) were past-year users of other types of
tobacco (non-cigarette), 20.2% (SE = 0.13%) were past-year cigarette-only users,
and 7.7% (SE = 0.07%) were past-year users of cigarettes plus other types of
tobacco; 2.6% (SE = 0.04%) had suicidal ideation only, 0.7% (SE = 0.02%) had
suicidal ideation and suicide plan only, and 0.5% (SE = 0.02%) attempted suicide.
After controlling for covariates, compared with never tobacco users, past-year
users of cigarettes plus other types of tobacco were at elevated risk of all
examined suicidality outcomes (adjusted relative risks [ARRs] = 1.2-1.7), and
past-year cigarette-only users were at higher risk of suicide attempt (ARR =
1.4). Early age of first tobacco use was associated with higher risk of suicidal
ideation and suicide plan among former tobacco users, past-year tobacco users,
and past-year cigarette users (ARRs = 1.2-1.6). Among past-year tobacco users,
frequencies of cigarette and cigar use were associated with suicide attempt (ARRs
= 1.4-1.7). Nicotine dependence was associated with suicide attempt among past
year cigarette users (ARR = 1.2). CONCLUSIONS: Tobacco use is associated with 12
month suicidality among adults. Patients who use tobacco should be assessed
further for mental health status and suicide risk. IMPLICATIONS: Our results
revealed that tobacco use is independently associated with the 12-month
suicidality outcomes among adults and identified how the prevalences of 12-month
suicidality outcomes vary by tobacco use status and use characteristics among
adults. These results have important clinical implications. Future research
should assess the effectiveness of tobacco use questions as simple screeners for
more extensive assessment of mental health status and suicide risk.
PMID- 27190403
TI - Prevalence and Correlates of the Belief That Electronic Cigarettes are a Lot Less
Harmful Than Conventional Cigarettes Under the Different Regulatory Environments
of Australia and the United Kingdom.
AB - INTRODUCTION: The rapid rise in electronic cigarettes (ECs) globally has
stimulated much debate about the relative risk and public health impact of this
new emerging product category as compared to conventional cigarettes. The sale
and marketing of ECs containing nicotine are banned in many countries (eg,
Australia) but are allowed in others (eg, United Kingdom). This study examined
prevalence and correlates of the belief that ECs are a lot less harmful than
conventional cigarettes under the different regulatory environments in Australia
(ie, more restrictive) and the United Kingdom (ie, less restrictive). METHODS:
Australian and UK data from the 2013 survey of the International Tobacco Control
Four-Country project were analyzed. RESULTS: More UK than Australian respondents
(58.5% vs. 35.2%) believed that ECs are a lot less harmful than conventional
cigarettes but more respondents in Australia than in the United Kingdom selected
"Don't Know" (36.5% vs. 17.1%). The proportion that responded "A little less,
equally or more harmful" did not differ between countries. Correlates of the
belief that ECs are "A lot less harmful" differed between countries, while
correlates of "Don't Know" response did not differ. CONCLUSIONS: Consistent with
the less restrictive regulatory environment affecting the sale and marketing of
ECs, smokers and recent ex-smokers in the United Kingdom were more likely to
believe ECs were less harmful relative to conventional cigarettes compared to
those in Australia. IMPLICATIONS: What this study adds: Among smokers and ex
smokers, this study found that the belief that ECs are (a lot) less harmful than
conventional cigarettes was considerably higher in the United Kingdom than in
Australia in 2013. The finding is consistent with the less restrictive regulatory
environment for ECs in the United Kingdom, suggesting that the regulatory
framework for ECs adopted by a country can affect smokers' perceptions about the
relative harmfulness of ECs, the group that stands to gain the most from having
an accurate belief about the relative harms of ECs.
PMID- 27190404
TI - Genital contact allergy: A diagnosis missed.
AB - Genital allergy should be considered as a possible diagnosis in all patients with
genital soreness or irritation for which no infection or dermatosis can be
identified and in whom symptoms remain unchanged or worsen with treatment. It is
an underreported and underdiagnosed condition as patients may not complain about
symptoms in this area. Moreover, diagnosis and therapy may not often be conducted
by a dermatologist or allergologist. Therefore, many cases of allergic diseases
in the genital area remain undetected.
PMID- 27190405
TI - Treatment seeking behaviour of STI clients in a tertiary care centre of North
India: A cross sectional study.
AB - OBJECTIVE: (1) To determine the treatment seeking behaviour of STI clients. (2)
To ascertain the relationship of socio-demographic factors and sexual behaviours
with the treatment seeking component in STI clients. METHODS: This cross
sectional study was conducted in Department of Dermatology, Faculty of Medical
Sciences, King George's Medical University, Lucknow, Uttar Pradesh. After
obtaining approval from the Institutional Ethical Committee, the data collected
daily from master register, STI/RTI patient wise register and counsellor's
patient diary during the study period August 2013 to July 2015 was processed by
Microsoft Excel program. The counsellor also recorded the source of information
regarding STI Clinic. The information collected regarding bio-social
characteristics, high risk sexual behaviours and source of knowledge about
Suraksha clinic was analysed. RESULTS: Our results showed that literacy, male
sex, urban residence and employment were some of the parameters which
significantly improved the health seeking behaviour of STI clients. These
variables were associated with higher odds for seeking treatment when adjusted
for other variables. Similarly group with bisexual and homosexual behaviour had
significantly lower odds for seeking treatment when adjusted for other variables.
CONCLUSION/KEY MESSAGE: The optimal use of information, education and
communication (IEC) techniques needs to be strengthened to further improve the
utilization of STI clinic services at tertiary care teaching hospitals.
PMID- 27190406
TI - Diagnostic accuracy of self-reported symptomatic assessment versus per
speculum/per vaginal examination for the diagnosis of vaginal/cervical discharge
and lower abdominal pain syndromes among female sex workers.
AB - BACKGROUND: National AIDS Control Organization guidelines on enhanced syndromic
case management of sexually transmitted infections (STIs) and reproductive tract
infections (RTIs) require per speculum (P/S) and per vaginal (P/V) examinations
for diagnosis of STIs. However, it is not known if the addition of P/S and P/V
examinations to self-reported symptomatic assessment adds any value for the
diagnosis of STI/RTI. OBJECTIVE: To assess the diagnostic accuracy of P/S and P/V
examinations compared with self-reported symptomatic assessment in a cohort of
female sex workers (FSWs). METHODS: We performed a cross-sectional study from
August 2009 to June 2010, among 519 FSWs in Surat city, Gujarat, India.
Symptomatic assessment for the presence or absence of vaginal/cervical discharge
(VCD) or lower abdominal pain (LAP) was done using a self-administered
questionnaire. After completion of the questionnaire, all participants underwent
P/S and P/V examinations. Summary diagnostic accuracy measures were calculated.
RESULTS: Five hundred and nineteen FSWs between the ages of 18-49 years
participated in the study. The median age of participants was 31 years. The
prevalence of VCD and LAP syndromes based on vaginal discharge, LAP, or both was
56%, 5,-10%, respectively. The sensitivity of P/S and P/V examinations depending
on symptomatic assessment ranged from 47% to 76%. The specificity ranged from 73%
to 93%. The positive predictive value ranged from 25% to 83%, and the negative
predictive value ranged from 56% to 98%. CONCLUSION: Symptomatic assessment alone
is not adequate for the diagnosis of VCD and LAP syndromes and can lead to a
significant number of missed cases (36%). A P/S and P/V examinations is critical
for assessment of VCD and LAP syndromes and subsequent treatment.
PMID- 27190407
TI - Randomized questionnaire based cross-sectional research study on awareness of
sexually transmitted diseases amongst the general population between those who
completed their high school education and those who have not.
AB - INTRODUCTION: Sexually transmitted diseases (STDs) are a very important health
challenge for adolescents. Educational level, especially sex education in school,
prevents the adolescents falling prey to these diseases. OBJECTIVE: To compare
the awareness of STDs among general population with below and above high school
qualification. MATERIALS AND METHODS: A simple randomized, cross-sectional,
questionnaire based study on the awareness of STDs on out-patients and in
patients of Saveetha Medical College and Hospital of 6 months duration was
conducted. About 150 subjects participated in the study. RESULTS: About 77.8% of
those who completed schooling had good awareness of STDs. Statistical analysis
had shown the formal education to high school level is statistically
significantP= 0.0068 (P < 0.05) in people falling prey to the STDs. CONCLUSION:
The initiation of formal education about sex education at the school level can
improve the present status and lead to better prevention of STDs.
PMID- 27190408
TI - Predictors of unsafe sexual behavior among people living with human
immunodeficiency virus/AIDS attending antiretroviral therapy center in Western
India.
AB - BACKGROUND: As more and more people with human immunodeficiency virus (HIV) live
longer and healthier lives because of antiretroviral therapy (ART), an increasing
number of sexual transmissions of HIV may arise from these people living with
HIV/AIDS (PLWHA). Hence, this study is conducted to assess the predictors of
unsafe sexual behavior among PLWHA on ART in Western India. MATERIALS AND
METHODS: The current cross-sectional study was carried out among 175 PLWHAs
attending ART center of a Tertiary Care Hospital in Western India. Unsafe sex was
defined as inconsistent and/or incorrect condom use. A total of 39 variables from
four domains viz., sociodemographic, relationship-related, medical and psycho
social factors were studied for their relationship to unsafe sexual behavior. The
variables found to be significantly associated with unsafe sex practices in
bivariate analysis were explored by multivariate analysis using multiple logistic
regression in SPSS 17.0 version. RESULTS: Fifty-eight percentage of PLWHAs were
practicing unsafe sex. 15 out of total 39 variables showed significant
association in bivariate analysis. Finally, 11 of them showed significant
association in multivariate analysis. Young age group, illiteracy, lack of
counseling, misbeliefs about condom use, nondisclosure to spouse and lack of
partner communication were the major factors found to be independently associated
with unsafe sex in multivariate analysis. CONCLUSION: Appropriate interventions
like need-based counseling are required to address risk factors associated with
unsafe sex.
PMID- 27190409
TI - Efficacy of syndromic management measured as symptomatic improvement in females
with vaginal discharge syndrome.
AB - BACKGROUND: In spite of a few shortcomings such as over diagnosis and over
treatment, syndromic management is a recommended practice in India for sexually
transmitted infections (STIs). This study tries to find out the efficacy of
syndromic management measured as symptomatic improvement in females with vaginal
discharge syndrome. OBJECTIVE: The objective of the study is to find out the
effectiveness of syndromic management in terms of symptomatic improvement among
females with vaginal discharge syndrome. MATERIALS AND METHODS: A longitudinal
study was conducted in Gynecology Department of Tertiary Care Hospital including
180 symptomatic females having vaginal discharge syndrome. Demographic profile,
presenting complaints, menstrual history, obstetric history, partner history, and
contraceptive history were noted. This was followed by clinical examination and
specimen collection for laboratory tests and blood tests to find out type of STI
including viral STI such as human immunodeficiency virus (HIV), herpes simplex
virus (HSV), and hepatitis B surface antigen (HBsAg). Treatment was given
according to syndromic management on the same day. All the participants were
asked to come for follow-up after 15 days and their improvement in symptoms was
noted as complete improvement, some improvement or no improvement on a five point
scale. RESULTS: 63.9% cases showed complete improvement, while 36.1% showed some
improvement. None of the patients was without any improvement. Vaginal discharge
syndrome was most common between 20 and 30 years (43.4%), and 67.8% of
symptomatic females with vaginal discharge syndrome belonged to the lower
socioeconomic group. HSV infection was the most common (15%) associated viral
infection with vaginal discharge syndrome, while hepatitis B infection was the
least common (0.5%). HIV was reactive in 2.8% cases only. CONCLUSION: Syndromic
management was found to be effective in relieving symptoms in most of the cases
of vaginal discharge syndrome.
PMID- 27190410
TI - Time trends of seroepidemiology of hepatitis C virus and hepatitis B virus
coinfection in human immunodeficiency virus-infected patients in a Super
Specialty Hospital in New Delhi, India: 2012-2014.
AB - BACKGROUND: Hepatitis viruses and human immunodeficiency virus (HIV) coinfection
is a major cause of liver diseases worldwide. High prevalence of hepatitis B
virus (HBV) and hepatitis C virus (HCV) in Asia makes it important to understand
HBV and HCV coinfection with HIV in this part of the globe. This study was done
with the aim of assessing the time trends of seroepidemiology of HBV and HCV
coinfection in HIV patients over the last 3 years. MATERIALS AND METHODS: Year
wise retrospective analysis of data between January 2012 and December 2014 was
done. RESULTS: The prevalence of HIV infection among 0-20 years and >60 years age
group decreased over the last 3 years (2012-2014), 8.4%, 6.4%, and 3.1% and 3.6%,
3.8%, and 1.5%, respectively. While increasing prevalence was seen among 21-40
years age group, 57.8%, 60.2%, and 67.1%, respectively in 2012, 2013, and 2014.
There was no significant relationship between age/gender and HBV/HCV
seropositivity among HIV-positive patients. The risk of acquiring HBV infection
was more in HIV-positive patients who were >60 years of age (odds ratio = 3.3182;
95% confidence interval: 0.3669-30.005). The prevalence of HCV seropositivity is
less in HIV-positive patients as only one case was anti-HCV antibody positive in
last 3 years who was a male patient in the age group 21-40 years. A declining
trend was observed for HIV positive cases over 2012-2014 while no significant
trend change is seen in HBV/HCV seropositivity among HIV patients from 2012 to
2104. CONCLUSION: It is recommended to screen HIV patients routinely for
concurrent HBV/HCV infection as hepatotropic viruses with HIV increase the risk
of liver mortalities.
PMID- 27190411
TI - Clinical manifestations and outcome of patients with human immunodeficiency virus
infection at tertiary care teaching hospital.
AB - BACKGROUND: AIDS has become chronic illness which is well treated with
antiretroviral therapy and management of opportunistic infections (OIs). AIMS AND
OBJECTIVES: The study clinical profile and outcome of human immunodeficiency
virus (HIV) seropositive patients. MATERIALS AND METHODS: This was retrospective
observational study carried out over a period of 1 year (January 2011-December
2011). All HIV patients admitted in medicine ward, and ICU were enrolled.
Statistical analysis was performed using SSPE statistical software trial version
11. The P< 0.05 was considered as statistically significant. RESULTS: Of total
111 patients with a diagnosis of HIV/AIDS, 75 (67.56%) were male and 36 (32.43%)
were female patients. A total 52 (46.84%) patients presented with respiratory
manifestations, of them 23 (44.23%) had pulmonary tuberculosis (TB), 6 (11.53%)
had tubercular effusion, and 3 (5.76%) had Pneumocystis jirovecii pneumonia.
Respiratory manifestations including pulmonary TB were the most common
presentation (P< 0.001). Total 27 (24.32%) patients were presented with the
neurological manifestation of them 8 (29.62%) had a cerebro-vascular accident, 5
(18.51%) had cryptococcal meningitis, 4 (14.81%) had tubercular meningitis, and 1
(3.70%) had progressive multifocal leukoencephalopathy. Total 12 (38.70%) had
acute gastroenteritis 6 (19.35%) had oral candidiasis, 8 (25%) had general tonic
clonic seizure and 7 (21.87%) had pyrexia of unknown origin, 6 (18.75%) had
septicemia, 6 (18.75%) had acute renal failure, and 6 (94.11%) had anemia. A
total 11 (9.90%) patients succumbed. CONCLUSIONS: Overall respiratory
manifestations were the common presentation in a present cohort of HIV
seropositive patients and TB was the most common OI and the cerebrovascular
accident was the most common neurological manifestation.
PMID- 27190413
TI - Performance of the prevention of parent to child transmission program: A decadal
trend from rural Maharashtra, India.
AB - BACKGROUND: Human immunodeficiency virus (HIV) infection is widely spread across
the state of Maharashtra with high prevalence among antenatal women. AIMS: To
assess the effectiveness of prevention of parent to child transmission (PPTCT)
services in rural Tertiary Health Care Centre of Western Maharashtra, India and
to address the weaknesses in functioning of PPTCT services. MATERIALS AND
METHODS: A cross-sectional study was conducted at Integrated Counselling and
Testing Centre (ICTC) of a rural tertiary health center located in rural area of
Western Maharashtra over a period of 10 years from 2003 to 2012. A total of
32,575 pregnant women were included as study subjects and data were collected as
per the indicators of PPTCT by viewing the retrospective PPTCT records. The data
were analyzed over the period of 10 years for the outcome of PPTCT services. The
trend of PPTCT indicators over a decade were analyzed by using Chi-square test.
RESULTS: The seroprevalence of HIV infection among pregnant women was 2% in 2003
which decreased to 0.2% by 2012. The proportions of women counseled and HIV
tested were 88.9% and 100%, respectively in 2003 and pretest counseling trend was
steadily increased to 100% by 2012; however; HIV testing trend remained 100%
throughout 10 years. Posttest counseling trend ranges from 89.6% to 99.9% whereas
trend of HIV testing among partners has been fluctuating from 25% to 100%. The
proportional trend of HIV-positive mothers delivered in a tertiary care hospital
ranges from 60% to 100%. The proportions of pair receiving prophylaxis Nevirapine
has been increased from 83.8% to 100% by 2009, but thereafter decreased to 83.3%
by 2012. The overall HIV positivity among babies after 18 months of follow-up was
21%. CONCLUSION: HIV seroprevalence among the pregnant population is steadily
declining. More and more women are availing the facilities of ICTC centers.
Intensive health education and availability of diagnostic and therapeutic
services in rural health center have reduced the burden of HIV/AIDS problem in
the rural community.
PMID- 27190412
TI - High-risk sexual behavior among people living with HIV/AIDS attending tertiary
care hospitals in district of Northern India.
AB - CONTEXT: Prevention with a positive approach has been advocated as one of the
main strategies to diminish the new instances of HIV and the target are those who
are engaged in high-risk sexual behavior. Therefore, understanding the risky
behaviors of the HIV-infected individual is important. AIMS: This study aimed to
assess the prevalence and the predictors of high-risk sexual behavior among
people living with HIV/AIDS (PLHA). SETTINGS AND DESIGN: A hospital-based cross
sectional study was conducted at antiretroviral therapy centers of two tertiary
care hospitals in Lucknow. MATERIALS AND METHODS: A total of 322 HIV-positive
patients were interviewed about their sexual behaviors during last 3 months using
a pretested questionnaire. STATISTICAL ANALYSIS USED: Probability (p) was
calculated to test for statistical significance at 5% level of significance.
Association between risk factors and high-risk sexual behavior was determined
using bivariate analysis followed by multivariate logistic regression. RESULTS:
Prevalence of high-risk sexual behavior was 24.5%. Of these patients, multiple
sexual partners were reported by 67.3% whereas about 46.9% were engaged in
unprotected sex. Multivariate logistic regression analysis revealed that high
risk sexual behavior was significantly associated with nonsupporting attitude of
spouse (odds ratio [OR]: 18; 95% confidence interval [CI]: 1.4-225.5; P = 0.02)
and alcohol consumption (OR: 9.3; 95% CI: 2.4-35.4; P = 0.001). CONCLUSIONS:
Specific intervention addressing alcohol consumption and encouragement of spouse
and family support should be integrated in the routine HIV/AIDS care and
treatment apart from HIV transmission and prevention knowledge.
PMID- 27190414
TI - Is it time to bring the "Parent" into the prevention of parent to child
transmission programs in India? A study of trends over a 10-year period in a
prevention of parent to child transmission clinic in India.
AB - OBJECTIVES: The present study evaluated the changes in serology and human
immunodeficiency virus (HIV) testing behaviors over a 10-year period in a center
in India. METHODS: We used clinical data collected at the antenatal clinic from
2002 to 2011. The key outcomes were: (1) Proportion of women who opted for HIV
test and those who tested positive; (2) proportion of male partners who came in
for HIV test and those who tested positive; and (3) proportion of women who opted
for continuation of pregnancy or for medical termination of pregnancy. RESULTS:
We tested 11,452 women for HIV over the 10-year period from 2002 to 2011. The
proportion of women who opted for HIV testing was 72.0% (95% confidence interval
[CI]: 70.7-73.4%). The acceptance of test increased from 35.9% (95% CI: 31.7
40.4%) in 2002 to the peak of 82.6% (95% CI: 78.6-86.8%) in 2009 (P < 0.001). The
overall HIV prevalence over the decade was 0.70% (95% CI: 0.55-0.87%). The
prevalence high at 1.11% (95% CI: 0.23-3.24%) in 2002 and reduced to 0.37% (95%
CI: 0.12-0.87%) in 2011 (P < 0.001). Only 0.57% of male partners tested for HIV
over this time period. CONCLUSION: Strategies to improve acceptance of testing in
pregnant women should be included in the Indian guidelines. The male partners do
not get tested. Thus, this component needs to be strengthened - by targeted
interventions for male spouses - to make the program more effective.
PMID- 27190415
TI - Fox-Fordyce disease of the vulva.
AB - Fox-Fordyce disease is a rare, chronic skin disorder which affects the apocrine
areas. This disease is due to the obstruction of the apocrine sweat duct.
Extragenital regions are commonly affected than the genital region. We, herein,
report a case of Fox-Fordyce in a female, with onset in the fifth decade and
involving only the genital region.
PMID- 27190416
TI - Kurthia gibsonii as a sexually transmitted zoonosis: From a neglected condition
during World War II to a recent warning for sexually transmitted disease units.
AB - CONTEXT: Zoonotic sexual transmission. AIMS: Identification of unknown
microorganisms causing sexually transmitted zoonotic infection was a common
effort of clinicians and the laboratory. SETTINGS AND DESIGN: A male patient had
recurring urethritis and balanitis after having repeated unprotected penetrative
sexual intercourse with female piglets. He claimed allergy to metals and
plastics. Routine microbiological tests were carried out. MATERIALS AND METHODS:
Specimens from the urethra, glans, rectum, throat, urine, and blood were
cultured. Subsequently, isolates were tested for their biochemical activity and
antibiotic susceptibility. RESULTS: Kurthia gibsonii was isolated from both
urethra and glans. No other concomitant infection was detected. The patient was
cured with oral cefuroxime for 15 days and topical gentamicin cream for 2 months.
CONCLUSION: This is the first reported zoophilic infection by Kurthia spp. Fecal
contamination of animals' genital tract was the possible source of infection.
Immune disturbance of the patient might predispose to opportunistic Kurthia
infection.
PMID- 27190417
TI - Crusted Scabies: Presenting as erythroderma in a human immunodeficiency virus
seropositive patient.
AB - Crusted scabies is a rare manifestation of scabies characterized by uncontrolled
proliferation of mites in the skin. It is common in patients with sensory
neuropathy, mentally retarded persons and in patients who are immunosuppressed.
Further, crusted scabies can rarely present as erythroderma (<0.5% cases)
necessitating a high index of suspicion for its diagnosis. Because of its rare
occurrence, we are reporting a case of crusted scabies presenting as
erythroderma, in a human immunodeficiency virus seropositive patient.
PMID- 27190419
TI - Gingival mass in acquired immune deficiency syndrome patient: An unusual
manifestation.
AB - Non-Hodgkin's lymphoma (NHL) is designated as an acquired immune deficiency
syndrome defining condition. Although uncommon, it is essential to be wary of
this neoplasm since intraoral manifestations may be the first clinical
manifestation of HIV disease. The gingiva is one of the rarest intraoral sites
with a prevalence of 0.6%. Careful evaluation of patients presenting with
solitary atypical gingival mass can lead to early detection of HIV disease. Here,
we report a case of NHL manifesting as a gingival mass in a 45-year-old HIV
positive female patient.
PMID- 27190418
TI - Cardiovascular syphilis complicated by Lower thoracic and upper abdominal
aneurysm - A rare case report.
AB - A 50-year-old male presented with left lower abdominal pain, visible pulsation
below xiphoid process, and tenderness in the left iliac fossa for the past 10
days. Chest X-ray revealed blunting of left cardiophrenic angle. Echocardiogram
revealed descending thoracic aortic pseudoaneurysm. Contrast-enhanced computed
tomography of the chest and abdomen revealed dissecting aneurysm of lower
thoracic and upper abdominal aorta. Thoracoabdominal aortogram revealed erosion
of D12 vertebra and infected aneurysm of adjacent thoracoabdominal aorta. Serum
venereal disease research laboratory assay was positive in 1:4 dilution Treponema
pallidum hemagglutination assay was positive. The patient was treated with
Injection procaine penicillin for 20 days undercover of steroids. Cerebrospinal
fluid analysis was normal. Aortic aneurysm repair with reconstruction was done.
Histopathology was in favor of syphilitic etiology. This case is being presented
as descending thoracic and upper abdominal aortic aneurysm due to syphilis
complicated by dissection and erosion of vertebral body is rare and has not been
reported nowadays to the best of our knowledge.
PMID- 27190420
TI - Erythema elevatum diutinum in acquired immune deficiency syndrome: Can it be an
immune reconstitution inflammatory syndrome?
AB - A 47-year-old male with acquired immune deficiency syndrome (AIDS) presented with
multiple hyperpigmented papules and nodules on both ankles, dorsum of bilateral
feet and soles. It was associated with mild itching and pain. The patient was
diagnosed with human immunodeficiency virus (HIV) in 2007. First-line
antiretroviral therapy (ART) was started in 2009 to which he responded initially.
He was shifted to second-line ART 11 months ago in March 2015 due to treatment
failure as suggested by CD4 count of 50 cells/mm(3). The present skin lesions
started 2 months after the initiation of second-line ART. Differential diagnoses
considered were Kaposi's sarcoma and immune reconstitution inflammatory syndrome
(IRIS) related infections, but biopsy was suggestive of erythema elevatum
diutinum (EED). Patient was started on oral dapsone 100 mg/day and increased to
200 mg/day to which he is responding gradually. In the present case, appearance
of the lesions after initiation of second-line ART coupled with increase in CD4
count and decrease of viral load below undetectable level suggest that EED could
be an IRIS.
PMID- 27190421
TI - Sildenafil: A rare cause of erythema multiforme.
AB - Erythema multiforme (EM) is an acute self-limiting mucocutaneous condition of
uncertain etiopathogenesis. The most common precipitating factors are herpes
simplex virus infection, mycoplasma infection, drugs, and vaccination. We report
a case of EM following sildenafil used for loss of libido. EM induced by
sildenafil has not been reported so far.
PMID- 27190422
TI - Failure to achieve reduction in the incidence of human immunodeficiency virus
among men who have sex with men: A worrisome global concern.
PMID- 27190423
TI - Anti-human immunodeficiency virus serology status and pre- and post-test
counseling: A note.
PMID- 27190425
TI - A case of mistaken identity.
PMID- 27190424
TI - Syphilis incognito: Resurgence of the covert devil.
PMID- 27190426
TI - Seroprevalence of hepatitis B and syphilis co-infection in human immunodeficiency
virus-positive antiretroviral therapy attendees and human immunodeficiency virus
negative sexually transmitted infection attendees.
PMID- 27190427
TI - Co-infection of hepatitis B virus and hepatitis C virus with human
immunodeficiency virus infection: A cross-sectional study.
PMID- 27190428
TI - Viva questions for postgraduate.
PMID- 27190429
TI - MUC1 Immunohistochemical Expression as a Prognostic Factor in Gastric Cancer:
Meta-Analysis.
AB - MUC1, a member of the mucin family, is expressed in tumors of various human
organs and may function as an antiadhesion molecule that inhibits cell-to-cell
adhesion, inducing tumor metastasis, and served as a potential biomarker of tumor
progression in early gastric cancer. However, its prognostic significance in
gastric cancer is still in dispute. We performed a meta-analysis to evaluate the
relationship between MUC1 expression and prognosis of gastric cancer. A total of
ten eligible studies with 834 cases and 548 controls were included. MUC1 positive
cases were highly positive in intestinal-type carcinomas (OR = 1.76, 95% CI: 1.27
2.44, P = 0.0008 fixed-effect), higher rate of vascular invasion (OR = 1.64, 95%
CI: 1.13-2.39, P = 0.009 fixed-effect), and lymph node metastasis (OR = 2.10, 95%
CI: 1.20-3.67, P = 0.01 random-effect), as well as lower 5-year survival rate (HR
= 0.27, 95% CI: 0.11-0.66, P = 0.004 random-effect). However, the presence of
MUC1 was not associated with gender, tumor size, histologic differentiation, and
clinical stage. In summary, MUC1 is a prognostic factor in gastric cancer, which
acts as a marker of poor outcome in patients with gastric cancer. Further
clinical studies are needed to confirm the role of MUC1 in clinical practice.
PMID- 27190431
TI - The thermic response to food intake in persons with thoracic spinal cord injury.
AB - [Purpose] To investigate the influence of the level of spinal cord injury on the
thermic effect of food intake (TEF) in persons with thoracic spinal cord injury.
[Subjects and Methods] Seven male subjects with spinal cord injury (SCI; age, 40
+/- 6 years) and six able-bodied subjects (AB; age, 37 +/- 8 years) volunteered
to participate in the present study. The subjects consumed an identical test meal
consisting of 7.9 kcal/kg of body weight. Energy expenditure and plasma
norepinephrine concentrations were measured over a 3-hour period. [Results] The
adjusted TEF at 60 min was almost the same among the three groups [AB, SCI with
high thoracic cord (T5-6) injury (HSCI), and SCI with low thoracic cord (T9-12)
injury (LSCI)]. Although the LSCI group had almost the same adjusted TEF at 120
min as the AB group, the adjusted TEF at 120 min of the HSCI group was
significantly lower than that of the AB group. The changes in plasma
norepinephrine concentration and heart rate in response to food intake were
similar among the three groups. [Conclusion] SCI at the T5-6 level results in a
lower TEF due to sympathetic decentralization.
PMID- 27190430
TI - Efficacy of kinesio tape application on pain and muscle strength in patients with
myofascial pain syndrome: a placebo-controlled trial.
AB - [Purpose] The purpose of this study was to determine the short- and mid-term
effects of Kinesio taping on the trapezius muscle in individuals with myofascial
pain syndrome. [Subjects and Methods] Thirty-seven patients with active upper
trapezius myofascial trigger points were randomly divided to 2 groups: group 1
received Kinesio taping for the upper trapezius muscle, and group 2 received a
sham Kinesio taping application. Neck pain (Visual Analog Scale and pressure
algometry) and trapezius muscle strength data were collected at baseline,
immediately after Kinesio taping application, and at one month follow-up.
[Results] The mean changes in Visual Analog Scale scores were significantly
different between groups at T2 and T1, with less pain in group 1. The mean
changes in algometry scores were significantly different between groups at T3
compared with T2 in favor of group 1. The mean changes in trapezius muscle
strength were significantly different between the groups at T2 compared with T1
in favor of group 1. [Conclusion] Patients with myofascial pain syndrome
receiving an application of Kinesio taping exhibited statistically significant
improvements in pain and upper trapezius muscle strength.
PMID- 27190432
TI - Differences in the body pressure-related sensory changes between the floor and
mattress in a static supine position for physiotherapy research: a randomized
controlled pilot trial.
AB - [Purpose] This study was performed to investigate the difference in body pressure
related sensory changes between the floor and mattress in a static supine
position for physiotherapy research. [Subjects and Methods] To analyze body
pressure, the Body Pressure Measurement System was used. Body pressure sensors
were attached to mattresses and the floor beneath the subjects. The level of pain
was evaluated using pain score tools before the static supine position was
adopted, at 1, 5, 10, and 15 min, and in total for specific body points.
[Results] In analysis of digitized images, there was no significant difference
observed between floor and mattress body pressure values at the start position.
However, the head pressure intensity was significantly higher than that of the
other body parts. In analysis of pain scores, all body part pain scores except
those for both legs were significantly higher for the floor than for the
mattress. Furthermore, the pain scores of the floor group were significantly
increased at minute 1 compared with those of the mattress group. [Conclusion]
These results suggest that properties that change in a time-dependent manner and
postural changes need to be carefully considered when applying physical therapy.
PMID- 27190433
TI - Cellular fibronectin response to supervised moderate aerobic training in patients
with type 2 diabetes.
AB - [Purpose] Physical activity is one of the most pivotal targets for the prevention
and management of vascular complications, especially endothelial dysfunctions.
Cellular fibronectin is an endothelium-derived protein involved in subendothelial
matrix assembly. Its plasma levels reflect matrix alterations and vessel wall
destruction in patients with type II diabetes. This study investigated the
influence of 12 weeks of supervised aerobic training on cellular fibronectin and
its relationship with insulin resistance and body weight in type II diabetic
subjects. [Subjects and Methods] This study included 50 men with type II diabetes
who had a mean age of 48.8 +/- 14.6 years and were randomly divided into two
groups: an aerobic exercise group (12 weeks, three 50 minutes sessions per week)
and control group. To examine changes in cellular fibronectin, glycosylated
hemoglobin, insulin resistance, fasting insulin, fasting blood sugar, and lipid
profile, 5 ml of blood was taken from the brachial vein of patients before and 48
hours after completion of the exercise period and after 12 hours of fasting at
rest. Data analysis was performed using the SPSS-16 software with the independent
and paired t-tests. [Results] A significant decrease was observed in body mass
index and body fat percentage in the experimental group. Compared with the
control group, the aerobic exercise group showed a significant decrease in
cellular fibronectin, glycosylated hemoglobin, insulin resistance, fasting
insulin, fasting blood sugar, and lipid profile after 12 weeks of aerobic
exercise. The change in cellular fibronectin showed positive significant
correlation with body mass index, diabetic biomarkers, and physical activity
level. [Conclusion] The results showed that supervised aerobic exercise as a
stimulus can change the levels of cellular fibronectin as matrix
metalloproteinase protein a long with improvement of insulin sensitivity and
glycosylated hemoglobin in order to prevent cardiovascular diseases in men with
diabetes.
PMID- 27190434
TI - Strength and muscle activity of shoulder external rotation of subjects with and
without scapular dyskinesis.
AB - [Purpose] This study aimed to clarify the relationship between scapular
dyskinesis and shoulder external rotation strength and muscle activity. [Subjects
and Methods] Both shoulders of 20 healthy males were evaluated. They were
classified into 19 normal, 8 subtly abnormal, and 13 obviously abnormal shoulders
using the scapular dyskinesis test. Subtly abnormal shoulders were subsequently
excluded from the analysis. Shoulder external rotation strength and muscle
activity (infraspinatus, serratus anterior, upper, middle, and lower trapezius)
were measured in 2 positions using a handheld dynamometer and surface
electromyography while sitting in a chair with shoulder 0 degrees abduction and
flexion (1st position), and while lying prone on the elbows with the shoulders
elevated in the zero position (zero position). The strength ratio was calculated
to quantify the change in strength between the positions (zero position / 1st
position). [Results] In the obviously abnormal shoulder group, the strength in
the 1st position was significantly stronger, the strength ratio was significantly
smaller, and the serratus anterior in the zero position showed significantly
lower activity than the normal shoulder group. [Conclusion] In shoulder external
rotation in the zero position, in obviously abnormal shoulders, the serratus
anterior is poorly recruited, weakening the shoulder external rotation strength.
PMID- 27190435
TI - The effect of chiropractic techniques on the Cobb angle in idiopathic scoliosis
arising in adolescence.
AB - [Purpose] The purpose of this study was to examine whether chiropractic
techniques would reduce the curvature of idiopathic scoliosis, which commonly
occurs in elementary school children. [Subjects] The subjects of this study were
5 healthy elementary students who listened to an explanation of the study methods
and purpose of the study and agreed to participate in the experiment. [Methods]
The Cobb angle was measured by taking an X-ray (FCT-1, Dongmun, Goyangsi,
Republic of Korea) taken from the rear, using X-ray film. The method of
intervention this study used was application of chiropractic techniques. Spinal
correction was carried out for 30 minutes per session, which included soft tissue
massage, 3 times a week for 8 weeks. [Results] It was established that the Cobb
angle was noticeably decreased after 4 weeks of the intervention. Post Hoc
analysis revealed that the Cobb angle noticeably decreased after 4 weeks compared
with the Cobb angle before the chiropractic techniques were applied. However, no
significant difference in Cobb angle was evident after the fourth week.
[Conclusion] This study demonstrated that chiropractic techniques can effectively
reduce the Cobb angle within as little as 4 weeks. So, we can confirm that the
chiropractic techniques were effective for reducing the curvature of idiopathic
scoliosis.
PMID- 27190436
TI - Effects of oculo-motor exercise, functional electrical stimulation and
proprioceptive neuromuscular stimulation on visual perception of spatial neglect
patients.
AB - [Purpose] The purpose of this study was to identify the effects of oculo-motor
exercise, functional electrical stimulation (FES), and proprioceptive
neuromuscular facilitation (PNF) on the visual perception of spatial neglect
patients. [Subjects and Methods] The subjects were randomly allocated to 3
groups: an oculo-motor exercise (OME) group, a FES with oculo-motor exercise
(FOME) group, and a PNF with oculo-motor exercise (POME) group. The line
bisection test (LBT), motor free visual test (MVPT), and Catherine Bergego Scale
(CBS) were used to measure visual perception. These were performed 5 times per
week for 6 weeks. [Results] The OME group and POME group showed significant
improvements according to the LBT and MVPT results, but the FOME group showed no
significant improvement. According to the CBS, all 3 groups showed significant
improvements. The OME and POME groups showed improvement over the FOME group in
the LBT and MVPT. However, there was no significant difference among the three
groups according to the CBS. [Conclusion] These results indicate that oculo-motor
exercise and PNF with oculo-motor exercise had more positive effects than FES
with oculo-motor exercise on the visual perception of spatial neglect patients.
PMID- 27190437
TI - An immunohistochemical study of the sciatic nerve in a rat knee immobilization
model.
AB - [Purpose] This study was performed to immunohistochemically evaluate changes in
the periphery of the sciatic nerve in a rat model of knee immobilization, and to
assess the effects of range of motion exercise. [Subjects and Methods] Twenty-one
male rats were divided randomly into three groups: control (C), immobilized (I),
and exercise (E group). Rats in the I and E groups had the right knee joint
immobilized for 2 weeks. In the E group, range of motion exercise was also
performed. After the experimental period, the periphery of the sciatic nerve was
immunohistochemically observed. [Results] Immunohistochemical staining revealed
that the myelin sheath and the perineurium in all groups were laminin positive.
In the C and E groups, all rats showed normal staining. In contrast, 4 rats in
the I group exhibited weak labeling. [Conclusion] Our results suggest that
immobilization alters the perineurium at a molecular level and the range of
motion exercise is essential for maintaining the environment of the perineurium.
PMID- 27190438
TI - Effects of sittercise on elderly subjects' depression and sleep quality.
AB - [Purpose] This study examined the effects of sittercise on elderly subjects'
depression and sleep quality. [Subjects] The subjects of this quasi-experimental
study were divided into an experimental group and a control group. [Methods] The
subjects of the experimental group performed sittercise and the control group
received no intervention. [Results] The results demonstrate that the subjects who
performed sittercise had significantly decreased depression levels compared to
the control. They also reported significantly improved sleep quality.
[Conclusion] A favorable change in depression levels was seen after sittercise
which alse had a significant effect on sleep quality.
PMID- 27190439
TI - The combined effects of transcutaneous electrical nerve stimulation (TENS) and
stretching on muscle hardness and pressure pain threshold.
AB - [Purpose] This study aimed to clarify the immediate effects of a combined
transcutaneous electrical nerve stimulation and stretching protocol. [Subjects]
Fifteen healthy young males volunteered to participate in this study. The
inclusion criterion was a straight leg raising range of motion of less than 70
degrees. [Methods] Subjects performed two protocols: 1) stretching (S group) of
the medial hamstrings, and 2) tanscutaneous electrical nerve stimulation (100 Hz)
with stretching (TS group). The TS group included a 20-minute electrical
stimulation period followed by 10 minutes of stretching. The S group performed 10
minutes of stretching. Muscle hardness, pressure pain threshold, and straight leg
raising range of motion were analyzed to evaluate the effects. The data were
collected before transcutaneous electrical nerve stimulation (T1), before
stretching (T2), immediately after stretching (T3), and 10 minutes after
stretching (T4). [Results] Combined transcutaneous electrical nerve stimulation
and stretching had significantly beneficial effects on muscle hardness, pressure
pain threshold, and straight leg raising range of motion at T2, T3, and T4
compared with T1. [Conclusion] These results support the belief that
transcutaneous electrical nerve stimulation combined with stretching is effective
in reducing pain and decreasing muscle hardness, thus increasing range of motion.
PMID- 27190440
TI - Which is better in the rehabilitation of stroke patients, core stability
exercises or conventional exercises?
AB - [Purpose] The aim of this study was to determine which is better in the
rehabilitation of stroke patients, core stability exercises or conventional
exercises. [Subjects and Methods] Forty participants with hemiplegia were
recruited in the Department of Neurology of Yidu Central Hospital of Weifang
between January 2014 and February 2015 and randomly divided into either an
experimental or control group. The patients in the control group performed
conventional exercises for six weeks, and those in the experiment group performed
core stability exercises for six weeks. The outcomes were evaluated using
Modified Barthel Index and Berg Balance Scale. [Results] After treatment, the
Modified Barthel Index and Berg Balance Scale were significantly increased in
both groups when compared with the baseline. The Modified Barthel Index was
significantly lower in the control group compared with the experimental group.
The Berg Balance Scale scores in the control group were relatively lower than
those in the experimental group, but there was no significant difference between
the two groups. [Conclusion] Core stability exercises have a better effect on
patients with hemiplegia than conventional exercises.
PMID- 27190442
TI - The perceptions and readiness toward interprofessional education among female
undergraduate health-care students at King Saud University.
AB - [Purpose] Interprofessional education (IPE) is an important academic approach for
preparing health-care professionals to provide patient care in a collaborative
team environment. This study aimed to measure the perceptions and readiness
toward IPE among female undergraduate health-care students at King Saud
University (KSU). [Subjects and Methods] A cross-sectional study carried out
using a survey in the form of an electronic questionnaire: The Readiness for
Interprofessional Learning Scale (RIPLS). The questionnaire was distributed to
the students via e-mail and social media networks. [Results] The RIPLS was
completed by 296 female health-care students at KSU who valued the importance of
IPE. The differences between health-care disciplines in the perceptions and
readiness toward IPE were statistically significant, but there were no
differences between students of different years of study in their perception and
readiness toward IPE. [Conclusion] Administering a course of interprofessional
teamwork in the health-care curriculum is a major challenge for the clinical
education community. IPE offers an opportunity to address the multi-disciplinary
concept in hospitals. Our findings indicate that undergraduate health-care
students have high perception and readiness toward IPE.
PMID- 27190443
TI - Differences in abdominal muscle activation during coughing between smokers and
nonsmokers.
AB - [Purpose] The purpose of this study was to compare the activity of the abdominal
muscles during coughing between smokers and nonsmokers. [Subjects] A total of 30
healthy adults (15 smokers, 15 nonsmokers) participated. [Methods] The percentage
maximal voluntary isometric contraction values (%MVIC) of the rectus abdominis
(RA), external abdominal oblique (EO), and internal abdominal oblique (IO) and
transversus abdominis (TrA) were measured using surface electromyography.
[Results] The %MVIC of the IO and TrA statistically significantly differed and
the %MVIC of IO and TrA was found to be higher during coughing in nonsmokers
compared with during coughing in smokers. [Conclusion] The activity of the deep
abdominal muscles in nonsmokers was also higher than that of smokers during
coughing.
PMID- 27190441
TI - A study of the effect of visual depth information on upper limb movement by use
of measurement of smoothness.
AB - [Purpose] This study verified that the smoothness of reaching movements is able
to quantitatively evaluate the effects of two- and three-dimensional images on
movement in healthy people. In addition, clinical data of cerebrovascular
accident patients were also analyzed by the same method. [Subjects] Ten healthy
adult volunteers and two male patients with previous cerebrovascular accidents
participated. [Methods] The subjects were tasked with reaching for objects shown
on a display. The target and virtual limb, rendered with computer graphics, were
shown on the display. Movements of the virtual limb were synchronized with those
of the subject. Healthy subjects reached for targets with their dominant arm, and
cerebrovascular accident patients used their paretic arm. A polarized display and
polarized glasses were used when the subjects were shown three-dimensional
images. In the present study, jerk cost was used to quantify the smoothness of
movement. [Results] Six of the 10 healthy subjects had significantly smoother
reaching movements when viewing the three-dimensional images. The two
cerebrovascular accident patients tended to have smoother movements in response
to the three-dimensional images. [Conclusion] Analysis of the smoothness of
movement was able to detect the influence of the depth cue in vision on movement
quantitatively for the healthy subjects and cerebrovascular accident patients.
PMID- 27190445
TI - Reliability of lower leg proximal end and forefoot kinematics during different
paces of barefoot racewalking on a treadmill using a motion recorder (MVP-RF8
BC).
AB - [Purpose] This study was performed to investigate the changes in lower leg
proximal end and forefoot kinematics, and reliability of measurement during
different paces of barefoot racewalking on treadmill. [Subjects] Eleven junior
racewalking men participated in this study. [Methods] To identify changes in
lower leg proximal end and forefoot kinematics, during different paces of
barefoot racewalking on a treadmill, a wireless motion recorder (MVP-RF8-BC) was
used. Interclass correlation coefficients (ICC 1, 2) were used to estimate
reliability. [Results] There were significant differences in the lower leg
proximal end and forefoot maximum medial/lateral rotations at a pace of 9 km/h
compared with those at a pace of 5 km/h pace. The intra-examiner reliability
estimates ranged from 0.82 and 0.89 to 0.87 and 0.93 for lower leg proximal end
inversion/eversion rotation and medial/lateral rotation, and from 0.92 and 0.84
to 0.93 and 0.91 for forefoot inversion/eversion rotation and medial/lateral
rotation. [Conclusion] We conclude that the lower leg proximal end and forefoot
kinematics of barefoot racewalking on a treadmill are influenced by different
paces and that assessment of lower leg proximal end and forefoot kinematics by
means of the wireless motion recorder (MVP-RF8-BC) is adequately reliable. This
information may be useful for determining exercise prescriptions.
PMID- 27190444
TI - Comparisons of knee and ankle joint angles and ground reaction force according to
functional differences during single-leg drop landing.
AB - [Purpose] The purpose of this study was to determine potential predictors of
functional instability of the knee and ankle joints during single-leg drop
landing based on the prior history of injury. [Subjects and Methods] The subjects
were 24 collegiate soccer players without pain or dysfunction. To compare the
differences between the stable and unstable sides during single-leg drop landing,
8 motion analysis cameras and a force plate were used. The Cortex 4 software was
used for a biomechanical analysis of 3 events. An independent t-test was used for
statistical comparison between both sides; p<0.05 indicated significance.
[Results] The knee joint movements showed gradual flexion in the sagittal plane.
The unstable-side ankle joint showed plantar flexion of approximately 2 degrees
relative to the stable side. In the coronal plane, the unstable-side knee joint
differed from the stable side in its tendency for valgus movement. The unstable
side ankle joint showed contrasting movement compared with the stable side, and
the difference was significant. Regarding the vertical ground reaction force, the
stable side showed maximum knee flexion that was approximately 0.1 BW lower than
that of the unstable side. [Conclusion] Increasing the flexion angle of the knee
joint can help prevent injury during landing.
PMID- 27190446
TI - Effects of Kinesio taping on joint position sense of the ankle.
AB - [Purpose] The purpose of this study was to examine the effect of Kinesio taping
on the joint position sense of the ankle. [Subjects and Methods] The subjects of
this study were 26 nomal adults who had experienced ankle sprain. Kinesio taping
was applied over the ankle medial ligament and ankle lateral ligament with eight
pattern reinforcement taping. Joint position sense was measured using isokinetic
equipment (Biodex System 4 pro dynamometer, Biodex Medical systems Inc., USA)
during dorsiflexion/plantarflexion and inversion/eversion, before and after
taping. Statistical analyses were performed using SPSS 21.0 for Windows.
[Results] Joint position sense after Kinesio taping was improved in the
dorsiflexion and inversion positions. [Conclusion] According to the results of
this study, Kinesio taping of the ankle is effective for the prevention of ankle
sprain.
PMID- 27190447
TI - Handgrip strength deficits best explain limitations in performing bimanual
activities after stroke.
AB - [Purpose] To evaluate the relationships between residual strength deficits (RSD)
of the upper limb muscles and the performance in bimanual activities and to
determine which muscular group would best explain the performance in bimanual
activities of chronic stroke individuals. [Subjects and Methods] Strength
measures of handgrip, wrist extensor, elbow flexor/extensor, and shoulder flexor
muscles of 107 subjects were obtained and expressed as RSD. The performance in
bimanual activities was assessed by the ABILHAND questionnaire. [Results] The
correlations between the RSD of handgrip and wrist extensor muscles with the
ABILHAND scores were negative and moderate, whereas those with the elbow
flexor/extensor and shoulder flexor muscles were negative and low. Regression
analysis showed that the RSD of handgrip and wrist extensor muscles explained 38%
of the variance in the ABILHAND scores. Handgrip RSD alone explained 33% of the
variance. [Conclusion] The RSD of the upper limb muscles were negatively
associated with the performance in bimanual activities and the RSD of handgrip
muscles were the most relevant variable. It is possible that stroke subjects
would benefit from interventions aiming at improving handgrip strength, when the
goal is to increase the performance in bimanual activities.
PMID- 27190448
TI - Effects of Kinesio taping and Mcconnell taping on balance and walking speed of
hemiplegia patients.
AB - [Purpose] The aim of this study was to evaluate the overlap effect of the PNF
following the application of Kinesio taping and the McConnell taping, and also
the impact of the taping application method on the balance and walking speed of
the patients with stroke. [Subjects and Methods] Thirty-six patients who were
diagnosed with hemiplegia due to stroke were selected as subjects of this study.
They were randomly and evenly divided into experiment group 1 (Kinesio taping
group), experiment group 2 (McConnell taping group), and the control group; each
group had 12 patients. [Results] The Berg balance scale (BBS) was used to
evaluate balance, and the ability in this study. A 10 m walking test (10MWT) was
performed to measure the walking speed. Experiment group 1 showed a statistically
significant improvement in balance and walking speed compared to experiment group
2, and the control group in week 4 and week 8. [Conclusion] Application of
Kinesio taping had a more beneficial effect on the balance and walking speed than
joint-fixation taping of the patients with stroke.
PMID- 27190449
TI - Walking gait changes after stepping-in-place training using a foot lifting device
in chronic stroke patients.
AB - [Purpose] The goal of this study was to investigate the efficacy of stepping-in
place training using a foot lifting assist device on the walking gait of chronic
hemiparetic stroke patients. [Subjects] Seven patients with chronic hemiplegic
stroke (age 80.9+/-4.9 years) who were attending a local adult daycare facility
participated in this study. [Methods] The participants had 2 or 16 weeks of
intervention after a baseline period of 2 weeks. Evaluations were performed
before the baseline period and before and after the intervention period. The
evaluation consisted of a two-dimensional motion analysis of walking and stepping
in-place exercises and a clinical evaluation. [Results] Walking speed increased
in three participants after 2 or 16 weeks of intervention. The swing phase
percentage increased in the paretic gait cycle, and the time from non-paretic
heel contact to paretic heel off decreased during stepping-in-place in these
participants. [Conclusion] Given that the transition from the support phase
support to the swing phase was shortened after the intervention, the stepping-in
place exercise using the device designed for this study may improve the muscle
strength of the lower limb and coordination in the pre-swing phase of the paretic
limb.
PMID- 27190450
TI - Comprehensive geriatric assessment of effects of hospitalization and long-term
rehabilitation of patients following lower extremity arthroplasty.
AB - [Purpose] This study was performed to examine the effects of subacute physical
therapy (PT) on activities of daily living (ADL), quality of life, and geriatric
aspects of patients who underwent total knee arthroplasty (TKA) or total hip
arthroplasty (THA). [Subjects] The subjects were TKA (n=56) and THA (n=39)
patients who received PT on the first day of independent ADL (up to 2 weeks) and
just prior to discharge (4 weeks). [Methods] The functional independence measure
(FIM), grip strength, knee extension strength (KES), timed up and go (TUG) test,
mini-mental state examination (MMSE), geriatric depression scale short form (GDS
15), fall efficacy scale (FES), and medical outcome study 8-item short-form
health survey (SF-8) were used as outcome measure, and comorbidity involvement
was also investigated. [Results] Improvements in FIM, KES, TUG, GDS-15, FES, and
SF-8 scores were seen in both groups (effect size, 0.31-0.87). Poor PT effects
were found for THA patients aged >=65 years, for TKA and THA patients with an
MMSE score <=28, and for THA patients with two or more comorbidities.
[Conclusion] Positive effects were seen in patients who received PT at 2-4 weeks
after surgery. Thus, additional PT for approximately 2 weeks after the beginning
of independent ADL may be beneficial.
PMID- 27190452
TI - Effect of the a circuit training program using obstacles on the walking and
balance abilities of stroke patients.
AB - [Purpose] The aim of this study was to investigate the impact of a circuit
training program on the walking and balance abilities of stroke patients using an
up-to-date walking analysis device. [Subjects and Methods] The subjects of this
study were 12 adults who were diagnosed with stroke. Evaluation was conducted
using the Smart Step test for walking ability; (BBS) for balance ability; and the
Timed Up and Go test (TUG) for functional mobility and movement ability. The 12
stroke patients were randomly recruited and divided into two groups; an
experimental group which performed circuit training with obstacles, and a control
group which performed flat gait training). [Results] Between-group comparison of
the change in the 10-m walking speed found a statistically significant difference
between the two groups. Between-group comparison of the changes in BBS and TUG
found statistically significant differences between the two groups. [Conclusion]
The circuit training program using obstacles had a positive effect on the gait
and balance abilities of the stroke patients.
PMID- 27190451
TI - The effects of biomechanical foot orthoses on the gait patterns of patients with
malalignment syndrome as determined by three dimensional gait analysis.
AB - [Purpose] The biomechanical effects of foot orthoses on malalignment syndrome
have not been fully clarified. This experimental investigation was conducted to
evaluate the effects of orthoses on the gait patterns of patients with
malalignment syndrome. [Subjects and Methods] Ten patients with malalignment
syndrome were recruited. For each participant, kinematic and kinetic data were
collected under three test conditions: walking barefoot, walking with flat
insoles in shoes, and walking with a biomechanical foot orthosis (BFO) in shoes.
Gait patterns were analyzed using a motion analysis system. [Results]
Spatiotemporal data showed the step and stride lengths when wearing shoes with
flat insoles or BFO were significantly greater than when barefoot, and that the
walking speed when wearing shoes with BFO was significantly faster than when
walking barefoot or with shoes with flat insoles. Kinetic data, showed peak
pelvic tilt and obliquity angle were significantly greater when wearing BFO in
shoes than when barefoot, and that peak hip flexion/extension angle and peak knee
flexion/extension and rotation angles were significantly greater when wearing BFO
and flat insoles in shoes than when barefoot. [Conclusion] BFOs can correct
pelvic asymmetry while walking.
PMID- 27190453
TI - Impact of pregnancy on back pain and body posture in women.
AB - [Purpose] The purpose of this single-center investigation was to study the impact
of pregnancy on back pain and body posture. [Subjects] The subjects were 26
pregnant females. [Methods] Data were generated with a spine scanner (Diers((r))
formetric 4D), trunk strength measurement (Diers((r)) myoline), a numeric pain
scale (0 to 10), and a biomechanical model. Parameters were compared during each
trimester. [Results] The alteration in pain level at rest and lumbar lordosis
angle in the females revealed a statistical trend during pregnancy. Spearman's
test showed positive correlations between body weight and trunk inclination
during the second trimester, and between body weight and the kyphosis angle in
the third trimester. The trunk inclination and the kyphosis angle revealed a
negative correlation in the third trimester. Based on our analysis, the highest
moments and muscle strength must be expended in the third trimester. The actual
muscle strength is greatest in the second trimester. [Conclusion] Pain at rest
must be given greater attention in pregnant females, and their increasing lumbar
kyphosis must be counteracted. Exercising the deep segmental muscles may serve as
a preventive measure.
PMID- 27190454
TI - Relationships between self-reported and performance-based measures of functional
capacity in individuals with chronic stroke.
AB - [Purpose] The aim of this study was to investigate the associations between self
reported and valid performance-based measures of functional capacity in
individuals with chronic stroke. [Subjects and Methods] Self-reported measures of
functional capacity of 31 individuals with chronic stroke were assessed by the
Duke Activity Status Index scores, whereas performance-based measures were
assessed by the distance covered (in meters) and oxygen consumption (relative
oxygen consumption, in ml.kg(-1).min(-1) ) during the six-minute walking test.
[Results] The subjects had a mean age of 58.6+/-13 years and a mean time since
the onset of stroke of 28.3+/-15.1 months. They had a mean Duke Activity Status
Index of 27.3+/-14.4, mean distance covered of 325.2+/-140.2 m, and mean relative
oxygen consumption of 9.6+/-2.3 ml.kg(-1).min(-1) . Significant, positive, and
moderate to good correlation coefficients were found between the Duke Activity
Status Index scores and the distance covered during the six-minute walking test
(r=0.68). Significant, positive, and fair associations were also found between
the Duke Activity Status Index scores and relative oxygen consumption values
obtained during the six-minute walking test (r=0.45). [Conclusion] The findings
of the present study support the clinical use of the Duke Activity Status Index
as a tool to assist in clinical evaluations of functional capacity of individuals
with chronic stroke.
PMID- 27190455
TI - Effects of Nordic walking on pelvis motion and muscle activities around the hip
joints of adults with hip osteoarthritis.
AB - [Purpose] Increased compensatory pelvic movement is remarkable in limping
patients with hip osteoarthritis (OA). However, a method of improving limping has
not been established. The purpose of this study was to identify the effects of
two types of Nordic walking by analyzing the pelvic movement and muscle
activities of adults with hip OA. [Subjects and Methods] Ten patients with OA of
the hip performed Japanese-style Nordic walking (JS NW), European-style Nordic
walking (ES NW), and Ordinary walking (OW), and the muscle activities around the
hip joint and pelvic movements were analyzed. [Results] The pelvic rotation angle
was significantly larger in ES NW than in JS NW. In the stance phase, hip
abductor muscle activity was significantly decreased in JS NW compared to both OW
and ES NW. In the swing phase, rectus abdominis muscle activity was significantly
increased in both JS NW and ES NW compared to OW and lumbar erector spinae
activity was significantly lower in JS NW than in OW. [Conclusion] JS NW style
may reduce the compensatory pelvic rotation in patients with hip OA. JS NW might
be better for joint protection and prevention of secondary disorders of the hip
in OA patients.
PMID- 27190456
TI - Acute effect of scapular proprioceptive neuromuscular facilitation (PNF)
techniques and classic exercises in adhesive capsulitis: a randomized controlled
trial.
AB - [Purpose] The aim of our study was to compare the initial effects of scapular
proprioceptive neuromuscular facilitation techniques and classic exercise
interventions with physiotherapy modalities on pain, scapular dyskinesis, range
of motion, and function in adhesive capsulitis. [Subjects and Methods] Fifty
three subjects were allocated to 3 groups: scapular proprioceptive neuromuscular
facilitation exercies and physiotherapy modalities, classic exercise and
physiotherapy modalities, and only physiotherapy modalities. The intervention was
applied in a single session. The Visual Analog Scale, Lateral Scapular Slide
Test, range of motion and Simple Shoulder Test were evaluated before and just
after the one-hour intervention in the same session (all in one session).
[Results] All of the groups showed significant differences in shoulder flexion
and abduction range of motion and Simple Shoulder Test scores. There were
statistically significant differences in Visual Analog Scale scores in the
proprioceptive neuromuscular facilitation and control groups, and no treatment
method had significant effect on the Lateral Scapular Slide Test results. There
were no statistically significant differences between the groups before and after
the intervention. [Conclusion] Proprioceptive neuromuscular facilitation, classic
exercise, and physiotherapy modalities had immediate effects on adhesive
capsulitis in our study. However, there was no additional benefit of exercises in
one session over physiotherapy modalities. Also, an effective treatment regimen
for shoulder rehabilitation of adhesive capsulitis patients should include
scapular exercises.
PMID- 27190458
TI - Fatigue and pain related to internet usage among university students.
AB - [Purpose] This study was performed to assess fatigue and pain levels related to
internet usage among university students. The dominant regions of fatigue and
pain in the body were examined, as well as differences in fatigue and pain levels
among students. [Subjects and Methods] The study used a descriptive survey and a
convenience sample of 378 students from a single university. The data were
collected from January 1 to June 31, 2015. Fatigue and pain levels were measured
using a visual analog scale. [Results] The average reported by the participants
4.7 and 3.7 levels of fatigue and pain, respectively. The regions with the
highest fatigue scores were the eyes, followed by the neck, and shoulders. The
regions with the highest pain scores were the neck, followed by the shoulders,
and the waist. The results show that participants' fatigue and pain levels
depended on the duration of their internet use per day. [Conclusion] These
findings indicate that control of internet usage time is needed to maintain the
well-being of university students who use the internet.
PMID- 27190459
TI - Analysis of electromyographic activities of the lumbar erector spinae caused by
inversion traction.
AB - [Purpose] The purpose of this study was to analyze changes in the
electromyographic activities of the lumbar erector spinae caused by inversion
traction in order to verify the relaxation effect. [Subjects and Methods] The
subjects included 60 healthy male adults who were equally and randomly assigned
to a 30-30 degrees group, a 30-60 degrees group, and a 60-60 degrees group.
Inversion traction was performed for six minutes, and the electromyographic
activities of the lumbar erector spinae (L2, L4) were measured before and after
inversion traction. [Results] The root mean square values at the L2 and L4 levels
on both sides were statistically significantly higher after inversion traction
compared with before inversion traction. Before inversion traction, the root mean
square values at the L2 and L4 levels on both sides in the 30-60 degrees group
and 60-60 degrees group were significantly higher than those in the 30-30
degrees group, while the root mean square values at the L2 and L4 levels on both
sides showed no significant differences between the groups before inversion
traction. [Conclusion] The findings of this study indicated that IT is more
likely to elicits an increase in muscle tension and prevent relaxation of the
lumbar erector spinae.
PMID- 27190457
TI - Factors affecting the discharge destination of hip fracture patients who live
alone and have been admitted to an inpatient rehabilitation unit.
AB - [Purpose] (1) The aim of this study was to examine relations between clinical and
functional assessment and discharge destination and (2) to identify the optimal
cutoff point for estimating discharge to home after inpatient rehabilitation.
[Subjects] The subjects were 54 hip fracture patients (15 males, 39 females; mean
age 81.3 +/- 7.4 years) living alone. [Methods] The patients were classified into
two groups: those discharged to home and those admitted to an institution. Age,
gender, side of fracture, fracture type, number of comorbidities, Functional
Independence Measure motor score, and Functional Independence Measure cognitive
score were compared between groups. Multiple logistic regression analysis was
conducted with discharge to home as the dependent variable and age, gender, side
of fracture, fracture type, number of comorbidities, Functional Independence
Measure motor score, and Functional Independence Measure cognitive score as
independent variables. A receiver operating characteristic curve analysis was
used to identify a cutoff point for classification of the patients into the two
groups. [Results] Multiple logistic regression analysis showed that the
Functional Independence Measure cognitive score was a significant variable
affecting the discharge destination. The receiver operating characteristic curve
analysis revealed that discharge to home was predicted accurately by a Functional
Independence Measure cognitive score of 23.5. [Conclusion] Information from this
study is expected to be useful for determining discharge plans and for the
setting of treatment goals.
PMID- 27190460
TI - Effects of insoles contact on static balance.
AB - [Purpose] This study examined the effect of the degree of the contact area
between the insoles and soles on static balance. [Subjects and Methods] Thirteen
healthy male and female adults voluntarily participated. All of the subjects wore
three different types of insoles (no orthotic insole, partial contact, full
contact) in the present experiment. The subjects were instructed to place both
feet parallel to each other and maintain static balance for 30 seconds. Center of
pressure parameters (range, total distance, and mean velocity) were analyzed.
[Results] The results show that the anteroposterior range and mediolateral (ML)
total distance and velocity decreased when orthotic insoles with partial contact
or full contact were used in comparison to when a flat insole (no orthotic
insole) was used. Also, the ML range and total distance were lower with full
contact than in the other two conditions. These results indicate that static
balance improves as the degree of contact between the soles and insoles
increases. [Conclusion] The results of this study suggests that using insoles
with increased sole contact area would improve static balance ability.
PMID- 27190461
TI - The effect of hip joint muscle exercise on muscle strength and balance in the
knee joint after meniscal injury.
AB - [Purpose] This study aimed to evaluate the effect of hip muscle strengthening on
muscle strength and balance in the knee joint after a meniscal injury. [Subjects
and Methods] This randomized control study enrolled 24 patients who had undergone
arthroscopic treatment after a meniscal injury and began a rehabilitative
exercise program 8 weeks after surgery. Subjects were divided into 2 groups of 12
subjects each: gluteus medius resistance exercise group and control group. This
study investigated muscle strength and balance in the knee joint flexor,
extensor, and abductor during an 8-week period. [Results] Measurements of knee
extensor muscle strength revealed no significant difference between the control
group and the experimental group. Measurements of abductor muscle strength,
however, identified a significant difference between the 2 groups. The groups did
not differ significantly with regard to balance measurements. [Conclusion] The
results of this study suggest that this subject should be approached in light of
the correlation between the hip abductor and injury to the lower extremities.
PMID- 27190463
TI - Age-related differences in control of a visuomotor coordination task: a
preliminary study.
AB - [Purpose] The purpose of the current study was to examine age-related differences
in control of a perception-action coordination skill. We adapted a visuomotor
tracking experiment requiring various coordination patterns between a limb's
motion and an external signal. [Subjects and Methods] A total of 12 subjects (6
elderly and 6 young) voluntarily participated in the study. The experimental
session consisted of 3 trials for 3 different relative phase patterns: 0 degrees
, 90 degrees , and 180 degrees , defined by the relationship between the online
visual feedback of the joystick motion and the white dot signal. [Results] The 0
degrees and 180 degrees tracking patterns were stable compared with the 90
degrees tracking pattern for both age groups. The present results also showed
that the elderly subjects were less stable than were young subjects for all
tracking patterns. [Conclusion] The intrinsic coordination dynamics predicted by
the Haken-Kelso-Bunz (HKB) mathematical model did not change with age, whereas
utilization of visual feedback information declined overall. Further research is
needed regarding methods for increasing utilization of visual feedback
information from the perspective of rehabilitation.
PMID- 27190462
TI - Association between nutritional status and Modic classification in degenerative
disc disease.
AB - [Purpose] This study was conducted to examine the association between Modic
classification and the eating habits in patients with degenerative disc disease
(DDD) and to determine the influence of nutrition on disease severity. [Subjects
and Methods] Sixty patients with DDD visiting a low back pain outpatient clinic
were enrolled. Through face-to-face interviews, they completed questionnaires
regarding their demographics, disease activity, smoking and alcohol use,
concomitant diseases, disease duration, and nutritional status.Exclusion criteria
were age <20 years or >65 years, other comorbidities, missing MRI data, and
inability to speak Turkish. [Results] Forty patients were finally included in the
study. The frequency with which they consumed water, salt, fast food, eggs, milk,
yogurt, cheese, whole wheat bread, white bread, butter, and margarine was
recorded. A weak negative correlation was observed between the Modic types and
fish and egg consumption. [Conclusion] Modic changes, which indicate the severity
of DDD, seem to be correlated to patients' dietary habits. However, studies with
comparison groups and larger samples are needed to confirm our promising results
before any cause-and-effect relationship can be proposed.
PMID- 27190464
TI - Effects of treadmill exercise on skeletal muscle mTOR signaling pathway in high
fat diet-induced obese mice.
AB - [Purpose] The aim of this study was to investigate the effects of regular
treadmill exercise on skeletal muscle Rictor-Akt and mTOR-Raptor-S6K1 signaling
pathway in high-fat diet-induced obese mice. [Subjects and Methods] Four- week
old C57BL/6 mice were adopted and classified into normal diet group (ND, n = 10),
normal diet and training group (NDT, n = 10), high-fat diet group (HF, n = 10),
and high-fat diet and training group (HFT, n = 10). The exercise program
consisted of a treadmill exercise provided at low intensity for 1-4 weeks, and
moderate intensity for 5-8 weeks. [Results] The Western blot method was used to
measure the expression of mTOR, Raptor, S6K1, Rictor, and Akt proteins in the
soleus muscle. mTOR levels were significantly higher in the HF group than in the
ND and NDT groups. Raptor/mTORC1 and S6K1 levels were significantly higher in the
HF group than in all the other groups. Akt levels were significantly lower in the
HF group than in the NDT group. The risk of obesity may be associated with the
overactivation of the mTOR-Raptor-S6K1 signaling pathway and a decrease in Akt
levels. [Conclusion] This study also indicates that performing aerobic exercise
may be associated with the downregulation of the mTOR-Raptor-S6K1 pathway.
PMID- 27190465
TI - Thera-band((r)) elastic band tension: reference values for physical activity.
AB - [Purpose] The aim of this technical note was to report significant differences in
the tension forces of the different-sized Thera-band((r)) elastic bands (Hygenic
Corp.) determined by us versus the manufacturer. [Subjects] Two trained observers
performed all measurements. [Methods] The tension force (kilogram-force units) of
eight color-coded elastic bands (tan, yellow, red, green, blue, black, silver,
and gold) with different resistance levels was measured at 10 different
percentages of elongation (25% to 250% with 25% increments) using an electronic
elongation gauge tensiometer. [Results] There were significant differences in the
tension force of the elastic bands of different colors when compared in pairs
(excepting the tan/yellow pair) at 100% and 200% elongation, as determined via
one-way analysis of variance. There were no differences in the slopes for the tan
versus yellow and green versus blue bands, as determined via linear regression
analysis and one-way analysis of variance. Comparison of the tension force values
obtained in our study with the reference values of the manufacturer (the t-test
applied to the slopes) showed significant differences for five colors (yellow,
green, blue, silver, and gold). [Conclusion] Our results indicate that the
tension force values for Thera-Band elastic bands provided by the manufacturer
are overestimates.
PMID- 27190466
TI - The relation between vitamin D and postural balance according to clinical tests
and tetrax posturography.
AB - [Purpose] To evaluate the association between Vitamin D and risk of falling,
balance, and lower extremity neuromuscular function in women aged 60 and above by
using Tetrax posturography. [Subjects and Methods] A total 200 women were
classified based on their 25-OH-vitamin D (25(OH)D) values: hypo-vitaminosis
group (less than 50.0 nmol/l) and normal group (50.0 more). Balance was measured
using a Tetrax((r)) posturography device (Sunlight Medical Ltd, Israel). Falling
risk, stability index (SI), and weight distribution index (WDI) were calculated.
Short Physical Performance Battery (SPPB) and International Physical Activity
Questionnaire (IPAQ) were used as the clinical tests. [Results] Standing balance,
gait, chair stand performance and total SPPB scores were significantly better in
the patients with serum 25(OH)D levels higher than 50.0 nmol/l. Similarly,
falling risk and SI values in the most of the postures were significantly higher
in the hypovitaminosis group. There were significant associations between serum
25(OH)D levels with SPPB total score and Tetrax-measured falling risk.
[Conclusion] This study showed better balance control, lower extremity function,
and reduced falling risk in patients with serum 25(OH)D levels higher than 50.0
nmol/l in women aged 60 and above.
PMID- 27190467
TI - Effects of deep heating provided by therapeutic ultrasound on demyelinating
nerves.
AB - [Purpose] Physiotherapeutic heating agents are classified into two groups:
superficial-heating agents and deep-heating agents. Therapeutic ultrasound is a
deep-heating agent used to treat various musculosketal disorders. Numerous
studies have attempted to determine the impact of ultrasound on healthy nerve
conduction parameters. However, the instantaneous effects of deep heating via
ultrasound on demyelinating nerves do not appear to have been described
previously. The present study aimed to assess and compare the impact of
ultrasound on demyelinating nerve and healthy nerve conduction parameters.
[Subjects and Methods] Carpal tunnel syndrome was used as a focal demyelination
model. Thirty-two hands of 25 participants with carpal tunnel syndrome were
enrolled in the study. Ultrasound parameters were 3.3 MHz, 1.0 W/cm(2), 8
minutes, and continuous wave. Electrodiagnostic studies were performed initially,
at the midpoint (4th min), and immediately after (8th min) ultrasound
application. [Results] Reduced motor conduction velocity was found in
demyelinating nerves at the 4th and 8th minutes. Ulnar nerve onset latency was
significantly prolonged in the 8th minute recording, compared to the initial
value. There were no significant differences in relative velocity and latency
changes between demyelinating and normal nerves. [Conclusion] Deep heating via
ultrasound may inversely affect conduction velocity in demyelinating nerves.
PMID- 27190468
TI - Effects of pelvic adjustment on pelvic posture and angles of the lower limb
joints during walking in female university students.
AB - [Purpose] This study investigated the effects of pelvic adjustment on pelvic
posture and lower limb joint angles during walking in female university students.
[Subjects] Thirty healthy female university students were randomly assigned to an
experimental group (pelvic adjustment group, n = 15) and a control group
(stretching group, n = 15). [Methods] Pelvic adjustment was performed three times
on the experimental group. The control group performed three sets of pelvic
muscle stretching for 15 minutes. A back mapper and motion analysis equipment
were used to measure pelvic posture and angles of lower limb joints for the
experimental and control group. [Results] The values obtained before and after
the intervention were compared. For the experimental group, the results were
significantly different in terms of reduced differences in hip flexion between
the left and right hips and in knee abduction between the left and right knees.
Differences in pelvic position and pelvic torsion were also found in the
experimental group. No significant differences in the control group were
identified. [Conclusion] Pelvic adjustment affects pelvic position and torsion
and this enhancement to pelvic stability decreases hip flexion and knee abduction
during walking.
PMID- 27190470
TI - The effect of peculiar complex core balance training on isokinetic muscle
functions of the knee and lumbus.
AB - [Purpose] This study aimed to investigate the effect of peculiar complex core
balance training on the isokinetic muscle function of the knee joint and lumbus
to provide fundamental data for establishing a training program that focuses on
improving the performance and prevention of injury by developing the core and low
extremity muscles. [Subjects and Methods] The participants in this study included
a total of ten high school athletes involved in a throwing event for over five
years. The subjects were randomly divided into two groups: The experimental group
(N=5) and the control group (N=5). The experimental group underwent peculiar
complex core balance training. [Results] According to the analysis of covariance,
there was a significant effect of peculiar complex core balance training.
Therefore, the isokinetic muscle function of the knee joint and lumbus in the
experimental group participating in peculiar complex core balance training was
significantly increased compared to the control group. [Conclusion] It is
concluded that peculiar complex core balance training had a positive effect on
the isokinetic muscle function of the knee and lumbus in throwing event athletes.
PMID- 27190469
TI - Effects of muscle strength asymmetry between left and right on isokinetic
strength of the knee and ankle joints depending on athletic performance level.
AB - [Purpose] The aim of this study was to collect basic data on the effect of
asymmetry on the muscle strength of the left and right knee and ankle joints of
soccer players at varying athletic performance levels, to guide the development
of improved exercise programs. [Subjects and Methods] Forty-nine soccer players
at three athletic performance levels participated: 15 professional, 16 amateur,
and 18 college. Knee extensor and flexor strength were measured at 60 degrees
/sec and 180 degrees /sec, and ankle plantar flexor and dorsiflexor strength were
measured at 30 degrees /sec and at 120 degrees /sec. Variables were analyzed by
one-way ANOVA. [Results] College soccer players showed greater muscle strength at
60 degrees /sec and 180 degrees /sec in the knee extension muscles of both the
right and the left sides, lower muscle strength at 30 degrees /sec and 120
degrees /sec in the dorsiflexor of the right ankle, and similar levels of
asymmetry between left and right. The maximum muscle strength on the same side
significantly differed in the right ankle joint, with asymmetry between left and
right at 30 degrees /sec and 120 degrees /sec. [Conclusion] These findings
suggest that muscle strength asymmetry in the ankle joint may lead to
counterbalancing muscle strengthening of the knee joint to maintain the center of
body mass.
PMID- 27190472
TI - Elastic therapeutic tape: do they have the same material properties?
AB - [Purpose] Elastic therapeutic tape has been widely used for rehabilitation and
treatment of sports injuries. Tapes with different elastic properties serve
different treatment purposes with inappropriate tension reducing tape
effectiveness. Many tapes are available in the market, but studies on tape
properties are limited. The aim of this study was to examine the material
properties of elastic therapeutic tape. [Subjects and Methods] Brands of elastic
therapeutic tape included KinesioTex((r)), ATex, Mueller, 3M, and ThaiTape. The
Material Testing System Insight((r)) 1 Electromechanical Testing Systems was used
to apply a tensile force on elastic therapeutic tape. Ten specimens of each brand
were tested. Stress, load, and Young's modulus at 25%, 50%, 75%, 100%, and
maximum point were collected. One-way analysis of variance with post hoc testing
was used to analyze tape parameters. [Results] Maximum elongation and Young's
modulus at all percentages were significantly different between brands. There
were no differences in maximum load and maximum stress. [Conclusion] Mechanical
properties are different for commercial elastic therapeutic tapes.
Physiotherapists and other clinicians should be aware of mechanical tape
properties to correctly apply kinesio tape.
PMID- 27190471
TI - The effect of flexi-bar exercise with vibration on trunk muscle thickness and
balance in university students in their twenties.
AB - [Purpose] The purpose of this research was to determine the effect of Flexi-Bar
exercise with vibration on trunk muscle thickness and balance in university
students in their twenties. [Subjects and Methods] This research evaluated 26
university students in their twenties, equally and randomly divided into two
groups. Both the experimental and control groups used an ordinary pole for
exercise. In addition, the experimental group exercised by using a Flexi-Bar.
Ultrasonic imaging was used to measure the changes in trunk muscle thickness. A
balance measuring equipment was used to measure balance ability. [Results] The
thickness of the transversus abdominis and the multifidus muscles in the
experimental group increased, and the experimental group showed increased
thickness in the transversus abdominis muscle compared to the control group.
After 6 months of exercise, there was an improvement in the blind Romberg test
and center of pressure moving distance with one-leg standing. [Conclusion] These
results indicate that the Flexi-Bar exercise is effective in increasing trunk
muscle thickness and improving balance.
PMID- 27190473
TI - Improvement of tactile roughness discrimination acuity correlates with perception
of improved hand function in patients after hand surgery.
AB - [Purpose] The purpose of this study was to elucidate how well patients'
perceptions related to the improvements in their hand function during
hospitalization. [Subjects] Sixteen patients who were hospitalized after hand
surgery. [Methods] Using the Japanese Society for Surgery of the Hand edition of
the Quick-Disabilities of the Arm, Shoulder, and Hand questionnaire; tactile
roughness discrimination acuity, motor imagery, motor function, sensory function,
and pain of the upper limb were assessed at admission and discharge. Spearman's
rank-order correlation coefficients were calculated using the differences in all
assessment items at admission and discharge. A multiple regression analysis
(stepwise method) was performed to investigate factors that correlated with
improvements in Quick-Disabilities of the Arm, Shoulder, and Hand scores.
[Results] The improvement of tactile roughness discrimination acuity was
significantly associated with patient perception of improved hand function.
[Conclusion] The results suggest that an improvement in tactile roughness
discrimination acuity was most strongly correlated with patient perception of
improved hand function.
PMID- 27190474
TI - The relationship between health-related quality of life and higher-level
functional capacity in elderly women with mild cognitive impairment.
AB - [Purpose] To clarify health-related quality of life (HR-QOL) in subjects with
mild cognitive impairment (MCI), using EuroQOL (EQ-5D), and to investigate the
relationship between HR-QOL and Tokyo Metropolitan Institute Gerontology Index of
Competence (TMIG-IC) scores. [Subjects and Methods] The subjects included 25
women with MCI or frail constitutions. A variety of methods were used to assess
mental states and activities of daily living (ADL). [Results] EQ-5D scores were
significantly lower in the MCI group than in the normal cognitive (NC) group.
Among the assessed subscales, the percentages of participants with "moderate
problems" during self-care and "moderate and extreme problems" during usual
activities were significantly higher in the MCI group. TMIG-IC scores were
significantly lower in the MCI group than in the NC group. There was a positive
correlation between TMIG-IC and EQ-5D scores in the MCI group. There were also
significant positive correlations between instrumental activities of daily living
and social roles between EQ-5D and TMIG-IC scores in the MCI group. [Conclusion]
TMIG-IC scores may reflect cognitive disorders earlier than BI and FIM. The
decline of TMIG-IC scores, especially for IADL and social roles, affects HR-QOL
even in the early phases of cognitive impairment.
PMID- 27190475
TI - A quantitative assessment of the mechanical effects on the lumbar spine and the
effects on straight leg raising and lumbar flexion of segmental sustained
rotation.
AB - [Purpose] This study were to examine the strength and relative direction of the
applied force from lumbar segmental sustained rotation (LSSR) on the lumbar
spinous process, and to clarify the effects of LSSR on straight leg raising (SLR)
and lumbar flexion (LF). [Subjects] 18 pain-free healthy adults volunteered for
this study. [Methods] Applied force and direction were measured between the L5-S1
segments using tri-axial pressure sensors. Subjects participated in 3 trials.
Subjects underwent localized right rotation, held for 10 seconds, of the L5 in
relation to the S1. Sham group subjects followed LSSR group protocols; however L5
S1 rotation was absent. Control subjects rested on a plinth. SLR and LF were
measured pre and post-trial. [Results] Outcome data for LSSR forces were as
follows; x (0.06N (+/-0.29)), y (-5.26N (+/-0.01)), z (6.16N (+/-1.33)), and
resultant vector magnitude (8.19N (+/-1.12)). LSSR relative direction results
were as follows: x-axis angle, 89. 6 degrees (+/-1.5); y-axis, 130.9 degrees
(+/-5.6); and z-axis, 41.6 degrees (+/-4.7). The LSSR group's LF and SLR were
significantly increased compared with those of the sham and control groups.
[Conclusion] The identified resultant vector magnitude was 8.19N, less than other
techniques. LSSR effectively improves LF and bilateral SLR.
PMID- 27190476
TI - Comparison of body composition, heart rate variability, aerobic and anaerobic
performance between competitive cyclists and triathletes.
AB - [Purpose] The aim of this study was to compare the body composition, heart rate
variability, and aerobic and anaerobic performance between competitive cyclists
and triathletes. [Subjects] Six cyclists and eight triathletes with experience in
competitions voluntarily participated in this study. [Methods] The subjects' body
composition was measured with an anthropometric tape and skinfold caliper.
Maximal oxygen consumption and maximum heart rate were determined using the
incremental treadmill test. Heart rate variability was measured by 7 min
electrocardiographic recording. The Wingate test was conducted to determine
anaerobic physical performance. [Results] There were significant differences in
minimum power and relative minimum power between the triathletes and cyclists.
Anthropometric characteristics and heart rate variability responses were similar
among the triathletes and cyclists. However, triathletes had higher maximal
oxygen consumption and lower resting heart rates. This study demonstrated that
athletes in both sports have similar body composition and aerobic performance
characteristics.
PMID- 27190477
TI - Effects of screen size on smartphone functionality and usability for stroke
patients with hemiparalysis.
AB - [Purpose] The effect of screen size on smartphone functionality and usability for
patients with stroke, considering both the non-dominant and dominant hand
smartphone usage, was investigated in this study. [Subjects and Methods] Thirteen
patients with stroke participated in this study-five pre-non-dominant hand users
and eight pre-dominant hand users. The smartphone screen sizes used were 4.2,
4.5, and 5.6 inches. Usability was assessed in terms of discomfort experienced
during dragging operations, which was self-reported using a four-point Likert
scale. Functionality was assessed in terms of completion time and the frequency
of errors in the task requiring users to quickly touch numbers 0 through 9 in
order on the keypad. [Results] For all three screen sizes, a significant
difference between the dominant and non-dominant hands was found in usability,
completion time, and frequency of errors. For dominant hand users, differences in
usability and completion time were found among the three screen sizes. Among the
three screen sizes, no difference in the frequency of errors was found in either
of the groups. [Conclusion] This study will be useful as basic research on
usability and functionality with stroke patients using only pre-non-dominant or
pre-dominant hand.
PMID- 27190478
TI - Smooth-pursuit eye movements without head movement disrupt the static body
balance.
AB - [Purpose] To investigate the changes of body balance in static posture in smooth
pursuit eye movements (SPEMs) without head movement. [Subjects and Methods] Forty
subjects (24 males, 16 females) aged 23.24 +/- 2.58 years participated. SPEMs
were activated in three directions (horizontal, vertical, and diagonal
movements); the target speed was set at three conditions (10 degrees /s, 20
degrees /s, and 30 degrees /s); and the binocular visual field was limited to 50
degrees . To compare the body balance changes, the general stability (ST) and the
fall risk index (FI) were measured with TETRAX. The subjects wore a head-neck
collar and stood on a balance plate for 32 s during each measurement in three
directions. SPEMs were induced to each subject with nine target speeds and
directions. All measured values were compared with those in stationary fixation.
[Results] The ST and FI increased significantly in all SPEMs directions, with an
increased target speed than that in stationary fixation. In the same condition of
the target speed, the FI had the highest value relative to diagonal SPEMs.
[Conclusion] SPEMs without head movement disrupt the stability of body balance in
a static posture, and diagonal SPEMs may have a more negative effect in
maintaining body balance than horizontal or vertical SPEMs.
PMID- 27190479
TI - Immediate effects of kinematic taping on lower extremity muscle tone and
stiffness in flexible flat feet.
AB - [Purpose] This study aimed to examine the immediate effects of kinematic taping
on the tone and stiffness in the leg muscles of subjects with flexible flat feet.
[Subjects and Methods] A total of 30 subjects, 15 in the kinematic taping and 15
in the sham taping group, were administered respective taping interventions.
Subsequently, the foot pressure and the tone and stiffness in the tibialis
anterior, rectus femoris, medial gastrocnemius, and the long head of the biceps
femoris muscles of both the lower extremities were measured. [Results] The foot
pressure of the dominant leg significantly decreased in the kinematic taping
group. The muscle tone and stiffness in the rectus femoris muscle of the dominant
and non-dominant leg, tibialis anterior muscle of the dominant leg, medial
gastrocnemius muscle of the non-dominant leg, and the stiffness in the dominant
leg significantly decreased. The muscle tone and stiffness generally increased in
the sham taping group. However, no significant difference was observed between
the 2 groups. [Conclusion] This study demonstrated that kinematic taping on
flexible flat feet had positive effects of immediately reducing the abnormally
increased foot pressure and the tone and stiffness in the lower extremity
muscles.
PMID- 27190480
TI - Improvement in the physiological function and standing stability based on kinect
multimedia for older people.
AB - [Purpose] The increase in the Taiwanese older population is associated with age
related inconveniences. Finding adequate and simple physical activities to help
the older people maintaining their physiological function and preventing them
from falls has become an urgent social issue. [Subjects and Methods] This study
aimed to design a virtual exercise training game suitable for Taiwanese older
people. This system will allow for the maintenance of the physiological function
and standing stability through physical exercise, while using a virtual reality
game. The participants can easily exercise in a carefree, interactive
environment. This study will use Kinect for Windows for physical movement
detection and Unity software for virtual world development. [Results] Group A and
B subjects were involved in the exercise training method of Kinect interactive
multimedia for 12 weeks. The results showed that the functional reach test and
the unipedal stance test improved significantly. [Conclusion] The physiological
function and standing stability of the group A subjects were examined at six
weeks post training. The results showed that these parameters remained constant.
This proved that the proposed system provide substantial support toward the
preservation of the Taiwanese older people' physiological function and standing
stability.
PMID- 27190481
TI - Multimedia virtualized environment for shoulder pain rehabilitation.
AB - [Purpose] Researchers imported games and virtual reality training to help
participants train their shoulders in a relaxed environment. [Subjects and
Methods] This study included the use of Kinect somatosensory device with Unity
software to develop 3-dimensional situational games. The data collected from this
training process can be uploaded via the Internet to a cloud or server for
participants to perform self-inspection. The data can be a reference for the
medical staff to assess training effectiveness for those with impairments and
plan patient rehabilitation courses. [Results] In the training activities, 8
subjects with normal shoulder function demonstrated that the system has good
stability and reproducibility. Six subjects with impaired shoulder underwent 6
weeks of training. During the third week of training, average performance
stabilized. The t-test comparing 1-2 weeks to 3-4 weeks and 5-6 weeks showed
significant differences. [Conclusion] Using games as training methods improved
patient concentration, interest in participation and allowed patients to forget
about their body discomfort. The equipment utilized in this study is inexpensive,
easy to obtain, and the system is easy to install. People can perform simple self
training both at home or in the office.
PMID- 27190482
TI - Effects of aerobic exercise training on peripheral brain-derived neurotrophic
factor and eotaxin-1 levels in obese young men.
AB - [Purpose] The aim of the present study was to investigate the effects of aerobic
exercise training on the levels of peripheral brain-derived neurotrophic factor
and eotaxin-1 in obese young men. [Subjects and Methods] The subjects included
sixteen obese young men with a body mass index greater than 25 kg/m(2). They were
randomly divided between control and exercise groups (n = 8 in each group). The
exercise group performed treadmill exercise for 40 min, 3 times a week for 8
weeks at the intensity of 70% heart rate reserve. Blood collection was performed
to examine the levels of serum glucose, plasma malonaldehyde, serum brain-derived
neurotrophic factor, and plasma eotaxin-1 before and after the intervention
(aerobic exercise training). [Results] Following the intervention, serum BDNF
levels were significantly higher, while serum glucose, plasma MDA, and plasma
eotaxin-1 levels were significantly lower than those prior to the intervention in
the exercise group. [Conclusion] Aerobic exercise training can induce
neurogenesis in obese individuals by increasing the levels of brain-derived
neurotrophic factor and reducing the levels of eotaxin-1. Alleviation of
oxidative stress is possibly responsible for such changes.
PMID- 27190483
TI - The effects of weekly exercise time on VO2max and resting metabolic rate in
normal adults.
AB - [Purpose] The present study examined the effect of individual weekly exercise
time on resting metabolic rate and VO2max (maximal oxygen uptake), which are
important components of individual health indexes. [Subjects and Methods] Thirty
healthy adults participated in this study. Questionnaires were used to divide the
participants into groups based on average weekly walking. Resting metabolic rate
was measured using a respiratory gas analyzer. Graded exercise tests were
conducted using a treadmill, and the modified Bruce protocol was used as an
exercise test method. [Results] VO2max, anaerobic threshold, and resting
metabolic rate were significantly different among the groups. [Conclusion]
Average weekly exercise time affected VO2max, resting metabolic rate, and
anaerobic threshold, all of which are indicators of individual physical ability
and health. These values increased as the individual amount of exercise
increased. In addition, VO2max, resting metabolic rate, and anaerobic threshold
were found to be closely correlated. These findings were consistent with the
results of similar previous studies.
PMID- 27190484
TI - Reliability and validity of center of pressure measures for balance assessment in
older adults.
AB - [Purpose] This study was conducted to assess the reliability and validity of
center of pressure-based parameters for balance assessment. [Subjects and
Methods] Two hundred and forty older adults were evaluated using a force platform
and the Berg Balance Scale at 1-week intervals. The intra-class correlation
coefficient and the Pearson correlation coefficient were used to test reliability
and validity respectively. [Results] The reliability of the 12 selected center of
pressure measures was satisfactory (intra-class correlation coefficient = 0.75
0.99) and the validity between the parameters and the Berg Balance Scale was
moderate to good (r = -0.62 to -0.88). [Conclusion] Center of pressure-based
parameters are reliable and valid measures in older adults.
PMID- 27190485
TI - The antalgic effects of non-invasive physical modalities on central post-stroke
pain: a systematic review.
AB - [Purpose] This study systematically reviewed the antalgic effects of non-invasive
physical modalities (NIPMs) on central post-stroke pain (CPSP). [Subjects and
Methods] Clinical studies were sought on September 2015 in 10 electronic
databases, including Medline and Scopus. The searching strings were "central pain
and stroke" and "treatment, and physical or non-pharmacological". The inclusion
and exclusion criteria were set for screening the clinical articles by two
reviewers. Pain scores on visual analog scale in an article were used as the
outcome measure for resulting judgment. The NIPMs intervention summarized from
the eligible articles was rated from Levels A to C according to Evidence
Classification Scheme for Therapeutic Interventions. [Results] Over 1200 articles
were identified in the initial searches and 85 studies were retrieved. Sixteen
studies were eligible and judged. Caloric vestibular stimulation (n=3),
heterotopic noxious conditioning stimulation (n=1), and transcutaneous electrical
stimulation (n=1) were rated below Level C. Transcranial direct current
stimulation (TDCS; n=2) and transcranial magnetic stimulation (TMS; n=9) were
rated as Level B. [Conclusion] The findings suggest that TMS and TDCS were better
than other treatments for CPSP relief but the studies were of insufficient
quality.
PMID- 27190487
TI - Promotion and support of physical activity in elderly patients on hemodialysis: a
case study.
AB - [Purpose] The aim of this study was to ascertain the optimum strategy for
implementing a physical activity intervention in patients on hemodialysis by
investigating the physical characteristics of elderly patients on hemodialysis,
and their attitude to physical activity and level of daily activity. [Subjects]
The Subject were 10 elderly patients on hemodialysis. [Methods] They wore a
physical activity monitor for 1 week. Data obtained were analyzed for
hemodialysis and non- hemodialysis days, and two-way analysis of variance was
used to compare the number of steps and activity levels. A questionnaire was
administered to investigate the stage of psychological preparedness for exercise
and attitudes toward/awareness of exercise. [Results] There was no significant
difference in the number of steps or exercise levels on hemodialysis and non-
hemodialysis days. However, on both types of days, subjects spent long periods
not engaged in any activity. Most of their activity was either inactivity or
sedentary behavior. [Conclusion] Patients on hemodialysis with low physical
activity levels are considered to have poor physical function and exercise
tolerance. To maintain and improve the physical function of patients on
hemodialysis, it will be necessary to reduce their time spent in inactive, and
comprehensive care that covers psychosocial aspects should be provided to promote
the proactive improvement of physical activity and their attitudes to exercise.
PMID- 27190486
TI - Effect of absence of vision on posture.
AB - [Purpose] The visual system is one of the sensory systems that enables the body
to assess and process information about the external environment. In the absence
of vision, a blind person loses contact with the outside world and develops
faulty motor patterns, which results in postural deficiencies. However,
literature regarding the development of such deficiencies is limited. The aim of
this study was to discuss the effect of absence of vision on posture, the
possible biomechanics behind the resulting postural deficiencies, and strategies
to correct and prevent them. [Subjects and Methods] Various electronic databases
including PubMed, Medline, and Google scholar were examined using the words
"body", "posture", "blind" and "absence of vision". References in the retrieved
articles were also examined for cross-references. The search was limited to
articles in the English language. [Results] A total of 74 papers were shortlisted
for this review, most of which dated back to the 1950s and 60s. [Conclusion]
Blind people exhibit consistent musculoskeletal deformities. Absence of vision
leads to numerous abnormal sensory and motor interactions that often limit blind
people in isolation. Rehabilitation of the blind is a multidisciplinary task.
Specialists from different fields need to diagnose and treat the deficiencies of
the blind together as a team. Before restoring the normal mechanics of posture
and gait, the missing link with the external world should be reestablished.
PMID- 27190488
TI - The effect of task-oriented training on the muscle activation of the upper
extremity in chronic stroke patients.
AB - [Purpose] The aim of this study was to determine the effects of task-oriented
training on upper extremity muscle activation in daily activities performed by
chronic stoke patients. [Subjects and Methods] In this research, task-oriented
training was conducted by 2 chronic hemiplegic stroke patients. Task-oriented
training was conducted 5 times a week, 30 minutes per day, for 2 weeks.
Evaluation was conducted 3 times before and after the intervention. The Change of
muscle activation in the upper extremity was measured using a BTS FreeEMG 300.
[Results] The subjects' root mean square values for agonistic muscles for the
reaching activity increased after the intervention. All subjects' co-coordination
ratios decreased after the intervention in all movements of reaching activity.
[Conclusion] Through this research, task-oriented training was proven to be
effective in improving the muscle activation of the upper extremity in chronic
hemiplegic stroke patients.
PMID- 27190489
TI - Clinical usefulness of augmented reality using infrared camera based real-time
feedback on gait function in cerebral palsy: a case study.
AB - [Purpose] This study investigated the effects of real-time feedback using
infrared camera recognition technology-based augmented reality in gait training
for children with cerebral palsy. [Subjects] Two subjects with cerebral palsy
were recruited. [Methods] In this study, augmented reality based real-time
feedback training was conducted for the subjects in two 30-minute sessions per
week for four weeks. Spatiotemporal gait parameters were used to measure the
effect of augmented reality-based real-time feedback training. [Results]
Velocity, cadence, bilateral step and stride length, and functional ambulation
improved after the intervention in both cases. [Conclusion] Although additional
follow-up studies of the augmented reality based real-time feedback training are
required, the results of this study demonstrate that it improved the gait ability
of two children with cerebral palsy. These findings suggest a variety of
applications of conservative therapeutic methods which require future clinical
trials.
PMID- 27190490
TI - Optimizing physical therapy for ankylosing spondylitis: a case study in a young
football player.
AB - [Purpose] Ankylosing spondylitis is prevalent in men. Modern and expert consensus
documents include physical therapy among the strategies for the treatment of
ankylosing spondylitis. This study aimed to describe the physical therapy
approach in an athlete with ankylosing spondylitis. [Subject and Methods] The
patient, refractory to treatment with anti-inflammatory medication, showed pelvic
and lumbar pain and joint, muscle, and functional disorders, which were treated
with orthopedic joint mobilization, dry needling, exercise, and whole-body
hyperthermia. [Results] After the treatment, pain relief, normal joint mobility,
improved muscle function, and return to activities of daily living and
competitive sporting activities were recorded. [Conclusion] The literature
provides evidence for the use of joint mobilization techniques; however, no
previous studies have used the same techniques and methods. There is no previous
evidence for the use of dry needling in this pathology. Exercise therapy has a
higher level of evidence, and guidelines with scientific support were followed.
This research confirms the effectiveness of hyperthermia for arthritis. The early
stage of ankylosing spondylitis, and the young age, good overall condition, and
cooperative attitude of the patient led to positive outcomes. In conclusion, a
favorable response that promoted the remission of the disease was observed.
PMID- 27190492
TI - Histamine and Immune Biomarkers in CNS Disorders.
AB - Neuroimmune dysregulation is a common phenomenon in different forms of central
nervous system (CNS) disorders. Cross-links between central and peripheral immune
mechanisms appear to be disrupted as reflected by a series of immune markers
(CD3, CD4, CD7, HLA-DR, CD25, CD28, and CD56) which show variability in brain
disorders such as anxiety, depression, psychosis, stroke, Alzheimer's disease,
Parkinson's disease, attention-deficit hyperactivity disorder, migraine,
epilepsy, vascular dementia, mental retardation, cerebrovascular encephalopathy,
multiple sclerosis, brain tumors, cranial nerve neuropathies, mental retardation,
and posttraumatic brain injury. Histamine (HA) is a pleiotropic monoamine
involved in several neurophysiological functions, neuroimmune regulation, and CNS
pathogenesis. Changes in brain HA show an age- and sex-related pattern, and
alterations in brain HA levels are present in different CNS regions of patients
with Alzheimer's disease (AD). Brain HA in neuronal and nonneuronal compartments
plays a dual role (neurotrophic versus neurotoxic) in a tissue-specific manner.
Pathogenic mechanisms associated with neuroimmune dysregulation in AD involve HA,
interleukin-1beta, and TNF-alpha, whose aberrant expression contributes to
neuroinflammation as an aggravating factor for neurodegeneration and premature
neuronal death.
PMID- 27190491
TI - Association between Serum Interleukin-17A Level and High-Altitude
Deacclimatization Syndrome.
AB - High-altitude deacclimatization syndrome (HADAS) is emerging as a severe public
health issue that threatens the quality of life of individuals who return to
lower altitude from high altitude. In this study, we measured serum levels of
SOD, MDA, IL-17A, IL-10, TNF-alpha, and HADAS score in HADAS subjects at baseline
and 50th and 100th days and to evaluate the relationship between interleukins,
including IL-17A, and HADAS. Our data showed that and the serum IL-17A levels and
HADAS score decreased over time in the HADAS group, and serum IL-17A levels were
significantly higher in the HADAS group at baseline and 50th day compared with
controls (p < 0.05). Furthermore, baseline serum levels of MDA and TNF-alpha were
significantly higher, while SOD and IL-10 levels were lower in HADAS subjects
compared with controls (p < 0.05). It is interesting that serum levels of IL-17A
were clearly interrelated with HADAS incidence and severity (p < 0.05). ROC curve
analysis showed that combined serum IL-17A and IL-10 levels were a better
predictor of HADAS incidence than serum levels of IL-17A or IL-10 alone. These
data suggest that serum levels of IL-17A are a novel predictive index of HADAS.
PMID- 27190494
TI - Long-range forces affecting equilibrium inertial focusing behavior in straight
high aspect ratio microfluidic channels.
AB - The controlled and directed focusing of particles within flowing fluids is a
problem of fundamental and technological significance. Microfluidic inertial
focusing provides passive and precise lateral and longitudinal alignment of small
particles without the need for external actuation or sheath fluid. The benefits
of inertial focusing have quickly enabled the development of miniaturized flow
cytometers, size-selective sorting devices, and other high-throughput particle
screening tools. Straight channel inertial focusing device design requires
knowledge of fluid properties and particle-channel size ratio. Equilibrium
behavior of inertially focused particles has been extensively characterized and
the constitutive phenomena described by scaling relationships for straight
channels of square and rectangular cross section. In concentrated particle
suspensions, however, long-range hydrodynamic repulsions give rise to complex
particle ordering that, while interesting and potentially useful, can also
dramatically diminish the technique's effectiveness for high-throughput particle
handling applications. We have empirically investigated particle focusing
behavior within channels of increasing aspect ratio and have identified three
scaling regimes that produce varying degrees of geometrical ordering between
focused particles. To explore the limits of inertial particle focusing and
identify the origins of these long-range interparticle forces, we have explored
equilibrium focusing behavior as a function of channel geometry and particle
concentration. Experimental results for highly concentrated particle solutions
identify equilibrium thresholds for focusing that scale weakly with concentration
and strongly with channel geometry. Balancing geometry mediated inertial forces
with estimates for interparticle repulsive forces now provide a complete picture
of pattern formation among concentrated inertially focused particles and enhance
our understanding of the fundamental limits of inertial focusing for
technological applications.
PMID- 27190493
TI - Walker 256 Tumor Growth Suppression by Crotoxin Involves Formyl Peptide Receptors
and Lipoxin A4.
AB - We investigated the effects of Crotoxin (CTX), the main toxin of South American
rattlesnake (Crotalus durissus terrificus) venom, on Walker 256 tumor growth, the
pain symptoms associated (hyperalgesia and allodynia), and participation of
endogenous lipoxin A4. Treatment with CTX (s.c.), daily, for 5 days reduced tumor
growth at the 5th day after injection of Walker 256 carcinoma cells into the
plantar surface of adult rat hind paw. This observation was associated with
inhibition of new blood vessel formation and decrease in blood vessel diameter.
The treatment with CTX raised plasma concentrations of lipoxin A4 and its natural
analogue 15-epi-LXA4, an effect mediated by formyl peptide receptors (FPRs). In
fact, the treatment with Boc-2, an inhibitor of FPRs, abolished the increase in
plasma levels of these mediators triggered by CTX. The blockage of these
receptors also abolished the inhibitory action of CTX on tumor growth and blood
vessel formation and the decrease in blood vessel diameter. Together, the results
herein presented demonstrate that CTX increases plasma concentrations of lipoxin
A4 and 15-epi-LXA4, which might inhibit both tumor growth and formation of new
vessels via FPRs.
PMID- 27190495
TI - A Therapeutic Role for Survivin in Mitigating the Harmful Effects of Ionizing
Radiation.
AB - Background. Radiation therapy is a form of adjuvant care used in many oncological
treatment protocols. However, nonmalignant neighboring tissues are harmed as a
result of this treatment. Therefore, the goal of this study was to induce the
production of survivin, an antiapoptotic protein, to determine if this protein
could provide protection to noncancerous cells during radiation exposure.
Methods. Using a murine model, a recombinant adenoassociated virus (rAAV) was
used to deliver survivin to the treatment group and yellow fluorescence protein
(YFP) to the control group. Both groups received targeted radiation. Visual
inspection, gait analysis, and tissue histology were used to determine the extent
of damage caused by the radiation. Results. The YFP group demonstrated ulceration
of the irradiated area while the survivin treated mice exhibited only hair loss.
Histology showed that the YFP treated mice experienced dermal thickening, as well
as an increase in collagen that was not present in the survivin treated mice.
Gait analysis demonstrated a difference between the two groups, with the YFP mice
averaging a lower speed. Conclusions. The use of gene-modification to induce
survivin expression in normal tissues allows for the protection of nontarget
areas from the negative side effects normally associated with ionizing radiation.
PMID- 27190496
TI - A case report of autoimmune necrotizing myositis presenting as dysphagia and neck
swelling.
AB - BACKGROUND: Severe dysphagia may occur in the immune mediated necrotizing
myopathies (IMNM). Neck swelling and severe dysphagia as the initial symptoms
upon presentation has not been previously described. CASE PRESENTATION: A 55-year
old male with a 4 week history of neck swelling, fatigue, dysphagia, myalgias,
night sweats, and cough was admitted for an elevated CK. He underwent extensive
infectious and inflammatory evaluation including neck imaging and muscle biopsy.
Neck CT and MRI showed inflammation throughout his strap muscles, retropharyngeal
soft tissues and deltoids. Infectious work up was negative. Deltoid muscle biopsy
demonstrated evidence of IMNM. Lab tests revealed anti-3-hydroxy-3-methylglutaryl
coenzyme A reductase (HMGCR) antibodies confirming the diagnosis of HMGCR IMNM.
CONCLUSIONS: HMGCR IMNM is a rare and incompletely understood disease process.
Awareness of HMGCR IMNM could potentially lead to earlier diagnosis, treatment
and improved clinical outcomes as disease progression can be rapid and severe.
PMID- 27190497
TI - Effects of CpG Oligodeoxynucleotide 1826 on transforming growth factor-beta 1 and
radiation-induced pulmonary fibrosis in mice.
AB - BACKGROUND: Cytosine-phosphate-guanine (CpG) oligodeoxyribonucleotides (ODNs) are
synthetic DNA fragments containing unmethylated cytosine-guanine motifs with
potential immune modulatory effects and have recently been suggested to enhance
sensitivity to traditional therapies in lung cancer. This study aimed to examine
the effects of CpG ODN1826 on transforming growth factor-beta 1(TGF-beta1) and
radiation-induced pulmonary fibrosis in mice. METHODS: The radiation-induced
pulmonary fibrosis mouse model was established by a single dose of 20 Gy, 6 MV X
rays exposure to the left lung. ICR mice were evenly randomized into four groups,
comprising: a control group, a radiation group (RT group), a CpG group and a
radiation combined with CpG ODN1826 group (RT + CpG group), with 40 mice in each
group. CpG ODN1826 was intraperitoneally injected into mice at 1, 3, 5, 7 and 9 d
post-irradiation. The mice were sacrificed at 1, 5, 15, 30 and 90 d post
irradiation. Paraffin sections of the radiated lung were subjected to H&E
staining and Masson staining. The Ashcroft scale was used for quantitative
histological analysis of fibrotic changes induced by irradiation. Concentrations
of serum TGF-beta1 were determined by ELISA, and concentrations of
Hydroxyproline(Hyp) in the lung were determined with the alkaline hydrolysis
method. Relative gene expression of FoxP3 was determined by real-time PCR.
RESULTS: The radiation-induced pulmonary fibrosis mouse model was successfully
established. The serum concentrations of TGF -beta1 of RT group were higher than
those of the RT + CpG group (t = 5.212, 7.126, 7.972 and 3.785, P < 0.05). The
Hyp in the lung of RT group was higher than that of RT + CpG group (t = 4.606, P
< 0.05). The relative expressions of FoxP3 gene in the lung of the RT group were
higher than those of RT + CpG group (t = 8.395, 5.099 and 6.147, P < 0.05).
CONCLUSIONS: CpG ODN1826 could reduce the serum concentrations of TGF-beta1 and
the lung content of Hyp in radiation-induced pulmonary fibrosis, which might be
related to the possibility that CpG ODN1826 can reduce expression of the FoxP3
gene.
PMID- 27190499
TI - Behavioral alterations induced by repeated saxitoxin exposure in drinking water.
AB - BACKGROUND: Blooms of the saxitoxin-producing cyanobacterium Cylindrospermopsis
raciborskii have been contaminating drinking water reservoirs in Brazil for many
years. Although acute effects of saxitoxin intoxication are well known, chronic
deleterious outcomes caused by repeated saxitoxin exposure still require further
investigation. The aim of the present work is to investigate the effects of
consumption of drinking water contaminated with C. raciborskii for 30 days on
learning and memory processes in rats. METHODS: The effects of saxitoxin (3 or 9
MUg/L STX equivalents) or cyanobacteria on behavior was determined using the open
field habituation task, elevated plus maze anxiety model task, inhibitory
avoidance task, and referential Morris water maze task. RESULTS: No effects of
saxitoxin consumption was observed on anxiety and motor exploratory parameters in
the elevated plus maze and open field habituation tasks, respectively. However,
groups treated with 9 MUg/L STX equivalents displayed a decreased memory
performance in the inhibitory avoidance and Morris water maze tasks. CONCLUSIONS:
These results suggest an amnesic effect of saxitoxin on aversive and spatial
memories.
PMID- 27190502
TI - Global Exponential Stability of Almost Periodic Solution for Neutral-Type Cohen
Grossberg Shunting Inhibitory Cellular Neural Networks with Distributed Delays
and Impulses.
AB - A kind of neutral-type Cohen-Grossberg shunting inhibitory cellular neural
networks with distributed delays and impulses is considered. Firstly, by using
the theory of impulsive differential equations and the contracting mapping
principle, the existence and uniqueness of the almost periodic solution for the
above system are obtained. Secondly, by constructing a suitable Lyapunov
functional, the global exponential stability of the unique almost periodic
solution is also investigated. The work in this paper improves and extends some
results in recent years. As an application, an example and numerical simulations
are presented to demonstrate the feasibility and effectiveness of the main
results.
PMID- 27190501
TI - Timcodar (VX-853) Is a Non-FKBP12 Binding Macrolide Derivative That Inhibits
PPARgamma and Suppresses Adipogenesis.
AB - Nutrient overload and genetic factors have led to a worldwide epidemic of obesity
that is the underlying cause of diabetes, atherosclerosis, and cardiovascular
disease. In this study, we used macrolide drugs such as FK506, rapamycin, and
macrolide derived, timcodar (VX-853), to determine their effects on lipid
accumulation during adipogenesis. Rapamycin and FK506 bind to FK506-binding
proteins (FKBPs), such as FKBP12, which causes suppression of the immune system
and inhibition of mTOR. Rapamycin has been previously reported to inhibit the
adipogenic process and lipid accumulation. However, rapamycin treatment in
rodents caused immune suppression and glucose resistance, even though the mice
lost weight. Here we show that timcodar (1 MUM), a non-FKBP12-binding drug,
significantly (p < 0.001) inhibited lipid accumulation during adipogenesis. A
comparison of the same concentration of timcodar (1 MUM) and rapamycin (1 MUM)
showed that both are inhibitors of lipid accumulation during adipogenesis.
Importantly, timcodar potently (p < 0.01) suppressed transcriptional regulators
of adipogenesis, PPARgamma and C/EBPalpha, resulting in the inhibition of genes
involved in lipid accumulation. These studies set the stage for timcodar as a
possible antiobesity therapy, which is rapidly emerging as a pandemic.
PMID- 27190500
TI - 15-Deoxy-Delta(12,14)-prostaglandin J2 Induces Apoptosis and Upregulates SOCS3 in
Human Thyroid Cancer Cells.
AB - The cyclopentenone prostaglandin 15-deoxy-Delta(12,14)-prostaglandin J2 (15d
PGJ2) is a natural ligand of peroxisome proliferator-activated receptor gamma
(PPAR-gamma) and a potential mediator of apoptosis in cancer cells. In the
present study, we evaluated the effect of 15d-PGJ2 in human thyroid papillary
carcinoma cells (TPC-1) using different doses of 15d-PGJ2 (0.6 to 20 MUM) to
determine IC50 (9.3 MUM) via the MTT assay. The supernatant culture medium of the
TPC-1 cells that was treated either with 15d-PGJ2 or with vehicle (control) for
24 hours was assessed for IL-6 secretion via CBA assay. RT-qPCR was used to
evaluate mRNA expression of IL-6, SOCS1, SOCS3, and STAT3. TPC-1 cells treated
with 15d-PGJ2 decreased the secretion and expression of IL-6 and STAT3, while it
increased SOCS1 and SOCS3. Overall, we demonstrated that 15d-PGJ2 downregulated
IL-6 signaling pathway and led TPC-1 cells into apoptosis. In conclusion, 15d
PGJ2 shows the potential to become a new therapeutic approach for thyroid tumors.
PMID- 27190503
TI - An Interactive Astronaut-Robot System with Gesture Control.
AB - Human-robot interaction (HRI) plays an important role in future planetary
exploration mission, where astronauts with extravehicular activities (EVA) have
to communicate with robot assistants by speech-type or gesture-type user
interfaces embedded in their space suits. This paper presents an interactive
astronaut-robot system integrating a data-glove with a space suit for the
astronaut to use hand gestures to control a snake-like robot. Support vector
machine (SVM) is employed to recognize hand gestures and particle swarm
optimization (PSO) algorithm is used to optimize the parameters of SVM to further
improve its recognition accuracy. Various hand gestures from American Sign
Language (ASL) have been selected and used to test and validate the performance
of the proposed system.
PMID- 27190504
TI - The Hepaticojejunostomy Technique with Intra-Anastomotic Stent in Biliary
Diseases and Its Evolution throughout the Years: A Technical Analysis.
AB - Roux-en-Y hepaticojejunostomy (RYHJ) is currently considered as the definitive
treatment for iatrogenic bile duct injuries and the principal representative of
biliary diversion procedures. This technique has met many milestones of extensive
evolution, particularly the last years of concomitant technological evolution
(laparoscopic/robotic approach). Anastomotic strictures and leaks, which may have
deleterious effects on the survival and quality of life of a patient with biliary
obstruction of any cause, made the need of the development of a safe and
efficient RYHJ compulsory. The aim of this technical analysis and the juxtaposed
discussions is to elucidate with the most important milestones and technical tips
and tricks all aspects of a feasible and reliable RYHJ technique that is
performed in our center for the last 25 years in around 400 patients.
PMID- 27190506
TI - A Multiple-Classifier Framework for Parkinson's Disease Detection Based on
Various Vocal Tests.
AB - Recently, speech pattern analysis applications in building predictive
telediagnosis and telemonitoring models for diagnosing Parkinson's disease (PD)
have attracted many researchers. For this purpose, several datasets of voice
samples exist; the UCI dataset named "Parkinson Speech Dataset with Multiple
Types of Sound Recordings" has a variety of vocal tests, which include sustained
vowels, words, numbers, and short sentences compiled from a set of speaking
exercises for healthy and people with Parkinson's disease (PWP). Some researchers
claim that summarizing the multiple recordings of each subject with the central
tendency and dispersion metrics is an efficient strategy in building a predictive
model for PD. However, they have overlooked the point that a PD patient may show
more difficulty in pronouncing certain terms than the other terms. Thus,
summarizing the vocal tests may lead into loss of valuable information. In order
to address this issue, the classification setting must take what has been said
into account. As a solution, we introduced a new framework that applies an
independent classifier for each vocal test. The final classification result would
be a majority vote from all of the classifiers. When our methodology comes with
filter-based feature selection, it enhances classification accuracy up to 15%.
PMID- 27190505
TI - Effect of Interval between Neoadjuvant Chemoradiotherapy and Surgery on
Oncological Outcome for Rectal Cancer: A Systematic Review and Meta-Analysis.
AB - Aim. To evaluate the influence of interval between neoadjuvant chemoradiotherapy
(NCRT) and surgery on oncological outcome. Methods. A systematic search was
conducted in PubMed, the Cochrane Library, and Embase databases for publications
reporting oncological outcomes of patients following rectal cancer surgery
performed at different NCRT-surgery intervals. Relative risk (RR) of pathological
complete response (pCR) among different intervals was pooled. Results. Fifteen
retrospective cohort studies representing 4431 patients met the inclusion
criteria. There was a significantly increased rate of pCR in patients treated
with surgery followed 7 or 8 weeks later (RR, 1.45; 95% CI, 1.18-1.78; and P <
0.01 and RR, 1.49; 95% CI, 1.15-1.92; and P = 0.002, resp.). There is no
consistent evidence of improved local control or overall survival with longer or
shorter intervals. Conclusion. Performing surgery 7-8 weeks after the end of NCRT
results in the highest chance of achieving pCR. For candidates of
abdominoperineal resection before NCRT, these data support implementation of
prolonging the interval after NCRT to optimize the chances of pCR and perhaps add
to the possibility of ultimate organ preservation.
PMID- 27190507
TI - Software-Assisted Depth Analysis of Optic Nerve Stereoscopic Images in
Telemedicine.
AB - Background. Software guided optic nerve assessment can assist in process
automation and reduce interobserver disagreement. We tested depth analysis
software (DAS) in assessing optic nerve cup-to-disc ratio (VCD) from stereoscopic
optic nerve images (SONI) of normal eyes. Methods. In a prospective study,
simultaneous SONI from normal subjects were collected during telemedicine
screenings using a Kowa 3Wx nonmydriatic simultaneous stereoscopic retinal camera
(Tokyo, Japan). VCD was determined from SONI pairs and proprietary pixel DAS
(Kowa Inc., Tokyo, Japan) after disc and cup contour line placement. A
nonstereoscopic VCD was determined using the right channel of a stereo pair.
Mean, standard deviation, t-test, and the intraclass correlation coefficient
(ICCC) were calculated. Results. 32 patients had mean age of 40 +/- 14 years.
Mean VCD on SONI was 0.36 +/- 0.09, with DAS 0.38 +/- 0.08, and with
nonstereoscopic 0.29 +/- 0.12. The difference between stereoscopic and DAS
assisted was not significant (p = 0.45). ICCC showed agreement between
stereoscopic and software VCD assessment. Mean VCD difference was significant
between nonstereoscopic and stereoscopic (p < 0.05) and nonstereoscopic and DAS
(p < 0.005) recordings. Conclusions. DAS successfully assessed SONI and showed a
high degree of correlation to physician-determined stereoscopic VCD.
PMID- 27190508
TI - Ebolavirus Database: Gene and Protein Information Resource for Ebolaviruses.
AB - Ebola Virus Disease (EVD) is a life-threatening haemorrhagic fever in humans.
Even though there are many reports on EVD, the protein precursor functions and
virulent factors of ebolaviruses remain poorly understood. Comparative analyses
of Ebolavirus genomes will help in the identification of these important
features. This prompted us to develop the Ebolavirus Database (EDB) and we have
provided links to various tools that will aid researchers to locate important
regions in both the genomes and proteomes of Ebolavirus. The genomic analyses of
ebolaviruses will provide important clues for locating the essential and core
functional genes. The aim of EDB is to act as an integrated resource for
ebolaviruses and we strongly believe that the database will be a useful tool for
clinicians, microbiologists, health care workers, and bioscience researchers.
PMID- 27190509
TI - Feature Selection Has a Large Impact on One-Class Classification Accuracy for
MicroRNAs in Plants.
AB - MicroRNAs (miRNAs) are short RNA sequences involved in posttranscriptional gene
regulation. Their experimental analysis is complicated and, therefore, needs to
be supplemented with computational miRNA detection. Currently computational miRNA
detection is mainly performed using machine learning and in particular two-class
classification. For machine learning, the miRNAs need to be parametrized and more
than 700 features have been described. Positive training examples for machine
learning are readily available, but negative data is hard to come by. Therefore,
it seems prerogative to use one-class classification instead of two-class
classification. Previously, we were able to almost reach two-class classification
accuracy using one-class classifiers. In this work, we employ feature selection
procedures in conjunction with one-class classification and show that there is up
to 36% difference in accuracy among these feature selection methods. The best
feature set allowed the training of a one-class classifier which achieved an
average accuracy of ~95.6% thereby outperforming previous two-class-based plant
miRNA detection approaches by about 0.5%. We believe that this can be improved
upon in the future by rigorous filtering of the positive training examples and by
improving current feature clustering algorithms to better target pre-miRNA
feature selection.
PMID- 27190510
TI - Molecular Docking and In Silico ADMET Study Reveals Acylguanidine 7a as a
Potential Inhibitor of beta-Secretase.
AB - Amyloidogenic pathway in Alzheimer's disease (AD) involves breakdown of APP by
beta-secretase followed by gamma-secretase and results in formation of amyloid
beta plaque. beta-secretase has been a promising target for developing novel anti
Alzheimer drugs. To test different molecules for this purpose, test ligands like
acylguanidine 7a, rosiglitazone, pioglitazone, and tartaric acid were docked
against our target protein beta-secretase enzyme retrieved from Protein Data
Bank, considering MK-8931 (phase III trial, Merck) as the positive control.
Docking revealed that, with respect to their free binding energy, acylguanidine
7a has the lowest binding energy followed by MK-8931 and pioglitazone and binds
significantly to beta-secretase. In silico ADMET predictions revealed that except
tartaric acid all other compounds had minimal toxic effects and had good
absorption as well as solubility characteristics. These compounds may serve as
potential lead compound for developing new anti-Alzheimer drug.
PMID- 27190511
TI - FGF21 Is Associated with Acanthosis Nigricans in Obese Patients.
AB - Objective. We aimed to investigate the relationship between FGF21 and obesity
related acanthosis nigricans (AN). Methods. 40 obese patients without AN (OB
group), 40 obese patients with AN (AN group), and 40 healthy volunteers (control
group, CON) were included in this study. Weight, BMI, lipid profile, FFA, UA, and
CRP were measured in all participants. Oral glucose tolerance tests (OGTT) were
performed and serum glucose and plasma insulin were measured. Serum FGF21 was
measured by ELISA. Results. Compared with OB group, AN group had higher levels of
fasting insulin and homeostasis model of assessment for insulin resistance (HOMA
IR) (P < 0.05), but lower serum levels of blood glucose. The difference of FGF21
among three groups was significant and AN group showed the highest serum level of
FGF21 (P < 0.05). Serum FGF21 was most positively correlated with fasting insulin
and HOMA-IR. Multiple logistic analysis showed that FGF21 was the independent
risk factor for AN (OR 4.550; 95% CI 1.054-19.635; P = 0.042). Conclusion. AN
patients had more serious hyperinsulinemia but better serum levels of blood
glucose than OB. Increased FGF21 is associated with AN in obese patients and may
be considered as compensatory response to the decreased insulin sensitivity.
PMID- 27190512
TI - Growth Hormone Therapy Benefits Pituitary Stalk Interruption Syndrome Patients
with Short Stature: A Retrospective Study of 75 Han Chinese.
AB - Objective. We aim to investigate the long-term benefits of growth hormone (GH)
therapy in short stature adolescents and adults with pituitary stalk interruption
syndrome (PSIS), which would be beneficial for future clinical applications.
Design and Methods. In this study, initial height, final height, total height
gain, and GH treatment history were retrospectively investigated in 75 Chinese
PSIS patients. We compared height gain between the GH treated cohort and
untreated cohort and explored the impact of different GH therapy duration on
height gain. Results. For GH treated patients, their final height (SDS) increased
from -1.99 +/- 1.91 (-6.93~2.80) at bone age (BA) of 11.2 (5.0~17.0) years to
1.47 +/- 1.64 (-7.82~1.05) at BA of 16.6 (8.0~18.0) years (P = 0.016). And GH
treated patients had more height gain than the untreated patients (P < 0.05).
There was a significant difference between the different GH therapy duration
groups (P = 0.001): GH 0 versus GH 3, P = 0.000; GH 1 versus GH 3, P = 0.028; GH
2 versus GH 3, P = 0.044. Conclusion. Adult Chinese PSIS patients with short
stature benefited the most from at least 12 months of GH therapy. Although
patient diagnosis age was lagged behind in the developing countries, GH treatment
was still effective for them and resulted in a higher final height and more
height gain.
PMID- 27190513
TI - Ghrelin Protects against Dexamethasone-Induced INS-1 Cell Apoptosis via ERK and
p38MAPK Signaling.
AB - Glucocorticoid excess induces apoptosis of islet cells, which may result in
diabetes. In this study, we investigated the protective effect of ghrelin on
dexamethasone-induced INS-1 cell apoptosis. Our data showed that ghrelin (0.1
MUM) inhibited dexamethasone-induced (0.1 MUM) apoptosis of INS-1 cells and
facilitated cell proliferation. Moreover, ghrelin upregulated Bcl-2 expression,
downregulated Bax expression, and decreased caspase-3 activity. The protective
effect of ghrelin against dexamethasone-induced INS-1 cell apoptosis was mediated
via growth hormone secretagogue receptor 1a. Further studies revealed that
ghrelin increased ERK activation and decreased p38MAPK expression after
dexamethasone treatment. Ghrelin-mediated protection of dexamethasone-induced
apoptosis of INS-1 cells was attenuated using the ERK inhibitor U0126 (10 MUM),
and cell viability increased using the p38MAPK inhibitor SB203580 (10 MUM). In
conclusion, ghrelin could protect against dexamethasone-induced INS-1 cell
apoptosis, at least partially via GHS-R1a and the signaling pathway of ERK and
p38MAPK.
PMID- 27190514
TI - Maternal and Fetal Lipid and Adipokine Profiles and Their Association with
Obesity.
AB - Background. Maternal metabolic changes impact fetal metabolism resulting in a
higher risk for developing chronic diseases later in life. The aim of this study
was to assess the association between maternal and fetal adipokine and lipid
profiles, as well as the influence of maternal weight on this association.
Methods. Healthy pregnant women at term who delivered by C-section were enrolled.
Maternal and fetal glucose, lipid profile, adiponectin, leptin, and resistin
levels were analyzed by obesity and maternal weight gain. Statistics included
descriptives, correlations, and mean differences (SPSS v20.0). Results.
Adiponectin and resistin concentrations were higher in fetal blood, while leptin
was lower (p < 0.05). A significant inverse association between maternal resistin
and fetal LDL-cholesterol (LDL-C) (r = -0.327; p = 0.022) was observed. A
positive correlation was found between maternal and fetal resistin (r = 0.358; p
= 0.013). Women with excessive weight gain had higher leptin levels and their
fetuses showed higher LDL-C levels (p < 0.05). Conclusions. Maternal resistin
showed an inverse association with fetal LDL-C, suggesting that maternal
adiposity status may play an active role in the regulation of fetal lipid profile
and consequently, in fetal programming. Excessive maternal weight gain during
pregnancy may exert an effect over metabolic mediators in both mother and
newborn.
PMID- 27190515
TI - Tetrahydro-iso-alpha Acids Antagonize Estrogen Receptor Alpha Activity in MCF-7
Breast Cancer Cells.
AB - Tetrahydro-iso-alpha acids commonly called THIAA or Tetra are modified hop acids
extracted from hop (Humulus lupulus L.) which are frequently used in brewing
industry mainly in order to provide beer bitterness and foam stability.
Interestingly, molecular structure of tetrahydro-iso-alpha acids is close to a
new type of estrogen receptor alpha (ERalpha) antagonists aimed at disrupting the
binding of coactivators containing an LxxLL motif (NR-box). In this work we show
that THIAA decreases estradiol-stimulated proliferation of MCF-7 (ERalpha
positive breast cancer cells). Besides, we show that it inhibits ERalpha
transcriptional activity. Interestingly, this extract fails to compete with
estradiol for ERalpha binding and does not significantly impact the receptor
turnover rate in MCF-7 cells, suggesting that it does not act like classical
antiestrogens. Hence, we demonstrate that THIAA is able to antagonize ERalpha
estradiol-induced recruitment of the LxxLL binding motif.
PMID- 27190516
TI - Minimally Invasive Alveolar Ridge Preservation Utilizing an In Situ Hardening
beta-Tricalcium Phosphate Bone Substitute: A Multicenter Case Series.
AB - Ridge preservation measures, which include the filling of extraction sockets with
bone substitutes, have been shown to reduce ridge resorption, while methods that
do not require primary soft tissue closure minimize patient morbidity and
decrease surgical time and cost. In a case series of 10 patients requiring single
extraction, in situ hardening beta-tricalcium phosphate (beta-TCP) granules
coated with poly(lactic-co-glycolic acid) (PLGA) were utilized as a grafting
material that does not necessitate primary wound closure. After 4 months,
clinical observations revealed excellent soft tissue healing without loss of
attached gingiva in all cases. At reentry for implant placement, bone core
biopsies were obtained and primary implant stability was measured by final
seating torque and resonance frequency analysis. Histological and
histomorphometrical analysis revealed pronounced bone regeneration (24.4 +/- 7.9%
new bone) in parallel to the resorption of the grafting material (12.9 +/- 7.7%
graft material) while high levels of primary implant stability were recorded.
Within the limits of this case series, the results suggest that beta-TCP coated
with polylactide can support new bone formation at postextraction sockets, while
the properties of the material improve the handling and produce a stable and
porous bone substitute scaffold in situ, facilitating the application of
noninvasive surgical techniques.
PMID- 27190517
TI - Cuspal Displacement Induced by Bulk Fill Resin Composite Polymerization:
Biomechanical Evaluation Using Fiber Bragg Grating Sensors.
AB - Polymerization shrinkage is a major concern to the clinical success of direct
composite resin restorations. The aim of this study was to compare the effect of
polymerization shrinkage strain of two resin composites on cuspal movement based
on the use of fiber Bragg grating (FBG) sensors. Twenty standardized Class II
cavities prepared in upper third molars were allocated into two groups (n = 10).
Restorations involved the bulk fill placement of conventional microhybrid resin
composite (Esthet*X(r) HD, Dentsply DeTrey) (Group 1) or flowable "low-shrinkage"
resin composite (SDRTM, Dentsply DeTrey) (Group 2). Two FBG sensors were used per
restoration for real-time measurement of cuspal linear deformation and
temperature variation. Group comparisons were determined using ANCOVA (alpha =
0.05) considering temperature as the covariate. A statistically significant
correlation between cuspal deflection, time, and material was observed (p <
0.01). Cuspal deflection reached 8.8 MUm (0.23%) and 7.8 MUm (0.20%) in Groups 1
and 2, respectively. When used with bulk fill technique, flowable resin composite
SDRTM induced significantly less cuspal deflection than the conventional resin
composite Esthet*X(r) HD (p = 0.015) and presented a smoother curve slope during
the polymerization. FBG sensors appear to be a valid tool for accurate real-time
monitoring of cuspal deformation.
PMID- 27190518
TI - Detection of Extended-Spectrum Beta-Lactamase-Producing Escherichia coli in
Market-Ready Chickens in Zambia.
AB - The frequent administering of antibiotics in the treatment of poultry diseases
may contribute to emergence of antimicrobial-resistant strains. The objective of
this study was to detect the presence of extended-spectrum beta-lactamase- (ESBL
) producing Escherichia coli in poultry in Zambia. A total of 384 poultry samples
were collected and analyzed for ESBL-producing Escherichia coli. The cultured E.
coli isolates were subjected to antimicrobial susceptibility tests and the
polymerase chain reaction for detection of bla CTX-M, bla SHV, and bla TEM genes.
Overall 20.1%, 77/384, (95% CI; 43.2-65.5%) of total samples analyzed contained
ESBL-producing Escherichia coli. The antimicrobial sensitivity test revealed that
85.7% (66/77; CI: 75.7-92) of ESBL-producing E. coli isolates conferred
resistance to beta-lactam and other antimicrobial agents. These results indicate
that poultry is a potential reservoir for ESBL-producing Escherichia coli. The
presence of ESBL-producing Escherichia coli in poultry destined for human
consumption requires strengthening of the antibiotic administering policy. This
is important as antibiotic administration in food animals is gaining momentum for
improved animal productivity in developing countries such as Zambia.
PMID- 27190520
TI - The Reliability of Pharyngeal High Resolution Manometry with Impedance for
Derivation of Measures of Swallowing Function in Healthy Volunteers.
AB - Purpose. We evaluated the intra- and interrater agreement and test-retest
reliability of analyst derivation of swallow function variables based on repeated
high resolution manometry with impedance measurements. Methods. Five subjects
swallowed 10 * 10 mL saline on two occasions one week apart producing a database
of 100 swallows. Swallows were repeat-analysed by six observers using software.
Swallow variables were indicative of contractility, intrabolus pressure, and flow
timing. Results. The average intraclass correlation coefficients (ICC) for intra-
and interrater comparisons of all variable means showed substantial to excellent
agreement (intrarater ICC 0.85-1.00; mean interrater ICC 0.77-1.00). Test-retest
results were less reliable. ICC for test-retest comparisons ranged from slight to
excellent depending on the class of variable. Contractility variables differed
most in terms of test-retest reliability. Amongst contractility variables, UES
basal pressure showed excellent test-retest agreement (mean ICC 0.94), measures
of UES postrelaxation contractile pressure showed moderate to substantial test
retest agreement (mean Interrater ICC 0.47-0.67), and test-retest agreement of
pharyngeal contractile pressure ranged from slight to substantial (mean
Interrater ICC 0.15-0.61). Conclusions. Test-retest reliability of HRIM measures
depends on the class of variable. Measures of bolus distension pressure and flow
timing appear to be more test-retest reliable than measures of contractility.
PMID- 27190519
TI - Strains, Mechanism, and Perspective: Salmonella-Based Cancer Therapy.
AB - Recently, investigation of bacterial-based tumor therapy has regained focus due
to progress in molecular, cellular, and microbial biology. Many bacteria such as
Salmonella, Listeria, Escherichia, and Clostridium have proved to have tumor
targeting and in some cases even tumor-destroying phenotypes. Furthermore,
bacterial clinical treatments for cancer have been improved by combination with
other therapeutic methods such as chemotherapeutic drugs and radioactive agents.
Synthetic biology techniques have also driven the development of new bacterial
based cancer therapies. However, basic questions about the mechanisms of
bacterial-mediated tumor targeting and destruction are still being elucidated. In
this review, we focus on three tumor-therapeutic Salmonella models, the most
intensively studied bacterial genus in this field. One of these Salmonella models
is our Salmonella enterica serovar Typhimurium LT2 derived strain CRC2631,
engineered to minimize toxicity but maximize tumor-targeting and destruction
effects. The other two are VNP20009 and A1-R. We compare the means by which these
therapeutic candidate strain models were selected for study, their tumor
targeting and tumor destruction phenotypes in vitro and in vivo, and what is
currently known about the mechanisms by which they target and destroy tumors.
PMID- 27190521
TI - Large D-Dimer Fluctuation in Normal Pregnancy: A Longitudinal Cohort Study of
4,117 Samples from 714 Healthy Danish Women.
AB - Introduction. D-dimer levels increase throughout pregnancy, hampering the
usefulness of the conventional threshold for dismissing thromboembolism. This
study investigates the biological fluctuation of D-dimer in normal pregnancy.
Methods. A total of 801 healthy women with expected normal pregnancies were
recruited. D-dimer was repeatedly measured during pregnancy, at active labor, and
on the first and second postpartum days. Percentiles for each gestational week
were calculated. Each individual D-dimer was normalized by transformation into
percentiles for the relevant gestational age or delivery group. The range in
percentage points during the pregnancy and the delivery was calculated, and
reference intervals were calculated for each pregnancy trimester, during vaginal
delivery and scheduled and emergency cesarean section, and for the first and
second day postpartum. Results. D-dimer increased during pregnancy; the maximal
fluctuation was approximately 20 percentile points in approximately half of the
women. In one out of ten women, the D-dimer values fluctuated by more than 50
percentile points. Conclusions. Due to the biological variation in D-dimer within
each individual woman during normal pregnancy, repeated D-dimer measurements are
of no clinical use in the evaluation of thromboembolic events during pregnancy.
PMID- 27190522
TI - Clinicopathological Significance of Vimentin and Cytokeratin Protein in the
Genesis of Squamous Cell Carcinoma of Cervix.
AB - Cervical cancer is one of the commonest types of cancers worldwide especially in
developing countries. Intermediate filaments protein family has shown a role in
the diagnosis of various cancers, but a few studies are available about the
vimentin and cytokeratin roles in the cervical cancer. This case control study
aimed to interpret the expression of vimentin and cytokeratin proteins in the
development and progression of cervical cancer and its correlation with
clinicopathological features. The cytoplasmic expression of vimentin was observed
in 40% of cases, but not in inflammatory lesions of cervix. It was noticed that
vimentin expression was increasing significantly with high grade of the tumour.
Cytokeratin expression was observed in 48.33% and it was noticed that the
expression was 62.5% in well differentiated (G1), 45% in moderately
differentiated (G2), and 41.66% in poorly differentiated carcinoma, yet
statistically insignificant. The expression of vimentin and cytokeratin proteins
was not significantly associated with age groups. The current findings concluded
a possible role of vimentin in the development and progression of cervical cancer
and vimentin marker will be useful in the diagnosis and grading of cervical
cancer.
PMID- 27190523
TI - Multilayer Membranes of Glycosaminoglycans and Collagen I Biomaterials Modulate
the Function and Microvesicle Release of Endothelial Progenitor Cells.
AB - Multilayer composite membrane of biomaterials can increase the function of
adipose stem cells or osteoprogenitor cells. Recent evidence indicates
endothelial progenitor cells (EPCs) and EPCs released microvesicles (MVs) play
important roles in angiogenesis and vascular repair. Here, we investigated the
effects of biomaterial multilayer membranes of hyaluronic acid (HA) or
chondroitin sulfate (CS) and Collagen I (Col I) on the functions and MVs release
of EPCs. Layer-by-layer (LBL) technology was applied to construct the multilayer
composite membranes. Four types of the membranes constructed by adsorbing either
HA or CS and Col I alternatively with different top layers were studied. The
results showed that all four types of multilayer composite membranes could
promote EPCs proliferation and migration and inhibit cell senility, apoptosis,
and the expression of activated caspase-3. Interestingly, these biomaterials
increased the release and the miR-126 level of EPCs-MVs. Moreover, the CS-Col I
membrane with CS on the top layer showed the most effects on promoting EPCs
proliferation, EPCs-MV release, and miR-126 level in EPCs-MVs. In conclusion,
HA/CS and Collagen I composed multilayer composite membranes can promote EPCs
functions and release of miR-126 riched EPCs-MVs, which provides a novel strategy
for tissue repair treatment.
PMID- 27190524
TI - Lymphoid Tissue Mesenchymal Stromal Cells in Development and Tissue Remodeling.
AB - Secondary lymphoid organs (SLOs) are sites that facilitate cell-cell interactions
required for generating adaptive immune responses. Nonhematopoietic mesenchymal
stromal cells have been shown to play a critical role in SLO function,
organization, and tissue homeostasis. The stromal microenvironment undergoes
profound remodeling to support immune responses. However, chronic inflammatory
conditions can promote uncontrolled stromal cell activation and aberrant tissue
remodeling including fibrosis, thus leading to tissue damage. Despite recent
advancements, the origin and role of mesenchymal stromal cells involved in SLO
development and remodeling remain unclear.
PMID- 27190526
TI - The Effect of Exclusive Breastfeeding on Hospital Stay and Morbidity due to
Various Diseases in Infants under 6 Months of Age: A Prospective Observational
Study.
AB - Background. Mother's milk is the best for the babies. Protective and preventive
role of breast milk was evaluated in this study by assessing the relation of type
of feeding and duration of hospital stay or morbidity. Methods. This prospective
study was conducted in a tertiary care hospital and 232 infants in the age group
of 14 weeks to 6 months formed the sample. There are two groups of infants, that
is, one for breastfed and one for top fed infants. Statistical analysis was done
and results were calculated up to 95% to 99% level of significance to find effect
of feeding pattern on hospital stay due to various diseases and morbidity.
Results. Prolonged hospital stay, that is, >7 days, was lesser in breastfed
infants and results were statistically significant in case of gastroenteritis (p
value < 0.001), bronchopneumonia (p value = 0.0012), bronchiolitis (p value =
0.005), otitis media (p value = 0.003), and skin diseases (p value = 0.047).
Lesser morbidity was seen in breastfed infants with gastroenteritis (p value
0.0414), bronchopneumonia (p value 0.03705), bronchiolitis (p value 0.036706),
meningitis (p value 0.043), and septicemia (p value 0.04). Conclusions. Breastfed
infants have shorter hospital stay and lesser morbidity in regard to various
diseases as compared to top fed infants.
PMID- 27190525
TI - Magnitude of Neglected Tropical Diseases in Indonesia at Postmillennium
Development Goals Era.
AB - The world will enter the postmillennium development goals 2015 era. The
achievements of the millennium development goals (MDGs) as a global development
target need to be evaluated. A sustainable new reasonable target is important for
neglected tropical diseases (NTD) elimination in Indonesia. This review describes
the NTD situation in Indonesia and highlights problems beneath the NTD
transmission. Multidisciplinary approach is a promising strategy to help the
marginalized people.
PMID- 27190527
TI - Anaphylaxis to IGIV in immunoglobulin-naive common variable immunodeficiency
patient in the absence of IgG anti-IgA antibodies: successful administration of
low IgA-containing immunoglobulin.
AB - Although severe reactions to immunoglobulin preparations have been frequently
reported, IgE antibodies against IgA are usually not investigated; and occur
predominantly in previously sensitized patients. The purpose is to report
anaphylaxis to IGIV during initial infusion in a patient with common variable
immunodeficiency with absent IgA without prior sensitization and in the absence
of detectable IgG anti-IgA antibodies, and positive skin tests for immediate
hypersensitivity to four different preparations of IGIV, one subcutaneous
immunoglobulin preparation, and to purified IgA. Patient was treated without side
effects with IGIV preparation depleted of IgA to which immediate hypersensitivity
skin test was negative. This case demonstrates that patients with CVID with no
IgA and without prior exposure to immunoglobulin or plasma may develop
anaphylaxis following initial infusion of IGIV, which appears to be due to IgE
anti-IgA, and independent of IgG anti-IgA antibodies. Since there is no good
correlation between anaphylaxis/anaphylactic reactions and IgG anti-IgA
antibodies, and IgE anti-IgA antibody test is commercially unavailable, we
suggest that the patients with CVID with absence of IgA might be skin tested for
immediate hypersensitivity prior to initiation of immunoglobulin administration.
However, such recommendation may require studies on a large number of patients
with CVID with no detectable IgA.
PMID- 27190528
TI - Methanolic Extract of Clinacanthus nutans Exerts Antinociceptive Activity via the
Opioid/Nitric Oxide-Mediated, but cGMP-Independent, Pathways.
AB - The objectives of the present study were to determine the mechanisms of
antinociceptive effect of methanol extract of Clinacanthus nutans (Acanthaceae)
leaves (MECN) using various animal nociceptive models. The antinociceptive
activity of orally administered 10% DMSO, 100 mg/kg acetylsalicylic acid (ASA), 5
mg/kg morphine, or MECN (100, 250, and 500 mg/kg) was determined using the acetic
acid-induced abdominal constriction (ACT), formalin-induced paw licking (FT), and
hot plate tests (HPT). The role of opioid and nitric oxide/cyclic guanosine
monophosphate (NO/cGMP) systems was also investigated. The results showed that
MECN produced a significant (p < 0.05) antinociceptive response in all
nociceptive models with the recorded ED50 value of 279.3 mg/kg for the ACT,
while, for the early and late phases of the FT, the value was >500 mg/kg or 227.7
mg/kg, respectively. This antinociceptive activity was fully antagonized by
naloxone (a nonselective opioid antagonist) but was partially reversed by l
arginine (l-arg; a nitric oxide [NO] precursor), Nomega-nitro-l-arginine methyl
ester hydrochloride (l-NAME; an NO synthase inhibitor), or their combinations
thereof. In contrast, 1H-[1,2,4]oxadiazole[4,3-a]quinoxalin-1-one (ODQ; a soluble
guanylyl cyclase inhibitor) enhanced the extract's antinociception. UHPLC
analysis revealed the presence of several flavonoid-based compounds with
antinociceptive action. In conclusion, MECN exerted the peripherally and
centrally mediated antinociceptive activity via the modulation of the opioid/NO
mediated, but cGMP-independent, systems.
PMID- 27190530
TI - Evaluation of Medicinal Categorization of Atractylodes japonica Koidz. by Using
Internal Transcribed Spacer Sequencing Analysis and HPLC Fingerprinting Combined
with Statistical Tools.
AB - Atractylodes rhizomes have been used as the herbal medicine "Changchul" or
"Baekchul," according to their clinical purpose, in Korea, China, and Japan.
Among the Atractylodes species, the medicinal use of Atractylodes japonica has
been controversial, as it is categorized as both Changchul and Baekchul in those
countries, and, moreover, parts of the rhizome have been differently used,
depending on age of the plant, in Korea. Chromatographic fingerprinting by using
HPLC combined with chemometric analyses and internal transcribed spacer (ITS)
sequencing analysis were conducted to classify and identify 34 crude drugs
derived from Atractylodes rhizomes. The identification of the samples,
authenticated by their morphological features as A. japonica Koidz. (Changchul
and Baekchul), A. chinensis Koidz., and A. macrocephala Koidz., was confirmed as
A. japonica, A. chinensis, and A. macrocephala by ITS sequencing. The results
from chemometric analyses showed that the chemical components of the crude drugs
from A. japonica were significantly different from those from A. macrocephala but
were similar to those from A. chinensis. The analyses also suggested that the
categorization by age of A. japonica as Changchul or Baekchul is not recommended.
The results indicate that A. japonica should be categorized as "Changchul" and
should not be further categorized by age.
PMID- 27190529
TI - Benefits of Whole-Body Vibration, as a Component of the Pulmonary Rehabilitation,
in Patients with Chronic Obstructive Pulmonary Disease: A Narrative Review with a
Suitable Approach.
AB - Background. Appropriate management, including pulmonary rehabilitation,
associated with correct diagnosis of chronic obstructive pulmonary disease (COPD)
in patients can contribute to improving clinical conditions of these patients.
Physical activity is recommended for COPD patients. Whole-body vibration (WBV) is
a modality of physical activity. Putting together the biological effects and safe
use of WBV, it may be a potentially feasible intervention to add to pulmonary
rehabilitation. The purpose of this investigation was to systematically review
studies regarding the effects of WBV, as a component of the pulmonary
rehabilitation, in patients with COPD. Results. A total of six publications met
inclusion for review. There was evidence to support the beneficial use of WBV to
improve functional performance of the lower limbs and quality of life. However,
the appropriateness of and descriptors of WBV methods were poorly described.
Conclusions. The results of this review support the use of WBV as a component of
pulmonary rehabilitation to assist management of patients with COPD. However,
future research should examine the dose-response curve and optimal dosing regimen
of WBV according to standard reporting recommendations for people with COPD. Such
an approach will allow comparison among studies and the potential of meta
analysis of randomized controlled trials.
PMID- 27190532
TI - Clinical Experiences of Korean Medicine Treatment against Urinary Bladder Cancer
in General Practice.
AB - Urinary bladder cancer (UBC) is one of the most common cancers, with 1 out of
every 26 men and 1 out of every 80 women worldwide developing the disease during
their lifetime. Moreover, it is a disease that predominantly affects the elderly
and is becoming a major health problem as the elderly population continues to
rapidly increase. In spite of the rapid development of medical science, the 5
year survival rate has remained around 75% since the 1990s, and the FDA has
approved no new drugs for UBC over the last 10 years. In addition, most patients
experience frequent recurrence and poor quality of life after diagnosis.
Therefore, in order to solve unmet needs by alternative methods, we present our
clinical cases of UBC where we observed outstanding results including regression
and recurrence prevention exclusively through Traditional Korean Medicine such as
(1) herbal therapy, (2) acupuncture, (3) pharmacopuncture and needle-embedding
therapy, (4) moxibustion, and (5) cupping therapy. From our experience, it
appears that multimodal strategies for synergistic efficiency are more effective
than single Korean Medicine treatment. We hope this will encourage investigation
of the efficacy of Korean Medicine treatment in clinical trials for UBC patients.
PMID- 27190533
TI - Endogenous L-Carnosine Level in Diabetes Rat Cardiac Muscle.
AB - A novel method for quantitation of cardiac muscle carnosine levels using HPLC-UV
is described. In this simple and reliable method, carnosine from the rat cardiac
muscle and the internal standard, thymopentin, were extracted by protein
precipitation with acetonitrile. The method was linear up to 60.96 MUg.mL(-1) for
L-carnosine. The calibration curve was linear in concentration ranges from 0.5 to
60.96 MUg.mL(-1). The relative standard deviations obtained for intra- and
interday precision were lower than 12% and the recoveries were higher than 90%
for both carnosine and internal standard. We successfully applied this method to
the analysis of endogenous carnosine in cardiac muscle of the diabetes rats and
healthy control rats. The concentration of carnosine was significantly lower in
the diabetes rats group, compared to that in the healthy control rats. These
results support the usefulness of this method as a means of quantitating
carnosine and illustrate the important role of L-carnosine in cardiac muscle.
PMID- 27190531
TI - Chinese Herbal Medicine as Adjunctive Therapy to Chemotherapy for Breast Cancer:
A Systematic Review and Meta-Analysis.
AB - Chinese herbal medicine (CHM) has been increasingly employed during therapy for
breast cancer, but its efficacy remains a matter of debate. This systematic
review examined randomized controlled trials to provide a critical evaluation of
this treatment. The results demonstrated that the combined use of CHM with
chemotherapy may improve the immediate tumor response and reduce chemotherapy
associated adverse events. Our findings highlight the poor quality of Chinese
studies, and additional well-designed randomized controlled trials addressing the
role of CHM are warranted. The lack of molecular-based evidence for CHM and Zheng
has resulted in a limited understanding and acceptance of CHM and traditional
Chinese medicine in Western countries. We believe that researchers should
immediately explore a CHM-based cure, and CHM should be applied to routine care
as soon as conclusive data are available.
PMID- 27190534
TI - The Common Prescription Patterns Based on the Hierarchical Clustering of Herb
Pairs Efficacies.
AB - Prescription patterns are rules or regularities used to generate, recognize, or
judge a prescription. Most of existing studies focused on the specific
prescription patterns for diverse diseases or syndromes, while little attention
was paid to the common patterns, which reflect the global view of the
regularities of prescriptions. In this paper, we designed a method CPPM to find
the common prescription patterns. The CPPM is based on the hierarchical
clustering of herb-pair efficacies (HPEs). Firstly, HPEs were hierarchically
clustered; secondly, the individual herbs are labeled by the HPEC (the clusters
of HPEs); and then the prescription patterns were extracted from the combinations
of HPEC; finally the common patterns are recognized statistically. The results
showed that HPEs have hierarchical clustering structure. When the clustering
level is 2 and the HPEs were classified into two clusters, the common
prescription patterns are obvious. Among 332 candidate prescriptions, 319
prescriptions follow the common patterns. The description of the patterns is that
if a prescription contains the herbs of the cluster (C 1), it is very likely to
have other herbs of another cluster (C 2); while a prescription has the herbs of
C 2, it may have no herbs of C 1. Finally, we discussed that the common patterns
are mathematically coincident with the Blood-Qi theory.
PMID- 27190535
TI - Characterization of the Physiological Response following In Vivo Administration
of Astragalus membranaceus.
AB - The botanical, Astragalus membranaceus, is a therapeutic in traditional Chinese
medicine. Limited literature exists on the overall in vivo effects of A.
membranaceus on the human body. This study evaluates the physiological responses
to A. membranaceus by measuring leukocyte, platelet, and cytokine responses as
well as body temperature and blood pressure in healthy individuals after the in
vivo administration of A. membranaceus. A dose-dependent increase in monocytes,
neutrophils, and lymphocytes was measured 8-12 hours after administration and an
increase in the number of circulating platelets was seen as early as 4 hours. A
dynamic change in the levels of circulating cytokines was observed, especially in
interferon-gamma and tumor necrosis factor-alpha, IL-13, IL-6, and soluble IL-2R.
Subjective symptoms reported by participants were similar to those typically
experienced in viral type immune responses and included fatigue, malaise, and
headache. Systolic and diastolic blood pressure were reduced within 4 hours after
administration, while body temperature mildly increased within 8 hours after
administration. In general, all responses returned to baseline values by 24
hours. Collectively, these results support the role of A. membranaceus in priming
for a potential immune response as well as its effect on blood flow and wound
healing.
PMID- 27190536
TI - Effects of Zusanli and Ashi Acupoint Electroacupuncture on Repair of Skeletal
Muscle and Neuromuscular Junction in a Rabbit Gastrocnemius Contusion Model.
AB - Objective. To explore the effects of electroacupuncture (EA) at ST36 (EA-ST36)
and at Ashi acupoints (EA-Ashi) on skeletal muscle repair. Methods. Seventy-five
rabbits were randomly divided into five groups: normal, contusion, EA-Ashi, EA
ST36, and EA at Ashi acupoints and ST36 (EA-AS). EA (0.4 mA, 2 Hz, 15 min) was
applied after an acute gastrocnemius contusion. The morphology of myofibers and
neuromuscular junctions (NMJs) and expressions of growth differentiation factor-8
(GDF-8), acetylcholinesterase (AChE), Neuregulin 1 (NGR1), and muscle-specific
kinase (MuSK) were assessed 7, 14, and 28 days after contusion. Results. Compared
with that in contusion group, there was an increase in the following respective
parameters in treatment groups: the number and diameter of myofibers, the mean
staining area, and continuities of NMJs. A comparison of EA-Ashi and EA-ST36
groups indicated that average myofiber diameter, mean staining area of NMJs, and
expressions of AChE and NRG1 were higher in EA-Ashi group, whereas expression of
GDF-8 decreased on day 7. However, increases in myofiber numbers, expressions of
MuSK and AChE, as well as decreases in GDF-8 expression, and the discontinuities
were observed in EA-ST36 group on the 28th day. Conclusion. Both EA-ST36 and EA
Ashi promoted myofiber regeneration and restoration of NMJs. EA-Ashi was more
effective at earlier stages, whereas EA-ST36 played a more important role at
later stages.
PMID- 27190537
TI - Synergism of Chinese Herbal Medicine: Illustrated by Danshen Compound.
AB - The primary therapeutic effects of Chinese herbal medicine (CHM) are based on the
properties of each herb and the strategic combination of herbs in formulae. The
herbal formulae are constructed according to Chinese medicine theory: the
"Traditional Principles for Constructing Chinese Herbal Medicinal Formulae" and
the "Principles of Combining Medicinal Substances." These principles of
formulation detail how and why multiple medicinal herbs with different properties
are combined together into a single formula. However, the concept of herbal
synergism in CHM still remains a mystery due to lack of scientific data and
modern assessment methods. The Compound Danshen Formula (CDF) is a validated
formula that has been used to treat a variety of diseases for hundreds of years
in China and other countries. The CDF will be employed to illustrate the theory
and principle of Chinese herbal medicine formulation. The aim of this review is
to describe how Chinese herbal medicinal formulae are constructed according to
Chinese medicine theory and to illustrate with scientific evidence how Chinese
herbs work synergistically within a formula, thereby supporting Chinese medicine
theory and practice.
PMID- 27190538
TI - Ex Vivo Stromal Cell-Derived Factor 1-Mediated Differentiation of Mouse Bone
Marrow Mesenchymal Stem Cells into Hepatocytes Is Enhanced by Chinese Medicine
Yiguanjian Drug-Containing Serum.
AB - Yiguanjian is administered in traditional Chinese medicine for liver diseases and
has been demonstrated to reduce liver fibrosis. This study investigated the
effect of Yiguanjian drug-containing serum (YGJ) with Stromal Cell-Derived Factor
1 (SDF-1) and Hepatocyte Growth Factor (HGF) on the differentiation of murine
bone-marrow-derived mesenchymal cells (BM-MSCs) into hepatocytes in vitro.
Adherent MSCs were isolated from murine bone marrow. Differentiation was induced
by 20 ng/mL HGF, 50 ng/mL SDF-1, and 20% Yiguanjian drug-containing serum for 7
to 28 days, and mature hepatocytes' marker albumin (ALB) and cholangiocytes'
marker cytokeratin-18 (CK-18) were assessed by immunocytochemistry and western
blot. BM-MSCs exhibited homogeneous spindle shape growth after subculture and
stained positive for CD90 and negative for CD34. After induction with HGF +
normal serum or YGJ for 14 days, HGF + SDF-1 + normal serum for 7 days, or HGF +
SDF-1 + YGJ for 5 days, MSCs' morphology changed gradually and begun to resemble
hepatocyte-like cells. Cultures supplemented with HGF + SDF-1 + YGJ contained
significantly higher proportions of ALB and CK-18 positive cells than cultures
supplemented with HGF + SDF-1 + normal serum at day 7. These observations
corroborated the results of western blot. In conclusion, Yiguanjian drug
containing serum could facilitate the differentiation of murine BM-MSCs into
hepatocytes in vitro and has a synergistic effect with SDF-1 and HGF.
PMID- 27190540
TI - Composition Analysis and Inhibitory Effect of Sterculia lychnophora against
Biofilm Formation by Streptococcus mutans.
AB - Pangdahai is a traditional Chinese drug, specifically described in the Chinese
Pharmacopoeia as the seeds of Sterculia lychnophora Hance. Here, we separated S.
lychnophora husk and kernel, analyzed the nutrient contents, and investigated the
inhibitory effects of S. lychnophora ethanol extracts on cariogenic properties of
Streptococcus mutans, important bacteria in dental caries and plaque formation.
Ethanol extracts of S. lychnophora showed dose-dependent antibacterial activity
against S. mutans with significant inhibition at concentrations higher than 0.01
mg/mL compared with the control group (p < 0.05). Furthermore, biofilm formation
was decreased by S. lychnophora at concentrations > 0.03 mg/mL, while bacterial
viability was decreased dose-dependently at high concentrations (0.04, 0.08,
0.16, and 0.32 mg/mL). Preliminary phytochemical analysis of the ethanol extract
revealed a strong presence of alkaloid, phenolics, glycosides, and peptides while
the presence of steroids, terpenoids, flavonoids, and organic acids was low. The
S. lychnophora husk had higher moisture and ash content than the kernel, while
the protein and fat content of the husk were lower (p < 0.05) than those of the
kernel. These results indicate that S. lychnophora may have antibacterial effects
against S. mutans, which are likely related to the alkaloid, phenolics,
glycosides, and peptides, the major components of S. lychnophora.
PMID- 27190541
TI - The Effects of Xiangqing Anodyne Spray on Treating Acute Soft-Tissue Injury
Mainly Depend on Suppressing Activations of AKT and p38 Pathways.
AB - Objectives. In the present study we try to elucidate the mechanism of Xiangqing
anodyne spray (XQAS) effects on acute soft-tissue injury (STI). Methods. Acute
STI model was established by hammer blow in the rat hind leg muscle. Within 8
hours, instantly after modeling and per 2-hour interval repeated topical
applications with or without XQAS, CP or IH ethanol extracts spray (CPS and IHS)
were performed, respectively; muscle swelling rate and inflammation-related
biochemical parameters, muscle histological observation, and mRNA and protein
expression were then examined. Results. XQAS dose-dependently suppressed STI
caused muscle swelling, proinflammatory mediator productions, and oxidative
stress as well as severe pathological changes in the injured muscle tissue.
Moreover, CPS mainly by blocking p38 activation while IHS majorly by blocking AKT
activation led to cytoplastic IkappaBalpha degradation with NF-kappaB p65
translocated into the nucleus. There are synergistic effects between CP and IH
components in the XQAS on preventing from acute STI with suppressing IkappaBalpha
degradation, NF-kappaB p65 translocation, and subsequent inflammation and
oxidative stress-related abnormality. Conclusion. Marked effects of XQAS on
treating acute STI are ascribed to strong anti-inflammatory and antioxidative
actions with a reasonable combination of CP active components, blocking p38-NF
kappaB pathway activated, and IH active components, blocking AKT-NF-kappaB
pathway activated.
PMID- 27190542
TI - Acupuncture for Chronic Urinary Retention due to Spinal Cord Injury: A Systematic
Review.
AB - No systematic review has been published on the use of acupuncture for the
treatment of chronic urinary retention (CUR) due to spinal cord injury (SCI). The
aim of this review was to assess the effectiveness and safety of acupuncture for
CUR due to SCI. Three randomized controlled trials (RCTs) including 334 patients
with CUR due to SCI were included. Meta-analysis showed that acupuncture plus
rehabilitation training was much better than rehabilitation training alone in
decreasing postvoid residual (PVR) urine volume (MD -109.44, 95% CI -156.53 to
62.35). Likewise, a combination of acupuncture and aseptic intermittent
catheterization was better than aseptic intermittent catheterization alone in
improving response rates (RR 1.23, 95% CI 1.10 to 1.38). No severe adverse events
were reported. In conclusion, acupuncture as a complementary therapy may have a
potential effect in CUR due to SCI in decreasing PVR and improving bladder
voiding. Additionally, acupuncture may be safe in treating CUR caused by SCI.
However, due to the lack of high quality RCTs, we could not draw any definitive
conclusions. More well-designed RCTs are needed to provide strong evidence.
PMID- 27190543
TI - Chemical Constituents from Daphne giraldii Nitsche and Their Contents
Simultaneous Determination by HPLC.
AB - Daphne giraldii Nitsche (Thymelaeaceae) is widely distributed in the Chinese
provinces of Shaanxi, Gansu, and Qinghai, which has been used in Chinese folk
medicine to treat ache and rheumatism. Pharmacologic tests have revealed that the
plant has anti-inflammatory, analgesic, and anticancer activities. However, there
is still not enough systemic investigation on the chemical constituents and the
method for the contents simultaneous determination in D. giraldii. Therefore, the
isolation and characterization of the compounds from the stem barks of this plant
were reported. Moreover, a facile, accurate, and reliable method has been
developed and validated for their simultaneous determination using HPLC-DAD.
PMID- 27190539
TI - The Relieving Effects of BrainPower Advanced, a Dietary Supplement, in Older
Adults with Subjective Memory Complaints: A Randomized, Double-Blind, Placebo
Controlled Trial.
AB - Subjective memory complaints (SMCs) are common in older adults that can often
predict further cognitive impairment. No proven effective agents are available
for SMCs. The effect of BrainPower Advanced, a dietary supplement consisting of
herbal extracts, nutrients, and vitamins, was evaluated in 98 volunteers with
SMCs, averaging 67 years of age (47-88), in a randomized, double-blind, placebo
controlled trial. Subjective hypomnesis/memory loss (SML) and
attention/concentration deficits (SAD) were evaluated before and after 12-week
supplementation of BrainPower Advanced capsules (n = 47) or placebo (n = 51),
using a 5-point memory questionnaire (1 = no/slight, 5 = severe). Objective
memory function was evaluated using 3 subtests of visual/audio memory,
abstraction, and memory recall that gave a combined total score. The BrainPower
Advanced group had more cases of severe SML (severity ? 3) (44/47) and severe SAD
(43/47) than the placebo group (39/51 and 37/51, < 0.05, < 0.05, resp.) before
the treatment. BrainPower Advanced intervention, however, improved a greater
proportion of the severe SML (29.5%)(13/44) (P < 0.01) and SAD (34.9%)(15/43)(P <
0.01) than placebo (5.1% (2/39) and 13.5% (5/37), resp.). Thus, 3-month
BrainPower Advanced supplementation appears to be beneficial to older adults with
SMCs.
PMID- 27190544
TI - Prevalence of HIV and hepatitis B coinfection in Ghana: a systematic review and
meta-analysis.
AB - BACKGROUND: Human immunodeficiency virus (HIV) and hepatitis B virus (HBV)
coinfection has been associated with higher morbidity and mortality and may
impact significantly on healthcare resource utilization. However, in Ghana,
accurate estimates of the prevalence of HIV/HBV coinfection needed to inform
policy decisions and the design of public health interventions are currently
lacking. In this study, our aim was to determine the HIV/HBV coinfection
prevalence rate in Ghana. METHODS: Primary studies reporting prevalence of
HIV/HBV coinfection in Ghana were retrieved through searches conducted in PubMed,
science direct, Google scholar and Africa journals online (AJOL) databases. The
websites of the Ministry of Health and Ghana Health Service were also searched
for related reports or reviews. Additionally, the online repository of two
leading Ghanaian universities were searched to identify unpublished thesis
related to the subject. All online searches were conducted between 01/03/2016 and
12/03/2016. Further searches were conducted through reference screening of
retrieved papers. RESULTS: Twelve (12) studies published between 1999 and 2016
and conducted across seven (7) regions of Ghana were included in this review. The
three (3) regions with no studies' representation were Upper East, Upper West and
Central regions. The 12 included studies involved a total of 8162 HIV patients.
The reported HIV/HBV coinfection prevalence rates ranged from 2.4 to 41.7 %. The
pooled HIV/HBV coinfection prevalence rate was determined as 13.6 % (95 % CI 10.2
16.8 %; P < 0.001). CONCLUSIONS: In Ghana, about one in seven HIV patients may be
also be chronically infected with HBV. Preventive interventions and strategic
policy directions including systematic screening of all newly diagnosed HIV cases
for coinfection will be needed, so as to improve management strategies for HBV
infection and antiretroviral therapy (ART) implementation.
PMID- 27190545
TI - Human serum prebeta1-high density lipoprotein levels are independently and
negatively associated with coronary artery diseases.
AB - BACKGROUND: Serum prebeta1-high density lipoprotein (prebeta1-HDL) was defined by
two-dimensional non-denaturing linear gel electrophoresis and apolipoprotein A-I
immuno-blotting. Serum prebeta1-HDL seems to play an important role in reverse
cholesterol transport, a well-known anti-atherosclerosis process. However, there
are still debatable questions for its quantification and coronary artery disease
(CAD) relevance. METHODS: We isolated the prebeta1-HDL using a new native
polyacrylamide gel electrophoresis (PAGE) system and lipid pre-staining serum. We
established a two-demensional gel electrophoresis system. RESULTS: We measured
the prebeta1-HDL in Tangier disease patients and subjects with cholesterol ester
transfer protein (CETP) mutation. The prebeta1-HDL is clearly separated from
lipid-free apoA-I monomer and cannot be converted into other HDL particles under
lecithin-cholesterol acyltransferase (LCAT) inhibition. This prebeta1-HDL is a
spheroidal particle with the highest apoA-1/cholesterol ratio and highest density
(>=1.21 g/ml), as compared with all other HDLs. Importantly, we found that serum
from subjects with Tangier disease or with cholesterol ester transfer protein
(CETP) mutation have no detectible prebeta1-HDL particles. We recruited a total
of 102 subjects underwent diagnostic coronary angiography and measured their
prebeta1-HDL levels. Among them, 56 had no stenosis of coronary artery and 46
were diagnosed as CAD, which was predefined as the presence of a luminal diameter
stenosis >=50 % in at least 1 major coronary artery territory. We found that
prebeta1-HDL is independently and negatively associated with the severity of the
coronary artery stenosis (Gensini score). CONCLUSION: We established a novel and
simple method for human serum prebeta1-HDL quantification. We found that human
lower prebeta1-HDL is an independent predictor for severer coronary artery
stenosis.
PMID- 27190546
TI - Knowledge, attitudes and determinants of exclusive breastfeeding practice among
Ghanaian rural lactating mothers.
AB - BACKGROUND: The practice of exclusive breastfeeding (EBF) is influenced by
maternal knowledge and attitudes as well as socio-demographic and cultural
factors. This study assessed knowledge, attitudes and practice of EBF among rural
lactating mothers with infants aged 0-6 months. Factors associated to the
practice of EBF were also investigated. METHODS: This cross-sectional study was
conducted among 190 rural lactating mothers with infants aged 0-6 months seeking
postnatal care at a health centre in Ghana. All data was collected using a
questionnaire that contained both closed and open ended questions. RESULTS: About
26 % (n = 50) of the mothers were unable to correctly define EBF. The majority
(92.6 %, n = 176) of the mothers said they felt good to EBF for 6 months, to
breastfed on demand (99.5 %, n = 189) and did not have difficulties EBF (90 %, n
= 171). Despite the generally positive attitude towards EBF, 42 % (n = 79) of the
mothers did not EBF their babies. These mothers did not practice EBF because they
misunderstood certain signs of the child to mean wanting to eat food or drink
water, regarded breastmilk to be inadequate to meet the nutritional needs of the
child and misunderstood healthcare professionals' EBF advice. Higher maternal
education was associated with higher likelihood of EBF (OR 3.5; 95 % CI 1.6, 7.7;
p = 0.002). Mothers whose babies were younger than 3 months were more likely to
EBF (OR 12.0; 95 % CI 4.4, 32.5; p < 0.001) than those having babies aged >= 3
months. Furthermore, higher knowledge of EBF was associated with the likelihood
of EBF (OR 5.9; 95 % CI 2.6, 13.3; p < 0.001). CONCLUSION: Mothers' knowledge and
attitudes towards EBF were favourable but practice of EBF was suboptimal. This
study adds additional evidence that knowledge of EBF, child's age and maternal
level of education are important determinants of the practice of EBF. Beyond
dissemination of health messages, healthcare professionals should pay more
counselling attention to less educated mothers, and also older children's
caregivers.
PMID- 27190547
TI - Factors associated with prelacteal feeding in North Eastern Ethiopia: A community
based cross-sectional study.
AB - BACKGROUND: In spite of the negative impact of prelacteal feeding on the growth
and development of children, it is widely practiced in Ethiopia. This study aimed
to assess prelacteal feeding practices and associated factors among mothers of
children aged less than 24 months in the North Wello zone. METHODS: A
quantitative community based cross-sectional study was employed during March
2015. Eight hundred and forty four (844) mother-child pairs were selected by
multi-stage sampling technique. Data were collected by face-to-face interview.
Descriptive statistics, binary and multiple logistic regression analyses were
employed to identify factors associated with prelacteal feeding practice.
Variables with a p-value <0.05 were identified as statistically significant
factors. RESULTS: The prevalence of prelacteal feeding was 11.1 % (95 %
confidence interval [CI]: 9.0, 13.0). Colostrum discarding (adjusted odds ratio
[AOR]: 8.7; 95 % CI (3.8, 20.1)) and lack of counseling about breastfeeding (AOR:
2.6; 95 % CI 1.27, 5.4) were the factors associated with prelacteal feeding. The
major reasons stated for providing prelacteal feeds were "culture" and "do not
have enough milk". CONCLUSION: Prelacteal feeds are offered to nearly one child
in every ten in the North Wello zone. Colostrum removal and lack of counseling on
breastfeeding at antenatal care visit are important positive predictors of
prelacteal feeding practice. Awareness of the risks associated with prelacteal
feeding, promotion of counseling on breastfeeding and the health benefit of
colostrum during antenatal care visits are recommended interventions to reduce
prelacteal feeding practices in the study areas.
PMID- 27190548
TI - Mathematical Model of Three Age-Structured Transmission Dynamics of Chikungunya
Virus.
AB - We developed a new age-structured deterministic model for the transmission
dynamics of chikungunya virus. The model is analyzed to gain insights into the
qualitative features of its associated equilibria. Some of the theoretical and
epidemiological findings indicate that the stable disease-free equilibrium is
globally asymptotically stable when the associated reproduction number is less
than unity. Furthermore, the model undergoes, in the presence of disease induced
mortality, the phenomenon of backward bifurcation, where the stable disease-free
equilibrium of the model coexists with a stable endemic equilibrium when the
associated reproduction number is less than unity. Further analysis of the model
indicates that the qualitative dynamics of the model are not altered by the
inclusion of age structure. This is further emphasized by the sensitivity
analysis results, which shows that the dominant parameters of the model are not
altered by the inclusion of age structure. However, the numerical simulations
show the flaw of the exclusion of age in the transmission dynamics of chikungunya
with regard to control implementations. The exclusion of age structure fails to
show the age distribution needed for an effective age based control strategy,
leading to a one size fits all blanket control for the entire population.
PMID- 27190549
TI - A Novel Automatic Rapid Diagnostic Test Reader Platform.
AB - A novel automatic Rapid Diagnostic Test (RDT) reader platform is designed to
analyze and diagnose target disease by using existing consumer cameras of a
laptop-computer or a tablet. The RDT reader is useable with numerous lateral
immunochromatographic assays and similar biomedical tests. The system has two
different components, which are 3D-printed, low-cost, tiny, and compact stand and
a decision program named RDT-AutoReader 2.0. The program takes the image of RDT,
crops the region of interest (ROI), and extracts the features from the control
end test lines to classify the results as invalid, positive, or negative. All
related patient's personal information, image of ROI, and the e-report are
digitally saved and transferred to the related clinician. Condition of the
patient and the progress of the disease can be monitored by using the saved data.
The reader platform has been tested by taking image from used cassette RDTs of
rotavirus (RtV)/adenovirus (AdV) and lateral flow strip RDTs of Helicobacter
pylori (H. pylori) before discarding them. The created RDT reader can also supply
real-time statistics of various illnesses by using databases and Internet. This
can help to inhibit propagation of contagious diseases and to increase readiness
against epidemic diseases worldwide.
PMID- 27190550
TI - Models and algorithms for genome rearrangement with positional constraints.
AB - BACKGROUND: Traditionally, the merit of a rearrangement scenario between two gene
orders has been measured based on a parsimony criteria alone; two scenarios with
the same number of rearrangements are considered equally good. In this paper, we
acknowledge that each rearrangement has a certain likelihood of occurring based
on biological constraints, e.g. physical proximity of the DNA segments implicated
or repetitive sequences. RESULTS: We propose optimization problems with the
objective of maximizing overall likelihood, by weighting the rearrangements. We
study a binary weight function suitable to the representation of sets of genome
positions that are most likely to have swapped adjacencies. We give a polynomial
time algorithm for the problem of finding a minimum weight double cut and join
scenario among all minimum length scenarios. In the process we solve an
optimization problem on colored noncrossing partitions, which is a generalization
of the Maximum Independent Set problem on circle graphs. CONCLUSIONS: We
introduce a model for weighting genome rearrangements and show that under simple
yet reasonable conditions, a fundamental distance can be computed in polynomial
time. This is achieved by solving a generalization of the Maximum Independent Set
problem on circle graphs. Several variants of the problem are also mentioned.
PMID- 27190551
TI - Acute appendicitis in overweight patients: the role of preoperative imaging.
AB - BACKGROUND: The diagnosis of acute appendicitis in overweight patients is
challenging due to the limited value of the clinical examination. The benefits of
ultrasonography and abdominal CT have been studied in the general population, but
there is limited data regarding their use in overweight and obese patients with
suspected appendicitis. This study analyzes the role of preoperative radiological
modalities in overweight patients with suspected appendicitis. METHODS:
Retrospective analysis of a prospectively acquired database including 705
patients operated for suspected acute appendicitis. Patients were divided into
two groups according to their BMI (BMI >=25 kg/m(2) (n = 242) and BMI <25 kg/m(2)
(n = 463)). The use of preoperative radiological modalities, laboratory findings
and outcome parameters were analyzed. RESULTS: Ultrasonography was the preferred
radiological assessment in our cohort (68 % in BMI <25 kg/m and 52.4 % in BMI
>=25 kg/m(2)). However, it was non-conclusive in 42 % of overweight as compared
to 6 % in patients with a BMI < 25 (p < 0.0001). This difference was particularly
obvious between female patients (8 % of non-conclusive US for BMI <25 kg/m(2) vs
52 % for BMI >=25 kg/m(2), p < 0.0001). Significantly more CT scans were
performed in overweight patients (37 % vs. 20 %; p <0.0001). The accuracy of CT
did not differ according to BMI (85 % vs. 88 %; p = 0.76). Preoperative
radiological imaging did not significantly delay surgery. Laparoscopy was the
preferred approach for both groups (98.2 % vs 98.7 %, P = 0.86) with an overall
conversion rate of 4 %. The overall rate of negative appendectomy was 10 %.
CONCLUSIONS: The role of ultrasonography in patients with BMI >=25 kg/m(2) with
suspected acute appendicitis is questionable due to its high rate of non
conclusive findings. Therefore, abdominal CT scans should be preferred to
investigate suspected appendicitis in overweight patient if clinical findings are
not conclusive.
PMID- 27190552
TI - Pelvic alveolar rhabdomyosarcoma in a young adult.
AB - Rhabdomyosarcomas are soft-tissue tumors, rare in adults. Accounting for nearly
5% of childhood cancers, they represent less than 0.03% of adult malignancies (1,
2). Three different subtypes of rhabdomyosarcoma have been described (embryonal,
alveolar and pleomorphic), making up approximately 50%, 30%, and 20% of the
cases, respectively (3). Although the definitive diagnosis is made
pathologically, some distinguishing features among these subtypes, and between
rhabdomyosarcomas and other soft-tissue tumors, can be suggested on MRI and CT.
We present an interesting case of a 20-year-old female with a locally aggressive
pelvic alveolar rhabdomyosarcoma. While the prognosis has improved with newer
treatment techniques, overall survival rates remain poor. Our case study presents
typical features of a rare disease, which can often present a diagnostic dilemma
for clinicians.
PMID- 27190554
TI - Primary sacrococcygeal chordoma with unusual skeletal muscle metastasis.
AB - Chordomas are rare neoplasms that do not often metastasize. Of the small percent
that do metastasize, they very infrequently involve skeletal muscle. Only a few
cases of skeletal muscle metastases have been reported in the literature. We
report an unusual case of a patient with a primary sacrococcygeal chordoma who
experienced a long period of remission but who subsequently developed recurrence
and multiple metastatic lesions to skeletal muscles including the deltoid,
triceps, and pectineus.
PMID- 27190553
TI - Bone metastasis on Tc99-m sestamibi myocardial perfusion scan.
AB - A 75-year-old woman presented to our department for a stress myocardial perfusion
imaging study with Tc99m-sestamibi. Incidental focal uptake, found in the left
upper anterior chest, was initially felt to be located in the left breast. After
additional single-photon CT imaging was performed the same day, extracardiac foci
within the ribs, spine, and left lung (worrisome for active metastases) were
shown to be present, with the initial focus located within a left rib rather than
a breast. A review of previous radiographic and nuclear imaging studies confirmed
metastatic disease from recurrent follicular thyroid cancer. Atypical focal
extracardiac activity must be closely scrutinized for the possibility of
malignancy, as Tc99m-sestamibi (in addition to being myocardium-avid) is tumor
avid.
PMID- 27190555
TI - Osteolytic mass bridging two cervical vertebrae: Unusual presentation of a
vertebral body hemangioma.
AB - Vertebral hemangioma is the most common spinal axis tumor. This rare presentation
of a vertebral hemangioma extended contiguously from one cervical vertebra to
another, encasing the vertebral artery, and thereby mimicking other tumors of the
spine. We discuss the differential diagnosis of bridging vertebral masses.
PMID- 27190556
TI - Epithelioid hemangioma of the spine: Two cases.
AB - We report two cases of epithelioid hemangioma (EH) manifested in the thoracic
spine with associated clinical, radiographic, and pathological findings.
Epithelioid hemangioma is a benign vascular tumor that can involve any bone
(including the spine in a subset of patients). Although recognized as a benign
tumor by the WHO, it can display locally aggressive features. Within the spine,
these features may lead to pain, instability, and/or neurologic dysfunction. The
radiographic appearance is most typically that of a lytic, well-defined lesion on
plain film or CT. The MRI appearance is typically hypointense on T1WI,
hyperintense on T2WI, and avidly enhancing, often with an extraosseous soft
tissue component.
PMID- 27190557
TI - Transient global amnesia after cerebral angiography still occurs: Case report and
literature review.
AB - Transient global amnesia is considered a very rare complication of diagnostic
cerebral angiography, and has been reported only in a limited number of case
reports more than 15 years ago. We describe a patient experiencing transient
global amnesia following cerebral digital subtraction angiography. While the
condition by definition is self-limiting, its differential diagnoses may cause
severe morbidity and/or mortality if left untreated. It is therefore important to
build and maintain awareness of transient global amnesia as a possible
complication of cerebral angiography.
PMID- 27190558
TI - Two foci of FDG-avid secondary tumoral calcinosis incidentally noted in a patient
with small-cell lung carcinoma after PET/CT.
AB - This case report describes intense F-18 fluorodeoxyglucose (FDG) uptake within
two foci of secondary tumoral calcinosis, incidentally noted during the workup of
small-cell lung cancer. The patient had insulin-dependent diabetes mellitus and
secondary hyperparathyroidism as a result of IgA nephropathy.
PMID- 27190559
TI - A case of hyperfunctioning pancreatic mixed adenoneuroendocrine carcinoma (MANEC)
arising from ectopic pancreatic tissue in the liver.
AB - We report the case of a hyperfunctioning mixed adenoneuroendocrine carcinoma
(MANEC) arising from ectopic pancreatic tissue in the liver. To our knowledge,
the imaging appearance of a MANEC in the liver has never been reported.
Literature on MANEC and its imaging features, including its appearance on the MR
hepatobiliary phase and differential considerations, are reviewed and discussed.
PMID- 27190560
TI - Combined laparoscopic placement of a PTBD demonstrating multidisciplinary problem
solving in a complex patient.
AB - In rare cases, biliary drainage is prevented by colonic position. When these
situations arise within a critically ill patient who is not a good surgical
candidate, unique solutions must be found. In this case, the solution was to use
laparoscopic assistance to displace the colon while the interventional radiology
team successfully accessed the biliary system.
PMID- 27190561
TI - Colosplenopleural fistula: An unusual colonic fistula in a 44-year-old male with
Crohn's disease.
AB - A 44-year-old male with a history of well-controlled human immunodeficiency virus
disease and Crohn's disease presented with fever, cough, and left-sided chest
pain with radiation to his back. His medical history was notable for a medically
managed spontaneous microperforation of the colon at the splenic flexure 30
months prior, and recurrent left-lower-lobe pneumonia with empyema and a splenic
abscess within the past 24 months. CT demonstrated a complex left pleural fluid
collection with fistulous connection through the spleen and into the large bowel.
The patient tolerated a diverting loop ileostomy without complications and was
discharged home with plans for resection of the fistulous tract and splenectomy
in several months.
PMID- 27190562
TI - Theoretical and experimental study of the porous film using quartz crystal
microbalance.
AB - The self-assembled multilayers have been studied by many researchers to modify
the surfaces of artificial implants for increasing biocompatibility. The accurate
mechanical properties of the film can only be obtained from the experimental
results using appropriate theoretical models. As the film is composed of both
solid polymers and fluid, this paper proposes a two-phase model. Based on the
volume average method, the momentum equations are derived for both solid and
liquid phases. In order to test our model, we built the porous film on the gold
chip of the quartz crystal microbalance using the layer-by-layer method. The
buildup process is based on the electrostatic interactions between anionic sodium
hyaluronate and cationic chitosan by imitating the endothelial surface layer. By
fitting our model to the experimental changes of the resonant frequency and
dissipation factor, we get reasonable values of the film thickness, the porosity,
the shear modulus of the solid phase, and the permeability. Compared with the
existing models, the newly introduced permeability is an important property of
the porous layer affecting the values of other parameters. Our model can provide
more intrinsic properties of the self-assembled polymeric network and explain its
interaction with the permeating fluid.
PMID- 27190563
TI - Effects of shear on P-selectin deposition in microfluidic channels.
AB - Traditional leukocyte adhesion assays have provided significant insight into the
mechanisms of leukocyte rolling in part through the use of homogeneously coated
surfaces. These assays typically involve protein coating of glass coverslips or
plastic petri dishes applied via a static drop of protein solution. With this
approach, it is difficult to spatially control the location of proteins to
fabricate surface-bound protein gradients that mimic in vivo situations.
Microfluidic patterning of proteins with microfluidic devices has become a
popular technique due to the ability to spatially pattern proteins on a cellular
scale. Despite the advantages of microfluidic patterning, few studies have
systematically investigated the effects of perfusion time, protein concentration,
and perfusion shear stress on protein deposition. Herein, we demonstrated the
fabrication of both line and step gradients of P-selectin on glass substrates
that support cell rolling and adhesion assays. Investigation of the flow
conditions during the microfluidic patterning led to several significant
findings. We observed that the protein deposition time of 5 min was sufficient to
deposit adequate P-selectin to support neutrophil rolling. We demonstrated that
the amount of membrane P-selectin (mP-selectin) or recombinant P-selectin (rP
selectin) deposited showed a dependence on the perfusion shear stress between 4.0
and 32.0 dyn/cm(2), while similar studies with fibronectin or fibrinogen showed
no shear stress dependence. Finally, we also created step changes in surface
adherent protein concentration of P-selectin to characterize leukocyte-rolling
behavior in response to sudden changes in ligand density.
PMID- 27190566
TI - Characterization of enzymatic micromachining for construction of variable cross
section microchannel topologies.
AB - The ability to harness enzymatic activity as an etchant to precisely machine
biodegradable substrates introduces new possibilities for microfabrication. This
flow-based etching is straightforward to implement, enabling patterning of
microchannels with topologies that incorporate variable depth along the cross
sectional dimension. Additionally, unlike conventional small-molecule
formulations, the macromolecular nature of enzymatic etchants enables features to
be precisely positioned. Here, we introduce a kinetic model to characterize the
enzymatic machining process and its localization by co-injection of a
macromolecular inhibitor species. Our model captures the interaction between
enzyme, inhibitor, and substrate under laminar flow, enabling rational prediction
of etched microchannel profiles so that cross-sectional topologies incorporating
complex lateral variations in depth can be constructed. We also apply this
approach to achieve simultaneous widening of an entire network of microchannels
produced in the biodegradable polymeric substrate poly(lactic acid), laying a
foundation to construct systems incorporating a broad range of internal cross
sectional dimensions by manipulating the process conditions.
PMID- 27190564
TI - Advances in monoliths and related porous materials for microfluidics.
AB - In recent years, the use of monolithic porous polymers has seen significant
growth. These materials present a highly useful support for various analytical
and biochemical applications. Since their introduction, various approaches have
been introduced to produce monoliths in a broad range of materials. Simple
preparation has enabled their easy implementation in microchannels, extending the
range of applications where microfluidics can be successfully utilized. This
review summarizes progress regarding monoliths and related porous materials in
the field of microfluidics between 2010 and 2015. Recent developments in monolith
preparation, solid-phase extraction, separations, and catalysis are critically
discussed. Finally, a brief overview of the use of these porous materials for
analysis of subcellular and larger structures is given.
PMID- 27190567
TI - Multiphase ferrofluid flows for micro-particle focusing and separation.
AB - Ferrofluids have demonstrated great potential for a variety of manipulations of
diamagnetic (or non-magnetic) micro-particles/cells in microfluidics, including
sorting, focusing, and enriching. By utilizing size dependent magnetophoresis
velocity, most of the existing techniques employ single phase ferrofluids to push
the particles towards the channel walls. In this work, we demonstrate a novel
strategy for focusing and separating diamagnetic micro-particles by using the
laminar fluid interface of two co-flowing fluids-a ferrofluid and a non-magnetic
fluid. Next to the microfluidic channel, microscale magnets are fabricated to
generate strong localized magnetic field gradients and forces. Due to the
magnetic force, diamagnetic particles suspended in the ferrofluid phase migrate
across the ferrofluid stream at the size-dependent velocities. Because of the low
Reynolds number and high Peclet number associated with the flow, the fluid
interface is sharp and stable. When the micro-particles migrate to the interface,
they are accumulated near the interface, resulting in effective focusing and
separation of particles. We investigated several factors that affect the focusing
and separation efficiency, including susceptibility of the ferrofluid, distance
between the microfluidic channel and microscale magnet, and width of the
microfluidic channel. This concept can be extended to multiple fluid interfaces.
For example, a complete separation of micro-particles was demonstrated by using a
three-stream multiphase flow configuration.
PMID- 27190568
TI - Going beyond 20 MUm-sized channels for studying red blood cell phase separation
in microfluidic bifurcations.
AB - Despite the development of microfluidics, experimental challenges are
considerable for achieving a quantitative study of phase separation, i.e., the
non-proportional distribution of Red Blood Cells (RBCs) and suspending fluid, in
microfluidic bifurcations with channels smaller than 20 MUm. Yet, a basic
understanding of phase separation in such small vessels is needed for
understanding the coupling between microvascular network architecture and
dynamics at larger scale. Here, we present the experimental methodologies and
measurement techniques developed for that purpose for RBC concentrations (tube
hematocrits) ranging between 2% and 20%. The maximal RBC velocity profile is
directly measured by a temporal cross-correlation technique which enables to
capture the RBC slip velocity at walls with high resolution, highlighting two
different regimes (flat and more blunted ones) as a function of RBC confinement.
The tube hematocrit is independently measured by a photometric technique. The RBC
and suspending fluid flow rates are then deduced assuming the velocity profile of
a Newtonian fluid with no slip at walls for the latter. The accuracy of this
combination of techniques is demonstrated by comparison with reference
measurements and verification of RBC and suspending fluid mass conservation at
individual bifurcations. The present methodologies are much more accurate, with
less than 15% relative errors, than the ones used in previous in vivo
experiments. Their potential for studying steady state phase separation is
demonstrated, highlighting an unexpected decrease of phase separation with
increasing hematocrit in symmetrical, but not asymmetrical, bifurcations and
providing new reference data in regimes where in vitro results were previously
lacking.
PMID- 27190565
TI - Future microfluidic and nanofluidic modular platforms for nucleic acid liquid
biopsy in precision medicine.
AB - Nucleic acid biomarkers have enormous potential in non-invasive diagnostics and
disease management. In medical research and in the near future in the clinics,
there is a great demand for accurate miRNA, mRNA, and ctDNA identification and
profiling. They may lead to screening of early stage cancer that is not
detectable by tissue biopsy or imaging. Moreover, because their cost is low and
they are non-invasive, they can become a regular screening test during annual
checkups or allow a dynamic treatment program that adjusts its drug and dosage
frequently. We briefly review a few existing viral and endogenous RNA assays that
have been approved by the Federal Drug Administration. These tests are based on
the main nucleic acid detection technologies, namely, quantitative reverse
transcription polymerase chain reaction (PCR), microarrays, and next-generation
sequencing. Several of the challenges that these three technologies still face
regarding the quantitative measurement of a panel of nucleic acids are outlined.
Finally, we review a cluster of microfluidic technologies from our group with
potential for point-of-care nucleic acid quantification without nucleic acid
amplification, designed to overcome specific limitations of current technologies.
We suggest that integration of these technologies in a modular design can offer a
low-cost, robust, and yet sensitive/selective platform for a variety of precision
medicine applications.
PMID- 27190569
TI - A label-free and high-throughput separation of neuron and glial cells using an
inertial microfluidic platform.
AB - While neurons and glial cells both play significant roles in the development and
therapy of schizophrenia, their specific contributions are difficult to
differentiate because the methods used to separate neurons and glial cells are
ineffective and inefficient. In this study, we reported a high-throughput
microfluidic platform based on the inertial microfluidic technique to rapidly and
continuously separate neurons and glial cells from dissected brain tissues. The
optimal working condition for an inertial biochip was investigated and evaluated
by measuring its separation under different flow rates. Purified and enriched
neurons in a primary neuron culture were verified by confocal immunofluorescence
imaging, and neurons performed neurite growth after separation, indicating the
feasibility and biocompatibility of an inertial separation. Phencyclidine
disturbed the neuroplasticity and neuron metabolism in the separated and the
unseparated neurons, with no significant difference. Apart from isolating the
neurons, purified and enriched viable glial cells were collected simultaneously.
This work demonstrates that an inertial microchip can provide a label-free, high
throughput, and harmless tool to separate neurological primary cells.
PMID- 27190570
TI - On utilizing alternating current-flow field effect transistor for flexibly
manipulating particles in microfluidics and nanofluidics.
AB - By imposing a biased gate voltage to a center metal strip, arbitrary symmetry
breaking in induced-charge electroosmotic flow occurs on the surface of this
planar gate electrode, a phenomenon termed as AC-flow field effect transistor (AC
FFET). In this work, the potential of AC-FFET with a shiftable flow stagnation
line to flexibly manipulate micro-nano particle samples in both a static and
continuous flow condition is demonstrated via theoretical analysis and
experimental validation. The effect of finite Debye length of induced double
layer and applied field frequency on the manipulating flexibility factor for
static condition is investigated, which indicates AC-FFET turns out to be more
effective for achieving a position-controllable concentrating of target
nanoparticle samples in nanofluidics compared to the previous trial in
microfluidics. Besides, a continuous microfluidics-based particle
concentrator/director is developed to deal with incoming analytes in dynamic
condition, which exploits a design of tandem electrode configuration to
consecutively flow focus and divert incoming particle samples to a desired
downstream branch channel, as prerequisite for a following biochemical analysis.
Our physical demonstrations with AC-FFET prove valuable for innovative designs of
flexible electrokinetic frameworks, which can be conveniently integrated with
other microfluidic or nanofluidic components into a complete lab-on-chip
diagnostic platform due to a simple electrode structure.
PMID- 27190571
TI - Microarray-integrated optoelectrofluidic immunoassay system.
AB - A microarray-based analytical platform has been utilized as a powerful tool in
biological assay fields. However, an analyte depletion problem due to the slow
mass transport based on molecular diffusion causes low reaction efficiency,
resulting in a limitation for practical applications. This paper presents a novel
method to improve the efficiency of microarray-based immunoassay via an optically
induced electrokinetic phenomenon by integrating an optoelectrofluidic device
with a conventional glass slide-based microarray format. A sample droplet was
loaded between the microarray slide and the optoelectrofluidic device on which a
photoconductive layer was deposited. Under the application of an AC voltage,
optically induced AC electroosmotic flows caused by a microarray-patterned light
actively enhanced the mass transport of target molecules at the multiple assay
spots of the microarray simultaneously, which reduced tedious reaction time from
more than 30 min to 10 min. Based on this enhancing effect, a heterogeneous
immunoassay with a tiny volume of sample (5 MUl) was successfully performed in
the microarray-integrated optoelectrofluidic system using immunoglobulin G (IgG)
and anti-IgG, resulting in improved efficiency compared to the static
environment. Furthermore, the application of multiplex assays was also
demonstrated by multiple protein detection.
PMID- 27190573
TI - Role of Vanadium in Cellular and Molecular Immunology: Association with Immune
Related Inflammation and Pharmacotoxicology Mechanisms.
AB - Over the last decade, a diverse spectrum of vanadium compounds has arisen as anti
inflammatory therapeutic metallodrugs targeting various diseases. Recent studies
have demonstrated that select well-defined vanadium species are involved in many
immune-driven molecular mechanisms that regulate and influence immune responses.
In addition, advances in cell immunotherapy have relied on the use of
metallodrugs to create a "safe," highly regulated, environment for optimal
control of immune response. Emerging findings include optimal regulation of B/T
cell signaling and expression of immune suppressive or anti-inflammatory
cytokines, critical for immune cell effector functions. Furthermore, in-depth
perusals have explored NF-kappaB and Toll-like receptor signaling mechanisms in
order to enhance adaptive immune responses and promote recruitment or conversion
of inflammatory cells to immunodeficient tissues. Consequently, well-defined
vanadium metallodrugs, poised to access and resensitize the immune
microenvironment, interact with various biomolecular targets, such as B cells, T
cells, interleukin markers, and transcription factors, thereby influencing and
affecting immune signaling. A synthetically formulated and structure-based
(bio)chemical reactivity account of vanadoforms emerges as a plausible strategy
for designing drugs characterized by selectivity and specificity, with respect to
the cellular molecular targets intimately linked to immune responses, thereby
giving rise to a challenging field linked to the development of immune system
vanadodrugs.
PMID- 27190574
TI - Oxidative Stress in the Developing Rat Brain due to Production of Reactive Oxygen
and Nitrogen Species.
AB - Oxidative stress after birth led us to localize reactive oxygen and nitrogen
species (RONS) production in the developing rat brain. Brains were assessed a day
prenatally and on postnatal days 1, 2, 4, 8, 14, 30, and 60. Oxidation of
dihydroethidium detected superoxide; 6-carboxy-2',7'-dichlorodihydrofluorescein
diacetate revealed hydrogen peroxide; immunohistochemical proof of nitrotyrosine
and carboxyethyllysine detected peroxynitrite formation and lipid peroxidation,
respectively. Blue autofluorescence detected protein oxidation. The foetuses
showed moderate RONS production, which changed cyclically during further
development. The periods and sites of peak production of individual RONS
differed, suggesting independent generation. On day 1, neuronal/glial RONS
production decreased indicating that increased oxygen concentration after birth
did not cause oxidative stress. Dramatic changes in the amount and the sites of
RONS production occurred on day 4. Nitrotyrosine detection reached its maximum.
Day 14 represented other vast alterations in RONS generation. Superoxide
production in arachnoidal membrane reached its peak. From this day on, the
internal elastic laminae of blood vessels revealed the blue autofluorescence. The
adult animals produced moderate levels of superoxide; all other markers reached
their minimum. There was a strong correlation between detection of nitrotyrosine
and carboxyethyllysine probably caused by lipid peroxidation initiated with RONS.
PMID- 27190572
TI - Intracerebral Hemorrhage, Oxidative Stress, and Antioxidant Therapy.
AB - Hemorrhagic stroke is a common and severe neurological disorder and is associated
with high rates of mortality and morbidity, especially for intracerebral
hemorrhage (ICH). Increasing evidence demonstrates that oxidative stress
responses participate in the pathophysiological processes of secondary brain
injury (SBI) following ICH. The mechanisms involved in interoperable systems
include endoplasmic reticulum (ER) stress, neuronal apoptosis and necrosis,
inflammation, and autophagy. In this review, we summarized some promising
advances in the field of oxidative stress and ICH, including contained animal and
human investigations. We also discussed the role of oxidative stress, systemic
oxidative stress responses, and some research of potential therapeutic options
aimed at reducing oxidative stress to protect the neuronal function after ICH,
focusing on the challenges of translation between preclinical and clinical
studies, and potential post-ICH antioxidative therapeutic approaches.
PMID- 27190575
TI - Antioxidant and Anti-Inflammatory Effects of Coenzyme Q10 on L-Arginine-Induced
Acute Pancreatitis in Rat.
AB - This study was aimed at evaluating the protective effect of coenzyme Q10 on L
arginine-induced acute pancreatitis in rats regarding biomarkers and morphologic
changes. Thirty-two male Sprague-Dawley rats were divided into 4 equal groups.
Control group received intraperitoneal normal saline, while in sham and
experimental groups 1 and 2 pancreatitis was induced with L-arginine. E1 and E2
groups were treated with a single dose of 100 and 200 mg/kg Q10, respectively.
Serum lipase and amylase, along with pancreas IL-10, IL-1beta, and TNF-alpha,
were measured. For evaluation of oxidative stress, pancreatic superoxide
dismutase (SOD), glutathione (GSH), malondialdehyde (MDA), and myeloperoxidase
(MPO) were assessed. Histopathological examination for morphologic investigation
was conducted. Serum amylase and lipase, as well as TNF-alpha and IL-1beta
cytokines, reverted with administration of Q10 in consistence with dosage. In
contrast, Q10 assisted in boosting of IL-10 with higher dosage (200 mg/kg). A
similar pattern for oxidative stress markers was noticed. Both MDA and MPO levels
declined with increased dosage, contrary to elevation of SOD and GSH.
Histopathology was in favor of protective effects of Q10. Our findings proved the
amelioration of pancreatic injury by Q10, which suggest the anti-inflammatory and
antioxidant property of Q10 and its potential therapeutic role.
PMID- 27190577
TI - Liver resection for intermediate hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) is one of the most common malignant tumors in
China. The Barcelona Clinic Liver Cancer (BCLC) staging system is regarded as the
gold standard staging system for HCC, classifying HCC as early, intermediate, or
advanced. For intermediate HCC, trans-catheter arterial chemoembolization (TACE)
is recommended as the optimal strategy by the BCLC guideline. This review
investigates whether liver resection is better than TACE for intermediate HCC.
Based on published studies, we compare the survival benefits and complications of
liver resection and TACE for intermediate HCC. We also compare the survival
benefits of liver resection in early and intermediate HCC. We find that liver
resection can achieve better or at least comparable survival outcomes compared
with TACE for intermediate HCC; however, we do not observe a significant
difference between liver resection and TACE in terms of safety and morbidity. We
conclude that liver resection may improve the short- and long-term survival of
carefully selected intermediate HCC patients, and the procedure may be safely
performed in the management of intermediate HCC.
PMID- 27190579
TI - Co-treatment with pegylated interferon alfa-2a and entecavir for hepatitis D: A
randomized trial.
AB - AIM: To investigate the efficacy of pegylated interferon alfa (PEG-IFNalpha)
therapy with and without entecavir in patients with chronic hepatitis D. METHODS:
Forty hepatitis D virus (HDV) RNA positive patients were randomized to receive
either PEG-IFNalpha-2a 180 MUg weekly in combination with entecavir 0.5 mg daily
(n = 21) or PEG-IFNalpha alone (n =19). Patients who failed to show 2 log
reduction in HDV RNA level at 24 wk of treatment, or had detectable HDV RNA at 48
wk of therapy were considered as treatment failure. Treatment was continued for
72 wk in the rest of the patients. All the patients were followed for 24 wk post
treatment. Intention to treat analysis was performed. RESULTS: The mean age of
the patients was 26.7 +/- 6.8 years, 31 were male. Two log reduction in HDV RNA
levels at 24 wk of therapy was achieved in 9 (43%) patients receiving combination
therapy and 12 (63%) patients receiving PEG-IFNalpha alone (P = 0.199). Decline
in hepatitis B surface antigen (HBsAg) levels was insignificant. At the end of
treatment, HDV RNA was negative in 8 patients (38%) receiving combination therapy
and 10 patients (53%) receiving PEG-IFNalpha-2a alone. Virological response
persisted in 7 (33%) and 8 (42%) patients, respectively at the end of the 24 wk
follow-up period. One responder patient in the combination arm lost HBsAg and
became hepatitis B surface antibody positive. Six out of 14 baseline hepatitis B
e antigen reactive patients seroconverted and four of these seroconverted
patients had persistent HDV RNA clearance. CONCLUSION: Administration of PEG
IFNalpha-2a with or without entecavir, resulted in persistent HDV RNA clearance
in 37% of patients. The addition of entecavir did not improve the overall
response.
PMID- 27190576
TI - Assessment of Mitochondrial Dysfunction and Monoamine Oxidase Contribution to
Oxidative Stress in Human Diabetic Hearts.
AB - Mitochondria-related oxidative stress is a pathomechanism causally linked to
coronary heart disease (CHD) and diabetes mellitus (DM). Recently, mitochondrial
monoamine oxidases (MAOs) have emerged as novel sources of oxidative stress in
the cardiovascular system and experimental diabetes. The present study was
purported to assess the mitochondrial impairment and the contribution of MAOs
related oxidative stress to the cardiovascular dysfunction in coronary patients
with/without DM. Right atrial appendages were obtained from 75 patients
randomized into 3 groups: (1) Control (CTRL), valvular patients without CHD; (2)
CHD, patients with confirmed CHD; and (3) CHD-DM, patients with CHD and DM.
Mitochondrial respiration was measured by high-resolution respirometry and MAOs
expression was evaluated by RT-PCR and immunohistochemistry. Hydrogen peroxide
(H2O2) emission was assessed by confocal microscopy and spectrophotometrically.
The impairment of mitochondrial respiration was substrate-independent in CHD-DM
group. MAOs expression was comparable among the groups, with the predominance of
MAO-B isoform but no significant differences regarding oxidative stress were
detected by either method. Incubation of atrial samples with MAOs inhibitors
significantly reduced the H2O2 in all groups. In conclusion, abnormal
mitochondrial respiration occurs in CHD and is more severe in DM and MAOs
contribute to oxidative stress in human diseased hearts with/without DM.
PMID- 27190578
TI - Combined acoustic radiation force impulse, aminotransferase to platelet ratio
index and Forns index assessment for hepatic fibrosis grading in hepatitis B.
AB - AIM: To investigate the combined diagnostic accuracy of acoustic radiation force
impulse (ARFI), aspartate aminotransferase to platelet ratio index (APRI) and
Forns index for a non-invasive assessment of liver fibrosis in patients with
chronic hepatitis B (CHB). METHODS: In this prospective study, 206 patients had
CHB with liver fibrosis stages F0-F4 classified by METAVIR and 40 were healthy
volunteers were measured by ARFI, APRI and Forns index separately or combined as
indicated. RESULTS: ARFI, APRI or Forns index demonstrated a significant
correlation with the histological stage (all P < 0.001). According to the AUROC
of ARFI and APRI for evaluating fibrotic stages more than F2, ARFI showed an
enhanced diagnostic accuracy than APRI (P < 0.05). The combined measurement of
ARFI and APRI exhibited better accuracy than ARFI alone when evaluating >= F2
fibrotic stage (Z = 2.77, P = 0.006). Combination of ARFI, APRI and Forns index
did not obviously improve the diagnostic accuracy compared to the combination of
ARFI and APRI (Z = 0.958, P = 0.338). CONCLUSION: ARFI + APRI showed enhanced
diagnostic accuracy than ARFI or APRI alone for significant liver fibrosis and
ARFI + APRI + Forns index shows the same effect with ARFI + APRI.
PMID- 27190580
TI - Direct acting antiviral therapy is curative for chronic hepatitis C/autoimmune
hepatitis overlap syndrome.
AB - Autoimmune phenomena are common in patients with chronic hepatitis C. Management
of chronic hepatitis C/autoimmune hepatitis syndrome has until recently been
problematic due to the adverse effects of interferon on autoimmune processes and
immunosuppression on viral replication. In this report we describe 3 patients
with chronic hepatitis C/autoimmune hepatitis overlap syndrome who responded
rapidly to direct acting anti-viral therapy. The resolution of the autoimmune
process supports a direct viral role in its pathophysiology.
PMID- 27190582
TI - Role of Raman spectroscopy and surface enhanced Raman spectroscopy in colorectal
cancer.
AB - Colorectal cancer (CRC) is the fourth most common cancer in the United Kingdom
and is the second largest cause of cancer related death in the United Kingdom
after lung cancer. Currently in the United Kingdom there is not a diagnostic test
that has sufficient differentiation between patients with cancer and those
without cancer so the current referral system relies on symptomatic presentation
in a primary care setting. Raman spectroscopy and surface enhanced Raman
spectroscopy (SERS) are forms of vibrational spectroscopy that offer a non
destructive method to gain molecular information about biological samples. The
techniques offer a wide range of applications from in vivo or in vitro
diagnostics using endoscopic probes, to the use of micro-spectrometers for
analysis of biofluids. The techniques have the potential to detect molecular
changes prior to any morphological changes occurring in the tissue and therefore
could offer many possibilities to aid the detection of CRC. The purpose of this
review is to look at the current state of diagnostic technology in the United
Kingdom. The development of Raman spectroscopy and SERS in clinical applications
relation for CRC will then be discussed. Finally, future areas of research of
Raman/SERS as a clinical tool for the diagnosis of CRC are also discussed.
PMID- 27190581
TI - MicroRNA in rectal cancer.
AB - In rectal cancer, one of the most common cancers worldwide, the proper staging of
the disease determines the subsequent therapy. For those with locally advanced
rectal cancer, a neoadjuvant chemoradiotherapy (CRT) is recommended before any
surgery. However, response to CRT ranges from complete response (responders) to
complete resistance (non-responders). To date we are not able to separate in
advance the first group from the second, due to the absence of a valid biomarker.
Therefore all patients receive the same therapy regardless of whether they reap
benefits. On the other hand almost all patients receive a surgical resection
after the CRT, although a watch-and-wait procedure or an endoscopic resection
might be sufficient for those who responded well to the CRT. Being highly
conserved regulators of gene expression, microRNAs (miRNAs) seem to be promising
candidates for biomarkers. Many studies have been analyzing the miRNAs expressed
in rectal cancer tissue to determine a specific miRNA profile for the ailment.
Unfortunately, there is only a small overlap of identified miRNAs between
different studies, posing the question as to whether different methods or
differences in tissue storage may contribute to that fact or if the results
simply are not reproducible, due to unknown factors with undetected influences on
miRNA expression. Other studies sought to find miRNAs which correlate to clinical
parameters (tumor grade, nodal stage, metastasis, survival) and therapy response.
Although several miRNAs seem to have an impact on the response to CRT or might
predict nodal stage, there is still only little overlap between different
studies. We here aimed to summarize the current literature on rectal cancer and
miRNA expression with respect to the different relevant clinical parameters.
PMID- 27190584
TI - Multitarget stool DNA for colorectal cancer screening: A review and commentary on
the United States Preventive Services Draft Guidelines.
AB - Multitarget stool DNA (mt-sDNA) testing was approved for average risk colorectal
cancer (CRC) screening by the United States Food and Drug Administration and
thereafter reimbursed for use by the Medicare program (2014). The United States
Preventive Services Task Force (USPSTF) October 2015 draft recommendation for CRC
screening included mt-sDNA as an "alternative" screening test that "may be useful
in select clinical circumstances", despite its very high sensitivity for early
stage CRC. The evidence supporting mt-sDNA for routine screening use is robust.
The clinical efficacy of mt-sDNA as measured by sensitivity, specificity, life
years gained (LYG), and CRC deaths averted is similar to or exceeds that of the
other more specifically recommended screening options included in the draft
document, especially those requiring annual testing adherence. In a population
with primarily irregular screening participation, tests with the highest point
sensitivity and reasonable specificity are more likely to favorably impact CRC
related morbidity and mortality than those depending on annual adherence. This
paper reviews the evidence supporting mt-sDNA for routine screening and
demonstrates, using USPSTF's modeling data, that mt-sDNA at three-year intervals
provides significant clinical net benefits and fewer complications per LYG than
annual fecal immunochemical testing, high sensitivity guaiac based fecal occult
blood testing and 10-year colonoscopy screening.
PMID- 27190583
TI - Current adjuvant treatment modalities for gastric cancer: From history to the
future.
AB - The discrepancy between the surgical technique and the type of adjuvant
chemotherapy used in clinical trials and patient outcomes in terms of overall
survival rates has led to the generation of different adjuvant treatment
protocols in distinct parts of the world. The adjuvant treatment recommendation
is generally chemoradiotherapy in the United States, perioperative chemotherapy
in the United Kingdom and parts of Europe, and chemotherapy in Asia. These
options mainly rely on the United States Intergroup-0116, United Kingdom British
Medical Research Council Adjuvant Gastric Infusional Chemotherapy, and the Asian
Adjuvant Chemotherapy Trial of S-1 for Gastric Cancer and Capecitabine and
Oxaliplatin Adjuvant Study in Stomach Cancer trials. However, the benefits were
evident for only certain patients, which were not very homogeneous regarding the
type of surgery, chemotherapy regimens, and stage of disease. Whether the
dissimilarities in survival are attributable to surgical technique or intrinsic
biological differences is a subject of debate. Regardless of the extent of
surgery, multimodal therapy may offer modest survival advantage at least for
diseases with lymph node involvement. Moreover, in the era of individualized
treatment for most of the other cancer types, identification of special subgroups
comprising those who will derive more or no benefit from adjuvant therapy merits
further investigation. The aim of this review is to reveal the historical
evolution and future reflections of adjuvant treatment modalities for resected
gastric cancer patients.
PMID- 27190585
TI - Urinary metabolites as noninvasive biomarkers of gastrointestinal diseases: A
clinical review.
AB - The diagnosis of gastrointestinal (GI) disorders is usually based on invasive
techniques such as endoscopy. A key important factor in GI cancer is early
diagnosis which warrants development of non- or less-invasive diagnostic
techniques. In addition, monitoring and surveillance are other important parts in
the management of GI diseases. Metabolomics studies with nuclear magnetic
resonance and mass spectrometry can measure the concentration of more than 3000
chemical compounds in the urine providing possible chemical signature in
different diseases and during health. In this review, we discuss the urinary
metabolomics signature of different GI diseases including GI cancer and elaborate
on how these biomarkers could be used for the classification, early diagnosis and
the monitoring of the patients. Moreover, we discuss future directions of this
still evolving field of research.
PMID- 27190586
TI - Non-surgical factors influencing lymph node yield in colon cancer.
AB - There are numerous factors which can affect the lymph node (LN) yield in colon
cancer specimens. The aim of this paper was to identify both modifiable and non
modifiable factors that have been demonstrated to affect colonic resection
specimen LN yield and to summarise the pertinent literature on these topics. A
literature review of PubMed was performed to identify the potential factors which
may influence the LN yield in colon cancer resection specimens. The terms used
for the search were: LN, lymphadenectomy, LN yield, LN harvest, LN number, colon
cancer and colorectal cancer. Both non-modifiable and modifiable factors were
identified. The review identified fifteen non-surgical factors: (13 non
modifiable, 2 modifiable) which may influence LN yield. LN yield is frequently
reduced in older, obese patients and those with male sex and increased in
patients with right sided, large, and poorly differentiated tumours. Patient
ethnicity and lower socioeconomic class may negatively influence LN yield. Pre
operative tumour tattooing appears to increase LN yield. There are many factors
that potentially influence the LN yield, although the strength of the association
between the two varies greatly. Perfecting oncological resection and pathological
analysis remain the cornerstones to achieving good quality and quantity LN yields
in patients with colon cancer.
PMID- 27190587
TI - Intensity modulated radiation therapy with simultaneous integrated boost based
dose escalation on neoadjuvant chemoradiation therapy for locally advanced distal
esophageal adenocarcinoma.
AB - AIM: To evaluate impact of radiation therapy dose escalation through intensity
modulated radiation therapy with simultaneous integrated boost (IMRT-SIB).
METHODS: We retrospectively reviewed the patients who underwent four-dimensional
based IMRT-SIB-based neoadjuvant chemoradiation protocol. During the concurrent
chemoradiation therapy, radiation therapy was through IMRT-SIB delivered in 28
consecutive daily fractions with total radiation doses of 56 Gy to tumor and 5040
Gy dose-painted to clinical tumor volume, with a regimen at the discretion of the
treating medical oncologist. This was followed by surgical tumor resection. We
analyzed pathological completion response (pCR) rates its relationship with
overall survival and event-free survival. RESULTS: Seventeen patients underwent
dose escalation with the IMRT-SIB protocol between 2007 and 2014 and their
records were available for analysis. Among the IMRT-SIB-treated patients, the
toxicity appeared mild, the most common side effects were grade 1-3 esophagitis
(46%) and pneumonitis (11.7%). There were no cardiac events. The Ro resection
rate was 94% (n = 16), the pCR rate was 47% (n = 8), and the postoperative
morbidity was zero. There was one mediastinal failure found, one patient had
local failure at the anastomosis site, and the majority of failures were distant
in the lung or bone. The 3-year disease-free survival and overall survival rates
were 41% (n = 7) and 53% (n = 9), respectively. CONCLUSION: The dose escalation
through IMRT-SIB in the chemoradiation regimen seems responsible for down-staging
the distal esophageal with well-tolerated complications.
PMID- 27190589
TI - Pyrrolo[2,3-c]pyridines as Imaging Agents for Neurofibrilary Tangles.
PMID- 27190588
TI - Therapeutics from Adult Stem Cells and the Hype Curve.
AB - The Gartner curve for regenerative and stem cell therapeutics is currently
climbing out of the "trough of disillusionment" and into the "slope of
enlightenment". Understanding that the early years of stem cell therapy relied on
the model of embryonic stem cells (ESCs), and then moved into a period of the
overhype of induced pluripotent stem cells (iPSCs), instead of using the model of
40 years of success, i.e. adult stem cells used in bone marrow transplants, the
field of stem cell therapy has languished for years, trying to move beyond the
early and poorly understood success of bone marrow transplants. Recent studies in
the lab and clinic show that adult stem cells of various types, and the molecules
that they release, avoid the issues associated with ESCs and iPSCs and lead to
better therapeutic outcomes and into the slope of enlightenment.
PMID- 27190590
TI - Inhibitors of Renal Outer Medullary Potassium Channel.
PMID- 27190591
TI - Novel Inhibitors of Toxin HipA Reduce Multidrug Tolerant Persisters.
AB - Persisters are a small fraction of drug-tolerant bacteria without any genotype
variations. Their existence in many life-threatening infectious diseases presents
a major challenge to antibiotic therapy. Persistence is highly related to toxin
antitoxin modules. HipA (high persistence A) was the first toxin found to
contribute to Escherichia coli persistence. In this study, we used structure
based virtual screening for HipA inhibitors discovery and identified several
novel inhibitors of HipA that remarkably reduced E. coli persistence. The most
potent one decreased the persister fraction by more than five-fold with an in
vitro K D of 270 +/- 90 nM and an ex vivo EC50 of 46 +/- 2 and 28 +/- 1 MUM for
ampicillin and kanamycin screening, respectively. These findings demonstrated
that inhibition of toxin can reduce bacterial persistence independent of the
antibiotics used and provided a framework for persistence treatment by
interfering with the toxin-antitoxin modules.
PMID- 27190592
TI - Discovery of a Selective Series of Inhibitors of Plasmodium falciparum HDACs.
AB - The identification of a new series of P. falciparum growth inhibitors is
described. Starting from a series of known human class I HDAC inhibitors a SAR
exploration based on growth inhibitory activity in parasite and human cells-based
assays led to the identification of compounds with submicromolar inhibition of P.
falciparum growth (EC50 < 500 nM) and good selectivity over the activity of human
HDAC in cells (up to >50-fold). Inhibition of parasital HDACs as the mechanism of
action of this new class of selective growth inhibitors is supported by
hyperacetylation studies.
PMID- 27190594
TI - Discovery of IWP-051, a Novel Orally Bioavailable sGC Stimulator with Once-Daily
Dosing Potential in Humans.
AB - In recent years, soluble guanylate cyclase (sGC, EC 4.6.1.2) has emerged as an
attractive therapeutic target for treating cardiovascular diseases and diseases
associated with fibrosis and end-organ failure. Herein, we describe our design
and synthesis of a series of 4-hydroxypyrimidine sGC stimulators starting with an
internally discovered lead. Our efforts have led to the discovery of IWP-051, a
molecule that achieves good alignment of potency, stability, selectivity, and
pharmacodynamic effects while maintaining favorable pharmacokinetic properties
with once-daily dosing potential in humans.
PMID- 27190593
TI - Functionalized N,N-Diphenylamines as Potent and Selective EPAC2 Inhibitors.
AB - N,N-Diphenylamines were discovered as potent and selective EPAC2 inhibitors. A
study was conducted to determine the structure-activity relationships in a series
of inhibitors of which several compounds displayed submicromolar potencies.
Selectivity over the related EPAC1 protein was also demonstrated. Computational
modeling reveals an allosteric site that is distinct from the cAMP binding domain
shared by both EPAC isoforms, providing a theory with regards to subtype
selectivity.
PMID- 27190595
TI - Design, Synthesis, and Evaluation of Donepezil-Like Compounds as AChE and BACE-1
Inhibitors.
AB - An ecofriendly synthetic pathway for the synthesis of donepezil precursors is
described. Alternative energy sources were used for the total synthesis in order
to improve yields, regioselectively, and rate of each synthetic step and to
reduce the coproduction of waste at the same time. For all products,
characterized by an improved structural rigidity respect to donepezil, the
inhibitor activity on AChE, the selectivity vs BuChE, the side-activity on BACE
1, and the effect on SHSY-5Y neuroblastoma cells viability were tested. Two
potential new lead compounds for a dual therapeutic strategy against Alzheimer's
disease were envisaged.
PMID- 27190596
TI - Discovery of a Highly Potent and Selective Indenoindolone Type 1 Pan-FLT3
Inhibitor.
AB - For a subpopulation of acute myeloid leukemia (AML) patients, the mutationally
activated tyrosine kinase FLT3, has emerged as a promising target for therapy.
The development of drug resistance due to mutation is a growing concern for
mutant FLT3 inhibitors, such as PKC412, Quizartinib, PLX3397, and Crenolanib.
Thus, there is a need to develop novel FLT3 inhibitors that overcome these
mutations. Here we report the development of a novel type I ATP competitive
inhibitor, JH-IX-179, that is extremely potent and selective for FLT3. JH-IX-179
also has the highest affinity for three constitutively active isoforms of FLT3
(FLT3-ITD, FLT3-N841I, and FLT3-D835V) compared to a panel 456 other kinases. The
unique and specific kinase inhibition profile suggests that this chemotype may
represent an attractive starting point for the development of further improved
FLT3 inhibitors with therapeutic potential in tumors harboring deregulated FLT3
activity.
PMID- 27190597
TI - Autoradiographic Evaluation of [(18)F]FECUMI-101, a High Affinity 5-HT1AR Ligand
in Human Brain.
AB - [(18)F]FECUMI-101 ([(18)F]1) is a 5HT1AR ligand demonstrating specific binding in
brain regions corresponding to the distribution of 5-HT1AR in baboons. However,
we detected moderate uptake of [(18)F]1 in baboon thalamus, a brain region
lacking 5-HT1AR. We sought to investigate the relative binding of [(18)F]1 to 5
HT1AR, alpha1R, and 5-HT7R in vitro. Using autoradiography in human brain
sections, specific binding of [(18)F]1 to 5-HT1AR was confirmed. However,
[(18)F]1 also showed 26% binding to alpha1R in PFC. The hippocampal formation
exhibited 51% and 92% binding of [(18)F]1 to alpha1R and 5-HT1AR, respectively.
Thalamus and cerebellum showed very little binding. There is no measurable
specific binding of [(18)F]1 to 5-HT7R and no effect of temperature on [(18)F]1
specific binding to 5-HT1AR or alpha1R. These results indicate that, while
[(18)F]FECUMI-101 is not a completely selective 5-HT1AR ligand for receptor
quantification, it may be useful for occupancy measurements of drugs acting at 5
HT1AR in vivo.
PMID- 27190598
TI - Structural Requirements and Docking Analysis of Amidine-Based Sphingosine Kinase
1 Inhibitors Containing Oxadiazoles.
AB - Sphingosine 1-phosphate (S1P) is a potent growth-signaling lipid that has been
implicated in cancer progression, inflammation, sickle cell disease, and
fibrosis. Two sphingosine kinases (SphK1 and 2) are the source of S1P; thus,
inhibitors of the SphKs have potential as targeted cancer therapies and will help
to clarify the roles of S1P and the SphKs in other hyperproliferative diseases.
Recently, we reported a series of amidine-based inhibitors with high selectivity
for SphK1 and potency in the nanomolar range. However, these inhibitors display a
short half-life. With the goal of increasing metabolic stability and maintaining
efficacy, we designed an analogous series of molecules containing oxadiazole
moieties. Generation of a library of molecules resulted in the identification of
the most selective inhibitor of SphK1 reported to date (705-fold selectivity over
SphK2), and we found that potency and selectivity vary significantly depending on
the particular oxadiazole isomer employed. The best inhibitors were subjected to
in silico molecular dynamics docking analysis, which revealed key insights into
the binding of amidine-based inhibitors by SphK1. Herein, the design, synthesis,
biological evaluation, and docking analysis of these molecules are described.
PMID- 27190599
TI - Identification of a Potent and Selective GPR4 Antagonist as a Drug Lead for the
Treatment of Myocardial Infarction.
AB - GPR4, a pH-sensing G protein-coupled receptor, is highly expressed in endothelial
cells and may be activated in myocardial infarction due the decreased tissue pH.
We are interested in GPR4 antagonists as potential effective pharmacologic tools
and/or drug leads for the treatment of myocardial infarction. We investigated the
structure-activity relationship of a known GPR4 antagonist 1 as a lead compound
to identify 3b as the first potent and selective GPR4 antagonist, whose
effectiveness was demonstrated in a mouse myocardial infarction model.
PMID- 27190600
TI - Discovery of Novel Tricyclic Heterocycles as Potent and Selective DPP-4
Inhibitors for the Treatment of Type 2 Diabetes.
AB - In our efforts to develop second generation DPP-4 inhibitors, we endeavored to
identify distinct structures with long-acting (once weekly) potential. Taking
advantage of X-ray cocrystal structures of sitagliptin and other DPP-4
inhibitors, such as alogliptin and linagliptin bound to DPP-4, and aided by
molecular modeling, we designed several series of heterocyclic compounds as
initial targets. During their synthesis, an unexpected chemical transformation
provided a novel tricyclic scaffold that was beyond our original design.
Capitalizing on this serendipitous discovery, we have elaborated this scaffold
into a very potent and selective DPP-4 inhibitor lead series, as highlighted by
compound 17c.
PMID- 27190601
TI - Structure-Activity Relationship Studies of Isomeric 2,4-Diaminoquinazolines on
beta-Amyloid Aggregation Kinetics.
AB - A library of isomeric 2,4-diaminoquinazoline (DAQ) derivatives were synthesized
and evaluated for antiaggregation potential toward Abeta40/42. Structure-activity
relationship data identified compound 3k (N (4)-(4-bromobenzyl)quinazoline-2,4
diamine) with a 4-bromobenzyl substituent as the most potent inhibitor (Abeta40
IC50 = 80 nM) and was almost 18-fold more potent compared to the reference agent
curcumin (Abeta40 IC50 = 1.5 MUM). The corresponding N (2)-isomer 4k (N (2)-(4
bromobenzyl)quinazoline-2,4-diamine) was also able to prevent Abeta aggregation
(Abeta40 IC50 = 1.7 MUM). However, compound 4k exhibited superior inhibition of
Abeta42 aggregation (Abeta42 IC50 = 1.7 MUM) compared to compound 3k (Abeta42
IC50 = 14.8 MUM) and was ~1.8-fold more potent compared to curcumin (Abeta42 IC50
= 3.1 MUM). These results were supported by Abeta aggregation kinetics
investigations and transmission electron microscopy studies, which demonstrate
the suitability of DAQ ring system to develop antiamyloid agents as
pharmacological tools to study Abeta aggregation.
PMID- 27190602
TI - Structure-Based Design of 1,4-Dibenzoylpiperazines as beta-Catenin/B-Cell
Lymphoma 9 Protein-Protein Interaction Inhibitors.
AB - A small-molecule inhibitor with a 1,4-dibenzoylpiperazine scaffold was designed
to match the critical binding elements in the beta-catenin/B-cell lymphoma 9
(BCL9) protein-protein interaction interface. Inhibitor optimization led to a
potent inhibitor that can disrupt the beta-catenin/BCL9 interaction and exhibit
98-fold selectivity over the beta-catenin/cadherin interaction. The binding mode
of new inhibitors was characterized by structure-activity relationships and site
directed mutagenesis studies. Cell-based studies demonstrated that this series of
inhibitors can selectively suppress canonical Wnt signaling and inhibit growth of
Wnt/beta-catenin-dependent cancer cells.
PMID- 27190603
TI - Utilization of Structure-Based Design to Identify Novel, Irreversible Inhibitors
of EGFR Harboring the T790M Mutation.
AB - A novel series of covalent inhibitors of EGFR (epidermal growth factor receptor)
kinase was discovered through a combination of subset screening and structure
based design. These compounds preferentially inhibit mutant forms of EGFR
(activating mutant and T790M mutant) over wild-type EGFR in cellular assays
measuring EGFR autophosphorylation and proliferation, suggesting an improved
therapeutic index in non-small cell lung cancer patients would be achievable
relative to established EGFR inhibitors. We describe our design approaches,
resulting in the identification of the lead compound 5, and our efforts to
develop an understanding of the structure-activity relationships within this
series. In addition, strategies to overcome challenges around metabolic stability
and aqueous solubility are discussed. Despite limitations in its physical
properties, 5 is orally bioavailable in mice and demonstrates pronounced
antitumor activity in in vivo models of mutant EGFR-driven cancers.
PMID- 27190604
TI - Discovery of Potent, Orally Bioavailable Inhibitors of Human Cytomegalovirus.
AB - A high-throughput screen based on a viral replication assay was used to identify
inhibitors of the human cytomegalovirus. Using this approach, hit compound 1 was
identified as a 4 MUM inhibitor of HCMV that was specific and selective over
other herpes viruses. Time of addition studies indicated compound 1 exerted its
antiviral effect early in the viral life cycle. Mechanism of action studies also
revealed that this series inhibited infection of MRC-5 and ARPE19 cells by free
virus and via direct cell-to-cell spread from infected to uninfected cells.
Preliminary structure-activity relationships demonstrated that the potency of
compound 1 could be improved to a low nanomolar level, but metabolic stability
was a key optimization parameter for this series. A strategy focused on
minimizing metabolic hydrolysis of the N1-amide led to an alternative scaffold in
this series with improved metabolic stability and good pharmacokinetic parameters
in rat.
PMID- 27190606
TI - Discovery of a Fluorinated Enigmol Analog with Enhanced in Vivo Pharmacokinetic
and Anti-Tumor Properties.
AB - The orally bioavailable 1-deoxy-sphingosine analog, Enigmol, has demonstrated
anticancer activity in numerous in vivo settings. However, as no Enigmol analog
with enhanced potency in vitro has been identified, a new strategy to improve
efficacy in vivo by increasing tumor uptake was adopted. Herein, synthesis and
biological evaluation of two novel fluorinated Enigmol analogs, CF3-Enigmol and
CF2-Enigmol, are reported. Each analog was equipotent to Enigmol in vitro, but
achieved higher plasma and tissue levels than Enigmol in vivo. Although plasma
and tissue exposures were anticipated to trend with fluorine content, CF2-Enigmol
absorbed into tissue at strikingly higher concentrations than CF3-Enigmol. Using
mouse xenograft models of prostate cancer, we also show that CF3-Enigmol
underperformed Enigmol-mediated inhibition of tumor growth and elicited systemic
toxicity. By contrast, CF2-Enigmol was not systemically toxic and demonstrated
significantly enhanced antitumor activity as compared to Enigmol.
PMID- 27190605
TI - Fragment-Based Discovery of a Selective and Cell-Active Benzodiazepinone
CBP/EP300 Bromodomain Inhibitor (CPI-637).
AB - CBP and EP300 are highly homologous, bromodomain-containing transcription
coactivators involved in numerous cellular pathways relevant to oncology. As part
of our effort to explore the potential therapeutic implications of selectively
targeting bromodomains, we set out to identify a CBP/EP300 bromodomain inhibitor
that was potent both in vitro and in cellular target engagement assays and was
selective over the other members of the bromodomain family. Reported here is a
series of cell-potent and selective probes of the CBP/EP300 bromodomains, derived
from the fragment screening hit 4-methyl-1,3,4,5-tetrahydro-2H
benzo[b][1,4]diazepin-2-one.
PMID- 27190607
TI - Anemia in a neonate with placental mesenchymal dysplasia.
AB - Causes of intrauterine fetal death (IUFD) are uncertain in most placental
mesenchymal dysplasia (PMD) cases. Our case showed high alpha-fetoprotein levels
in the maternal circulation, markedly dilated subchorionic vessels, and neonatal
hemoglobin concentration of 8.4 g/dL, suggesting that fetal anemia may explain
some adverse outcomes in PMD pregnancies.
PMID- 27190608
TI - Cardiac arrest during spinal anesthesia for cervical conization: a case report.
AB - Spinal anesthesia is regularly performed worldwide and is an integral part of the
modern day anesthesia practice. Although unexpected cardiac arrests during this
procedure are very rare, medical professionals should be aware of the potential
for this complication. In making the decision to use spinal anesthesia, judicious
patient selection, adequate preventive measures, and strict monitoring are
important.
PMID- 27190609
TI - Intrahepatic and extrahepatic aminotransferase elevation associated with clinical
therapeutic events in a schizophrenic patient.
AB - A schizophrenic patient showed rhabdomyolysis with idiopathic transaminitis. The
intermixed pattern of intrahepatic and extrahepatic alanine aminotransferase
(ALT) elevation is associated with respective clinical-therapeutic events.
Aminotransferases play a role as surrogate biomarkers of "liver metabolic
functioning" beyond the obsolete classical concept associating ALT elevation only
with liver cellular damage.
PMID- 27190610
TI - Bone formation in subcutaneous pocket after bone flap preservation.
AB - Residual periosteum developed periosteal bone formation in the pocket 10 years
after cranioplasty, lumpectomy was conducted on the left lower abdomen under
local anesthesia. Pathological sections revealed abundant osteocytes and mature
bone matrix, and confirmed the bone formation on the residual periosteum.
PMID- 27190611
TI - Successful salvage surgery for failed transforaminal lumbosacral interbody fusion
using the anterior transperitoneal approach.
AB - Transforaminal lumbar interbody fusion (TLIF) is a popular posterior spinal
fusion technique, but sometimes require salvage surgery when implant failure
occurs, which involves possible neural damage due to postoperative adhesion. The
current report deals with successful anterior transperitoneal salvage surgery for
failed L5-S TLIF with less neural invasiveness.
PMID- 27190612
TI - Spontaneous pneumothorax due to bronchopleural fistula following reirradiation
for locoregionally recurrent squamous cell lung cancer.
AB - Spontaneous pneumothorax following radiotherapy for pulmonary malignancy is an
unusual clinical condition. Here, we report a case of a 78-year-old male
suffering from dyspnea during radiotherapy for squamous cell lung cancer of the
right main bronchus. Imaging studies and fiberoptic bronchoscopy revealed that
pneumothorax was due to a bronchopleural fistula.
PMID- 27190613
TI - Imatinib for bleomycin induced pulmonary toxicity: a case report and evidence
base review.
AB - The evidence supporting therapy with imatinib for bleomycin-induced pneumonitis
(BIP) is equivocal. Further experience is needed to establish its role in BIP
management. While it may be considered in the management of BIP, it is important
to be mindful of the adverse effects including thrombocytopenia and
gastrointestinal bleeding.
PMID- 27190614
TI - Diabetes insipidus uncovered during conservative management of complicated acute
appendicitis.
AB - Diabetes insipidus (DI) arises from impaired function of antidiuretic hormone,
characterized by hypovolemia, hypernatremia, polyuria, and polydipsia. This case
is a reminder of the rare but challenging obstacle that undiagnosed DI poses in
fasting surgical patients, requiring prompt recognition and vigilant management
of marked homeostatic imbalances.
PMID- 27190615
TI - Teratodermoid mimicking cholecystitis.
AB - An acute abdomen assessment in pregnancy is complicated. Pain can have obstetric
and nonobstetric causes. Cholecystitis is a common cause of pain in pregnancy
with significant morbidity if not managed promptly. We report a case of a
ruptured, torted, right ovarian teratodermoid erroneously diagnosed as
cholecystitis in pregnancy.
PMID- 27190617
TI - The first genetically confirmed Japanese patient with mucolipidosis type IV.
AB - Mucolipidosis type IV (MLIV) is a rare neurodegenerative disorder characterized
by severe psychomotor delay and visual impairment. We report the brain pathology
in the first Japanese patient of MLIV with a novel homozygous missense mutation
in MCOLN1. We detected the localized increase in p62-reactive astrocytes in the
basal ganglia.
PMID- 27190616
TI - Asenapine augmentation in bipolar disorders: a case series.
AB - Asenapine, a novel second-generation antipsychotic is effective in acute
treatment of bipolar I disorder patients in combination with mood stabilizers
even in resistant cases. Although there is no evidence for asenapine's efficacy
to be superior to currently available agents, asenapine's favorable weight and
metabolic profile are of clinical interest.
PMID- 27190618
TI - A review of the role of anticoagulation for patients with infective endocarditis
and embolic stroke.
AB - Stroke is a common embolic complication of infective endocarditis. The most
important treatment to prevent stroke in endocarditis is the initiation of
antibiotic therapy. It is unclear whether the initiation of de novo
anticoagulation (i.e, warfarin) in patients with infective endocarditis is
beneficial, since there are no large or randomized controlled trials in this
area. However, this case report suggests, despite the limited evidence, that
anticoagulation in this patient caused no harm and could suggest a hint of
possible benefit.
PMID- 27190619
TI - A child with mastocytosis and lymphomatoid papulosis.
AB - A change in clinical behavior of a disease should prompt search for differential
diagnoses. Here, the appearance of ulcerated skin nodules in a preexisting
cutaneous mastocytosis revealed a concurrent lymphomatoid papulosis - a CD30+
lymphoproliferative skin disease with histological features of a malignant
lymphoma, but with a benign self-healing course.
PMID- 27190620
TI - Diagnosis of desmoplastic small-round-cell tumor by cytogenetic analysis: a case
report.
AB - We herein present atypical histologic and immunohistochemical features of DSRCT.
The various differential diagnoses of DSRCT may occasionally generate confusion.
Cytogenetic analysis may solve diagnostic dilemmas such as that in our case.
Further studies are required to establish a standard treatment for DSRCT.
PMID- 27190622
TI - An unusual case of lingual tonsillar hypertrophy.
AB - Lingual tonsillar hypertrophy is an unusual presentation of voice change. If
managed incorrectly this group of patients has the potential to deteriorate
significantly causing airway obstruction and potentially death.
PMID- 27190621
TI - Surgical management of a splenic artery aneurysm.
AB - Aneurisms of the splenic artery are rare clinical findings. Surgeons and
interventional radiologists should co-operate in the management of this
challenging disease; we describe here a surgical option.
PMID- 27190623
TI - Multiple nodular lesions following Pneumocystis pneumonia in a non-HIV
immunocompromised patient.
AB - Cytomegalovirus superinfection is associated with a poor prognosis in non-HIV
Pneumocystis pneumonia (PCP) and can cause deterioration of PCP not only
simultaneously but also after initiating PCP treatment. Cytomegalovirus pneumonia
should be considered in cases with deterioration after initiating PCP treatment;
multiple nodular lesions are useful findings for the diagnosis.
PMID- 27190624
TI - Giant diverticulum- A rare complication of a common surgical condition.
AB - A gentleman presented with abdominal distension and pain. CT confirmed a 20 cm
sigmoid diverticulum. A giant diverticulum, typified by diverticula greater than
4 cm, often requires colonic resection. Fewer than 200 cases have been reported,
most measuring 7-15 cm. I present a rare complication of a common surgical
condition with images.
PMID- 27190625
TI - Doctor, I am sweating on just one side of my body: unilateral hyperhidrosis
associated with mesothelioma.
AB - Unilateral hyperhidrosis is rare and should prompt a thorough review for
potentially serious underlying etiologies. Available treatments for unilateral
hyperhidrosis secondary to mesothelioma are limited and its presence as a symptom
usually signifies advanced disease and a poor prognosis.
PMID- 27190626
TI - A dangerous loop.
AB - A 76-year-old man developed a hemoperitoneum after ERCP for choledocholithiasis.
He underwent a laparotomy and splenectomy for a capsular tear at the splenic
hilum, a rare complication of ERCP. "Bowing" of the endoscope with torsion on the
greater curvature of the stomach may lead to shear forces causing splenic injury.
PMID- 27190627
TI - Glimpse into the future: harnessing autophagy to promote anti-tumor immunity with
the DRibbles vaccine.
AB - Because the benefits of immune checkpoint blockade may be restricted to tumors
with pre-existing immune recognition, novel therapies that facilitate de novo
immune activation are needed. DRibbles is a novel multi-valent vaccine that is
created by disrupting degradation of intracellular proteins by the ubiquitin
proteasome system. The DRibbles vaccine is comprised of autophagosome vesicles
that are enriched with defective ribosomal products and short-lived proteins,
known tumor-associated antigens, mediators of innate immunity, and surface
markers that encourage phagocytosis and cross-presentation by antigen presenting
cells. Here we summarize the rationale and preclinical development of DRibbles,
translational evidence in support of DRibbles as a therapeutic strategy in
humans, as well as recent developments and expected future directions of the
DRibbles vaccine in the clinic.
PMID- 27190628
TI - Concurrent SPECT/PET-CT imaging as a method for tracking adoptively transferred T
cells in vivo.
AB - BACKGROUND: The ability of T-cells to traffic to and penetrate tumors impacts the
clinical efficacy of T-cell therapy therefore methods to track transferred T
cells in vivo are needed. In this preliminary report, we evaluated the use of
concurrent SPECT/PET-CT imaging to monitor the egress of HER-2/neu specific T
cells in a breast cancer patient with extensive bone-only metastatic disease.
FINDINGS: Indium (In-111) labeled T-cells demonstrated similar or greater
viability than unlabeled T-cells at either a low or high dose of In-111 over a 24
h incubation period in vitro. The function of labeled or unlabeled T-cells was
not significantly different (p > 0.05) at either dose. T-cells trafficked to all
sites of metastatic disease and infiltrated the tumor as assessed by SPECT
imaging. In-111 uptake at 24 h after infusion varied from 3.8 (right proximal
humerus) to 6.3 (right sacrum) background corrected counts per pixel and remained
elevated at 48 h. Concurrent PET-CT imaging demonstrated a fluorodeoxyglucose
flare, measured by increase in tumor site uptake as high as 32 % and at most
sites of disease at 48 h. This flare was associated with focal pain after T-cell
infusion at metastatic sites. The patient had stable disease for 18 months after
completion of T-cell therapy. CONCLUSION: Concurrent SPECT/PET-CT imaging, over a
48-h period after T-cell infusion, provided evidence of T-cell homing to all
disease sites as well as a tumor metabolism flare response. This technique may be
useful for monitoring T-cell trafficking after autologous as well as chimeric
antigen receptor T-cell infusion. TRIAL REGISTRAION: Trial registered at
ClinicalTrials.gov registration number NCT00791037, registered 13 November 2008.
PMID- 27190630
TI - Can abscopal effects of local radiotherapy be predicted by modeling T cell
trafficking?
AB - The abscopal effect of radiation describes tumor regression in metastases outside
of the field upon treatment of one site, and is mediated by radiation-induced
anti-tumor T cells. The ability of radiation to generate an in situ tumor vaccine
and improve responses to immunotherapy is under intense investigation in the
clinic. Preclinical and clinical evidence shows that multiple factors regulate
radiation interaction with the immune system within and outside of the irradiated
tumor. Poleszczuk and colleagues developed a mathematical model of T cell
trafficking between metastases, and in a recent publication propose that the
specific metastatic site irradiated determines the ability of T cells to traffic
to other metastases and mediate abscopal responses and should dictate clinical
decision making [Poleszczuk et al. Cancer Res 76:1009-18, 2016]. Here we
critically discuss this model in light of the currently available information
about abscopal responses in mice and patients. Caution in relying upon overly
simplified models, before validation in real patients, is recommended.
PMID- 27190629
TI - Agonist anti-GITR monoclonal antibody and stereotactic radiation induce immune
mediated survival advantage in murine intracranial glioma.
AB - BACKGROUND: Glioblastoma (GBM) is a poorly immunogenic neoplasm treated with
focused radiation. Immunotherapy has demonstrated synergistic survival effects
with stereotactic radiosurgery (SRS) in murine GBM. GITR is a co-stimulatory
molecule expressed constitutively on regulatory T-cells and by effector T-cells
upon activation. We tested the hypothesis that anti-GITR monoclonal antibody
(mAb) and SRS together would confer an immune-mediated survival benefit in glioma
using the orthotopic GL261 glioma model. METHODS: Mice received SRS and anti-GITR
10 days after implantation. The anti-GITR mAbs tested were formatted as mouse
IgG1 D265A (anti-GITR (1)) and IgG2a (anti-GITR (2a)) isotypes. Mice were
randomized to four treatment groups: (1) control; (2) SRS; (3) anti-GITR; (4)
anti-GITR/SRS. SRS was delivered to the tumor in one fraction, and mice were
treated with mAb thrice. Mice were euthanized on day 21 to analyze the
immunologic profile of tumor, spleen, and tumor draining lymph nodes. RESULTS:
Anti-GITR (1)/SRS significantly improved survival over either treatment alone (p
< .0001) with a cure rate of 24 % versus 0 % in a T-lymphocyte-dependent manner.
There was elevated intratumoral CD4+ effector cell infiltration relative to Treg
infiltration in mice treated with anti-GITR (1)/SRS, as well as significantly
elevated IFNgamma and IL-2 production by CD4+ T-cells and elevated IFNgamma and
TNFalpha production by CD8+ T-cells. There was increased mRNA expression of M1
markers and decreased expression of M2 markers in tumor infiltrating mononuclear
cells. The anti-GITR (2a)/SRS combination did not improve survival, induce tumor
regression, or result in Treg depletion. CONCLUSIONS: These findings provide
preclinical evidence for the use of anti-GITR (1) non-depleting antibodies in
combination with SRS in GBM.
PMID- 27190631
TI - The MUK five protocol: a phase II randomised, controlled, parallel group, multi
centre trial of carfilzomib, cyclophosphamide and dexamethasone (CCD) vs.
cyclophosphamide, bortezomib (Velcade) and dexamethasone (CVD) for first relapse
and primary refractory multiple myeloma.
AB - BACKGROUND: Multiple myeloma is a plasma cell tumour with an annual incidence in
the UK of approximately 40-50 per million i.e. about 4500 new cases per annum.
The triple combination cyclophosphamide, bortezomib (Velcade(r)) and
dexamethasone (CVD) is an effective regimen at relapse and has emerged in recent
years as the standard therapy at first relapse in the UK. Carfilzomib has good
activity as a single agent in the relapsed setting, and it is expected that
efficacy will be improved when used in combination with dexamethasone and
cyclophosphamide. METHODS: MUK Five is a phase II open label, randomised,
controlled, parallel group, multi-centre trial that will compare the activity of
carfilzomib, cyclophosphamide and dexamethasone (CCD) with that of CVD, given
over an equivalent treatment period (24 weeks), in participants with multiple
myeloma at first relapse, or refractory to no more than 1 line of treatment. In
addition, the study also aims to assess the utility of a maintenance schedule of
carfilzomib in these participants. The primary objective of the trial is to
assess whether CCD provides non-inferior activity in terms of >= VGPR rates at 24
weeks, and whether the addition of maintenance treatment with carfilzomib to CCD
provides superior activity in terms of progression-free survival, as compared to
CCD with no maintenance. Secondary objectives include comparing toxicity
profiles, further summarizing and comparing the activity of the different
treatment arms and analysis of the effect of each treatment arm on minimal
residual disease status. DISCUSSION: The development of carfilzomib offers the
opportunity to further explore the anti-tumour efficacy of proteasome inhibition
and, based on the available evidence, it is important and timely to obtain data
on the activity, toxicity and tolerability of this drug. In contrast to ongoing
phase III trials, this phase II trial has a unique subset of participants
diagnosed with multiple myeloma at first relapse or refractory to no more than 1
line of treatment and will also evaluate the utility of maintenance with
carfilzomib for up to 18 months and investigate minimal residual disease status
to provide information on depth of response and the prognostic impact thereof.
TRIAL REGISTRATION: The trial is registered under ISRCTN17354232, December 2012.
PMID- 27190632
TI - The pharmacokinetics of mianserin suppositories for rectal administration in dogs
and healthy volunteers: a pilot study.
AB - BACKGROUND: We formulated mianserin suppositories for the treatment of delirium
and evaluated their pharmacokinetics by measuring plasma drug concentrations in
dogs and healthy human volunteers. METHODS: Mianserin suppositories were prepared
by a melting technique using Tetramide(r) tablets and Witepsol H-15 as the
suppository base. Pharmacokinetics of this 30-mg mianserin preparation were
evaluated in three beagle dogs and three healthy adult males, in line with ethics
committee approval. Plasma mianserin levels were determined using gas
chromatography-mass spectrometry. RESULTS: In dogs, the maximum plasma mianserin
concentration (Cmax) was 1.3 +/- 0.4 ng/mL, the time to Cmax (tmax) was 5.5 +/-
4.3 h, and the area under the plasma concentration-time curve from 0 to 24 h
(AUC0-24) was 18.9 +/- 1.9 h?ng/mL. In humans, the Cmax was 14.6 +/- 6.3 ng/mL,
the tmax was 8 h, and the AUC0-24 was 266 +/- 103 h?ng/mL. CONCLUSIONS: The
current study characterized the pharmacokinetics of mianserin suppositories in
dogs and humans. As compared to oral administration, the suppositories produced a
lower Cmax and a delayed tmax, although AUC0-24 values were comparable. It will
be necessary to identify an appropriate dose that produces an adequate plasma
mianserin concentration for effective and safe clinical use. TRIAL REGISTRATION:
UMIN000013853.
PMID- 27190633
TI - Clinical assessment of hypertension in children.
AB - The use of blood pressure measurements have become a routine part of physical
exam for the evacuation of cardiovascular health adults and, more recently,
children. The most widely used definition of hypertension is delineate as greater
than 90 % BP according to age, sex, and height by the National High Blood
Pressure Education Program. Current research suggests that pediatric hypertension
is influenced by multitude of factors including birth weight, maturity during
birth, heredity, and diet leading to primary hypertension. Factors influencing
secondary hypertension include renal abnormalities, coarctation of the aorta,
medications, neoplasm, etc. The treatment for pediatric hypertension is carried
out with diet and exercise as the first line of defense. Only under non
compliance with diet and exercise is pharmaceutical intervention appropriate.
This paper outlines a concise summary of the current understanding and research
for scientists, clinicians, as well as for the general population to better
understand pediatric hypertension.
PMID- 27190634
TI - Neobenedenia melleni Parasite of Red Snapper, Lutjanus erythropterus, with
Regression Statistical Analysis between Fish Length, Temperature, and Parasitic
Intensity in Infected Fish, Cultured at Jerejak Island, Penang, Malaysia.
AB - The fish parasites collected from Lutjanus erythropterus fish species showed a
correlation with parasitic intensity, fish size, and temperature, and statistical
model summary was produced using SPSS version 20, statistical software.
Statistical model summary concluded that among the variables which significantly
predict the prevalence of Neobenedenia melleni parasites are fish length and
water temperature, both significant at 1% and 5%. Furthermore, the increase in
one unit of fish length, holding other variables constant, increases the
prevalence of parasite by approximately 1 (0.7~1) unit. Also, increasing the
temperature from 32 degrees C to 33 degrees C will positively increase the number
of parasites by approximately 0.32 units, holding other variables constant. The
model can be summarized as estimated number of Neobenedenia melleni parasites =
8.2 + 0.7 * (fish length) + 0.32 * (water temperature). Next, this study has also
shown the DNA sequence and parasitic morphology of Neobenedenia melleni.
Nucleotide sequence for 18s ribosomal gene RNA in this study showed 99%
similarity with N. melleni EU707804.1 from GenBank. Finally, all the sequence of
Neobenedenia melleni in this study was deposited in GenBank with accession
numbers of KU843501, KU843502, KU843503, and KU843504.
PMID- 27190635
TI - Two-Dimensional Cutting (TDC) Vitrectome: In Vitro Flow Assessment and
Prospective Clinical Study Evaluating Core Vitrectomy Efficiency versus Standard
Vitrectome.
AB - Purpose. To evaluate comparative aspiration flow performance and also vitrectomy
operating time efficiency using a double-cutting open port vitreous cutting
system incorporated in a two-dimensional cutting (TDC, DORC International)
vitrectome design versus standard vitreous cutter. Methods. In vitro
investigations compared aspiration flow rates in artificial vitreous humor at
varying cutter speeds and vacuum levels using a TDC vitrectome and a standard
vitrectome across different aspiration pump systems. A prospective single-centre
clinical study evaluated duration of core vitrectomy in 80 patients with macular
pucker undergoing 25-gauge or 27-gauge vitrectomy using either a TDC vitrectome
at 16,000 cuts per minute (cpm) or standard single-cut vitrectome, combined with
a Valve Timing intelligence (VTi) pump system (EVA, DORC International). Results.
Aspiration flow rates remained constant independent of TDC vitrectome cut rate,
while flow rates decreased linearly at higher cutter speeds using a classic
single-blade vitrectome. Mean duration of core vitrectomy surgeries using a TDC
vitreous cutter system was significantly (p < 0.001) shorter than the mean
duration of core vitrectomy procedures using a single-cut vitrectome of the same
diameter (reduction range, 34%-50%). Conclusion. Vitrectomy surgery performed
using a TDC vitrectome was faster than core vitrectomy utilizing a standard
single-action vitrectome at similar cut speeds.
PMID- 27190636
TI - Automatic Screening and Grading of Age-Related Macular Degeneration from Texture
Analysis of Fundus Images.
AB - Age-related macular degeneration (AMD) is a disease which causes visual
deficiency and irreversible blindness to the elderly. In this paper, an automatic
classification method for AMD is proposed to perform robust and reproducible
assessments in a telemedicine context. First, a study was carried out to
highlight the most relevant features for AMD characterization based on texture,
color, and visual context in fundus images. A support vector machine and a random
forest were used to classify images according to the different AMD stages
following the AREDS protocol and to evaluate the features' relevance. Experiments
were conducted on a database of 279 fundus images coming from a telemedicine
platform. The results demonstrate that local binary patterns in multiresolution
are the most relevant for AMD classification, regardless of the classifier used.
Depending on the classification task, our method achieves promising performances
with areas under the ROC curve between 0.739 and 0.874 for screening and between
0.469 and 0.685 for grading. Moreover, the proposed automatic AMD classification
system is robust with respect to image quality.
PMID- 27190637
TI - Multimodal Image Analysis in Acquired Vitelliform Lesions and Adult-Onset
Foveomacular Vitelliform Dystrophy.
AB - Purpose. To characterize vitelliform lesions (VLs) in adult-onset foveomacular
vitelliform dystrophy (AOFVD) and acquired vitelliform (AVL) patients using
multimodal image analysis. Methods. Retrospective study of twenty-eight eyes from
nineteen patients diagnosed with AVL or AOFVD. They were evaluated by color
fundus photographs, fundus autofluorescence (FAF), fluorescein angiography (FA),
and spectral-domain optical coherence tomography (SD-OCT). Results. Bilateral VLs
were associated with AOFVD (p = 0.013). Regular and centered VLs were associated
with AOFVD (p = 0.004 and p = 0.016), whereas irregular and noncentered lesions
were more frequent in AVL patients. Visual acuity, greatest linear dimension
(GLD), lesion height (LH), and pseudohypopyon were similar between groups.
Whereas median LH and GLD in AVL group diminished significantly during follow-up
(p = 0.009 and p = 0.001), AOFVD lesions tended to become larger and thicker.
Conclusions. When consulting a patient presenting a VL with unknown age of onset,
familial history, or previous retinal diseases, some aspects of multimodal
imaging assessment may lead the ophthalmologist to a correct diagnosis.
PMID- 27190638
TI - Hyaluronate Acid-Dependent Protection and Enhanced Corneal Wound Healing against
Oxidative Damage in Corneal Epithelial Cells.
AB - Purpose. To evaluate the effects and mechanism of exogenous hyaluronate (HA) in
promoting corneal wound healing. Methods. Human corneal epithelial cells (HCECs)
were incubated with different concentrations of HA to evaluate their efficiency
in promoting cell migration and their modulation of repair factors. After
inducing hyperosmolar conditions, the cell morphologies, cell apoptosis, and
expression levels of TNF-alpha and MMP-9 were detected to assess the protective
role of HA. Corneal epithelium-injured rat models were established to test the
therapeutic effects of 0.3% HA. Then, the wound healing rates, the RNA expression
levels of inflammatory cytokines, and repair factors were examined. Results.
HCECs in the 0.03% and 0.3% HA groups showed fewer morphological alterations and
lower rates of cell apoptosis following preincubation with HA under hyperosmolar
conditions, as well as the expression levels of MMP-9 and TNF-alpha. In the rat
model, the areas of fluorescein staining in the corneas of 0.3% HA group were
significantly smaller than the control group. The expression levels of IL-1beta
and MMP-9 were decreased, while CD44 and FN were increased in the 0.3% HA group.
Conclusion. HA enhanced corneal epithelial cell wound healing by promoting cell
migration, upregulating repair responses, and suppressing inflammatory responses.
PMID- 27190639
TI - Repeatability and Reproducibility of Noninvasive Keratograph 5M Measurements in
Patients with Dry Eye Disease.
AB - Purpose. To determine the intraexaminer repeatability and interexaminer
reproducibility of tear meniscus height (TMH) and noninvasive Keratograph tear
breakup time (NIKBUT) measurements obtained with the Keratograph 5M (K5M) in a
sample of healthy and dry eye populations. Methods. Forty-two patients with dry
eye disease (DED group) and 42 healthy subjects (healthy group) were recruited in
this prospective study. In all subjects, each eye received 3 consecutive
measurements using the K5M for the TMH and NIKBUTs (NIKBUT-first and NIKBUT
average). And then a different examiner repeated the measurements. The
repeatability and reproducibility of measurements were assessed by the
coefficient of variation (CV) and intraclass correlation coefficient (ICC).
Results. The repeatability and reproducibility of TMH and NIKBUTs were good in
both DED and healthy groups (CV% <= 26.1% and ICC >= 0.75 for all measurements).
Patients with DED showed better intraexaminer repeatability for NIKBUTs, but
worse for TMH than healthy subjects. Average TMH, NIKBUT-first, and NIKBUT
average were significantly lower in DED group than in healthy group (all P values
< 0.05). Conclusions. Measurements of TMH and NIKBUTs obtained with the K5M may
provide a simple, noninvasive screening test for dry eye with acceptable
repeatability and reproducibility. The NIKBUTs were more reliable, but TMH was
less reliable in patients with DED.
PMID- 27190640
TI - Comparison of the Efficacy of Intravitreal Aflibercept and Bevacizumab for
Macular Edema Secondary to Branch Retinal Vein Occlusion.
AB - Fifty-two eyes of 52 patients with treatment-naive macular edema associated with
perfused branch retinal vein occlusion were retrospectively reviewed. Twenty
seven cases received PRN intravitreal bevacizumab, and 25 cases were treated by
PRN intravitreal aflibercept with monthly follow-ups for 12 months. Both
aflibercept and bevacizumab were effective in reduction of macular thickness and
improvement of visual acuity for the participants. Both antivascular endothelial
growth factor agents had similar efficacy and duration of treatment for these
eyes with macular edema secondary to branch retinal vein occlusion during a 12
month period. No serious systemic or ocular adverse events were reported.
PMID- 27190641
TI - Relationship between Altered Platelet Morphological Parameters and Retinopathy in
Patients with Type 2 Diabetes Mellitus.
AB - Purpose. To investigate whether platelet morphology or function is altered in
patients with diabetic retinopathy (DR). Methods. This prospective study enrolled
85 healthy controls (HCs) (group 1) and 262 patients with Type 2 diabetes
mellitus (T2DM). Patients were subclassified into three groups according to
ocular findings: no DR (group 2; n = 88); nonproliferative DR (group 3; n = 88),
and proliferative DR (group 4; n = 86). Mean platelet volume (MPV), platelet
distribution width (PDW), platelet large cell ratio (PLCR), plateletcrit (PCT)
values, and platelet count were measured in the studied groups. Results. MPV,
PDW, and PLCR levels were significantly altered in groups 2-4 compared with HCs
(p < 0.05, p < 0.05, p < 0.05). Compared with group 2, both DR groups had higher
MPV and PDW levels, with a significant difference between groups 2 and 4 for both
MPV (p = 0.036) and PDW (p = 0.006). PLCR correlated with retinopathy stage, but
no significant difference was found between the DR groups. Platelet count and PCT
values were not significantly different between the groups (p > 0.05).
Conclusion. Our findings suggest an association between mean platelet indices
(MPI) (i.e., MPV, PDW, and PLCR) and DR stage. Therefore, MPI could be a
beneficial prognostic marker of DR in patients with T2DM.
PMID- 27190642
TI - Long-Term Observation of Triplex Surgery for Cataract after Phakic 6H
Implantation for Super High Myopia.
AB - Purpose. To analyze the safety, effectiveness, and stability of triplex surgery
for phakic 6H anterior chamber phakic intraocular lens explantation and
phacoemulsification with in-the-bag IOL implantation for super high myopia in
long-term observations. Methods. This retrospective case series evaluated 16 eyes
of 10 patients who underwent triplex surgery. Best corrected visual acuity
(BCVA), endothelial cell density (ECD), and associated adverse events were
evaluated. Results. The mean follow-up time after the triplex surgery was 46 +/-
14 months. The mean logMAR BCVA was significantly improved after triplex surgery
(P = 0.047). One eye developed endophthalmitis five days postoperatively and
underwent pars plana vitrectomy (PPV). Five eyes with preoperative severe
endothelial cell loss developed corneal decompensation and underwent keratoplasty
at a mean time of 9.4 +/- 2.6 months after the triplex surgery. One eye had graft
failure and underwent a second keratoplasty. The eye developed rhegmatogenous
retinal detachment and underwent PPV with silicone oil 18 months later. ECD
before the triplex surgery was not significantly different compared with that at
last follow-up (P = 0.495) apart from these five eyes. Three eyes (18.8%)
developed posterior capsule opacification. Conclusions. Triplex surgery was safe
and effective for phakic 6H related complicated cataracts. Early extraction
before severe ECD loss is recommended.
PMID- 27190643
TI - Evaluation of Antidiabetic and Antihyperlipidemic Effects of Peganum harmala
Seeds in Diabetic Rats.
AB - The present study was carried out to investigate the antidiabetic and
antihyperlipidemic properties of hydroalcoholic extract of Peganum harmala in
streptozotocin-induced diabetic male rats. In an experimental study, 64 normal
Wistar albino male rats (200-230 g) were randomly divided into 8 groups. Control
and diabetic rats were treated with normal saline and three different doses (30,
60, and 120 mg/kg) of hydroalcoholic extract of Peganum harmala seeds for 4 weeks
orally. At the end of treatment, blood samples were taken and glucose,
triglycerides, total cholesterol, LDL-c, HDL-c, malondialdehyde (MDA), total
antioxidant capacity (TCA), ALT, AST, GGT, bilirubin, and glycosylated hemoglobin
(HbA1C) were determined. STZ-induced diabetic rats showed significant changes in
the values of glucose, triglycerides, total cholesterol, LDL-c, MDA, TAC, ALT,
AST, GGT, bilirubin, and HbA1C in comparison with normal rats. Administration of
the extract to diabetic rats resulted in a remarkable decrease in glucose, lipid
profiles, MDA, ALT, AST, GGT, bilirubin, and HbA1C levels and increase in TAC
relative to diabetic group. The results of this study indicated that
hydroalcoholic extract of Peganum harmala seeds possesses antidiabetic and
hypolipidemic activities and could be useful in treatment of diabetes.
PMID- 27190644
TI - Liposarcoma of the Spermatic Cord: Impact of Final Surgical Intervention--An
Institutional Experience.
AB - BACKGROUND: Paratesticular liposarcomas are almost always mistakenly diagnosed as
inguinal hernias subsequently followed by inadequate operation. METHODS: 14
consecutive patients with paratesticular liposarcoma were retrospectively
reviewed. Preoperative management was evaluated. Disease-free and overall
survival were determined. RESULTS: In 11 patients primary and in 3 patients
recurrent liposarcoma of the spermatic cord were diagnosed. Regarding primary
treatment in primary surgical intervention resection was radical (R0) in 7 of 14
(50%) patients, marginal (R1) in 6 (43%) patients, and incomplete with
macroscopic residual tumour (R2) in 1 (7%) patient. Primary treatment secondary
surgical intervention was performed in 4 patients: resection was radical (R0) in
3 (75%) patients and marginal (R1) in 1 (25%) patient. Regarding secondary
treatment in recurrent disease resection was marginal (R1) in 3 patients (100%).
Final histologic margins were negative in 10 patients with primary disease (71%)
and positive in 4 patients with subsequent recurrent disease. After radical
resection disease-free survival rates at 3 years were 100%. Overall survival at
4.5 years (54 (18-180) months) was 64%. CONCLUSION: An incomplete first surgical
step increases the number of positive margins leading to local recurrences and
adverse prognoses. Aggressive surgery should be attempted to attain 3-dimensional
negative margins.
PMID- 27190645
TI - Does Acellular Dermal Matrix Thickness Affect Complication Rate in Tissue
Expander Based Breast Reconstruction?
AB - Background. While the benefits of using acellular dermal matrices (ADMs) in
breast reconstruction are well described, their use has been associated with
additional complications. The purpose of this study was to determine if ADM
thickness affects complications in breast reconstruction. Methods. A
retrospective chart review was performed including all tissue expander based
breast reconstructions with AlloDerm (LifeCell, Branchburg, NJ) over 4 years. We
evaluated preoperative characteristics and assessed postoperative complications
including seroma, hematoma, infection, skin necrosis, and need for
reintervention. We reviewed ADM thickness and time to Jackson-Pratt (JP) drain
removal. Results. Fifty-five patients underwent 77 ADM-associated tissue expander
based breast reconstructions, with average age of 48.1 years and average BMI of
25.9. Average ADM thickness was 1.21 mm. We found higher complication rates in
the thick ADM group. Significant associations were found between smokers and skin
necrosis (p < 0.0001) and seroma and prolonged JP drainage (p = 0.0004); radiated
reconstructed breasts were more likely to suffer infections (p = 0.0085), and
elevated BMI is a significant predictor for increased infection rate (p =
0.0037). Conclusion. We found a trend toward increased complication rates with
thicker ADMs. In the future, larger prospective studies evaluating thickness may
provide more information.
PMID- 27190646
TI - Hematological and Biochemistry Profile and Risk Factors Associated with Pulmonary
Tuberculosis Patients in Guyana.
AB - Objective. To evaluate the hematological and biochemistry profile of patients
with or without HIV-TB at the Georgetown Chest Clinic, Guyana. Methods. An
observational, laboratory based study was designed to assess the relationship of
PTB and HIV with patients routine biochemical and hematological values. The study
was conducted during the period January 2013 to December 2014; a total sample
size of 316 patients was enrolled following exclusion and inclusion criteria.
Results. Mean age of study population was 40.1 +/- 13.8 (95% CI 38.6-41.7) and
most were between 40 and 49 age group (27.8%, 95% CI 23.2-33.0). More males were
in the study 74.4% (95% CI 69.3-78.8) than females 81% (95% CI 21.1-30.7). 30%
(95% CI 25.3-35.3) had a sputum smear grade of 3+ and 62.5% (95% CI 47.0-75.7)
showed a CD4 count <200. The study demonstrated significantly low hemoglobin (Hb)
91.7% (95% CI 78.2-97.1), low WBC 27.8% (95% CI 15.8-44.0), high indirect
bilirubin 7.4% (95% CI 2.1-23.3), ALT 41.8% (95% CI 28.4-56.7), and AST 72.2%
(95% CI 57.3-83.3) among TB-HIV patients. Homelessness RR (relative risk) 2.2
(95% CI 0.48-12.3), smoking RR 1.09 (95% CI 1.01-1.19), and gender (male) RR 1.2
(95% CI 0.61-2.26) were main associated risk factors. Conclusions. There is
slight variation among PTB and PTB-HIV coinfected patients in some hematological
and biochemistry parameters.
PMID- 27190647
TI - Preoperative Quantitative MR Tractography Compared with Visual Tract Evaluation
in Patients with Neuropathologically Confirmed Gliomas Grades II and III: A
Prospective Cohort Study.
AB - Background and Purpose. Low-grade gliomas show infiltrative growth in white
matter tracts. Diffusion tensor tractography can noninvasively assess white
matter tracts. The aim was to preoperatively assess tumor growth in white matter
tracts using quantitative MR tractography (3T). The hypothesis was that suspected
infiltrated tracts would have altered diffusional properties in infiltrated tract
segments compared to noninfiltrated tracts. Materials and Methods. Forty-eight
patients with suspected low-grade glioma were included after written informed
consent and underwent preoperative diffusion tensor imaging in this prospective
review-board approved study. Major white matter tracts in both hemispheres were
tracked, segmented, and visually assessed for tumor involvement in thirty-four
patients with gliomas grade II or III (astrocytomas or oligodendrogliomas) on
postoperative neuropathological evaluation. Relative fractional anisotropy (rFA)
and mean diffusivity (rMD) in tract segments were calculated and compared with
visual evaluation and neuropathological diagnosis. Results. Tract segment
infiltration on visual evaluation was associated with a lower rFA and high rMD in
a majority of evaluated tract segments (89% and 78%, resp.). Grade II and grade
III gliomas had similar infiltrating behavior. Conclusion. Quantitative MR
tractography corresponds to visual evaluation of suspected tract infiltration. It
may be useful for an objective preoperative evaluation of tract segment
involvement.
PMID- 27190648
TI - Towards Tailored Patient's Management Approach: Integrating the Modified 2010 ACR
Criteria for Fibromyalgia in Multidimensional Patient Reported Outcome Measures
Questionnaire.
AB - Objectives. To assess the validity, reliability, and responsiveness to change of
a patient self-reported questionnaire combining the Widespread Pain Index and the
Symptom Severity Score as well as construct outcome measures and comorbidities
assessment in fibromyalgia patients. Methods. The PROMs-FM was conceptualized
based on frameworks used by the WHO Quality of Life tool and the PROMIS.
Initially, cognitive interviews were conducted to identify item pool of
questions. Item selection and reduction were achieved based on patients as well
as an interdisciplinary group of specialists. Rasch and internal consistency
reliability analyses were implemented. The questionnaire included the modified
ACR criteria main items (Symptom Severity Score and Widespread Pain Index), in
addition to assessment of functional disability, quality of life (QoL), review of
the systems, and comorbidities. Every patient completed HAQ and EQ-5D
questionnaires. Results. A total of 146 fibromyalgia patients completed the
questionnaire. The PROMs-FM questionnaire was reliable as demonstrated by a high
standardized alpha (0.886-0.982). Content construct assessment of the functional
disability and QoL revealed significant correlation (p < 0.01) with both HAQ and
EQ-5D. Changes in functional disability and QoL showed significant (p < 0.01)
variation with diseases activity status in response to therapy. There was higher
prevalence of autonomic symptoms, CVS risk, sexual dysfunction, and falling.
Conclusions. The developed PROMs-FM questionnaire is a reliable and valid
instrument for assessment of fibromyalgia patients. A phased treatment regimen
depending on the severity of FMS as well as preferences and comorbidities of the
patient is the best approach to tailored patient management.
PMID- 27190649
TI - Purification and Characterization of a Novel Intracellular Sucrase Enzyme of
Leishmania donovani Promastigotes.
AB - The promastigote stage of Leishmania resides in the sand fly gut, enriched with
sugar molecules. Recently we reported that Leishmania donovani possesses a
sucrose uptake system and a stable pool of intracellular sucrose metabolizing
enzyme. In the present study, we purified the intracellular sucrase nearly to its
homogeneity and compared it with the purified extracellular sucrase. The
estimated size of intracellular sucrase is ~112 kDa by gel filtration
chromatography, native PAGE, and substrate staining. However, in SDS-PAGE, the
protein is resolved at ~56 kDa, indicating the possibility of a homodimer in its
native state. The kinetics of purified intracellular sucrase shows its higher
substrate affinity with a K m of 1.61 mM than the extracellular form having a K m
of 4.4 mM. The highly specific activity of intracellular sucrase towards sucrose
is optimal at pH 6.0 and at 30 degrees C. In this report the purification and
characterization of intracellular sucrase provide evidence that sucrase enzyme
exists at least in two different forms in Leishmania donovani promastigotes. This
intracellular sucrase may support further intracellular utilization of
transported sucrose.
PMID- 27190650
TI - Repeated Exposure to Dissection Does Not Influence Students' Attitudes towards
Human Body Donation for Anatomy Teaching.
AB - The use of unclaimed bodies for anatomical dissection has been the main method of
instruction at our institution. There is however a shortage of cadavers for
dissection given the increase in the number of medical schools as well as in the
number of students enrolling in these schools. This shortage could be mitigated
by having voluntary human body donation programs. This study aimed at assessing
the attitudes of medical students and surgical residents towards body donation
for anatomy learning. We conducted an online survey involving 72 first-year
medical students and 41 surgical residents at University of Nairobi who had
completed one year of anatomy dissection. For the medical students, this was
their first dissection experience while it was the second exposure for the
surgery trainees. Most of the surgical trainees (70.7%) and medical students
(68.1%) were opposed to self-body donation. This was mainly due to cultural (37%)
and religious (20%) barriers. Surprisingly, of those not willing to donate
themselves, 67.9% (82.8% surgical trainees, 59.2% medical students) would
recommend the practice to other people. Exposure to repeated dissection does not
change the perceptions towards body donation. It is noteworthy that culture and
religion rank high as clear barriers amongst this "highly informed" group of
potential donors.
PMID- 27190652
TI - SOD1 Gene +35A/C (exon3/intron3) Polymorphism in Type 2 Diabetes Mellitus among
South Indian Population.
AB - Superoxide dismutase is an antioxidant enzyme that is involved in defence
mechanisms against oxidative stress. Cu/Zn SOD is a variant that is located in
exon3/intron3 boundary. The aim of the present study was to investigate whether
the Cu/Zn SOD (+35A/C) gene polymorphism is associated with the susceptibility to
type 2 diabetes mellitus among south Indian population. The study included
patients with type 2 diabetes mellitus (n = 100) and healthy controls (n = 75).
DNA was isolated from the blood and genotyping of Cu/Zn SOD gene polymorphism was
done by polymerase chain reaction based restriction fragment length polymorphism
method. Occurrence of different genotypes and normal (A) and mutant (C) allele
frequencies were determined. The frequency of the three genotypes of the total
subjects was as follows: homozygous wild-type A/A (95%), heterozygous genotype
A/C (3%), and homozygous mutant C/C (2%). The mutant (C) allele and the mutant
genotypes (AC/CC) were found to be completely absent among the patients with type
2 diabetes mellitus. Absence of mutant genotype (CC) shows that the Cu/Zn SOD
gene polymorphism may not be associated with the susceptibility to type 2
diabetes mellitus among south Indian population.
PMID- 27190651
TI - Poly(lactic-co-glycolic) Acid-Chitosan Dual Loaded Nanoparticles for
Antiretroviral Nanoformulations.
AB - Poly(lactic-co-glycolic acid) (PLGA) chitosan (CS) coated nanoparticles (NPs)
were loaded with two antiretrovirals (ARVs) either lamivudine (LMV) which is
hydrophilic or nevirapine (NVP) which is hydrophobic or both LMV and NVP. These
ARVs are of importance in resource-limited settings, where they are commonly used
in human immunodeficiency virus (HIV-1) treatment due to affordability and
accessibility. NPs prepared by a water-oil-water emulsion and reduced pressure
solvent evaporation technique were determined to have a positive zeta potential,
a capsule-like morphology, and an average hydrodynamic diameter of 240 nm.
Entrapment of NVP as a single ARV had a notable increase in NP size compared to
LMV alone or in combination with LMV. NPs stored at room temperature in distilled
water maintained size, polydispersity (PDI), and zeta potential for one year. No
changes in size, PDI, and zeta potential were observed for NPs in 10% sucrose in
lyophilized or nonlyophilized states stored at 4 degrees C and -20 degrees C,
respectively. Freezing NPs in the absence of sucrose increased NP size. Drug
loading, encapsulation efficiency, and kinetic release profiles were quantified
by high performance liquid chromatography (HPLC). Our novel nanoformulations have
the potential to improve patient outcomes and expand drug access in resource
limited countries for the treatment of HIV-1.
PMID- 27190653
TI - Early Functional Treatment and Modern Cast Making for Indications in Hand
Surgery.
AB - Cast treatment can serve both as a nonsurgical treatment option and as a means
for providing postoperative protection. However, with the duration of
immobilization intervals, the benefits of cast treatment, especially in hand
surgery, are at risk of being outweighed by undesired drawbacks such as joint
stiffening and contracture formation. In order to minimize potential
complications commonly associated with cast treatment, efforts to further improve
cast making must attempt to reconcile two conflicting objectives: (1) to achieve
stability and rigidity at the site of injury (e.g., fracture retention) and (2)
to allow free range of joint movement as early as possible. In addition, in order
to assure patient compliance, modern cast treatments should aim to improve
wearing-comfort of the cast. This paper describes modern cast designs for four
common types hand injuries, with sample cases highlighting the clinical outcome
of each treatment.
PMID- 27190656
TI - Ventricular Septal Perforation after Biventricular Takotsubo Cardiomyopathy
Successfully Repaired with an Amplatzer Device: First Report in the Literature.
AB - A 79-year-old female was admitted with sudden onset dyspnea, mild oppressive
chest pain, and severe anxiety disorder. Patient had history of hypertension,
dyslipidemia, smoking, and chronic obstructive pulmonary disease. On admission
blood pressure was 160/90 and heart rate was 130 bpm. Transthoracic
echocardiography (TE) and contrast tomography showed a thin septum with an
abnormal left and right ventricular contraction with an "apical ballooning"
pattern and mild increase of cardiac enzymes. At the 4th day of admission, the
patient presented symptoms and signs of congestive heart failure and developed
cardiogenic shock. EKG showed an inversion of T waves in all precordial leads. In
a new TE, a ventricular septal perforation (VSP) in the apical portion of the
septum was seen. Coronary angiogram showed angiographically "normal" coronary
arteries. With a diagnosis of VSP in takotsubo cardiomyopathy, a percutaneous
procedure to repair the VSP was performed 11 days after admission. The VSP was
closed with an Amplatzer device. TE performed 24 hours after showed significant
improvement of ventricular function and good apposition of the Amplatzer device.
Three days later she was discharged from the hospital. To our knowledge, this is
the first reported case of a VSP in a TCM repaired percutaneously with an
occluder device.
PMID- 27190655
TI - Role of Striatal-Enriched Tyrosine Phosphatase in Neuronal Function.
AB - Striatal-enriched protein tyrosine phosphatase (STEP) is a CNS-enriched protein
implicated in multiple neurologic and neuropsychiatric disorders. STEP regulates
key signaling proteins required for synaptic strengthening as well as NMDA and
AMPA receptor trafficking. Both high and low levels of STEP disrupt synaptic
function and contribute to learning and behavioral deficits. High levels of STEP
are present in human postmortem samples and animal models of Alzheimer's disease,
Parkinson's disease, and schizophrenia and in animal models of fragile X
syndrome. Low levels of STEP activity are present in additional disorders that
include ischemia, Huntington's chorea, alcohol abuse, and stress disorders. Thus
the current model of STEP is that optimal levels are required for optimal
synaptic function. Here we focus on the role of STEP in Alzheimer's disease and
the mechanisms by which STEP activity is increased in this illness. Both genetic
lowering of STEP levels and pharmacological inhibition of STEP activity in mouse
models of Alzheimer's disease reverse the biochemical and cognitive abnormalities
that are present. These findings suggest that STEP is an important point for
modulation of proteins required for synaptic plasticity.
PMID- 27190657
TI - Pancreaticopericardial Fistula: A Case Report and Literature Review.
AB - Purpose. Pancreaticopericardial fistula (PPF) is an extremely rare complication
of acute or chronic pancreatitis. This paper presents a rare case of PPF and
provides systematic review of existing cases from 1970 to 2014. Methods. A PubMed
search using key words was performed for all the cases of PPF from January 1970
to December 2014. Fourteen cases were included in the study. The cases were
reviewed for demographic characteristics, diagnostic modalities, and treatment.
Descriptive analysis of these variables was performed. Results. Median age was 43
years. 78% were known alcoholics and 73.3% had chronic pancreatitis. Dyspnea was
present in 78%. Cardiac tamponade was present in 53%; 75% of patients had known
chronic pancreatitis (RR = 0.74). Surgery was associated with best treatment
outcomes and 50% of patients who underwent endoscopic treatment survived.
Conclusion. PPF is a rare disease. This paper indicates that acute cardiac
tamponade in patients with history of alcoholism and chronic pancreatitis could
be a sign of an existing pancreaticopericardial fistula and early surgical
intervention could be life-saving.
PMID- 27190658
TI - Implant-Prosthetic Rehabilitation in Bilateral Agenesis of Maxillary Lateral
Incisors with a Mini Split Crest.
AB - The reported clinical case describes the surgical procedure of ridge augmentation
by using a "split crest" technique with a partial thickness flap and a subsequent
implant-prosthetic rehabilitation aimed at treating a bilateral agenesis of the
upper lateral incisors. In such cases with vestibule-palatal and mesial-distal
scarce bone thicknesses associated with the need of a proper functional and
aesthetic rehabilitation, the split crest technique is particularly suitable. In
the case we reported, because of the poor bone thicknesses, we performed a
minimally invasive split crest which allowed a correct insertion of the fixtures.
This technique allowed us to achieve an optimal functional and aesthetic
rehabilitation; moreover, we obtained a good emergency profile, ensuring the
vitality of the close teeth and ensuring a good primary stability and the
following osseointegration of dental implants.
PMID- 27190654
TI - Form and Function of Sleep Spindles across the Lifespan.
AB - Since the advent of EEG recordings, sleep spindles have been identified as
hallmarks of non-REM sleep. Despite a broad general understanding of mechanisms
of spindle generation gleaned from animal studies, the mechanisms underlying
certain features of spindles in the human brain, such as "global" versus "local"
spindles, are largely unknown. Neither the topography nor the morphology of sleep
spindles remains constant throughout the lifespan. It is likely that changes in
spindle phenomenology during development and aging are the result of dramatic
changes in brain structure and function. Across various developmental windows,
spindle activity is correlated with general cognitive aptitude, learning, and
memory; however, these correlations vary in strength, and even direction,
depending on age and metrics used. Understanding these differences across the
lifespan should further clarify how these oscillations are generated and their
function under a variety of circumstances. We discuss these issues, and their
translational implications for human cognitive function. Because sleep spindles
are similarly affected in disorders of neurodevelopment (such as schizophrenia)
and during aging (such as neurodegenerative conditions), both types of disorders
may benefit from therapies based on a better understanding of spindle function.
PMID- 27190659
TI - Paraneoplastic Pemphigus Associated with Follicular Dendritic Cell Tumor in the
Mediastinum.
AB - Paraneoplastic Pemphigus (PNP) is an autoimmune bullous disease characterized by
severe stomatitis, polymorphous skin eruptions, and underlying neoplasms.
Diagnosis of cutaneous paraneoplastic disorders requires high index of suspicion.
We describe a patient with PNP associated with follicular dendritic cell (FDC)
tumor in the mediastinum, a rare neoplasm originating from follicular dendritic
cells. Its management requires identification of underlying malignancy and
treatment of the same. Our patient showed remission of PNP upon excision of the
tumor and remained disease-free for 8 years.
PMID- 27190660
TI - CMV Colitis in Immunocompetent Patients: 2 Cases of a Diagnostic Challenge.
AB - CMV infections are generally thought to be opportunistic by immunosuppression.
Many literature cases though indicate that CMV infections can be also observed in
immunocompetent patients. We present an unusual case of an extensive concentric
benign stenosis due to CMV colitis and a case of coexistence with Crohn's
Disease, both observed in nonimmunosuppressed individuals. The right diagnosis
was set after implementation of multiple unsuccessful treatment strategies. Our
purpose is therefore to familiarize clinicians involved with the diagnosis and
treatment of gastroenterological diseases with this entity.
PMID- 27190661
TI - Urticarial Vasculitis-Associated Intestinal Ischemia.
AB - Urticarial vasculitis (UV) is a rare small vessel vasculitis. UV is often
idiopathic but can also present in the context of autoimmune disorders such as
systemic lupus erythematosus, drug reactions, infections, or a paraneoplastic
syndrome. Extracutaneous complications include intestinal ischemic injuries, in
UV patients with nonspecific gastrointestinal symptoms such as abdominal pain and
nausea. Prompt recognition and treatment can minimize morbidity and mortality.
This paper describes a case of urticarial vasculitis-associated intestinal
ischemia.
PMID- 27190662
TI - Suppression of Parathyroid Hormone in a Patient with Severe Magnesium Depletion.
AB - Hypomagnesemia is often associated with coexisting electrolyte abnormalities like
hypokalemia and hypocalcemia. Hypocalcemia has been shown to be secondary to
hypoparathyroidism induced by hypomagnesemia. Here, we discuss a case of a
patient with severe hypomagnesemia and associated hypocalcemia. A 38-year-old
lady was admitted to the hospital for weakness of lower extremities and an
eventual fall. The exam was significant for decreased motor strength and some
paresthesias. The laboratory data was significant for hypomagnesemia,
hypokalemia, and low parathyroid level in the face of hypocalcemia. After
replacing magnesium, the parathyroid hormone levels normalized and led to
eventual correction of calcium levels without any additional calcium replacement
therapy. There was complete symptom resolution with correction of electrolyte
abnormalities. This case highlights the importance of looking for all associated
abnormalities in a patient with hypomagnesemia and starting the replacement
therapy by first replacing the magnesium and then the others as needed. Replacing
the magnesium alone may correct the hypoparathyroidism and eliminate the need for
calcium replacement.
PMID- 27190663
TI - Profound Autonomic Instability Complicated by Multiple Episodes of Cardiac
Asystole and Refractory Bradycardia in a Patient with Anti-NMDA Encephalitis.
AB - Anti-N-methyl-d-aspartate receptor encephalitis (anti-NMDARE) is autoimmune
encephalitis primarily affecting young adults and children. First described about
a decade ago, it frequently manifests as a syndrome that includes progressive
behavioral changes, psychosis, central hypoventilation, seizures, and autonomic
instability. Although cardiac arrhythmias often accompany anti-NMDARE, the need
for long-term electrophysiological support is rare. We describe the case of
NMDARE whose ICU course was complicated by progressively worsening episodes of
tachyarrhythmia-bradyarrhythmia and episodes of asystole from which she was
successfully resuscitated. Her life-threatening episodes of autonomic instability
were successfully controlled only after the placement of a permanent pacemaker
during her ICU stay. She made a clinical recovery and was discharged to a skilled
nursing facility after a protracted hospital course.
PMID- 27190664
TI - Case Report of a Traumatic Atlantoaxial Rotatory Subluxation with Bilateral
Locked Cervical Facets: Management, Treatment, and Outcome.
AB - The aim was to report a rare case of isolated traumatic atlantoaxial rotatory
subluxation without ligamentous injury. Management consisted of analgesia,
sedation, and application of a halo skull traction device. After removing halo
skull traction, full reduction and recovery were achieved without instability.
PMID- 27190665
TI - Congenital Temporomandibular Joint Ankylosis: Case Report and Literature Review.
AB - Congenital temporomandibular joint (TMJ) ankylosis is an uncommon condition that
presents itself at or soon after birth in the absence of acquired factors that
could have contributed to the ankylosis such as infection and trauma. The
experience of managing one such case is reported in light of a review of the
literature on this condition. Key management principles include adequate removal
of the ankylotic mass, costochondral grafting, and post-op physiotherapy. Most
patients reported in the literature with the condition experienced relapse. This
echoes our own experience where there was recurrence of the ankylosis. However,
after removal of the ankylotic mass, the patient maintains a satisfactory maximal
incisal opening (MIO) till the present day. The additional challenges faced in
the congenital form in addition to the already complex management of acquired
paediatric temporomandibular joint ankylosis are (1) much earlier insult to the
TMJ, (2) reduced opportunity for neuromuscular development of the muscles of
mastication, and (3) reduced compliance with postoperative physiotherapy
programmes due to the younger age of these patients.
PMID- 27190666
TI - Clostridium difficile Enterocolitis and Reactive Arthritis: A Case Report and
Review of the Literature.
AB - Reactive arthritis is a rare complication of Clostridium difficile enterocolitis,
especially in children. We review the 6 pediatric cases published in the English
and non-English literature and discuss their clinical presentation, outcome,
treatment, and pathophysiology. We also report the seventh case of Clostridium
difficile reactive arthritis in a 6-year-old boy who was treated with amoxicillin
clavulanate for 10 days because of an upper respiratory infection. After the
antibiotic course, the child developed at the same time diarrhea with positive
stool culture for Clostridium difficile and an asymmetric polyarthritis.
Nonsteroidal anti-inflammatory drugs and metronidazole completely resolved the
pain, joint swelling, and diarrhea. After twelve months of follow-up there has
been no recurrence. This report confirms the self-limiting course of Clostridium
difficile reactive arthritis. Clostridium difficile testing in children with
gastrointestinal symptoms and acute onset of joint pain should be always
considered.
PMID- 27190667
TI - Severe Acute Pulmonary Toxicity Associated with Brentuximab in a Patient with
Refractory Hodgkin's Lymphoma.
AB - Acute pulmonary toxicity associated with brentuximab appears to be a rare but
serious adverse effect that can be potentially fatal. We report the case of a
twenty-nine-year-old female with Hodgkin's lymphoma who was treated with
brentuximab and later presented with severe acute pulmonary toxicity; she
improved after the discontinuation of brentuximab and administration of
antibiotics and glucocorticoid therapy. Currently there is very little data in
the literature in regard to the clinical manifestations and characteristics of
patients taking brentuximab and the potential development of acute severe
pulmonary toxicity, as well as the appropriate therapeutic approach, making this
particular case of successful treatment and resolution unique.
PMID- 27190668
TI - Acute Abdominal Pain Caused by an Infected Mesenteric Cyst in a 24-Year-Old
Female.
AB - A mesenteric cyst is a rare cause for abdominal pain. This umbrella term includes
cystic entities which reside in the mesentery. We present a case of an infected
false mesenteric cyst in a 24-year-old female patient without prior surgery or
known trauma. Mainstay of treatment involves surgical resection, although less
invasive treatments have been described. Prognosis depends on the origin of the
cyst.
PMID- 27190669
TI - Primary Hydatid Cyst of Umbilicus, Mimicking an Umbilical Hernia.
AB - Hydatid cyst caused by Echinococcus granulosus demonstrates an endemic infection
in several countries such as Middle Eastern countries. Liver is the most
frequently involved organ, followed by the lung. The case we present is solitary
primary localization of cyst in abdominal wall which is extremely rare. A 57-year
old woman presented with an abdominal wall lesion in umbilical area that had been
evolving for about 2 years with recent complaint of pain and discomfort. We
detected a midline abdominal mass 12*13 centimeters in diameter which was bulged
out in umbilicus. Preoperative clinical diagnosis of incarcerated umbilical
hernia was made due to its physical examination while surgical exploration
disproved the primary diagnosis and we found cystic mass adherent to superficial
fascia without any communication to peritoneal space. The cyst was excised
completely without any injury or perforation of containing capsule. The diagnosis
of hydatid cyst was confirmed by histopathological examination of specimen. The
retrograde evaluation showed no involvement of other organs. The patient was
followed for two years and no recurrence of hydatid disease has been observed.
Hydatid cyst should be considered as a differential diagnosis of abdominal wall
and umbilical lesions especially in endemic regions.
PMID- 27190670
TI - Posttransurethral Resection of Prostate Recurrent Life Threatening Hematuria: A
Rare Cause.
AB - Herein, we present a case report of post-TURP (transurethral resection of
prostate) recurrent severe hematuria due to right internal iliac artery
pseudoaneurysm protruding into bladder lumen. A 60-year-old male presented with
recurrent massive hematuria following TURP done elsewhere 15 days before. His
hemoglobin was 4 gm/dL after 13 units of blood transfusion and repeated clot
evacuations. His blood urea, serum creatinine, and coagulation profile studies
were normal. Ultrasonography of abdomen showed multiple clots in the bladder.
Cystoscopy revealed clots with a right posterolateral wall unhealthy area. After
stabilizing the patient, contrast enhanced CT urography revealed intravesical
aneurysm. CT angiography showed pseudoaneurysm of a branch of internal iliac
artery protruding into urinary bladder lumen. We referred patient to selective
embolization of the lesion but the procedure was unsuccessful. At last,
ipsilateral internal iliac artery ligation relieved hematuria. But on
postoperative day 2, patient suddenly collapsed and deceased, presumably due to
cardiomorbidities.
PMID- 27190671
TI - Increased Prevalence of Mutant Allele Pfdhps 437G and Pfdhfr Triple Mutation in
Plasmodium falciparum Isolates from a Rural Area of Gabon, Three Years after the
Change of Malaria Treatment Policy.
AB - In Gabon, sulfadoxine-pyrimethamine (SP) is recommended for intermittent
preventive treatment during pregnancy (IPTp-SP) and for uncomplicated malaria
treatment through ACTs drug. P. falciparum strains resistant to SP are frequent
in areas where this drug is highly used and is associated with the occurrence of
mutations on Plasmodium falciparum dihydrofolate reductase (Pfdhfr) and
dihydropteroate synthetase (Pfdhps) genes. The aim of the study was to compare
the proportion of mutations on Pfdhfr and Pfdhps genes in isolates collected at
Oyem in northern Gabon, in 2005 at the time of IPTp-SP introduction and three
years later. Point mutations were analyzed by nested PCR-RFLP method. Among 91
isolates, more than 90% carried Pfdhfr 108N and Pfdhfr 59R alleles. Frequencies
of Pfdhfr 51I (98%) and Pfdhps 437G (67.7%) mutant alleles were higher in 2008.
Mutations at codons 164, 540, and 581 were not detected. The proportion of the
triple Pfdhfr mutation and quadruple mutation including A437G was high: 91.9% in
2008 and 64.8% in 2008, respectively. The present study highlights an elevated
frequency of Pfdhfr and Pfdhps mutant alleles, although quintuple mutations were
not found in north Gabon. These data suggest the need of a continuous monitoring
of SP resistance in Gabon.
PMID- 27190672
TI - Structural Neuroimaging Markers of Cognitive Decline in Parkinson's Disease.
AB - Cognitive impairment in patients with Parkinson's disease is a major challenge
since it has been established that 25 to 40% of patients will develop cognitive
impairment early in the disease. Furthermore, it has been reported that up to 80%
of Parkinsonian patients will eventually develop dementia. Thus, it is important
to improve the diagnosing procedures in order to detect cognitive impairment at
early stages of development and to delay as much as possible the developing of
dementia. One major challenge is that patients with mild cognitive impairment
exhibit measurable cognitive deficits according to recently established criteria,
yet those deficits are not severe enough to interfere with daily living, hence
being avoided by patients, and might be overseen by clinicians. Recent advances
in neuroimaging brain analysis allowed the establishment of several anatomical
markers that have the potential to be considered for early detection of cognitive
impairment in Parkinsonian patients. This review aims to outline the neuroimaging
possibilities in diagnosing cognitive impairment in patients with Parkinson's
disease and to take into consideration the near-future possibilities of their
implementation into clinical practice.
PMID- 27190673
TI - Whole-Brain Atrophy Rate in Idiopathic Parkinson's Disease, Multiple System
Atrophy, and Progressive Supranuclear Palsy.
AB - In multiple system atrophy (MSA) and progressive supranuclear palsy (PSP), the
absence of surrogate endpoints makes clinical trials long and expensive. We aim
to determine annualized whole-brain atrophy rates (a-WBAR) in idiopathic
Parkinson's disease (IPD), MSA, and PSP. Ten healthy controls, 20 IPD, 12 PSP,
and 8 MSA patients were studied using a volumetric MRI technique (SIENA). In
controls, the a-WBAR was 0.37% +/- 0.28 (CI 95% 0.17-0.57), while in IPD a-WBAR
was 0.54% +/- 0.38 (CI 95% 0.32-0.68). The IPD patients did not differ from the
controls. In PSP, the a-WBAR was 1.26% +/- 0.51 (CI 95%: 0.95-1.58). In MSA, a
WBAR was 1.65% +/- 1.12 (CI 95%: 0.71-2.59). MSA did not differ from PSP. The a
WBAR in PSP and MSA were significantly higher than in the IPD group (p = 0.004
and p < 0.001, resp.). In PSP, the use of a-WBAR required one-half of the
patients needed for clinical scales to detect a 50% reduction in their
progression. In MSA, one-quarter of the patients would be needed to detect the
same effect. a-WBAR is a reasonable candidate to consider as a surrogate endpoint
in short clinical trials using smaller sample sizes. The confidence intervals for
a-WBAR may add a potential retrospective application for a-WBAR to improve the
diagnostic accuracy of MSA and PSP versus IPD.
PMID- 27190675
TI - The Laplacian-Energy-Like Invariants of Three Types of Lattices.
AB - This paper mainly studies the Laplacian-energy-like invariants of the modified
hexagonal lattice, modified Union Jack lattice, and honeycomb lattice. By
utilizing the tensor product of matrices and the diagonalization of block
circulant matrices, we derive closed-form formulas expressing the Laplacian
energy-like invariants of these lattices. In addition, we obtain explicit
asymptotic values of these invariants with software-aided computations of some
integrals.
PMID- 27190674
TI - Effect of Treatment Delay, Stroke Type, and Thrombolysis on the Effect of
Glyceryl Trinitrate, a Nitric Oxide Donor, on Outcome after Acute Stroke: A
Systematic Review and Meta-Analysis of Individual Patient from Randomised Trials.
AB - Background. Nitric oxide (NO) donors are a candidate treatment for acute stroke
and two trials have suggested that they might improve outcome if administered
within 4-6 hours of stroke onset. We assessed the safety and efficacy of NO
donors using individual patient data (IPD) from completed trials. Methods.
Randomised controlled trials of NO donors in patients with acute or subacute
stroke were identified and IPD sought from the trialists. The effect of NO donor
versus control on functional outcome was assessed using the modified Rankin scale
(mRS) and death, by time to randomisation. Secondary outcomes included measures
of disability, mood, and quality of life. Results. Five trials (4,197
participants) were identified, all involving glyceryl trinitrate (GTN). Compared
with control, GTN lowered blood pressure by 7.4/3.3 mmHg. At day 90, GTN did not
alter any clinical measures. However, in 312 patients randomised within 6 hours
of stroke onset, GTN was associated with beneficial shifts in the mRS (odds ratio
(OR) 0.52, 95% confidence interval (CI) 0.34-0.78) and reduced death (OR 0.32,
95% CI 0.14-0.78). Conclusions. NO donors do not alter outcome in patients with
recent stroke. However, when administered within 6 hours, NO donors might improve
outcomes in both ischaemic and haemorrhagic stroke.
PMID- 27190676
TI - Synthesis and Antibacterial Activity of 3-(Substituted)-2-(4-oxo-2
phenylquinazolin-3(4H)-ylamino)quinazolin-4(3H)-one.
AB - A series of novel 3-(substituted)-2-(substituted quinazolinylamino)quinazolin
4(3H)-ones were synthesized by the reaction of 3-(substituted)-2-hydrazino
quinazoline-4(3H)-ones with 2-phenyl-3,1-benzoxazin-4-one. The starting materials
3-(substituted)-2-hydrazino-quinazolin-4(3H)-ones were synthesized from various
primary amines by a multistep synthesis. All the title compounds were tested for
their antibacterial activity using ciprofloxacin as reference standard. Compounds
3-(4-fluorophenyl)-2-(4-oxo-2-phenylquinazolin-3(4H)-ylamino)quinazolin-4(3H)-one
(9a) and 3-(4-chlorophenyl)-2-(4-oxo-2-phenylquinazolin-3(4H)-ylamino)quinazolin
4(3H)-one (9h) emerged as the most active compounds of the series. These
compounds have shown most potent antibacterial activity against the tested
organisms of Proteus vulgaris and Bacillus subtilis having zone of inhibition
values of 1.1 cm and 1.4 cm for compound 9a 1.2 cm and 1.0 cm for compound 9h,
respectively.
PMID- 27190677
TI - Essential Oil Yield Pattern and Antibacterial and Insecticidal Activities of
Trachyspermum ammi and Myristica fragrans.
AB - Two Indian spices, Trachyspermum ammi and Myristica fragrans, were studied for
their essential oil (EO) yielding pattern, insecticidal activity, antibacterial
activity, and composition. The essential oils (EOs) of T. ammi (1.94 +/- 30
mL/100 gm) and M. fragrans (5.93 +/- 90 mL/100 gm) were extracted using
hydrodistillation method. In Gas Chromatography analysis, the beta-pinene, alpha
pinene, alpha-p-menth-1-en-4-ol, Limonene, and elemicin were found as major
constituents of T. ammi essential oil whereas M. fragrans essential oil mostly
contains Gamma-Terpinolene, p-Cymene, Thymol, and beta-pinene. The insecticidal
activities of EO were demonstrated using LC50 values against Plodia
interpunctella and EO of T. ammi was found comparatively more effective than EO
of M. fragrans. Further, individual EO and combination of essential oil were
examined for antibacterial activity against three Gram (-) bacterial strains (E.
coli-MTCC 443, P. vulgaris-MTCC 1771, and K. pneumoniae-MTCC number 7028) and
three Gram (+) bacterial strains (S. aureus-MTCC 3381, B. subtilis-MTCC 10619,
and B. megaterium-MTCC 2412) by well agar diffusion method. The essential oil in
combination (CEO) exhibited higher antibacterial activity as compared with
individual essential oils.
PMID- 27190679
TI - Safety Evaluation of Unani Formulation: Capsule Shaqeeqa in Albino Wistar Rats.
AB - Capsule Shaqeeqa, Unani formulation, is prescribed for the clinical treatment of
diseases like sinusitis, headache, and migraine. The safety evaluation data of it
is not available; in order to provide the safety data the present study was
carried out. The study was carried out on four groups of rats (n = 5). Two groups
(one male and one female group) as normal controls were orally given water while
the other two groups were orally given daily doses of drug at the dose level of
150 mg/kg of body weight for duration of 90 days. Physiological parameters like
body weight, feed consumption, water consumption, and clinical signs were
regularly monitored and recorded. Organs were collected, examined, and weighed
and specimens were taken for histopathological studies. The results showed that
the drug did not alter the physiological parameters. There was no mortality or
any morbidity found in drug treated rats. There was no statistical significant
change found in any haematological or biochemical parameter of rats orally fed
with Shaqeeqa. A statistically insignificant association verified that
haematological and biochemical parameters were rendered unaffected by the drug.
Moreover histological investigations of essential key organs demonstrated that
the drug did not prompt any histopathological change. These observations
demonstrate the safety of Capsule Shaqeeqa at the studied dosage levels.
PMID- 27190680
TI - Skeletal and Dentoalveolar Cephalometric Features of Anterior Open Bite among
Yemeni Adults.
AB - Objective. The aim of this study is to determine the cephalometric features for a
sample of Yemeni adults with anterior open bite. Material and Methods. Lateral
cephalometric radiographs were taken for 65 Yemeni university students (46 males
and 19 females), 18-25 years old, with clinical anterior open bite (vertical
overbite <= 0 mm) and no previous orthodontic treatment. The radiographs were
manually traced; twelve angular, five linear measurements, and facial index were
assessed, analyzed statistically, and compared to 194 Yemeni norms (89 males and
105 females) as well as cephalometric features of open bite subjects in previous
studies. Results. Statistically significant differences were observed in skeletal
and dental cephalometric values of Yemeni patients with anterior open bite when
compared to Yemeni norms; mainly in the anteroposterior relation, the open bite
individuals had higher significant value in SNA, SNB, and SNPg angles. In
addition, a higher statistical significant difference was recorded in all
variables of vertical relation when compared with norms. In contrast, NL-NSL
angle revealed higher value among normal individuals. Dental variables among open
bite individuals showed a greater degree of dental proclination, higher
statistically significant value in [Formula: see text]-NA degrees , [Formula: see
text]-NA mm and I-NB mm, and a lower significant value in U1-L1 in open bite
group. Conclusion. Open bite Yemeni individual's skeletal and dentoalveolar
variables significantly differ from Yemeni norms in the extent of the
anteroposterior, vertical developmental pattern and dental relations.
PMID- 27190678
TI - The Use of Heparin during Endovascular Peripheral Arterial Interventions: A
Synopsis.
AB - A large variety exists for many aspects of the use of heparin as periprocedural
prophylactic antithrombotics (PPAT) during peripheral arterial interventions
(PAI). This variation is present, not only within countries, but also between
them. Due to a lack of (robust) data, no systematic review on the use of heparin
during PAI could be justified. A synopsis of all available literature on heparin
during PAI describes that heparin is used on technical equipment to reduce the
thrombogenicity and in the flushing solution with saline. Heparin could have a
cumulative anticoagulant effect when used in combination with ionic contrast
medium. No level-1 evidence exists on the use of heparin. A measurement of actual
anticoagulation status by means of an activated clotting time should be
mandatory.
PMID- 27190681
TI - Trends in the Diagnosis of Gestational Diabetes Mellitus.
AB - Introduction. Gestational diabetes mellitus (GDM) is defined as carbohydrate
intolerance of variable degree with onset or recognition during pregnancy. As
prevalence of diabetes is linked to impaired glucose tolerance during antenatal
period, routine antenatal screening of GDM is required. However, screening tests
for GDM remain controversial. Objective. To review different diagnostic criteria
for GDM. Materials and Methods. Freely accessible, full-text articles from 1964
to 2015, available in PubMed in English language, pertaining to screening of GDM
were reviewed. Results. First diagnostic criteria for GDM in 1964 by O'Sullivan
and Mahan, modified by the National Diabetes Data Group (NDDG) in 1979 and
Carpenter in 1982. The cut-off value as per WHO definition of GDM was 140 mg/dL,
2 hours after 75 g glucose intake. Diabetes in Pregnancy Study Group India
(DIPSI), in 2006, endorsed WHO criteria but irrespective of the last meal
timings. Being cost-effective, it formed the basis of national guidelines for
Indians in 2014. Conclusions. As typical clinical scenarios are usually varied,
practical guidelines that meet the constraints of low-resource settings like
India are required.
PMID- 27190682
TI - Ultrasound Assessment of Synovial Thickness of Some of the Metacarpophalangeal
Joints of Hand in Rheumatoid Arthritis Patients and the Normal Population.
AB - Objective. To compare ultrasound synovial thickness of the 2nd, 3rd and 4th
metacarpophalangeal joints (MCPJ) in a group of patients with proven rheumatoid
arthritis (RA) and a control group of normal individuals. Materials and Methods.
This is a cross-sectional study comprising 30 rheumatoid arthritis patients and
30 healthy individuals. Ultrasound scans were performed at the dorsal side of
2nd, 3rd, and 4th MCPJ of both hands in RA patients and the healthy individuals.
Synovial thickness was measured according to quantitative method. The synovial
thickness of RA patients and healthy individuals was compared and statistical cut
off was identified. Results. Maximum synovial thickness was most often detected
at the radial side of the 2nd MCPJ and 3rd MCPJ and ulnar side of the 4th MCPJ of
both hands which is significantly higher (p < 0.05) in RA patients compared to
healthy individuals. With high specificity (96%) and sensitivity (90%) the
optimum cut-off value to distinguish RA patients and healthy individuals'
synovial thickness differs for the radial side of the 2nd and 3rd MCPJ and ulnar
side of the 4th MCPJ. Conclusion. Patients with early RA appear to exhibit a
characteristic pattern of synovitis which shows radial side predominance in the
2nd and 3rd MCPJ and ulnar side in the 4th MCPJ.
PMID- 27190683
TI - Symptoms of Poststroke Depression among Stroke Survivors: An Appraisal of
Psychiatry Needs and Care during Physiotherapy Rehabilitation.
AB - Purpose. To identify stroke survivors with symptoms of poststroke depression and
the extent of psychiatry needs and care they have received while on physiotherapy
rehabilitation. Participants. Fifty stroke survivors (22 females and 28 males) at
the outpatient unit of Physiotherapy Department, University of Nigeria Teaching
Hospital, Enugu, who gave their informed consent, were randomly selected. Their
age range and mean age were 26-66 years and 54.76 +/- 8.79 years, respectively.
Method. A multiple case study of 50 stroke survivors for symptoms of poststroke
depression was done with Beck's Depression Inventory, mini mental status
examination tool, and Modified Motor Assessment Scale. The tests were performed
independently by the participants except otherwise stated and scored on a scale
of 0-6. Data were analyzed using Z-test for proportional significance and chi
square test for determining relationship between variables, at p < 0.05. Results.
Twenty-one (42.0%) stroke survivors had symptoms of PSD, which was significantly
dependent on duration of stroke (chi (2) = 21.680, df = 6, and p = 0.001), yet
none of the participants had a psychiatry review. Conclusions. Symptoms of PSD
may be common in cold compared to new cases of stroke and may need psychiatry
care while on physiotherapy rehabilitation.
PMID- 27190685
TI - A Mixed Method Research to Identify Perceived Reasons and Solutions for Low
Uptake of Cervical Cancer Screening in Urban Families of Bhopal Region.
AB - Low uptake of cervical cancer screening is not a matter of poor coverage of
health care facilities only. We wish to identify the perceived reasons behind low
uptake of screening in Bhopal region and also possible solutions for an urban
setting. In a mixed research, through a series of focused group discussions, we
wished to do thematic interpretation of the perceptions towards cervical cancer
screening by deductive content analysis of FGD and also to obtain a free list of
perceived causes and solutions with Smith's saliency score and perform cluster
analysis by pile sorting. We found that the perceived reasons could be grouped
into three themes which were (1) information gap leading to fear of unknown, (2)
casual attitude, and (3) resource constrains and affordability issues. For the
perceived solutions there were 11 codes which could be grouped into two groups;
these were increasing awareness and vaccination. Free list of perceived reasons
and solutions has also been generated. No single solution can be suggested but a
comprehensive approach with awareness campaigns, personalized encouragements,
affordable and friendly health care with subsidized vaccination, and screening
facilities are expected to increase awareness and acceptability and thus reduce
burden of disease in the long run.
PMID- 27190684
TI - Updated Methods for Seed Shape Analysis.
AB - Morphological variation in seed characters includes differences in seed size and
shape. Seed shape is an important trait in plant identification and
classification. In addition it has agronomic importance because it reflects
genetic, physiological, and ecological components and affects yield, quality, and
market price. The use of digital technologies, together with development of
quantification and modeling methods, allows a better description of seed shape.
Image processing systems are used in the automatic determination of seed size and
shape, becoming a basic tool in the study of diversity. Seed shape is determined
by a variety of indexes (circularity, roundness, and J index). The comparison of
the seed images to a geometrical figure (circle, cardioid, ellipse, ellipsoid,
etc.) provides a precise quantification of shape. The methods of shape
quantification based on these models are useful for an accurate description
allowing to compare between genotypes or along developmental phases as well as to
establish the level of variation in different sets of seeds.
PMID- 27190686
TI - Broad Spectrum Anti-Quorum Sensing Activity of Tannin-Rich Crude Extracts of
Indian Medicinal Plants.
AB - Quorum sensing (QS) mechanisms have been demonstrated to have significance in
expression of pathogenicity in infectious bacteria. In Gram negative bacteria the
autoinducer molecules that mediate QS are acyl homoserine lactones (AHL) and in
Gram positive bacteria they are peptides called autoinducing peptides (AIP). A
screening of tannin-rich medicinal plants was attempted to identify extracts that
could interrupt the QS mechanisms in both Gram positive and Gram negative
bacteria over a wide range of concentrations and therefore potentially be potent
agents that could act as broad spectrum QS inhibitors. Six out of the twelve
Indian medicinal plant extracts that were analyzed exhibited anti-QS activity in
Chromobacterium violaceum 12472 and in S. aureus strain with agr:blaZ fusion over
a broad range of subinhibitory concentrations, indicating that the extracts
contain high concentration of molecules that can interfere with the QS mechanisms
mediated by AHL as well as AIP.
PMID- 27190688
TI - Efficacy of a Novel Topical Combination of Fipronil 9.8% and (S)-Methoprene 8.8%
against Ticks and Fleas in Naturally Infested Dogs.
AB - The efficacy of a novel topical combination of fipronil 9.8% (w/v) and (S)
methoprene 8.8% (w/v) (Fiprofort(r) Plus) was tested against ticks and fleas in
naturally infested dogs. A total of fifty dogs were allocated in the study with
ticks infestation (n = 35) and fleas infestation (n = 15). On day 0, thirty-five
tick and fifteen flea infested dogs received the test formulation, a combination
of fipronil 9.8% (w/v) and (S)-methoprene 8.8% (w/v) spot-on solution. Ticks and
flea counts were taken on days 0 (pretreatment) and 3, 7, 14, 21, 28, and 35
after treatment. Blood samples were collected for evaluation of haematological
parameters on days 0 (pretreatment) and 7, 21, and 35 after treatment. All the
adult ticks and fleas collected were identified as Rhipicephalus sanguineus and
Ctenocephalides felis, respectively. The efficacy of spot-on formulation against
ticks was 34.00% (day 3), 53.14% (day 7), 62.71% (day 14), 65.48% (day 21),
59.80% (day 28), and 58.82% (day 35), whereas against fleas it was 38.00% (day
3), 64.34% (day 7), 89.67% (day 14), 95.40% (day 21), 100.00% (day 28), and
100.00% (day 35). Haematological parameters for ticks and fleas infested dogs
were statistically nonsignificant as compared to control. The combination of
fipronil and (S)-methoprene eliminated the existing ticks and fleas infestation
and prevented the dogs from flea and tick infestation for four weeks.
PMID- 27190689
TI - Vasorelaxation Effect of Estrone Derivate EA204 in Rabbit Aorta.
AB - Estrogen and its derivatives exert vascular protective effects, but the
underlying mechanisms remain to be studied fully. Objective. To investigate the
vasorelaxation effect and related mechanisms of an estrone derivate EA204[3-(2
piperidin-1-yl)-ethoxy-estra-1, 3, 5 (10)-trien-17-one] on isolated arterial
preparation from rabbit thoracic aorta. Methods. Aortic rings from rabbit
thoracic aorta were prepared and held in small organ bath filled with Krebs
solution; tension change was recorded by a multichannel physiological signal
collection and handling system. Results. EA204 (10(-5) to 10(-3) M) induced a
concentration-dependent relaxation of aortic rings with endothelium and without
endothelium. In denuded arterial preparations, EA204 had a potent relaxing effect
on isolated arterial preparations contracted with phenylephrine, norepinephrine,
and high-K(+) solution or BaCl2. Mechanism study indicates that EA204 relaxes
aortic rings by inhibiting Ca(2+) channels (both receptor-operating Ca(2+)
channels and the voltage-dependent Ca(2+) channels were involved) to decrease
extracellular Ca(2+) influx and intracellular Ca(2+) release. EA204 is different
from verapamil, which is a noncompetitive inhibitor of Ca(2+) channels. In
addition, K(+) channels opening may contribute to this vasorelaxation effect.
Conclusion. EA204 had a potent endothelium-independent relaxing effect on
isolated arterial preparation by inhibiting Ca(2+) channels and opening K(+)
channels. The results suggest that EA204 is a potential compound for treatment of
cardiovascular diseases in postmenopausal women.
PMID- 27190687
TI - Virulent Properties of Russian Bovine Viral Diarrhea Virus Strains in
Experimentally Infected Calves.
AB - The results of experimental study of three noncytopathic and two cytopathic
bovine viral diarrhea virus (BVDV) strains isolated from cattle in the Siberian
region and belonging to the type 1 (subtypes 1a, 1b, and 1d) have been presented.
All investigated strains caused the development of infectious process in the
seronegative 4-6-month-old calves after aerosol challenge with the dose of 6
log10 TCID50. The greatest virulence had noncytopathic strain and cytopathic
strain related to the subtypes 1d and 1b, respectively. All strains in infected
calves caused some signs of moderate acute respiratory disease and diarrhea:
depression 3-5 days postinfection (p.i.), refusal to food, severe hyperthermia to
41.9 degrees S, serous exudate discharges from the nasal cavity and eyes,
transient diarrhea with blood, leukopenia (up to 2700 cells/mm(3)), and
macroscopic changes in the respiratory organs and intestine. The infected animals
recovered from 12 to 15 days p.i. and in 90% cases formed humoral immune response
25 days p.i. (antibody titers to BVDV: 1 : 4-1 : 16). Our results confirmed the
presence of virulent BVDV1 strains and showed the need for researches on the
molecular epidemiology of the disease, development of more effective diagnostic
systems, and optimization of control programs with use of vaccines.
PMID- 27190690
TI - Emergency Peripartum Hysterectomies at a District General Hospital in United
Kingdom: 10-Year Review of Practice.
AB - Peripartum haemorrhage is an obstetric emergency which requires effective and
timely management. A retrospective analysis was conducted at a single centre
district hospital, over a 10-year period to describe factors that would lead to a
peripartum hysterectomy. We sought to establish intraoperative and postoperative
risks and review outcomes and complications associated with the procedure. A
total of 29 cases (incidence 0.8 per 1000) were reviewed over 2001-2011. The mean
parity was 1.8 and the mean maternal age was 33 years. Uterine atony was the most
common indication for hysterectomy (12/29) followed by placenta praevia and
accreta (4/29 and 5/29 cases, resp.). The commonest postoperative complications
were sepsis and paralytic ileus. EPH most commonly occurs due to uterine atony
but remains difficult to predict. Hospitals should continue to have robust
systems and the necessary resources available to perform EPH where clinically
indicated.
PMID- 27190691
TI - Adverse Effects of Subchronic Dose of Aspirin on Reproductive Profile of Male
Rats.
AB - Aspirin (acetylsalicylic acid) is widely used for cardiovascular prophylaxis and
as anti-inflammatory pharmaceutical. An investigation was carried out to evaluate
the influence of subchronic dose of aspirin on reproductive profile of male rats,
if any. Experimental animals were divided into three groups: control and aspirin
subchronic dose of 12.5 mg/kg for 30 days and 60 days, respectively, while
alterations in sperm dynamics, testicular histopathological and planimetric
investigations, body and organs weights, lipid profiles, and hematology were
performed as per aimed objectives. Subchronic dose of aspirin reduced sperm
density, count, and mobility in cauda epididymis and testis; histopathology and
developing primary spermatogonial cells (primary spermatogonia, secondary
spermatogonia, and mature spermatocyte) count were also significantly decreased
in rats. Hematological investigations revealed hemopoietic abnormalities in 60
day-treated animals along with dysfunctions in hepatic and renal functions. The
findings of the present study revealed that administration with subchronic dose
of aspirin to male rats resulted in altered reproductive profiles and serum
biochemistry.
PMID- 27190693
TI - Insulin resistance in development and progression of nonalcoholic fatty liver
disease.
AB - Although insulin resistance (IR) is strongly associated with nonalcoholic fatty
liver disease (NAFLD), the association of IR and NAFLD is not universal and
correlation between IR and severity of NAFLD is still controversial. In this
review, we summarize recent evidence that partially dissociates insulin
resistance from NAFLD. It has also been reported that single-nucleotide
polymorphisms in the diacylglycerol acyltransferase gene, rather than IR, account
for the variability in liver fat content. Polymorphisms of the patatin-like
phospholipase 3 gene have also been reported to be associated with NAFLD without
metabolic syndrome, which suggests that genetic conditions that promote the
development of fatty changes in the liver may occur independently of IR.
Moreover, environmental factors such as nutrition and physical activity as well
as small intestinal bacterial overgrowth have been linked to the pathogenesis of
NAFLD, although some of the data are conflicting. Therefore, findings from both
genetically engineered animal models and humans with genetic conditions, as well
as recent studies that have explored the role of environmental factors, have
confirmed the view that NAFLD is a polygenic disease process caused by both
genetic and environmental factors. Therefore, IR is not the sole predictor of the
pathogenesis of NAFLD.
PMID- 27190692
TI - Differential role of Hedgehog signaling in human pancreatic (patho-) physiology:
An up to date review.
AB - Since the discovery of the Hedgehog (Hh) pathway in drosophila melanogaster, our
knowledge of the role of Hh in embryonic development, inflammation, and
cancerogenesis in humans has dramatically increased over the last decades. This
is the case especially concerning the pancreas, however, real therapeutic
breakthroughs are missing until now. In general, Hh signaling is essential for
pancreatic organogenesis, development, and tissue maturation. In the case of
acute pancreatitis, Hh has a protective role, whereas in chronic pancreatitis, Hh
interacts with pancreatic stellate cells, leading to destructive parenchym
fibrosis and atrophy, as well as to irregular tissue remodeling with potency of
initiating cancerogenesis. In vitro and in situ analysis of Hh in pancreatic
cancer revealed that the Hh pathway participates in the development of pancreatic
precursor lesions and ductal adenocarcinoma including critical interactions with
the tumor microenvironment. The application of specific inhibitors of components
of the Hh pathway is currently subject of ongoing clinical trials (phases 1 and
2). Furthermore, a combination of Hh pathway inhibitors and established
chemotherapeutic drugs could also represent a promising therapeutic approach. In
this review, we give a structured survey of the role of the Hh pathway in
pancreatic development, pancreatitis, pancreatic carcinogenesis and pancreatic
cancer as well as an overview of current clinical trials concerning Hh pathway
inhibitors and pancreas cancer.
PMID- 27190694
TI - Clinical impacts of mesothelin expression in gastrointestinal carcinomas.
AB - Mesothelin, C-ERC/mesothelin is a 40-kDa cell surface glycoprotein that is
normally present on normal mesothelial cells lining the pleura, peritoneum, and
pericardium. Moreover, mesothelin has been shown to be overexpressed in several
human cancers, including virtually all mesothelioma and pancreatic cancer,
approximately 70% of ovarian cancer and extra bile duct cancer, and 50% of lung
adenocarcinomas and gastric cancer. The full-length human mesothelin gene encodes
the primary product, a 71-kDa precursor protein. The 71-kDa mesothelin precursor
is cleaved into two products, 40-kDa C-terminal fragment that remains membrane
bound via glycosylphosphatidylinositol anchor, and a 31-kDa N-terminal fragment,
megakaryocyte potentiating factor, which is secreted into the blood. The
biological functions of mesothelin remain largely unknown. However, results of
recent studies have suggested that the mesothelin may play a role of cell
proliferation and migration. In pancreatic cancer, mesothelin expression was
immunohistochemically observed in all cases, but absent in normal pancreas and in
chronic pancreatitis. Furthermore, the expression of mesothelin was correlated
with an poorer patient outcome in several human cancers. The limited mesothelin
expression in normal tissues and high expression in many cancers makes it an
attractive candidate for cancer therapy. The present review discusses the
expression and function of mesothelin in cancer cells and the utility of
mesothelin as a target of cancer therapy.
PMID- 27190695
TI - Sieving characteristics of cytokine- and peroxide-induced epithelial barrier
leak: Inhibition by berberine.
AB - AIM: To study whether the inflammatory bowel disease (IBD) colon which exhibits
varying severity and cytokine levels across its mucosa create varying types of
transepithelial leak. METHODS: We examined the effects of tumor necrosis factor
alpha (TNF-alpha), interferon-gamma (IFN-gamma), interleukin-1-beta (IL1beta) and
hydrogen peroxide (H2O2) - singly and in combinations - on barrier function of
CACO-2 cell layers. Our focus was on the type (not simply the magnitude) of
transepithelial leak generated by these agents as measured by transepithelial
electrical resistance (TER) and transepithelial flux of (14)C-D-mannitol, (3)H
Lactulose and (14)C-Polyethylene glycol as radiolabeled probe molecules. The
isoquinoline alkaloid, berberine, was then examined for its ability to reduce
specific types of transepithelial leak. RESULTS: Exposure to TNF-alpha alone (200
ng/mL; 48 h) induced a 50% decrease in TER, i.e., increased leak of Na(+) and Cl(
) - with only a marginal but statistically significant increase in
transepithelial leak of (14)C-mannitol (Jm). Exposure to TNF-alpha + IFN-gamma
(200 ng/mL; 48 h) + IL1beta (50 ng/mL; 48 h) did not increase the TER change
(from TNF-alpha alone), but there was now a 100% increase in Jm. There however
was no increase in transepithelial leak of two larger probe molecules, (3)H
lactulose and (14)C-polyethylene glycol (PEG). However, exposure to TNF-alpha +
IFN-gamma + IL1beta followed by a 5 h exposure to 2 mmol/L H2O2 resulted in a
500% increase in (14)C-PEG leak as well as leak to the luminal mitogen, epidermal
growth factor. CONCLUSION: This model of graded transepithelial leak is useful in
evaluating therapeutic agents reducing IBD morbidity by reducing barrier leak to
various luminal substances.
PMID- 27190696
TI - Visualization of sphingolipids and phospholipids in the fundic gland mucosa of
human stomach using imaging mass spectrometry.
AB - AIM: To analyze the lipid distribution in gastric mucosae. METHODS: Imaging mass
spectrometry (MS) is a useful tool to survey the distribution of biomolecules in
surgical specimens. Here we used the imaging MS apparatus named iMScope to
identify the dominant molecules present in the human gastric mucosa near the
fundic glands. Five gastric specimens were subjected to iMScope analysis. These
specimens were also analyzed by immunohistochemistry using MUC5AC, H(+)-K(+)
ATPasebeta Claudin18 antibodies. RESULTS: Three major molecules with m/z 725.5,
780.5, and 782.5 detected in the gastric mucosa were identified as sphingomyelin
(SM) (d18:1/16:0), phosphatidylcholine (PC) (16:0/18:2), and PC (16:0/18:1),
respectively, through MS/MS analyses. Using immunohistological staining, SM
(d18:1/16:0) signals were mainly co-localized with the foveolar epithelium marker
MUC5AC. In contrast, PC (16:0/18:2) signals were observed in the region testing
positive for the fundic gland marker H(+)-K(+)-ATPasebeta. PC (16:0/18:1) signals
were uniformly distributed throughout the mucosa. CONCLUSION: Our basic data will
contribute to the studies of lipid species in physical and pathological
conditions of the human stomach.
PMID- 27190697
TI - Conjunctivally Applied BDNF Protects Photoreceptors from Light-Induced Damage.
AB - PURPOSE: To test whether the topical eye treatment with BDNF prevents the effects
of continuous light exposure (LE) in the albino rat retina. METHODS: Two groups
of albino rats were used. The first group of rats received an intraocular
injection of BDNF (2 MUL, 1 MUg/MUL) before LE, while the second group was
treated with one single drop of BDNF (10 MUL, 12 MUg/MUL) dissolved in different
types of solutions (physiological solution, the polysaccharide fraction of
Tamarind gum, TSP, and sodium carboxy methyl cellulose), at the level of
conjunctival fornix before LE. The level of BDNF in the retina and optic nerve
was determined by enzyme-linked immunosorbent assay. We recorded the flash
electroretinogram (fERG) in dark adapted rats 1 week after LE. At the end of the
recording session, the retinas were removed and labeled so that the number of
photoreceptors nuclear rows and thickness of the outer nuclear layer was
analyzed. RESULTS: Intravitreal injection of BDNF before LE prevented fERG
impairment. Different ophthalmic preparations were used for topical eye
application; the TSP resulted the most suitable vehicle to increase BDNF level in
the retina and optic nerve. Topical eye application with BDNF/TSP before LE
partially preserved both fERG response and photoreceptors. CONCLUSIONS: Topical
eye treatment with BDNF represents a suitable, noninvasive tool to increase the
retinal content of BDNF up to a level capable of exerting neuroprotection toward
photoreceptors injured by prolonged LE. TRANSLATIONAL RELEVANCE: A collyrium
containing BDNF may serve as an effective, clinically translational treatment
against retinal degeneration.
PMID- 27190698
TI - Development of Visual Field Screening Procedures: A Case Study of the Octopus
Perimeter.
AB - PURPOSE: We develop a methodology for designing perimetric screening procedures,
using Octopus perimeters as a case study. METHODS: The process has three stages:
analytically determining specificity and number of presentations required for
different multisampling suprathreshold schemes at a single location of the visual
field, ranking visual field locations by their positive predictive value (PPV)
for glaucoma, and determining a pass/fail criteria for the test. For the case
study the Octopus G-program visual field test pattern is used, and a dataset of
385 glaucoma and 86 normal patients. RESULTS: Using a 1-of-3 sampling strategy at
a level equal to the 95 percentile of normal observers gave the most robust
specificity under the influences of false-negative responses using an average of
1.5 presentations per location. The PPV analysis gave 19 locations that
completely classified our glaucomatous data. A further 9 points were added to
screen for nonglaucomatous loss. The final stage found that insisting that 3
locations are missed for the screening to fail gave a simulated specificity and
sensitivity of approximately 95% for unreliable responders. CONCLUSIONS: Our
method gives a principled approach to choosing between the many parameters of a
visual field screening procedure. We have developed a procedure for the Octopus
that should terminate in less than 1 minute for normal observers with high
specificity and sensitivity to glaucoma. TRANSLATIONAL RELEVANCE: Visual field
screening is used in community settings and eye care practice. This study
provides a principled approach to the development of such screening procedures
and details a new procedure.
PMID- 27190699
TI - Histopathologic Findings in the Areas of Orange Pigment Overlying Choroidal
Melanomas.
AB - PURPOSE: Orange pigment is an important sign of malignancy in melanocytic tumors.
There is a question as to whether the pigment accumulation is inside of
macrophages or retinal pigment epithelial (RPE) cells. We investigated which
cells are involved with this color alteration. METHODS: We examined enucleated
specimens from two patients with choroidal melanoma and dense orange pigment on
fundus examination. Color fundus and fundus autofluorescence (FAF) photographs
were reviewed followed by examination with fluorescent microscopy, electron
microscopy, and immunohistochemistry of enucleated eyes for the specific areas
corresponding to the orange pigment. RESULTS: Orange pigment was observed on
color fundus photography and correlated with areas of hyperautofluorescence on
FAF. Fluorescent microscopy of sections of the enucleated eyes showed
autofluorescence in the RPE, which were most pronounced where there was a
localized retinal detachment and reactive hyperplasia of the RPE.
Immunohistochemical studies were done with keratin (OSCAR and AE1/AE3) and S-100
stained RPE cells, which still were attached to Bruch's membrane. Histiocytes
present in the detached retina stained with anti-CD163 antibody and did not show
autofluorescence. Electron microscopy studies of the same areas showed the
presence of lipofuscin and melanolipofuscin within the clustered RPE cells.
CONCLUSIONS: Orange pigment in choroidal melanocytic lesions originates from the
RPE cells, rather than macrophages, and is most abundant where there is
proliferation of the RPE. TRANSLATIONAL RELEVANCE: The orange pigment tumoral
biomarker arises and is in the retinal pigment epithelium.
PMID- 27190700
TI - Spatial Engineering of Osteochondral Tissue Constructs Through Microfluidically
Directed Differentiation of Mesenchymal Stem Cells.
AB - The development of tissue engineered osteochondral units has been slowed by a
number of technical hurdles associated with recapitulating their heterogeneous
nature ex vivo. Subsequently, numerous approaches with respect to cell sourcing,
scaffolding composition, and culture media formulation have been pursued, which
have led to high variability in outcomes and ultimately the lack of a consensus
bioprocessing strategy. As such, the objective of this study was to standardize
the design process by focusing on differentially supporting formation of
cartilaginous and bony matrix by a single cell source in a spatially controlled
manner within a single material system. A cell-polymer solution of bovine
mesenchymal stem cells and agarose was cast against micromolds of a serpentine
network and stacked to produce tissue constructs containing two independent
microfluidic networks. Constructs were fluidically connected to two controlled
flow loops and supplied with independently tuned differentiation parameters for
chondrogenic and osteogenic induction, respectively. Constructs receiving
inductive media showed differential gene expression of both chondrogenic and
osteogenic markers in opposite directions along the thickness of the construct
that was recapitulated at the protein level with respect to collagens I, II, and
X. A control group receiving noninductive media showed homogeneous expression of
these biomarkers measured in lower concentrations at both the mRNA and protein
level. This work represents an important step in the rational design of
engineered osteochondral units through establishment of an enabling technology
for further optimization of scaffolding formulations and bioprocessing conditions
toward the production of commercially viable osteochondral tissue products.
PMID- 27190701
TI - Largest global shark biomass found in the northern Galapagos Islands of Darwin
and Wolf.
AB - Overfishing has dramatically depleted sharks and other large predatory fishes
worldwide except for a few remote and/or well-protected areas. The islands of
Darwin and Wolf in the far north of the Galapagos Marine Reserve (GMR) are known
for their large shark abundance, making them a global scuba diving and
conservation hotspot. Here we report quantitative estimates of fish abundance at
Darwin and Wolf over two consecutive years using stereo-video surveys, which
reveal the largest reef fish biomass ever reported (17.5 t [Formula: see text] on
average), consisting largely of sharks. Despite this, the abundance of reef
fishes around the GMR, such as groupers, has been severely reduced because of
unsustainable fishing practices. Although Darwin and Wolf are within the GMR,
they were not fully protected from fishing until March 2016. Given the ecological
value and the economic importance of Darwin and Wolf for the dive tourism
industry, the current protection should ensure the long-term conservation of this
hotspot of unique global value.
PMID- 27190703
TI - Heat shock factors in tomatoes: genome-wide identification, phylogenetic analysis
and expression profiling under development and heat stress.
AB - The HSF (heat shock factor) gene family contains highly conserved plant-specific
transcription factors that play an important role in plant high-temperature
stress responses. The present study aimed to characterize the HSF transcription
factor genes in tomato (Solanum lycopersicum), which is an important vegetable
crop worldwide and the model plant for fruit development studies. Twenty-six
SlyHSF genes were identified in tomato, and the phylogenetic analysis showed the
possible evolution profile of subgroups among in the plant kingdom. A new group O
was identified that involved HSF genes in primitive plant species, like in the
green algae, mosses and lycophytes. The gene structure and motifs of each SlyHSF
were comprehensively analyzed. We identified orthologous, co-orthologous and
paralogous HSF gene pairs in tomato, Arabidopsis and rice, and constructed a
complex interaction network among these genes. The SlyHSF genes were expressed
differentially in different species and at a higher level in mature fruits. The
qPCR analysis was performed and showed SlyHSF genes greatly participate in plant
heat tolerant pathways. Our comprehensive genome-wide analysis provided insights
into the HSF gene family of tomatoes.
PMID- 27190702
TI - Incorporation of an invasive plant into a native insect herbivore food web.
AB - The integration of invasive species into native food webs represent multifarious
dynamics of ecological and evolutionary processes. We document incorporation of
Prunus serotina (black cherry) into native insect food webs. We find that P.
serotina harbours a herbivore community less dense but more diverse than its
native relative, P. padus (bird cherry), with similar proportions of specialists
and generalists. While herbivory on P. padus remained stable over the past
century, that on P. serotina gradually doubled. We show that P. serotina may have
evolved changes in investment in cyanogenic glycosides compared with its native
range. In the leaf beetle Gonioctena quinquepunctata, recently shifted from
native Sorbus aucuparia to P. serotina, we find divergent host preferences on
Sorbus- versus Prunus-derived populations, and weak host-specific differentiation
among 380 individuals genotyped for 119 SNP loci. We conclude that evolutionary
processes may generate a specialized herbivore community on an invasive plant,
allowing prognoses of reduced invasiveness over time. On the basis of the results
presented here, we would like to caution that manual control might have the
adverse effect of a slowing down of processes of adaptation, and a delay in the
decline of the invasive character of P. serotina.
PMID- 27190704
TI - Morphometric variation of extant platyrrhine molars: taxonomic implications for
fossil platyrrhines.
AB - The phylogenetic position of many fossil platyrrhines with respect to extant ones
is not yet clear. Two main hypotheses have been proposed: the layered or
successive radiations hypothesis suggests that Patagonian fossils are Middle
Miocene stem platyrrhines lacking modern descendants, whereas the long lineage
hypothesis argues for an evolutionary continuity of all fossil platyrrhines with
the extant ones. Our geometric morphometric analysis of a 15 landmark-based
configuration of platyrrhines' first and second lower molars suggest that
morphological stasis may explain the reduced molar shape variation observed.
Platyrrhine lower molar shape might be a primitive retention of the ancestral
state affected by strong ecological constraints throughout the radiation of the
main platyrrhine families. The Patagonian fossil specimens showed two distinct
morphological patterns of lower molars, Callicebus-like and Saguinus-like, which
might be the precursors of the extant forms, whereas the Middle Miocene
specimens, though showing morphological resemblances with the Patagonian fossils,
also displayed new, derived molar patterns, Alouatta-like and Pitheciinae-like,
thereby suggesting that despite the overall morphological stasis of molars,
phenotypic diversification of molar shape was already settled during the Middle
Miocene.
PMID- 27190705
TI - Origin of aromatase inhibitory activity via proteochemometric modeling.
AB - Aromatase, the rate-limiting enzyme that catalyzes the conversion of androgen to
estrogen, plays an essential role in the development of estrogen-dependent breast
cancer. Side effects due to aromatase inhibitors (AIs) necessitate the pursuit of
novel inhibitor candidates with high selectivity, lower toxicity and increased
potency. Designing a novel therapeutic agent against aromatase could be achieved
computationally by means of ligand-based and structure-based methods. For over a
decade, we have utilized both approaches to design potential AIs for which
quantitative structure-activity relationships and molecular docking were used to
explore inhibitory mechanisms of AIs towards aromatase. However, such approaches
do not consider the effects that aromatase variants have on different AIs. In
this study, proteochemometrics modeling was applied to analyze the interaction
space between AIs and aromatase variants as a function of their substructural and
amino acid features. Good predictive performance was achieved, as rigorously
verified by 10-fold cross-validation, external validation, leave-one-compound-out
cross-validation, leave-one-protein-out cross-validation and Y-scrambling tests.
The investigations presented herein provide important insights into the
mechanisms of aromatase inhibitory activity that could aid in the design of novel
potent AIs as breast cancer therapeutic agents.
PMID- 27190706
TI - Screening of cytoprotectors against methotrexate-induced cytogenotoxicity from
bioactive phytochemicals.
AB - As a well known anti-neoplastic drug, the cytogenotoxicity of methotrexate (MTX)
has received more attention in recent years. To develop a new cytoprotector to
reduce the risk of second cancers caused by methotrexate, an umu test combined
with a micronucleus assay was employed to estimate the cytoprotective effects of
ten kinds of bioactive phytochemicals and their combinations. The results showed
that allicin, proanthocyanidins, polyphenols, eleutherosides and isoflavones had
higher antimutagenic activities than other phytochemicals. At the highest dose
tested, the MTX genetoxicity was suppressed by 34.03%~67.12%. Of all the
bioactive phytochemical combinations, the combination of grape seed
proanthocyanidins and eleutherosides from Siberian ginseng as well as green tea
polyphenols and eleutherosides exhibited stronger antimutagenic effects; the
inhibition rate of methotrexate-induced genotoxicity separately reached 74.7 +/-
6.5% and 71.8 +/- 4.7%. Pretreatment of Kunming mice with phytochemical
combinations revealed an obvious reduction in micronucleus and sperm abnormality
rates following exposure to MTX (p < 0.01). Moreover, significant increases in
thymus and spleen indices were observed in cytoprotector candidates in treated
groups. The results indicated that bioactive phytochemicals combinations had the
potential to be used as new cytoprotectors.
PMID- 27190707
TI - Competitive interactions between corals and turf algae depend on coral colony
form.
AB - Turf algae are becoming more abundant on coral reefs worldwide, but their effects
on other benthic organisms remain poorly described. To describe the general
characteristics of competitive interactions between corals and turf algae, we
determined the occurrence and outcomes of coral-turf algal interactions among
different coral growth forms (branching, upright, massive, encrusting, plating,
and solitary) on a shallow reef in Vietnam. In total, the amount of turf algal
interaction, i.e., the proportion of the coral boundary directly bordering turf
algae, was quantified for 1,276 coral colonies belonging to 27 genera and the
putative outcome of each interaction was noted. The amount of turf algal
interaction and the outcome of these interactions differed predictably among the
six growth forms. Encrusting corals interacted most often with turf algae, but
also competed most successfully against turf algae. The opposite was observed for
branching corals, which rarely interacted with turf algae and rarely won these
competitive interactions. Including all other growth forms, a positive
relationship was found between the amount of competitive interactions with
neighboring turf algae and the percentage of such interaction won by the coral.
This growth form dependent ability to outcompete turf algae was not only observed
among coral species, but also among different growth forms in morphologically
plastic coral genera (Acropora, Favia, Favites, Montastrea, Montipora, Porites)
illustrating the general nature of this relationship.
PMID- 27190709
TI - A longitudinal study of independent scholar-published open access journals.
AB - Open Access (OA) is nowadays increasingly being used as a business model for the
publishing of scholarly peer reviewed journals, both by specialized OA publishing
companies and major, predominantly subscription-based publishers. However, in the
early days of the web OA journals were mainly founded by independent academics,
who were dissatisfied with the predominant print and subscription paradigm and
wanted to test the opportunities offered by the new medium. There is still an on
going debate about how OA journals should be operated, and the volunteer model
used by many such 'indie' journals has been proposed as a viable alternative to
the model adopted by big professional publishers where publishing activities are
funded by authors paying expensive article processing charges (APCs). Our
longitudinal quantitative study of 250 'indie' OA journals founded prior to 2002,
showed that 51% of these journals were still in operation in 2014 and that the
median number of articles published per year had risen from 11 to 18 among the
survivors. Of these surviving journals, only 8% had started collecting APCs. A
more detailed qualitative case study of five such journals provided insights into
how such journals have tried to ensure the continuity and longevity of
operations.
PMID- 27190708
TI - Mode of action and membrane specificity of the antimicrobial peptide snakin-2.
AB - Antimicrobial peptides (AMPs) are a diverse group of short, cationic peptides
which are naturally occurring molecules in the first-line defense of most living
organisms. They represent promising candidates for the treatment of pathogenic
microorganisms. Snakin-2 (SN2) from tomato (Solanum lycopersicum) is stabilized
through six intramolecular disulphide bridges; it shows broad-spectrum
antimicrobial activity against bacteria and fungi, and it agglomerates single
cells prior to killing. In this study, we further characterized SN2 by providing
time-kill curves and corresponding growth inhibition analysis of model organisms,
such as E. coli or B. subtilis. SN2 was produced recombinantly in E. coli with
thioredoxin as fusion protein, which was removed after affinity purification by
proteolytic digestion. Furthermore, the target specificity of SN2 was
investigated by means of hemolysis and hemagglutination assays; its effect on
plant cell membranes of isolated protoplasts was investigated by microscopy. SN2
shows a non-specific pore-forming effect in all tested membranes. We suggest that
SN2 could be useful as a preservative agent to protect food, pharmaceuticals, or
cosmetics from decomposition by microbes.
PMID- 27190710
TI - Cordycepin inhibits LPS-induced inflammatory and matrix degradation in the
intervertebral disc.
AB - Cordycepin is a component of the extract obtained from Cordyceps militaris and
has many biological activities, including anti-cancer, anti-metastatic and anti
inflammatory effects. Intervertebral disc degeneration (IDD) is a degenerative
disease that is closely related to the inflammation of nucleus pulposus (NP)
cells. The effect of cordycepin on NP cells in relation to inflammation and
degeneration has not yet been studied. In our study, we used a rat NP cell
culture and an intervertebral disc (IVD) organ culture model to examine the
inhibitory effects of cordycepin on lipopolysaccharide (LPS)-induced gene
expression and the production of matrix degradation enzymes (MMP-3, MMP-13,
ADAMTS-4, and ADAMTS-5) and oxidative stress-associated factors (nitric oxide and
PGE2). We found a protective effect of cordycepin on NP cells and IVDs against
LPS-induced matrix degradation and macrophage infiltration. In addition, western
blot and luciferase assay results demonstrated that pretreatment with cordycepin
significantly suppressed the LPS-induced activation of the NF-kappaB pathway.
Taken together, the results of our research suggest that cordycepin could exert
anti-inflammatory and anti-degenerative effects on NP cells and IVDs by
inhibiting the activation of the NF-kappaB pathway. Therefore, cordycepin may be
a potential treatment for IDD in the future.
PMID- 27190711
TI - Utilizing immunomarking techniques to track Halyomorpha halys (Hemiptera:
Pentatomidae) movement and distribution within a peach orchard.
AB - In this study we focus on the invasive brown marmorated stink bug, Halyomorpha
halys (Stal) (Hemiptera: Pentatomidae), which has a strong dispersal capacity and
has had a significant impact on several cropping systems, including peach (Prunus
persica (L.)). Management of H. halys has relied on intensive insecticide use,
and thus a better understanding of its dispersal behavior may assist in
developing improved management strategies. In order to investigate H. halys
movement and distribution patterns within a peach orchard we applied ecologically
safe, food protein markers to the trees along the orchard border (chicken egg
albumin in the form of liquid egg whites) and to the trees within the orchard
interior (bovine casein in the form of cow's milk). We used enzyme-linked
immunosorbent assays (ELISA) to assess whether collected H. halys were "marked"
with either of the two protein markers, revealing where in the orchard the bugs
had visited. From the density data we determined that H. halys is a perimeter
driven pest in peaches, with a significantly higher density of bugs collected
along the orchard border. Interestingly, this trend is primarily driven by the
distribution of male bugs. The protein marking data revealed that a small
proportion of male H. halys move equally between the orchard border and interior,
while a small proportion of females move predominately to the border after
visiting the interior. The verification of a strong edge-effect, although
potentially sex-specific, implies that H. halys displays a dispersal behavior
that may also be exploited for management, which may help growers more
efficiently and more effectively manage H. halys.
PMID- 27190712
TI - A reappraisal of Polyptychodon (Plesiosauria) from the Cretaceous of England.
AB - Pliosauridae is a globally distributed clade of aquatic predatory amniotes whose
fossil record spans from the Lower Jurassic to the Upper Cretaceous. However, the
knowledge of pliosaurid interrelationships remains limited. In part, this is a
consequence of a few key taxa awaiting detailed reassessment. Among them, the
taxon Polyptychodon is of special importance. It was established on isolated
teeth from the mid-Cretaceous strata of East and South East England and
subsequently associated with numerous finds of near-cosmopolitan distribution.
Here the taxon is reassessed based on the original dental material from England,
with special focus on a large collection of late Albian material from the
Cambridge Greensand near Cambridge. The dental material is reviewed here from
historical and stratigraphic perspective, described in detail, and discussed in
terms of its diagnostic nature. The considerable morphological variability
observed in the teeth attributed to Polyptychodon, together with a wide
stratigraphic range of the ascribed material, possibly exceeding 35 Ma (early
Aptian to ?middle Santonian), suggests that the taxon is based on a multispecies
assemblage, possibly incorporating members of different plesiosaur clades. Due to
the absence of any autapomorphic characters or unique character combinations in
the original material, Polyptychodon interruptus, the type species of
Polyptychodon, is considered nomen dubium. From a global perspective,
Polyptychodon is viewed as a wastebasket taxon whose material originating from
different localities should be reconsidered separately.
PMID- 27190713
TI - Localization and segmentation of optic disc in retinal images using circular
Hough transform and grow-cut algorithm.
AB - Automated retinal image analysis has been emerging as an important diagnostic
tool for early detection of eye-related diseases such as glaucoma and diabetic
retinopathy. In this paper, we have presented a robust methodology for optic disc
detection and boundary segmentation, which can be seen as the preliminary step in
the development of a computer-assisted diagnostic system for glaucoma in retinal
images. The proposed method is based on morphological operations, the circular
Hough transform and the grow-cut algorithm. The morphological operators are used
to enhance the optic disc and remove the retinal vasculature and other
pathologies. The optic disc center is approximated using the circular Hough
transform, and the grow-cut algorithm is employed to precisely segment the optic
disc boundary. The method is quantitatively evaluated on five publicly available
retinal image databases DRIVE, DIARETDB1, CHASE_DB1, DRIONS-DB, Messidor and one
local Shifa Hospital Database. The method achieves an optic disc detection
success rate of 100% for these databases with the exception of 99.09% and 99.25%
for the DRIONS-DB, Messidor, and ONHSD databases, respectively. The optic disc
boundary detection achieved an average spatial overlap of 78.6%, 85.12%, 83.23%,
85.1%, 87.93%, 80.1%, and 86.1%, respectively, for these databases. This unique
method has shown significant improvement over existing methods in terms of
detection and boundary extraction of the optic disc.
PMID- 27190714
TI - Optimisation of DNA extraction from the crustacean Daphnia.
AB - Daphnia are key model organisms for mechanistic studies of phenotypic plasticity,
adaptation and microevolution, which have led to an increasing demand for
genomics resources. A key step in any genomics analysis, such as high-throughput
sequencing, is the availability of sufficient and high quality DNA. Although
commercial kits exist to extract genomic DNA from several species, preparation of
high quality DNA from Daphnia spp. and other chitinous species can be
challenging. Here, we optimise methods for tissue homogenisation, DNA extraction
and quantification customised for different downstream analyses (e.g., LC-MS/MS,
Hiseq, mate pair sequencing or Nanopore). We demonstrate that if Daphnia magna
are homogenised as whole animals (including the carapace), absorbance-based DNA
quantification methods significantly over-estimate the amount of DNA, resulting
in using insufficient starting material for experiments, such as preparation of
sequencing libraries. This is attributed to the high refractive index of chitin
in Daphnia's carapace at 260 nm. Therefore, unless the carapace is removed by
overnight proteinase digestion, the extracted DNA should be quantified with
fluorescence-based methods. However, overnight proteinase digestion will result
in partial fragmentation of DNA therefore the prepared DNA is not suitable for
downstream methods that require high molecular weight DNA, such as PacBio, mate
pair sequencing and Nanopore. In conclusion, we found that the MasterPure DNA
purification kit, coupled with grinding of frozen tissue, is the best method for
extraction of high molecular weight DNA as long as the extracted DNA is
quantified with fluorescence-based methods. This method generated high yield and
high molecular weight DNA (3.10 +/- 0.63 ng/ug dry mass, fragments >60 kb), free
of organic contaminants (phenol, chloroform) and is suitable for large number of
downstream analyses.
PMID- 27190715
TI - High nonpublication rate from publication professionals hinders evidence-based
publication practices.
AB - Background. The need for timely, ethical, and high-quality reporting of clinical
trial results has seen a rise in demand for publication professionals. These
publication experts, who are not ghostwriters, work with leading medical
researchers and funders around the world to plan and prepare thousands of
publications each year. Despite the involvement of publication professionals in
an increasing number of peer-reviewed publications, especially those that affect
patient care, there is limited evidence-based guidance in the peer-reviewed
literature on their publication practices. Similar to the push for editors and
the peer-review community to conduct and publish research on publication ethics
and the peer-review process, the International Society for Medical Publication
Professionals (ISMPP) has encouraged members to conduct and publish research on
publication planning and practices. Our primary objective was to investigate the
publication rate of research presented at ISMPP Annual Meetings. Methods. ISMPP
Annual Meeting abstract lists (April 2009-April 2014) were searched in November
2014 and data were extracted into a pilot-tested spreadsheet. MEDLINE was
searched in December 2014 to determine the publication rate (calculated as the %
of presented abstracts published as full papers in peer-reviewed journals). Data
were analyzed using the Cochran-Armitage trend test (significance: P < .05) by an
independent academic statistician. Results. From 2009 to 2014, there were 220
abstracts submitted, 185 accepted, and 164 presented. There were four
corresponding publications (publication rate 2.4%). Over time, ISMPP's abstract
acceptance rate (overall: 84.1%) did not change, but the number of abstracts
presented increased significantly (P = .02). Most abstracts were presented as
posters (81.1%) and most research was observational (72.6%). Most researchers
came from the US (78.0%), followed by Europe (17.7%), and the Asia-Pacific region
(11.2%). Discussion. Research presented at ISMPP Annual Meetings has rarely been
published in peer-reviewed journals. The high rate of nonpublication by
publication professionals has now been quantified and is of concern. Publication
professionals should do more to contribute to evidence-based publication
practices, including, and especially, their own. Unless the barriers to
publication are identified and addressed, the practices of publication
professionals, which affect thousands of peer-reviewed publications each year,
will remain hidden and unproven.
PMID- 27190716
TI - The Anaphase-Promoting Complex (APC) ubiquitin ligase affects chemosensory
behavior in C. elegans.
AB - The regulation of fundamental aspects of neurobiological function has been linked
to the ubiquitin signaling system (USS), which regulates the degradation and
activity of proteins and is catalyzed by E1, E2, and E3 enzymes. The Anaphase
Promoting Complex (APC) is a multi-subunit E3 ubiquitin ligase that controls
diverse developmental and signaling processes in post-mitotic neurons; however,
potential roles for the APC in sensory function have yet to be explored. In this
study, we examined the effect of the APC ubiquitin ligase on chemosensation in
Caenorhabditis elegans by testing chemotaxis to the volatile odorants, diacetyl,
pyrazine, and isoamyl alcohol, to which wild-type worms are attracted. Animals
with loss of function mutations in either of two alleles (g48 and ye143) of the
gene encoding the APC subunit EMB-27 APC6 showed increased chemotaxis towards
diacetyl and pyrazine, odorants sensed by AWA neurons, but exhibited normal
chemotaxis to isoamyl alcohol, which is sensed by AWC neurons. The statistically
significant increase in chemotaxis in the emb-27 APC6 mutants suggests that the
APC inhibits AWA-mediated chemosensation in C. elegans. Increased chemotaxis to
pyrazine was also seen with mutants lacking another essential APC subunit, MAT-2
APC1; however, mat-2 APC1 mutants exhibited wild type responses to diacetyl. The
difference in responsiveness of these two APC subunit mutants may be due to
differential strength of these hypomorphic alleles or may indicate the presence
of functional sub-complexes of the APC at work in this process. These findings
are the first evidence for APC-mediated regulation of chemosensation and lay the
groundwork for further studies aimed at identifying the expression levels,
function, and targets of the APC in specific sensory neurons. Because of the
similarity between human and C. elegans nervous systems, the role of the APC in
sensory neurons may also advance our understanding of human sensory function and
disease.
PMID- 27190717
TI - Advertisement call and genetic structure conservatism: good news for an
endangered Neotropical frog.
AB - BACKGROUND: Many amphibian species are negatively affected by habitat change due
to anthropogenic activities. Populations distributed over modified landscapes may
be subject to local extinction or may be relegated to the remaining-likely
isolated and possibly degraded-patches of available habitat. Isolation without
gene flow could lead to variability in phenotypic traits owing to differences in
local selective pressures such as environmental structure, microclimate, or site
specific species assemblages. METHODS: Here, we tested the microevolution
hypothesis by evaluating the acoustic parameters of 349 advertisement calls from
15 males from six populations of the endangered amphibian species Proceratophrys
moratoi. In addition, we analyzed the genetic distances among populations and the
genetic diversity with a haplotype network analysis. We performed cluster
analysis on acoustic data based on the Bray-Curtis index of similarity, using the
UPGMA method. We correlated acoustic dissimilarities (calculated by Euclidean
distance) with geographical and genetic distances among populations. RESULTS:
Spectral traits of the advertisement call of P. moratoi presented lower
coefficients of variation than did temporal traits, both within and among males.
Cluster analyses placed individuals without congruence in population or
geographical distance, but recovered the species topology in relation to sister
species. The genetic distance among populations was low; it did not exceed 0.4%
for the most distant populations, and was not correlated with acoustic distance.
DISCUSSION: Both acoustic features and genetic sequences are highly conserved,
suggesting that populations could be connected by recent migrations, and that
they are subject to stabilizing selective forces. Although further studies are
required, these findings add to a growing body of literature suggesting that this
species would be a good candidate for a reintroduction program without negative
effects on communication or genetic impact.
PMID- 27190719
TI - Genome-scale investigation of phenotypically distinct but nearly clonal
Trichoderma strains.
AB - Biological control agents (BCA) are beneficial organisms that are applied to
protect plants from pests. Many fungi of the genus Trichoderma are successful
BCAs but the underlying mechanisms are not yet fully understood. Trichoderma cf.
atroviride strain LU132 is a remarkably effective BCA compared to T. cf.
atroviride strain LU140 but these strains were found to be highly similar at the
DNA sequence level. This unusual combination of phenotypic variability and high
DNA sequence similarity between separately isolated strains prompted us to
undertake a genome comparison study in order to identify DNA polymorphisms. We
further investigated if the polymorphisms had functional effects on the
phenotypes. The two strains were clearly identified as individuals, exhibiting
different growth rates, conidiation and metabolism. Superior pathogen control
demonstrated by LU132 depended on its faster growth, which is a prerequisite for
successful distribution and competition. Genome sequencing identified only one
non-synonymous single nucleotide polymorphism (SNP) between the strains. Based on
this SNP, we successfully designed and validated an RFLP protocol that can be
used to differentiate LU132 from LU140 and other Trichoderma strains. This SNP
changed the amino acid sequence of SERF, encoded by the previously undescribed
single copy gene "small EDRK-rich factor" (serf). A deletion of serf in the two
strains did not lead to identical phenotypes, suggesting that, in addition to the
single functional SNP between the nearly clonal Trichoderma cf. atroviride
strains, other non-genomic factors contribute to their phenotypic variation. This
finding is significant as it shows that genomics is an extremely useful but not
exhaustive tool for the study of biocontrol complexity and for strain typing.
PMID- 27190718
TI - The genome and transcriptome of Phalaenopsis yield insights into floral organ
development and flowering regulation.
AB - The Phalaenopsis orchid is an important potted flower of high economic value
around the world. We report the 3.1 Gb draft genome assembly of an important
winter flowering Phalaenopsis 'KHM190' cultivar. We generated 89.5 Gb RNA-seq and
113 million sRNA-seq reads to use these data to identify 41,153 protein-coding
genes and 188 miRNA families. We also generated a draft genome for Phalaenopsis
pulcherrima 'B8802,' a summer flowering species, via resequencing. Comparison of
genome data between the two Phalaenopsis cultivars allowed the identification of
691,532 single-nucleotide polymorphisms. In this study, we reveal that the key
role of PhAGL6b in the regulation of labellum organ development involves
alternative splicing in the big lip mutant. Petal or sepal overexpressing PhAGL6b
leads to the conversion into a lip-like structure. We also discovered that the
gibberellin pathway that regulates the expression of flowering time genes during
the reproductive phase change is induced by cool temperature. Our work thus
depicted a valuable resource for the flowering control, flower architecture
development, and breeding of the Phalaenopsis orchids.
PMID- 27190720
TI - The first hominin from the early Pleistocene paleocave of Haasgat, South Africa.
AB - Haasgat is a primate-rich fossil locality in the northeastern part of the Fossil
Hominid Sites of South Africa UNESCO World Heritage Site. Here we report the
first hominin identified from Haasgat, a partial maxillary molar (HGT 500), that
was recovered from an ex situ calcified sediment block sampled from the locality.
The in situ fossil bearing deposits of the Haasgat paleokarstic deposits are
estimated to date to slightly older than 1.95 Ma based on magnetobiostratigraphy.
This places the hominin specimen at a critical time period in South Africa that
marks the last occurrence of Australopithecus around 1.98 Ma and the first
evidence of Paranthropus and Homo in the region between ~2.0 and 1.8 Ma. A
comprehensive morphological evaluation of the Haasgat hominin molar was conducted
against the current South African catalogue of hominin dental remains and imaging
analyses using micro-CT, electron and confocal microscopy. The preserved occlusal
morphology is most similar to Australopithecus africanus or early Homo specimens
but different from Paranthropus. Occlusal linear enamel thickness measured from
micro-CT scans provides an average of ~2.0 mm consistent with Australopithecus
and early Homo. Analysis of the enamel microstructure suggests an estimated
periodicity of 7-9 days. Hunter-Schreger bands appear long and straight as in
some Paranthropus, but contrast with this genus in the short shape of the striae
of Retzius. Taken together, these data suggests that the maxillary fragment
recovered from Haasgat best fits within the Australopithecus-early Homo hypodigms
to the exclusion of the genus Paranthropus. At ~1.95 Ma this specimen would
either represent another example of late occurring Australopithecus or one of the
earliest examples of Homo in the region. While the identification of this first
hominin specimen from Haasgat is not unexpected given the composition of other
South African penecontemporaneous site deposits, it represents one of the few
hominin localities in the topographically-distinct northern World Heritage Site.
When coupled with the substantial differences in the mammalian faunal communities
between the northern localities (e.g., Haasgat, Gondolin) and well-sampled
Bloubank Valley sites (e.g., Sterkfontein, Swartkrans, Kromdraai), the recovery
of the HGT 500 specimen highlights the potential for further research at the
Haasgat locality for understanding the distribution and interactions of hominin
populations across the landscape, ecosystems and fossil mammalian communities of
early Pleistocene South Africa. Such contextual data from sites like Haasgat is
critical for understanding the transition in hominin representation at ~2 Ma
sites in the region from Australopithecus to Paranthropus and early Homo.
PMID- 27190722
TI - Relationship between triterpenoid anticancer drug resistance, autophagy, and
caspase-1 in adult T-cell leukemia.
AB - We previously reported that the inflammasome inhibitor cucurbitacin D (CuD)
induces apoptosis in human leukemia cell lines. Here, we investigated the effects
of CuD and a B-cell lymphoma extra-large (Bcl-xL) inhibitor on autophagy in
peripheral blood lymphocytes (PBL) isolated from adult T-cell leukemia (ATL)
patients. CuD induced PBL cell death in patients but not in healthy donors. This
effect was not significantly inhibited by treatment with rapamycin or 3
methyladenine (3-MA). The Bcl-xL inhibitor Z36 induced death in primary cells
from ATL patients including that induced by CuD treatment, effects that were
partly inhibited by 3-MA. Similarly, cell death induced by the steroid
prednisolone was enhanced in the presence of Z36. A western blot analysis
revealed that Z36 also promoted CuD-induced poly(ADP ribose) polymerase cleavage.
Interestingly, the effects of CuD and Z36 were attenuated in primary ATL patient
cells obtained upon recurrence after umbilical cord blood transplantation, as
compared to those obtained before chemotherapy. Furthermore, cells from this
patient expressed a high level of caspase-1, and treatment with caspase-1
inhibitor-enhanced CuD-induced cell death. Taken together, these results suggest
that rescue from resistance to steroid drugs can enhance chemotherapy, and that
caspase-1 is a good marker for drug resistance in ATL patients.
PMID- 27190721
TI - Neuromuscular interaction is required for neurotrophins-mediated locomotor
recovery following treadmill training in rat spinal cord injury.
AB - Recent results have shown that exercise training promotes the recovery of injured
rat distal spinal cords, but are still unclear about the function of skeletal
muscle in this process. Herein, rats with incomplete thoracic (T10) spinal cord
injuries (SCI) with a dual spinal lesion model were subjected to four weeks of
treadmill training and then were treated with complete spinal transection at T8.
We found that treadmill training allowed the retention of hind limb motor
function after incomplete SCI, even with a heavy load after complete spinal
transection. Moreover, treadmill training alleviated the secondary injury in
distal lumbar spinal motor neurons, and enhanced BDNF/TrkB expression in the
lumbar spinal cord. To discover the influence of skeletal muscle contractile
activity on motor function and gene expression, we adopted botulinum toxin A (BTX
A) to block the neuromuscular activity of the rat gastrocnemius muscle. BTX-A
treatment inhibited the effects of treadmill training on motor function and
BDNF/TrKB expression. These results indicated that treadmill training through the
skeletal muscle-motor nerve-spinal cord retrograde pathway regulated
neuralplasticity in the mammalian central nervous system, which induced the
expression of related neurotrophins and promoted motor function recovery.
PMID- 27190723
TI - Opinions regarding skin ageing in the elderly inhabitants of Bialystok, Poland.
AB - Skin diseases constitute an essential health and aesthetic problem in the
elderly. The aim of the study was to evaluate the knowledge of the elderly
residents of public nursing homes and participants of the University of the Third
Age in Bialystok, Poland surrounding the factors influencing skin ageing, the
awareness of skin conditions in agening skin, and the impact of skin ageing on
the volunteers. The study was performed from April to June 2015 in Bialystok, in
two groups: among 100 public nursing home residents (PNH) and 100 members of
University of the Third Age (U3A), (all over 60 years old). The study made use of
a diagnostic survey conducted via a questionnaire prepared by the authors. Nearly
half of those surveyed (42.5%; n = 85) sunbathed in the past, while 28.0% (n =
56) of those surveyed now take part in this type of leisure activity. More than
half of respondents (53.0%; n = 106) protected their skin using special
protective preparations. A majority of Bialystok inhabitants surveyed (80.5%; n =
161) noticed the features of skin ageing. They reported birthmarks, fungal
infections and bedsores as the main skin problems of the old age. Nearly half
(40%) of respondents assessed their knowledge as average and 26.0% as poor. The
study showed some statistical differences in the knowledge and awareness between
the residents of public nursing homes and the students of the University of the
Third Age, e.g., the use of the Internet by the U3A group for finding out
information. There is a desire to receive education in the field of the agening
skin conditions/diseases among the elderly because their level of knowledge is
relatively poor. Education of seniors in this area can increase their awareness
of the basic principles of skin care and prevention marking of skin ageing. The
benefits of greater knowledge of seniors about the conditions of agening skin can
help reduce the medical burden and reduce the incidence on certain skin diseases.
Furthermore, there is a need for educating of the younger population on the
factors of skin ageing to prevent certain skin conditions as they become older.
Seniors should be professionally educated by qualified specialists; for example,
dermatologists or cosmeticians, so that the information they receive is in line
with evidence-based medicine.
PMID- 27190724
TI - PhySortR: a fast, flexible tool for sorting phylogenetic trees in R.
AB - A frequent bottleneck in interpreting phylogenomic output is the need to screen
often thousands of trees for features of interest, particularly robust clades of
specific taxa, as evidence of monophyletic relationship and/or reticulated
evolution. Here we present PhySortR, a fast, flexible R package for classifying
phylogenetic trees. Unlike existing utilities, PhySortR allows for identification
of both exclusive and non-exclusive clades uniting the target taxa based on tip
labels (i.e., leaves) on a tree, with customisable options to assess clades
within the context of the whole tree. Using simulated and empirical datasets, we
demonstrate the potential and scalability of PhySortR in analysis of thousands of
phylogenetic trees without a priori assumption of tree-rooting, and in yielding
readily interpretable trees that unambiguously satisfy the query. PhySortR is a
command-line tool that is freely available and easily automatable.
PMID- 27190725
TI - Dural-Based Cavernoma of the Posterior Cranial Fossa Mimicking a Meningioma: A
Case Report.
AB - Cavernous angiomas usually occur in the parenchyma of both the supra and
infratentorial compartments. At times, they can both clinically and
radiologically mimic other dural-based lesions. We present a case of a patient
with chronic occipital headaches, initially thought to have a meningioma, but
proven to be a cavernoma with histological analysis.
PMID- 27190726
TI - Intravitreal Injection-Induced Migraine Headaches.
AB - A case of migraine headache triggered by intravitreal injection, and aborted by
retrobulbar injection, is reported. To date, migraine and related cephalgia have
not been reported after intravitreal injection. Ophthalmologists and neurologists
should be aware of this potential sequela of a very common procedure.
PMID- 27190727
TI - The Treatment of Adult Bipolar Disorder with Aripiprazole: A Systematic Review.
AB - Bipolar disorder is characterized by exacerbations of opposite mood polarity,
ranging from manic to major depressive episodes. In the current nosological
system of the Diagnostic and Statistical Manual - 5(th) edition (DSM-5), it is
conceptualized as a spectrum disorder consisting of bipolar disorder type I,
bipolar disorder type II, cyclothymic disorder, and bipolar disorder not
otherwise specified. Treatment of all phases of this disorder is primarily with
mood stabilizers, but many patients either show resistance to the conventional
mood stabilizing medications or are intolerant to their side-effects. In this
setting, second-generation antipsychotics have gained prominence as many bipolar
subjects who are otherwise treatment refractory show response to these agents.
Aripiprazole is a novel antipsychotic initially approved for the treatment of
schizophrenia but soon found to be effective in bipolar disorder. This drug is
well studied, as randomized controlled trials have been conducted in various
phases of bipolar disorders. Aripiprazole exhibits the pharmacodynamic properties
of partial agonism, functional selectivity, and serotonin-dopamine activity
modulation - the new exemplars in the treatment of major psychiatric disorders.
It is the first among a new series of psychotropic medications, which now also
include brexpiprazole and cariprazine. The current review summarizes the data
from controlled trials regarding the efficacy and safety of aripiprazole in adult
bipolar patients. On the basis of this evidence, aripiprazole is found to be
efficacious in the treatment and prophylaxis of manic and mixed episodes but has
no effectiveness in acute and recurrent bipolar depression.
PMID- 27190728
TI - Atypical Presentation of C. Difficile Infection: Report of a Case with Literature
Review.
AB - Clostridium difficile (C. difficile) is a gram-positive, obligate, anaerobic
spore-forming bacillus first reported by Hall and O'Toole in 1935. It occurs
mostly after antibiotic use and invariably presents with watery diarrhea. We
describe an atypical presentation of C. difficile in a 64-year-old Caucasian
female who presented to the our emergency department with abdominal pain, nausea,
and vomiting for one day. A complete blood count revealed leukocytosis 30 x
10(9)/L and a subsequent computed tomography (CT) scan of the abdomen and the
pelvis, showed fluid filled small bowel loops consistent with enteritis. Her
presentation was unusual for lack of diarrhea, the hallmark of C. difficile
infection. She was admitted and treated with oral vancomycin. The polymerase
chain reaction (PCR) value in the stool for C. difficile was positive. The
patient responded very well: her abdominal pain resolved and leukocyte count
normalized after a few doses of vancomycin (125 mg po qid). The patient's
progress was followed in our clinic for the last three months.
PMID- 27190729
TI - Sonography in Hypotension and Cardiac Arrest (SHoC): Rates of Abnormal Findings
in Undifferentiated Hypotension and During Cardiac Arrest as a Basis for
Consensus on a Hierarchical Point of Care Ultrasound Protocol.
AB - INTRODUCTION: Point of care ultrasound (PoCUS) has become an established tool in
the initial management of patients with undifferentiated hypotension. Current
established protocols (RUSH and ACES) were developed by expert user opinion,
rather than objective, prospective data. PoCUS also provides invaluable
information during resuscitation efforts in cardiac arrest by determining
presence/absence of cardiac activity and identifying reversible causes such as
pericardial tamponade. There is no agreed guideline on how to safely and
effectively incorporate PoCUS into the advanced cardiac life support (ACLS)
algorithm. We wished to report disease incidence as a basis to develop a
hierarchical approach to PoCUS in hypotension and during cardiac arrest. METHODS:
We summarized the recorded incidence of PoCUS findings from the initial cohort
during the interim analysis of two prospective studies. We propose that this will
form the basis for developing a modified Delphi approach incorporating this data
to obtain the input of a panel of international experts associated with five
professional organizations led by the International Federation of Emergency
Medicine (IFEM). The modified Delphi tool will be developed to reach an
international consensus on how to integrate PoCUS for hypotensive emergency
department patients as well as into cardiac arrest algorithms. RESULTS: Rates of
abnormal PoCUS findings from 151 patients with undifferentiated hypotension
included left ventricular dynamic changes (43%), IVC abnormalities (27%),
pericardial effusion (16%), and pleural fluid (8%). Abdominal pathology was rare
(fluid 5%, AAA 2%). During cardiac arrest there were no pericardial effusions,
however abnormalities of ventricular contraction (45%) and valvular motion (39%)
were common among the 43 patients included. CONCLUSIONS: A prospectively
collected disease incidence-based hierarchy of scanning can be developed based on
the reported findings. This will inform an international consensus process
towards the development of proposed SHoC protocols for hypotension and cardiac
arrest, comprised of the stepwise clinical-indication based approach of Core,
Supplementary, and Additional PoCUS views. We hope that such a protocol would be
structured in a way that enables the clinician to only perform views that are
clinically indicated, which limits exposure to the frequent incidental positive
findings that accompany the current "one size fits all" standard protocols.
PMID- 27190730
TI - Intravascular Biphasic Synovial Sarcoma: The Beneficial Role of Adjuvant
Treatment Approach in the Pre-metastatic Stage.
AB - Synovial sarcoma (SS) is a high-grade, rare variant of soft tissue sarcoma (STS).
The biphasic subtype is less common than the monophasic subtype. SS is very
common around joint cavities in the extremities, but can be present elsewhere in
the body. Tumor staging and therapeutic management are usually clear for a
localized disease, but the proper management at the metastatic stage can be
unclear. According to the literature, the histologic presence of an SS tumor
thrombus affects tumor staging, making it unclear whether the tumor stage
corresponds to localized or metastatic disease. An intravascular SS tumor
exhibiting high metastatic potential is a rare finding that warrants thorough
investigation. A 49-year-old woman presented with a biphasic SS intravascular
tumor of the left inguinal area with femoral vessels involvement. Ten cases of
intravascular SS have been reported in the literature and contain little
information regarding the proper management of a local metastatic disease. Ours
is a rare case of SS with an intravascular tumor occupying the femoral-iliac vein
(as seen in metastatic disease) that has been treated as a local disease with a
multidisciplinary therapeutic approach. As a result, our patient has been disease
free for two years and, during that time, has achieved an acceptable quality of
life. We discuss the pertinent clinical findings of this rare tumor and review
the literature of tumor thrombus by SS. We also present the multidisciplinary
therapeutic approach realized and the history of this disease.
PMID- 27190731
TI - The Impact of Preoperative Depression and Health State on Quality-of-Life
Outcomes after Anterior Cervical Diskectomy and Fusion.
AB - Study Design Retrospective cohort study. Objective We sought to assess the
predictive value of preoperative depression and health state on 1-year quality-of
life outcomes after anterior cervical diskectomy and fusion (ACDF). Methods We
analyzed 106 patients who underwent ACDF. All patients had either bilateral or
unilateral cervical radiculopathy. Preoperative and 1-year postoperative health
outcomes were assessed based on the visual analog scale, Pain Disability
Questionnaire (PDQ), Patient Health Questionnaire (PHQ-9), and EuroQol-5
Dimensions (EQ-5D) questionnaire. Univariable and multivariate regression
analyses were performed to assess for preoperative predictors of 1-year change in
health status according to the EQ-5D. Results Compared with preoperative health
states, the ACDF cohort showed statistically significant improved PDQ (78.5
versus 57.9), PHQ-9 (9.7 versus 5.3), and EQ-5D (0.55 versus 0.68) scores at 1
year postoperatively and surpassed the minimum clinically important difference
for the EQ-5D of 0.1 units (all p <= 0.01). Multivariate linear regression
indicated that anxiolytic use and higher EQ-5D preoperative scores were
associated with less 1-year postoperative improvement in health status. Although
not statistically significant, clinically important effects of preoperative
depression, as measured by the PHQ-9, were observed on postoperative QOL outcome
(-0.006, 95% confidence interval -0.014 to 0.001). Conclusions Of patients who
undergo ACDF with similar preoperative QOL health states, those with a greater
degree of depression may have lower improvements in postoperative QOL compared
with those with less depression. Patients with anxiety and better preoperative
health states also attain less 1-year QOL improvements.
PMID- 27190732
TI - The Burden of Clostridium difficile after Cervical Spine Surgery.
AB - Study Design Retrospective database analysis. Objective The purpose of this study
is to investigate incidence, comorbidities, and impact on health care resources
of Clostridium difficile infection after cervical spine surgery. Methods A total
of 1,602,130 cervical spine surgeries from the Nationwide Inpatient Sample
database from 2002 to 2011 were included. Patients were included for study based
on International Classification of Diseases Ninth Revision, Clinical Modification
procedural codes for cervical spine surgery for degenerative spine diagnoses.
Baseline patient characteristics were determined. Multivariable analyses assessed
factors associated with increased incidence of C. difficile and risk of
mortality. Results Incidence of C. difficile infection in postoperative cervical
spine surgery hospitalizations is 0.08%, significantly increased since 2002 (p <
0.0001). The odds of postoperative C. difficile infection were significantly
increased in patients with comorbidities such as congestive heart failure, renal
failure, and perivascular disease. Circumferential cervical fusion (odds ratio
[OR] = 2.93, p < 0.0001) increased the likelihood of developing C. difficile
infection after degenerative cervical spine surgery. C. difficile infection after
cervical spine surgery results in extended length of stay (p < 0.0001) and
increased hospital costs (p < 0.0001). Mortality rate in patients who develop C.
difficile after cervical spine surgery is nearly 8% versus 0.19% otherwise (p <
0.0001). Moreover, multivariate analysis revealed C. difficile to be a
significant predictor of inpatient mortality (OR = 3.99, p < 0.0001). Conclusions
C. difficile increases the risk of in-hospital mortality and costs approximately
$6,830,695 per year to manage in patients undergoing elective cervical spine
surgery. Patients with comorbidities such as renal failure or congestive heart
failure have increased probability of developing infection after surgery.
Accepted antibiotic guidelines in this population must be followed to decrease
the risk of developing postoperative C. difficile colitis.
PMID- 27190733
TI - Accuracy of Percutaneous Pedicle Screw Insertion Technique with Conventional Dual
Fluoroscopy Units and a Retrospective Comparative Study Based on Surgeon
Experience.
AB - Study Design Retrospective comparative study. Objective To evaluate the accuracy
of percutaneous pedicle screw (PPS) placement and intraoperative imaging time
using dual fluoroscopy units and their differences between surgeons with more
versus less experience. Methods One hundred sixty-one patients who underwent
lumbar fusion surgery were divided into two groups, A (n = 74) and B (n = 87),
based on the performing surgeon's experience. The accuracy of PPS placement and
radiation time for PPS insertion were compared. PPSs were inserted with classic
technique under the assistance of dual fluoroscopy units placed in two planes.
The breach definition of PPS misplacement was based on postoperative computed
tomography (grade I: no breach; grade II: <2 mm; grade III: <=2 to <4 mm).
Results Of 658 PPSs, only 21 screws were misplaced. The breach rates of groups A
and B were 3.3% (grade II: 3.4%, grade III: 0%) and 3.1% (grade II: 2.6%, grade
III: 0.6%; p = 0.91). One patient in grade III misplacement had a transient
symptom of leg numbness. Median radiation exposure time during PPS insertion was
25 seconds and 51 seconds, respectively (p < 0.01). Conclusions Without using an
expensive imaging support system, the classic technique of PPS insertion using
dual fluoroscopy units in the lumbar and sacral spine is fairly accurate and
provides good clinical outcomes, even among surgeons lacking experience.
PMID- 27190734
TI - The Thoracolumbar AOSpine Injury Score.
AB - Study Design Survey of 100 worldwide spine surgeons. Objective To develop a spine
injury score for the AOSpine Thoracolumbar Spine Injury Classification System.
Methods Each respondent was asked to numerically grade the severity of each
variable of the AOSpine Thoracolumbar Spine Injury Classification System. Using
the results, as well as limited input from the AOSpine Trauma Knowledge Forum,
the Thoracolumbar AOSpine Injury Score was developed. Results Beginning with 1
point for A1, groups A, B, and C were consecutively awarded an additional point
(A1, 1 point; A2, 2 points; A3, 3 points); however, because of a significant
increase in the severity between A3 and A4 and because the severity of A4 and B1
was similar, both A4 and B1 were awarded 5 points. An uneven stepwise increase in
severity moving from N0 to N4, with a substantial increase in severity between N2
(nerve root injury with radicular symptoms) and N3 (incomplete spinal cord
injury) injuries, was identified. Hence, each grade of neurologic injury was
progressively given an additional point starting with 0 points for N0, and the
substantial difference in severity between N2 and N3 injuries was recognized by
elevating N3 to 4 points. Finally, 1 point was awarded to the M1 modifier
(indeterminate posterolateral ligamentous complex injury). Conclusion The
Thoracolumbar AOSpine Injury Score is an easy-to-use, data-driven metric that
will allow for the development of a surgical algorithm to accompany the AOSpine
Thoracolumbar Spine Injury Classification System.
PMID- 27190735
TI - Biomechanical Analysis of the Proximal Adjacent Segment after Multilevel
Instrumentation of the Thoracic Spine: Do Hooks Ease the Transition?
AB - Study Design Biomechanical cadaveric study. Objective Clinical studies indicate
that using less-rigid fixation techniques in place of the standard all-pedicle
screw construct when correcting for scoliosis may reduce the incidence of
proximal junctional kyphosis and improve patient outcomes. The purpose of this
study is to investigate whether there is a biomechanical advantage to using
supralaminar hooks in place of pedicle screws at the upper-instrumented vertebrae
in a multilevel thoracic construct. Methods T7-T12 spines were biomechanically
tested: (1) intact; (2) following a two-level pedicles screw fusion from T9 to
T11; and after proximal extension of the fusion to T8-T9 with (3) bilateral supra
laminar hooks, (4) a unilateral hook + unilateral screw hybrid, or (5) bilateral
pedicle screws. Specimens were nondestructively loaded while three-dimensional
kinematics and intradiscal pressure at the supra-adjacent level were recorded.
Results Supra-adjacent hypermobility was reduced when bilateral hooks were used
in place of pedicle screws at the upper-instrumented level, with statistically
significant differences in lateral bending and torsion (p < 0.05 and p < 0.001,
respectively). Disk pressures in the supra-adjacent segment were not
statistically different among top-off techniques. Conclusions The use of
supralaminar hooks at the top of a multilevel posterior fusion construct reduces
the stress at the proximal uninstrumented motion segment. Although further data
is needed to provide a definitive link to the clinical occurrence of PJK, this in
vitro study demonstrates the potential benefit of "easing" the transition between
the stiff instrumented spine and the flexible native spine and is the first to
demonstrate these results with laminar hooks.
PMID- 27190736
TI - Screw Placement Accuracy and Outcomes Following O-Arm-Navigated Atlantoaxial
Fusion: A Feasibility Study.
AB - Study Design Case series of seven patients. Objective C2 stabilization can be
challenging due to the complex anatomy of the upper cervical vertebrae. We
describe seven cases of C1-C2 fusion using intraoperative navigation to aid in
the screw placement at the atlantoaxial (C1-C2) junction. Methods Between 2011
and 2014, seven patients underwent posterior atlantoaxial fusion using
intraoperative frameless stereotactic O-arm Surgical Imaging and StealthStation
Surgical Navigation System (Medtronic, Inc., Minneapolis, Minnesota, United
States). Outcome measures included screw accuracy, neurologic status, radiation
dosing, and surgical complications. Results Four patients had fusion at C1-C2
only, and in the remaining three, fixation extended down to C3 due to anatomical
considerations for screw placement recognized on intraoperative imaging. Out of
30 screws placed, all demonstrated minimal divergence from desired placement in
either C1 lateral mass, C2 pedicle, or C3 lateral mass. No neurovascular
compromise was seen following the use of intraoperative guided screw placement.
The average radiation dosing due to intraoperative imaging was 39.0 mGy. All
patients were followed for a minimum of 12 months. All patients went on to solid
fusion. Conclusion C1-C2 fusion using computed tomography-guided navigation is a
safe and effective way to treat atlantoaxial instability. Intraoperative
neuronavigation allows for high accuracy of screw placement, limits complications
by sparing injury to the critical structures in the upper cervical spine, and can
help surgeons make intraoperative decisions regarding complex pathology.
PMID- 27190737
TI - Cervical Myeloradiculopathy due to Ossification of the Posterior Longitudinal
Ligament with versus without Diffuse Idiopathic Spinal Hyperostosis.
AB - Study Design Retrospective study. Objectives Assess demographics, ossification
characteristics, surgical outcomes, and complications in patients with both
diffuse idiopathic spinal hyperostosis (DISH) and ossification of the posterior
longitudinal ligament (OPLL) compared with patients who only have OPLL. Methods
Clinical charts and radiographs of all patients treated surgically from February
2004 to July 2012 for cervical myeloradiculopathy due to DISH with OPLL or OPLL
alone were reviewed retrospectively. All patients were observed for a minimum of
1 year. Pre- and postoperative Nurick grades were assessed for all patients.
Results Forty-nine patients underwent surgical treatment for cervical
myeloradiculopathy due to OPLL, and 8 also had DISH (average 58.9 years, range 37
to 70). The DISH with OPLL group had a significantly higher proportion of
subjects with diabetes mellitus (50 versus 9.8% in the OPLL-only group). Everyone
in the DISH with OPLL group had continuous or mixed-type OPLL, whereas 78% of
patients in the OPLL-only group had primarily segmental type. Operative
treatments for patients in the DISH with OPLL group included laminoplasty,
anterior decompression and fusion, and posterior laminectomy with fusion. By
Nurick grade, 5 patients improved and 3 showed no change. Conclusion Patients
with both DISH and OPLL had a higher prevalence of diabetes mellitus and either
continuous or mixed-type OPLL classifications. Surgical outcomes were mostly
satisfactory; there was no aggravation of symptoms after surgery during the
follow up period.
PMID- 27190738
TI - Cadaveric Spinal Surgery Simulation: A Comparison of Cadaver Types.
AB - Study Design Single-blinded study. Objective To assess the suitability of three
types of cadaver for simulating pedicle screw insertion and establish if there is
an ideal. Methods Three types of cadaver-Thiel-embalmed, Crosado-embalmed, and
formaldehyde-embalmed-were draped and the spines exposed. Experienced surgeons
were asked to place pedicle screws in each cadaver and give written questionnaire
feedback using a modified Likert scale. Soft tissue and bony properties were
assessed, along with the role of simulation in spinal surgery training. Results
The Thiel cadaver rated highest for soft tissue feel and appearance with a median
score of 6 for both (range 2 to 7). The Crosado cadaver rated highest for bony
feel, with a median score of 6 (range 2 to 7). The formaldehyde cadaver rated
lowest for all categories with median scores of 2, 2.5, and 3.5, respectively.
All surgeons felt pedicle screw insertion should be learned in a simulated
setting using human cadavers. Conclusion Thiel and Crosado cadavers both offered
lifelike simulation of pedicle screw insertion, with each having advantages
depending on whether the focus is on soft tissue approach or technical aspects of
bony screw insertion. Both cadaver types offer the advantage of long life span,
unlike fresh frozen tissue, which means cadavers can be used multiple times, thus
reducing the costs.
PMID- 27190739
TI - Correlations of Cervical Sagittal Alignment before and after Occipitocervical
Fusion.
AB - Study Design Retrospective radiographic study. Objective To investigate changes
and correlations of cervical sagittal alignment including T1 slope before and
after occipitocervical corrective surgery. We also investigated the relevance for
preoperative planning. Methods We conducted a retrospective radiographic analysis
of 27 patients who underwent surgery for occipitocervical deformity. There were 7
men and 20 women with a mean age of 56.0 years. Mean follow-up was 68.0 months
(range 24 to 120). The radiographic parameters measured before surgery and at
final follow-up included McGregor slope, T1 slope, occipito (O)-C2 angle, O-C7
angle, and C2-C7 angle. Pearson correlation coefficient was used to examine the
correlation between the radiographic parameters. Results There was a stronger
positive correlation between the T1 slope and the O-C7 angle both preoperatively
and postoperatively (r = 0.72 and r = 0.83, respectively) than between the T1
slope and the C2-C7 angle (r = 0.60 and r = 0.76, respectively). The O-C2 angle
and C2-C7 angle had inverse correlations to each other both pre- and
postoperatively (r = - 0.50 and -0.45). McGregor slope and T1 slope did not
significantly change postoperatively at final follow-up. Increase in O-C2 angle
after surgery (mean change, 10.7 degrees) inversely correlated with decrease in
postoperative C2-C7 angle (mean change, 12.2 degrees). As result of these
complementary changes, O-C7 angle did not statistically change. Conclusions Our
results suggest that the O-C7 angle is regulated by T1 slope and the
corresponding O-C7 angle is divided into the O-C2 and C2-C7 angles, which have
inverse correlation to each other and then maintain McGregor slope (horizontal
gaze).
PMID- 27190740
TI - Spinolaminar Line Test as a Screening Tool for C1 Stenosis.
AB - Study Design Retrospective cohort. Objective To clarify the sensitivity of C3-C2
spinolaminar line test as a screening tool for the stenosis of C1 space available
for the cord (SAC). Methods Spine clinic records from April 2005 to August 2011
were reviewed. The C1 SAC was measured on lateral radiographs, and the relative
positions between a C1 posterior arch and the C3-C2 spinolaminar line were
examined and considered "positive" when the C1 ring lay ventral to the line.
Computed tomography (CT) scans and magnetic resonance imaging (MRI) were utilized
to measure precise diameters of C1 and C2 SAC and to check the existence of
spinal cord compression. Results Four hundred eighty-seven patients were included
in this study. There were 246 men and 241 women, with an average age of 53 years
(range: 18 to 86). The mean SAC at C1 on radiographs was 21.2 mm (range: 13.5 to
28.2). Twenty-one patients (4.3%) were positive for the spinolaminar line test;
all of these patients had C1 SAC of 19.4 mm or less. Eight patients (1.6%) had C1
SAC smaller than C2 on CT examination; all of these patients had a positive
spinolaminar test, with high sensitivity (100%) and specificity (97%). MRI
analysis revealed that two of the eight patients with a smaller C1 SAC had spinal
cord compression at the C1 level. Conclusion Although spinal cord compression at
the level of atlas without instability is a rare condition, the spinolaminar line
can be used as a screening of C1 stenosis.
PMID- 27190741
TI - Solitary C1 Posterior Fixation for Unstable Isolated Atlas Fractures: Case Report
and Systematic Review of the Literature.
AB - Study Design A systematic review of the literature. Objectives To review the
published results to date of motion-preserving direct reconstruction of C1 ring
fractures with combined coronal plane displacement of at least 7 mm (rule of
Spence) and so at risk for Dickman type I or II disruption of the transverse
atlantal ligament (TAL). Methods A structured literature review prompted by
successful management of a typical case. Results To date only 65 such cases are
reported and follow-up is almost uniformly short. Although reported clinical
success is uniform, the case mix is heterogenous and confirmation/classification
of ligamentous injury at baseline is often lacking. Conclusions Direct C1
stabilization shows promise as a "more selective" option in managing displaced
atlas fractures with probable TAL disruption but cannot yet be recommended as a
practice standard. Prospective clinical studies are indicated and should be
structured so as to differentiate between Dickman type I and type II injuries of
the TAL.
PMID- 27190742
TI - Upper Cervical Epidural Abscess in Clinical Practice: Diagnosis and Management.
AB - Study Design Narrative review. Objective Upper cervical epidural abscess (UCEA)
is a rare surgical emergency. Despite increasing incidence, uncertainty remains
as to how it should initially be managed. Risk factors for UCEA include
immunocompromised hosts, diabetes mellitus, and intravenous drug use. Our
objective is to provide a comprehensive overview of the literature including the
history, clinical manifestations, diagnosis, and management of UCEA. Methods
Using PubMed, studies published prior to 2015 were analyzed. We used the keywords
"Upper cervical epidural abscess," "C1 osteomyelitis," "C2 osteomyelitis," "C1
epidural abscess," "C2 epidural abscess." We excluded cases with tuberculosis.
Results The review addresses epidemiology, etiology, imaging, microbiology, and
diagnosis of this condition. We also address the nonoperative and operative
management options and the relative indications for each as reviewed in the
literature. Conclusion A high index of suspicion is required to diagnose this
rare condition with magnetic resonance imaging being the imaging modality of
choice. There has been a shift toward surgical management of this condition in
recent times, with favorable outcomes.
PMID- 27190743
TI - The Effects of Obesity on Spine Surgery: A Systematic Review of the Literature.
AB - Study Design Literature review. Objective The aim of this literature review is to
examine the effects of obesity on postoperative complications and functional
outcomes after spine surgery. Methods A review of the relevant literature
examining the effects of obesity and spine surgery was conducted using PubMed,
Google Scholar, and Cochrane databases. Results Obesity contributes to disk
degeneration and low back pain and potentially increases the risk of developing
operative pathology. Obese patients undergoing spine surgery have a higher risk
of developing postoperative complications, particularly surgical site infection
and venous thromboembolism. Though functional outcomes in this population may not
mirror the general population, the treatment effect associated with surgery is at
least equivalent if not better in obese individuals. This reduction is primarily
due to worse outcomes associated with nonoperative treatment in the obese
population. Conclusion Obese individuals represent a unique patient population
with respect to nonoperative treatment, postoperative complication rates, and
functional outcomes. However, given the equivalent or greater treatment effect of
surgery, this comorbidity should not prohibit obese patients from undergoing
operative intervention. Future investigations in this area should attempt to
develop strategies to minimize complications and improve outcomes in obese
individuals and also examine the role of controlled weight loss preoperatively to
mitigate these risks.
PMID- 27190745
TI - Some remarks on b-(E.A)-property in b-metric spaces.
AB - In this paper we consider, discuss, improve and generalize recent b-(E.A)
property results for mappings in b-metric spaces established by Ozturk and
Turkoglu (J Nonlinear Convex Anal 16(10):2059-2066, 2015). Thus, all our results
are with much shorter proofs. One example is given to support the result.
PMID- 27190744
TI - A Comparison of Magnetic Resonance Imaging Muscle Fat Content in the Lumbar
Paraspinal Muscles with Patient-Reported Outcome Measures in Patients with Lumbar
Degenerative Disk Disease and Focal Disk Prolapse.
AB - Study Design Retrospective study. Objectives To assess the fatty atrophy of the
lumbar paraspinal muscles (LPMs) as determined using magnetic resonance imaging
in patients with lumbar degenerative disk disease (DDD) and focal disk herniation
and to determine if fatty atrophy is associated with patient-reported outcome
measures (PROMS). Methods One hundred sixty-five patients with lumbar DDD were
identified from a PROMS database of >1,500 patients. These patients were divided
into two study groups: DDD alone (n = 58) and DDD with disk herniation (n = 107).
A grid was randomly applied to the axial scans at the L3-L4, L4-L5, and L5-S1
levels. The muscle-to-fat ratio of the LPMs was recorded and compared with PROMS
data. Subcutaneous fat thickness at each level was also measured. Results This
study found no difference in the muscle-to-fat ratio between the DDD and disk
herniation groups. There was no association between the muscle-to-fat ratio and
PROMS data in either group. There was significantly more subcutaneous fat at all
levels in the DDD group as compared with the disk prolapse group. In DDD and disk
prolapses, subcutaneous fat was thicker in women (p = 0.013 and 0.001). In
patients with DDD, more subcutaneous fat was associated with disability (p <
0.001). Muscle content of erector spinae and multifidus negatively correlated
with increasing age in both groups at the L3-L4 level. Conclusions Muscle fat
content in the LPM does not appear to relate to PROMS. Muscle content decreases
with age. Those with low back pain (DDD) have greater subcutaneous fat thickness.
PMID- 27190747
TI - Usability evaluation of mobile applications using ISO 9241 and ISO 25062
standards.
AB - This paper presents an empirical study based on a set of measures to evaluate the
usability of mobile applications running on different mobile operating systems,
including Android, iOS and Symbian. The aim is to evaluate empirically a
framework that we have developed on the use of the Software Quality Standard ISO
9126 in mobile environments, especially the usability characteristic. To do that,
32 users had participated in the experiment and we have used ISO 25062 and ISO
9241 standards for objective measures by working with two widely used mobile
applications: Google Apps and Google Maps. The QUIS 7.0 questionnaire have been
used to collect measures assessing the users' level of satisfaction when using
these two mobile applications. By analyzing the results we highlighted a set of
mobile usability issues that are related to the hardware as well as to the
software and that need to be taken into account by designers and developers in
order to improve the usability of mobile applications.
PMID- 27190746
TI - The inhibitory activity of cocoa phenolic extract against pro-inflammatory
mediators secretion induced by lipopolysaccharide in RAW 264.7 cells.
AB - Cocoa is a rich source of polyphenols that has been traditionally used as the
treatment of several types of inflammation related disease. The response to
inflammation comprises the consecutive release of mediators and the enlistment of
circulating leukocytes, such as macrophages. Currently, Cocoa-derived
polyphenolics have shown anti-inflammatory effects in vivo, but the therapeutic
benefits in vitro remain unclear. Therefore, in this study, the effect of cocoa
polyphenolic extract (CPE) on RAW 264.7 macrophage cells sensitized by
lipopolysaccharide as in vitro inflammatory model was investigated. The anti
inflammatory activity of CPE was assessed by measuring its ability to inhibit the
pro-inflammatory enzyme 5-lipoxygenase (5-LOX) and the pro-inflammatory mediators
prostaglandin E2 (PGE2), reactive oxygen species (ROS), nitric oxide (NO) and
tumor necrosis factor-alpha (TNF-alpha). The results show that CPE significantly
inhibits 5-LOX activity (p < 0.01). In addition, CPE dose-dependently suppressed
the production of PGE2, ROS, NO and TNF-alpha in RAW 264.7 cells. These data
suggest that CPE may be used for the treatment of inflammation and it's related
diseases.
PMID- 27190748
TI - Lessening the adverse effect of the semivariogram model selection on an
interpolative survey using kriging technique.
AB - OBJECTIVE: Many parameters in environmental, scientific and human sciences
investigations need to be interpolated. Geostatistics, with its structural
analysis step, is widely used for this purpose. This precious step that evaluates
data correlation and dependency is performed thanks to semivariogram. However, an
incorrect choice of a semivariogram model can skew all the prediction results.
The main objectives of this paper are (1) to simply illustrate the influence of
the choice of an inappropriate semivariogram model and (2) to show how a best
fitted model can be selected. This may lessen the adverse effect of the
semivariogram model selection on an interpolation survey using kriging technique.
METHODS: The influence of the semivariogram model selection is highlighted and
illustrated by thematic maps drawn using four different models (Gaussian,
magnetic, spherical and exponential). Then, a guideline to select the most
suitable model, using mean error (ME), mean square error (MSE), root mean square
error (RMSE), average standard error (ASE), and root mean square standardized
error (RMSSE), is proposed. RESULTS: The choice of a semivariogram model
seriously influences the results of a kriging survey at both endpoints and
amplitude of the range of the estimated values. However, the direction of
variation of the interpolated values is independent of the semivariogram model:
different semivariogram models (with the same characteristics) produce different
thematic maps but, the areas of minimum and maximum values remain unchanged. Yet,
the suitable model can be selected by means of ME, MSE, RMSE, ASE and RMSSE.
CONCLUSION: The present article illustrates how the use of an inappropriate
semivariogram model can seriously distort the results of an evaluation,
assessment or prediction survey. To avoid such an inconveniency, a methodical
approach based on the computation and analysis of ME, RMSE, ASE, RMSSE and MSE is
proposed.
PMID- 27190749
TI - The q-Laguerre matrix polynomials.
AB - The Laguerre polynomials have been extended to Laguerre matrix polynomials by
means of studying certain second-order matrix differential equation. In this
paper, certain second-order matrix q-difference equation is investigated and
solved. Its solution gives a generalized of the q-Laguerre polynomials in matrix
variable. Four generating functions of this matrix polynomials are investigated.
Two slightly different explicit forms are introduced. Three-term recurrence
relation, Rodrigues-type formula and the q-orthogonality property are given.
PMID- 27190750
TI - Clinical value of preoperative serum CA 19-9 and CA 125 levels in predicting the
resectability of hilar cholangiocarcinoma.
AB - BACKGROUND: To examine the predictive value of tumor markers for evaluating tumor
resectability in patients with hilar cholangiocarcinoma and to explore the
prognostic effect of various preoperative factors on resectability in patients
with potentially resectable tumors. Patients with potentially resectable tumors
judged by radiologic examination were included. The receiver operating
characteristic (ROC) analysis was conducted to evaluate serum carbohydrate
antigenic determinant 19-9 (CA 19-9), carbohydrate antigen 125 (CA 125) and
carcino embryonie antigen levels on tumor resectability. Univariate and
multivariate logistic regression models were also conducted to analysis the
correlation of preoperative factors with resectability. RESULTS: In patients with
normal bilirubin levels, ROC curve analysis calculated the ideal CA 19-9 cut-off
value of 203.96 U/ml in prediction of resectability, with a sensitivity of 83.7
%, specificity of 80 %, positive predictive value of 91.1 % and negative
predictive value of 66.7 %. Meanwhile, the optimal cut-off value for CA 125 to
predict resectability was 25.905 U/ml (sensitivity, 78.6 %; specificity, 67.5 %).
In a multivariate logistic regression model, tumor size <=3 cm (OR 4.149, 95 % CI
1.326-12.981, P = 0.015), preoperative CA 19-9 level <=200 U/ml (OR 20.324, 95 %
CI 6.509-63.467, P < 0.001), preoperative CA 125 levels <=26 U/ml (OR 8.209, 95 %
CI 2.624-25.677, P < 0.001) were independent determinants of resectability in
patients diagnosed as hilar cholangiocarcinoma. CONCLUSIONS: Preoperative CA 19-9
and CA 125 levels predict resectability in patients with radiological resectable
hilar cholangiocarcinoma. Increased preoperative CA 19-9 levels and CA 125 levels
are associated with poor resectability rate.
PMID- 27190752
TI - Comparative study on the customization of natural language interfaces to
databases.
AB - In the last decades the popularity of natural language interfaces to databases
(NLIDBs) has increased, because in many cases information obtained from them is
used for making important business decisions. Unfortunately, the complexity of
their customization by database administrators make them difficult to use. In
order for a NLIDB to obtain a high percentage of correctly translated queries, it
is necessary that it is correctly customized for the database to be queried. In
most cases the performance reported in NLIDB literature is the highest possible;
i.e., the performance obtained when the interfaces were customized by the
implementers. However, for end users it is more important the performance that
the interface can yield when the NLIDB is customized by someone different from
the implementers. Unfortunately, there exist very few articles that report NLIDB
performance when the NLIDBs are not customized by the implementers. This article
presents a semantically-enriched data dictionary (which permits solving many of
the problems that occur when translating from natural language to SQL) and an
experiment in which two groups of undergraduate students customized our NLIDB and
English language frontend (ELF), considered one of the best available commercial
NLIDBs. The experimental results show that, when customized by the first group,
our NLIDB obtained a 44.69 % of correctly answered queries and ELF 11.83 % for
the ATIS database, and when customized by the second group, our NLIDB attained
77.05 % and ELF 13.48 %. The performance attained by our NLIDB, when customized
by ourselves was 90 %.
PMID- 27190751
TI - Effect of dietary histamine supplementation on growth, digestive enzyme
activities and morphology of intestine and hepatopancreas in the Chinese mitten
crab Eriocheir sinensis.
AB - A 28-days feeding experiment was conducted to investigate the effect of histamine
on digestive physiology of the Chinese mitten crab, Eriocheir sinensis. Four
experimental diets were supplemented with histamine at 0, 1, 2, 4 g/kg. Histamine
supplementation had no effect on growth. The activities of digestive enzyme
decreased significantly at first (days 7 and 14) (p < 0.05) and then increased or
finally slightly recovered in the hepatopancreas and intestinal tract on the 28th
day. Tryptase and amylase activities were inhibited significantly in each
histamine-treated group on day 7 as compared to the control (p < 0.05). On day 7,
14 and 28, tryptase mRNA relative expression in the histamine treatments
correlated positively with the histamine concentration (p < 0.05).
Histopathologic analyses showed serious alterations in hepatopancreas, moderate
alterations in the hindgut and intestinal bulb, and no alterations in the midgut.
In hepatopancreas, low levels (1 g/kg) of histamine caused an increase in the
number of B-cells. High levels (4 g/kg) of histamine increased the number of R
cells, which were also highly vacuolized. In extreme cases, the basal lamina was
detached from the tubule. In the intestinal bulb and hindgut, high levels of
histamine (4 g/kg) decreased the density of reserve inclusion cells. Thus, this
indicated that histamine had dose-dependent effect on the activity of digestive
enzymes and the morphology of the intestine and hepatopancreas.
PMID- 27190753
TI - Algorithm for finding partitionings of hard variants of boolean satisfiability
problem with application to inversion of some cryptographic functions.
AB - In this paper we propose an approach for constructing partitionings of hard
variants of the Boolean satisfiability problem (SAT). Such partitionings can be
used for solving corresponding SAT instances in parallel. For the same SAT
instance one can construct different partitionings, each of them is a set of
simplified versions of the original SAT instance. The effectiveness of an
arbitrary partitioning is determined by the total time of solving of all SAT
instances from it. We suggest the approach, based on the Monte Carlo method, for
estimating time of processing of an arbitrary partitioning. With each
partitioning we associate a point in the special finite search space. The
estimation of effectiveness of the particular partitioning is the value of
predictive function in the corresponding point of this space. The problem of
search for an effective partitioning can be formulated as a problem of
optimization of the predictive function. We use metaheuristic algorithms
(simulated annealing and tabu search) to move from point to point in the search
space. In our computational experiments we found partitionings for SAT instances
encoding problems of inversion of some cryptographic functions. Several of these
SAT instances with realistic predicted solving time were successfully solved on a
computing cluster and in the volunteer computing project SAT@home. The solving
time agrees well with estimations obtained by the proposed method.
PMID- 27190755
TI - Bernese periacetabular osteotomy for hip dysplasia: Surgical technique and
indications.
AB - For young, active patients with healthy hip cartilage, pelvic osteotomy is a
surgical option in to address hip pain and to improve mechanical loading
conditions related to dysplasia. Hip dysplasia may lead to arthrosis at an early
age due to poor coverage of the femoral head and abnormal loading of the joint
articulation. In patients with symptomatic dysplasia and closed triradiate
cartilage (generally over age 10), including adolescents and young adults
(generally up to around age 40), the Bernese periacetabular osteotomy (PAO) is a
durable technique for addressing underlying structural deformity. The PAO
involves a modified Smith-Petersen approach. Advantages of the Bernese osteotomy
include preservation of the weight-bearing posterior column of the hemi-pelvis,
preservation of the acetabular blood supply, maintenance of the hip abductor
musculature, and the ability to effect powerful deformity correction about an
ideal center of rotation. There is an increasing body of evidence that
preservation of the native hip can be improved through pelvic osteotomy. In
contrast to hip osteotomy and joint preservation, the role of total hip
arthroplasty in young, active patients with correctable hip deformity remains
controversial. Moreover, the durability of hip replacement in young patients is
inherently limited. Pelvic osteotomy should be considered the preferred method to
address correctable structural deformity of the hip in the young, active patient
with developmental dysplasia. The Bernese PAO is technically demanding, yet
offers reproducible results with good long-term survivorship in carefully
selected patients with preserved cartilage and the ability to meet the demands of
rehabilitation.
PMID- 27190756
TI - Controversial role of arthroscopic meniscectomy of the knee: A review.
AB - The role of arthroscopic partial meniscectomy (APM) in reducing pain and
improving function in patients with meniscal tears remains controversial. Five
recent high-quality randomized controlled trials (RCTs) compared non-operative
management of meniscal tears to APM, with four showing no difference and one
demonstrating superiority of APM. In this review, we examined the strengths and
weaknesses of each of these RCTs, with particular attention to the occurrence of
inadvertent biases. We also completed a quantitative analysis that compares
treatment successes in each treatment arm, considering crossovers as treatment
failures. Our analysis revealed that each study was an excellent attempt to
compare APM with non-surgical treatment but suffered from selection, performance,
detection, and/or transfer biases that reduce confidence in its conclusions.
While the RCT remains the methodological gold standard for establishing treatment
efficacy, the use of an RCT design does not in itself ensure internal or external
validity. Furthermore, under our alternative analysis of treatment successes, two
studies had significantly more treatment successes in the APM arm than the non
operative arm although original intention-to-treat analyses showed no difference
between these two groups. Crossovers remain an important problem in surgical
trials with no perfect analytical solution. With the studies available at
present, no conclusion can be drawn concerning the optimal treatment modality for
meniscal tears. Further work that minimizes significant biases and crossovers and
incorporates sub-group and cost-benefit analyses may clarify therapeutic
indications.
PMID- 27190754
TI - Management of metal-on-metal hip implant patients: Who, when and how to revise?
AB - The debate on how best to manage patients with metal-on-metal (MOM) hip implants
continues. With over 1 million patients affected worldwide, the impact is far
reaching. The majority of the aggressive failures of MOM hip implants have been
dealt with by revision hip surgery, leaving patients with a much more indolent
pattern of failure of devices that have been in situ for more than 10 years. The
longer-term outcome for such patients remains unknown, and much debate exists on
how best to manage these patients. Regulatory guidance is available but remains
open to interpretation due to the lack of current evidence and long-term studies.
Metal ion thresholds for concern have been suggested at 7 ppb for hip resurfacing
arthroplasty and below this level for large diameter total hip arthroplasties.
Soft tissue changes including pseudotumours and muscle atrophy have been shown to
progress, but this is not consistent. New advanced imaging techniques are helping
to diagnose complications with metal hips and the reasons for failure, however
these are not widely available. This has led to some centres to tackle difficult
cases through multidisciplinary collaboration, for both surgical management
decisions and also follow-up decisions. We summarise current evidence and
consider who is at risk, when revision should be undertaken and how patients
should be managed.
PMID- 27190757
TI - Resection and reconstruction of pelvic and extremity soft tissue sarcomas with
major vascular involvement: Current concepts.
AB - Soft tissue sarcoma accounts for approximately 1% of all cancers diagnosed
annually in the United States. When these rare malignant mesodermal tumours arise
in the pelvis and extremities, they may potentially encase or invade large
calibre vascular structures. This presents a major challenge in terms of safe
excision while also leaving acceptable surgical margins. In recent times, the
trend has been towards limb salvage with vascular reconstruction in preference to
amputation. Newer orthopaedic and vascular reconstructive techniques including
both synthetic and autogenous graft reconstruction have made complex limb-salvage
surgery feasible. Despite this, limb-salvage surgery with concomitant vascular
reconstruction remains associated with higher rates of post-operative
complications including infection and amputation. In this review we describe the
initial presentation and investigation of patients presenting with soft tissue
sarcomas in the pelvis and extremities, which involve vascular structures. We
further discuss the key surgical reconstructive principles and techniques
available for the management of these complex tumours, drawn from our
institution's experience as a national tertiary referral sarcoma service.
PMID- 27190758
TI - Valgus osteotomy for nonunion and neglected neck of femur fractures.
AB - Nonunion neck of femur can be a difficult problem to treat, particularly in the
young, and is associated with high complication rates of avascular necrosis due
to the precarious blood supply and poor biomechanics. The various treatment
options that have been described can be broadly divided according to the aim of
improving either biology or biomechanics. Surgeries aimed at improving the
biology, such as vascularized fibula grafting, have good success rates but
require high levels of expertise and substantial resources. A popular surgical
treatment aimed at improving the biomechanics-valgus intertrochanteric osteotomy
optimizes conditions for fracture healing by converting shear forces across the
fracture site into compressive forces. Numerous variations of this surgical
procedure have been developed and successfully applied in clinical practice. As a
result, the proximal femoral orientation for obtaining a good functional outcome
has evolved over the years, and the present concept of altering the proximal
femoral anatomy as little as possible has arisen. This technical objective
supports attaining union as well as a good functional outcome, since excessive
valgus can lead to increased joint reaction forces. This review summarizes the
historical and current literature on valgus intertrochanteric osteotomy treatment
of nonunion neck of femur, with a focus on factors predictive of good functional
outcome and potential pitfalls to be avoided as well as controversies surrounding
this procedure.
PMID- 27190759
TI - From Cape Town to Cambridge: Orthopaedic trauma in contrasting environments.
AB - AIM: To compare the trauma experience gained by a trainee at a United Kingdom
major trauma centre and a secondary level hospital in South Africa. METHODS: A
profile of inpatient trauma cases during a five-week period in Addenbrooke's
Hospital, Cambridge and Somerset Hospital, Cape Town was created. This was
achieved by recording various parameters for each patient admitted including age,
gender, injury, mechanism of injury and postal/area code. This, together with
details of the departments themselves, allows a comparison of the amount and
variety of orthopaedic trauma cases experienced by an individual trainee in each
setting. RESULTS: The trauma profiles differed significantly. Patients in Cape
Town were younger and more likely to be male. In the young, injury in Cape Town
was more likely to occur due to assault or being struck by a vehicle, whilst
patients in Cambridge were more likely to be injured whilst in a vehicle or in
high energy falls. In older patients, trauma at both centres was almost
exclusively due to mechanical falls. In a given age group, injuries at the two
centres were similar, however the majority of patients admitted to Addenbrooke's
were elderly, resulting in less variation in the overall injury profile.
CONCLUSION: The trauma profile of a major trauma centre in the United Kingdom is
less varied than that of a South African secondary centre, with significantly
fewer cases per surgeon. This suggests a more varied training experience in the
developing world with a greater caseload.
PMID- 27190761
TI - Methicillin-resistant Staphylococcus aureus infected gluteal compartment syndrome
with rhabdomyolysis in a bodybuilder.
AB - Gluteal compartment syndrome (GCS) is a rare condition. We present a case of
gluteal muscle strain with hematoma formation, methicillin-resistant
Staphylococcus aureus (MRSA) superinfection, leading to acute GCS, rhabdomyolysis
and acute kidney injury. This combination of diagnoses has not been reported in
the literature. A 36-year-old Caucasian male presented with buttock pain,
swelling and fever after lifting weights. Gluteal compartment pressure was
markedly elevated compared with the contralateral side. Investigations revealed
elevated white blood cell, erythrocyte sedimentation rate, C-reactive protein,
creatine kinase, creatinine and lactic acid. Urinalysis was consistent with
myoglobinuria. Magnetic resonance imaging showed increased T2 signal in the
gluteus maximus and a central hematoma. Cultures taken from the emergency
debridement and fasciotomy revealed MRSA. He had repeat, debridement 2 d later,
and delayed primary closure 3 d after. GCS is rare and must be suspected when
patients present with pain and swelling after an inciting event. They are easily
diagnosed with compartment pressure monitoring. The treatment of gluteal abscess
and compartment syndrome is the same and involves rapid surgical debridement.
PMID- 27190762
TI - T2 relaxation time is related to liver fibrosis severity.
AB - BACKGROUND: The grading of liver fibrosis relies on liver biopsy. Imaging
techniques, including elastography and relaxometric, techniques have had varying
success in diagnosing moderate fibrosis. The goal of this study was to determine
if there is a relationship between the T2-relaxation time of hepatic parenchyma
and the histologic grade of liver fibrosis in patients with hepatitis C
undergoing both routine, liver MRI and liver biopsy, and to validate our
methodology with phantoms and in a rat model of liver fibrosis. METHODS: This
study is composed of three parts: (I) 123 patients who underwent both routine,
clinical liver MRI and biopsy within a 6-month period, between July 1999 and
January 2010 were enrolled in a retrospective study. MR imaging was performed at
1.5 T using dual-echo turbo-spin echo equivalent pulse sequence. T2 relaxation
time of liver parenchyma in patients was calculated by mono-exponential fit of a
region of interest (ROI) within the right lobe correlating to histopathologic
grading (Ishak 0-6) and routine serum liver inflammation [aspartate
aminotransferase (AST) and alanine aminotransferase (ALT)]. Statistical
comparison was performed using ordinary logistic and ordinal logistic regression
and ANOVA comparing T2 to Ishak fibrosis without and using AST and ALT as
covariates; (II) a phantom was prepared using serial dilutions of dextran coated
magnetic iron oxide nanoparticles. T2 weighed imaging was performed by comparing
a dual echo fast spin echo sequence to a Carr-Purcell-Meigboom-Gill (CPMG) multi
echo sequence at 1.5 T. Statistical comparison was performed using a paired t
test; (III) male Wistar rats receiving weekly intraperitoneal injections of
phosphate buffer solution (PBS) control (n=4 rats); diethylnitrosamine (DEN) for
either 5 (n=5 rats) or 8 weeks (n=4 rats) were MR imaged on a Bruker Pharmascan
4.7 T magnet with a home-built bird-cage coil. T2 was quantified by using a mono
exponential fitting algorithm on multi-slice multi echo T2 weighted data.
Statistical comparison was performed using ANOVA. RESULTS: (I) Histopathologic
evaluation of both rat and human livers demonstrated no evidence of steatosis or
hemochromatosis There was a monotonic increase in mean T2 value with increasing
degree of fibrosis (control 65.4+/-2.9 ms, n=6 patients); mild (Ishak 1-2) 66.7+/
1.9 ms (n=30); moderate (Ishak 3-4) 71.6+/-1.7 ms (n=26); severe (Ishak 5-6)
72.4+/-1.4 ms (n=61); with relatively low standard error (~2.9 ms). There was a
statistically significant difference between degrees of mild (Ishak <4) vs.
moderate to severe fibrosis (Ishak >4) (P=0.03) based on logistic regression of
T2 and Ishak, which became insignificant (P=0.07) when using inflammatory markers
as covariates. Expanding on this model using ordinal logistic regression, there
was significance amongst all 4 groups comparing T2 to Ishak (P=0.01), with
significance using inflammation as a covariate (P=0.03) and approaching
statistical significance amongst all groups by ANOVA (P=0.07); (II) there was a
monotonic increase in T2 and statistical significance (ANOVA P<0.0001) between
each rat subgroup [phosphate buffer solution (PBS) 25.2+/-0.8, DEN 5-week (31.1+/
1.5), and DEN 9-week (49.4+/-0.4) ms]; (III) the phantoms that had T2 values
within the relevant range for the human liver (e.g., 20-100 ms), demonstrated no
statistical difference between two point fits on turbo spin echo (TSE) data and
multi-echo CPMG data (P=0.9). CONCLUSIONS: The finding of increased T2 with liver
fibrosis may relate to inflammation that may be an alternative or adjunct to
other noninvasive MR imaging based approaches for assessing liver fibrosis.
PMID- 27190763
TI - The tumor shape changes of nasopharyngeal cancer during chemoradiotherapy: the
estimated margin to cover the geometrical variation.
AB - BACKGROUND: Considerable geometrical change occurs during chemoradiotherapy (CRT)
course of nasopharyngeal carcinoma (NPC). This aim of this study was to quantify
the volumetric and surface variability of the target volumes (TV) and to estimate
the expanded margin to maintain acceptable geometrical coverage. METHODS: Twenty
patients with locally advanced nasopharyngeal cancer underwent one planning CT
(pCT) and six weekly repeated CT (rCT) scans during the treatment course of
definitive CRT. The TV included the gross tumor volume (GTV) of the primary
tumor, large (shortest diameter >3.0 cm) and small (diameter >1 cm and <=3 cm)
positive neck lymph nodes, and low-risk clinical target volume (CTV_Lr) that were
delineated manually on the pCT and each rCT. When comparing TV in pCT (V_pCT) and
TV in rCT (V_rCT), the overlapping index (OI), Dice similarity coefficient (DSC),
shortest perpendicular distance (SPD), and overall standard deviation (overall
SD) were calculated to present the geometric changes. An isotropical margin was
expanded outward around CTV_Lr in pCT to establish the mimic planning target
volume (PTV). An OI >=0.95 was defined as acceptable geometrical coverage.
RESULTS: For all TV, DSCs decreased, and the SPDs and overall SD increased with
the increasing number of fractions delivered. The DSCs of all gross TV were <70%
after the third week. The mean SPDs were 1.5-2.5 mm in the first week and 5.2-6.2
mm in the last week. The OI and DSC in concurrent CRT were smaller than those in
the sequential therapy; and similarly the SPD and overall SD in the concurrent
therapy were larger than those in the sequential one. To maintain >95%
geometrical coverage, a 2-mm additional margin could maintain the coverage
throughout the treatment course and a 1-mm margin could maintain the desired
coverage if there is an adaptive re-planning no later than the third week of the
treatment course. CONCLUSIONS: Both volumetric coverage and surface of the tumour
underwent the progressive changes during the treatment course of CRT. One to two
mm as the expanded margin to establish the PTV is required to maintain >95%
geometrical coverage.
PMID- 27190764
TI - Optic nerve head perfusion in normal eyes and eyes with glaucoma using optical
coherence tomography-based microangiography.
AB - BACKGROUND: To investigate the differences of perfusion in the optic nerve head
(ONH) between normal and glaucomatous eyes using optical microangiography (OMAG)
based optical coherence tomography (OCT) angiography technique. METHODS: One eye
from each subject was scanned with a 68 kHz Cirrus 5000 HD-OCT-based OMAG
prototype system centered at the ONH (Carl Zeiss Meditec Inc, Dublin, CA, USA).
Microvascular images were generated from the OMAG dataset by detecting the
differences in OCT signal between consecutive B-scans. The pre-laminar layer
(preLC) was isolated by a semi-automatic segmentation program. En face OMAG
images for preLC were generated using signals with highest blood flow signal
intensity. ONH perfusion was quantified as flux, vessel area density, and
normalized flux within the ONH. Standard t-tests were performed to analyze the
ONH perfusion differences between normal and glaucomatous eyes. Linear regression
models were constructed to analyze the correlation between ONH perfusion and
other clinical measurements. RESULTS: Twenty normal and 21 glaucoma subjects were
enrolled. Glaucomatous eyes had significantly lower ONH perfusion in preLC in all
three perfusion metrics compared to normal eyes (P<=0.0003). Significant
correlations between ONH perfusion and disease severity as well as structural
changes were detected in glaucomatous eyes (P<=0.012). CONCLUSIONS: ONH perfusion
detected by OMAG showed significant differences between glaucoma and normal
controls and was significantly correlated with disease severity and structural
defects in glaucomatous eyes. ONH perfusion measurement using OMAG may provide
useful information for detection and monitoring of glaucoma.
PMID- 27190760
TI - Management of lumbar zygapophysial (facet) joint pain.
AB - AIM: To investigate the diagnostic validity and therapeutic value of lumbar facet
joint interventions in managing chronic low back pain. METHODS: The review
process applied systematic evidence-based assessment methodology of controlled
trials of diagnostic validity and randomized controlled trials of therapeutic
efficacy. Inclusion criteria encompassed all facet joint interventions performed
in a controlled fashion. The pain relief of greater than 50% was the outcome
measure for diagnostic accuracy assessment of the controlled studies with ability
to perform previously painful movements, whereas, for randomized controlled
therapeutic efficacy studies, the primary outcome was significant pain relief and
the secondary outcome was a positive change in functional status. For the
inclusion of the diagnostic controlled studies, all studies must have utilized
either placebo controlled facet joint blocks or comparative local anesthetic
blocks. In assessing therapeutic interventions, short-term and long-term reliefs
were defined as either up to 6 mo or greater than 6 mo of relief. The literature
search was extensive utilizing various types of electronic search media including
PubMed from 1966 onwards, Cochrane library, National Guideline Clearinghouse,
clinicaltrials.gov, along with other sources including previous systematic
reviews, non-indexed journals, and abstracts until March 2015. Each manuscript
included in the assessment was assessed for methodologic quality or risk of bias
assessment utilizing the Quality Appraisal of Reliability Studies checklist for
diagnostic interventions, and Cochrane review criteria and the Interventional
Pain Management Techniques - Quality Appraisal of Reliability and Risk of Bias
Assessment tool for therapeutic interventions. Evidence based on the review of
the systematic assessment of controlled studies was graded utilizing a modified
schema of qualitative evidence with best evidence synthesis, variable from level
I to level V. RESULTS: Across all databases, 16 high quality diagnostic accuracy
studies were identified. In addition, multiple studies assessed the influence of
multiple factors on diagnostic validity. In contrast to diagnostic validity
studies, therapeutic efficacy trials were limited to a total of 14 randomized
controlled trials, assessing the efficacy of intraarticular injections, facet or
zygapophysial joint nerve blocks, and radiofrequency neurotomy of the innervation
of the facet joints. The evidence for the diagnostic validity of lumbar facet
joint nerve blocks with at least 75% pain relief with ability to perform
previously painful movements was level I, based on a range of level I to V
derived from a best evidence synthesis. For therapeutic interventions, the
evidence was variable from level II to III, with level II evidence for lumbar
facet joint nerve blocks and radiofrequency neurotomy for long-term improvement
(greater than 6 mo), and level III evidence for lumbosacral zygapophysial joint
injections for short-term improvement only. CONCLUSION: This review provides
significant evidence for the diagnostic validity of facet joint nerve blocks, and
moderate evidence for therapeutic radiofrequency neurotomy and therapeutic facet
joint nerve blocks in managing chronic low back pain.
PMID- 27190765
TI - In vitro investigation of contrast flow jet timing in patient-specific
intracranial aneurysms.
AB - BACKGROUND: The direction and magnitude of intra-aneurysmal flow jet are
significant risk factors of subarachnoid hemorrhage, and the change of flow jet
during an endovascular procedure has been used for prediction of aneurysm
occlusion or whether an additional flow diverter (FD) is warranted. However,
evaluation of flow jets is often unreliable due to a large variation of flow jet
on the digital subtraction angiograms, and this flow pattern variation may result
in incorrect clinical diagnosis Therefore, factors contributing to the variation
in flow jet are examined at an in vitro setting, and the findings can help us to
understand the nature of flow jet and devise a better plan to quantify the
aneurysmal hemodynamics accurately. METHODS: Intra-aneurysmal flows in three
patient-specific aneurysms between 11 and 25 mm were investigated in vitro, and a
FD was deployed in each aneurysm model. X-ray imaging of these models were
performed at injection rates between 0.2 and 2 mL/s. Pulsatile blood pump and
aneurysm model were imaged together to determine the timing of flow jet. RESULTS:
The contrast bolus arrives at the aneurysm early at high contrast injection
rates. The flow patterns with slow injection rates exhibit strong inertia that is
associated with the systole flow. Flow jets arrive at the aneurysms at the peak
systole when the bolus is injected at 0.2 mL/s. The contrast-to-signal ratio is
the highest at the injection rate of 0.5 mL/s. Effect of flow diversion can only
be assessed at an injection rate greater than 0.5 mL/s. CONCLUSIONS: Intra
aneurysmal flow jet is highly dependent on the injection rate of the contrast
agent. For the internal carotid artery (ICA) aneurysms, the systolic flows can be
visualized at slow injection rates (<0.5 mL/s), while the diastolic flow jets are
visible at higher injection rates (>1 mL/s). Dependence of flow jet on the
contrast injection rate has serious clinical implications and needs to be
considered during diagnostic procedures; a protocol with a consistent injection
rate is highly recommended.
PMID- 27190766
TI - Quantitative 3D breast magnetic resonance imaging fibroglandular tissue analysis
and correlation with qualitative assessments: a feasibility study.
AB - BACKGROUND: The amount of fibroglandular tissue (FGT) has been linked to breast
cancer risk based on mammographic density studies. Currently, the qualitative
assessment of FGT on mammogram (MG) and magnetic resonance imaging (MRI) is prone
to intra and inter-observer variability. The purpose of this study is to develop
an objective quantitative FGT measurement tool for breast MRI that could provide
significant clinical value. METHODS: An IRB approved study was performed. Sixty
breast MRI cases with qualitative assessment of mammographic breast density and
MRI FGT were randomly selected for quantitative analysis from routine breast MRIs
performed at our institution from 1/2013 to 12/2014. Blinded to the qualitative
data, whole breast and FGT contours were delineated on T1-weighted pre contrast
sagittal images using an in-house, proprietary segmentation algorithm which
combines the region-based active contours and a level set approach. FGT (%) was
calculated by: [segmented volume of FGT (mm(3))/(segmented volume of whole breast
(mm(3))] *100. Statistical correlation analysis was performed between quantified
FGT (%) on MRI and qualitative assessments of mammographic breast density and MRI
FGT. RESULTS: There was a significant positive correlation between quantitative
MRI FGT assessment and qualitative MRI FGT (r=0.809, n=60, P<0.001) and
mammographic density assessment (r=0.805, n=60, P<0.001). There was a significant
correlation between qualitative MRI FGT assessment and mammographic density
assessment (r=0.725, n=60, P<0.001). The four qualitative assessment categories
of FGT correlated with the calculated mean quantitative FGT (%) of 4.61% (95% CI,
0-12.3%), 8.74% (7.3-10.2%), 18.1% (15.1-21.1%), 37.4% (29.5-45.3%). CONCLUSIONS:
Quantitative measures of FGT (%) were computed with data derived from breast MRI
and correlated significantly with conventional qualitative assessments. This
quantitative technique may prove to be a valuable tool in clinical use by
providing computer generated standardized measurements with limited intra or
inter-observer variability.
PMID- 27190767
TI - A higher aneurysmal subarachnoid hemorrhage incidence in women prior to
menopause: a retrospective analysis of 4,895 cases from eight hospitals in China.
AB - BACKGROUND: Subarachnoid hemorrhage (SAH) from a ruptured cerebral aneurysm is a
devastating disease. Despite the risk factors, including hypertension, cigarette
smoking and alcohol use, are more common in men, aneurysmal SAH belongs to a few
diseases which the incidence is higher in women than in men. Sex hormones,
especially estrogen, might be protective against this condition. Hormone
replacement therapy (HRT) seems to be associated with a reduced risk for
aneurysmal SAH. This study aims to know the prevalence of aneurysmal SAH of men
and women at different ages. METHODS: The age and gender information of 4,895
case of aneurysmal SAH (3,016 females, 1,879 males) were collected
retrospectively from eight institutions in mainland China. The prevalence of
aneurysmal SAH of men and women at different ages was analyzed. RESULTS: The data
showed women had a higher incidence of aneurysmal SAH than men starting at late
thirties, and men might have a higher incidence of aneurysmal SAH than women only
before 37-year-old. CONCLUSIONS: Menopause may not be the only dominant factor
causing higher incidence of aneurysmal SAH in women than in men.
PMID- 27190768
TI - Acute pancreatitis with gradient echo T2*-weighted magnetic resonance imaging.
AB - BACKGROUND: To study gradient recalled echo (GRE) T2*-weighted imaging (T2*WI)
for normal pancreas and acute pancreatitis (AP). METHODS: Fifty-one patients
without any pancreatic disorders (control group) and 117 patients with AP were
recruited. T2* values derived from T2*WI of the pancreas were measured for the
two groups. The severity of AP was graded by the magnetic resonance severity
index (MRSI) and the Acute Physiology and Chronic Healthy Evaluation II (APACHE
II) scoring system. Logistic regression was used to analyze the relationship
between the T2* values and AP severity. The usefulness of the T2* value for
diagnosing AP and the relationship between the T2* values and the severity of AP
were analyzed. RESULTS: On GRE-T2*WI, the normal pancreas showed a well-marinated
and consistently homogeneous isointensity. Edematous AP, as well as the non
necrotic area in necrotizing AP, showed ill-defined but homogeneous signal
intensity. AP with pancreatic hemorrhage showed a decreased T2* value and a
signal loss on the signal decay curve. The T2* value of pancreas in the AP group
was higher than that of the control group (t=-8.20, P<0.05). The T2* value tended
to increase along with the increase in MRSI scores but not with the APACHE II
scores (P>0.05). AP was associated with a one standard deviation increment in the
T2* value (OR =1.37; 95% CI: 1.216-1.532). CONCLUSIONS: T2*WI demonstrates a few
characteristics of the normal pancreas and AP, which could potentially be helpful
for detecting hemorrhage, and contributes to diagnosing AP and its severity.
PMID- 27190769
TI - Breath-hold black blood quantitative T1rho imaging of liver using single shot
fast spin echo acquisition.
AB - BACKGROUND: Liver fibrosis is a key feature in most chronic liver diseases. T1rho
magnetic resonance imaging is a potentially important technique for noninvasive
diagnosis, severity grading, and therapy monitoring of liver fibrosis. However,
it remains challenging to perform robust T1rho quantification of liver on human
subjects. One major reason is that the presence of rich blood signal in liver can
cause artificially high T1rho measurement and makes T1rho quantification
susceptible to motion. METHODS: A pulse sequence based on single shot fast/turbo
spin echo (SSFSE/SSTSE) acquisition, with theoretical analysis and simulation
based on the extended phase graph (EPG) algorithm, was presented for breath-hold
single slice quantitative T1rho imaging of liver with suppression of blood
signal. The pulse sequence was evaluated in human subjects at 3.0 T with 500 Hz
spinlock frequency and time-of-spinlock (TSL) 0, 10, 30 and 50 ms. RESULTS: Human
scan demonstrated that the entire T1rho data sets with four spinlock time can be
acquired within a single breath-hold of 10 seconds with black blood effect. T1rho
quantification with suppression of blood signal results in significantly reduced
T1rho value of liver compared to the results without blood suppression.
CONCLUSIONS: A signal-to-noise ratio (SNR) efficient pulse sequence was reported
for T1rho quantification of liver. The black blood effect, together with a short
breath-hold, mitigates the risk of quantification errors as would occur in the
conventional methods.
PMID- 27190770
TI - Optical coherence tomography based microangiography findings in
hydroxychloroquine toxicity.
AB - Optical coherence tomography based microangiography (OMAG) is a new, non-invasive
imaging modality capable of providing three dimentional (3D) retinal and
choroidal microvascular maps without a need for exogenous dye. In this study, we
evaluated the retinal and choroidal microvascular architecture of the macula in a
patient with hydroxychloroquine (HCQ) toxicity using OMAG. Detailed microvascular
information of the retina and the underlying choroid showed loss of parafoveal
outer retinal vasculature with sparing of the central fovea vasculature.
PMID- 27190771
TI - The imaging of osteomyelitis.
AB - Osteomyelitis is an important cause of morbidity and mortality in children and
adults. Imaging plays a crucial role in establishing a timely diagnosis and
guiding early management, with the aim of reducing long-term complications.
Recognition of the imaging features of osteomyelitis requires a good
understanding of its pathogenesis. In this review, the key imaging findings in
osteomyelitis are correlated with the underlying pathological processes. There is
a particular emphasis on magnetic resonance imaging (MRI), which is the best
available imaging modality owing to its high sensitivity for detecting early
osteomyelitis, excellent anatomical detail and superior soft tissue resolution.
However, other modalities such as nuclear medicine and computed tomography (CT)
are also useful in many clinical contexts, and will also be described in this
review.
PMID- 27190773
TI - Antisynthetase syndrome: a case report.
AB - The case is about a 48-year-old man with one week history of progressively
worsening shortness of breath and reduced exercise tolerance. Laboratory
investigations revealed raised ESR, creatine kinase (CK) and positive anti
aminoacyl-transfer RNA synthetase. High resolution computed tomography (HRCT)
chest showed prominent mediastinal lymph nodes and scattered lung nodules. These
findings along with clinical features and investigations confirmed the diagnosis
of antisynthetase syndrome (ASS). The radiological investigations proved to be
the key step in diagnosis and management of this case.
PMID- 27190774
TI - Intrathoracic gastric perforation secondary to corrosive ingestion: a rare
complication.
AB - This case report describes a rare and serious case of acid ingestion in a 50-year
old man who developed necrosis and perforation of gastric fundus and diaphragm
with extension of air and fluid collection in the thorax. To the best of our
knowledge, this complication has not been described so far in the literature.
PMID- 27190775
TI - Synchronous Kimura lesions at two different sites-a diagnostic dilemma!
AB - Kimura disease (KD) is a rare, chronic, benign inflammatory disorder of unknown
etiology mimicking neoplastic disease and is characterized by multiple
subcutaneous nodules and masses, primarily in the cervical region, accompanied by
peripheral eosinophilia and lymphadenopathy. A 35-year-old male presented with a
2-year history of swelling in the right preauricular region and right thigh.
Investigations showed a peripheral eosinophilia. CT of cervical region revealed
parotid neoplasm and a fine needle aspiration was inconclusive. The thigh
swelling felt vascular and a CT angiogram was done which revealed a diffuse
vascular lesion. A superficial parotidectomy and an excision of the right thigh
swelling were done. Histopathologies of both specimens were reported to have
features suggestive of KD. The patient was evaluated for systemic manifestations
and found to have no abnormalities. The patient has been disease free on follow
up after 2 years. This case is being presented for the rarity of its incidence
and the nature of its presentation.
PMID- 27190776
TI - Pigmented villonodular synovitis mimics metastases on fluorine 18
fluorodeoxyglucose position emission tomography-computed tomography.
AB - Pigmented villonodular synovitis (PVNS) is a benign joint disease best
characterized on magnetic resonance imaging (MRI). The role of fluorine 18
fluorodeoxyglucose ((18)F-FDG) position emission tomography-computed tomography
(PET-CT) in the diagnosis or characterization remains unclear. PVNS displays as a
focal FDG avid lesion, which can masquerade as a metastatic lesion, on PET-CET.
We present a case of PVNS found on surveillance imaging of a lymphoma patient.
PMID- 27190772
TI - Increased low back pain prevalence in females than in males after menopause age:
evidences based on synthetic literature review.
AB - Female sex hormones play an important role in the etiology and pathophysiology of
a variety of musculoskeletal degenerative diseases. Postmenopausal women show
accelerated disc degeneration due to relative estrogen deficiency. This
literature review aims to validate or falsify this hypothesis, i.e., while
overall females have higher prevalence of low back pain (LBP) across all age
groups, this male vs. female difference in LBP prevalence further increases after
female menopause age. The literature search was performed on PubMed on January 2,
2016. The search word combination was (low back pain) AND prevalence AND [(males
OR men) AND (females OR women)]. The following criteria were taken to include the
papers for synthetic analysis: (I) only English primary literatures on
nonspecific pain; (II) only prospective studies on general population, but not
population with occupational LBP causes, of both males and female subjects
studied using the same LBP criterion, ages-specific information available, and
males and female subjects were age-matched; (III) studies without major quality
flaws. In total 98 studies with 772,927 subjects were analyzed. According to the
information in the literature, participant subjects were divided into four age
groups: (I) school age children group: 6-19 years; (II) young and middle aged
group: 20-50 years; (III) mixed age group: data from studies did not
differentiate age groups; (IV) elderly group: >=50 years old. When individual
studies were not weighted by participant number and each individual study is
represented as one entry regardless of their sample size, the median LBP
prevalence ratio of female vs. males was 1.310, 1.140, 1.220, and 1.270
respectively for the four age groups. When individual studies were weighted by
participant number, the LBP prevalence ratio of female vs. males was 1.360,
1.127, 1.185, and 1.280 respectively for the four groups. The higher LBP
prevalence in school age girls than in school age boys is likely due to
psychological factors, female hormone fluctuation, and menstruation. Compared
with young and middle aged subjects, a further increased LBP prevalence in
females than in males was noted after menopause age.
PMID- 27190777
TI - Secondary sclerosing cholangitis in a critically ill patient.
AB - Critically ill patients are commonly imaged for liver dysfunction. An often fatal
condition, secondary sclerosing cholangitis, is an important and likely under
recognized hepatic condition in these patients. In presenting this case report,
we hope to raise awareness of this condition amongst radiologists as well as
other physicians caring for the critically ill.
PMID- 27190778
TI - Dermatofibrosarcoma protuberans-a rare neoplasm.
PMID- 27190779
TI - Ureteral endometriosis: an uncommon cause of ureteral stricture.
PMID- 27190780
TI - Will the science and technology gap between China and USA becomes narrower,
wider, or stay in the same in 50 years' time?
PMID- 27190781
TI - Being a doctor: time for a reality check.
PMID- 27190782
TI - Becoming a doctor in India: once a cherished dream, no longer cherished though.
PMID- 27190783
TI - Unusual and Unique Variant Branches of Lateral Cord of Brachial Plexus and its
Clinical Implications- A Cadaveric Study.
AB - INTRODUCTION: Adequate knowledge on variant morphology of brachial plexus and its
branches are important in clinical applications pertaining to trauma and surgical
procedures of the upper extremity. AIM: Current study was aimed to report
variations of the branches of the lateral cord of brachial plexus in the axilla
and their possible clinical complications. MATERIALS AND METHODS: Total number of
82 upper limbs from 41 formalin embalmed cadavers was dissected. Careful
observation was made to note the formation and branching pattern of lateral cord.
Meticulous inspection for absence of branches, presence of additional or variant
branches and presence of abnormal communications between its branches or with
branches of other cords was carried out. RESULTS: In the present study, we noted
varied branching pattern of lateral cord in 6 out of 82 limbs (7%). In one of the
limb, the median nerve was formed by three roots; two from lateral cord and one
from medial cord. Two limbs had absence of lateral pectoral nerve supplemented by
medial pectoral nerves. One of which had an atypical ansa pectoralis. In 2 upper
limbs, musculocutaneous nerve was absent and in both cases it was supplemented by
median nerve. In one of the limb, coracobrachialis had dual nerve supply by
musculocutaneous nerve and by an additional branch from the lateral cord.
CONCLUSION: Variations of brachial plexus and its branches could pose both
intraoperative and postoperative complications which eventually affect the normal
sensory and motor functions of the upper limb.
PMID- 27190784
TI - Morphometric Study of Anterior Clinoid Process and Optic Strut and the
Ossification of Carotico-Clinoid Ligament with their Clinical Importance.
AB - INTRODUCTION: Knowledge about the ossification of the Carotico-Clinoid Foramen
(CCF), as it forms a potential site for compression of the internal carotid
artery may be beneficial for neurosurgeons and radiologists. AIM: To obtain a
detailed knowledge of morphometry of Anterior Clinoid Process (ACP) and Optic
Strot (OS) and the type of ossification of CCF which would be necessary to
increase the success of surgeries related to the cavernous sinus and internal
carotid artery. MATERIALS AND METHODS: Parameters such as the length of ACP from
its base to the tip, the width at its base and the distance between the tip of
ACP to optic strut were measured in mm using digital calipers. SPSS version 17
was used for the statistical analysis. Paired t-test was applied to compare
between right and left sides. Presence of carotico-clinoid foramen was observed
and was classified as incomplete, contact form or complete. RESULTS: The average
length of ACP ranged from 12 to 15mm on right side and 11 to 16mm on the left
side. Paired t-test was applied to compare the means between the right and left
sides. The width of ACP varied between right and left sides and this difference
was statistically significant (p<0.05). Out of 12 CCF observed, the commonest
type was incomplete (N=7) followed by complete (N=3) and contact form (N=2).
CONCLUSION: Considering the immense anatomical surgical and radiological
importance of morphology of ACP, OS and CCF, this study highlighted the detailed
morphometry of these structures. The study also has explained the sexual
dimorphism in their morphology.
PMID- 27190785
TI - Morphometric Study of Clavicular Facet of Coracoclavicular Joint in Adult Indian
Population.
AB - INTRODUCTION: Anthropologists have used Coracoclavicular Joint (CCJ), a non
metric anatomical variant in population, as a marker for population migration
from prehistoric times to present. AIM: The aim of this osteological study was to
determine the incidence and morphometry of articular facet of CCJ on conoid
tubercle of clavicle in Indian population, as Indian studies are scanty and
incomplete. MATERIALS AND METHODS: The study was done on 144 adult human
clavicles (76 right and 68 left; 93 males and 51 females) collected from
osteology museum in Department of Anatomy, Maulana Azad Medical College, New
Delhi, India. The presence of articular facet on the conoid tubercle was
determined and Maximum Antero-Posterior (MAPD) and maximum transverse diameter
(MTD) was measured by digital vernier calliper. The incidence was compared on the
basis of sex, side and with other osteological studies in the world. Statistical
analysis was done using the Chi-Square test for nominal categorical data and
student's t-test for normally distributed continuous variables in Microsoft Excel
2007 to assess the relationship between the examined variables. RESULTS:
Articular facet on conoid tubercle was found in 8 cases (5.6%). Seven (9.2%) were
present on the right side and one (1.5%) on the left side. Seven cases (7.5%)
were present in males and one case (2%) was found in females. The facets were
generally oval, with MAPD and MTD of 12.28 and 17.17 mm respectively. A
significant side variation was present with right sided facet being more common.
The left sided facet was more transversely elongated than right. In males, the
facets were more elongated antero-posteriorly than in females. CONCLUSION: The
Indian population showed an incidence of 5.6%, which was comparable to other
ethnic groups in world population. The morphometric and side differences could be
attributed to the occupational factors and range of movements associated with the
CCJ. The CCJ should be borne in mind as a differential diagnosis for thoracic
outlet syndrome and in general for shoulder pain.
PMID- 27190786
TI - Contrast Enhanced Computed Tomographic Study on the Prevalence of Duodenal
Diverticulum in Indian Population.
AB - INTRODUCTION: Duodenal diverticulum (DD) is the second most common diverticulum,
yet its incidence varies widely from 1-22% based on the mode of investigation.
Computed Tomography (CT) of abdomen is the preferred modality to diagnose acute
abdomen including those of complications of DD. Moreover, the prevalence of DD in
Indian population is not yet been studied using CT. AIM: The current study aim to
look for the prevalence of DD in Indian population using Contrast Enhanced
Computed Tomography (CECT) abdomen. MATERIALS AND METHODS: A retrospective study
was done to assess the presence of DD using the CECT abdomen of 565 patients. The
number, size, location, wall thickness and the contents of the diverticulum were
noted. The data obtained was analysed using SPSS version 17.0. The mean,
percentage of frequency of each variable and the association of DD with
pancreatitis, cholelithiasis and colonic diverticulum were also looked for.
Frequencies and percentages were calculated for all categorical variables.
Spearman's rho correlation was done for age, diameter and content of DD. RESULTS:
The prevalence of DD in Indian population was 8.3% with the mean diameter of
17.13mm+7.26. The prevalence increased with age with no sex predilection. 89.3%
were solitary and 10.64% were multiple. It was predominantly seen in the second
part of duodenum (90.38%) and juxtapapillary type was the commonest. As the
diameter of DD increased, fluid became its content. No significant association
was observed between the presence of DD with pancreatitis, cholelithiasis or
colonic diverticulum. A case of periampullary carcinoma arising from DD, a rare
entity is being reported in this study. CONCLUSION: The prevalence of DD in
Indian population is high compared to western population. DD has been attributed
to the cases of acute abdomen and fluid alone as a content of DD with an
incidence of 1.92% can be mistaken for a cystic neoplasm of pancreas. Rarely, a
periampullary carcinoma can also arise from the wall of the pre-existing DD. This
knowledge should be emphasised upon by the radiologist, surgeons and
gastroenterologist who will be dealing with cases of acute abdomen and
periampullary carcinoma.
PMID- 27190787
TI - Association Between Serum B12 and Serum Homocysteine Levels in Diabetic Patients
on Metformin.
AB - INTRODUCTION: Type-2 Diabetes Mellitus (T2DM) and metformin both can lower serum
B12 (s.B12). Raised serum Homocysteine (s.Hcy) is considered as an early marker
of B12 deficiency. AIM: The study aimed to check whether homocysteine levels are
more sensitive indicator of s. B12 deficiency or not among diabetics using
metformin. MATERIALS AND METHODS: Mean s.B12 and s.Hcy levels of 30 cases
(diabetics on metformin <5years) were compared with 30 diabetic controls not on
metformin and 31 nondiabetic controls and statistically analysed by ANOVA and
post-hoc tests. RESULTS: No significant differences in either s.B12 mean or s.Hcy
mean were found between cases and diabetic controls. s.B12 mean did not differ
significantly but s.Hcy mean was significantly higher among nondiabetics as
compared to diabetic control. s. B12 level of Nondiabetic group was in borderline
category while mean s. B12 levels of cases and diabetic control groups was in
normal category but nearer to the lower cut off. Mean s.Hcy values in all the
groups were high. Pearson correlation showed strong association between s.B12 and
s.Hcy in all the groups. Additionally equation based on linear regression was
derived to calculate either of the s.B12 or s.Hcy. On Receiver Operative
Characteristic (ROC) curve, area under curve value was 0.842 for the value of
s.Hcy. CONCLUSION: In this study neither metformin nor T2DM could be identified
as a cause for s.B12 lowering and raised s.Hcy in the scenario of low normal
levels of s.B12 (<300pmol/L). If B12 deficiency recognized early using s. Hcy,
consequences due to B12 deficiency can be prevented or delayed among nondiabetics
as well as among diabetics and metformin users.
PMID- 27190788
TI - Heavy Metal Contamination in Groundwater around Industrial Estate vs Residential
Areas in Coimbatore, India.
AB - INTRODUCTION: Water is the vital resource, necessary for all aspects of human and
ecosystem survival and health. Depending on the quality, bore water may be used
for human consumption, irrigation purposes and livestock watering. The quality of
bore water can vary widely depending on the quality of ground water that is its
source. Pollutants are being added to the ground water system through human and
natural processes. Solid waste from industrial units is being dumped near the
factories, which react with percolating rainwater and reaches the ground water.
The percolating water picks up a large number of heavy metals and reaches the
aquifer system and contaminates the ground water. The usage of the contaminated
bore water causes the diseases. Mercury, Arsenic and Cadmium are used or released
by many industries. AIM: This study was conducted to investigate the pollution of
bore water in the industrial region (Kurichi Industrial Cluster) of Coimbatore,
in the state of Tamilnadu, India. MATERIALS AND METHODS: Four samples were taken
from residential areas around Kurichi Industrial Cluster and analysed to find the
concentrations of Mercury, Arsenic and Cadmium. Four more samples were taken from
other residential regions far from the industrial estate and served as control.
Samples were analysed using Atomic absorption spectrophotometry method. RESULTS:
We found that the ground water of the areas surrounding the industrial cluster
does not contain significant amount of those metals. Instead, Heavy metal
contamination of ground water were observed in some residential areas of
coimbatore. CONCLUSION: The regulatory measures to contain and prevent ground
water contamination by industries undertaken by Tamilnadu pollution control board
may have lead to absence of heavy metal contamination in Kurichi Industrial
cluster, Coimbatore, India.
PMID- 27190789
TI - Effect of Vitamin C Supplementation on Blood Lead Level, Oxidative Stress and
Antioxidant Status of Battery Manufacturing Workers of Western Maharashtra,
India.
AB - INTRODUCTION: The high blood lead level induces oxidative stress and alters the
antioxidant status of battery manufacturing workers. Supplementation of vitamin C
is beneficial to reduce the oxidative stress and to improve the antioxidant
status of these workers. AIM: The main aim of this study was to observe the
changes in blood lead levels, oxidative stress i.e. serum lipid peroxide and
antioxidant status parameters such as erythrocyte superoxide dismutase and
catalase and serum nitrite after the vitamin C supplementation in battery
manufacturing workers. MATERIALS AND METHODS: This study included 36 battery
manufacturing workers from Western Maharashtra, India, having age between 20-60
years. All study group subjects were provided vitamin C tablets (500 mg/day for
one month) and a blood sample of 10 ml each was drawn by puncturing the anterior
cubital vein before and after vitamin C supplementation. The biochemical
parameters were estimated by using the standard methods. RESULTS: Blood lead
levels were not significantly altered, however, serum lipid peroxide (p<0.001,
15.56%) and serum nitrite (p<0.001, -21.37%) levels showed significant decrease
and antioxidant status parameters such as erythrocyte superoxide dismutase
(p<0.001, 38.02%) and catalase (p<0.001, 32.36%) revealed significant increase in
battery manufacturing workers after the supplementation of vitamin C. CONCLUSION:
One month vitamin C supplementation in battery manufacturing workers is not
beneficial to decrease the blood lead levels. However, it is helpful to reduce
the lipid peroxidation and nitrite formation and enhances the erythrocytes
superoxide dismutase and catalase activity.
PMID- 27190791
TI - Ischaemic Markers in Acute Hepatic Injury.
AB - INTRODUCTION: Hepatic injury of varied aetiology may progress to Acute Liver
Failure (ALF). Compromised microcirculation is thought to be a deciding factor of
hepatic hypoxia may be involved in disease progression that needs early
detection. Ischaemia markers like serum Ischaemia- modified albumin (IMA), ALT
LDH ratio and ALT-LDH index have been suggested for its detection at early stage.
AIM: To find out the association of Ischaemia markers like serum IMA, ALT-LDH
ratio and ALT-LDH index in acute hepatic injury cases. MATERIALS AND METHODS:
Forty one diagnosed acute liver injury cases of varied aetiology admitted in
Department of Medicine, and Gastroenterology of SCB Medical College, Cuttack were
enrolled in the study along with 30 age and sex matched healthy controls. Blood
collected at time of admission and at time of discharge (1(st) day and 7(th) day)
were evaluated for FPG, RFT, LFT, Serum Albumin along with serum LDH, IMA, PT-INR
and platelet count. RESULT: Serum bilirubin, hepatic enzymes, IMA, PT-INR was
more markedly raised in cases than controls on the 1(st) day of admission. ALT
LDH ratio and index were significantly low in complicated cases. However, on
responding to treatment the ALT-LDH index on 7(th) day registered a rise in
comparison to the 1(st) day, while serum IMA revealed an insignificant decline
showing improvement in hepatic hypoxia. ALT-LDH ratio remains more or less same
on response to treatment. CONCLUSION: Serum IMA and ALT-LDH Index reveals
association with disease process in Acute Hepatic Injury cases both clinically
and biochemically and can be used as supportive parameters for the diagnosis of
disease process.
PMID- 27190790
TI - Chronic Periodontitis in Type 2 Diabetes Mellitus: Oxidative Stress as a Common
Factor in Periodontal Tissue Injury.
AB - INTRODUCTION: The prevalence of periodontitis is significantly higher among
people with poorly controlled diabetes mellitus. Majority of tissue destruction
in periodontitis is considered to be the result of an aberrant
inflammatory/immune response to microbial plaque and involve prolonged release of
reactive oxygen species (ROS). There is increased evidence for compromised
antioxidant capacity in periodontal tissues and fluids which may be an added
factor for tissue damage in periodontitis. AIM: To study the possible role of
Reactive oxygen species (ROS) and antioxidant status in blood among chronic
periodontitis patients with and without Type 2 Diabetes mellitus. MATERIALS AND
METHODS: The study comprised of total 100 subjects among which 25 were normal
healthy controls, 25 were gingivitis patients, 25 were chronic periodontitis
patients (CP) and 25 were having chronic periodontitis with type 2 diabetes (CP
with DM). ROS levels were determined as MDA (Malondialdehyde) and antioxidant
status as plasma total antioxidant capacity (TAC), vitamin C and erythrocyte
Superoxide dismutase (SOD) and catalase activity. RESULTS: There was significant
increase in MDA levels in all the patient groups compared with healthy controls
(p<0.05). The decrease in TAC, Vitamin C and SOD levels among CP with DM patients
as compared to controls was highly significant (p<0.01). There was a positive
correlation between the probing pocket depth and MDA levels among periodontitis
patients with diabetes (r=0.566, p=0.003). CONCLUSION: There is increased
oxidative stress in chronic periodontitis with and without type 2 diabetes
indicating a common factor involvement in tissue damage. More severe tissue
destruction in periodontitis is associated with excessive ROS generation which is
positively correlated in type 2 diabetic subjects.
PMID- 27190792
TI - Evaluation of Protein Kinase Cbeta and PPARgamma Activity in Diabetic Rats
Supplemented with Momordica charantia.
AB - INTRODUCTION: The present study was taken up to compare and evaluate the effect
of Momordica charantia supplementation with pioglitazone on PKC-beta and PPAR
gamma activity in kidneys of diabetic rats. The hypoglycaemic and lipid lowering
effect of Momordica charantia were screened in laboratory animal model and its
potency was compared with a Thiazolidinedione (TZD) group antidiabetic drug like
pioglitazone. MATERIALS AND METHODS: Adult healthy albino rats of Wistar strain
aged 3-4months, weighing between 170-250gm of either sex were divided into 4
groups; Group 1 (normal controls), Group 2 (diabetic controls), Group 3 (diabetic
rats treated with pioglitazone) and Group 4 (diabetic rats treated with bitter
melon juice). Type 1 Diabetes was induced in rats by intraperitoneal injection of
streptozotocin at a dose of 55 mg/kg body weight, following which glucose levels
were estimated by Accu chek- active glucometer on day 0, 7, 14, 21 and 28 days to
assess the efficacy of Bitter Melon Juice (BMJ) and pioglitazone. After 28 days
of treatment, the rats were sacrificed and blood collected from abdominal vena
cava was used for estimation of triglycerides by Glycerol 3 phosphate oxidase
phenol aminophenazone method and cholesterol by Cholestrol oxidase phenol
aminophenazone method. PKC-beta and PPAR-gamma were estimated in the dissected
kidneys by using double sandwich ELISA based kits on an automated plate reader.
RESULTS: BMJ significantly reduced blood glucose levels in group 4 as compared to
diabetic controls (p<0.001). Total cholesterol and triglycerides were
significantly reduced in both group 3 and 4. In Group 4, there was reduction in
PKC-beta levels, when compared to Group 3(p=0.004). PPAR-gamma levels were
increased in both Group 3 and 4, when compared to Group 2. CONCLUSION: The
results suggest that BMJ has hypoglycaemic and lipid lowering effect in diabetic
animal models. BMJ increases PPAR-gamma activity and decreases PKC-beta activity
in kidneys of diabetic rats, thereby preventing the complications of diabetes
mellitus. Fresh BMJ mimics action of pioglitazone belonging to TZD group thus
showing a potential for further research in identifying the active molecules
responsible for glucose and lipid lowering action.
PMID- 27190793
TI - Effect of Red Yeast Rice and Coconut, Rice Bran or Sunflower Oil Combination in
Rats on Hypercholesterolemic Diet.
AB - INTRODUCTION: Dietary supplements provide a novel population based health
approach for treating hyperlipidemias. Red yeast rice is known to have lipid
lowering effects. Combination of red yeast rice with various oils is taken by
different population around the world. AIM: In this present work, we aimed to
compare the effects of red yeast rice with different oil (coconut, rice bran and
sunflower oil) supplementations on lipid levels and oxidative stress in rats fed
on hypercholesterolemic diet. MATERIALS AND METHODS: A Randomized controlled
study was conducted on 28 male Sprague Dawley rats. It included 4 arms-Control
arm (hypercholesterolemic diet), Test arm A (hypercholesterolemic diet +Red yeast
rice + Rice bran oil), arm B (hypercholesterolemic diet +Red yeast rice + Coconut
oil) and arm C (hypercholesterolemic diet +Red yeast rice + Sunflower oil). At
the end of one month, serum cholesterol, triglycerides, MDA and paraoxonase was
measured. The mean values of analytes between the different groups were compared
using student 't-' test. RESULTS: The rats fed with red yeast rice and rice bran
oil combination showed significantly lower levels of serum cholesterol,
triglycerides and MDA when compared to the controls. The serum paraoxonase levels
were significantly higher in this group when compared to the controls. The rats
fed with red yeast rice and coconut oil combination showed significantly lower
serum cholesterol and MDA levels when compared to the controls. The mean
triglyceride and paraoxonase levels did not show any statistically significant
difference from the controls. The rats on red yeast rice and sunflower oil
combination did not show any statistically significant difference in the lipid
levels and oxidative stress parameters. CONCLUSION: The food combination which
had best outcome in preventing the development of hyperlipidemia and oxidative
stress in rats fed with hypercholesterolemic diet was red yeast rice and rice
bran oil. Combining red yeast rice with coconut oil and sunflower oil gave
suboptimal benefits.
PMID- 27190794
TI - Correlation of alpha-Lipoic Acid and S. Glutathione Level with Free Radical
Excess in Tobacco Consumers.
AB - INTRODUCTION: Tobacco consumption is a serious health hazard and most important
avoidable cause of death worldwide. Tobacco is recognized as lethal toxin,
ripping off 7-11 minutes of human life with each cigarette through harmful
compounds and inducing free radical synthesis and a high rate of lipid
peroxidation. These free radicals are scavenged by the endogenous antioxidants
viz. S. Glutathione (S.GSH) and S. alpha-Lipoic acid (S. alpha-LA), thus
preventing the endothelial damage. AIM: The present study was designed with an
aim to find out the lipid peroxidative stress through S. Malondialdehyde (S.MDA)
and its correlation with antioxidant levels like S. Glutathione (S. GSH) and S.
alpha- Lipoic acid (S. alpha- LA) among tobacco users (in both smokers and
chewers). MATERIALS AND METHODS: A case control cross-sectional study was carried
out in the Department of Physiology among 200 subjects; aged 18-50 years of both
sexes which were chosen randomly from institutional campus and healthy
volunteers. The subjects were broadly divided into two groups (A & B); group A
comprised of tobacco users (n=150) with history of smoking cigarette/biddies and
chewing tobacco daily, for at least one year and group B had controls (non
tobacco users) (n=50). S. MDA, S.GSH and S. alpha-LA levels were estimated by
standardized methods. The data was analysed by unpaired student t-test and
Pearson's correlation coefficient (r) for finding the correlation between
antioxidants and S.MDA in group-A and group-B. RESULTS: The present study reports
the significantly higher (p<0.0001) levels of S.MDA and lower (p<0.0001) levels
of S.GSH and S. alpha-LA in tobacco users as compared to nontobacco users. The
observed value of S.MDA was (2.72+/-0.87, 1.39+/-0.47) nmol/ml, S. alpha-LA was
(9.94+/-5.96, 14.24 +/- 4.34) MUg/ml and S.GSH was (23.24+/-7.04, 32.82+/-2.95)
mg/dl respectively in group-A and group-B. A significant (p<0.01) strong negative
correlation was observed between S. MDA and antioxidants (S.GSH and S. alpha-LA)
with a Pearson co-efficient of r=-0.619, r= -0.625 respectively, in group A.
CONCLUSION: The decreased level of S. alpha-LA and S. GSH, in our study clearly
indicates potential risk of cellular damage in tobacco users due to lipid
peroxidation. Hence, the present study recommends supplementation of S. alpha-LA
and Vitamin C in tobacco users to prevent this damage whereas quitting this evil
habit will be the best available option.
PMID- 27190795
TI - Effect of Mindfulness Meditation on Perceived Stress Scores and Autonomic
Function Tests of Pregnant Indian Women.
AB - INTRODUCTION: Various pregnancy complications like hypertension, preeclampsia
have been strongly correlated with maternal stress. One of the connecting links
between pregnancy complications and maternal stress is mind-body intervention
which can be part of Complementary and Alternative Medicine (CAM). Biologic
measures of stress during pregnancy may get reduced by such interventions. AIM:
To evaluate the effect of Mindfulness meditation on perceived stress scores and
autonomic function tests of pregnant Indian women. MATERIALS AND METHODS:
Pregnant Indian women of 12 weeks gestation were randomised to two treatment
groups: Test group with Mindfulness meditation and control group with their usual
obstetric care. The effect of Mindfulness meditation on perceived stress scores
and cardiac sympathetic functions and parasympathetic functions (Heart rate
variation with respiration, lying to standing ratio, standing to lying ratio and
respiratory rate) were evaluated on pregnant Indian women. RESULTS: There was a
significant decrease in perceived stress scores, a significant decrease of blood
pressure response to cold pressor test and a significant increase in heart rate
variability in the test group (p< 0.05, significant) which indicates that
mindfulness meditation is a powerful modulator of the sympathetic nervous system
and can thereby reduce the day-to-day perceived stress in pregnant women.
CONCLUSION: The results of this study suggest that mindfulness meditation
improves parasympathetic functions in pregnant women and is a powerful modulator
of the sympathetic nervous system during pregnancy.
PMID- 27190796
TI - Impact of Seasonal Variant Temperatures and Laboratory Room Ambient Temperature
on Mortality of Rats with Ischemic Brain Injury.
AB - INTRODUCTION: A popular rat model for hypoperfusion ischemic brain injury is
bilateral common carotid artery occlusion (BCCAO). BCCAO surgery when performed
in varying geographical locations and during different seasons of the year is
reported to have variable mortality rates. Studies have also documented the
diminishing influence of Ketamine-Xylazine (KT-XY) on thermoregulatory functions
in rodents. AIM: To explore the impact of seasonal variant temperatures and
laboratory room ambient temperatures on mortality of rats following BCCAO
surgery. MATERIALS AND METHODS: The study has two parts: 1 The first part is an
analysis of a three year retrospective data to explore the association between
the geographical season (hot summer and cold winter) induced laboratory room
ambient temperature variations and the mortality rate in KT-XY anaesthetized
BCCAO rats. 2. The second part investigated the effect of conditioned laboratory
room ambient temperature (CAT) (23-25(0)C) in KT-XY anaesthetized BCCAO group of
rats. Rats were divided into 4 groups(n =8/group) as-Normal control, BCCAO and
Sham BCCAO where they were all exposed to unconditioned ambient temperature
(UCAT) during their surgery and postoperative care. And finally fourth group rats
exposed to CAT during the BCCAO surgery and postoperative care. RESULTS:
Pearson's chi-square test indicates a significantly high association (p<0.006)
between post-BCCAO mortality and hot season of the year. CAT during the hot
season reduced the mortality rate (24% less) in post- BCCAO rats compared to the
rats of UCAT. CONCLUSION: Despite seasonal variations in temperature,
conditioning the laboratory room ambient temperatures to 23-25(0)C, induces
hypothermia in KT-XY anaesthetized ischemic brain injured rodents and improves
their survival rate.
PMID- 27190797
TI - Implication of Renal Aquaporin-3 in Fructose-Induced Metabolic Syndrome and
Melatonin Protection.
AB - INTRODUCTION: Metabolic Syndrome (MetS) can be induced by ingestion of large
amounts of fructose as a consequence of oxidative stress and dyslipidemia. AIM:
We investigated the possible protective effects of melatonin administration on
MetS induced in fructose-fed rats with special focus on the role of renal
aquaporin-3 (AQP-3). MATERIALS AND METHODS: Thirty rats were randomly divided
into three groups; control, fructose, and fructose plus melatonin. MetS was
induced by fructose rich diet and melatonin was injected at a dose of 5 mg/kg
dissolved in 1% ethanol in normal saline. After the end of the 6-week
experimental period, body weight and fat accretion were assessed. Invasive blood
pressure and vascular reactivity were evaluated. Serum lipid profile, glucose,
insulin levels, insulin resistance, malondialdehyde (MDA) and uric acid were
measured, also underwent renal AQP-3 immunohistochemistry. RESULTS: Fructose
consumption significantly increased fat accretion, systolic blood pressure, serum
lipids, insulin levels and insulin resistance, confirming successful
establishment of the MetS model. Also serum MDA, uric acid and renal AQP-3
expression increased compared to the control group. Melatonin supplementation
significantly decreased the previously measured parameters compared to fructose
group. CONCLUSION: Increased AQP-3 expression may be implicated in fructose
induced MetS. Melatonin protective effect against metabolic consensus and
vascular affection may be linked to its antioxidant and lipid lowering effect
with reduced renal AQP-3 expression.
PMID- 27190798
TI - Evaluation of NS1 Antigen Detection for Early Diagnosis of Dengue in a Tertiary
Hospital in Southern India.
AB - INTRODUCTION: Dengue is a mosquito-borne disease affecting mainly tropical and
subtropical regions of the world. The early diagnosis of dengue is required for
identifying an epidemic and also for implementing effective vector control
measures. AIM: To evaluate NS1 antigen assay as an alternative to RT-PCR for the
early diagnosis of Dengue. MATERIALS AND METHODS: A comparative study was
conducted to evaluate NS1 antigen assay in clinically suspected dengue cases
admitted to JIPMER hospital from January to November 2011. Serum samples were
tested for NS1 antigen, IgM and IgG antibodies by ELISA and RT-PCR. RESULTS: Out
of total 112 clinically suspected dengue, 94 were laboratory-confirmed dengue
cases (positive by one or more of the following tests - IgM ELISA, NS1 antigen
ELISA and RT-PCR). NS1 was detectable from day 1 to day 12 of fever. The positive
detection rate of NS1 antigen ELISA, RT-PCR and IgM ELISA were 80.9%, 68.1% and
47.9% respectively. NS1 antigen ELISA was evaluated using RT-PCR as the reference
standard and showed a sensitivity of 96.8%, specificity of 53.3%, positive
predictive value of 81.6% and negative predictive value of 88.9% with a
likelihood ratio of 2.1 by Fisher's-exact test. The combination of NS1 and IgM
had the highest sensitivity of 97.8%. DEN-3 was the serotype identified by RT-PCR
for 24 randomly selected samples. NS1 antigen detection had the highest
sensitivity in the early stages while IgM detection was more sensitive in the
later half of the illness. CONCLUSION: Both NS1 and RT-PCR are useful for early
dengue diagnosis, although in terms of cost, ease of performance and rapidity,
NS1 is superior to RT-PCR. NS1 in combination with IgM assay offers the most
sensitive and cost-effective diagnostic modality for dengue.
PMID- 27190799
TI - Application of Radial Basis Function Network Tool for Correlation of CD4+ Count
with Plasma Viral Load in HIV-Seropositive Individuals.
AB - INTRODUCTION: Human Immunodeficiency Virus (HIV) infects and cripples the immune
system of the body. The two important marker CD4+T cells and Plasma viral load
are crucial not only in understanding the disease progression but also in
starting the antiretroviral therapy. A lot of research is going on in
understanding the dynamic nature of HIV. AIM: To find the correlation between
CD4+ count and Plasma Viral Load (PVL) measured by two different technologies;
with the help of correlation technique in conjunction with the three dimensional
HIV model with a purpose of establishing a mathematical model between the CD4+
cells and PVL using a sinusoidal function as well as Radial Basis Function (RBF)
neural network. MATERIALS AND METHODS: Plasma Viral Load were determined by two
different methods viz Exavir Cavidi(TM) and Abbott Real time HIV-1 assay and then
they were correlated with the CD4+ count with the help of computational
intelligence in predicting viral load. RESULTS: It was found that there exists a
positive correlation between the CD4+ cells and viral loads. A correlation value
of 0.4082 and 0.3652 was observed between CD4+ cells and viral measured using
Exavir Cavidi(TM) and Abbott Real time HIV-1 assay respectively. CONCLUSION: The
existence of positive correlation had helped us to understand the nature and
dynamic of the existence of HIV and how the CD4 + and PVL act.
PMID- 27190800
TI - ESBL and MBL in Cefepime Resistant Pseudomonas aeruginosa: An Update from a Rural
Area in Northern India.
AB - INTRODUCTION: Cefepime, a fourth generation cephalosporin, is widely used for the
empirical treatment of serious infections in critically ill hospitalized
patients. Pseudomonas aeruginosa (P. aeruginosa), one of the commonest bacteria
causing nosocomial infections has a propensity to develop antibiotic resistance
quite promptly. AIM: We undertook this study to assess the efficacy of cefepime
against current clinical isolates of P. aeruginosa and to study existence of
different beta-lactamase enzymes among cefepime resistant P. aeruginosa isolates.
MATERIALS AND METHODS: Total of 618 isolates of P. aeruginosa recovered
consecutively from various clinical samples of a tertiary care hospital were
analysed. Their Antimicrobial sensitivity profile against piperacilin (100MUg),
piperacillin/tazobactam (100MUg/10MUg), ceftazidime (30MUg), cefoperazone
(75MUg), cefepime (30MUg), ciprofloxacin (5MUg), gentamycin (10MUg), amikacin
(30MUg) and imipenem (10MUg) (Himedia) was tested by Kirby-Bauer disc diffusion
method (Clinical and Laboratory Standards Institute guidelines). We further
looked for ESBL, MBL and ESBL + MBL co producers among the cefepime resistant
isolates by two different methods (combined double disc synergy test, imipenem
EDTA combined disc test and vitek2). RESULTS: Among 618 consecutive clinical
isolates of P. aeruginosa, we observed resistance to cefepime in 457 (74%)
isolates. We observed resistance to ciprofloxacin (n=506, 82%) in maximum number
of isolates followed by that to Gentamycin (n=475, 77%), amikacin (n=366, 60%),
and cefoperazone (n=350, 56.6%). Among all our cefepime resistant P. aeruginosa
isolates only 27(6%) were ESBL producers, 18(4%) MBL producers and 2(0.4%) were
ESBL+ MBL co-producers. All the ESBL and MBL isolates were also tested by VITEK 2
advanced expert system (bioMirieux Vitek Systems Inc, Hazelwood, MO, France)
which revealed a 100% concordance with the phenotypic method tested. CONCLUSION:
This paper highlights the need to reconsider prescribing empirical antibiotics
for Pseudomonas infections in this region and formulate a strong antibiotic
policy to curb the menace of spread of multidrug resistant strains.
PMID- 27190801
TI - Comparison of Antigen Detection and Nested PCR in CSF Samples of HIV Positive and
Negative Patients with Suspected Cryptococcal Meningitis in a Tertiary Care
Hospital.
AB - INTRODUCTION: The cases of cryptococcal meningitis and other forms of
cryptococcosis have increased in recent time and the present scenario of the
condition with significant morbidity and mortality is actually posing a serious
threat to the community, so an early and prompt diagnosis is necessary to prevent
serious complications and thus improving the overall disease outcome. AIM:
Comparison of diagnostic efficacy of nested Polymerase Chain Reaction (PCR) with
Latex Agglutination Test (LAT) in the Cerebro Spinal Fluid (CSF) samples of the
cases of meningitis in HIV positive and negative cases. MATERIALS AND METHODS: We
have compared the diagnostic efficacy of Latex Agglutination Test (LAT) with
nested Polymerase Chain Reaction (PCR) in 200 Cerebrospinal Fluid (CSF) samples,
including 14 HIV positive also, in the cases of suspected cryptococcal
meningitis. Nested PCR was done in all cases reporting positive by LAT and
results were then compared with that of India ink and culture on Sabouraud
Dextrose Agar (SDA), and the isolates were further identified by urease, nitrate
and sugar assimilation tests. RESULTS: Of the 200 cases, including 14 HIV
positive, LAT was positive in 46 cases while 154 were negative. Out of these 46
LAT positive cases, nested PCR was positive in 40 cases only, while culture and
India ink was positive in 38 and 33 cases respectively. Majority of the cases, 30
(65.2%) were between age group 21-50 years, while 2 (4.3%) in 0-20, and 14
(30.4%) in 51-80 years age group. CONCLUSION: Although negative staining like
India ink and nigrosin are most widely used techniques, but these suffer with
subjective error. Rapid method like LAT is available but it always has the scope
of false positive and negative results. In such cases nested PCR can help in
establishing final diagnosis.
PMID- 27190803
TI - Comparison Between Biofilm Production, Phospholipase and Haemolytic Activity of
Different Species of Candida Isolated from Dental Caries Lesions in Children.
AB - INTRODUCTION: C.albicans is the most commonly isolated fungal pathogen in the
oral cavity, but isolation of non-albicans Candida is increasing in recent years.
We wish to demonstrate the virulence factors of Candida spp. isolated from the
dental caries lesion of the children as presence of virulence factors determines
the pathogenic potential of any microorganism. AIM: To compare biofilm
production, phospholipase and haemolytic activity of C.albicans with that of non
albicans species of Candida isolated from dental caries lesions of children to
evaluate the role of non- albicans species of Candida in formation of dental
caries. MATERIALS AND METHODS: Oral swabs were collected from caries lesion of
100 school children of age 5-10 years with dental caries. Candida isolates were
tested for biofilm production, phospholipase and haemolytic activity. Statistical
analysis was done by Chi-Square test and Mann-Whitney U test wherever applicable
using SPSS version 11.5. RESULTS: Out of the 100 children with dental caries 37
were positive for Candida by smear or culture and 31 by culture. C.albicans was
the most prevalent isolate followed by C.krusei, C.tropicalis and C.albicans. Out
of 21 C.albicans isolates, 10 (47.6%) showed phospholipase activity and 18
(85.71%) produced biofilm. Of the 10 non-albicans strains, 5 (50%) showed
phospholipase activity and 6 (60%) produced biofilm. All isolates of Candida
produced haemolysin (100%). CONCLUSION: There was no statistically relevant
difference between the virulence factor production by C.albicans and non-albicans
species of Candida. In other words, our study shows that both C.albicans and non
albicans species of Candida isolated from caries lesions of the children, produce
these virulence factors. So we can say that non-albicans species of Candida also
are involved in caries formation.
PMID- 27190802
TI - Diabetes Mellitus has no Significant Influence on the Prevalence of Antenatal
Asymptomatic Bacteriuria.
AB - INTRODUCTION: Diabetes is a known risk factor for asymptomatic bacteriuria (ASB).
However, the influence of diabetes on antenatal ASB was previously not addressed.
AIM: The prevalence of ASB, effect of risk factors and type of isolates and
susceptibility patterns were studied in diabetic pregnancy. MATERIALS AND
METHODS: A total of 311 pregnant women were recruited for this study of which 103
were diabetic and 208 non-diabetic. A clean catch midstream urine samples were
collected and cultured. The isolates were identified and antibiotic sensitivity
was studied. The data was analysed by Chi-square test. RESULTS: The prevalence of
ASB in diabetic pregnancy was 38.83% (40/10(3); 95% CI: 23.73 - 53.94) and in non
diabetic pregnancy was 37.98% (79/208; CI: 27.28- 48.68). The odds ratio was not
significant 1.0225 (95% CU: 0.65 - 1.599; p=0.922) and associated factors such as
age and gestational period had no effect. The major isolates were Escherichia
coli (25.0%), Staphylococcus aureus (22.5%), Coagulase negative staphylococci
(CONS) (20.00%), and Klebsiella pneumonia (20.00%) in diabetic pregnancy and CONS
(31.7%), E.coli (24.0%) and K.pneumonia (16.5%) in non-diabetic pregnancy. The
isolates of diabetic pregnancy showed highest susceptibility to nitrofurantoin
(56.4%), gentamicin (38.5%) and cotrimoxazole (38.5%) whereas that of non
diabetic pregnancy to gentamicin (43.0%), azithromycin (32.9%) and norfloxacin
(30.4). There was no significant (p<0.05) difference in the type and susceptibly
of the isolates between diabetic and non-diabetic pregnancy. CONCLUSION: Diabetes
has no significant influence on the prevalence of ASB in diabetic pregnancy both
in terms of isolates and antibiotic susceptibility pattern.
PMID- 27190805
TI - Umbilical Sepsis Caused by Multidrug Resistant Strain of Kocuria kristinae in a
New Born: A Case Report.
AB - Kocuria species were placed previously under the genus Micrococcus, are skin and
oropharynx commensals in mammals, including man. A rare bacteria, Kocuria
kristinae isolated from a new born with umbilical sepsis. Identification and
antibiotic susceptibility was done by Vitek 2 compact system (Biomerieux). The
isolate was sensitive to higher antibiotics like vancomycin, teicoplanin and
linezolid. As this new pathogen resembles coagulase negative staphylococcus, it
should not be misidentified.
PMID- 27190804
TI - Brucellosis in Occupationally Exposed Groups.
AB - INTRODUCTION: In India, high incidence of human brucellosis may be expected, as
the conditions conducive for human brucellosis exist. Limited studies have been
undertaken on human brucellosis especially in occupationally-exposed groups. AIM:
To estimate prevalence of anti-brucellar antibodies, evaluate the clinical
manifestations, risk factors and Knowledge, Attitude and Practices (KAP) levels
about brucellosis among occupationally exposed groups. MATERIALS AND METHODS:
Blood samples were collected from 2337 occupationally exposed individuals. The
serum samples were screened for the presence of anti-brucellar antibodies by Rose
Bengal Plate Test (RBPT), Serum Agglutination Test (SAT) and 2-Mercaptoethanol
test (2-ME). Clinical manifestations, risk factors and KAP levels were evaluated
by personal interview using a structured questionnaire. RESULTS: Seroprevalence
of brucellosis by RBPT, SAT and 2-ME test was 9.46%, 4.45% and 3.64 %
respectively. Clinical symptoms resembling brucellosis were seen in 91 subjects.
The major risk factors were animal exposure in veterinarians and abattoirs, both
animal exposure and raw milk ingestion in farmers and shepherds, exposure to raw
milk and its ingestion in dairy workers and exposure to Brucella culture in
laboratory workers. Except laboratory workers, few veterinarians and dairy
workers none had heard about brucellosis. KAP levels regarding brucellosis were
too poor in all the groups except laboratory workers. CONCLUSION: Brucellosis
most of the times was missed or misdiagnosed. Regular screenings for brucellosis
and awareness programmes to increase KAP levels are necessary to control
brucellosis in occupationally exposed groups.
PMID- 27190806
TI - Candidal Vertebral Osteomyelitis in the Midst of Renal Disorders.
AB - Vertebral osteomyelitis also known as discitis/pyogenic spondylitis refers to
inflammation of the vertebral disc space. It is commonly seen in men and adults
more than 50 years of age. Fungal osteomyelitis is a rare scenario compared to
its bacterial counterpart. Spinal epidural abscess is a dangerous complication
associated with vertebral osteomyelitis. Here, we report two cases of vertebral
osteomyelitis caused by Candida tropicalis in patients with renal disorders
(stage 5 chronic kidney disease and nephropathy). One of the case discussed here
presented with spinal epidural abscess. Both the patients were started on
antifungal therapy. One patient responded to treatment while the other was lost
to follow up.
PMID- 27190807
TI - Paragonimiasis in a Child from Assam, India.
AB - Paragonimiasis or lung fluke infection is one of the neglected tropical parasitic
disease which is found worldwide. Several endemic foci have been discovered in
the Northeast India. Pulmonary paragonimiasis presenting with haemoptysis is
generally mistaken for pulmonary tuberculosis. Herein, we present a case of
pulmonary paragonimiasis, which initially presented with haemoptysis and remained
undiagnosed for two years. The patient was treated with Praziquantel 25mg/kg
thrice daily for two days along with the supportive care. Subsequently, on follow
up after three months the patient had improved with no fever and cough.
PMID- 27190808
TI - Antibiotic Adjuvant Therapy for Multi-Drug Resistant Carbapenemases Producing
Klebsiella pneumoniae Associated Sepsis: A Case Study.
AB - Rising resistance and spread of K. pneumoniae strains, create great concerns in
treating sepsis patients due to high incidence of mortality and morbidity. The
current study is a case of a 20-year-old male with sepsis and bilateral lung
lesions infected with Multi-Drug Resistant (MDR) carbapenemase producing K.
pneumoniae (KPC) showing resistance to carbapenem and polymyxin. Based on
sensitivity report, patient was put on antibiotic adjuvant: Elores (ceftriaxone,
sulbactam, disodium edetate) along with fluconazole for 10 days. Elores was
instituted with remarkable recovery and patient was discharged.
PMID- 27190809
TI - Profile of Kidney Histopathology in Cases of Burns - Particular Emphasis on
Acridine Orange Fluorescence Study and to Explore its Forensic Utility.
AB - INTRODUCTION: The major cause of death in the burn patients includes multiple
organ failure and infection but, sometimes the exact cause of death in many
fatally burned patients is difficult to detect. Many times in medico-legal post
mortem examinations in cases of burns, histopathological examination of organs is
requested. AIM: The aim was to study various histopathological changes in kidneys
in the post-mortem cases of burns, by using routine Haematoxylin and Eosin stain
(H&E stain), special Periodic and Schiff's Stain (PAS) stain, to study the role
of acridine orange fluorescence study, to explore the forensic utility of this
microscopic study and to find out the relationship between duration of survival
and histopathological changes observed. MATERIALS AND METHODS: An experimental
longitudinal prospective study from October 2010 to September 2012. Total 32
cases of death due to burns were autopsied at mortuary, the Department of
Forensic Medicine and Toxicology in our hospital. Bilateral kidneys were removed
and preserved in 10% formalin solution. These were forwarded to Department of
Pathology for histopathological examination. Routine microscopic examination by
H&E stain as well as PAS stain and fluorescence study by acridine orange stain
were done in all cases. RESULTS: It was observed that in 21 (65.63%) cases gross
findings in kidneys were normal, in 06 (18.75%) were grossly pale and in 05
(15.62%) heavy & congested. Sections taken from kidneys and studied by H&E stain
showed overlapping histopathological changes in all cases. In 26 (81.25%) cases,
changes of Acute Tubular Necrosis (ATN) while in remaining 06 (18.75%), changes
of cloudy swelling were observed. The sections stained by acridine orange and
observed under fluorescent microscope were lightly positive in 15 (46.88%),
brightly positive in 08 (25.00%) whereas, negative in 09 (28.12%). CONCLUSION:
Microscopy by various methods helps in getting specific lesions in kidney due to
burns. However, it does not add any new tool to resolve any forensic issues of
burns. Therefore, microscopy (including florescent), if done would be redundant.
PMID- 27190810
TI - The Er/Ki-67 Proportion in Breast Tumours - An Immunohistochemical Study.
AB - INTRODUCTION: Breast tumours are classified as benign, proliferative and invasive
tumours. Estrogen hormone influences the proliferative activity and progression
of the tumour. Estrogen Receptor (ER) status and proliferative index (Ki 67) are
important histopathological factors in the development and prognosis of these
tumours. AIM: The present study was aimed to evaluate the variations in ER and Ki
67 expression in three broad categories of breast lesions namely benign breast
disease, proliferative breast disease and malignant breast disease. MATERIALS AND
METHODS: ER% and Ki-67% was evaluated on the histopathological tissues of 15
patients each of benign, proliferative and invasive breast tumours. The ER+/ Ki
67+/- ratio was calculated and the variation of expression between the three
categories was analyzed using student's t-test. Pearson's coefficient of
correlation was used to correlate ER and Ki-67 positivity within each category.
RESULTS: The mean ER+/Ki-67+ in benign, proliferative and invasive tumours was
0.81, 0.87 and 1.42 respectively. A statistically significant difference in
ER+/Ki-67+ proportions was observed between proliferative breast disease category
and malignant breast disease category and also between benign breast disease
category and malignant breast disease category (p<0.05). However, no significant
difference was observed in benign breast disease category and proliferative
breast disease category (p>0.05). A significant correlation was observed in
proliferative breast disease and malignant breast disease categories. However, no
significant correlation was observed in benign breast disease category.
CONCLUSION: ER+/Ki-67+ ratio is an important determinant of the invasive breast
cancer and can be used to differentiate invasive cancers from benign and
proliferative breast tumours.
PMID- 27190811
TI - Clinico-Histopathological Spectrum of Infectious Granulomatous Dermatoses in
Western India- A Representative Study from Mumbai.
AB - INTRODUCTION: Infectious Granulomatous Dermatoses (IGDS) have various
aetiological factors with a considerable overlap in the histopathological and
clinical features, thus posing a diagnostic dilemma for dermatologists and
pathologists. AIM: We aimed at determining the histopathological profile of IGDS
correlating it with clinical features with an attempt to find the aetiology.
MATERIALS AND METHODS: In a cross-sectional study conducted in a tertiary
referral center of Mumbai over two years, out of 1872 skin biopsies received, 239
histopathologically diagnosed cases of IGDS were studied for histopathological
features of granuloma. A clinico-histopathological correlation was attempted. Chi
square test was used for comparison of proportions of different groups. RESULTS:
Leprosy (211 cases) and tuberculosis (28 cases) were the commonest
histopathologically diagnosed IGDS. Leprosy spectrum included BT (30.33% cases),
followed by TT (21.32%), BL and LL and 21.79% cases of lepra reactions. Skin TB
biopsies on histopathology showed lupus vulgaris (53.85% cases), scrofuloderma
(15.38%), TBVC and papulonecrotic tuberculid (11.54% each). In leprosy maximum
clinico-pathological agreement was seen at tuberculoid pole (TT 72.7% and BT
56.6%). Among tuberculosis cases, scrofuloderma (100%) and lupus vulgaris (53.8%)
showed maximum agreement. CONCLUSION: Leprosy and skin TB are the commonest IGDS
in Mumbai region though difficult to diagnose and subcategorize with certainty
during initial stages. Histopathology plays the important role to elucidate the
dilemma. This being a single center study, more such studies with a larger sample
size are recommended to get more elaborate data and regional prevalence of these
IGDS for a better overall approach to prevention, treatment and control.
PMID- 27190813
TI - Massive Broad Ligament Cellular Leiomyoma with Cystic Change: A Diagnostic
Dilemma.
AB - Leiomyomas are known to arise from uterus, but rarely from broad ligament.
Further, cellular leiomyoma of broad ligament is the least common variant
reported in literature. The diagnostic dilemma arises when leiomyomas undergo
degenerative changes. This poses both clinical and radiological difficulty in
differentiating with an ovarian tumour. We present an unusual case of a huge
broad ligament mass measuring 29x19x09cm, mimicking an ovarian tumour both
clinically and radiologically. Histopathology revealed cellular leiomyoma of
broad ligament with cystic and myxoid degeneration hereby being the second case
reported in literature. This case is being presented not only because of the rare
incidence but also due to its diagnostic confusion with ovarian malignancy on
clinical evaluation and radiological findings.
PMID- 27190812
TI - Collapsing Glomerulopathy: A Single Centre Clinicopathologic Study of Seven
Years.
AB - INTRODUCTION: Collapsing Glomerulopathy (CG) is recognized as distinct pattern of
proliferative parenchymal injury with poor response to empirical therapy. AIM: A
single center retrospective study was carried out to find out clinicopathological
features of idiopathic CG. MATERIALS AND METHODS: A total of 3335 native renal
biopsies were analyzed retrospectively which were performed from 2008 to 2014
with emphasis on clinicopathological correlation and histopathological
presentation. RESULTS: Idiopathic CG constituted 0.75% incidence (25 out of 3335
biopsies) of all biopsies, adults constituting major study part with 88%. The
duration of the symptoms at the time of biopsy was 34.12+/-26.09 days and 35+/
22.91 days respectively in adults and children. Hypertension was noted in
9(40.9%) and oliguria in 8(36.4%) in adults. Urinalysis revealed microscopic
haematuria 12(54.5%) in adults. Nephrotic range proteinuria was reported in 10
(45.5%) adult patients. Glomerular collapse with hyperplasia/ hypertrophy of
podocytes was seen in 4.54+/-3.11 glomeruli. Tubular microcystic dilation was
seen in 16(64%) patients. Tubular atrophy involving mild (t1) in 15(60%),
moderate (t2) in 4(16%) and severe (t3) in 6(24%) patients. Interstitial fibrosis
was mild (i1) in 17(68%), moderate (i2) in 2(8%) and severe (i3) in 6(24%)
patients. CONCLUSION: Idiopathic CG is a morphological pattern of grave podocyte
injury with poor prognosis. However, there are chances of remission/ recovery if
the tubular atrophy and interstitial fibrosis are of grades <= t1 i1.
PMID- 27190814
TI - Giant Placental Chorangioma: A Rare Case Report.
AB - Chorangioma is a nontrophoblastic benign vascular tumour of the placenta, arising
from the primitive chorionic mesenchyme. The clinical significance is related to
the size of the tumours. Small chorangiomas, with a frequency of about 1%, are
often asymptomatic. On the contrary, giant chorangiomas, greater than 5 cm in
diameter, are rare tumours, with prevalence ranging from 1:9,000 to 1:50,000, and
often associated with a variety of pregnancy complications and a poor perinatal
outcome. We report a case of 26-year-old female who presented to us at 36 weeks
of gestation with pain in the lower abdomen. Ultrasonograpy revealed
polyhydramnios and a vascular tumour on the surface of placenta. Proper
conservative antenatal management was done and a full term healthy baby was
delievered. Histopathological examination of the extracted mass confirmed the
diagnosis of chorangioma. The novelty of this report lies in the presence of
large nontrophoblastic vascular placental tumour and the absence of any fetal
complications. We emphasise the need of regular and timely antenatal management
to diagnose and treat the complications of chorangioma at an early stage.
PMID- 27190815
TI - Inflammatory Myofibroblastic Tumour of Thyroid with its Prominent Spindle Cell
Pattern: A Rare Case Report.
AB - Inflammatory myofibroblastic tumour of thyroid is very rare. Only 18 cases
reported so far. Here we report a case of Inflammatory myofibroblastic tumour
with its prominent spindle cell (fibrohistiocytic) pattern in a 61-year-old male
patient. The dominant histological pattern in our case was myofibroblastic in
contrast to prominent lymphoplasmocytic pattern in other previously reported
cases. The tumour was strongly positive for vimentin, Anaplastic lymphoma kinase
and showed focal positivity for Smooth Muscle Actin. The patient was treated with
total thyroidectomy and he is comfortable after surgery.
PMID- 27190816
TI - Microfilariae, a Common Parasite in an Unusual Site: A Case Report with
Literature Review.
AB - Filariasis is common in tropical countries. Wuchereria bancrofti is the most
common parasite which causes lymphatic filariasis in India. This paper reports
the finding of microfilariae in cervicovaginal smear of a 61-year-old post
menopausal woman with a brief review of literature. There are limited numbers of
reports describing the presence of microfilariae in the cervicovaginal smears and
even rarely as an incidental finding. It is very important to keep in mind and
screen for microfilariae in the non-endemic areas also.
PMID- 27190817
TI - Fine Needle Aspiration Cytology Diagnosis of an Urachal Adenocarcinoma.
AB - Urachal Carcinoma (UC) is a rare malignancy of urinary bladder. It is usually
found in adults in advanced stages because the tumour often grows outside the
bladder without producing clinical symptoms. Most of the cases are mucinous,
intestinal or signet ring cell adenocarcinoma and the diagnosis is usually made
on biopsy. Radiographic images of this tumour may show characteristic features
with a midline solid or cystic mass in the anterior wall of bladder associated
with small calcification, which is considered as a pathognomonic sign for the
diagnosis of UC. We report a case of UC in an adult, whose radiographic images
suggested an urachal tumour and Fine Needle Aspiration (FNA) cytology revealed an
adenocarcinoma. Laparoscopic partial cystectomy with umbilectomy and pelvic node
dissection was done without further histopathological confirmation. Surgical
intervention of UC on the basis of FNA diagnosis has not been reported in the
literature.
PMID- 27190818
TI - A Rare Case of Haemoperitoneum in Pregnancy.
AB - Haemoperitoneum in pregnancy is a rare, but potentially fatal condition. Primary
hepatocellular carcinoma (HCC) in pregnancy is also very uncommon. Primary
hepatocellular carcinoma occuring in a pregnant lady and presenting with massive
haemoperitoneum is, to the best of our knowledge, the first case to be reported
in world literature. Here we present a case of 32-year-old female who had no
typical risk factors for HCC; was in nineteenth week of gestation presented with
abdominal pain. Following a spontaneous expulsion of a dead and macerated foetus,
she developed massive haemoperitoneum due to rupture of a liver mass. This caused
a great diagnostic challenge for us to differentiate between the benign
Hepatocellular Adenoma (HA) and well differentiated HCC because of the age and
typical clinical presentation favouring HA and the histopathological features
favouring more for HCC. Diagnosis of HCC was confirmed based on the
immunohistochemical findings. The differential diagnosis between HA and well
differentiated HCC is very difficult and sometimes impossible especially when it
occurs in young females and in pregnancy.
PMID- 27190819
TI - A Unique Presentation of Primary Intestinal MALT Lymphoma as Multiple
Lymphomatous Polyposis.
AB - Multiple lymphomatous polyposis is considered to be a rare condition, with most
of the cases being extranodal counterpart of mantle cell lymphomas. We report a
rare case of multiple lymphomatous polyposis of the gastrointestinal tract in
which the patient presented with abdominal pain and bloody diarrhea. Computer
tomography of the abdomen showed circumferential wall thickening with intramural
mass involving caecum & ascending colon with enlarged pericolonic lymph nodes.
The patient underwent right hemicolectomy. Immunohistologic findings were
characteristic of MALT lymphoma. Microscopic examination of polypoidal masses and
mesenteric lymph nodes revealed infiltration by pleomorphic, atypical lymphoid
cells which were CD20 positive and negative for CD3, CD10, Cyclin D1.
Lymphoepithelial lesions were also noted. Careful endoscopic evaluation and
histopathological review along with an immunohistochemical panel is extremely
useful for accurately diagnosing such cases and avoiding unnecessary surgery and
inappropriate therapy.
PMID- 27190820
TI - Blue Cell Tumour at Unusual Site: Retropritoneal Ewings Sarcoma.
AB - Ewing's sarcoma is a highly malignant tumour of osseous or non-osseous origin,
tremed as extra-skeletal Ewings sarcoma if arising from soft tissue. It is rare
occurrence tumor most commonly occurring in paravertebral area, chest wall, head
& neck and retroperitoneum. Reporting an interesting case of retroperitoneal
Ewing's sarcoma in 39 years old female. Patient had complains of abdominal
discomfort & vague pain since 2 months, following weakness in lower limb and loss
of weight. On detail history and examination she was further referred to detail
pathological and radiological investigations. Haematological profile, renal
function test and liver function test were in normal limits. USG abdomen was
normal, MRI showed a mass in pelvis retroperitoneum measuring 10x10cms, bilateral
ovaries and tubes were normal. Because of retroperitoneal nature of tumor and
suspicion of uterine sarcoma, laparotomy was performed. The large retroperitoneal
mass adherent to posterior of uterus was excised and send for histopathological
diagnosis. On gross and microscopy examination the diagnosis of blue cell tumor
with PAS positivity, possibility of extraskeletal Ewing's sarcoma/primitive neuro
ectodermal tumor was made which was further confirmed by immunohistochemistry,
positive for S100, Vementin and CD99 and negative for desmin and CK. Confirmed
diagnosis help in accurate management and improves survival rate.
PMID- 27190821
TI - Parathyroid Adenoma Associated with Granulomatous Inflammation: A Curious Cause
of Hypercalcaemia.
AB - Primary Hyperparathyroidism (PHPT) due to solitary parathyroid adenoma followed
by parathyroid hyperplasia and carcinoma are the most frequent cause of
hypercalcaemia. The most common granulomatous disorders causing hypercalcaemia
are sarcoidosis and tuberculosis. We have reported a case where unexplained
granulomas were seen along with parathyroid adenoma.
PMID- 27190822
TI - Leukaemic Transformation of Multiple Myeloma in Post Chemotherapy Remission
Phase.
AB - Plasma cell leukaemia is diagnosed when plasma cells are >20% in the peripheral
blood. Plasma cell leukaemia may be present at the time of diagnosis (primary
plasma cell leukaemia) or may evolve from multiple myeloma (secondary plasma cell
leukaemia). We report case of a 62-year-old male who was diagnosed with multiple
myeloma. He was treated with combination of prednisolone, melphalan and
thalidomide. After 6 years he had Worsening of symptoms and also developed a
scalp swelling. The swelling was diagnosed as plasmacytoma on fine needle
aspiration cytology and confirmed on histopathology. Complete haemogram showed
Haemoglobin - 8g/dl, Total Leucocyte Count - 4300/MUl, Differential leucocyte
count - Neutrophil-40%, Lymphocyte-28%, Eosinophil-01%, Monocyte-10%, Atypical
cells-21%, Platelet count- 1.5 lacs/MUl. Peripheral blood showed rouleaux
formation and plasma cells. Serum protein electrophoresis revealed an M spike
(3.26 g/dl). So, patient was diagnosed as secondary plasma cell leukaemia. Weekly
bortezomib and dexamethasone combination chemotherapy was given to the patient.
Patient is on monthly follow up. Here we present a detailed case history of this
patient.
PMID- 27190823
TI - Lipoleiomyoma of Cervix.
PMID- 27190824
TI - A Study of Prescription Pattern of Neutraceuticals, Knowledge of the Patients and
Cost in a Tertiary Care Hospital.
AB - INTRODUCTION: Neutraceuticals are increasingly becoming a part of diet in a
health-conscious society. People have changed their outlook towards
neutraceuticals because of varying lifestyle diseases and they see the need to
improve their physical and mental health. AIM: To study the prescription pattern
by doctors, knowledge of patients, cost for patients and patient satisfaction
regarding neutraceuticals. MATERIALS AND METHODS: Total of 120 patients were
included in the study after obtaining written informed consent. The patients were
interviewed and the prescriptions and bills were scrutinized. The data was
gathered based on a structured pretested questionnaire comprising of socio
demographic variables, their knowledge of neutraceuticals and history of regular
usage, reason for their use, total cost for a month on neutraceuticals alone. The
data was analysed using tests of proportions and percentages. RESULTS: Vitamins
were prescribed maximum in Internal Medicine (51%), Orthopaedics (43%) and
Surgery (37%). Minerals were prescribed maximum in Obstetrics and Gynaecology
(30%). 66% of the patients were aware about neutraceuticals. The awareness was
maximum in medicine department (70%) and least in surgery department (63.3%). The
study showed that the average amount that the patients spent was Rs 357.45 per
month on neutraceuticals alone, maximum was Rs 557 in orthopaedics and minimum
was Rs 219 in medicine respectively. A 61.6% of the patients expressed their
satisfaction after taking the neutraceuticals. However, 12.45% of the patients
were dissatisfied even after taking the neutraceuticals. CONCLUSION:
Neutraceuticals are being increasingly perceived as beneficial to health and are
being continuously used in the treatment of various diseases. Therefore, it is
imperative that a doctor educates the public and creates an awareness of the
required amount of neutraceuticals that can be safely used. The future of
neutraceuticals is bright and can transform healthcare in a developing country
like India which utilizes a large number of natural compounds.
PMID- 27190826
TI - Effect of Silybin on Lipid Profile in Hypercholesterolaemic Rats.
AB - INTRODUCTION: Hyperlipidemia is a major cause of atherosclerosis and
atherosclerosis associated conditions, such as Coronary Heart Disease (CHD),
ischaemic cerebrovascular disease and peripheral vascular disease. Though there
are hypolipidemic drugs available, the search for a more efficacious hypo
lipidemic agent was always going on. AIM: To study the effect of Silybin on lipid
profile in Hypercholesterolaemic rats. MATERIALS AND METHODS: After grant of
permission from animal ethics committee, the animals were divided into four
groups of eight each (normal control, Experimental control with High cholesterol
diet, High cholesterol diet + Silybin 300mg, High cholesterol diet + Silybin
600mg). At the end of 60 days the animals in all the groups were subjected to
overnight fasting followed by plasma and liver biochemical analyses. STATISTICAL
ANALYSIS: The data were analysed by ANNOVA followed by Duncan's multi range test
and the value of p<=0.05 was used as the criterion for statistical significance.
RESULTS: The rats fed on high cholesterol diet showed significant increase in
serum total cholesterol, Triglycerides, LDL-C and VLDL-C. Treatment with Silybin
significantly decreased serum total cholesterol (24%), Triglycerides (21%), LDL-C
(24%) in a dose dependent manner. Rats treated with Silybin (300 and 600 mg/kg)
showed significant increase in hepatic HDL -C and decrease in other lipid
profiles. CONCLUSION: Treatment with Silybin significantly decreased both serum
and hepatic total cholesterol, triglycerides, VLDL-C, LDL-C and increased HDL-C
at both doses.
PMID- 27190825
TI - Assessment of Rationality of Fixed Dose Combinations Approved in CDSCO List.
AB - INTRODUCTION: Fixed Dose Combination (FDC) is highly popular in the Indian
pharmaceutical market and has been particularly flourishing in the last few
years. Though rationality status is not clear, the pharmaceutical industry has
been manufacturing and marketing FDCs. AIM: To assess rationality of FDCs
enlisted in CDSCO list and marketing in India according to pharmacokinetic (FD)
and pharmacodynamic (FD) reasoning and WHO rationality criteria. MATERIALS AND
METHODS: In this study, 264 FDCs marketed in India from 2009 to 2014 from CDSCO
list 2014 were included. Assessment was done on the basis of following
parameters: 1) Year and system of FDC; 2) Dosage form; 3) Number of Active
Pharmacological Ingredient (API); 4) Schedule of FDC; 5) The presence of the FDC
and its ingredients in the WHO Essential Medicine List 2013 and National
Essential Medicine List, India 2011; 6) FD and PK parameters of APIs of
combination; 7) PK and PD interaction; 8) Safety parameters of ingredients in
combination. Descriptive statistics in terms of frequency counts and percentages
were used for variables. RESULTS: Out of total 264 FDCs selected, maximum number
of combinations (112) were approved in 2010. System wise selection showed 51
(19.31%) FDCs were from cardiovascular system followed by 46 (17.42%) from
pain/musculoskeletal system. Oral dosage form was found to be maximum with 200
(75.75%) combinations. According to schedules, 154 (58.33%) combinations were
categorized under schedule H. There were 210 (79.54%) FDCs that had two API which
was found to be maximum, whereas, only 3 (1.13%) combinations had 5 API. We could
find possible PK and PD interactions in between API of 10 (3.78%) and 73 (27.65%)
combinations respectively on basis of standard textbooks and references.
Similarly dose reduction in API was seen in 58 (21.96%) FDCs. There were 123
(46.59%) FDCs had chances of increased ADRs due to its API. Out of 264
combinations, 52 combinations were rational (6-9), 75 combinations were semi
rational (3-<6) and 137 combinations were found to be irrational (0<3).
CONCLUSION: We could reveal that majority of combinations approved in last six
years were found to be semi-rational and irrational. It is important to carry out
detailed study in this area to establish the fact and increase rationality of
combinations.
PMID- 27190827
TI - Effect of Morinda citrifolia (Noni) Fruit Juice on High Fat Diet Induced
Dyslipidemia in Rats.
AB - INTRODUCTION: The medicinal value of Morinda citrifolia L. (commonly known as
Noni) has been explored in ancient folk remedies with a wide range of therapeutic
utility, including antibacterial, antiviral, antifungal, antitumour, analgesic,
hypotensive, anti-inflammatory and immune enhancing effects. AIM: The present
study was designed to evaluate the effects of Noni fruit juice on serum lipid
profile in high fat diet induced murine model of dyslipidemia. MATERIALS AND
METHODS: Hyperlipidemia was induced by feeding a cholesterol rich high fat diet
for 45 days in wistar albino rats of either sex (n=8). Noni fruit juice
administered at 50mg/kg/day and 100mg/kg/day, per oral, was compared with the
standard drug Atorvastatin (10mg/kg/day, oral) fed for the latter 30 days. The
blood samples were then sent for complete blood lipid profile, after 30 days of
treatment. The data presented as mean +/- SEM was analyzed using one-way ANOVA
followed by Tukey's post-hoc test. The p <0.05 was considered as statistically
significant. RESULTS: The Noni fruit juice treated group showed a significant
decrease in the total cholesterol, triglycerides and very low density lipoprotein
- Cholesterol at both the doses when compared to the disease control (p<0.05).
However, the decrease in the TC (102.75+/-9.79 mg/dL) and LDL-C (47.87+/-7.47
mg/dL) levels observed with the noni fruit juice at the 50mg/kg dose employed,
failed to show a statistical significance when compared to atorvastatin.
CONCLUSION: The present study provides evidence for the hypolipidemic activity of
Noni fruit juice in high fat diet induced hyperlipidemia in rats.
PMID- 27190828
TI - Pulmonary Toxicity of Bleomycin - A Case Series from a Tertiary Care Center in
Southern India.
AB - Hodgkin's lymphoma is one of the curable cancers and the standard treatment
regimen involves combination chemotherapy involving bleomycin. One of the fatal
side effect of bleomycin is pulmonary toxicity. Here we present three cases of
Hodgkin's lymphoma treated with ABVD chemotherapy who had pulmonary toxicity. All
three developed bleomycin induced pulmonary toxicity in the form of pulmonary
fibrosis during treatment of the disease. Mode of treatment, severity of the
condition and the treatment outcome varied among the three. Two recovered
following treatment and one patient died due to irreversible pulmonary damage.
Causality assessment using Naranjo's scale gave a score of 7 for case one and
three and a score of 6 for case two, both indicating the adverse drug reaction to
be a probable bleomycin induced Lung fibrosis.
PMID- 27190830
TI - Familial Constitutional Rearrangement of Chromosomes 4 & 8: Phenotypically Normal
Mother and Abnormal Progeny.
AB - Balanced chromosome translocations carriers mostly do not have recognizable
phenotypic expression but may have more risk of recurrent spontaneous abortions
&/or children with serious birth defects due to unbalanced chromosome
complements. Unbalanced chromosomal rearrangements have variable clinical
expression and are rare. We present here a case report of three siblings affected
with intellectual disability and minor dysmorphic features of face and limbs,
born to a non-consanguineous couple in which mother had 5 abortions. The
constitutional chromosome analysis revealed balanced translocation t (4;8) in
mother and all the three siblings were karyotypically normal. Chromosomal
microarray in one of the probands revealed partial monosomy 8pter-p23 and a
partial trisomy 4pter-p16. Phenotypic features were recorded in 3 probands using
Human Phenotype Ontology terms to query web-based tool Phenomizer. The harmonized
description using globally accepted ontology is very important especially in case
of rare genetic conditions and the heterogeneous phenotypes which make it even
more challenging. The prevalence of sub-microscopic unbalanced translocations may
be under-reported due to lesser use of molecular genetic analysis. The familial
expression of abnormal phenotypes including intellectual disability make the
individuals candidate for molecular genetic analysis and phenotyping to help
defer the status of idiopathic mental retardation and identify sub-entity of
genetic condition.
PMID- 27190829
TI - beta-Thalassaemia and its Co-existence with Haemoglobin E and Haemoglobin S in
Upper Assam Region of North Eastern India: A Hospital Based Study.
AB - INTRODUCTION: beta-Thalassaemias are common genetic disorders in the Indian
subcontinent and its status has not been well studied in the Upper Assam region
of North Eastern India. AIM: The aim of the study was to show the prevalence of
beta- thalassaemias and its co-existence with Haemoglobin E and Haemoglobin S in
the Upper Assam region of North Eastern India. MATERIALS AND METHODS: A total of
1200 anaemic patients were investigated for beta- thalassaemias. Complete Blood
Count (CBC) and High Performance Liquid Chromatography (HPLC) were done for
screening. RESULTS: Out of 1200 patients screened, 5.83% beta-thalassaemia trait,
2.33% compound Hb E/beta-Thalassaemia, 1.33% beta-thalassaemia major and 0.42%
compound Hb S/beta- thalassaemia were detected. A high incidence of thalassaemia
is found among the people of Upper Assam region of North Eastern India.
CONCLUSION: The only way to prevent the disease is carrier detection and
awareness among the people about it.
PMID- 27190831
TI - Dating of Early Subdural Haematoma: A Correlative Clinico-Radiological Study.
AB - INTRODUCTION: Determination of post-traumatic interval remains one of the
foremost important goals of any forensic investigation related to human crimes.
The estimation of time since injury in cases of subdural haemorrhage has been
studied only by a few investigators on the histological and radiological front.
AIM: The purpose of this study was to determine the post-traumatic interval of
Subdural Haemorrhage (SDH) based on Hounsfield Unit measurements (HU) on Computed
Tomography (CT) in surviving victims of head injury. MATERIALS AND METHODS: The
study included a total of 100 cases of closed head injury with subdural
haemorrhage. The Post-traumatic Time Interval (PTI) varied from 0.5 hours to a
maximum of 249 hours, with a mean of 54.2 hours. RESULTS: Statistically
significant results were obtained between the HU measurements of the SDH and the
post-traumatic intervals and were found to be statistically significant. A rough
attempt was made to determine the effect of haematoma volume on attenuation and
was found out to be statistically insignificant. CONCLUSION: The density of the
subdural haematoma decreases with increase in the post-traumatic interval that
concurs with the limited number of studies being conducted in the past. We
concluded that further sorting of cases could be done according to its age with
additional research and uniformity in the methodology.
PMID- 27190832
TI - Effect of Communication Skills Training on the Burnout of Nurses: A Cross
Sectional Study.
AB - INTRODUCTION: One of the factors influencing the burnout of nurses is their
difficult and complicated relations with patients and other members of the
medical team. Therefore, it is necessary that nurses to be trained on
communication skills. AIM: The present research aims to study the effect of
communication skills training on the burnout of nurses. MATERIALS AND METHODS:
The present research was an experimental study using pretest-posttest method. The
subjects included 60 nurses working in Khatamolanbia Hospital in Iranshahr,
Sistan and Baluchestan Province, Iran. The subjects were randomly divided into
two groups. The required data and information were collected using Jackson and
Maslach Burnout Inventory which was filled out by subjects in three steps
including before the intervention, at the end of the second session, and one
month after the intervention. The intervention included training on communication
skills which was carried out for the intervention group as a 2-day workshop for 8
hours within a week. RESULTS: The findings showed that the mean score of
frequency and intensity of burnout in the intervention group before the
intervention, at the end of the intervention, and one month after the
intervention was 39.3+/-6.2 and 61.1+/-8.0, 37.5+/-4.6 and 58.8+/-7.6, and 34.2+/
4.4 and 54.6+/-7.0, respectively. These changes suggest a significant decreasing
trend (p=0.01). On the other hand, mean scores of burnout in the control group
showed no significant difference in three steps (p<0.05). CONCLUSION: Since
communication skills training is an effective and inexpensive way for reducing
the burnout among nurses, it is recommended that this approach to be taken into
account by managers in order to reduce the burnout among nurses and improve the
quality of healthcare services provided by them.
PMID- 27190833
TI - Fundamental Ethical Issues in Unnecessary Surgical Procedures.
AB - In clinical practice performing any surgical procedure is inconsistent because
all surgical procedures carry definitely some degree of risk. Worldwide every
year millions of patients go under knife, but many of them are enduring great
pain and shelling out thousands and dollars for surgeries they don't really need.
This review work was planned with an intention to focus attention towards it with
reporting cited evidences of unnecessary surgical operations and discuss ethical
issues concern with it. In present review the references search included standard
citations Google scholar, MEDLINE and PUBMED. We also used Google search engine
for screening various news concern with highlighting this topic in community and
online media. For articles we go through more than 60 articles from worldwide and
12 news media views from Google search in last one year. We used following quotes
for their search-unnecessary surgeries, second opinion, ethical issues in
unnecessary surgeries. Geographical variations were also kept in view. Our
intension was highlighting ethical issues concern with unnecessary surgical
operations. Henceforth we excluded such work that does not concern with ethical
issues. Unnecessary surgery is that which is medically unjustifiable when the
risks and costs are more than the likely therapeutic benefits or relief to the
patient based on the patient's lifestyle requirements. To avoid or minimize such
interventions basic seeding of ethics in curriculum and strict laws will
definitely helpful in clinical practice. In conclusion, our aim was to highlight
this major issue and underline need of competency based medical bioethics
education in Indian scenario.
PMID- 27190834
TI - Protection Provided by Hepatitis B Vaccine in Adult Population of Chaharmahal and
Bakhtiari Province, Iran in 2013.
AB - INTRODUCTION: Hepatitis B vaccination has been integrated into National Expanded
Program on Immunization in Iran since the year 1993 and young adult national
vaccination project was done in 2008. So we have three subpopulations with
vaccination coverage for hepatitis B and different antibody levels. Consisting of
Subpopulation 1 born after 1993, subpopulation 2 born between 1989 and 1993 and
receiving vaccination under adult national project, and subpopulation 3 born
prior to the year 1989. AIM: The present study was conducted to investigate
community protection by hepatitis B vaccine in adult population in an accessible
population in Iran and compare vaccination coverage, HBs Ab level, and its
effective titration among the three above-mentioned subpopulations. MATERIALS AND
METHODS: This cross-sectional study was done on a 3000-individual adult
population from all seven counties of Chaharmahal and Bakhtiari province enrolled
by clustering. After obtaining written consent and filling out a questionnaire of
demographic data and history of hepatitis B vaccination by trained interviewers,
necessary blood sample was taken and HBs Ab titration was checked. The data were
analysed by chi-square in SPSS 19. The level of significance was considered as
0.05 and effective Ab titration as >= 10. RESULTS: The mean age of the
participants was 38.4+/-16.3 years. Of the participants 48.2% had effective
titration. For vaccination coverage, 77.4% were unvaccinated, 20% completely
vaccinated, and 2.6% incompletely vaccinated with a significant association with
effective titration (p<0.001). Eighty six percent of the subpopulation 1 and 79%
of the subpopulation 2 were completely vaccinated, with a significant difference
in effective titration between them (p<0.001). Vaccination coverage was higher in
men and the single but equal in cities and villages. The effective titration was
significantly associated with being married and residence place (p=0.003). There
was a significant association between effective titration and the time at
vaccination (p<0.001). CONCLUSION: Protection provided by hepatitis B vaccine in
adult population is relatively suitable especially in the youth population;
however, catch-up programs of the groups exposed to risk are recommended.
PMID- 27190836
TI - Metabolic Syndrome among Secondary School Teachers: Exploring the Ignored
Dimension of School Health Programme.
AB - INTRODUCTION: The rising trend of obesity, insulin resistance, metabolic
abnormalities, pro atherogenic factors are important determinants of both the non
communicable diseases and metabolic syndrome. Employees especially school
teachers have chronic stress which predisposes them to metabolic syndrome (MS).
Thus, increasing the possibility of premature mortality due to CVD and T2DM and
escalating the health care cost is affecting their families. AIM: To assess the
prevalence and the risk factors influencing metabolic syndrome among secondary
school teachers. MATERIALS AND METHODS: A cross-sectional study among secondary
school teachers of Mysore city. A self administered, pretested and structured
questionnaire based on the WHO Steps Approach for NCD evaluation. Data was
analysed in SPSS version 20, chi-square test for categorical variables and t-test
for continuous variable was applied along with logistic regression analysis to
determine the independent predictors of MS. RESULTS: The prevalence of MS was 115
(38.3%). It increased from 6 (14.3%) in 21-30 years to 40(56.3%) in > 50 years
age group. However, 144(48.0%) had '<= two risk factors, 121(40.3%) had >= 3 risk
factors and 7(2.3%) had all the five risk factor. CONCLUSION: All components of
MS were statistically significant in their association with the metabolic
syndrome disease complex. The School health programme can be utilised as an
opportunity to screen the teachers and provide primary preventive care.
PMID- 27190835
TI - Effect of Probiotic Dietary Intervention on Calcium and Haematological Parameters
in Geriatrics.
AB - INTRODUCTION: Probiotics are live microorganisms which when administered in
adequate amounts confer a health benefit on the host. Sufficient calcium intake
has been reported to support bone growth and prevent bone loss during the ageing
process. AIM: To determine the effect of Lactobacillus helveticus MTCC 5463
probiotic dietary intervention on serum calcium & haematological parameters in
geriatric population. MATERIALS AND METHODS: Healthy volunteers with age ranging
from 64-74 years were recruited from the nearby residential areas in and around
Anand, Gujarat. Study duration was from 2012 to 2015. Of the 112 subjects
initially enrolled in the trial, 36 withdrew before the intervention because of
not matching with criterias. Of the 76 participants, 5 subjects (4%) under test
group and 12 subjects (11%) under placebo left the study. We had 59 subjects who
successfully completed a double blind cross over trial. Probiotic fermented milk
products (in form of "Lassi") was prepared by supplementing toned milk with honey
and fermenting with probiotic Lactobacillus helveticus MTCC 5463 and
Streptococcus thermophilus MTCC 5460. The final product had at least 10(8) CFU/ml
of viable Lactobacillus helveticus MTCC 5463 at the time of feeding. During
feeding period, 200 ml of fermented product containing the test strain to one
group and a similar product but without the test strain as placebo were fed
regularly at the time of breakfast in morning for 4 weeks. Subjects of each group
were given a washout period of 4 weeks before they were crossed over and included
to the other group. The study was approved by institutional ethics committee.
RESULTS: The socio-demographic and clinical profiles were similar at baseline.
The mean (SD) calcium level improved significantly in test {9.36 (0.45) vs 8.45
(0.61), p<0.001}. No significant effect was observed with respect to haemoglobin
& haematological parameters. CONCLUSION: The well-documented probiotic
Lactobacillus helveticus MTCC 5463 confirmed increase in serum calcium level but
no effect on haematological parameters when administered to geriatrics.
PMID- 27190837
TI - Impact of Mode of Curriculum on Knowledge and Attitudes of Medical Students
towards Health Research.
AB - INTRODUCTION: Equipping students with skills in medical research should be an
integral part of medical education systems. This study is designed to gauge the
difference in knowledge and attitudes towards health research between two sets of
undergraduate medical students; those enrolled in the new Problem Based Learning
(PBL) education system versus those of the conventional Lecture Based Learning
(LBL) curricula. MATERIALS AND METHODS: From the 4(th) and 5(th) years of medical
university students, 90 participants were recruited from the Aga Khan University
(PBL group) and Dow University of Health Sciences (LBL group) and were presented
with structured and pre-validated questionnaire. Responses obtained for knowledge
and attitudes of each group were recorded on a scale and graduated in percentages
to be compared statistically for differences to identify the effectiveness of
each curriculum. RESULTS: The score on the knowledge scale for the PBL group was
found to be 44.77% against the 31.55% of the LBL students (p-value<0.001).
Furthermore, the mean attitude score of AKU students was 72.22% as opposed to the
56.11% of the DUHS participants (p-value<0.001). CONCLUSION: The PBL group
achieved significantly higher scores in all aspects than the LBL group, showing
healthier attitudes towards health science research along with better knowledge.
Hence, the apparent positive influence of PBL curricula on attitudes towards
research may be helpful in improving research output of medical students in
Pakistan.
PMID- 27190838
TI - Spatio-Temporal Pattern of Breast Cancer - Case Study of Southern Karnataka,
India.
AB - INTRODUCTION: Spatio-ecological study of disease provides a framework to study
the interaction of genetic, environmental, social, cultural and behavioural
factors on people's health. The occurrence and interaction of these factors are
different in different places, giving rise to distinct geographic or spatial
variation. Diseases like breast cancer have variation both spatially and
temporally. Public health practitioners can use Geographic Information System
(GIS) as a visualization tool to effectively present geographic phenomenon and
depict it in maps that might remain otherwise undiscovered in tabular form. AIM:
To demonstrate how GIS can be used to understand and communicate breast cancer
data through spatial visualization techniques. OBJECTIVES: (i) To visualize the
Spatial Distribution of Breast cancer incidences by a point map. (ii) To
visualize the Temporal distribution of breast cancer incidences by thematic maps
for the study period of 2007 -2011. MATERIALS AND METHODS: Total 1090 breast
cancer case records collected for the year 2007-2012 were segregated taluk wise
for the 29 taluks and geocoded using the address of the patient, creating a point
map. ArcGIS 10.2 software was used to prepare thematic map of breast cancer
cases. The taluk wise aggregated breast cancer incidence from the year 2007 to
2011 was then attributed into polygon map representing taluks (Base Map). Natural
break data classification technique was used to classify the breast cancer
incidence data and breast cancer incidences were classified as low, moderate,
high and very high. RESULTS: Spatial distribution of breast cancer incidences
using thematic mapping methods high incidences were reported in MY_ T24 (Hunsur),
MY_ T25 (KR Nagar), MY_27 (Nanjangud), CH_T1 (Chamrajnagar) and CH-T2
(Gundlupet). Temporal maps prepared for the study from 2007 to 2011 showed that
Mysore Taluk had very high Incidence level and the same was observed throughout
the study period. The taluks which have high and moderate intensities seem to be
fluctuating. However, 25 taluks do not fall into very high category during the
study period. Taluks such Gundlupet (CH_T2), K R Nagar (MY_T25), Kollegal (CH_T3)
have been observed to enter high intensity category during the year 2011 from
moderate intensity. It is also observed that Nanjangud (MY_T27) is in high
intensity category throughout the study period which might be due to its
proximity to Mysore urban. CONCLUSION: Analysis of Breast Cancer in southern
Karnataka using GIS has revealed that urban areas of Mysore has the highest risk
of breast cancer and the temporal trends reveal that even rural areas with
moderate risk are moving towards high risk areas.
PMID- 27190840
TI - Testing of Hypothesis in Equivalence and Non Inferiority Trials-A Concept.
AB - Establishing the appropriate hypothesis is one of the important steps for
carrying out the statistical tests/analysis. Its understanding is important for
interpreting the results of statistical analysis. The current communication
attempts to provide the concept of testing of hypothesis in non inferiority and
equivalence trials, where the null hypothesis is just reverse of what is set up
for conventional superiority trials. It is similarly looked for rejection for
establishing the fact the researcher is intending to prove. It is important to
mention that equivalence or non inferiority cannot be proved by accepting the
null hypothesis of no difference. Hence, establishing the appropriate statistical
hypothesis is extremely important to arrive at meaningful conclusion for the set
objectives in research.
PMID- 27190839
TI - Prevalence of Attention-Deficit Hyperactivity Disorder in Students and Needs
Modification of Mental Health Services in Shahrekord, Iran in 2013.
AB - INTRODUCTION AND OBJECTIVES: In view of the complications of Attention-Deficit
Hyperactivity Disorder (ADHD) and significance of its treatment, the present
study was aimed to investigate the prevalence of ADHD among elementary school
students of Shahrekord and to assess the obstacles preventing patients from
accessing mental health services. MATERIALS AND METHODS: In this cross-sectional
study, 631 eligible students were selected from elementary school students in
Shahrekord County, Iran. Multi-stage stratified random sampling was adopted.
Demographic data were gathered and Child Symptom Inventory-4 for parents and
teachers was filled out for each student. The students with suspected ADHD were
identified, and examined for the association among mental health help-seeking
steps. Obstacles to mental health help-seeking were assessed. RESULTS: ADHD
prevalence was derived 17.3% and higher among male students (p=0.025). ADHD
prevalence was associated with parents' education and occupation. The evaluation
and diagnosis rates were significantly associated with gender (p=0.002 and 0.005,
respectively). The most prevalent obstacles facing access to mental health
services were those relevant to feeling no need (86%), negative expectations of
treatment (78%) and mental health system (64%). CONCLUSION: ADHD was highly
prevalent among elementary school students. Identifying the patients and
examining the existing obstacles of access to mental health system seems
necessary.
PMID- 27190841
TI - Usage of Plastic Bags and Health Hazards: A Study to Assess Awareness Level and
Perception about Legislation Among a Small Population of Mangalore City.
AB - INTRODUCTION: Plastic bag users are at risk of number of health hazards. There is
paucity of data with regard to awareness of health hazards among general
population in India. AIM: This study was done to find out the status of awareness
of the health hazards associated with the usage of plastic bags among people and
their perception towards the legislation prohibiting the usage of plastic bags.
MATERIALS AND METHODS: This cross-sectional study conducted in Mangalore city in
August 2013. Data was collected by interviewing any adult member (aged above 18
years) in each of the selected households using an interview schedule. RESULTS:
Mean age of the 250 participants was 32.8+/-10.8 years. Majority 160(64%) were
females educated up to undergraduate level or above 187(74.8%). Among the
participants 216(86.4%) were aware of the health hazards associated with the use
of plastic bags. Awareness was significantly more amongst females (p=0.027), well
educated participants (p=0.004) and among professionals and semi-professionals
(p<0.001). There were 50(20%) participants reusing plastic bags for shopping
after initial usage. The cloth bags were used for shopping in place of plastic
bags by 13(5.2%) participants. Among the participants 213(85.2%) were aware of
the legislation banning the use of plastic bags and out of which 166(77.9%) were
in its favour. Semi-professionals and students favoured the ban on plastic bags
whereas unskilled and semiskilled workers were against the ban (p=0.01).
CONCLUSION: Most of the participants in the settings had the awareness of hazards
of plastic bag usage. However, there is a need for spreading the awareness of
using alternative strategies and effective implementation of legislation in order
to minimize the usage of plastics in the community.
PMID- 27190842
TI - Comparative Study of Permeatal Sandwich Tympanoplasty and Postaural Underlay
Technique.
AB - INTRODUCTION: Tympanoplasty is the most common operation performed by an
Otolaryngologist right from the period of residency. During the last hundred
years various modifications in this surgical technique have come up because of
continued efforts made by otologists all over the world to achieve the best
surgical outcome. AIM: To compare the graft take up and complications associated
with the Permeatal Sandwich Tympanoplasty performed with the use of Otoendoscope
and traditional Postaural Underlay technique of Tympanoplasty from 1(st)
September 2014 to 30(th) August 2015. MATERIALS AND METHODS: Patients attending
the ENT OPD, suffering from Chronic Suppurative Otitis Media (CSOM) were selected
on the basis of type of perforation and their workup was done to assess the
candidature for tympanoplasty. RESULTS: A total of 100 patients were included in
the study and the overall graft take was 92.3% in cases of Permeatal Sandwich
technique as compared to 64.58% in the case of postaural underlay technique, with
a majority of the failures in the large central perforation group rendering a p =
0.021 for patients operated for Large perforations, p = 0.036 for moderate
perforations and p = 0.476 for small perforations. The overall p = 0.000649 which
is highly significant. On comparing the complications there were only 2 cases in
Permeatal Sandwich Technique compared to 25 cases in Postaural Underlay technique
rendering a highly significant p-value 0f 0.000000348. There was a difference in
hearing improvement with majority of the cases improving to the range of 16-25 dB
in Permeatal Sandwich technique compared to 26-45 dB in Postaural Underlay
technique. CONCLUSION: Permeatal Sandwich technique produce much better results
when compared with Postaural approach in terms of graft take up, complications
and hearing improvement.
PMID- 27190843
TI - Spindle Cell Carcinoma of Nasal Cavity- A Case Report.
AB - Spindle Cell Carcinoma (SpCC), also known as Sarcomatoid Carcinoma, is a rare and
peculiar biphasic malignant neoplasm that occurs mainly in the upper aero
digestive tract, mostly in larynx. SCC accounts for 3% of all squamous cell
carcinomas (SCCs) in the head and neck region. It is a rare variant of SCC which
shows spindled or pleomorphic tumour cells simulating a true sarcoma. We present
a case report of SpCC nasal cavity in a 50-year-old female patient, presented
with intermittent epistaxis from left nasal cavity. On physical examination, the
patient had an ulcero-exophytic type of mass in the left nasal cavity and a
smooth bulge on the left side of anterior hard palate. Patient underwent excision
of nasal mass along with partial palatectomy by facial degloving approach and
reconstruction of palate with naso-labial flap. The postoperative
histopathological report showed SCC. Surgery forms the mainstay of treatment.
Radiotherapy and Chemotherapy is warranted in order to improve treatment results.
As only few cases have been reported, we report a case of this rare entity to
contribute for better understanding and awareness of this rare malignancy.
PMID- 27190844
TI - Vagal Schwannoma: A Rare Parapharyngeal Tumour.
AB - Among the parapharyngeal tumours, salivary gland tumours are the commonest,
followed by schwannomas, which are slow growing benign tumours. Half of the
parapharyngeal schwannomas originate from the vagus. Complete surgical excision
is the treatment of choice. We hereby present two cases of parapharyngeal
schwannomas, one which had presented as an intraoral mass and the other as a
swelling in the neck. The first case, a 57-year-old female patient complained of
a slowly increasing swelling in the left side of the throat since 3 months,
associated with pain and dysphagia. In the Contrast Enhanced CT scan of the neck,
a well-defined cystic lesion with central enhancing solid components (4cm X 4.5cm
X 3cm) was seen in the left parapharyngeal region. The second case, a 39-year-old
male patient complained of a painless, gradually increasing swelling below the
lobule of the right ear since one month. Examination revealed a solitary,
nontender, firm and mobile swelling of 2cm X 2cm below the lobule of the right
ear. In Contrast Enhanced CT scan of the neck, an enhancing lesion was seen
involving the right parapharyngeal space, post-styloid compartment. Both the
patients underwent trans-cervical surgical excision. Vagal nerve schwannoma is
rare. The majority of the cases present with a slow growing neck swelling without
neurological deficit. Complete surgical excision of the tumour is important to
prevent recurrence.
PMID- 27190845
TI - Benign Lymphoepithelial Cyst of the Parotid in HIV Negative Patient.
AB - Benign lymphoepithelial cysts are slow growing tumours commonly seen in HIV
positive adults. It is rare to find them in non HIV individuals. In this article
we discuss an uncommon presentation of a parotid swelling occurring in a 49-year
old non HIV male, which was diagnosed as benign lymphoepithelial cyst. The
various investigative modalities and treatment options are outlined in this
article.
PMID- 27190846
TI - A Rare Case of Angiofibroma Arising from Inferior Turbinate in a Female.
AB - A rare case of extranasopharyngeal angiofibroma arising from the inferior
turbinate in a young female of 28 years is presented. The case is discussed in
light of scant contemporary literature on the cited subject. This clinical record
highlights the distinct clinical nature of Extranasopharyngeal angiofibroma (ENA)
and importance of Immunohistochemistry in diagnosis of such lesions. With this
case we report a rare clinical entity which presented in an extremely rare
manner.
PMID- 27190847
TI - Role of Frequency Doubled Nd: Yag Laser in Treatment of Corneal
Neovascularisation.
AB - INTRODUCTION: Cornea is the outermost transparent coat of eye along with sclera,
for which its avascularity is essential for maintaining its transparency to have
normal visual acuity. Corneal neovascularization is characterized by the invasion
of new blood vessels into the cornea from the limbus interfering with corneal
transparency, resulting in reduction in visual acuity. It also increases the risk
of graft rejection. So their being a dire need to treat corneal
neovascularisation, with laser photocoagulation being an effective means of
treating it. AIM: To evaluate the efficacy and safety of frequency doubled Nd:Yag
laser photocoagulation in treatment of corneal neovascularisation. MATERIALS AND
METHODS: A single centre prospective study was carried out on patients attending
the outpatient department of ophthalmology in Maharani Laxmi Bai Medical College,
Jhansi. Forty eyes of 40 patients having corneal neovascularisation with
quiescent eyes satisfying the inclusion criteria were selected and treated with
laser. The efficacy of the procedure was noted in terms of area of corneal
neovascularisation, status of treated vessels, area of corneal opacity, visual
acuity. The above parameters of selected patients were recorded before treatment
and subsequent follow up visits at 1 week, 1 month, 2 month and 3 month after
laser. Paired t-test was used to calculate the p-value. RESULTS: There was a
statistically significant difference in the percentage mean area of corneal
neovascularisation with a pre laser value of 31.93% to 17.62% after 3 months of
laser treatment (p-value<0.0001). The percentage mean area of corneal opacity
decreased from 30.75% to 23.74% (p<0.0001). Out of 185 corneal vessels, 99
(53.51%) vessels were completely occluded,17(9.18%) vessels were partially
occluded and 69(37.29%) vessels were recanalised at the end of 3 months after
laser treatment. CONCLUSION: Frequency doubled Nd:Yag laser is an effective and
safe method for the treatment of corneal neovascularisation.
PMID- 27190848
TI - Analysis of Intraoperative and Postoperative Complications in Pseudoexfoliation
Eyes Undergoing Cataract Surgery.
AB - INTRODUCTION: Pseudoexfoliation (PXE) is a genetically inherited condition
affecting usually seen in those aged over 50 years. Surgical management of
cataract in patients with PXE pose a challenge due to associated changes in
ocular structures. AIM: To study the challenges in the management of cataract in
patients with PXE. MATERIALS AND METHODS: This was an interventional study
conducted in the Ophthalmology Department of MS Ramaiah Medical College and
Memorial Hospital, Bangalore from June 2012 to September 2014. All patients
admitted for cataract surgery during this period who were diagnosed as cataract
associated with PXE above 50 years of age belonging to either sex were included
in the study. All patients underwent cataract surgery with intraocular lens
implantation. Depending on type of cataract both small incision and
phacoemulsification operations were conducted. Intraoperative and postoperative
complications were studied. The patients were reviewed up to 6 weeks
postoperatively. RESULTS: A total of 50 eyes of 50 patients diagnosed as cataract
with PXE underwent cataract surgery. Of which 40 eyes (80%) underwent small
incision cataract surgery whereas, 10 (20%) underwent phacoemusification. Corneal
thinning (<535 microns) was noted in majority of the cases (41 cases).
Preoperatively there were 3 cases of zonular weakness. Pseudo exfoliation with
glaucoma was seen in 5 cases. Intraoperative complications encountered during
surgery were; zonular dialysis in 3 cases, posterior capsular tear in 2 cases,
out of these 5 cases vitreous loss was seen in 3 cases. Postoperative
complications were corneal odema in 17 cases, of which endothelium de-compensated
in one case, while early posterior capsular opacification was seen in 6 cases.
Final best corrected visual acuity was between 6/6-6/12 in 39(78%) eyes, 6/18
6/36 in 6(12%) cases; 6/60 to less in 5(10%) cases. CONCLUSION: Cataract surgery
in eyes with PXE has higher incidence of intraoperative and postoperative
complications. A complete preoperative workup helps reduce intraoperative
complications and maximises the postoperative results. As corneal thinning is
more common a pre-operative pachymetry is desirable to prevent underdiagnoses of
glaucoma.
PMID- 27190849
TI - Vision Screening of School Children by Teachers as a Community Based Strategy to
Address the Challenges of Childhood Blindness.
AB - INTRODUCTION: Early detection and treatment of vision problems in children is
imperative to meet the challenges of childhood blindness. Considering the
problems of inequitable distribution of trained manpower and limited access of
quality eye care services to majority of our population, innovative community
based strategies like 'Teachers training in vision screening' need to be
developed for effective utilization of the available human resources. AIM: To
evaluate the effectiveness of introducing teachers as the first level vision
screeners. MATERIALS AND METHODS: Teacher training programs were conducted for
school teachers to educate them about childhood ocular disorders and the
importance of their early detection. Teachers from government and semi-government
schools located in Ludhiana were given training in vision screening. These
teachers then conducted vision screening of children in their schools.
Subsequently an ophthalmology team visited these schools for re-evaluation of
children identified with low vision. Refraction was performed for all children
identified with refractive errors and spectacles were prescribed. Children
requiring further evaluation were referred to the base hospital. The project was
done in two phases. True positives, false positives, true negatives and false
negatives were calculated for evaluation. RESULTS: In phase 1, teachers from 166
schools underwent training in vision screening. The teachers screened 30,205
children and reported eye problems in 4523 (14.97%) children. Subsequently, the
ophthalmology team examined 4150 children and confirmed eye problems in 2137
children. Thus, the teachers were able to correctly identify eye problems (true
positives) in 47.25% children. Also, only 13.69% children had to be examined by
the ophthalmology team, thus reducing their work load. Similarly, in phase 2,
46.22% children were correctly identified to have eye problems (true positives)
by the teachers. By random sampling, 95.65% children were correctly identified as
normal (true negatives) by the teachers. CONCLUSION: Considering the high true
negative rates and reasonably good true positive rates and the wider coverage
provided by the program, vision screening in schools by teachers is an effective
method of identifying children with low vision. This strategy is also valuable in
reducing the workload of the eye care staff.
PMID- 27190850
TI - Correlation of Retinal Nerve Fiber Layer Thickness and Axial Length on Fourier
Domain Optical Coherence Tomography.
AB - INTRODUCTION: The assessment of the peripapillary Retinal Nerve Fiber Layer
(RNFL) thickness has been an important tool for evaluating and diagnosing
glaucoma and its progression. Literature suggests that myopic eyes are at an
increased risk for developing glaucoma. This study gives an insight into the
relationship of RNFL thickness to the axial length in normal population. AIM: To
correlate the RNFL thickness and the axial length in normal individuals with
Fourier domain Optical Coherence Tomography (OCT). MATERIALS AND METHODS: In the
current study, 298 eyes of 149 normal individuals (10 years or older) with or
without refractive error were recruited. The RNFL thickness was measured using
Optovue (RTVue) three-dimensional Fourier domain OCT. RESULTS: We observed an
inverse relationship between average RNFL thickness and increasing axial
length(p=0.003). Maximum RNFL thickness was seen in the Infero-Temporal (IT)
quadrant and minimum in the Supero-Nasal (SN) quadrant. RNFL thickness did not
show any tendency to decline with age using the Pearsons correlation (r=0.07).
Females had an increased RNFL thickness in the Supero-Temporal (ST) and Infero
Nasal (IN) quadrant (p-value 0.046 and 0.02) in comparison to males. There was a
statistically significant thinning in Ganglion Cell Complex (GCC) with increasing
axial length (p-value 0.000). CONCLUSION: The current study suggests that the
average RNFL thickness does not decrease with age. The RNFL and GCC thickness
shows an inverse correlation with axial length of the eyeball hence observations
have to be carefully interpreted in myopic eyes. Clinicians need to keep the
anatomical variations in RNFL for better patient management.
PMID- 27190851
TI - Role of Optical Coherence Tomography in Assessing Anterior Chamber Angles.
AB - INTRODUCTION: Gonioscopy is the gold standard in assessing anterior chamber
angles. However, interobserver variations are common and there is a need for
reliable objective method of assessment. AIM: To compare the anterior chamber
angle by gonioscopy and Spectral Domain Optical Coherence Tomography (SD-OCT) in
individuals with shallow anterior chamber. MATERIALS AND METHODS: This
comparative observational study was conducted in a rural tertiary multi
speciality teaching hospital. A total of 101 eyes of 54 patients with shallow
anterior chamber on slit lamp evaluation were included. Anterior chamber angle
was graded by gonioscopy using the shaffer grading system. Angles were also
assessed by SD-OCT with Trabecular Iris Angle (TIA) and Angle Opening Distance
(AOD). Chi-square test, sensitivity, specificity, positive and negative
predictive value to find correlation between OCT parameters and gonioscopy
grading. RESULTS: Females represented 72.7%. The mean age was 53.93 +/-8.24 years
and mean anterior chamber depth was 2.47 +/- 0.152 mm. Shaffer grade <= 2 were
identified in 95(94%) superior, 42(41.5%) inferior, 65(64.3%) nasal and 57(56.4%)
temporal quadrants. Cut-off values of TIA <= 22 degrees and AOD <= 290 MUm were
taken as narrow angles on SD-OCT. TIA of <= 22 degrees were found in 88(92.6%)
nasal and 87(87%) temporal angles. AOD of <= 290 MUm was found in 73(76.8%) nasal
and 83(83%) temporal quadrants. Sensitivity in detecting narrow angles was 90.7%
and 82.2% for TIA and AOD, while specificity was 11.7% and 23.4%, respectively.
CONCLUSION: Individuals were found to have narrow angles more with SD-OCT.
Sensitivity was high and specificity was low in detecting narrow angles compared
to gonioscopy, making it an unreliable tool for screening.
PMID- 27190852
TI - Concurrent Infections of Conidiobolus Coronatus with Disseminated Tuberculosis
Presenting as Bilateral Orbital Cellulitis.
AB - Zygomycetes species contains two orders of organisms that infect humans, namely
Mucorales and Entomophthorales. Entomophthorales cause chronic infection in
immunocompetent patients, invading subcutaneous tissues but are non
angioinvasive. This includes Basidiobolus ranarum, Conidiobolus incongruus and
Conidiobolus coronatus. We report a case of disseminated tuberculosis with
Conidiobolus coronatus infection presenting as orbital cellulitis in an
adolescent.
PMID- 27190853
TI - Sebaceous Gland Carcinoma of Eyelid- A Tarnished Masquerade.
AB - Malignant tumours of the face are usually seen in the periocular region, mainly
on eyelids most commonly in the inferior eyelid, medial canthus and superior
eyelid, respectively. The prime treatment for eyelid malignant tumours is the
total excision of the lesion with frozen section control or by Mohs Micrographic
surgery. The postoperative defects should be reconstructed judicially taking care
that the near normal anatomical and functional recovery is achieved. The Cutler
Beard flap procedure, a good alternative in the reconstruction of superior near
total eyelid defects resulted in good restoration of anatomy and function in our
patient. We report a case of an eyelid growth persisting for 2 year duration
mimicking a chalazion. Clinically the eyelid mass had malignant features and
hence was planned for wide excision with frozen section of margins. After
confirming the tumour free margins, we performed reconstruction of the eyelid
defect with Cutler Beard flap procedure. She was followed up for one year which
showed no local recurrence or regional/distant metastases.
PMID- 27190854
TI - Adult Rhabdomyosarcoma of Ethmoid Sinus Recurring as an Orbital Mass.
AB - Alveolar rhabdomyosarcoma (RMS) is a primitive, malignant, round cell neoplasm
derived from mesenchymal tissue that exhibits partial skeletal muscle
differentiation. We describe a rare case of alveolar RMS of ethmoid sinus,
recurring as an orbital mass. A 23-year-old man with the chief complaint of
anosmia and mild proptosis was diagnosed with RMS of the left ethmoid sinus and
orbit following an endoscopic biopsy of the mass. He was treated with
chemotherapy and radiotherapy. At 12 months after diagnosis, while still on
maintenance chemotherapy, he presented to our eye hospital with a large medial
canthal mass and lateral globe displacement. Orbital computed tomography revealed
an extraconal mass in the medial orbit of the left eye, extending posteriorly and
compressing the medial rectus muscle. Notably, the ethmoid sinus was clear.
Incisional biopsy was performed and the recurrence of alveolar RMS was confirmed.
Alveolar RMS of the ethmoid sinus may recur as an orbital mass, even if the sinus
where it originated is clear at the time of recurrence.
PMID- 27190855
TI - A Rare Presentation of Two Cases of Metallic Intrascleral Foreign Body Entry
through Upper Eyelid.
AB - Ocular injury secondary to foreign body remains an important cause of ocular
morbidity with or without blindness in working population. Intraocular foreign
body may have varied clinical presentation. Initially it may look an apparently
normal eye followed by obvious ocular symptoms depending upon its location and
degree of inflammation. It can result in partial or full thickness penetration of
sclera with or without involvement of posterior segment. We hereby present two
cases of metallic intrascleral foreign body entry through upper lid in young
carpenters following hammer and chisel injury. In case 1, Intrascleral location
of foreign body was confirmed with X ray orbit and B scan ultrasonography while
in case 2 the diagnosis of intrascleral foreign body was missed at the first
visit to ophthalmology clinic Both the patients underwent exploratory surgeries
where intrascleral metallic foreign bodies were found without ocular penetration.
An intrascleral foreign body may be missed due to small penetrating scleral wound
covered by a large subconjunctival haemorrhage accompanied by minimal or no signs
of inflammation and failure on part of treating ophthalmologist to suspect an
intrascleral foreign body. To establish a diagnosis of intraocular particularly
intrascleral foreign body, careful history taking and clinical examination along
with use of imaging studies are mandatory steps which help in successful
management and good visual outcome. These cases highlight the importance of
considering a presumptive diagnosis of retained intrascleral foreign body in
every patient with a history of penetrating ocular trauma through lid or a
visible wound/scar on the lid.
PMID- 27190856
TI - Christmas Tree Cataract - A Cataract that Glitters.
PMID- 27190857
TI - Evaluation of the Symptom of Constipation in Indian Patients.
AB - INTRODUCTION: The exact prevalence of constipation in India is unknown. To know
this, first it has to be properly defined based on stool frequency and form (as
in western definition) in Indian patients, data on which is scarce. There may be
difference with the western definition also. AIM: To determine the stool
frequency and form in patients consulting doctor for the complaint of
constipation and compare these with the Western definition of constipation.
MATERIALS AND METHODS: This was a prospective cross-sectional study on 331
consecutive patients seeking medical advice for their complaint of constipation.
They were administered a questionnaire containing Rome III criteria points of
functional constipation and constipation predominant irritable bowel syndrome and
the Bristol stool chart to report their predominant stool form. Organic bowel
diseases were excluded by further history taking, physical examination and
appropriate investigations. The data on stool frequency and form thus obtained
were compared with the existing Indian population data. RESULTS: A total of 65%
patients were above 60 years of age. The predominant stool types were 1-3
according to Bristol stool form scale present in 93.8% patients and conformed to
Asian criteria of constipation by stool form. Only 67.9% patients passed Bristol
Stool Scale (BSS) type 1 and 2 stool which is the western definition. 51.5%
reported a frequency of 3-4 motions/week, 19.8% had normal stool frequency by
Indian standard (i.e. at least 1 motion/day) and only 35.4% had constipation by
Western criteria (less than 3 motions/week). Hence subjective feeling varied
widely from observed rate and Western definition was invalid in about twothird of
patients. Feeling of incomplete evacuation was universal and this was referred to
as constipation by patients. Functional constipation was diagnosed in 69.1% (of
whom most were elderly with co-morbidities) and constipation predominant
irritable bowel syndrome in 13.8% by Indian standard. Only 2.1% had colonic
cancer. CONCLUSION: A stool frequency of <5 motions/week appears more appropriate
in Indian definition of constipation where the subjective feeling of incomplete
evacuation should also be given due weightage. Asian criteria based on stool form
holds true in India.
PMID- 27190858
TI - Basic Risk Factors Awareness in Non-Communicable Diseases (BRAND) Study Among
People Visiting Tertiary Care Centre in Mysuru, Karnataka.
AB - INTRODUCTION: Non Communicable Diseases (NCDs) are the major causes of mortality
and morbidity globally. Awareness about NCDs and their risk factors has an
important role in prevention and management strategies of these NCDs. AIM: 1) To
assess the awareness of risk factors contributing to NCDs among the patients
visiting tertiary care hospital in Mysuru district; 2) To compare the difference
in awareness of risk factors for NCDs among the urban and rural patients with/
without NCD visiting the tertiary care hospital. MATERIALS AND METHODS: A cross-
sectional study was conducted in a tertiary care centre- JSS Hospital, Mysuru,
Karnataka from March 2013 - August 2013. The patients visiting Medicine OPD
during the period were the study subjects. The subjects were allocated into 4
groups: Urban without any NCD, Urban with atleast one NCD, rural without NCD,
rural with atleast one NCD. A pretested questionnaire regarding awareness of risk
factors for NCDs was used in the study and frequency and proportions were used to
analyse the data. RESULTS: A total of 400 subjects, 100 subjects in each group
were included in the study. Out of these subjects about 65% of the urban group
and 42% of the rural group subjects were aware of the NCDs and their risk
factors. Least awareness was observed among the rural subjects without any NCDs
(35%). CONCLUSION: The awareness of risk factors of NCDs and knowledge regarding
prevention of NCDs was not satisfactory. The results highlighted the need and
scope for health education and interventions to improve the awareness about NCDs
and their risk factors.
PMID- 27190859
TI - Level of Satisfaction Among People Living with HIV (PLHIV) Attending the HIV
Clinic of Tertiary Care Center in Southern India.
AB - INTRODUCTION: Patient satisfaction is an important issue for the health care
sector. Hospitals routinely collect patient satisfaction data so that they can
improve the quality of their services. There is a dearth of research in the field
of satisfaction among people living with HIV (PLHIV) in India. AIM: The aim of
our study was to determine the level of satisfaction among PLHIV attending the
HIV clinic of tertiary health centre in Southern India. MATERIALS AND METHODS:
This descriptive cross-sectional study was done in the HIV clinic attached to
Kasturba Medical College (KMC) Hospital, Mangalore, India from August 2012 -
August 2013. PLHIV of age more than 18 years were included. During the study
period 422 consecutive patients who consented for the study were enrolled. To
determine patient satisfaction towards healthcare service, we used the Short Form
Patient Satisfaction Questionnaire (PSQ-18). Data was analysed using SPSS Version
11.5 statistical software. RESULTS: A total of 422 patients were included in the
study out of whom 253(60%) were males and 169(40%) were females. Mean age of the
patients was 37.08+/-7.2 years. The median CD4 count was 345 cells/mm(3) (IQR 245
451.2). The mean score for general satisfaction was 4.43+/-0.48, for technical
quality 4.77+/-0.26, for interpersonal manner 4.59+/-0.4, for communication
4.64+/-0.42, for financial aspects 3.20+/-0.78), for accessibility and
convenience 4.50+/-0.72 and for time spent with the doctor was 4.59+/-0.45.
Subscale scores for general satisfaction, technical quality, accessibility,
interpersonal manner, finance and communication were higher in females when
compared to males which were found to be statistically significant. Younger PLHIV
(<= 35 years) had significantly higher scores in technical quality, interpersonal
manner and time spent with the doctor when compared to older PLHIV. CONCLUSION:
Patient satisfaction was highest for technical quality and it was lowest for
financial aspects. If hospitals wish to improve the quality of health services
they should give priority to decreasing costs and improving accessibility.
PMID- 27190860
TI - The Study of Gonadal Hormonal Abnormalities and Sexual Dysfunction in HIV
Positive Females: An Exploratory Study.
AB - INTRODUCTION: Every endocrine gland has been reported to be affected at varying
rates in HIV. HIV is a highly stigmatized chronic disease with a substantial co
occurrence of mental and sexual health problems; however the sexual health
problems in women have not been extensively studied. AIM: To study the gonadal
hormonal abnormalities and sexual dysfunction in HIV positive female patients and
its possible association. MATERIALS AND METHODS: This descriptive/exploratory
study was conducted in the Department of General Medicine at a tertiary care
hospital from September 2013 to August 2015. The study group included 50
diagnosed HIV-positive patients. They were also subjected to specific questions
regarding sexual dysfunction by female counselors using female sexual function
index. Visits of the subjects were scheduled independent of the menstrual cycle.
Hormonal levels (free testosterone, FSH, LH) were measured. RESULTS: Out of 50
patients, 26 patients in our study had sexual dysfunction (52%). Patients with
age group between 30-39 years had the maximum sexual dysfunction compared to the
other groups (<0.001). Patients with a CD4 count between 200 and 499 had the
maximum sexual dysfunction (<0.02). Mean duration of HIV in the study was 30
months in sexual dysfunction group which was significant (p<0.005). Hormonal
levels were found to be in normal range. All the study patients reported desire,
arousal and lubrication problems whereas orgasm and satisfaction problems were
noted in 60% patients with pain reported in 52%. CONCLUSION: We identified that
although the hormonal levels were in the normal range, they were comparatively in
the lower range in the dysfunction group than the non-dysfunctional group. Both
free testosterone and FSH levels were low indicating involvement of the pituitary
rather than the gonads. We also conclude that duration of HIV and also level of
CD4 count is related to sexual dysfunction.
PMID- 27190861
TI - Complications in Advanced Diabetics in a Tertiary Care Centre: A Retrospective
Registry-Based Study.
AB - INTRODUCTION: Diabetes is a major public health problem in our country and
complications of diabetes are a major cause of morbidity and mortality. There is
a need to quantify the complications in order to improve our strategies for
prevention and management. AIM: To measure the prevalence of complications in
type 2 diabetics following up at a tertiary care centre and to study its
association with the socio-demographic and clinical parameters. MATERIALS AND
METHODS: A retrospective record based study was conducted on 3261 type 2 diabetic
patients on insulin therapy, recorded in the diabetic registry maintained at Goa
Medical College from Aug 2009 to May 2012. Data on anthropometric measurements,
demographic characteristics, complications and other details were extracted from
these records. RESULTS: Out of the 3261 patients 1025 (31.4%) had macrovascular
complications and 1122 (34.4%) had at least one microvascular complication. The
prevalence of peripheral vascular disease, coronary artery disease and stroke
were 6.7%, 21.3% and 6.6% respectively and were significantly higher in males.
The prevalence of diabetic retinopathy, nephropathy and neuropathy were 16.7%,
16.5% and 16.3% respectively with diabetic nephropathy being significantly higher
in males. Trend analysis showed significant association of rising prevalence of
all complications with age (p<0.05). Duration of diabetes also showed
significantly positive trend for all complications (p<0.05) except stroke.
CONCLUSION: The study presents the prevalence of diabetic complications in
patients reporting to a tertiary hospital in Goa. Coronary artery disease was
found to be the most common complication. As age and duration of diabetes were
found to be significantly associated, efforts should be made towards promoting
earlier diagnosis of diabetes so as to improve management and decrease the
chances of complications.
PMID- 27190863
TI - Utility of Cell-Block of Bronchial Washings in Diagnosis of Lung Cancer- A
Comparative Analysis with Conventional Smear Cytology.
AB - INTRODUCTION: Bronchoscopy is a safe & effective means of diagnosing bronchogenic
carcinoma with a varying diagnostic yield of different bronchoscopic procedures.
Cell-Block (CB) preparation of cytology specimen has been shown to increase the
diagnostic yield further. To the authors' knowledge, the diagnostic value of CB
as an adjunct to conventional smear cytology (CS) of bronchial washing specimens
in the detection of bronchogenic carcinoma has not been well evaluated. AIM: The
present study was aimed to evaluate the diagnostic utility of CB of bronchial
washings when compared with CS. MATERIALS AND METHODS: A total of 104 patients of
suspected bronchogenic carcinoma were subjected to bronchoscopy as per British
Thoracic Society (BTS) protocol. Bronchial biopsy, brushings and washings were
collected. Smears were prepared immediately of bronchial washings and another
aliquot was subjected to CB preparation and further processing by paraffin
embedding and H&E staining. RESULTS: Out of 104 patients, 92 were diagnosed by
bronchoscopy with a cumulative diagnostic yield of all sampling techniques being
88.46%. Yield of CB of bronchial washings (44.23%) was higher than Bronchial
washings - conventional smears (36.53%). CB detected additional 8 cases of
malignancy where corresponding bronchial washings-conventional smears were
negative. Exclusive diagnosis by CB was obtained in 2 cases. Brushings and biopsy
confirmed malignancy in 49.03% and 57.69% cases. CONCLUSION: CB of bronchial
washings had a higher yield as compared to corresponding conventional smears.
Increase in yield was also noted when CB of bronchial washings was combined with
biopsy and compared to bronchial washings- conventional smears combined with
biopsy. In limited resource settings, CB preparation is a simple method that
increases diagnostic yield of flexible bronchoscopy, is cost effective & hence
can be routinely used. The immunohistochemical and molecular studies are possible
with CB only, which is a distinct advantage over conventional smears of bronchial
washings.
PMID- 27190862
TI - Ascitic Fluid High Sensitive C-Reactive Protein (hs-CRP). A Prognostic Marker in
Cirrhosis with Spontaneous Bacterial Peritonitis.
AB - INTRODUCTION: C-Reactive Protein (CRP) is an acute phase reactant. Its level
increases in the presence of acute or chronic inflammation and infections. High
sensitive CRP (hs-CRP) is more sensitive than CRP as an inflammatory marker. High
sensitive CRP has been known to be elevated in chronic liver diseases and
Spontaneous Bacterial Peritonitis (SBP). AIM: The aim of the study was to
establish the role of ascitic fluid high sensitive C-reactive protein (hs -CRP)
as a prognostic indicator in patients with SBP. MATERIALS AND METHODS: A total of
100 patients with decompensated cirrhosis admitted in medicine ward and ICU were
included, of which 50 patients of acute bacterial peritonitis were used as study
group and 50 patients of sterile ascites were used as control group. Hs-CRP level
of cases and controls were estimated. SBP cases were treated with its standard
recommended antibiotic therapy and hs-CRP level was again estimated after 5 days
of antibiotic therapy or at the time of discharge. RESULTS: The mean level of hs
CRP before antibiotic therapy of the patients with SBP was significantly higher
than that of the patients without spontaneous bacterial peritonitis (t98=17.72;
p=0.0001). The mean level of hs-CRP at 5(th) day or discharge after initiation of
antibiotic therapy was significantly lower than that of level of hs-CRP before
initiation of antibiotic therapy (p<0.05). The mean hs-CRP of the cases with poor
outcome (death and prolonged hospital stay) was significantly higher than others.
CONCLUSION: Ascitic fluid hs-CRP level can be considered as a surrogate
prognostic marker in cases of Cirrhosis with SBP.
PMID- 27190864
TI - Budd- Chiari Syndrome as an Initial Manifestation of Systemic Lupus
Erythematosus.
AB - Budd- Chiari syndrome is caused by obstruction of hepatic venous outflow. There
are numerous causes for Budd-Chiari syndrome. One of the causes is systemic lupus
erythematosus due to antiphospholipid antibodies. Only few cases have reported
Budd-Chiari syndrome as an initial manifestation of systemic lupus erythematosus
(SLE). This is a case report of Budd-Chiari syndrome due to SLE.
PMID- 27190865
TI - A Case of Pleuroparenchymal Metastasis: Rare Aetiology.
AB - A phyllodes tumour is a malignancy of both mesenchymal and epithelial origin
affecting the breast. The malignant course of this breast tumour causing lung
metastasis is rare. Here we report a treated case of borderline phyllodes tumour
that presented with pleuroparenchymal metastasis. Our case highlights the
possibility of recurrence of borderline phyllodes tumour as pleuroparenchymal
metastasis even after a long disease free interval.
PMID- 27190866
TI - Idiopathic Pulmonary Fibrosis and Myasthenia Gravis: An Unusual Association.
AB - Idiopathic Pulmonary Fibrosis (IPF) is a chronic fibrosing lung condition with
high morbidity and mortality, accounting for about 25% of the cases of
interstitial lung diseases. It usually has a progressive course resulting in
death due to respiratory failure. Myasthenia Gravis (MG) is an autoimmune
neuromuscular disease, caused by antibody mediated activity against acetylcholine
receptor at the neuromuscular junction. It is characterized by fluctuating muscle
weakness and fatigue. Extensive literature search did not reveal any case report
of an association between these two conditions. Here we present a case of a
patient with IPF who also developed MG. The diagnosis of IPF was based on High
Resolution Computed Tomography (HRCT) of the lung and that of MG was based on
clinical criteria and electrophysiological testing. The case was successfully
managed.
PMID- 27190867
TI - Isolated Right Ventricular Infarction Mimicking Anterior ST-Segment Elevation.
AB - Acute coronary syndromes in patients with presence of ST-segment elevation in the
anterior precordial leads indicates left anterior descending coronary artery
occlusion. However, anterior ST-segment elevation has also been described in
right ventricular myocardial infarction and is thought to be due to right
coronary artery (RCA) occlusion. We present a rare case of isolated RVMI
presenting with anterior ST-segment elevation due to proximal occlusion of a
right coronary artery that was treated by primary coronary angioplasty. Primary
coronary angioplasty and stenting of this artery was performed resulting in
resolution of the chest pain and ST- segment elevation.
PMID- 27190868
TI - Intravenous Immunoglobulin Responsive Persistent Thrombocytopenia after Dengue
Haemorrhagic Fever.
AB - Dengue outbreak is common in Indian subcontinent and causes significant morbidity
and mortality. Year 2015 has witnessed yet another Dengue epidemic in northern
India and the number of cases this year is maximum in a decade. Dengue infection
is a viral disease and there are 4 different serotypes DENV1, DENV2, DENV3 and
DENV4. This year DENV2 and DENV4 have been isolated from most of the patients.
Thrombocytopenia is hallmark of dengue infection and generally recovers within
ten days of onset of symptoms. We report a case of dengue haemorrhagic fever in
which thrombocytopenia persisted for almost a month and improved after
Intravenous immunoglobulin (IVIG) administration. This is the first case where
IVIG has been successfully used for treating persisting thrombocytopenia after
dengue infection.
PMID- 27190869
TI - Combined Arterial and Venous Thrombosis in Ulcerative Colitis- A Rare Vascular
Manifestation.
AB - Combined arterial and venous thrombosis in patients with ulcerative colitis is a
rare extra vascular manifestation, which motivated the current report. Increased
coagulability is a recognised feature of ulcerative colitis with frequency
increasing during flares. We report the case of a 42-year-old lady who was a
diagnosed case of ulcerative colitis, currently in remission. She presented with
swelling followed by discolouration of left lower limb which later was diagnosed
as deep venous thrombosis combined with femoral and popliteal artery thrombosis.
This led to wet gangrene of the limb, sepsis, septic shock and death despite
aggressive management with heparin infusion, ionotropes, and parenteral
antibiotics therapy.
PMID- 27190870
TI - Biphasic Effect of Rifampicin on Bilirubin- A Case Report.
AB - Drug induced hepatitis is a major problem which a physician encounters in his
clinical practice. In view of increasing incidence of tuberculosis in our country
a large number of infected individuals are started on Antituberculous (ATT) drugs
and rifampicin is invariably part of the regimen. One of the major adverse
effects of ATT drugs is drug- induced hepatitis which is characterized by
elevation of liver enzymes and bilirubin. Hepatotoxicity is usually idiosyncratic
or dose-dependent. Rifampicin causes transient elevation of transaminases in 10
20 percent of individuals and this does not warrant dose adjustments of the drug.
Rarely rifampicin can lead to severe hepatitis with hyperbilirubinaemia and
marked elevations of SGOT and SGPT and in some patients this can be fatal. The
exact mechanism of Rifampicin induced hepatotoxicity is not known but it is
postulated to be due to idiosyncratic reaction to rifampicin metabolites which
may be directly toxic or induce an immunologically mediated liver injury. Rarely
rifampicin may cause hyperbilirubinaemia without enzyme elevation. Here we report
a patient with bilateral pulmonary tuberculosis who developed transient severe
indirect hyperbilirubinaemia on rifampicin. On review of relevant literature we
find that rifampicin can have a biphasic effect on bilirubin, an initial increase
in indirect bilirubin and later normalization of bilirubin. We have reported this
case because of its rarity in clinical practice.
PMID- 27190871
TI - Scrub Typhus Presenting with Bilateral Lateral Rectus Palsy in A Female.
AB - Scrub typhus, a rickettsial disease is endemic in several parts of India usually
presenting with acute symptoms. Fever, maculopapular rash, eschar, history of
tick exposure and supportive diagnostic tests usually leads to diagnosis. Scrub
typhus should be included in the differential diagnosis in occasions when a
patient presents with fever with or without eschar and isolated cranial nerve
palsy. Here we are reporting a case of Scrub typhus who presented with fever and
altered sensorium of short duration, eschar formation and bilateral lateral
rectus palsy. Patient was treated with doxycycline with complete reversal of
neurodeficit.
PMID- 27190872
TI - An Unusual Case of HCV Negative Cryoglobulinemia Presenting as Symmetrical
Peripheral Gangrene.
AB - Cryoglobulins are monoclonal or polyclonal immunoglobulins that undergo
reversible precipitation at low temperatures. Cryoglobulinemia is associated with
HCV infection in more than 90% cases, the remaining 10% being called as Essential
Cryoglobulinemia which is generally associated with a severe course and
suboptimal response to conventional therapies. As the digital vessels are more
prone to colder temperatures, hyperviscosity in those vessels can initiate local
thrombosis and may manifest as ischemic ulceration and gangrene. We report here a
very unusual case of HCV negative cryoglobulinemic vasculitis presenting as
symmetrical peripheral gangrene of fingers and toes.
PMID- 27190873
TI - Delayed Diagnosis of Graves' Thyrotoxicoisis Presenting as Recurrent Adrenal
Crisis in Primary Adrenal Insufficiency.
AB - Adrenal crisis is a potential life threatening complication. The common causes of
adrenal crisis are infections, surgical stress and abrupt cessation of steroid
medications. Endocrine causes like Graves' disease with thyrotoxicosis is one of
the less common causes of an adrenal crisis. We report a 42-year-old female who
presented with recurrent episodes of adrenal crisis due to delayed diagnosis of
thyrotoxicosis. She was initially treated with Carbimazole followed by Radio
iodine ablation and currently she is euthyroid. Her adrenal insufficiency was
initially treated with hydrocortisone during the time of adrenal crisis followed
by Prednisolone 5 mg once daily in the morning along with fludrocortisone 50 mcg
once daily. This case highlights the need for high index of suspicion and less
common causes like thyrotoxicosis should be ruled out in patients with adrenal
crisis.
PMID- 27190874
TI - Correspondence: Ebola Virus Disease in ASEAN Countries.
PMID- 27190875
TI - Red Eye and Red Face Following Defibrilation.
PMID- 27190876
TI - Effect of Gender on the Total Abdominal Fat, Intra-Abdominal Adipose Tissue and
Abdominal Sub-Cutaneous Adipose Tissue among Indian Hypertensive Patients.
AB - INTRODUCTION: Abdominal obesity is a better marker of adverse metabolic profile
than generalized obesity in hypertensive subjects. Further, gender has effect on
adiposity and its distribution. AIM: Effect of gender on obesity and the
distribution of fat in different sub-compartments of abdomen among Indian
hypertensive subjects. MATERIALS AND METHODS: This observational study included
278 adult subjects (Males-149 & Females-129) with essential hypertension from a
tertiary care centre in north India over one year. A detailed history taking and
physical examination including anthropometry were performed in all patients.
Total Abdominal Fat (TAF) and abdominal adipose tissue sub-compartments like
Intra-Abdominal Adipose Tissue (IAAT) and Sub-Cutaneous Adipose Tissue (SCAT)
were measured using the predictive equations developed for Asian Indians.
RESULTS: Female hypertensive subjects had higher Body Mass Index (BMI) with more
overweight (BMI >= 23kg/m(2)), and obesity (BMI>= 25 kg/m(2)). Additionally, they
had higher prevalence of central obesity based on both Waist Circumference (WC)
criteria (WC>= 90 cm in males and WC>= 80 cm in females) and TAF criteria
{>=245.6 cm(2) (males) and >=203.46 cm(2) (females)} than male patients. But
there was no difference in the prevalence of central obesity based on Waist Hip
Ratio (WHR) criteria (WHR >=0.90 in males and WHR >= 0.85 in females) between two
genders. High TAF & IAAT were present in more females although there was no
difference in the distribution of high SCAT between two genders. CONCLUSION:
Female hypertensive subjects were more obese with higher abnormal TAF & IAAT
compared to male patients. However, there was no difference in the distribution
of high SCAT among them.
PMID- 27190878
TI - Strangulated Groin Hernia Repair: A New Approach for All.
AB - INTRODUCTION: The available classical approaches for Groin hernia are multiple.
The change of approach with change of incision is needed with these approaches
when the bowel is gangrenous. AIM: To evaluate the efficacy and safety of a new
approach for all strangulated groin hernias (inguinal, femoral and obturator), in
terms of change of approach/complications. MATERIALS AND METHODS: It was
conducted in surgical unit-2 of MGM Hospital, Kakatiya Medical College Warangal,
Telangana State, India, from Nov 2000 to Oct 2010. Total 52 patients operated
with classical approach were compared with 52 patients operated present new
approach. All the cases (52+52) were with gangrenous bowel which required
resection and end to end anastomosis of bowel. All the cases (52+52) were managed
with mesh repair and the results were analysed. RESULTS: In classical approach:
Three cases required laparotomy (5.7%). Twelve cases required change of approach
with change of incision (23%). Eight cases developed wound infection after mesh
repair (15%). Four cases required removal of mesh (7.6%). Two Cases developed
recurrence (3.8%). In present new approach: No laparotomy (0%), no change of
incision (0%), no removal of mesh (0%) and no recurrence(0%). Only 2 cases (3.8%)
developed wound infection at lateral part of incision ie. p<0.05. CONCLUSION:
This new approach for all - gives a best approach for strangulated groin hernias
as it is easy to follow. It obviates the change of incision and need for a
laparotomy. It further retains normal anatomy, prevents contamination of the
inguinal canal and permits a mesh repair leading to decreasing the chances of
recurrence.
PMID- 27190877
TI - Validation of Selection Criteria for Active Surveillance in Prostate Cancer.
AB - INTRODUCTION: Considerable Proportion of Prostate Cancer (PCa) patients suitable
for Active Surveillance (AS) harbour aggressive disease at surgical
histopathology. Identification of truly indolent prostate cancer at diagnosis is
difficult. AIM: Of this study was to evaluate the accuracy of current AS
protocols in identifying low risk PCa by comparing the histopathology at biopsy
and surgery. MATERIALS AND METHODS: A retrospective study was performed on all
patients who underwent Radical Prostatectomy (RP) between 2008 and 2012. We
identified patients who fulfilled inclusion criteria of five different
established AS protocols. Histopathology at biopsy was compared with final
surgical histopathology to identify upgrading or upstaging of disease. The
biochemical recurrence rate in the cohort was also determined. RESULTS: A total
of 59 patients (24%) met criteria of at least one protocol. Sixteen patients
(28%) were eligible for AS based on all studied criteria. Overall 24 patients
(40.6%) were upgraded in their final histopathology while 12 patients (20%)
upstaged from their original TRUS biopsy. Two patients (3%) had PSA failure, both
had salvage radiotherapy. CONCLUSION: There is considerable discrepency in
current AS selection criteria which makes it necessary to introduce novel markers
to identify indolent disease as a part of AS protocol for PCa.
PMID- 27190879
TI - Comparison of the Effects of Varicocelectomy and Oral L-carnitine on Sperm
Parameters in Infertile Men with Varicocele.
AB - BACKGROUND: Varicocele is defined as dilated and twisted veins of the pampiniform
plexus in the spermatic cord. It is the most common cause of male infertility.
There are various medical and surgical procedures for the treatment of this
disease. AIM: This study was aimed to compare the effects of oral administration
of L-Carnitine and varicocelectomy on spermogram parameters. MATERIALS AND
METHODS: This study was conducted as a double blind clinical trial without
randomization. Inclusion criteria were, all married infertile men with
varicocele. Patients chose their treatment personally and spermogram was carried
out for all patients before and after the third and sixth months of treatment.
Then, the sperm parameters of the two groups were compared using repeated
measures ANOVA. RESULTS: In our study, trend of sperm count in the surgery group
changed from 22 to 28.61 million (vs 34.6 to 45.37 in L-Carnitine group),
motility changed from 21.74 to 35.38 percent (vs 33.9 to 47.48 in L-Carnitine
group), normal sperm morphology changed from 46.25 to 60 percent (vs 56.61 to
69.7 in L-Carnitine group) and volume of semen changed from 3.5 to 4.17 cc (vs
2.95 to 4.33 in L-Carnitine group). These values were not statistically different
between the two groups. CONCLUSION: Based on the results of this study, we can
say that medicinal treatment by administration of oral L-Carnitine is as
effective as varicocelectomy in improving semen parameters and can be used as an
alternative to surgery for varicocele grade II.
PMID- 27190880
TI - Association between Hyperglycaemia with Neurological Outcomes Following Severe
Head Trauma.
AB - INTRODUCTION: Head Trauma (HT) is a major cause of death, disability and
important public health problem. HT is also the main cause of hyperglycaemia that
can increase mortality. AIM: The aim of this study was to assess the correlation
between hyperglycaemia with neurological outcomes following severe Traumatic
Brain Injury (TBI). MATERIALS AND METHODS: This is a descriptive and correlation
study that was carried out at the Imam Khomeini Hospital affiliated with Ilam
University of Medical Sciences, Ilam, IR, during March 2014-March 2015 on
patients with severe TBI. Data were collected from the patient records on
mortality, Intensive Care Unit (ICU) length of stay, hospital length of stay,
admission GCS score, Injury Severity Score (ISS), mechanical ventilation,
Ventilation Associated Pneumonia (VAP) and Acute Respiratory Distress Syndrome
(ARDS). Random Blood Sugar (RBS) level on admission was recorded. Patients with
diabetes mellitus (to minimize the overlap between acute stress hyperglycaemia
and diabetic hyperglycaemia) were excluded. RESULTS: About 34(40%) of patients
were admitted with hyperglycaemia (RBS >= 200 mg/dl) over the study period. The
mortality rate, length of ICU stay, hospital stay, ISS and VAP & ARDS in patients
with RBS levels >= 200 mg was significantly higher than patients with RBS levels
below <= 200mg (p<0.05, p<0.001). A significant correlation was found between RBS
with GCS arrival, length of ICU stay, length of hospital stay, ISS, mechanical
ventilation and VAP & ARDS (p<0.05, p< 0.001). RBS is a predicate factor for ISS
(p <0.05, OR : 1.36), GCS (p <0.001, OR : 1.69), mechanical ventilation (p< 0.05,
OR : 1.27), VAP & ARDS (p <0.001, OR : 1.68), length of ICU stay (p <0.001, OR :
1.87) and length of hospital stay (p <0.05, OR : 1.24). CONCLUSION:
Hyperglycaemia after severe TBI (RBS >= 200) is associated with poor outcome. It
can be a predictive factor for mortality rate, ICU stay, GCS arrival, VAP & RDS,
hospital stay and ISS. Management of hyperglycaemia with insulin protocol in
cases with value >200mg/dl, is critical in improving the outcome of patients with
TBI.
PMID- 27190881
TI - Sinister Splenic Artery Pseudoaneursym: A Rare Case of Unidentified Aetiology.
AB - Splenic Artery Pseudoaneurysms (SAP) are very rare. Giant SAPs are those which
are more than 5 cm in diameter and are rarer. SAPs are usually caused by
pancreatitis, trauma, surgery or other iatrogenic interventions, vasculitis,
local infective or inflammatory processes. We report the successful surgical
management of a giant SAP of unidentified aetiology. This case report highlights
the significance that this entity may present atypically and hence, early
recognition and aggressive management may be life saving.
PMID- 27190882
TI - Delayed Diagnosis of Left-Sided Diaphragmatic Hernia in an Elderly Adult with no
History of Trauma.
AB - Diaphragmatic Hernia (DH) is the herniation of abdominal contents into the thorax
through a rent in the diaphragm. Acquired DH most commonly occurs following a
blunt or penetrating trauma to the abdomen with former being common than the
later. Very rarely DH can be spontaneous and be asymptomatic until it's very
extensive. A 78-year-old presented with breathlessness and chest pain of one
month duration. There was dull note to percussion and absent breath sounds in
left lower zone. Auscultation revealed bowel sounds in left infra-axillary and
mammary area. Electrocardiogram and laboratory data suggested acute myocardial
infarction. Coronary angiogram showed a triple vessel disease. Roentgenogram was
simulating pneumonic consolidation but presence of air shadows was the thing
against pneumonic consolidation. CT imaging of the thorax revealed an extensive
left diaphragmatic hernia with viscera and left kidney as its contents. He was
initially taken up for CABG and surgery for diaphragmatic hernia was planned at a
later date. This case is important for its extensive nature and for its rarity as
acquired DH rarely occurs spontaneously. Chest roentgenogram must be read
cautiously in all such cases to look for this entity. Auscultation for bowel
sounds in the thorax is a diagnostic clue.
PMID- 27190883
TI - Acute Urinary Retention due to Primary Pelvic Hydatid Cyst: A Rare Case Report
and Literature Review.
AB - Causes of urinary retention in old men include benign prostatic hyperplasia,
prostatitis, prostate cancer, Scarring of the urethra or bladder neck as a result
of injury or surgery, use of certain medicines particularly NSAIDs and opioid
analgesics, constipation and neurogenic bladder. When the above common causes are
not quite obvious by clinical examination and relevant investigations, then it is
necessary to think of other rare diseases. It is with the above in our mind that
a case of bladder outflow obstruction due to a large primary retrovesical hydatid
cyst is herein reported in a 58-year-old man. Ultrasonography (USG) and Contrast
Enhanced Computed Tomography (CECT) scan of the abdomen and pelvis of the patient
revealed a large, multilocular, nonenhancing, cystic lesion in the rectovesical
pouch having typical cartwheel appearance without any other intraabdominal organ
involvement. These typical radiological characteristics led us to suspect the
presence of a hydatid cyst. He underwent exploratory laparotomy where
cystopericycstectomy was done. Pre-operative and post-operative albendazole
prophylaxis was also given. In conclusion, hydatid cyst should always be
considered in the differential diagnosis of pelvic cystic masses, specially in
endemic regions.
PMID- 27190885
TI - Ileo-Colic Burkitt Lymphoma in a Young Adult Female- A Case Report.
AB - Burkitt's lymphoma is an uncommon and aggressive type of Non-Hodgkin's lymphoma
and is one of the fastest growing cancer tumour in humans; growth fraction close
to 100%. We report a case of a young adult female presented with acute pain
abdomen with ileo-caecal mass. On clinical presentation, initial diagnosis was
acute appendicitis with lump formation. Ultrasound reported as diffuse
inflammatory changes and later CECT was done which reported as Gastro-Intestinal
Stromal Tumour (GIST). On laparotomy, a huge hard mass was found on ileo-caecal
region and right hemicolectomy was done. Diagnosis was confirmed as Non-Hodgkins
Burkitt's Lymphoma by HPE and immunohistochemistry testing. So, careful workup is
the key to initiate early treatment. This patient had complete remission after
the right hemicolectomy followed by multiagent chemotherapy.
PMID- 27190884
TI - Perforated Gastric Gangrene without Pneumothorax in an Adult Bochdalek Hernia due
to Volvulus.
AB - Bochdalek hernia is the most frequent congenital diaphragmatic hernia which
occurs due to a defect in the posterior attachment of the diaphragm when there is
a failure of closure of the pleuroperitoneal membrane in utero. It rarely
presents for the first time in adults. We report one such case of a 23-year-old
male patient who presented with an acute abdomen. Chest X-ray showed air under
diaphragm and he was taken up for an emergency laparotomy. Intraoperatively an
organoaxial volvulus of the stomach was found in a bochdaleks hernia with a focal
gangrene of the stomach fundus with perforation and peritonitis. However, there
was no breach of pleural cavity. A sleeve resection of the gangrenous portion of
the stomach was performed and the diaphragmatic defect was repaired. Patient made
an uneventful postoperative recovery. Gastric gangrene with perforation as a
manifestation of the adult bochdalek hernia is indeed rare. A concomitant
pneumothorax occurs along with this condition which requires an intercostal
drainage tube prior to the laparotomy. We report this case for its unique
presentation without pneumothorax.
PMID- 27190886
TI - Unusual Finding in the Inguinal Canal: Abdominal Tuberculosis Presenting as
Inguinal Hernia.
AB - Abnormal findings in the inguinal canal during Herniotomy are not very rare for a
paediatric surgeon. These abnormal findings may range from opposite gender sex
organ (e.g. uterus and fallopian tube during orchidopexy) to unexpected
malignancy (e.g. Rhabdomyosarcoma) to the abnormal embryological development
(Splenogonadal fusion). Though abdominal tuberculosis is common, abdominal
tuberculosis presenting as an inguinal hernia is exceedingly uncommon. We report
an unusual case of abdominal tuberculosis presenting as inguinal hernia.
PMID- 27190888
TI - Ainhum - A Rare Case Report.
AB - The term 'AINHUM' is derived from the African word meaning 'to saw or cut'. True
ainhum otherwise called dactylolysis spontanea is a condition involving soft
tissue or digits with constricting rings commonly presenting in fifth toes,
usually bilateral. It is to be differentiated from Pseudo-ainhum that occurs
secondary to some hereditary and nonhereditary diseases that lead to annular
constriction of digits. We report a rare case of true ainhum involving the left
fourth toe only. It is a very rare case and a very few were reported worldwide.
The highest incidence of ainhum has been reported in South Africa and South
America. It is rarely reported in India. Ainhum when diagnosed and treated in
early stages can be prevented from progressing to mutilating deformities.
PMID- 27190887
TI - Treatment of Resistant Cyclophosphamide Induced Haemorrhagic Cystitis: Review of
Literature and Three Case Reports.
AB - Haemorrhagic Cystitis (HC) is defined as diffuse inflammatory bladder bleeding
due to many aetiologies. Massive HC often arises from anticancer chemotherapy or
radiotherapy for the treatment of pelvic malignancies. Phosphamides are the anti
cancer drugs used for treating breast cancer, B-cell lymphoma, leukemia,
rheumatoid arthritis and systemic lupus erythaematosis by cross-linking strands
of DNA and preventing the cell division. They are also used in bone marrow
transplantation for prevention of Graft Versus Host Disease (GVHD). Hepatic
metabolism of phosphamide forms acrolein, and acrolein makes ulceration,
haemorrhage, edema and necrosis of the urothelium during its excretion by the
urine. Infectious causes of HC in immunocomprimesed patients are adenovirus, BK
polyoma-virus (BK), JC virus, and Cytomegalovirus (CMV). The present article
attempts to make a review of literature for the treatment of intractable HC and
report three cases with HC.
PMID- 27190889
TI - Successful Treatment of a Large Pelvic Abscess Using Intraluminal VAC: A Case
Report.
AB - The most feared complication of the surgical treatment of rectal cancer is
anastomotic leakage, which is related to high rates of mortality and morbidity.
Here, we present a patient who could not be treated with surgical drainage but
treated by intraluminal Vacuum Associated Closure (VAC). A 34-year-old male
patient was treated for rectal cancer by low anterior resection, colorectal
anastomosis, and diverting ileostomy following neoadjuvant CRT. The patient
reported with a postoperative anastomotic disruption and a large pelvic abscess.
Due to the continuation of foul-smell drainage inspite of perianal incision and
drainage, intraluminal VAC was applied and the pelvic abscess and the foul-smell
were successfully treated. The presence of an adequate anal sphincter tonus is a
disadvantage in anastomotic leakage, since it prevents the emptying of the
intestinal content and also precludes the drainage of the pelvic abscess. The
endoluminal application of VAC, similar to the results of application of VAC in
open wounds, has been demonstrated to decrease fibrin and necrotic tissue in the
pelvic cavity and increase granulation tissue. VAC, which has long been used in
the treatment of open wounds, is a promising method in the treatment of large
pelvic abscesses due to anastomotic leakage following rectum resection.
PMID- 27190891
TI - Bowel Herniation Through 5mm Port Site: An Unusual Complication.
AB - Trocar site herniation is a rare complication following laparoscopic surgery. We
report a case of small bowel herniation through 5mm port site following
laparoscopic myomectomy. A 36-year-old lady underwent laparoscopic myomectomy. On
the fourth postoperative day she developed features of intestinal obstruction.
Further evaluation with CT scan showed herniation of a loop of small bowel
through the 5mm port site in right iliac fossa. Surgical exploration of the port
site revealed a herniated loop of viable small bowel. The enlarged facial defect
was closed after reduction of the bowel. Patient recovered uneventfully. Bowel
herniation through 5mm port site is a rare complication which requires prompt
diagnosis and immediate treatment to avoid morbidity.
PMID- 27190890
TI - Anastomotic Leakage in a Patient with Acute Intestinal Obstruction Secondary to
Appendiceal and Ileal Endometriosis: A Case Report.
AB - Endometriosis is a commonly encountered problem in women of reproductive age. It
usually causes chronic abdominal pain. However, it rarely causes complications
such as intestinal obstruction. The most commonly performed procedure for these
patients is bowel resection and anastomosis. Unless it is complicated with
anastomotic leakage. We present a 39-year-old woman presented with intestinal
obstruction due to appendiceal and ileal endometriosis complicated with
anastomotic leakage after surgery.
PMID- 27190892
TI - An Unusual Lesser Sac Collection Causing Gastric Outlet Obstruction with
Coincidental Occurrence of Leriche's Syndrome: A Case Report.
AB - Gastric outlet obstruction in adults is usually caused by pyloric stenosis
secondary to peptic ulcer disease or malignancy. However, there are few other
causes such as a foreign body and external compression due to pseudocyst
pancreas. We present a rare aetiology of a large collection of pus in the lesser
sac in our patient causing gastric outlet obstruction. A perforated peptic ulcer
was suspected in our patient who had symptoms of sudden onset pain in epigastric
region which was referred to back. This was followed by pain in upper abdomen,
vomiting, constipation and fever for which patient was being managed
conservatively before being referred to us. The CECT didn't show any leakage of
contrast to the lesser sac making the possibility of healed perforation likely as
all other causes were ruled out at the time of presentation to our hospital. The
CECT scan ruled out other causes of gastric outlet obstruction with normal wall
thickness of the stomach and duodenum along with normal looking liver, pancreas
and no lymphadenopathy. The liver function tests and serum amylase were within
normal limits. Along with this, there was another unrelated rare coincidental
finding of aortoiliac occlusive disease termed as Leriche's syndrome. Ultrasound
guided percutaneous drainage was done following which the patient's obstruction
was relieved and patient was referred to the department of vascular surgery for
the mangement of aortoiliac occlusive disease.
PMID- 27190893
TI - Macrodystrophia Lipomatosa of the Toe: A Rare Case Report.
AB - Macrodystrophia lipomatosa is a rare congenital hamartoma presenting as a
localized or generalized gigantism of a limb or digit manifesting from infancy to
late adulthood. It is a progressive enlargement of the soft tissue components,
especially fibrofatty tissue. The patient presents to us because of cosmetic
reasons or mechanical issues secondary to degenerative joint disease, or features
of neurovascular compression due to large osteophytes. Here, we present a case of
this anomaly of the left second toe with complaints of difficulty in walking and
wearing shoes, for which toe reduction surgery with partial amputation was done.
PMID- 27190894
TI - Tiger Food for Short Bowel: Two Cases.
PMID- 27190895
TI - Human Chorionic Gonadotropine in Cul-de-sac Fluid in Tubal Ectopic Pregnacy; A
New Diagnostic Approach.
AB - INTRODUCTION: Although new diagnostic abilities are being utilised increasingly
yet early detection of tubal pregnancy remains a challenge. The use of highly
sensitive hCG kits has facilitated the early diagnosis of a pregnancy. But it
takes time to determine the localisation of the pregnancy. Early diagnosis of
ectopic pregnancy may reduce the morbidity of ectopic pregnancy. AIM: This study
was conducted to analyse the cul-de-sac and serum betahCG ratio in tubal ectopic
pregnancy cases which may be a new diagnostic approach for ectopic pregnancy.
MATERIALS AND METHODS: Between January 2004 and July 2011, 263 patients with
ectopic pregnancy were included in the study. Risk factors of patients and
treatment modalities were evaluated. hCG was measured in peripheral serum and
peritoneal fluid, obtained by puncture of Douglas pouch in 52 patients with tubal
ectopic pregnancy. hCG level was determined in the cul-de-sac fluid and in the
maternal serum for comparison. RESULTS: Tubectomy (5.3%), history of abortion
(9.5%), history of previous surgery (14.8%), previous cesarean section (8%) and
pelvic infamatorry disease (15.9 %) were the important risk factors for ectopic
pregnancy in our cases. In 51 of 52 patients with tubal pregnancy, the cul-de-sac
hCG vaule and the serum hCG value ratio was >1. CONCLUSION: It is concluded that
the ratio of hCG in cul-de -sac and serum can be used for the verification of
tubal ectopic pregnancy in addition to other diagnostic methods. This may help
rapid confirmation of the diagnosis of ectopic pregnancy.
PMID- 27190896
TI - The Effect of Combined Antenatal and Postnatal Counselling on Postpartum Modern
Contraceptive Use: Prospective Case-Control Study in Kocaeli, Turkey.
AB - INTRODUCTION: The integration of family planning education into obstetric care
has been suggested to increase postpartum contraception use. However, ideal time
and type of counseling is controversial. There is no prospective study about
combining prenatal and postnatal education on the postpartum modern contraceptive
use (PPMC). AIM: This study was aimed to explore the effects of the addition of
postpartum contraceptive counselling to antenatal education on PPMC. MATERIALS
AND METHODS: Family planning counselling was given to all participating patients
throughout antenatal care (ANC) via brief communications. After delivery, the
women were categorised into two age-matched groups with a 1:1 allocation ratio in
the order of the birth date. No further intervention was performed for Group I
(n: 98). Women in Group II (n: 102) received further contraceptive education at
six weeks after hospital discharge. Six months after delivery, PPMC was compared
between the two groups. RESULTS: PPMC was similar between Group I and II
(p>0.05). Previous contraceptive experiences, obstetric care service intensity
and partner's support were the factors related to postnatal contraceptive use.
Logistic regression analysis showed that PPMC was independent of confounding
factors in each group. CONCLUSION: The addition of postnatal counseling to
antenatal one did not further increase PPMC. The results of this study suggested
that family planning counseling should be provided antenatally.
PMID- 27190897
TI - Evaluation of Clinical Diagnosis of Fetal Distress and Perinatal Outcome in a Low
Resource Nigerian Setting.
AB - INTRODUCTION: Fetal distress has been shown to contribute to the increasing
caesarean section rate. There has been controversy on the usefulness of clinical
diagnosis of fetal distress using only the intermittent counting of the fetal
heart rate and/or passage of meconium-stained liquor. AIM: To evaluate the
clinical diagnosis of fetal distress and the perinatal outcome. MATERIALS AND
METHODS: This was a retrospective study in which the case records of the
patients, who were diagnosed of fetal distress at Federal Teaching Hospital,
Abakaliki, Nigeria, from January 1, 2008 to December 31, 2014, were collated. The
statistical analysis was done using the Statistical Package for Social Sciences
version 17 software (SPSS Inc., Chicago IL, USA). RESULTS: Out of the 15,640
deliveries carried out within the study period, 3,761 (24.05%) deliveries were
through caesarean section. A total of 326 (8.9%) of the 3,761 caesarean sections
were due to fetal distress within the study period. More so, a total of 227
(70.9%) babies were born with >= 7 Apgar score at the 1(st) minute of delivery.
The perinatal mortality rate was 31.25 per 1000 deliveries. Though birth asphyxia
was recorded more on babies of mothers that had fresh meconium-stained liquor and
whose decision-intervention interval was more than 30 minutes when compared with
those without any of the two conditions, there was no statistical significant
difference between them. CONCLUSION: The clinical diagnosis of fetal distress is
accurate in 29.1% of the cases. However, it has led to an unnecessary caesarean
section in the remaining 70.9% of the parturients. In order to reduce this high
trend of unnecessary caesarean sections due to clinical diagnosis of fetal
distress in this environment, antepartum fetal assessment with non-stress test or
biophysical profile and intrapartum use of continuous electronic fetal monitoring
should be used to confirm or refute the fetal distress before any surgical
intervention. Fetal blood sampling and fetal pulse oximetry should be performed
in event of non- re-assuring or abnormal cardiotocography.
PMID- 27190898
TI - Effect of Massage Therapy on Duration of Labour: A Randomized Controlled Trial.
AB - INTRODUCTION: Massage is an old technique that is widely used in childbirth and
can decrease the childbirth pain by reducing the adrenaline and noradrenaline and
increasing the endorphins and oxytocin and reduce the childbirth duration by
increasing the uterine contractions. Therefore. AIM: This study is aimed to
evaluate the effect of massage therapy on the duration of labour. MATERIALS AND
METHODS: The present study was performed as randomized controlled clinical trial
method on 100 pregnant women referred to maternity ward in Fatemieh Hospital,
Shahroud. Subjects with inclusion criteria and who were interested to participate
in the study were placed in one of the test or control groups based on pre
specified sequence. Questionnaires were completed in several stages. Data
analysis was conducted using chi-square test, Fisher's exact test, independent t
test, Mann-Whitney test and multivariate linear regression in SPSS-21software. In
data analysis, p < 0.05 was considered significant. RESULTS: The results of
multivariate linear regression showed that the duration of the first and second
stage labour in the massage receiving group is significantly decreased compared
to the test group (p= 0.004 and p= 0.02, respectively). In addition, the Apgar
scores at minutes 1 and 5 in test group is significantly increased compared to
control group (p <0.0001). CONCLUSION: The findings of this study showed that
massage therapy during labour will lead to shortening of the first and second
stage labour duration and improve Apgar scores at the first and fifth minutes. By
shortening the duration of labour, pregnant women tend to have more normal
vaginal delivery.
PMID- 27190899
TI - Capillary Hemangioma of the Fallopian Tube.
AB - Neoplastic lesions of the fallopian tube are rarely seen by surgical
pathologists. Haemangioma of the fallopian tube is an extremely rare benign
neoplasm. A 30-year-old lady with polymenorrhea and dysmenorrhea underwent
hysterectomy and bilateral salpingo-oophorectomy. Her left fallopian tube showed
a 2mm sized solid nodule in the wall. Histopathological examination revealed a
well-defined vascular lesion in the left fallopian tube, consistent with
capillary haemangioma. The vascular endothelium was highlighted by CD34
immunostaining. Our literature review has identified 10 cases of cavernous
haemangioma of the fallopian tube. To the best of our knowledge, we report the
first ever case of capillary haemangioma of the fallopian tube. This is also the
smallest detected haemangioma in the fallopian tube.
PMID- 27190900
TI - Gynaecological Perspective of Schwannoma: A Rare Pelvic Tumour.
AB - Schwannomas are benign tumours that arise from Schwann cells of nerve fibres.
They commonly occur in the head, neck, mediastinum and extremities but pelvic
occurrence is rare. We report a rare case of retroperitoneal tumour with Gynaec
presentation. A 26-year-old parous woman was admitted with abdominal mass, pain
abdomen, painful menstruation and painful coitus for one year. Abdominal
examination revealed firm mass filling the lower abdomen with restricted
mobility. On bimanual examination cervix was hitched against pubic symphysis.
Mass felt through anterior and left adnexa not tender, uterus felt close to the
mass about 8-10 weeks size, right adnexa free. USG revealed broad ligament
fibroid with cystic right ovary. Hence myomectomy was planned, but
intraoperatively it was found that the mass was filling the pelvis close to bulky
uterus with cystic right ovary. After informed consent while proceeding with
hysterectomy, necrotic, yellow colour material came out from the capsule like
structure of the mass which was close to lower part of posterior wall of uterus.
Mass was removed except which was adherent to deeper structure left behind.
Histopathological examination revealed Schwannoma undergoing cystic degeneration.
Since Schwannoma was mostly diagnosed incidentally, high degree suspicion is
necessary for diagnosis.
PMID- 27190901
TI - Intrinsic Obstetric Palsy: Case Report and Literature Review.
AB - Maternal neurological injuries may be intrinsic to the labour and delivery
process or may result directly or indirectly from obstetric or anaesthetic
intervention. This intrinsic obstetric palsy is a rare complication of labour but
can have devastating impact on a previously healthy mother. A 23-year-old
gravida1, para0 who had epidural for labour analgesia, was augmented for slow
progress and had a normal vaginal delivery. She was diagnosed post delivery with
intrinsic obstetric palsy involving several peripheral nerves and lumbosacral
nerve roots with a guarded prognosis. In this article we have discussed the risk
factors and mechanisms of intrinsic obstetric palsy and proposed further
investigation into the potential protective role of ambulatory analgesia i.e. CSE
(Combined Spinal Epidural) or LDI (Low Dose Infusion).
PMID- 27190902
TI - Screening and Diagnosis of Gestational Diabetes Mellitus, Where Do We Stand.
AB - Gestational Diabetes Mellitus (GDM) is defined as any glucose intolerance with
the onset or first recognition during pregnancy. This definition helps for
diagnosis of unrecognized pre-existing Diabetes also. Hyperglycemia in pregnancy
is associated with adverse maternal and prenatal outcome. It is important to
screen, diagnose and treat Hyperglycemia in pregnancy to prevent an adverse
outcome. There is no international consensus regarding timing of screening method
and the optimal cut-off points for diagnosis and intervention of GDM. DIPSI
recommends non-fasting Oral Glucose Tolerance Test (OGTT) with 75g of glucose
with a cut-off of >= 140 mg/dl after 2-hours, whereas WHO (1999) recommends a
fasting OGTT after 75g glucose with a cut-off plasma glucose of >= 140 mg/dl
after 2-hour. The recommendations by ADA/IADPSG for screening women at risk of
diabetes is as follows, for first and subsequent trimester at 24-28 weeks a
criteria of diagnosis of GDM is made by 75 g OGTT and fasting 5.1mmol/l, 1 hour
10.0mmol/l, 2 hour 8.5mmol/l by universal glucose tolerance testing. Critics of
these criteria state that it causes over diagnosis of GDM and unnecessary
interventions, the controversy however continues. The ACOG still prefer a 2 step
procedure, GCT with 50g glucose non-fasting if value > 7.8mmol/l followed by 3
hour OGTT for confirmation of diagnosis. In conclusion based on Hyperglycemia and
Adverse Pregnancy Outcome (HAPO) study as mild degree of dysglycemia are
associated with adverse outcome and high prevalence of Type II DM to have
international consensus It recommends IADPSG criteria, though controversy exists.
The IADPSG criteria is the only outcome based criteria, it has the ability to
diagnose and treat GDM earlier, thereby reducing the fetal and maternal
complications associated with GDM. This one step method has an advantage of
simplicity in execution, more patient friendly, accurate in diagnosis and close
to international consensus. Keeping in the mind the diversity and variability of
Indian population, judging international criteria may not be conclusive, thus
further comparative studies are required on different diagnostic criteria in
relation to adverse pregnancy outcomes.
PMID- 27190903
TI - Uterine Fibroid (Leiomyoma) with Acute Urinary Retention: A Case Series.
AB - Uterine leiomyomas are an extremely rare cause of acute urinary retention in
women. The delay in diagnosing uterine leiomyomas presenting with acute urinary
retention further complicates the management. The rarity of the condition makes
it difficult to plan either prospective or retrospective trials. Hence, most of
the evidence comes from case reports or series. We report a case series of acute
urinary retention in women with uterine leiomyomas and discuss the
pathophysiology, diagnosis and management options.
PMID- 27190904
TI - Thoracic Endometriosis Syndrome: A Veritable Pandora's Box.
AB - Thoracic endometriosis syndrome is a rare disorder characterised by the presence
of functioning endometrial tissue in pleura, lung parenchyma, airways, and/or
encompasses mainly four clinical entities-catamenial pneumothorax, catamenial
haemothorax, catamenial haemoptysis and lung nodules. The cases were studied
retrospectively by reviewing the records at Amrita Institute of Medical Sciences,
for duration of five years i.e., form March 2010-2014 and analysed for the
clinical presentation and management of thoracic endometriosis syndrome.
Catamenial breathlessness was the main symptom. Pneumothorax and pleural effusion
were the findings on investigations. Histopathology report of endometriosis was
present in three cases (50%). Conditions with excess oestrogen like
endometriosis, fibroid, adenomyosis were diagnosed in these patients by pelvic
scan. After the initial supportive treatment with hormones, pleurodesis,
hysterectomy and lung decortication were the treatment modalities. Two cases that
had multiple recurrences were diagnosed as disseminated TES. They underwent
combined treatment of surgery and hormones.
PMID- 27190905
TI - Study of the Clinical Outcome between Traumatic and Degenerative (non-traumatic)
Meniscal Tears after Arthroscopic Surgery: A 4-Years Follow-up Study.
AB - INTRODUCTION: The meniscus is a biconcave fibrocartilage in the knee joint
interpose between the femoral condyles and tibial plateau; the meniscus has
functions in load bearing, load transmission, shock absorption joint stability,
joint lubrication, and joint congruity. AIM: The aim of this study is to provide
orthopeadic surgeon a base of reference in the choice of the optimal course of
management for meniscal tears. MATERIALS AND METHODS: One hundred and seventeen
patients met the criteria of inclusion for the present study. Patients were
divided in two groups T and NT according to the presence of distinct previous
traumatic events to the knees. Two subgroups were formed in each groups T and NT
respectively at a mean follow up of 1 and 4 years. Postoperative clinical outcome
were assessed using Lysholm scores and Rand SF-36 survey. RESULTS: One hundred
and seventeen patients were included in the present study with 60(51.28%)
patients in the traumatic group and 57(48.71%) in the degenerative group.
95(81.19%) patients in total were satisfied with their health status at end of
follow up. The mean value of Lysholm scores at 1 year were respectively 85.25+/
8.78 for traumatic group and 86.38+/-12.14 for non-traumatic group and at 4 years
were respectively 92.63+/-7.31 for traumatic group and 72.90+/-20.77 for non
traumatic group. According to Rand SF-36 health, traumatic group showed better
improvements compare to non-traumatic group between 1 and 4 years after
arthroscopic meniscus surgery. CONCLUSION: A total of 95(81.19%) patients in
total were satisfied with their health status at follow up, however, we found
that arthroscopy as a treatment for meniscal tear have a relatively better mid
term clinical outcome for traumatic meniscal tears compare to non
traumatic/degenerative meniscal tears.
PMID- 27190906
TI - Evaluation of Vacuum Assisted Closure Therapy for Soft Tissue Injury in Open
Musculoskeletal Trauma.
AB - INTRODUCTION: The application of controlled levels of negative or sub atmospheric
pressure for a prolonged period of time on a wound had shown to accelerate
removal of excess fluid and promote hyperaemia, which eventually promote wound
healing. AIM: The study was conducted with the aim to evaluate the effectiveness
of Vacuum Assisted Closure (VAC) therapy for soft tissue injury in open
musculoskeletal trauma. MATERIALS AND METHODS: Twenty cases of complex
musculoskeletal wound involving different parts of body were included in this
progressive randomized study. In patients, aggressive debridement was done before
the application of VAC therapy. Controlled negative pressure was uniformly
applied to the wound. Dressings were changed after every 4 to 5 days. The
evaluation of results included healing rate of the wound, eradication of
infection, complication rate, and number of secondary procedures. RESULTS: VAC
therapy over the wound was administered for an average of 20.4 days +/-6.72 days
(range 14 to 42 days). There was decrease in wound size attained by VAC therapy
ranged from 2.6 to 24.4cm(2), with an average reduction of 10.55 cm(2). Three
wounds were infected at the start of VAC therapy. However, all patients were
cleared of bacterial infection by the end of VAC therapy. CONCLUSION: VAC therapy
using negative pressure promote Wound healing by increasing local capillary
perfusion and increased rate of granulation tissue formation, decreases the
duration of wound healing and requires fewer painful dressing change.
PMID- 27190907
TI - A Study on the Correlation of Pertrochanteric Osteoporotic Fracture Severity with
the Severity of Osteoporosis.
AB - INTRODUCTION: Osteoporosis is a metabolic bone disease caused by progressive bone
loss. It is characterized by low Bone Mineral Density (BMD) and structural
deterioration of bone tissue leading to bone fragility and increased risk of
fractures. When classifying a fracture, high reliability and validity are crucial
for successful treatment. Furthermore, a classification system should include
severity, method of treatment, and prognosis for any given fracture. Since it is
known that treatment significantly influences prognosis, a classification system
claiming to include both would be desirable. Since there is no such
classification system, which includes both the fracture type and the osteoporosis
severity, we tried to find a correlation between fracture severity and
osteoporosis severity. AIM: The aim of the study was to evaluate whether the
AO/ASIF fracture classification system, which indicates the severity of
fractures, has any relationship with the bone mineral status in patients with
primary osteoporosis. We hypothesized that fracture severity and severity of
osteoporosis should show some correlation. MATERIALS AND METHODS: An
observational analytical study was conducted over a period of one year during
which 49 patients were included in the study at HIMS, SRH University, Dehradun.
The osteoporosis status of all the included patients with a pertrochanteric
fracture was documented using a DEXA scan and T-Score (BMD) was calculated. All
patients had a trivial trauma. All the fractures were classified as per AO/ASIF
classification. Pearson Correlation between BMD and fracture type was calculated.
STATISTICAL ANALYSIS USED: Data was entered on Microsoft Office Excel version
2007 and Interpretation and analysis of obtained data was done using summary
statistics. Pearson Correlation between BMD and fracture type was calculated
using the SPSS software version 22.0. RESULTS: The average age of the patients
included in the study was 71.2 years and the average bone mineral density was
4.9. The correlation between BMD and fracture type was calculated and the r
values obtained was 0.180, which showed low a correlation and p-value was 0.215,
which was insignificant. CONCLUSION: Statistically the pertrochanteric fracture
configuration as per AO Classification does not correlate with the osteoporosis
severity of the patient.
PMID- 27190908
TI - The Role of Fibular Fixation in Distal Tibial Fractures.
AB - INTRODUCTION: Lower tibial extra-articular fractures of lower tibial extra
articular bone, treated with Minimally Invasive Percutaneous plate osteosynthesis
(MIPPO) may have certain advantages, though the modiality is technically
demanding. AIM: To assess the results of distal tibial fractures treated with
minimally invasive plate osteosynthesis utilizing precontoured dital medial
tibial locking plates without fibular fracture fixation. MATERIAL AND METHODS:
The study was conducted during the period from june 2009 to june 2011. A series
of 30 patients (22 men and 8 women) with concurrent distal tibia and fibula
fractures who underwent minimally plate osteosynthesis utilizing precontoured
distal tibial medial locking plates without fibular fracture fixation have been
reviewed after surgery. 14 fractures were type A1, 6 type A2, and 4 type A3. Open
Grade II fracture were 4 and Open Grade IIIA fracture is2. RESULTS: The mean
follow-up duration was 2 years. The mean time to bone union was 20 weeks. No
patient had shortening, hardware breakdown, or deep-seated infection. Out of 30
patients, 24 had excellent results, 6 had good results. Four patients had
palpable screws, two patient had blisters which subsidized with conservative
treatment. This minimally invasive technique for treatment of distal tibial
fractures proved to be a feasible and worthwhile method of stabilization.
CONCLUSION: It appears from our study that fibula fixation is not required in non
syndesmotic distal metaphyseal extra articular fractures when fixed by locking
plate using minimal invasive techniques.
PMID- 27190909
TI - Hip Pain and Gait Disturbance Associated with Idiopathic Hypoparathyroidism.
PMID- 27190910
TI - Cotoneaster: A Safe and Easy Way to Reduce Neonatal Jaundice.
AB - INTRODUCTION: Consumption of cotoneaster may reduce neonatal jaundice. AIM: Hence
this study was undertaken to determine the effect of mothers' cotoneaster
consumption on treatment of their neonates' jaundice. MATERIALS AND METHODS: In
this randomized clinical trial study, 120 neonates with jaundice referred to a
hospital in southwest Iran were enrolled by nonprobability sampling and divided
randomly into four groups. In the first group both mothers and neonates received
cotoneaster; in the second group only mothers; in the third group only neonates;
and in the fourth group the neonates received distilled water as placebo.
Phototherapy was done under the same condition for all neonates. RESULTS: The
reduction of bilirubin was significantly higher in treatment groups compared to
control group (p<0.05). Bilirubin in the group of neonates whose mothers consumed
cotoneaster was less compared to control group at 24 and 36 hours (p<0.05) and
the highest reduction in bilirubin was observed in the first group. The mean
duration of hospitalization was longer for the control group (p<0.05).
CONCLUSION: Consumption of cotoneaster by both mothers and neonates caused a
decrease in neonatal jaundice more rapidly compared to other groups and decreased
the duration of hospitalization. Cotoneaster consumption by mothers, neonates, or
both may be useful in treatment of neonatal jaundice.
PMID- 27190911
TI - Is Reactive Dengue NS1Antigen Test a Warning Call for Hospital Admissions?
AB - INTRODUCTION: Dengue fever is a major public health problem worldwide. The 2011
revised World Health Organization (WHO) guidelines have emphasized on early
diagnosis and intervention to reduce the case fatality rate due to dengue fever.
Rapid diagnostic tests like NS1 antigen assays have improved the detection of
cases in early clinical phase of illness but its role as a predictor of severe
dengue infection is not very clear. AIM: To evaluate the utility of NS1 Ag assay
as an early diagnostic marker and predictor of severe dengue infection. MATERIALS
AND METHODS: All children (0-12 years of age) diagnosed and confirmed with dengue
fever at a tertiary care hospital in Puducherry between 01(st) August 2012 and
31(st) July 2015 were reviewed retrospectively from hospital case records as per
the revised WHO guidelines for dengue fever. The diagnosis was confirmed by
NS1antigen-based ELISA test or dengue serology for IgM and IgG antibodies and the
data were analysed using SPSS 16.0 statistical software. After collecting all the
data, all the variables were summarised by descriptive statistics. Categorical
variables were expressed as frequencies and percentages, and then analysed by the
chi(2) test or fishers exact test, where appropriate. Significance was taken at p
value< 0.05. RESULTS: Among the 261 confirmed cases of dengue fever non-severe
dengue and severe dengue infection was seen in 60.9% and 39.1% respectively. The
mean age of presentation was 6.9 years and M:F ratio was 1.2:1. NS1 Ag was
positive in 217 cases (83.1%) and among them non-severe dengue and severe dengue
was seen in 65.9% and 34.1% cases respectively. A total of 44 cases (16.9%) were
negative for NS1 Ag assay and positive for IgM MAC ELISA and among them 16
children (36.4%) had non-severe dengue infection where as 28 children (63.6%) had
severe dengue infection. Secondary infection with (MAC-ELISA IgG) was seen in 17
cases (6.5%). NS1Ag assay was predominantly positive in acute phase sera, where
as IgM/IgG MAC ELISA was predominantly positive in convalescent phase sera. There
were six deaths (2.3%) and the common causes of poor outcome were multiorgan
failure, encephalopathy and refractory shock. CONCLUSION: NS1 Ag assay is a
useful early diagnostic marker for dengue fever but cannot be used as an early
predictor of severe dengue infection. The criteria for admission in hospitals of
cases of dengue fever should be based on clinical warning signs rather than
positive NS1 Antigen test.
PMID- 27190912
TI - Appearances are Deceptive - Passing a Nasogastric Tube does Not Always Rule Out
Oesophageal Atresia.
AB - Oesophageal atresia/trachea-Oesophageal fistula is commonly diagnosed in the
newborn period by inability to pass a nasogastric tube (NGT). We present the
instance of a newborn baby where the diagnosis of oesophageal atresia was delayed
because of an apparent successful passage of nasogastric tube to the stomach.
Failure to reinsert the NGT raised the suspicion of oesophageal atresia which was
confirmed by contrast study showing blind upper oesophageal pouch.
PMID- 27190913
TI - Role of Dynamic Contrast-Enhanced Magnetic Resonance Imaging in Staging of
Bladder Cancer.
AB - INTRODUCTION: Dynamic Contrast Enhanced (DCE)-Magnetic Resonance Imaging (MRI) is
a useful technique in which rapid enhancement of tumour by uptake of the contrast
agent compared to bladder wall. AIM: To evaluate the accuracy of dynamic
gadolinium-enhanced MRI in staging of bladder cancer through differentiating
superficial tumours from invasive tumours and organ-confined tumours from non
organ-confined tumours. In addition, the benefits of DCE-MRI in diagnosis of
tumour progression steps were investigated. MATERIALS AND METHODS: This was a
quasi-experimental study in which 45 patients (95.55% men and 4.45% women) were
enrolled. Patients with confirmed transitional cell carcinoma by histopathology
findings were imaged using 1.5 Tesla MRI systems. Pathology results were
considered as the standard reference. Tumour stage was determined by imaging
findings and compared with pathologic findings after radical cystectomy. Data
were analysed by SPSS version 16 and the level of significance in all tests was
considered p<0.001. RESULTS: The most common stage that was seen in pathology and
MRI findings was T3b. Kappa agreement coefficient between MRI and pathology was
0.7 (p<0.001). The accuracy of MRI in differentiating superficial tumours (<=T1)
from invasive tumours (>= T2a), and organ-confined tumours (<=T2b) from non-organ
confined tumours (>=T3b) was 0.97 and 0.84, respectively. The overall accuracy of
MRI was 0.77 (p<0.001). Totally, 10 cases of disagreement between MRI and
pathological staging were found, eight (80%) of which were overestimated and two
cases (20%) underestimated. MRI detection rate was 0% in stage Ta, 100% in stage
T1, 66.7% in stage T2, 86.7% in stage T3, and 100% in stage T4. The sensitivity
and specificity of MRI in differentiating superficial tumours from invasive
tumours were 0.97 and 1, respectively, and in differentiating organ-confined
tumours from non-organ-confined tumours were 0.94 and 0.77, respectively. The
Spearman's correlation coefficient between the signal enhancement slope of time
intensity curves and tumour stages was 0.88 (p<0.001). CONCLUSION: Gadolinium
enhanced MRI is an appropriate and useful modality with a high accuracy in
determining the stage of the bladder cancer. In addition, this method shows
extension and progression of tumour and tumour invasion depth.
PMID- 27190915
TI - Thoracic Endometriosis-A Rare Cause of Haemoptysis.
AB - Thoracic endometriosis is a rare condition and occurs in females of reproductive
age due to the presence of active endometrial tissue in tracheobronchial tree,
lung parenchyma and lung pleura. A typical history of haemoptysis during
menstrual periods and strong suspicion of the disease entity is important for the
diagnosis and management of the case. Diagnosis of the disease is usually
delayed. Serial CT thorax during menstrual period and in non-menstrual period
supports the diagnosis. We present here a case of catamenial haemoptysis. The
diagnosis was missed initially but later a detailed clinical history revealed the
same. Serial computed tomography of thorax taken during menstrual and after
menstrual period supported the diagnosis. Though bronchoscopy was able to reveal
hyperemic tissue in the tracheobronchial tree, bronchial washing was
inconclusive. The patient was treated successfully with danazol.
PMID- 27190914
TI - Study of Imaging Pattern in Bone Marrow Oedema in MRI in Recent Knee Injuries and
its Correlation with Type of Knee Injury.
AB - INTRODUCTION: The knee is a major weight bearing joint that provides mobility and
stability during physical activity as well as balance while standing. If the knee
is exposed to forces beyond its physiologic range, risk of injury to bone or soft
tissue structures increases. A thorough understanding of knee injury patterns and
their mechanisms may help in achieving more accurate assessment of injuries. AIM:
To identify imaging pattern in bone marrow oedema and to correlate the pattern of
bone marrow oedema retrospectively with type of knee injury from clinical
history. MATERIALS AND METHODS: A cross-sectional study was done on all patients
referred to Krishna Hospital, Karad for MRI knee with history of recent (< 6
weeks) knee injury. Study was conducted between May 2014 to September 2015 with a
sample size of 200 patients. Plain radiograph of knee was done in all patients
and they were scanned using 1.5 Tesla Seimens Avanto (Tim + Dot) with Tx/Rx 15
channel knee coil # Tim. RESULTS: Among the 200 cases, bone marrow contusion was
noted in 138 cases (69%) and absent contusion in 62 cases (31%). Bone marrow
contusion showed five patterns (according to Sanders classification) i.e., Clip
injury in 39 cases (28.3%), Pivot shift injury in 78 cases (56.5%), Dashboard
injury in eight cases (5.8%), Hyperextension injury in four cases (2.9%), Lateral
patellar dislocation in three cases (2.2%). In six cases (4.3%) no pattern of
bone marrow contusion could be explained and was categorized as unclassified
pattern. CONCLUSION: Pivot shift pattern is most common contusion pattern and the
most common type/mode of sports related injury. By analysing bone marrow
contusion pattern, type/mode can be determined in most of the cases. By applying
a biomechanical approach in MR interpretation, it is possible to detect lesions
like ligament rupture and osseous contusion, to predict subtle but it might
overlook important abnormalities.
PMID- 27190916
TI - Congenital Absence of Internal Carotid Artery with Rare Type of Intercavernous
Anastamosis and Ruptured Cerebral Aneurysm.
AB - Congenital absence of Internal Carotid Artery (ICA) is a rare anomaly seen in
<0.01% of the population. Various collateral circulations develop in these cases
to maintain adequate cerebral perfusion. High incidence of aneurysms is reported
in these cases. Complete evaluation is required to detect other abnormalities
usually seen in these patients. We report a case of congenital absence of right
ICA in a 39-year-old female who presented with Subarachnoid Haemorrhage (SAH) and
had a Middle Cerebral Artery Aneurysm (MCA). The right MCA got supply from the
intercavernous communication from the left internal carotid artery. Skull base
Computed Tomogram (CT) confirmed the congenital absence of right ICA. She
underwent successful surgical clipping for the aneurysm. The high incidence of
aneurysms, collateral circulations, embryological development and postulated
mechanisms of this anomaly were discussed. The exact aetiology behind the absence
of ICA remains unclear. It is important to differentiate this condition from
acquired stenosis/occlusion due to atherosclerosis and carotid dissection.
Recognising the anomaly is important and gains even more significance during
surgical planning in cases of direct aneurysm clipping, carotid endarterectomy
and transphenoidal surgeries.
PMID- 27190917
TI - Male Breast Abscess Secondary to Actinomycosis: A Case Report.
AB - Primary breast actinomycosis is a rare condition that has been previously
reported in the female breast. Male breast infection is uncommon and most often
associated with trauma to the skin or predisposing conditions like diabetes. We
report the first case to our knowledge of primary breast actinomycosis in the
male breast caused by Actinomycesneuii (A. neuii), a rare strain of Actinomyces.
Mammography demonstrated periareolar skin thickening with a mottled pattern.
Sonography showed multiple small cystic structures. Definitive diagnosis was made
by culture of the nipple discharge.
PMID- 27190918
TI - Ruptured, Intracranial Dermoid Cyst - A Visual Diagnosis?
AB - Dermoid cysts are a very rare entity of intracranial tumours. The traumatic or
non-traumatic rupture of the cyst wall is a serious complication that can be
treated surgically or conservatively depending on the clinical symptoms. However,
more common entities have to be considered as a differential diagnosis. We report
on a female patient who was admitted with complaints of significant, prolonged
headache and diffuse pain. Analysis of her blood and cerebrospinal fluid
indicated no clear pathology. A CT examination of the head revealed a ruptured
dermoid cyst adjacent to the left sphenoidal bone. An additional MRI was
conducted to confirm the CT findings and rule out an intracranial ischemia or
vasospasms. A conservative therapy was scheduled and the patient recovered well.
Using current imaging techniques, especially magnetic resonance imaging, it is
possible to identify a ruptured dermoid cyst by its pathognomonic signal behavior
and rule out potentially life threatening complications.
PMID- 27190919
TI - Pulmonary Aspergillosis: What CT can Offer Before it is too Late!
AB - Aspergillus is a large genus of saprophytic fungi which are present everywhere in
the environment. However, in persons with underlying weakened immune response
this innocent bystander can cause fatal illness if timely diagnosis and
management is not done. Chest infection is the most common infection caused by
Aspergillus in human beings. Radiological investigations particularly Computed
Tomography (CT) provides the easiest, rapid and decision making information where
tissue diagnosis and culture may be difficult and time-consuming. This article
explores the crucial role of CT and offers a bird's eye view of all the
radiological patterns encountered in pulmonary aspergillosis viewed in the
context of the immune derangement associated with it.
PMID- 27190921
TI - Comparative Efficacy of Intrathecal Bupivacaine Alone and Combination of
Bupivacaine with Clonidine in Spinal Anaesthesia.
AB - INTRODUCTION: Clonidine is an alpha2 agonist agent that has been used as an
adjuvant to local anaesthetics in regional anaesthesia. AIM: This study compared
two combinations of bupivacaine and clonidine with bupivacaine alone for
surgeries below the level of umbilicus in spinal anaesthesia. MATERIALS AND
METHODS: We conducted a randomized double blind study on 90 patients of ASA I and
ASA II aged 20-60 years, 30 in each group, undergoing surgery below the level of
umbilicus in spinal anaesthesia. For intrathecal block, Group 1 received
bupivacaine hydrochloride 12.5mg (2.5ml) in 8% dextrose (0.5% sensorcaine heavy)
+ 1ml (150MUg) of preservative free clonidine. Group 2 received bupivacaine
hydrochloride 12.5mg (2.5ml) in dextrose (0.5% sensorcaine heavy) + 0.5ml (75MUg)
of preservative free clonidine + 0.5ml of normal saline to make the volumes of
all the groups same. Group 3 received bupivacaine hydrochloride 2.5ml in 8%
dextrose (0.5% sensorcaine heavy) + 1ml of normal saline to make the volumes of
all the groups same. Heart rate, NIBP, oxygen saturation and respiratory rate
were monitored. The onset and duration of sensory block, the highest dermatomal
level of sensory block, motor block, time to complete motor block recovery and
duration of spinal anaesthesia were recorded. STATISTICAL ANALYSIS: The data of
the study was recorded in the record chart and results were evaluated using
statistical tests (ANOVA test, post-hoc turkey hsd test, paired t-test and chi
square test). RESULTS: Demographic data, the incidence and duration of
bradycardia were comparable amongst the groups. The duration of sensory and motor
block were greatest in group 1, followed by group 2 and group 3 (p <0.01).
Decrease in the systolic blood pressure of group 2 and group 3 was noted as
compared to group 1. No significant sedation or respiratory depression was
observed in any group. CONCLUSION: Addition of clonidine to bupivacaine
intrathecally is although a reliable method to prolong spinal anaesthesia but
close monitoring for hypotension is desirable.
PMID- 27190920
TI - Hot Climate and Perioperative Outcome in Trauma Patients.
AB - INTRODUCTION: Extreme hot climatic conditions constitute a major public health
threat. Recent studies have shown higher rate of perioperative complications
during hot weather. Although a lot of researches have been carried out to
evaluate effect of hot climatic conditions and its correlation with other medical
conditions, but very little has been studied in trauma patients. AIM: To evaluate
the impact of hot climatic conditions on perioperative morbidity in trauma
patients. MATERIALS AND METHODS: We enrolled 100 trauma patients scheduled for
surgery after approval by the Hospital and University Ethical Committee. Patients
were grouped as Control Group (C) when outdoor temperature ranged in comfortable
zone i.e., 20-29(0)C and Study Group (S) when outdoor temperature ranged 40(0)C
or more. Patients living in regular air conditioned atmosphere (more than 18
hours per day) and with co-morbid conditions or on drugs interfering with
temperature regulation were excluded. Student's t-test, z-test and chi-square
tests were used for statistical analysis. RESULTS: Both groups were comparable in
terms of demographics, age (control group C=38.2+/-12.93 years and in group S=
40.14 +/- 15.98 years), sex, socioeconomic status and type of surgery. Mean
Trauma Index Score (TIS) were 6.20+/-1.56 and 5.80+/-1.31 respectively. All
patients were of low risk as per Shoe Maker's risk criteria. Post Anaesthesia
Care Unit (PACU) stay was similar. Mean duration of hospital stay was 12.16 +/-
8.50 days in group C and 10.98 +/- 6.90 days in group S (p-value 0.21). 20%
patients in group C whereas 54% in group S had complications (p= 0.009). There
was a higher incidence of infections as well as respiratory distress in group S.
On multiple logistic regression analysis peak environmental temperature was found
to be the single independent risk factor for predicting perioperative morbidity.
CONCLUSION: High ambient temperature adversely affects the outcome of surgery
even in low risk young trauma patients belonging to American Society of
Anaesthesia (ASA) physical status I and II categories. So we opine that it may be
probably helpful to either postpone non-emergency surgery till fair adaptation is
achieved in air conditioned environment of hospital or be cautious for
complications to reduce the heat related perioperative morbidity in days of heat
waves.
PMID- 27190922
TI - Optimal Dose of Intrathecal Dexmedetomidine in Lower Abdominal Surgeries in
Average Indian Adult.
AB - BACKGROUND: Dexmedetomidine, a selective alpha2 adrenoceptor agonist, has been
used as adjuvant to spinal anaesthesia. AIM: To find out the optimum dose of
dexmedetomidine to be used in lower abdomen surgery intrathecally. MATERIALS AND
METHODS: This was a randomized, controlled, double blinded study which included
adult ASA I and II patients. They were allocated into five groups (n=20).
Patients allergic to drugs to be used in the study and those with co-existing
neurological disorders, coagulopathies, cardiac diseases, obesity and
hypertension were excluded. Groups were designed as 2.5ml hyperbaric bupivacaine
with 0.5ml saline (Control) or 0.5ml dexmedetomidine: 5mcg (D1), 10mcg (D2), 15
mcg (D3) and 20mcg (D4). Data were collected for 10 point VRS for pain, Bromage
motor block, Ramsay sedation score, haemodynamics, time of first rescue analgesia
(TRA) and any adverse effects and groups were analysed using one way analysis of
variance (ANOVA) by SPSS16.0 (p-value <0.05 significant). RESULTS: The mean
duration of analgesia and need of first rescue analgesics are 201.5+/-29.1 mins
in control group but in D1 group 259.1+/-15.2 mins, D2 310.7+/-48.1mins, D3
540.3+/-51.6 mins and D4 702.4+/-52 mins. p=0.003. The mean highest VRS score
along with analgesic requirements were significantly reduced in dexemeditomidine
groups, but D3 and D4 had hypotension which needed correction. CONCLUSION:
Weighing the prolongation of anesthesia and analgesia and side effects we
conclude that 10 mcg of dexmedetomidine is optimum intrathecal dose.
PMID- 27190923
TI - Optimum Concentration of Caudal Ropivacaine & Clonidine - A Satisfactory
Analgesic Solution for Paediatric Infraumbilical Surgery Pain.
AB - INTRODUCTION: Ropivacaine is amide local anaesthetic pure S(-)enantiomer of
bupivacaine. Its duration of analgesia is similar to that of Bupivacaine (in
equivalent doses) but the motor block is slower in onset, less intense, shorter
in duration for a given level of sensory block with lesser cardiac side effects
but addition of an adjuvant like clonidine which is an imidazoline derivative has
been studied for its sedative, anxiolytic and analgesic properties. AIM: This
study was aimed to show the optimum concentrations of Ropivacaine and Clonidine
to maximize analgesia without side effects by evaluating its safety and efficacy.
MATERIALS AND METHODS: Sixty children aged 2-10 years of ASA grade 1, scheduled
to undergo infraumbilical surgeries were randomly allocated to Group A & Group B
of 30 each. Group A received 0.2% Ropivacaine with normal saline and Group B
received 0.2% Ropivacaine and preservative free Clonidine 1MUg/kg, the total
volume of solution being 1ml/kg haemodynamic changes were monitored
intraoperatively and haemodynamic parameters along with motor blockade, pain
score and sedation score were assessed postoperatively. STATISTICAL ANALYSIS:
Done with unpaired student t and Mann-Whitney test. RESULTS: The groups were
comparable regarding demographic characterstics. The mean duration of analgesia
was prolonged in group B (12+2.22 hours) than in group A (6.53+1.16 hours) with p
value <0.001 leading to less rescue analgesia in former group. None of the
children in the groups had a pain score of >= 4 at the end of 2 hours. A 6.6% and
60% of group A children had score of >= 4 at the end of 4(th) & 6(th) hour
respectively. None in Group B had a score of >= 4. At the end of 8(th) hour, only
6.6% of the children in Group B had a pain score of >= 4 whereas it was 33.33% in
Group A which is statistically significant. No bradycardia or hypotension and no
significant sedation. CONCLUSION: Combination of Ropivacaine and Clonidine in the
concentration used (0.2% ropivacaine and 1MUg/kg of clonidine) can be optimal for
postoperative analgesia in paediatric population.
PMID- 27190924
TI - Efficacy of Magnesium Sulphate as an Adjunct to Ropivacaine in Local Infiltration
for Postoperative Pain Following Lower Segment Caesarean Section.
AB - INTRODUCTION: Intravenous and peri-articular magnesium has been shown to reduce
perioperative analgesic consumption. With this background, subcutaneous
infiltration was hypothesized to potentiate the subcutaneous infiltration of
local anaesthetic agent. AIM: To comparatively evaluate the efficacy of magnesium
sulphate as an adjunct to ropivacaine in local infiltration for postoperative
pain following lower segment cesarean section. MATERIALS AND METHODS: Sixty
parturients undergoing cesarean delivery were randomized to either group A or B
in a double blinded manner. After uterine and muscle closure but before skin
closure, Group A was administered local subcutaneous wound infiltration of
Injection (Inj) ropivacaine 0.75% 150 milligram (mg) or 20 millilitres(ml)
whereas, group B patients were given a local subcutaneous wound infiltration of
Inj magnesium sulphate 750 mg (1.5 ml of Inj 50% Magnesium sulphate) added to Inj
ropivacaine 0.75% (18.5 ml) making a total volume of 20 ml. In postoperative
period, Heart rate (HR), Mean Arterial Pressure (MAP), Visual Analogue Score
(VAS), supplemental analgesic consumption and timing of each subsequent analgesic
was noted for the initial 24 hours. RESULTS: There was no difference in the
timings for the requirement of first Intravenous (IV) rescue analgesic among both
the groups (p=0.279). However, the need for 2(nd) and 3(rd) doses of rescue
analgesics was significantly later in group B and the difference was
statistically significant with p-value of 0.034 and 0.031 respectively. The
number of patients who were administered 2(nd), 3(rd) and 4(th) doses of rescue
analgesics was significantly greater in group A as compared to group B. None of
the patients in group B needed more than 4 doses of rescue analgesia while in
group A, 5 patients were administered a rescue analgesic for 5(th) time. The
cumulative analgesic requirement in the initial 24 hours was also greater in
group A as compared to group B and the difference was statistically significant
(p =0.01). The incidence of adverse effects was similar in both the groups.
CONCLUSION: Subcutaneous infiltration of magnesium along with local anaesthetic
prolongs the analgesic efficacy of local anaesthetic and is not associated with
any significant adverse effects.
PMID- 27190925
TI - Innovative Application of a Microlaryngeal Surgery Tube for difficult Airway
Management in a Case of Down's Syndrome.
AB - An 11-year-old male child, known case of down's syndrome with congenital
oesophageal stricture was posted for oesophageal dilatation. Preoperative airway
assessment revealed a high arched palate, receding mandible and Mallampati Score
of 2. During surgery, after loss of consciousness which was described as loss of
eyelash reflex and adequate jaw relaxation, direct laryngoscopy and endotracheal
intubation was attempted with a cuffed endotracheal tube number 5.0mm ID
(internal diameter). The endotracheal tube could not be negotiated smoothly, so
5.0mm ID uncuffed endotracheal tube was used which passed through easily, but on
auscultation revealed a significant leak. Later, intubation via a Micro Laryngeal
Surgery (MLS) cuffed tube 4.0mm ID was attempted. The MLS tube advanced smoothly
and there was no associated leak on positive pressure ventilation. Thus by
innovative thinking and avant-garde reasoning, a definitive airway device could
be positioned with no other suitable alternative at hand.
PMID- 27190926
TI - The Efficacy of Three Learning Methods Collaborative, Context-Based Learning and
Traditional, on Learning, Attitude and Behaviour of Undergraduate Nursing
Students: Integrating Theory and Practice.
AB - INTRODUCTION: Communication skills training, responsibility, respect, and self
awareness are important indexes of changing learning behaviours in modern
approaches. AIM: The aim of this study was to investigate the efficacy of three
learning approaches, collaborative, context-based learning (CBL), and
traditional, on learning, attitude, and behaviour of undergraduate nursing
students. MATERIALS AND METHODS: This study was a clinical trial with pretest and
post-test of control group. The participants were senior nursing students. The
samples were randomly assigned to three groups; CBL, collaborative, and
traditional. To gather data a standard questionnaire of students' behaviour and
attitude was administered prior to and after the intervention. Also, the rate of
learning was investigated by a researcher-developed questionnaire prior to and
after the intervention in the three groups. RESULTS: In CBL and collaborative
training groups, the mean score of behaviour and attitude increased after the
intervention. But no significant association was obtained between the mean scores
of behaviour and attitude prior to and after the intervention in the traditional
group. However, the mean learning score increased significantly in the CBL,
collaborative, and traditional groups after the study in comparison to before the
study. CONCLUSION: Both CBL and collaborative approaches were useful in terms of
increased respect, self-awareness, self-evaluation, communication skills and
responsibility as well as increased motivation and learning score in comparison
to traditional method.
PMID- 27190928
TI - Behavioural Variant Frontotemporal Dementia with Bilateral Insular
Hypometabolism: A Case Report.
AB - Fronto-Temporal Dementia (FTD) is a cluster of syndromes, characterized by
progressive deterioration of cognition, language and/or behavioural changes
associated with degeneration of the frontal and temporal lobes. A 53-year-old man
was admitted with a history of gradually progressive behavioural disturbances,
disinhibition, unprovoked anger outbursts, apathy, disorganised behaviour and
impaired self-care. A clinical diagnosis of Fronto temporal Dementia (behavioural
variant) was made. Extensive investigations found no abnormality except in FDG
PET scan of the brain which revealed hypo metabolism in bilateral anterior
insular region. Insula is an important brain area implicated in emotional
awareness and behaviour control. Hypo metabolism in insular region in the absence
of any structural neuroimaging findings, in a case of behavioural variant of
Fronto-temporal dementia suggest that, it might be one of the earliest
neurobiological changes occurring in this disorder.
PMID- 27190927
TI - Study of Life Events and Personality Dimensions in Generalized Anxiety Disorder.
AB - INTRODUCTION: Life events, recognized as stressors, due to their unanticipated
nature, can cause psychiatric illness. Also there is some line of continuity
between neurotic illness and antecedent personality traits. AIM: To study
generalized anxiety disorder in relation to Life events and personality
dimensions. MATERIALS AND METHODS: Certain hypotheses were tested in two groups,
namely 30 Generalized Anxiety Disorder patients (GAD) and 30 matched controls, by
utilizing assessment tools. These include: GAD patients experience more
undesirable Life events than normal; GAD patients with high level of anxiety
experience more undesirable Life events; Neuroticism is related to the severity
of anxiety; Extroverts experience more anxiety; Level of anxiety in females is
higher; GAD patients with higher education level experience more anxiety, while
those with lower education level somatize more. The group differences were
examined using Chi-Square test, Student t-test and ANOVA. Pearson's Correlation
Co-efficient was used to find the correlation between anxiety and the undesirable
Life events. The level of statistical significance was set at p<0.05. RESULTS:
GAD patients experienced significantly more undesirable Life events than the
matched controls. Patients with high level of anxiety experienced more
undesirable Life events, with the coefficient of correlation being quite high. A
significant association between Neuroticism scale and GAD was observed.
CONCLUSION: The study suggests a possible causative link between the undesirable
Life events and GAD; and a significant association between Neuroticism dimension
and the anxiety disorder. Role of environmental stressors and personality traits
in treatment outcome among GAD patients awaits further, prospective studies.
PMID- 27190929
TI - A Rare Case of Mayer-Rokitansky-Kuster-Hauser Syndrome Presenting with Acute
Psychosis.
AB - The psychiatric co-morbidities in female population with mullerian agenesis is an
area with limited research. This is probably due to the fact that when those
patients are diagnosed not much attention or information is given for long term
psychiatric follow-up. Owing to their inability to bear children, these subjects
often become socially harassed. Thus these constant stressors may lead to
development of psychopathology in future. Mayer-Rokitansky-Kuster-Hauser (MRKH)
syndrome is a congenital abnormality with absence of uterus, cervix and vagina,
but normal secondary sexual characteristics and external genitalia and occurs in
every 1 out of 4000-10,000 females. There is also limited literature on the
probable common chromosomal aetiology for both psychosis and MRKH patients. We,
present here a case of MRKH syndrome, whose initial presentation was psychosis
only. In this respect, we also highlight the much neglected need of appropriate
psychiatric screening and provision of psychiatric care in this population.
PMID- 27190930
TI - Mobile Phone Dependence among Adolescents; Can We Utilize Mobile Phone as a
Therapeutic Approach?
PMID- 27190931
TI - The Arid Melancholy-Netherton Syndrome With Protein Energy Malnutrition.
AB - Netherton Syndrome (NS) is a rare autosomal recessive hereditary ichthyosiform
disease with a classical triad comprising of an ichthyosiform dermatosis, hair
shaft abnormalities and atopic diathesis. There is a mutation in a gene named
Serine Protease Inhibitor Kazal type-5 (SPINK5); a new type of serine protease
inhibitor involved in the regulation of skin barrier formation and immunity. Skin
manifestations include, Ichthyosis Linearis Circumflexa (ILC), polycyclic and
serpiginous, erythematous plaques with characteristic migratory, double-edged
scale at the margins, or Congenital Ichthyosiform Erythroderma (CIE). Most of the
patients have elevated immunoglobulin class E (IgE) and show atopic
manifestations. Hair shaft abnormalities like pili torti and/or trichorrhexis
nodosa, trichorrhexis invaginata, are seen. Here, we report a rare case of
Netherton Syndrome having ILC and trichorrhexis nodosa with protein energy
malnutrition in a five-year-old school going girl. She belonged to a poor socio
economic background and was worried about her physical appearance due to her skin
lesions, causing psychosocial morbidity to her.
PMID- 27190932
TI - Anogenital Pruritus - An Overview.
AB - Anogenital pruritus is defined as intense itching, acute or chronic, affecting
the anal, perianal, perineal and genital skin, which is a dominant problem in the
course of various cutaneous and systemic conditions. It is one of the common,
extremely annoying symptom for which patients attend the Dermatology Outpatient
Department (OPD). Anogenital skin is highly sensitive to soaps, perfumes,
clothing and superficial trauma and it is more prone for itchy dermatoses as a
result of warmth, friction, lack of aeration, sweating and occlusive inner
garments. Anogenital pruritus is associated with a wide spectrum of diseases
which includes localized infections, infestations, inflammatory dermatoses,
allergic and irritant conditions, anorectal diseases, systemic causes,
nutritional disorders, psychological and when the cause cannot be found out it is
often termed idiopathic. Patients are highly reluctant in consulting the
physician for anogenital itch in the early stage, they usually present at a later
stage with either atypical manifestations or depigmentation and lichenification,
secondary to constant scratching. They often resort to over the counter topical
agents, particularly combination products which contain topical steroids. The
irrational use of such products results in complications like skin atrophy,
striae, incognito etc. A proper clinical history, clinical examination,
investigations like scrapping for fungus and itch mite, skin biopsy, patch test
and relevant blood investigations to rule out systemic conditions should be
carried out, when needed, to arrive at an accurate diagnosis, before treating the
patient.
PMID- 27190934
TI - Primary Inverted Papilloma of Middle Ear and Mastoid: A Rare Case Report.
AB - Inverted papilloma or Schneiderian papilloma arising primarily from middle ear
and mastoid is a very rare entity. It is a benign and locally aggressive
condition, with increased risk of recurrence and malignant transformation.
Surgery is the primary treatment. Radiation therapy should be considered in case
of malignant transformation, recurrent or inoperable disease. Here we are
reporting a case of recurrent inverted papilloma with dysplastic changes arising
from mastoid cavity in a 60-year-old male. The patient was treated with surgery
followed by radiotherapy.
PMID- 27190933
TI - Antiproliferative and Apoptotic Effect of Curcumin and TRAIL (TNF Related
Apoptosis inducing Ligand) in Chronic Myeloid Leukaemic Cells.
AB - INTRODUCTION: Curcumin, traditionally utilized as a flavouring zest as a part of
Indian cooking, has been accounted to decrease the proliferation potential of
most cancer cells. Apoptosis is a mechanism by which most anticancer therapies
including chemotherapy, radiation and antihormonal therapy kill tumour/cancer
cells. Novel agents that may sensitize drug-resistant tumour cells for induction
of apoptosis by customary treatments could lead to the regression and improved
prognosis of the refractory disease. Indeed, chemotherapeutic agents have been
shown to sensitize cancer cells to killing by death ligands such as tumour
necrosis factor-alpha. AIM: To investigate cytotoxicity and apoptotic effect of
curcumin in chronic myeloid leukaemic cell line KCL-22. MATERIALS AND METHODS: In
present study, different doses of curcumin (10,25,50,75,100MUM) and tumour
necrosis factor-related apoptosis-inducing ligand (TRAIL) (25,50 MUM) alone and
combine regimen were exposed to myeloid leukaemic cell KCL-22. The cell viability
was monitored by MTT assay, apoptotic activity by binding of Annexin V-FITC using
fluorescence microscopy and cell cycle check points by flow cytometry. RESULTS:
Cytotoxic assay revealed that curcumin and TRAIL induced both dose and time
dependent decrease in cell viability. Significant cell cytotoxicity was seen in
combine regimen of both curcumin and TRAIL at 48 h of exposure. Cells treated
with curcumin and TRAIL was arrested at the S phase, as revealed by flow
cytometric analysis. Subtoxic concentrations of the curcumin-TRAIL combination
induced strong apoptotic response in KCL-22 cells as demonstrated by the binding
of Annexin V-FITC. CONCLUSION: Our study conclude that curcumin inhibits the
cancer cell growth by inducing apoptosis and enhance the therapeutic potential of
TRAIL which recommends that both curcumin alone or in combination with TRAIL
might be useful for leukaemic prevention and better therapeutic responses.
PMID- 27190936
TI - Follicular Variant of Papillary Carcinoma Arising in Struma Ovarii.
PMID- 27190935
TI - Massive Intrabile Duct Invasion Caused by a Fatal Progression of Colonic
Adenocarcinoma: Abdominal Computed Tomography Findings and Cholangiography
Correlation.
AB - In this report, we present an unusual case of jaundice in a patient with advanced
colorectal cancer due to intraductal tumour invasion of the intra- and
extrahepatic biliary tree. This complication proved to be fatal despite
aggressive therapeutic management. A correct diagnosis of this type of
involvement was achieved by a combination of diagnostic and therapeutic
cholangiography. Despite adequate biliary decompression, the patient died from
liver failure and biliary sepsis.
PMID- 27190937
TI - Atypical Lymphocytes and Cellular Cannibalism: A Phenomenon, First of its Kind to
be Discovered in Chronic Periapical Lesions.
AB - INTRODUCTION: Lymphocytes are often termed to be isomorphic, having a monotonous
light microscopic appearance. Morphological aspects of lymphocytes in tissue
sections thereby are not routinely taken notice of as their morphology seems to
vary only in case of lymphoid malignancies, hematological malignancies apart from
certain viral infections. Atypical lymphocytes are the lymphocytes with unusual
shape, size or overall structure. These are more commonly known as reactive
lymphocytes. The unusual histomorphological feature of these cells include larger
size than normal lymphocytes; in some cells the size exceeds even 30 microns. The
large size is the result of antigenic stimulation of the cell. Alongwith these,
the other rare feature which is recently coming under light is "Cellular
Cannibalism" which is defined as a large cell enclosing a slightly smaller one
within its cytoplasm. Previously, this feature was noted only in cases of
malignant tumors. AIM: The objectives of this study were to determine the
proportion of atypical lymphocytes in chronic periapical granulomas and cysts; to
determine the proportionate cellular cannibalism in these periapical lesions.
MATERIALS AND METHODS: This was a descriptive, observational study conducted in
the Department of Oral Medicine and Radiology and Oral Pathology and
Microbiology. Haematoxylin and eosin stained 30 slides of chronic periapical
granulomas and 20 slides of cysts reported in the year 2014-15 and the clinical
proformas of the patients were retrieved from the files of the Department of Oral
Medicine and Radiology and Oral Pathology and Microbiology. These slides were
evaluated by 3 experts from the specialization of Oral Pathology and Microbiology
to determine the presence of atypical lymphocytes and cellular cannibalism under
high power magnification (400X). RESULTS: Out of the 30 slides of chronic
periapical granulomas, about 12 slides (40%) revealed presence of atypical
lymphocytes. In case of slides of chronic periapical cysts, however, only 4 out
of the 20 slides (20%) examined histopathologically showed presence of atypical
lymphocytes. An interesting feature of cellular cannibalism was noted in tissues
with atypical cells. Cannibalistic cells were present in 12 out of the 30 slides
of chronic periapical granulomas (40%). None of the cysts, however, revealed
cannibalistic cells (0%). CONCLUSION: In the present study, we have quoted our
observations on the unique cellular composition that was seen in
histopathological sections of chronic periapical lesions. As also it was noted
that those cases in which atypical cells & cellular cannibalism was evident the
lesion clinically showed size more than 5cm. The question arises that whether
presence of atypical cells from the tissue sections in these lesions denotes an
aggressive clinical behavior and should be given a due consideration in deciding
the treatment protocols for such cases to provide an optimum patient care.
PMID- 27190938
TI - A Clinical Study to Examine the Effect of Complete Denture on Head
Posture/Craniovertical Angle.
AB - INTRODUCTION: Edentulous patients show some significant changes in ridge
relationship caused by resorption of alveolar ridge. The changes are
characterized by an upward rotation of mandible, increase in mandibular
prognathism that ultimately results in change of natural head posture. AIM: This
clinical study was planned to know the effect of complete denture on head posture
in different age groups of Indian completely edentulous population, after
placement of complete denture at various time intervals. MATERIALS AND METHODS:
The sample consisted of completely edentulous patients without previous
experience of the dentures. They were divided into 2 age groups: Group A (45-60)
and Group B (61-75). During placement of complete denture craniovertical angle
was measured with the help of custom made ruler protector device. Readings were
taken before denture placement, immediately after denture placement, 30 minutes,
24 hours and 30 days after dentures placement. RESULTS: The results of this study
indicated that in most of the patients (90%) despite their age, change in head
posture (extension) occurred immediately after the denture placement. Thereafter
reading remains same for measurement at 30 minutes and 24 hours of denture
placement. However after 30 days, observation revealed that all the patients
showed reduced craniovertical angle (flexion). Even though the values of
craniovertical angle remain higher than its baseline in both groups, significant
changes were noticed only in Group A. CONCLUSION: Findings revealed that head
posture was significantly altered by the placement of dentures in completely
edentulous patients. Within the time interval of 30 minutes and 24 hours
extension of head posture remained constant with slight variation. Although after
30 days, changes remained significant for group 'A', but no significant changes
were observed in the subjects of group 'B'.
PMID- 27190939
TI - Prevalence of Dental Caries among School Children in Chennai, Based on ICDAS II.
AB - INTRODUCTION: Dental caries is a common dental disease, which occurs during
childhood and continues to be a major public health problem. The prevalence of
dental caries was associated with oral hygiene practice, sugar consumption and
implementation of the preventive oral health program. AIM: The purpose of this
study was to assess the prevalence of dental caries in school children aged
between 6-14 years using the International Caries Detection and Assessment System
(ICDAS II). MATERIALS AND METHODS: The study population consisted of 2796 school
children living in Pallikkaranai, Chennai, India and studying in government
recognized schools. Each student was examined by a single examiner using ICDAS
system under natural light during normal school hours. RESULTS: The prevalence of
dental caries was 68.8% in the total surveyed population. The gender-wise
prevalence of dental caries shows, females to have slightly higher prevalence
than male. The prevalence of dental caries at the age group of 6 years was 57%,
seven year 67%, eight year 63%, nine year 74%, 10 year 76%, 11 year 74%, 12 year
69%, 13 year 71%, and 14 year 69%. The distribution of CARS (Caries associated
with Sealants and Restorations) in the surveyed population was only 1.4.
CONCLUSION: The distribution of non-cavitated/early enamel lesions was higher in
the studied population and indicated a requirement of a sustained dental health
preventive program targeting specific segments of the population.
PMID- 27190940
TI - Time Dependent Effect of a Denture Cleanser on the Sorption and Solubility of
Four Soft Liners-An Invitro Study.
AB - INTRODUCTION: Soft liner materials, when used with ill fitting dentures, are
constantly kept in a wet environment of either saliva or denture cleanser that
affects their sorption and solubility. These inturn have detrimental effect on
other properties. AIM: To evaluate the influence of different exposure times of a
commonly used denture cleanser on sorption and solubility of four soft liners.
MATERIALS AND METHODS: Metal disc was fabricated to make the mould space for soft
liner samples. Four materials were used, long term and short term acrylic liners;
long term and short term silicone liners. Each of these were divided into four
groups: first control group- all liners were kept in artificial saliva for entire
period of study. Second group- liners were immersed daily in cleanser for 1 hour
and then transferred to artificial saliva for rest of the day. Similarly samples
of third and fourth groups were immersed in cleanser for 4 and 8 hours
respectively and transferred to artificial saliva. Sorption and solubility tests
were conducted and statistical analysis done. STATISTICAL ANALYSIS: One-way ANOVA
followed by Post-hoc Tukey's test for pair wise comparisons was done.
Significance was set at the probability level of p < 0.05. RESULTS: Solubility
values of all groups were higher than the quoted ADA specifications. CONCLUSION:
Overall, silicones performed better than acrylics. Long term silicone was most
stable. Short term acrylic was most unstable. The 8 hour immersion in denture
cleanser caused significantly high sorption and solubility.
PMID- 27190941
TI - To Evaluate the Efficacy of an Innovative Irrigant on Smear Layer Removal - SEM
Analysis.
AB - INTRODUCTION: The goal of endodontic therapy is to completely eliminate the
microorganisms and the smear layer from the root canal in order to provide a good
seal of the root filling materials. AIM: The aim of this study was to find a
viable alternative irrigant, which is easily available with less erosion and
clinically acceptable smear layer removal by comparing the efficacy of EDTA and
commercially available super-oxidized water, named Oxum, as a final rinse on
smear layer removal and erosion in relation to coronal, middle and apical thirds
of radicular dentin using Scanning Electron Microscope (SEM) analysis. MATERIALS
AND METHODS: Freshly extracted 30 human lower second premolar teeth with straight
roots and type I canal anatomy were selected. The root canals were cleaned and
shaped using Universal Protaper Rotary System. Irrigation was performed with 1 ml
of 2.5% of NaOCl solution after each instrument change. The final irrigation (5
ml) sequence was as follows: Group I- 17% EDTA, Group II - OXUM, and Group III -
0.9% saline (control) for one minute. Then, the root canals were finally
irrigated with 5ml of distilled water to remove any precipitate. The roots were
then gently split into two halves using a chisel and subjected to SEM analysis.
RESULTS: The SEM photomicrographs were evaluated by two independent examiners and
Mann Whitney results showed that there was no statistically significant
difference between the two examiners. Non-parametric statistical analysis of all
experimental groups showed significant difference between coronal, middle and
apical third for smear layer removal with p-value<0.05. For erosion, in group II
(oxum) showed statistically significant difference between coronal, middle and
apical third and it showed significantly less dentine erosion when compared to
EDTA. CONCLUSION: Within the limitations of the present study, Oxum the
commercially available super-oxidized water proved to be equally effective in
smear layer removal with less erosion when compared to EDTA.
PMID- 27190942
TI - Prevalence and Characteristic of Headache in Dental Professionals: A
Questionnaire Based Survey.
AB - INTRODUCTION: Medical and dental streams in today's date are considered highly
demanding and stressful educational environments. Dental professionals, students
and faculty, encounter great deal of stress in form of academic stress and job
performance. Headache is a major and common neurological problem and is the main
reason for decreased work performance, absenteeism and behavioral disturbances in
dental professionals. AIM: In current scenario of increasing stressful conditions
in dental professionals, the present study was carried out with an aim to assess
the prevalence and characteristic of headache in dental professionals in Udaipur,
India. MATERIALS AND METHODS: A cross-sectional questionnaire based study was
conducted in a private dental teaching hospital in Udaipur. The undergraduate,
postgraduate dental students and faculty members, who gave consent, were included
in the study. Information regarding the reason for headache and its various
parameters was collected. Statistical analysis was done using SPSS version 22 and
descriptive statistics was used to compare the results obtained. RESULTS: The
headache was found to be prevalent in 87.1% of the subjects included in the
study. Females (91.9%) were more frequently affected by headaches than males
(87.1%). CONCLUSION: It can be concluded from the present study that headache was
the main cause of absenteeism at school and work, mood and behavioral changes and
inappropriate work actions.
PMID- 27190943
TI - Effect of ACP-CPP Chewing Gum and Natural Chewable Products on Plaque pH, Calcium
and Phosphate Concentration.
AB - INTRODUCTION: Numerous epidemiological studies have documented dental caries as
the major public health problems throughout the world. It is gradually increasing
in the underdeveloped and developing countries especially in children due to
increasing popularity of refined sugars. AIM: The aim of the study was to
evaluate the effect of natural chewable products (Tulsi, sesame seeds, fennel
seeds, coconut) and ACP-CPP chewing gum on plaque pH, calcium and phosphate
concentration. MATERIALS AND METHODS: A randomized controlled trial, with a cross
over study design, was conducted. Ten subjects aged 15-17 years who agreed to
refrain from oral hygiene practice for 48 hours prior to the sample collection
were selected for the study. The baseline plaque pH, calcium and phosphate was
measured and repeated after 5 and 30 minutes. It was ensured that each study
participant was subjected to all the products making an effective sample of ten
subjects per product. The data was statistically analysed. RESULTS: The mean pH
in all the study groups increased after 5 minutes and 30 minutes compared to
baseline, except for coconut group at 30 minutes and fennel group at 5 minutes.
Highest increase in plaque calcium concentration was found in fennel group
followed by recaldent and sesame, respectively. Whereas, the highest increase in
plaque phosphate was found in recaldent group followed by sesame group and fennel
group respectively. CONCLUSION: Plant products can be effective, inexpensive,
easily accessible methods of maintaining oral health. Further studies are
recommended to confirm long term effects.
PMID- 27190944
TI - Friction between Archwire of Different Sizes, Cross Section, Alloy and Brackets
Ligated with Different Brands of Low Friction Elastic Ligatures- An Invitro
Study.
AB - INTRODUCTION: Friction in orthodontic treatment does exist and is thought to
reduce the efficiency of orthodontic appliances during sliding mechanics. During
sliding mechanics, a friction force is produced at the bracket archwire-ligature
unit which tends to counteract the applied force and in turn resists the desired
movement. AIM: The aim of this invitro study was to determine the friction
between archwire of different sizes, cross section, alloy and brackets ligated
with different brands of low friction elastic ligatures. MATERIALS AND METHODS:
An 0.022-in slot, 10 stainless steel brackets and various orthodontic archwires
which were ligated with low-friction ligatures and subjected to evaluate
frictional resistance i.e. static friction and dynamic friction. The archwires of
0.014" and 0.016" nickel titanium (NiTi), 0.016 * 0.022" stainless steel (SS),
0.017 * 0.025" NiTi, 0.017 * 0.025" SS, 0.017 * 0.025" titanium molybdenum alloy
(TMA), 0.019 * 0.025" SS were used. Each bracket/archwire combination was
evaluated 10 times at room temperature of 27 +/- 2 degrees C. The study groups
included Group I of conventional round shape module with reduced friction coating
i.e. super slick and synergy and Group II contained figure of "8" shape module
i.e. Octavia ties and Slide ligature. RESULT: The mean static friction force and
dynamic friction force for all 7 types of wires was lower in Group II (C, D)
combined compared to Group I (A, B) and the difference was statistically very
highly significant (p<0.001). CONCLUSION: Super slick and synergy can be used in
the initial and final phase of treatment when full engagement of archwire in the
bracket slot is necessary for proper tip and torque expression. Slide and Octavia
ties modules can be used in the premolar brackets during en mass retraction when
using friction mechanics.
PMID- 27190945
TI - A Comparative and a Qualitative Analysis of Patient's Motivations, Expectations
and Satisfaction with Dental Implants.
AB - INTRODUCTION: Dental Implants are the norm of today's prosthetic and restorative
dentistry but, is it the answer for edentulism that can affect both individual's
appearance and functioning, therefore having an impact on the psychological and
the social well being of the person is an ongoing quest. Also, patient's beliefs
and personal expectations for dental implants vary, which may influence the
treatment outcome, thus emphasizing the importance of a good clinician-patient
communication. Hence in this study a qualitative analysis was carried out with an
earnest attempt to gain an insight into patient's motivations, personal
expectations, satisfaction and impact of clinician's motivation for implant
maintenance. AIM: To learn about patient's expectations and their level of
satisfaction from dental implants. To know the impact of clinician's instructions
and motivation for implant maintenance, with the patient's level of understanding
and compare the level of motivation for implant maintenance and care provided by
a dentist with Bachelor's degree to a dentist with a Master's degree. MATERIALS
AND METHODS: A 30 systemically healthy patients who had undergone implant
treatment (aged 25-65 years) were considered and divided into two groups: Group
A: 15 patients in whom implant placement was done by a dentist with Bachelor's
degree (24 years, 18 years in general and implant practice respectively) and
Group B: 15 patients in whom implant placement was done by a dentist with a
Master's degree (Periodontics; 20 years,17 years in general and implant practice
respectively). A qualitative analysis with the help of appropriate questionnaires
comprising of multiple choice questions specifically designed for this purpose
and few open ended questions was carried out with an earnest attempt to gain an
insight into patient's motivations, personal expectations, satisfaction and
impact of clinician's motivation for implant maintenance. RESULTS: Patients
motivations for seeking implants and their expectations of treatment differed.
Dental implants had met the patient's pre-treatment expectations and they were
satisfied. Variations in the knowledge on the maintenance of the implants by the
patients and the kind of maintenance care provided by the dentists varied between
the two groups. CONCLUSION: In regard to the patient's compliance towards dental
implants, a clinician must ensure that patient's understand the different
demands.
PMID- 27190946
TI - A Custom Made Intrinsic Silicone Shade Guide for Indian Population.
AB - INTRODUCTION: Replication of natural skin colour in maxillofacial prosthesis has
been traditionally done using trial and error method, as concrete shade guides
are unavailable till date. Hence a novel custom made intrinsic silicone shade
guide has been attempted for Indian population. AIM: Reconstruction of
maxillofacial defects is challenging, as achieving an aesthetic result is not
always easy. A concoction of a novel intrinsic silicone shade guide was
contemplated for the study and its reproducibility in clinical practice was
analysed. MATERIALS AND METHODS: Medical grade room temperature vulcanising
silicone was used for the fabrication of shade tabs. The shade guide consisted of
three main groups I, II and III which were divided based upon the hues yellow,
red and blue respectively. Five distinct intrinsic pigments were added in
definite proportions to subdivide each group of different values from lighter to
darker shades. A total number of 15 circular shade tabs comprised the guide. To
validate the usage of the guide, visual assessment of colour matching was done by
four investigators to investigate the consent of perfect colour correspondence.
Data was statistically analysed using kappa coefficients. RESULTS: The kappa
values were found to be 0.47 to 0.78 for yellow based group I, 0.13 to 0.65 for
red based group II, and 0.07 to 0.36 for blue based group III. This revealed that
the shade tabs of yellow and red based hues matched well and showed a
statistically good colour matching. CONCLUSION: This intrinsic silicone shade
guide can be effectively utilised for fabrication of maxillofacial prosthesis
with silicone in Indian population. A transparent colour formula with definite
proportioning of intrinsic pigments is provided for obtaining an aesthetic match
to skin tone.
PMID- 27190947
TI - Wispy Prosthesis: A Novel Method in Denture Weight Reduction.
AB - INTRODUCTION: Stability and retention of the denture becomes at stake with the
increase in weight of the denture prosthesis. As a consequence, different
materials and methods have been introduced to overcome these issues but denture
weight reduction still remains to be a cumbersome and strenuous procedure. AIM:
To introduce a novel technique for the fabrication of denture prosthesis where in
the weight of the denture will not affect the retention and stability of the
denture. MATERIALS AND METHODS: Four groups with a sample size of 10 each, were
included where in one group was control and other three were study groups. The
control group samples were made completely solid and the study group samples were
packed with materials like bean balls, cellulose balls and polyacrylic fibers.
The weight of all the samples of each study group was measured and compared with
the control group. The observations were analyzed statistically by paired t-test.
RESULTS: It was observed that the bean balls group produced a weight reduction of
31.3%, cellulose balls group 27.4% and polyacrylic fibers group 24.5% when
compared to that of the control group. CONCLUSION: This novel technique will
eliminate the problems that were associated in creating hollowness and at the
same time will reduce the weight of the prosthesis and among all the study
groups, bean balls group were found to reduce maximum weight of the prosthesis.
PMID- 27190948
TI - Evaluation of the Quality of Obturation with Obtura at Different Sizes of Apical
Preparation Through Microleakage Testing.
AB - INTRODUCTION: Obturation of the root canal system is required to prevent
recontamination of the root canal after cleaning and shaping procedures and to
seal the root canal completely, both the apical and coronal avenues of potential
leakage and to maintain the disinfected status. AIM: The aim of this study was to
determine the microleakage by dye leakage method at 1mm, 3mm and 5mm short of the
apex with different sizes of apical preparation, obturated by Obtura. MATERIALS
AND METHODS: Sixty freshly extracted human single rooted teeth were randomly
distributed into six equal groups. Group I (control group) was obturated by
lateral compaction technique. Group II to VI were experimental groups (apical
preparations done upto ISO sizes 20, 30, 40, 50 and 60 respectively), obturated
by Obtura technique. After storing the samples at 100% humidity for seven days
and one day in 2% methylene blue, the roots of the teeth were sectioned at 3mm
short of the apex. They were observed under stereomicroscope at 20X magnification
and the images were analysed for microleakage using the scoring criteria. The
data obtained were analysed by One-way ANOVA followed by Tukey pair-wise multiple
comparison test and p <= 0.05 was considered as the level of significance.
RESULTS: The lowest mean microleakage score was seen in Group VI (0) and the
highest mean leakage score was seen in Group II (1.5). There was statistically
significant difference between Group II and Group V (p = 0.044), Group II and
Group VI (p = 0.013). There was no significant difference between all the other
groups (p> 0.05). CONCLUSION: Comparison of microleakage between different groups
from ISO sizes 20-60 recommends a minimum apical preparation of ISO size 30.
PMID- 27190949
TI - Recasting Disaster Recovery Strategy at Dental Workplace in Combating Crisis - A
Questionnaire Study.
AB - INTRODUCTION: The number of reported natural and human-made disasters continues
to rise worldwide. Disasters occur every day somewhere in the world with dramatic
impact on individuals, families and communities. AIM: This study was designed to
measure the knowledge, attitude and practices regarding disaster management among
academicians and practitioners in Ghaziabad city. MATERIALS AND METHODS: A cross
sectional study was conducted among 487 dentists. A questionnaire (15 items)
measuring knowledge, attitude and practice was distributed manually to the
participating dentists and data was analysed using SPSS software, version 19.0
and student t-test was performed to assess the differences. RESULTS: There was
statistically significant relation between knowledge and attitude in relation to
qualification degree, career prospective and years of experience (p <0.05).
CONCLUSION: Dentists form an important part of the health care community and thus
there is a need to harvest the services of wide distribution of dentists
practicing in our country.
PMID- 27190950
TI - A Comparison of the Effectiveness of Oral Midazolam -N2O Versus Oral Ketamine -
N2O in Pediatric Patients-An in-Vivo Study.
AB - INTRODUCTION: Most children are casual and moderately agreeable in the dental
treatment environment, however some of them show practices that upset the
professional and make the protected conveyance of worthy treatment extremely
troublesome. For such cases dental practitioner utilizes behavior management
techniques. At the point when behavioral administration procedures come up short,
some type of pharmacologic sedation or anesthesia may be an important and vital
option. Dental sedation is a strategy in which the utilization of a medication or
drugs produce(s) a condition of depression of the central sensory system
empowering treatment to be completed during which verbal contact with the patient
is kept up all through the time of sedation. AIM: This study was designed to
evaluate and compare the effectiveness of oral midazolam and oral ketamine in
combination with N2O-O2 in children undergoing dental treatment. MATERIALS AND
METHODS: This study involved a sample of 30 pediatric dental patients (age range
is 3-9 years), whose selection criteria included ASA I & II health status,
cooperative but apprehensive behavior and a need for multiple dental extractions.
The patients were assigned to receive oral midazolam on their first visit and on
the follow up visit they received oral ketamine. Nitrous oxide (30%) was used
during each sedation visit. Physiological parameters like Respiratory Rate (RR),
pulse rate, and oxygen saturation were evaluated for each procedure, followed by
the use of modified Bender Visual Motor Gestalt Test to evaluate psychomotor
effects. Data were analyzed using Independent sample student t -test. RESULTS:
Analysis of the data showed statistically no significant difference (p >0.05) on
comparison of effectiveness of oral midazolam-N2O with oral ketamine-N2O when
pulse rate, oxygen saturation and respiratory rate were taken into consideration.
Psychomotor performance was found to be marginally better with oral midazolam-N2O
compared to oral ketamine-N2O. CONCLUSION: Both the drugs were effective in
reducing the patient anxiety while undergoing dental extractions. Though the t
test results were not statistically significant with respect to physiological
parameters. Oral midazolam-N2O showed marginally better results compared to oral
ketamine-N2O with respect to psychomotor effects.
PMID- 27190951
TI - Marquardt's Facial Golden Decagon Mask and Its Fitness with South Indian Facial
Traits.
AB - INTRODUCTION: The mathematical ratio of 1:1.618 which is famously known as golden
ratio seems to appear recurrently in beautiful things in nature as well as in
other things that are seen as beautiful. Dr. Marquardt developed a facial golden
mask that contains and includes all of the one-dimensional and two-dimensional
geometric golden elements formed from the golden ratio and he claimed that beauty
is universal, beautiful faces conforms to the facial golden mask regardless of
sex and race. AIM: The purpose of this study was to evaluate the goodness of fit
of the golden facial mask with the South Indian facial traits. MATERIALS AND
METHODS: A total of 150 subjects (75 males & 75 females) with attractive faces
were selected with cephalometric orthodontic standards of a skeletal class I
relation. The facial aesthetics was confirmed by the aesthetic evaluation of the
frontal photographs of the subjects by a panel of ten evaluators including five
orthodontists and five maxillofacial surgeons. The well-proportioned photographs
were superimposed with the Golden mask along the reference lines, to evaluate the
goodness of fit. RESULTS: South Indian males and females invariably show a wider
inter-zygomatic and inter-gonial width than the golden mask. Most of the South
Indian females and males show decreased mid-facial height compared to the golden
mask, while the total facial height is more or less equal to the golden mask.
CONCLUSION: Ethnic or individual discrepancies cannot be totally ignored as in
our study the mask did not fit exactly with the South Indian facial traits but,
the beauty ratios came closer to those of the mask. To overcome this difficulty,
there is a need to develop variants of golden facial mask for different ethnic
groups.
PMID- 27190952
TI - Papillary Height and its Relation with Interproximal Distances and Cementoenamel
Junction in Subjects with Chronic Periodontitis. A Cross-Sectional Study.
AB - INTRODUCTION: Presence of intact interdental papilla is considered as an
essential component of aesthetic dentistry. Loss or absence of interdental
papilla creates black triangles which are unpleasing. AIM: The purpose of the
present study was to determine relation of interproximal distances and
cementoenamel junction with the classification of interdental papilla recession
after surgical exposure in chronic periodontitis patients. MATERIALS AND METHODS:
This cross-sectional, single masked study group involved 198 interdental papillae
in 50 chronic periodontitis patients subjected to open flap debridement. The
subjects were divided into three groups according to loss of height of
interdental papillae: Class I papilla, Class II papilla, Class III papilla. The
interproximal distances included vertical and horizontal distance. The vertical
distance was measured from apical point of the contact area to alveolar crest;
horizontal distance was measured between roots at the alveolar crest. Distance
from mid buccal cementoenamel junction to apical point of the contact area was
also measured. RESULTS: The vertical distance was found to be significantly
affecting all the classes of loss of papillary height (p<0.05). Significantly
positive correlation was found between vertical distance and buccal cementoenamel
junction (p<0.05). On applying multiple linear regressions vertical distance was
found to be strongest determinant of loss of papillary height. CONCLUSION:
Although interproximal distances and cementoenamel junction affect the height of
interdental papilla, other factors influencing the existence of interdental
papilla should also be taken into consideration for treatment planning to achieve
better aesthetics.
PMID- 27190954
TI - Retention of Implant Supported Metal Crowns Cemented with Different Luting
Agents: A Comparative Invitro Study.
AB - INTRODUCTION: To overcome limitations of screw-retained prostheses, cement
retained prostheses have become the restoration of choice now a days. Selection
of the cement hence becomes very critical to maintain retrievability of the
prostheses. AIM: The purpose of this study was to assess and compare the
retention of base metal crowns cemented to implant abutments with five different
luting cements. MATERIALS AND METHODS: Ten implant analogs were secured in five
epoxy resin casts perpendicular to the plane of cast in right first molar and
left first molar region and implant abutments were screwed. Total of 100 metal
copings were fabricated and cemented. The cements used were zinc phosphate, resin
modified glass ionomer cement, resin cement, non-eugenol acrylic based temporary
implant cement & non-eugenol temporary resin cement implant cement. Samples were
subjected to a pull-out test using an Instron universal testing machine at a
crosshead speed of 0.5mm/min. The load required to de-cement each coping was
recorded and mean values for each group calculated and put to statistical
analysis. RESULTS: The results showed that resin cement has the highest retention
value 581.075N followed by zinc phosphate luting cement 529.48N, resin modified
glass ionomer cement 338.095 N, non-eugenol acrylic based temporary implant
cement 249.045 N and non-eugenol temporary resin implant cement 140.49N.
CONCLUSION: Within the limitations of study, it was concluded that non-eugenol
acrylic based temporary implant cement and non-eugenol temporary resin implant
cement allow for easy retrievability of the prosthesis in case of any failure in
future. These are suitable for cement retained implant restorations. The results
provide a possible preliminary ranking of luting agents based on their ability to
retain an implant-supported prosthesis and facilitate easy retrieval.
PMID- 27190953
TI - Assessment and Evaluation of Quality of Life (OHRQoL) of Patients with Dental
Implants Using the Oral Health Impact Profile (OHIP-14) - A Clinical Study.
AB - INTRODUCTION: Peri-implant tissue health is a requisite for success of dental
implant therapy. Plaque accumulation leads to initiation of gingivitis around
natural teeth and peri-implantitis around dental implants. Peri-implantitis
around dental implants may result in implant placement failure. For obtaining
long-term success, timely assessment of dental implant site is mandatory. AIM: To
assess and evaluate Quality of Life (OHRQoL) of individuals with dental implants
using the Oral Health Impact Profile (OHIP-14). MATERIALS AND METHODS: Total 92
patients were evaluated for assessment of the health of peri-implant tissues by
recording, Plaque Index (PI), Probing Pocket Depth (PD), Bleeding On Probing
(BOP) and Probing Attachment Level (PAL) as compared to contra-lateral natural
teeth (control). In the same patients Quality of Life Assessment was done by
utilizing Oral Health Impact Profile Index (OHIP-14). RESULTS: The mean plaque
index around natural teeth was more compared to implants and it was statistically
significant. Other three dimensions mean bleeding on probing; mean probing
attachment level and mean pocket depth around both natural teeth and implant
surfaces was found to be not statistically significant. OHIP-14 revealed that
patients with dental implants were satisfied with their Oral Health-Related
Quality of Life (OHRQoL). CONCLUSION: Similar inflammatory conditions are present
around both natural teeth and implant prostheses as suggested by results of mean
plaque index, mean bleeding on probing, mean pocket depth and mean probing
attachment level, hence reinforcing the periodontal health maintenance both prior
to and after incorporation of dental implants. Influence of implant prostheses on
patient's oral health related quality of life (as depicted by OHIP-14) and
patients' perceptions and expectations may guide the clinician in providing the
best implant services.
PMID- 27190955
TI - Tumour-Associated Tissue Eosinophilia in Oral Squamous Cell Carcinoma- A Boon or
a Bane?
AB - INTRODUCTION: The infiltration of tumour stroma by eosinophils, Tumour-Associated
Tissue Eosinophilia (TATE) is known to modulate the evolution of Oral Squamous
Cell Carcinoma (OSCC). Identification of eosinophils in the inflammatory stroma
has been proven to be an important factor in prognostication of malignant tumours
including cancers of mouth, oesophagus, larynx, pharynx, breast, lung, intestine
and genitourinary tract. AIM: Our study aimed to assess the role of TATE as a
prognosticator in OSCC as visualized by Haematoxylin and Eosin (H&E) and congo
red staining. MATERIALS AND METHODS: Thirty histologically-proven cases of OSCC
were retrieved from the archives of Department of Oral Pathology, Manipal College
of Dental Sciences, Mangalore, Manipal University, Karnataka, India. Two serial
sections of 4MUm thickness were made and subjected to routine staining with H&E
and modified congo red staining, where eosinophil granules stained red and nuclei
stained blue. In 40x magnification, 10 HPF at invasive tumour front were assessed
for counting eosinophils by placing a 49 square grid (measuring 0.0289 sq mm).
STATISTICAL ANALYSIS: The TATE was compared with the prognosticators using Mann
Whitney U-test. The grades of carcinoma were correlated with TATE using Kruskal
Wallis test followed by Post-hoc Bonferronis correction. Agreement of the number
of eosinophils counted in the two staining techniques (H&E and Congo red) in OSCC
was achieved using interclass correlation coefficient, and Friedman's test. A
value of p< 0.05 was considered statistically significant. RESULTS: Our results
showed that tissue eosinophil counts were higher in well-differentiated cases of
OSCC, cases with lymph node involvement, decreased survival, without margin
involvement and in cases that did not recur. H&E stain showed significantly
better visualization of eosinophils resulting in higher eosinophil counts than
when seen with Congo red (p=0.008). CONCLUSION: Thus, TATE can be used as a
surrogate marker in prediction of survival and recurrence in OSCC. H&E proved to
be a better stain for evaluation of eosinophils.
PMID- 27190956
TI - Comparative Evaluation of Antimicrobial Effect of Three Endodontic Sealers with
and Without Antibiotics - An In-vitro Study.
AB - INTRODUCTION: Root canal sealers with good sealing ability and antimicrobial
activity are desired to entomb the surviving microorganisms. AIM: Aim of the
present study is to evaluate the antimicrobial effect of different sealers mixed
with antibiotics. MATERIALS AND METHODS: Sixty extracted premolars were taken and
were cut coronally & apically such that 7mm of tooth specimen was prepared. They
were sterilized by autoclaving, inoculated with E.faecalis and incubated for
three weeks. The specimens were divided into six groups of 10 each. Group 1 -
ZnOE, Group 2 - ZnOE +TAM, Group 3 - Apexit Plus, Group 4 - Apexit Plus +TAM,
Group 5 - AH Plus, Group 6 - AH Plus + TAM. Bacterial growth in the each specimen
was calculated before & after sealer application and noted as initial & final
colony count. Antimicrobial effect of each sealer was measured by calculating the
Percentage Reduction in Colony Count (%RCC). One way analysis of variance and
post hoc tests were used for statistical analysis. RESULTS: ZnOE + TAM group
showed maximum antibacterial effect among the sealers tested and AH Plus sealer
showed least antimicrobial effect. CONCLUSION: When the sealers were mixed with
triple antibiotic mixture the antimicrobial effect was increased significantly.
PMID- 27190957
TI - Invitro Evaluation of Fluoride Release from Hydroxyapatite Reinforced Glass
Ionomer with or without Protective Coating.
AB - INTRODUCTION: Glass Ionomer Cement (GIC) is well known for its fluoride releasing
property but has its own drawbacks of poor mechanical properties, sensitivity to
initial desiccation and moisture contamination. To overcome these, search led to
the reinforcement of hydroxyapatite and application of surface coating agent but
their effect on fluoride release is still not clear. AIM: To evaluate and compare
the release of fluoride from Hydroxyapatite Reinforced Glass Ionomer (HA-GIC)
with and without protective coating. MATERIALS AND METHODS: Specimens were
prepared as follows- Eight percent by weight conventional glass ionomer was
replaced by hydroxyapatite powder (HA) and an indigenous product was prepared (HA
GIC). This powder was mixed with liquid of conventional GIC and allowed to set,
then G coat plus coating agent was applied in surface coated group and light
cured. Fluoride release of the sample was measured every 24 hrs for seven days
and weekly from 7(th) to 21(st) day using combination ion selective electrode.
RESULTS: Mean values clearly reveal a significant decrease in the fluoride
release from day 1 to day 21 for both the groups. Results of repeated measure
ANOVA revealed statistically significant difference between two groups (p
<0.001). CONCLUSION: Coating the hydroxyapatite reinforced glass ionomer will
allow for slow and steady release of fluoride for a long period of time into oral
environment.
PMID- 27190958
TI - Management of Chronic Periodontitis Using Chlorhexidine Chip and Diode Laser-A
Clinical Study.
AB - INTRODUCTION: The use of adjuncts like chlorhexidine local delivery and diode
laser decontamination have been found to improve the clinical outcomes of scaling
and root planing in non-surgical periodontal therapy in patients with chronic
periodontitis. AIM: To evaluate the effects of diode laser and chlorhexidine chip
as adjuncts to scaling and root planing in the management of chronic
periodontitis. The objective is to evaluate the outcome of chlorhexidine chip and
diode laser as adjuncts to scaling and root planing on clinical parameters like
Plaque Index, Gingival Index, probing pocket depth and clinical attachment level.
STUDY AND DESIGN: Department of Periodontics. Randomized clinical trial with
split mouth design. MATERIALS AND METHODS: Fifteen chronic periodontitis patients
having a probing pocket depth of 5mm-7mm on at least one interproximal site in
each quadrant of the mouth were included in the study. After initial treatment,
four sites in each patient were randomly subjected to scaling and root planing
(control), chlorhexidine chip application (CHX chip group), diode laser (810 nm)
decontamination (Diode laser group) or combination of both (Diode laser and chip
group). Plaque Index (PI), Gingival Index (GI), probing pocket depth (PPD) and
clinical attachment level (CAL) were assessed at baseline, one month and three
months. STATISTICAL ANALYSIS: Results were statistically analysed using paired T
test, one-way ANOVA, Tukey's HSD test and repeated measure ANOVA. RESULTS: Post
treatment, the test and control sites showed a statistically significant
reduction in PI, GI, PPD, and CAL. After three months, a mean PPD reduction of
1.47+/-0.52 mm in control group, 1.40+/-0.83 mm in diode laser group, 2.67+/-0.62
mm in CHX group, and 2.80+/- 0.77 mm in combination group was seen. The mean gain
in CAL were 1.47+/-0.52 mm in the control group, 1.40+/-0.83 mm in diode laser
group, 2.67+/- 0.49 mm in CHX group and 2.67+/- 0.82 mm in combination group
respectively. The differences in PPD reduction and CAL gain between control group
and CHX chip and combination groups were statistically significant (p<0.05) at
three months, whereas, the diode laser group did not show any significant
difference from the control group. CONCLUSION: Chlorhexidine local delivery alone
or in combination with diode laser decontamination is effective in reducing
probing pocket depth and improving clinical attachment levels when used as
adjuncts to scaling and root planing in non-surgical periodontal therapy of
patients with chronic periodontitis.
PMID- 27190959
TI - Quantitative Assessment of Tumor Associated Macrophages in Head and Neck Squamous
Cell Carcinoma Using CD68 Marker: An Immunohistochemical Study.
AB - INTRODUCTION: Oral Squamous Cell Carcinoma (OSCC) is one of the most prevalent
cancers in India. Clear evidence regarding inflammation being an etiological
factor of cancer was found only in the last few decades. A major inflammatory
component in the tumor tissue is Tumor-Associated Macrophages (TAMs). The CD68
antibody is a marker for staining TAMs. AIM: The aim of this study is to quantify
the macrophage count in healthy oral mucosa and OSCC and comparing TAMs in
different histopathological grades of OSCC immunohistochemically. MATERIALS AND
METHODS: Thirty archival specimens of OSCC patients and 10 healthy biopsy samples
were collected. Immunohistochemical staining was done using a CD68 marker.
Statistical analysis was done using Kruskal-Wallis ANOVA and Mann-Whitney U test.
RESULTS: Comparing CD68 expression in various study groups showed a significant
difference (p=0.000). The pair-wise analysis showed different grades of OSCC,
which differed significantly for CD68 expression from the normal oral mucosa.
CONCLUSION: The most significant cells present in tumor stroma are TAMs, which
remain in close proximity to neoplastic cells and interact with them via several
chemical mediators, which may serve to increase the invasiveness of the malignant
epithelium. Dense infiltration of TAMs adjacent to tumor cells and islands
vividly implies their role in tumor progression.
PMID- 27190961
TI - Antibacterial Activity and Fluoride Release of Glass-Ionomer Cement, Compomer and
Zirconia Reinforced Glass-Ionomer Cement.
AB - INTRODUCTION: The cariostatic property of glass ionomer cement (GIC) stems from
its ability to release fluoride into the oral environment. Recently, zirconia
reinforced GIC has been launched which promises the protective benefits of glass
ionomer while completely eliminating the hazard of mercury. AIM: To evaluate
invitro antibacterial activity and fluoride release from two conventional glass
ionomer cements (GC II and GC IX), compomer (Compoglass) and a zirconia
reinforced glass ionomer cement (Zirconomer). MATERIALS AND METHODS: The
antibacterial activity of the cement specimens was evaluated against
Streptococcus mutans using the agar inhibition test. Zone of inhibition on
Mueller-Hinton agar plates was measured after 48 hours. The fluoride release from
the cement specimens in ppm were measured at day 1, 7, 14 and 21 using a fluoride
ion selective electrode. Data was analysed using one-way and two-way analysis of
variance (ANOVA) followed by LSD post-hoc test. A p-value <0.05 was considered
statistically significant. RESULTS: Statistically significant largest zone of
inhibition was observed with Zirconomer. Also, significant differences were seen
in fluoride release of different materials. At all the time intervals maximum
fluoride release was observed with Zirconomer and minimum with Compoglass.
CONCLUSION: This invitro investigation has revealed that zirconia reinforced GIC
(Zirconomer) had maximum antibacterial activity against S.mutans and fluoride
release.
PMID- 27190960
TI - Effect of Green and White Tea Pretreatment on Remineralization of Demineralized
Dentin by CPP-ACFP-An Invitro Microhardness Analysis.
AB - INTRODUCTION: Mechanical performance of dentine is of major significance for the
overall function of the teeth. Remineralization of carious dentine is the
ultimate goal in re-establishing the functionality of the affected tissue so as
to regain and maintain the mechanical properties of dentine. Functional
remineralization of the affected dentin involves stabilization of both inorganic
and organic component, but Caesin Phosphopeptide Amorphous Calcium Flurophosphate
(CPP-ACFP) stabilizes only inorganic content. Hence to stabilize organic content
and to bring in functional remineralization the use of anticollagenolytic and
antielastastic agent was considered for this study. AIM: To assess and compare
the remineralization of artificial carious dentin pre treated with white and
green tea, before and after application of CPP-ACFP using microhardness test.
Null hypothesis was that both teas did not have any effect on remineralization
potential of CPP ACFP. MATERIALS AND METHODS: Forty specimens were subjected to
artificial caries lesions and were randomly divided into 4 groups based on the
application of tea extract followed by CPP-ACFP (groups A & B) and CPP-ACFP
followed by tea extracts (groups C & D). All the specimens were subjected to two
pH cycling regimen. The specimens were subjected to Vickers microhardness test to
obtain the microhardness values. The values were statistically analysed using one
way ANOVA and multiple comparisons with Tukey's HSD procedure. RESULTS: After the
1(st) and 2(nd) pH cycling in groups A and B, Group B showed significant increase
in microhardness values (35.79+/- 3.12 VHN). But after the pH cycling regimen in
groups C and D, microhardness values increased in 1(st) pH cycling (50.03+/- 3.64
VHN); (50.03+/-3.64 VHN), respectively but decreased during the 2(nd) pH cycling,
(33.94+/-6.45 VHN); (33.11+/-6.11 VHN) respectively with the level of
significance <0.05. CONCLUSION: The results of this study rejects the hypothesis
tested and showed that both the tea extracts increased the microharness values
when used prior to the application of remineralizing agent. However, 10% white
tea showed better microhardness indicating stabilization of collagen in dentine
resulting in functional remineralization.
PMID- 27190962
TI - Demystifying the Enigma of Smoking - An Observational Comparative Study on
Tobacco Smoking.
AB - INTRODUCTION: Smoking is a hazardous habit which causes definite changes in the
oral cavity, consequently there exist changes in the mucosa when subjected to
smoking. Palatal mucosa is first to be affected. The present study determines the
palatal status in reverse smokers and conventional smokers. AIM: To study and
compare the clinical, cytological and histopathological changes in palatal mucosa
among reverse and conventional smokers. MATERIALS AND METHODS: Study sample was
categorized into two groups. Group 1 comprised of 20 subjects with the habit of
reverse smoking and Group 2 comprised of 20 subjects with the habit of
conventional smoking. Initially, clinical appearance of the palatal mucosa was
recorded, followed by a cytological smear and biopsy of the involved area among
all the subjects. The findings were studied clinically, the specimens were
analysed cytologically and histopathologically, and compared among the two
groups. RESULTS: The severity of clinical changes of the palatal mucosa among
reverse smokers was statistically significant when compared to those of
conventional smokers. There was no statistically significant difference observed
in cytological staging between the groups with a p-value of 0.35. The
histopathological changes in both the groups showed a significant difference with
a p-value of 0.02. A significant positive correlation was observed between the
clinical appearance, and cytological, histopathological changes. CONCLUSION:
Profound clinically aggressive changes were observed in group I compared to group
II. Severity of dysplastic changes have been detected in few subjects through
histopathological examination irrespective of no prominent clinical and
cytological changes observed among the two groups.
PMID- 27190963
TI - An Interesting Case of Penetrating Craniofacial Trauma Involving a Wooden Stick.
AB - Penetrating craniofacial trauma, although uncommon, has a high potential for
death or catastrophic consequences from head injury or vital neurovascular
injuries. The foreign body may cause significant challenge, especially when it is
a large one. Airway obstruction, vascular injuries, intracranial communication,
ocular injury and injuries to any other adjacent vital structures when involved
may change the treatment objectives from simple foreign body retrieval to a
comprehensive multidisciplinary approach to stabilize the patient. Retrieval of
foreign bodies may be challenging because of many factors including the size of
the object, its site, and the surrounding anatomical structures. Accurate
localization of the foreign body before removal is essential in craniofacial
region. We present a case of penetrating craniofacial trauma from a wooden stick,
with an in situ foreign body, that was managed by emergency surgical exploration
in general anaesthesia and retrieval of foreign body in Toto under antibiotic
coverage and tetanus prophylaxis.
PMID- 27190964
TI - Fibrofascitis - An Enigma for the Dentist: A Case Report.
AB - Fibromyalgia is a chronic syndrome that causes widespread musculoskeletal pain
and stiffness throughout the connective tissues that support and move the bones
and joints. Pain and localized tender points occur in the muscles, particularly
those that support the neck, spine, shoulders, and hips. Moreover the disorder
includes fatigue, depression, sleep disturbances and constipation. A combination
of treatments including medications, patient education, physical therapy and
counseling are usually recommended. Here, we present a case report of
fibromyalgia and the treatment given to the patient, a combination of dental and
orthopedic treatment.
PMID- 27190965
TI - Non Aggressive Mandibular Osteoblastoma- A Rare Maxillofacial Entity.
AB - Benign osteoblastoma is a very rare, bone tumour occurring in the facial region.
These lesions are most frequently seen in long bones. They are characterised by
proliferation of compact or cancellous bone. The lesion is usually asymptomatic
until it causes significant facial asymmetry or displacement of the teeth if
present in the alveolar region. The clinical appearance of osteoblastoma is very
similar to peripheral ossifying fibroma or any fibro-osseous lesion. The tumour
is characterised by bone formation along with the presence of numerous
osteoblasts. This case report describes the presence of a bony hard mass in the
right side of mandible of a 27-year-old female patient with the chief complaint
of a painless growth since past 10 years. The growth slowly increased in size
displacing the teeth associated with it. The patient also complained of
difficulty in speech and mastication.
PMID- 27190966
TI - A Novel Technique To Correct Multiplanar Maxillary Hypoplasia.
AB - Dental malocclusion and facial deformity are frequent observations in patients
with clefts of the orofacial region. These patients have a low self perception
secondary to their aesthetic appearance. Cleft palate patients are further
affected in their speech and oral function with direct impediment to their
quality of life. Early identification and treatment in cleft lip and palate
patients may directly enhance their overall well being and productivity with
sustainable prognosis when managed by skilled and evidence informed operators. We
present a successful case management of a patient with a cleft palate and
dentofacial deformity with a past surgical history, treated with an anterior
maxillary advancement osteotomy, stabilized with an interpositional non vascular
iliac bone graft. The posterior open bite was corrected using overlay full
coverage crowns. Both these techniques are rarely reported in the literature. The
procedure positively improved the quality of life in our patient with regards to
her aesthetics, speech and function. This treatment approach could be considered
in similar cases to achieve predictable outcomes.
PMID- 27190967
TI - Diffuse Large B-Cell Lymphoma of Maxilla - A Case Report of Late Relapse.
AB - Diffuse Large B Cell Lymphomas (DLBCL) encompasses a heterogeneous group of
tumors that together constitute the commonest of all Non Hodgkin Lymphoma (NHL)
and the proclivity of DLBCL to oral cavity is unknown. They mostly arise from
soft tissues as asymptomatic lesions, mostly without 'B' symptoms and involvement
of jaw bones is uncommon. Most studies and case reports of oral DLBCL's are based
on, manifestation of primary extra-nodal disease or a component of a disseminated
disease process involving regional lymph nodes. Many investigators have proposed
that patients with this cell type who maintain a complete response for 24
consecutive months are cured because late relapses seldom occur. With advances in
treatment modalities, many patients with NHL become long-term survivors and the
risk of relapses or second tumors are of growing concern. We present a case of
DLBCL which relapsed after five years of initial lesion in a 41 year old female
patient and presented as a nonspecific bilateral anterior maxillary radiolucency.
DLBCL usually express pan-B markers with small percentage expressing T-cell
markers. Few rare cases of DLBCL have shown CD3 expression, which is a most
sensitive T-cell marker which was focally expressed in the present case.
PMID- 27190968
TI - Role of Orthodontics in Forensic Odontology- A Social Responsibility.
AB - Orthodontics like any other specialty has much to offer law enforcement in the
detection and solution of crime or in civil proceedings. Forensic odontology
often requires an interdisciplinary approach towards dentistry for the purpose of
proper diagnosis of cases. In cases where the forensic odontologist has to
establish a person's identity, an orthodontist can be of great help at times.
Teeth, with their anatomic/physiologic variations and therapy such as orthodontic
treatment, restorations and prosthesis may record information that remains
throughout life and beyond. The teeth may also be used as weapons for defense or
offense and as such may leave information about the identity of the biter at the
time of crime. Forensic odontology also plays an important role in the
recognition of crime and abuse among people of all ages. Orthodontists like all
other dental professionals can play a major role by maintaining proper dental
records and thus providing important or vital information or clues to the legal
authorities in order to help them in their search.
PMID- 27190970
TI - Novel Matricing Technique for Management of Fractured Cusp Conundrum - A
Clinician's Corner.
AB - Longitudinal tooth fracture can be classified as craze lines, fractured cusp,
cracked tooth, split tooth and vertical root fracture based on extent and
severity of the fracture line. The most common type of longitudinal tooth
fracture is fractured cusp that poses the treatment dilemma. Retention of the
fractured cusp segment temporarily with matrix band followed by permanent bonded
restoration and finally removal of tooth fragment during crown preparation is a
novel technique. This paper throws light on a matricing and holding technique for
the management of supra-crestally fractured palatal cusp of maxillary first
premolar in a 29-year-old Asian male.
PMID- 27190969
TI - LongoVital- An Imminent Therapeutic Modality: An Unseen Drug Review with Advanced
Features and Hypothesis.
AB - Herbal medication still happens to be the backbone of the major world's
population essentially because of the assumption that these drugs are free of any
side effects and easily available. LongoVital (LV) is a herbal preparation with
documented immune-modulatory and immune-stimulatory effects in man. Various
studies conducted to date, have shown LV to have prolonged and beneficial impact
in the treatment of orofacial diseases, when administered in recommended daily
doses. With its combined antimicrobial and immunostimulatory effects, LV can be
regarded as an emerging therapeutic modality in the treatment of orofacial
diseases.
PMID- 27190971
TI - A Innovative Technique - Modified Feeding Bottle for a Cleft Palate Infant.
AB - Cleft lip and cleft palate are one of the most common craniofacial anomalies.
Infants suffer a lot of difficulty in sucking during the initial few days after
birth. There is even psychological stress to the parents due to improper feeding
and the infants lose weight and are prone to nutritional insufficiency. Due to
recent advancement in the medical field, there is a total repair of cleft lip and
cleft palate and these procedures are performed in the later stages of infants.
It is the multidisciplinary approach which includes pedodontist, oral surgeon,
prosthodontist and speech therapist. In this article, the technique is
highlighted to fulfill the feeding problem of infants in the early stages of
birth with a modified feeding bottle.
PMID- 27190972
TI - Radiographic Assessment of Bone Formation Using rhBMP2 at Maxillary Periapical
Surgical Defects: A Case Series.
AB - Periapical cysts are the most common inflammatory odontogenic cysts arising from
untreated dental caries with pulp necrosis and periapical infection. The choice
of treatment is often influenced by various factors like size, extension of the
lesion, proximity to vital structures, systemic condition and compliance of the
patient too. The treatment protocol for management of periapical cysts is still
under discussion and options vary from conservative treatment by means of
endodontic technique to surgical treatment like decompression or a
marsupialisation or even to enucleation. Large bony defect secondary to
periapical surgery compromising the tooth integrity often requires bone graft to
enhance bone formation and thus restoring function at the earliest. The present
case series included 10 patients who had established periapical pathology
secondary to history of trauma on upper anterior teeth as well patients with
history of carious teeth with an apparent failure in root canal therapy. All ten
patients were treated with cyst enucleation and apiceotomy along with 1.4cc
Recombinant Human Bone Morphogenetic Protein-2 soaked Absorbable Collagen Sponge
implantation at surgical defect. Radiographs and clinical examinations were done
upto 3 months to evaluate healing. Radiographic and clinical assessments revealed
bone regeneration and restoration of the maxillary surgical defects in all 10
patients. No evidence of graft failure was noted. The Recombinant Human Bone
Morphogenetic Protein-2 soaked Absorbable Collagen Sponge carrier is thus proved
to be a viable option for the treatment of maxillary periapical surgical defects.
PMID- 27190973
TI - Transforming Health Professionals into Population Health Change Agents.
AB - BACKGROUND: With the recognition that professional education has not kept pace
with the challenges facing the health and human service system, there has been a
move to transformative education and learning professional development designed
to expand the number of enlightened and empowered change agents with the
competence to implement changes at an individual, organisation and systems level.
DESIGN AND METHODS: Since 2010, the Department of Health and Human Services in
Victoria, Australia, in collaboration with The University of Melbourne's School
of Population and Global Health, has delivered seven population health short
courses aimed to catalyse participants' transformation into population health
change agents. This paper presents key learnings from a combination of evaluation
data from six population health short courses using a transformative learning
framework from a 2010 independent international commission for health
professionals that was designed to support the goals of transformative and
interdependent health professionals. Participatory realist evaluation approaches
and qualitative methods were used. RESULTS: Evaluation findings reveal that there
were mixed outcomes in facilitating participants' implementation of population
health approaches, and their transformation into population health agents upon
their return to their workplaces. Core enablers, barriers and requirements, at
individual, organisational and system levels influence the capability of
participants to implement population health approaches. The iterative and
systemic evolution of the population health short courses, from a one off event
to a program of inter-dependent modules, demonstrates sustained commitment by the
short course developers and organisers to the promotion of transformative
population health learning outcomes. CONCLUSIONS: To leverage this commitment,
recognising that professional development is not an event but part of an ongoing
transformative process, suggestions to further align recognition of population
health professional development programs are presented. Significance for public
healthWith decreasing health and wellbeing of whole populations, increasing
inequities among specific population groups, health professional educators are
increasingly turning their attention to population health. This has implications
for implementing evidence into practice. Professional development short courses
are being conducted to equip participants (health service managers, health
promotion managers and coordinators, health planners, population health planners
and senior executives) with knowledge, skills and tools to implement population
health approaches and transform them into population health change agents. The
findings of this study indicate there were mixed outcomes in facilitating
participants' implementation of population health approaches and their
transformation into population health agents upon their return to their
workplaces. The study findings informed the evolution of the short courses, from
a one off event to a program of interdependent modules, and further reveal that
professional development is not an event but part of an on-going transformative
process,suggestions to further align recognition of population health
professional development programs are presented.
PMID- 27190974
TI - A Student-Led Global Health Education Initiative: Reflections on the Kenyan
Village Medical Education Program.
AB - The Kenyan Village Medical Education Program is a student-led global health
initiative that seeks to improve health outcomes in rural Kenya through
culturally appropriate health education. The month-long program, which is
organised by the Melbourne University Health Initiative (Australia), is conducted
each January in southern rural Kenya. Significance for public healthThe Kenyan
Village Medical Education (KVME) Program is a student-led global health
initiative that involves exploring well-established strategies for the prevention
of disease through workshops that are conducted in southern rural Kenya. These
workshops are tailored to the unique needs and circumstances of rural Kenyan
communities, and are delivered to community leaders, as well as to adults and
children within the wider community. Aside from the KVME Program's emphasis on
reducing the burden of preventable disease through health education, the positive
impact of the KVME Program on the Program's student volunteers also deserves
consideration. Throughout the month-long KVME Program, student volunteers are
presented with opportunities to develop their understanding of cultural
competency, the social and economic determinants of health, as well as the unique
challenges associated with working in resource-poor communities. Importantly, the
KVME Program also represents an avenue through which global health leadership can
be fostered amongst student volunteers.
PMID- 27190975
TI - Cultural Respect Encompassing Simulation Training: Being Heard About Health
Through Broadband.
AB - BACKGROUND: Cultural Respect Encompassing Simulation Training (CREST) is a
learning program that uses simulation to provide health professional students and
practitioners with strategies to communicate sensitively with culturally and
linguistically diverse (CALD) patients. It consists of training modules with a
cultural competency evaluation framework and CALD simulated patients to interact
with trainees in immersive simulation scenarios. The aim of this study was to
test the feasibility of expanding the delivery of CREST to rural Australia using
live video streaming; and to investigate the fidelity of cultural sensitivity -
defined within the process of cultural competency which includes awareness,
knowledge, skills, encounters and desire - of the streamed simulations. DESIGN
AND METHODS: In this mixed-methods evaluative study, health professional trainees
were recruited at three rural academic campuses and one rural hospital to pilot
CREST sessions via live video streaming and simulation from the city campus in
2014. Cultural competency, teaching and learning evaluations were conducted.
RESULTS: Forty-five participants rated 26 reliable items before and after each
session and reported statistically significant improvement in 4 of 5 cultural
competency domains, particularly in cultural skills (P<0.05). Qualitative data
indicated an overall acknowledgement amongst participants of the importance of
communication training and the quality of the simulation training provided
remotely by CREST. CONCLUSIONS: Cultural sensitivity education using live video
streaming and simulation can contribute to health professionals' learning and is
effective in improving cultural competency. CREST has the potential to be
embedded within health professional curricula across Australian universities to
address issues of health inequalities arising from a lack of cultural sensitivity
training. Significance for public healthThere are significant health inequalities
for migrant populations. They commonly have poorer access to health services and
poorer health outcomes than the Australian-born population. The factors are
multiple, complex and include language and cultural barriers. To address these
disparities, culturally competent patient-centred care is increasingly recognised
to be critical to improving care quality, patient satisfaction, patient
compliance and patient outcomes. Yet there is a lack of quality in the teaching
and learning of cultural competence in healthcare education curricula,
particularly in rural settings where qualified trainers and resources can be
limited. The Cultural Respect Encompassing Simulation Training (CREST) program
offers opportunities to health professional students and practitioners to learn
and develop communication skills with professionally trained culturally and
linguistically diverse simulated patients who contribute their experiences and
health perspectives. It has already been shown to contribute to health
professionals' learning and is effective in improving cultural competency in
urban settings. This study demonstrates that CREST when delivered via live video
streaming and simulation can achieve similar results in rural settings.
PMID- 27190976
TI - Health Professional Workforce Education in the Asia Pacific.
AB - OBJECTIVE: To design and implement an international and interprofessional Global
Learning Partnership Model, which involves shared learning between academics and
students from Universitas 21 network with other universities with United Nations
Millennium Development Goal needs. DESIGN: Two literature reviews were conducted
to inform ethical aspects and curriculum design of the GLP model. Feedback from
conference presentations and consultation with experts in education and public
health has been incorporated to inform the current iteration of the GLP model.
INTERVENTION: The pilot group of 25 students from U21 universities and Kathmandu
University, representing six health disciplines will meet in Nepal in April 2016
for a shared learning experience, including a one week university based workshop
and three week community based experience. OUTCOME MEASURES: A multi-phase, mixed
method design was selected for the evaluation of the GLP model, utilising a
combination of focus groups and questionnaires to evaluate the efficacy of the
placement through student experience and learning outcomes in cultural
competency, UN SDG knowledge, community engagement and health promotion skills.
RESULTS: The literature review demonstrated that cultural awareness and cultural
knowledge were improved through participation in cultural immersion programs that
incorporated preparatory workshops and clinical experiences. Data will be
gathered in April 2006 and the results of the evaluation will be published in the
future. CONCLUSIONS: The GLP model proposes a project around the fundamental
concept of engagement and sharing between students and academics across
universities and cultural contexts to build capacity through education, while
capitalising on strengths of existing global health placements. Further the
inclusion of host-country students and academics in this learning exchange will
promote the establishment of an international and interprofessional network for
ongoing health promotion. Significance for public healthThe Global Learning
Partnership model aims to contribute to the capacity building of a health
workforce that is capable of working effectively in cross cultural and
interprofessional health care teams. A shared public health focused global
placement has the potential to catalyse collaborative relationships between
educational institutions in the Asia Pacific region.
PMID- 27190977
TI - Public Health Platforms: An Emerging Informatics Approach to Health Professional
Learning and Development.
AB - Health informatics has a major role to play in optimising the management and use
of data, information and knowledge in health systems. As health systems undergo
digital transformation, it is important to consider informatics approaches not
only to curriculum content but also to the design of learning environments and
learning activities for health professional learning and development. An example
of such an informatics approach is the use of large-scale, integrated public
health platforms on the Internet as part of health professional learning and
development. This article describes selected examples of such platforms, with a
focus on how they may influence the direction of health professional learning and
development. Significance for public healthThe landscape of healthcare systems,
public health systems, health research systems and professional education systems
is fragmented, with many gaps and silos. More sophistication in the management of
health data, information, and knowledge, based on public health informatics
expertise, is needed to tackle key issues of prevention, promotion and policy
making. Platform technologies represent an emerging large-scale, highly
integrated informatics approach to public health, combining the technologies of
Internet, the web, the cloud, social technologies, remote sensing and/or mobile
apps into an online infrastructure that can allow more synergies in work within
and across these systems. Health professional curricula need updating so that the
health workforce has a deep and critical understanding of the way that platform
technologies are becoming the foundation of the health sector.
PMID- 27190979
TI - A Community Engaged Dental Curriculum: A Rural Indigenous Outplacement Programme.
AB - BACKGROUND: Indigenous people worldwide suffer from poor oral health as compared
to non-Indigenous citizens. One of the approaches to bring about improvement in
Indigenous oral health is to enhance the service provision by implementing oral
health outplacement programmes. A case study of such a programme for dental
students in Australia reports how an educational institution can successfully
engage with an Indigenous oral health service to provide learning experiences to
the students as well as deliver much needed services to the community. DESIGN AND
METHODS: The assessment of this ongoing outplacement programme over the period of
2008-14, based on students' feedback, highlights some of the key beneficial
outcomes. Students agreed that the Indigenous outplacement programme improved
their understanding of Indigenous issues (mean +/- SD: 4.10+/-0.8; 5 refers to
strongly agree on 5-point scale) and increased the possibility that they will
practise in Indigenous health (3.66+/-1.0). They were pleased with the assistance
received by clinical supervisors and clinic staff at the Indigenous dental clinic
(4.28+/-0.8). CONCLUSIONS: This programme has demonstrated that structured
student outplacements are valuable in building relations across cultures
especially with Indigenous communities. It has also shown that university
engagement with the public health sector can be beneficial to both institutions.
Significance for public healthAn oral health outreach programme is one of the
suggested approaches to effectively address the endemic issues of poor oral
health among Indigenous people around the world. An Indigenous dental clinical
outplacement in Australia provides an example of beneficial outcomes of such an
approach. It provides dental students with an opportunity to experience the
health issues related to Australian Indigenous communities and prepare future
graduates to work comfortably in the public health care system. Indigenous people
also develop trust and feel comfortable in receiving oral health care services
from non-indigenous clinicians.
PMID- 27190978
TI - Culturally and Linguistically Diverse Populations in Medical Research:
Perceptions and Experiences of Older Italians, Their Families, Ethics
Administrators and Researchers.
AB - BACKGROUND: Low-participation of culturally and linguistically diverse (CALD)
patients in medical research remains a problem in migrant and refugee destination
countries such as Australia. The aims of this study were to explore i) CALD
persons' perceptions and experiences of the medical system and medical research,
in this case, older Italian Australians; and ii) the views of research
professionals on CALD patient participation in medical research. DESIGN AND
METHODS: A qualitative study was conducted in Melbourne, Australia, in 2015
utilising in-depth interviews and focus groups with four stakeholder groups:
older Italian Australians (n=21); adult children of older Italian Australians
(n=10); hospital Human Research Ethics Committee administrators (n=4); and
clinical researchers (n=4). The data were analysed for content and thematic
analysis. RESULTS: Themes for the CALD and family group were getting by in
medical interactions; receptivity to medical research: testing the waters; and,
receptivity to technology for support: passive versus active. Themes for the
researcher and HREC groups about CALD patient participation in research were:
exclusion; cultural factors; and e-consent. CONCLUSIONS: Our findings from four
stakeholder perspectives and experiences confirm that there were considerable
cultural, linguistic, and resourcing barriers hindering the participation of
older Italian-Australians in medical research. Furthermore, our findings showed
that in this study setting there were few enabling strategies in place to address
these barriers despite the national ethics guidelines for equitable participation
in research. The findings informed the creation of a multimedia tool whose
purpose is to address and improve representation of CALD groups in clinical
research. Significance for public healthMany people from culturally and
linguistically diverse (CALD) backgrounds remain excluded from medical research
such as clinical trials due to a range of language and cultural factors that can
be amplified when this population is ageing. This exclusion has implications for
the ability of CALD populations to benefit from participating in medical research
and for applying research findings to CALD populations. It is essential to
develop and implement strategies to include CALD communities in medical research
and to uphold the ethical obligation of obtaining informed consent to research.
The findings of this study have guided the development of a tablet-based resource
which can be used in clinical and community contexts to raise awareness about the
purpose of medical research. The resource has been carefully designed to be
appropriate for participants' cultural background as well as their preferred
language and literacy level. Such a resource has potential to address some of the
cultural and linguistic barriers to clinical trial participation of CALD
populations.
PMID- 27190980
TI - Teaching About Climate Change in Medical Education: An Opportunity.
AB - Climate change threatens many of the gains in development and health over the
last century. However, it could also be a catalyst for a necessary societal
transformation to a sustainable and healthy future. Doctors have a crucial role
in climate change mitigation and health system adaptation to prepare for emergent
health threats and a carbon-constrained future. This paper argues that climate
change should be integrated into medical education for three reasons: first, to
prepare students for clinical practice in a climate-changing world; secondly, to
promote public health and eco-health literacy; and finally, to deepen existing
learning and strengthen graduate attributes. This paper builds on existing
literature and the authors' experience to outline potential learning objectives,
teaching methods and assessment tasks. In the wake of recent progress at the
United Nations climate change conference, COP-21, it is hoped that this paper
will assist universities to integrate teaching about climate change into medical
education. Significance for public healthThere is a strong case for teaching
about climate change in medical education. Anthropogenic climate change is
accepted by scientists, governments and health authorities internationally. Given
the dire implications for human health, climate change is of fundamental
relevance to future doctors. Integrating climate change into medical education
offers an opportunity for future doctors to develop skills and insights essential
for clinical practice and a public health role in a climate-changing world. This
echoes a broader call for improved public health literacy among medical
graduates. This paper provides medical schools with a rationale and an outline
for teaching on climate change.
PMID- 27190981
TI - Aboriginal and Torres Strait Islander Public Health: Online and Integrated into
Core Master of Public Health Subjects.
AB - The Master of Public Health (MPH) is an internationally recognised post-graduate
qualification for building the public health workforce. In Australia, MPH
graduate attributes include six Indigenous public health (IPH) competencies. The
University of Melbourne MPH program includes five core subjects and ten
specialisation streams, of which one is Indigenous health. Unless students
complete this specialisation or electives in Indigenous health, it is possible
for students to graduate without attaining the IPH competencies. To address this
issue in a crowded and competitive curriculum an innovative approach to
integrating the IPH competencies in core MPH subjects was developed. Five online
modules that corresponded with the learning outcomes of the core public health
subjects were developed, implemented and evaluated in 2015. This brief report
outlines the conceptualisation, development, and description of the curriculum
content; it also provides preliminary student evaluation and staff feedback on
the integration project. Significance for public healthThis approach to a
comprehensive, online, integrated Indigenous public health (IPH) curriculum is
significant, as it ensures that all University of Melbourne Master of Public
Health (MPH) graduates will have the competencies to positively contribute to
Indigenous health status. A workforce that is attuned not only to the challenges
of IPH, but also to the principles of self-determination, Indigenous agency and
collaboration is better equipped to be comprised of ethical and judgment-safe
practitioners. Additionally, the outlined approach of utilizing IPH content and
examples into core MPH subjects ensures both the Australian relevance for an
Australian-based health professional course and international appeal through the
modules inclusion of International Indigenous case-studies and content.
Furthermore, approaches learned in a challenging Indigenous Australian context
are transferable and applicable to other IPH challenges in a local, national and
global context.
PMID- 27190982
TI - Learning About Self: Leadership Skills for Public Health.
AB - As public health practitioners and as clinicians we are taught to care for our
patients, and for our community members. But how much do we teach and learn about
how to lead, manage and care for our colleagues, our team members and ourselves?
This paper emphasizes the need for leadership learning and teaching to become an
essential element of the practice of public health. The paper presents the
author's perspective on the leadership skills required for public health and
describes a five-day intensive course designed to enable participants to develop
these skills over time. The paper briefly covers leadership definitions, styles
and types and key leadership skills. It mainly focuses on the design and ethos of
the course, skills self-assessment, group interaction and methods for developing
and refining leadership skills. The course uses a collaborative learning approach
where the power differential between teachers, facilitators, guests and
participants is minimized. It is based on creating an environment where any
participant can reveal his or her stories, successes, failures, preferences and
dislikes in a safe manner. It encourages continual, constructive individual
reflection, self-assessment and group interaction. The course is aimed at the
practice of public health leadership, with a particular emphasis on the
leadership of self, of knowing oneself, and of knowing and understanding
colleagues retrospectively as well as prospectively. The most important outcome
is the design and implementation of participants' own plans for developing and
nurturing their leadership skills. Significance for public healthThe nature of
public health is changing rapidly and increasing in complexity. These changes
include major shifts in the burden of disease and the insatiable demands of
clinical medicine swamping those of public health. Public health practitioners
have failed over many years to systematically ensure that leadership and
management skills are essential parts of public health training (as they are in
MBAs for example). This paper describes an approach and an intensive five-day
course to assist practitioners to develop the key leadership skills needed to
improve public health, whether it be locally, nationally or globally.
PMID- 27190983
TI - Putting Population and Global Health on the Agenda of Health Professionals.
PMID- 27190984
TI - Comparison of Sexual Experience and Behavior between Bipolar Outpatients and
Outpatients without Mood Disorders.
AB - Sexual behavior over the past year of 32 outpatients with Bipolar disorder is
compared to that of 44 Comparison patients that had never had an episode of
affective illness. Subjects were outpatients treated with drugs and psychotherapy
in routine office practice. Differences in sexual behavior between the two groups
as a whole were minimal, but meaningful differences emerged when subgroups were
compared. Compared to control men, Bipolar men had had more partners in the last
year and were more likely to have had sex without condoms. Compared to Bipolar
females, Bipolar males had more sex partners, had more sex with strangers, and
were more likely to have engaged in homosexual behavior. Even so, some patients
in the Comparison group also had engaged in risky sexual behavior. They had
failed to use condoms and had had sex with strangers and prostitutes during the
previous year.
PMID- 27190985
TI - Reconstructing the Phylogeny of Capsosiphon fulvescens (Ulotrichales,
Chlorophyta) from Korea Based on rbcL and 18S rDNA Sequences.
AB - Capsosiphon fulvescens is a filamentous green algae in the class Ulvophyceae. It
has been consumed as food with unique flavor and soft texture to treat stomach
disorders and hangovers, and its economic value justifies studying its
nutritional and potential therapeutic effects. In contrast to these applications,
only a few taxonomic studies have been conducted on C. fulvescens. In particular,
classification and phylogenetic relationships of the C. fulvescens below the
order level are controversial. To determine its phylogenetic position in the
class, we used rbcL and 18S rDNA sequences as molecular markers to construct
phylogenetic trees. The amplified rbcL and 18S rDNA sequences from 4 C.
fulvescens isolates (Jindo, Jangheung, Wando, and Koheung, Korea) were used for
phylogenetic analysis by employing three different phylogenetic methods: neighbor
joining (NJ), maximum parsimony (MP), and maximum likelihood (ML). The rbcL
phylogenetic tree showed that all taxa in the order Ulvales were clustered as a
monophyletic group and resolved the phylogenetic position of C. fulvescens in the
order Ulotrichales. The significance of our study is that the 18S rDNA
phylogenetic tree shows the detailed taxonomic position of C. fulvescens. In our
result, C. fulvescens is inferred as a member of Ulotrichaceae, along with
Urospora and Acrosiphonia.
PMID- 27190987
TI - Efficiency Analysis of Direct Video-Assisted Thoracoscopic Surgery in Elderly
Patients with Blunt Traumatic Hemothorax without an Initial Thoracostomy.
AB - Hemothorax is common in elderly patients following blunt chest trauma.
Traditionally, tube thoracostomy is the first choice for managing this
complication. The goal of this study was to determine the benefits of this
approach in elderly patients with and without an initial tube thoracostomy.
Seventy-eight patients aged >65 years with blunt chest trauma and stable vital
signs were included. All of them had more than 300 mL of hemothorax, indicating
that a tube thoracostomy was necessary. The basic demographic data and clinical
outcomes of patients with hemothorax who underwent direct video-assisted
thoracoscopic surgery without a tube thoracostomy were compared with those who
received an initial tube thoracostomy. Patients who did not receive a
thoracostomy had lower posttrauma infection rates (28.6% versus 56.3%, P = 0.061)
and a significantly shorter length of stay in the intensive care unit (3.13
versus 8.27, P = 0.029) and in the hospital (15.93 versus 23.17, P = 0.01)
compared with those who received a thoracostomy. The clinical outcomes in the
patients who received direct VATS were more favorable compared with those of the
patients who did not receive direct VATS.
PMID- 27190986
TI - The Serum Levels of the Soluble Factors sCD40L and CXCL1 Are Not Indicative of
Endometriosis.
AB - Endometriosis is a benign but troublesome gynecological condition, characterized
by endometrial-like tissue outside the uterine cavity. Lately, the discovery and
validation of noninvasive diagnostic biomarkers for endometriosis is one of the
main priorities in the field. As the disease elicits a chronic inflammatory
reaction, we focused our interest on two factors well known to be involved in
inflammation and neoplastic processes, namely, soluble CD40 Ligand and CXCL1, and
asked whether differences in the serum levels of sCD40L and CXCL1 in
endometriosis patients versus controls can serve as noninvasive disease markers.
A total of n = 60 women were included in the study, 31 endometriosis patients and
29 controls, and the serum levels of sCD40L and CXCL1 were measured by enzyme
linked immunosorbent assay. Overall, there were no statistically significant
differences in the levels of expression of both sCD40L and CXCL1 between patients
and controls. This study adds useful clinical data showing that the serum levels
of the soluble factors sCD40L and CXCL1 are not associated with endometriosis and
are not suitable as biomarkers for disease diagnosis. However, we found a trend
toward lower levels of sCD40L in the deep infiltrating endometriosis subgroup
making it a potentially interesting target worth further investigation.
PMID- 27190991
TI - Automatic Extraction of Appendix from Ultrasonography with Self-Organizing Map
and Shape-Brightness Pattern Learning.
AB - Accurate diagnosis of acute appendicitis is a difficult problem in practice
especially when the patient is too young or women in pregnancy. In this paper, we
propose a fully automatic appendix extractor from ultrasonography by applying a
series of image processing algorithms and an unsupervised neural learning
algorithm, self-organizing map. From the suggestions of clinical practitioners,
we define four shape patterns of appendix and self-organizing map learns those
patterns in pixel clustering phase. In the experiment designed to test the
performance for those four frequently found shape patterns, our method is
successful in 3 types (1 failure out of 45 cases) but leaves a question for one
shape pattern (80% correct).
PMID- 27190989
TI - Induction of Poly(ADP-ribose) Polymerase in Mouse Bone Marrow Stromal Cells
Exposed to 900 MHz Radiofrequency Fields: Preliminary Observations.
AB - Background. Several investigators have reported increased levels of poly(ADP
ribose) polymerase-1 (PARP-1), a nuclear enzyme which plays an important role in
the repair of damaged DNA, in cells exposed to extremely low dose ionizing
radiation which does not cause measurable DNA damage. Objective. To examine
whether exposure of the cells to nonionizing radiofrequency fields (RF) is
capable of increasing messenger RNA of PARP-1 and its protein levels in mouse
bone marrow stromal cells (BMSCs). Methods. BMSCs were exposed to 900 MHz RF at
120 MUW/cm(2) power intensity for 3 hours/day for 5 days. PARP-1 mRNA and its
protein levels were examined at 0, 0.5, 1, 2, 4, 6, 8, and 10 hours after
exposure using RT-PCR and Western blot analyses. Sham-exposed (SH) cells and
those exposed to ionizing radiation were used as unexposed and positive control
cells. Results. BMSCs exposed to RF showed significantly increased expression of
PARP-1 mRNA and its protein levels after exposure to RF while such changes were
not observed in SH-exposed cells. Conclusion. Nonionizing RF exposure is capable
of inducing PARP-1.
PMID- 27190988
TI - HSP27 Inhibits Homocysteine-Induced Endothelial Apoptosis by Modulation of ROS
Production and Mitochondrial Caspase-Dependent Apoptotic Pathway.
AB - Objectives. Elevated plasma homocysteine (Hcy) could lead to endothelial
dysfunction and is viewed as an independent risk factor for atherosclerosis. Heat
shock protein 27 (HSP27), a small heat shock protein, is reported to exert
protective effect against atherosclerosis. This study aims to investigate the
protective effect of HSP27 against Hcy-induced endothelial cell apoptosis in
human umbilical vein endothelial cells (HUVECs) and to determine the underlying
mechanisms. Methods. Apoptosis, reactive oxygen species (ROS), and mitochondrial
membrane potential (MMP) of normal or HSP27-overexpressing HUVECs in the presence
of Hcy were analyzed by flow cytometry. The mRNA and protein expression levels
were measured by quantitative real-time polymerase chain reaction (qRT-PCR) and
western blot. Results. We found that Hcy could induce cell apoptosis with
corresponding decrease of nitric oxide (NO) level, increase of endothelin-1 (ET
1), intracellular adhesion molecule-1 (ICAM-1), vascular cellular adhesion
molecule-1 (VCAM-1), and monocyte chemoattractant protein-1 (MCP-1) levels,
elevation of ROS, and dissipation of MMP. In addition, HSP27 could protect the
cell against Hcy-induced apoptosis and inhibit the effect of Hcy on HUVECs.
Furthermore, HSP27 could increase the ratio of Bcl-2/Bax and inhibit caspase-3
activity. Conclusions. Therefore, we concluded that HSP27 played a protective
role against Hcy-induced endothelial apoptosis through modulation of ROS
production and the mitochondrial caspase-dependent apoptotic pathway.
PMID- 27190990
TI - Phytochemical Composition and Antibacterial Activity of Hydroalcoholic Extracts
of Pterospartum tridentatum and Mentha pulegium against Staphylococcus aureus
Isolates.
AB - Pterospartum tridentatum and Mentha pulegium are largely used in Portuguese folk
medicine to treat several human disorders and inflammatory processes but without
any consistent evidence for those beneficial pointed properties. Thus, the aim of
the current work is to evaluate its benefits and phytochemicals related to those
beneficial properties. A distinct polyphenol profile between P. tridentatum and
M. pulegium was found. Taxifolin, myricetin, ginestin, ginestein, and ginestein
derivatives, biochanin A-glucoside, and biochanin A were identified in P.
tridentatum, whilst in M. pulegium the luteolin-7-rutinoside, diosmin, and
apigenin and respective derivatives were most representative polyphenols. These
variations had implications in the antiradical and antibacterial activity and the
P. tridentatum exhibited the highest antibacterial activity against methicillin
resistant and methicillin-sensitive Staphylococcus aureus MSSA, which was mainly
dose-dependent. This antibacterial activity seems to be related to high content
of flavonols, flavones, and isoflavones, which can act synergistically with each
other against this type of bacteria. Our results showed consistent evidence that
Pterospartum tridentatum and Mentha pulegium are an important reservoir of
phytochemicals with antiradical activity and antibacterial capacity and thus they
might be used in a preventive way or in a combined pharmaceutical and antibiotic
therapy against pathogenic bacteria.
PMID- 27190992
TI - The Occurrence of Genetic Alterations during the Progression of Breast Carcinoma.
AB - The interrelationship among genetic variations between the developing process of
carcinoma and the order of occurrence has not been completely understood.
Interpreting the mechanisms of copy number variation (CNV) is absolutely
necessary for understanding the etiology of genetic disorders. Oncogenetic tree
is a special phylogenetic tree inferential pictorial representation of
oncogenesis. In our present study, we constructed oncogenetic tree to imitate the
occurrence of genetic and cytogenetic alterations in human breast cancer. The
oncogenetic tree model was built on CNV of ErbB2, AKT2, KRAS, PIK3CA, PTEN, and
CCND1 genes in 963 cases of tumors with sequencing and CNA data of human breast
cancer from TCGA. Results from the oncogenetic tree model indicate that ErbB2
copy number variation is the frequent early event of human breast cancer. The
oncogenetic tree model based on the phylogenetic tree is a type of mathematical
model that may eventually provide a better way to understand the process of
oncogenesis.
PMID- 27190993
TI - Effects of Short-Term Physical Activity Interventions on Simple and Choice
Response Times.
AB - Objective. Response time (RT) is important for health and human performance and
provides insight into cognitive processes. It deteriorates with age, is
associated with chronic physical activity (PA), and improves with PA
interventions. We investigated associations between the amount and type of PA
undertaken and the rate of change in RT for low-active adults across the age
range 18-63 yr. Methods. Insufficiently active adults were assigned to either a
walking (n = 263) or higher-intensity (n = 380) exercise program conducted over
40 days. Active controls were also recruited (n = 135). Simple response time
(SRT) and choice response time (CRT) were measured before and after the
intervention and at 3-, 6-, and 12-month follow-up. Results. SRT and CRT slowed
across the age range; however, habitually active participants at baseline had
significantly faster CRT (p < 0.05). The interventions increased weekly PA with
corresponding increases in physical fitness. These changes were mirrored in
faster CRT across the study for both intervention groups (p < 0.05). No changes
were found for SRT. Conclusions. Both PA interventions resulted in improvements
in CRT among adults starting from a low activity base. These improvements were
relatively rapid and occurred in both interventions despite large differences in
exercise volume, type, and intensity. There were no effects on SRT in either
intervention.
PMID- 27190994
TI - Are Patients with Polycystic Ovarian Syndrome Ideal Candidates for Oocyte
Donation?
AB - Background. The use of donated oocytes for in vitro fertilization treatment in
patients with ovarian failure is universally recognized. But would patients with
polycystic ovarian syndrome (PCOS) be a good choice for egg donation programs?
Objective. Comparing the pregnancy rates of egg receptors from donor patients
diagnosed with PCOS to receptors from donors without PCOS. Design. Retrospective
cohort study. Methods. A total of 234 patients who had undergone egg reception
program were separated into two groups: Group I, receptors from PCOS donors (n =
36); Group II, receptors from donors without PCOS (n = 198). Medical records were
reviewed and the fertilization, implantation, and pregnancy rates were
calculated. Results. PCOS patients had an average of 3.23 more oocytes retrieved,
but there were no differences in the number of mature oocytes that were used for
donation between the groups. We also observed that the number of transferred
embryos was also not significantly different, as well as the fertilization and
implantation rates. The clinical pregnancy rates were not significantly
different: 28% and 26% in Group I and Group II, respectively. Conclusions. Women
with PCOS should not be excluded from egg donation programs.
PMID- 27190995
TI - WWOX CNV-67048 Functions as a Risk Factor for Epithelial Ovarian Cancer in
Chinese Women by Negatively Interacting with Oral Contraceptive Use.
AB - Copy number variations (CNVs) have attracted increasing evidences to represent
their roles as cancer susceptibility regulators. However, little is known about
the role of CNV in epithelia ovarian cancer (EOC). Recently, the CNV-67048 of WW
domain-containing oxidoreductase (WWOX) was reported to alter cancer risks.
Considering that WWOX also plays a role in EOC, we hypothesized that the CNV
67048 was associated with EOC risk. In a case-control study of 549 EOC patients
and 571 age (+/-5 years) matched cancer-free controls, we found that the low copy
number of CNV-67048 (1-copy and 0-copy) conferred a significantly increased risk
of EOC (OR = 1.346, 95% CI = 1.037-1.747) and it determined the risk by means of
copy number-dependent dosage effect (P = 0.009). Data from TCGA also confirmed
the abovementioned association as the frequency of low copies in EOC group was
3.68 times more than that in healthy group (P = 0.023). The CNV also negatively
interacted with oral contraceptive use on EOC risk (P = 0.042). Functional
analyses further showed a lower mRNA level of WWOX in tissues with the 0-copy or
1-copy than that in those with the 2-copy (P = 0.045). Our data suggested the CNV
67048 to be a risk factor of EOC in Chinese women.
PMID- 27190996
TI - Prevalence of Diabetic Foot Disease in Patients with Diabetes Mellitus under
Renal Replacement Therapy in Lleida, Spain.
AB - Aim. To assess the prevalence of diabetic foot and other associated conditions in
patients with diabetes mellitus under renal replacement in the region of Lleida,
Spain. Methods. This was an observational, cross-sectional study of 92 dialysis
treated diabetic patients. Besides a podiatric examination, we explored the
presence of cardiovascular risk factors, late diabetes complications, including
peripheral neuropathy, atherosclerotic disease, and peripheral artery disease. We
assessed risk factors for foot ulceration and amputation by logistic regression.
Results. Prevalent diabetic foot was found in 17.4% of patients, foot deformities
were found in 54.3%, previous ulcer was found in 19.6%, and amputations were
found in 16.3%; and 87% of them had some risk of suffering diabetic foot in the
future. We observed a high prevalence of patients with peripheral neuropathy and
peripheral artery disease (89.1% and 64.2%, resp.). Multivariable analysis
identified diabetic retinopathy and advanced atherosclerotic disease (stenosing
carotid plaques) as independent risk factors for foot ulceration (p = 0.004 and p
= 0.023, resp.) and diabetic retinopathy also as an independent risk factor for
lower-limb amputations (p = 0.013). Moreover, there was a temporal association
between the initiation of dialysis and the incidence of amputations. Conclusion.
Diabetic patients receiving dialysis therapy are at high risk of foot
complications and should receive appropriate and intensive foot care.
PMID- 27190997
TI - The Antitumor Effect of Gekko Sulfated Glycopeptide by Inhibiting bFGF-Induced
Lymphangiogenesis.
AB - Objective. To study the antilymphangiogenesis effect of Gekko Sulfated
Glycopeptide (GSPP) on human lymphatic endothelial cells (hLECs). Methods. MTS
was conducted to confirm the antiproliferation effect of GSPP on hLECs; flow
cytometry was employed to detect hLECs cycle distribution; the antimigration
effect of GSPP on hLECs was investigated by wound healing experiment and
transwell experiment; tube formation assay was used to examine its inhibitory
effect on the lymphangiogenesis; western blotting was conducted to detect the
expression of extracellular signal-regulated kinase1/2 (Erk1/2) and p-Erk1/2
after GSPP and basic fibroblast growth factor (bFGF) treatment. Nude mice models
were established to investigate the antitumor effect of GSPP in vivo. Decreased
lymphangiogenesis caused by GSPP in vivo was verified by immunohistochemical
staining. Results. In vitro, GSPP (10 MUg/mL, 100 MUg/mL) significantly inhibited
bFGF-induced hLECs proliferation, migration, and tube-like structure formation (P
< 0.05) and antagonized the phosphorylation activation of Erk1/2 induced by bFGF.
In vivo, GSPP treatment (200 mg/kg/d) not only inhibited the growth of colon
carcinoma, but also inhibited the tumor lymphangiogenesis. Conclusion. GSPP
possesses the antitumor ability by inhibiting bFGF-inducing lymphangiogenesis in
vitro and in vivo, which may further inhibit tumor lymphatic metastasis.
PMID- 27190998
TI - Prostaglandin E Receptor Subtype 4 Signaling in the Heart: Role in
Ischemia/Reperfusion Injury and Cardiac Hypertrophy.
AB - Prostaglandin E2 (PGE2) is an endogenous lipid mediator, produced from the
metabolism of arachidonic acids, upon the sequential actions of phospholipase A2,
cyclooxygenases, and prostaglandin E synthases. The various biological functions
governed by PGE2 are mediated through its four distinct prostaglandin E receptors
(EPs), designated as EP1, EP2, EP3, and EP4, among which the EP4 receptor is the
one most widely distributed in the heart. The availability of global or cardiac
specific EP4 knockout mice and the development of selective EP4
agonists/antagonists have provided substantial evidence to support the role of
EP4 receptor in the heart. However, like any good drama, activation of PGE2-EP4
signaling exerts both protective and detrimental effects in the ischemic heart
disease. Thus, the primary object of this review is to provide a comprehensive
overview of the current progress of the PGE2-EP4 signaling in ischemic heart
diseases, including cardiac hypertrophy and myocardial ischemia/reperfusion
injury. A better understanding of PGE2-EP4 signaling should promote the
development of more effective therapeutic approaches to treat the ischemic heart
diseases without triggering unwanted side effects.
PMID- 27190999
TI - A Novel Chemically Modified Curcumin "Normalizes" Wound-Healing in Rats with
Experimentally Induced Type I Diabetes: Initial Studies.
AB - Introduction. Impaired wound-healing in diabetics can lead to life-threatening
complications, such as limb amputation, associated in part with excessive matrix
metalloproteinase- (MMP-) mediated degradation of collagen and other matrix
constituents. In the current study, a novel triketonic chemically modified
curcumin, CMC2.24, was tested for efficacy in healing of standardized skin wounds
in streptozotocin-induced diabetic rats. Initially, CMC2.24 was daily applied
topically at 1% or 3% concentrations or administered systemically (oral
intubation; 30 mg/kg); controls received vehicle treatment only. Over 7 days, the
diabetics exhibited impaired wound closure, assessed by gross and histologic
measurements, compared to the nondiabetic controls. All drug treatments
significantly improved wound closure with efficacy ratings as follows: 1% 2.24 >
systemic 2.24 > 3% 2.24 with no effect on the severe hyperglycemia. In subsequent
experiments, 1% CMC2.24 "normalized" wound-healing in the diabetics, whereas 1%
curcumin was no more effective than 0.25% CMC2.24, and the latter remained 34%
worse than normal. MMP-8 was increased 10-fold in the diabetic wounds and
topically applied 1% (but not 0.25%) CMC2.24 significantly reduced this excessive
collagenase-2; MMP-13/collagenase-3 did not show significant changes. Additional
studies indicated efficacy of 1% CMC2.24 over more prolonged periods of time up
to 30 days.
PMID- 27191000
TI - Heart Rate Variability as Early Biomarker for the Evaluation of Diabetes Mellitus
Progress.
AB - According to the American Diabetes Association (ADA), the side effects of
diabetes mellitus have recently increased the global health expenditure each
year. Of these, the early diagnostic can contribute to the decrease on renal,
cardiovascular, and nervous systems complications. However, the diagnostic
criteria, which are commonly used, do not suggest the diabetes progress in the
patient. In this study, the streptozotocin model in mice (cDM) was used as early
diagnostic criterion to reduce the side effects related to the illness. The
results showed some clinical signs similarly to five-year diabetes progress
without renal injury, neuropathies, and cardiac neuropathy autonomic in the cDM
model. On the other hand, the electrocardiogram was used to determine alterations
in heart rate and heart rate variability (HRV), using the Poincare plot to
quantify the HRV decrease in the cDM-model. Additionally, the SD1/SD2 ratio and
ventricular arrhythmias showed increase without side effects of diabetes.
Therefore, the use of HRV as an early biomarker contributes to evaluating
diabetes mellitus complications from the diagnostic.
PMID- 27191001
TI - Neuroprotective Activity of (-)-Epigallocatechin Gallate against
Lipopolysaccharide-Mediated Cytotoxicity.
AB - Lipopolysaccharide- (LPS-) mediated systemic inflammation plays a critical role
in neurodegenerative diseases. The present study was conducted to evaluate the
protective effects of epigallocatechin gallate (EGCG), the major component in
green tea, on LPS-mediated inflammation and neurotoxicity. LPS treatment of
macrophages induced expression of proinflammatory cytokines (TNF-alpha, IL-1beta,
and IL-6). However, EGCG pretreatment of macrophages significantly inhibited LPS
mediated induction of these cytokines. In addition, EGCG significantly diminished
LPS-induced inflammatory cytokines in the peripheral mononuclear blood cells
(PBMCs). Supernatant from EGCG-pretreated and LPS-activated macrophage cultures
was found to be less cytotoxic to neurons than that from non-EGCG-pretreated and
LPS-activated macrophage cultures. Furthermore, EGCG treatment of neurons could
inhibit LPS-induced production of reactive oxygen species (ROS). Thus EGCG
represents a potent and useful neuroprotective agent for inflammation-mediated
neurological disorders.
PMID- 27191003
TI - In Vivo Chemoprotective Activity of Bovine Dialyzable Leukocyte Extract in Mouse
Bone Marrow Cells against Damage Induced by 5-Fluorouracil.
AB - Chemotherapy treatments induce a number of side effects, such as leukopenia
neutropenia, peripheral erythropenia, and thrombocytopenia, affecting the quality
of life for cancer patients. 5-Fluorouracil (5-FU) is wieldy used as
myeloablative model in mice. The bovine dialyzable leukocyte extract (bDLE) or
IMMUNEPOTENT CRP(r) (ICRP) is an immunomodulatory compound that has antioxidants
and anti-inflammatory effects. In order to investigate the chemoprotection effect
of ICRP on bone marrow cells in 5-FU treated mice, total bone marrow (BM) cell
count, bone marrow colony forming units-granulocyte/macrophage (CFU-GM), cell
cycle, immunophenotypification, ROS/superoxide and Nrf2 by flow cytometry, and
histological and hematological analyses were performed. Our results demonstrated
that ICRP increased BM cell count and CFU-GM number, arrested BM cells in G0/G1
phase, increased the percentage of leukocyte, granulocytic, and erythroid
populations, reduced ROS/superoxide formation and Nrf2 activation, and also
improved hematological levels and weight gain in 5-FU treated mice. These results
suggest that ICRP has a chemoprotective effect against 5-FU in BM cells that can
be used in cancer patients.
PMID- 27191004
TI - Identification of Bacterial and Viral Codetections With Mycoplasma pneumoniae
Using the TaqMan Array Card in Patients Hospitalized With Community-Acquired
Pneumonia.
AB - Mycoplasma pneumoniae was detected in a number of patients with community
acquired pneumonia in a recent prospective study. To assess whether other
pathogens were also detected in these patients, TaqMan Array Cards were used to
test 216 M pneumoniae-positive respiratory specimens for 25 additional viral and
bacterial respiratory pathogens. It is interesting to note that 1 or more
codetections, predominantly bacterial, were identified in approximately 60% of
specimens, with codetections being more common in children.
PMID- 27191005
TI - Peripheral Intravenous Catheter Placement Is an Underrecognized Source of
Staphylococcus aureus Bloodstream Infection.
AB - Few studies have focused on the risks of peripheral intravenous catheters (PIVs)
as sources for Staphylococcus aureus bacteremia (SAB), a life-threatening
complication. We identified 34 PIV-related infections (7.6%) in a cohort of 445
patients with SAB. Peripheral intravenous catheter-related SAB was associated
with significantly longer bacteremia duration and thrombophlebitis at old PIV
sites rather than current PIVs.
PMID- 27191006
TI - Immunological Signaling During Herpes Simplex Virus-2 and Cytomegalovirus Vaginal
Shedding After Initiation of Antiretroviral Treatment.
AB - Vaginal proinflammatory cytokine expression during herpes virus reactivation was
examined in human immunodeficiency virus-infected women before and after
initiation of antiretroviral therapy (ART). Vaginal swabs were screened for
levels of cytokines interleukin (IL)-1beta, IL-2, IL-4, IL-6, IL-8, IL-10, IL
12p70, IL-13, tumor necrosis factor (TNF)-alpha, and interferon-gamma. The
relative risk (RR) of herpes simplex virus-2 or cytomegalovirus (CMV) shedding
being associated with cytokine levels above the median were estimated. Herpes
simplex virus-2 shedding was significantly associated with higher levels of IL-6
(RR = 1.4, P = .003) and TNF-alpha (RR = 1.3, P = .010), whereas CMV shedding was
associated with higher IL-6 (RR = 1.3, P = .006) and IL-2 (RR = 1.4, P = .01).
The association of viral shedding with higher IL-6 levels suggests that herpes
virus reactivation may be playing a role in immune activation after ART
initiation.
PMID- 27191007
TI - Acceptability of Rapid Point-of-Care Hepatitis C Tests Among People Who Inject
Drugs and Utilize Syringe-Exchange Programs.
AB - People who inject drugs may benefit from point-of-care hepatitis C virus (HCV)
testing offered at syringe exchanges. We sought to understand whether this
population would be willing to undergo rapid HCV testing. We found that there was
broad support for rapid HCV testing, especially among younger people who inject
drugs with high perceived risk.
PMID- 27191002
TI - Posttranslational Modifications and the Immunogenicity of Biotherapeutics.
AB - Whilst the amino acid sequence of a protein is determined by its gene sequence,
the final structure and function are determined by posttranslational
modifications (PTMs), including quality control (QC) in the endoplasmic reticulum
(ER) and during passage through the Golgi apparatus. These processes are species
and cell specific and challenge the biopharmaceutical industry when developing a
production platform for the generation of recombinant biologic therapeutics.
Proteins and glycoproteins are also subject to chemical modifications (CMs) both
in vivo and in vitro. The individual is naturally tolerant to molecular forms of
self-molecules but nonself variants can provoke an immune response with the
generation of anti-drug antibodies (ADA); aggregated forms can exhibit enhanced
immunogenicity and QC procedures are developed to avoid or remove them.
Monoclonal antibody therapeutics (mAbs) are a special case because their purpose
is to bind the target, with the formation of immune complexes (ICs), a particular
form of aggregate. Such ICs may be removed by phagocytic cells that have antigen
presenting capacity. These considerations may frustrate the possibility of
ameliorating the immunogenicity of mAbs by rigorous exclusion of aggregates from
drug product. Alternate strategies for inducing immunosuppression or tolerance
are discussed.
PMID- 27191008
TI - Preface to Special Topic: Invited Papers of the 3rd International Conference on
Ultrafast Structural Dynamics.
AB - The ability to visualize the real-time dynamics of atomic, magnetic, and
electronic structure is widely recognized in many fields as a key element
underpinning many important processes in chemistry, materials science, and
biology. The need for an improved understanding of such processes becomes acute
as energy conversion processes on fast time scales become increasingly relevant
to problems in science and technology. This special issue, containing invited
papers from participants at the 3rd International Conference on Ultrafast
Structural Dynamics held June 10-12, 2015 in Zurich, Switzerland, discusses
several recent developments in this area.
PMID- 27191009
TI - Energy utilization in fluctuating biological energy converters.
AB - We have argued previously [Szoke et al., FEBS Lett. 553, 18-20 (2003); Curr.
Chem. Biol. 1, 53-57 (2007)] that energy utilization and evolution are emergent
properties based on a small number of established laws of physics and chemistry.
The relevant laws constitute a framework for biology on a level intermediate
between quantum chemistry and cell biology. There are legitimate questions
whether these concepts are valid at the mesoscopic level. Such systems fluctuate
appreciably, so it is not clear what their efficiency is. Advances in fluctuation
theorems allow the description of such systems on a molecular level. We attempt
to clarify this topic and bridge the biochemical and physical descriptions of
mesoscopic systems.
PMID- 27191011
TI - Femtosecond infrared spectroscopy of channelrhodopsin-1 chromophore
isomerization.
AB - Vibrational dynamics of the retinal all-trans to 13-cis photoisomerization in
channelrhodopsin-1 from Chlamydomonas augustae (CaChR1) was investigated by
femtosecond visible pump mid-IR probe spectroscopy. After photoexcitation, the
transient infrared absorption of C-C stretching modes was detected. The formation
of the 13-cis photoproduct marker band at 1193 cm(-1) was observed within the
time resolution of 0.3 ps. We estimated the photoisomerization yield to (60 +/-
6) %. We found additional time constants of (0.55 +/- 0.05) ps and (6 +/- 1) ps,
assigned to cooling, and cooling processes with a back-reaction pathway. An
additional bleaching band demonstrates the ground-state heterogeneity of retinal.
PMID- 27191010
TI - Structural dynamics of a methionine gamma-lyase for calicheamicin biosynthesis:
Rotation of the conserved tyrosine stacking with pyridoxal phosphate.
AB - CalE6 from Micromonospora echinospora is a (pyridoxal 5' phosphate) PLP-dependent
methionine gamma-lyase involved in the biosynthesis of calicheamicins. We report
the crystal structure of a CalE6 2-(N-morpholino)ethanesulfonic acid complex
showing ligand-induced rotation of Tyr100, which stacks with PLP, resembling the
corresponding tyrosine rotation of true catalytic intermediates of CalE6
homologs. Elastic network modeling and crystallographic ensemble refinement
reveal mobility of the N-terminal loop, which involves both tetrameric assembly
and PLP binding. Modeling and comparative structural analysis of PLP-dependent
enzymes involved in Cys/Met metabolism shine light on the functional implications
of the intrinsic dynamic properties of CalE6 in catalysis and holoenzyme
maturation.
PMID- 27191012
TI - Femtosecond X-ray solution scattering reveals that bond formation mechanism of a
gold trimer complex is independent of excitation wavelength.
AB - The [Au(CN)2 (-)]3 trimer in water experiences a strong van der Waals interaction
between the d(10) gold atoms due to large relativistic effect and can serve as an
excellent model system to study the bond formation process in real time. The
trimer in the ground state (S0) exists as a bent structure without the covalent
bond between the gold atoms, and upon the laser excitation, one electron in the
antibonding orbital goes to the bonding orbital, thereby inducing the formation
of a covalent bond between gold atoms. This process has been studied by various
time-resolved techniques, and most of the interpretation on the structure and
dynamics converge except that the structure of the first intermediate (S1) has
been debated due to different interpretations between femtosecond optical
spectroscopy and femtosecond X-ray solution scattering. Recently, the excitation
wavelength of 267 nm employed in our previous scattering experiment was suggested
as the culprit for misinterpretation. Here, we revisited this issue by performing
femtosecond X-ray solution scattering with 310 nm excitation and compared the
results with our previous study employing 267 nm excitation. The data show that a
linear S1 structure is formed within 500 fs regardless of excitation wavelength
and the structural dynamics observed at both excitation wavelengths are identical
to each other within experimental errors.
PMID- 27191013
TI - Evaluation of Spontaneous Pneumothorax Surgeries: A 16-Year Experience in Japan.
AB - Background. Video-assisted thoracoscopic surgery is the surgical procedure of
choice for spontaneous pneumothorax due to its noninvasiveness and convenience. A
higher recurrence rate with thoracoscopic bullectomy (TB) than that after
traditional thoracotomy (TT) led us to adopt thoracoscopic double-loop ligation
(TLL) as our standard procedure in 1998. This study compares the effectiveness
and safety of these 3 operative procedures. Methods. Patients who underwent their
first surgery for spontaneous pneumothorax at our hospital between January 1994
and December 2010 were included. Patients with a history of surgery for
spontaneous pneumothorax, those with special clinical conditions such as
lymphangioleiomyomatosis, or those with catamenial, traumatic, or iatrogenic
pneumothorax were excluded. Results. A total of 777 males (14-91 years old; 814
pneumothorax sides), and 96 females (16-78 years old; 99 pneumothorax sides) were
included in the study. TT was performed in 137 patients (143 sides), TB in 106
patients (112 sides), and TLL in 630 patients (658 sides). The postoperative
recurrence rates were 3.5%, 16.1%, and 5.3% in the TT, TB, and TLL groups,
respectively (p < 0.0001). Mean blood loss and operating time were lowest for
TLL. Conclusions. The results suggest that TLL should be the surgical procedure
of choice for spontaneous pneumothorax.
PMID- 27191015
TI - Clinical round-up.
PMID- 27191014
TI - Oversolubility in the microvicinity of solid-solution interfaces.
AB - Water-solid interactions at the macroscopic level (beyond tens of nanometers) are
often viewed as the coexistence of two bulk phases with a sharp interface in many
areas spanning from biology to (geo)chemistry and various technological fields
(membranes, microfluidics, coatings, etc.). Here we present experimental evidence
indicating that such a view may be a significant oversimplification. High
resolution infrared and Raman experiments were performed in a 60 * 20 MUm(2)
quartz cavity, synthetically created and initially filled with demineralized
water. The IR mapping (3 * 3 MUm(2) beam size) performed using the SOLEIL
synchrotron radiation source displays two important features: (i) the presence of
a dangling free-OH component, a signature of hydrophobic inner walls; (ii) a
shift of the OH-stretching band which essentially makes the 3200 cm(-1) sub-band
predominate over the usual main component at around 3400 cm(-1). Raman maps
confirmed these signatures (though less marked than IR's) and afforded a refined
spatial distribution of this interfacial signal. This spatial resolution,
statistically treated, results in a puzzling image of a 1-3 MUm thick marked
liquid layer along the entire liquid-solid interface. The common view is then
challenged by this strong evidence that a MUm-thick layer analogous to an
interphase forms at the solid-liquid interface. The thermodynamic counterpart of
the vibrational shifts amounts to around +1 kJ mol(-1) at the interface with a
rapidly decreasing signature towards the cavity centre, meaning that vicinal
water may form a reactive layer, of micrometer thickness, expected to have an
elevated melting point, a depressed boiling temperature, and enhanced solvent
properties.
PMID- 27191016
TI - Postpartum pain in relation with Personal Meaning Organization.
AB - AIM: The aim of this study was to investigate the relationship between postpartum
pain and personality considered as Personal Meaning Organization (PMO). Pain
diseases, not related to organic disorders, frequently occur in postpartum and
may lead to severe consequences for women and their functions of caregiving.
Emotions are usually experienced in the body and their expression is strictly
related to individual personality. Considering personality as a process, each
symptom expresses a need to maintain the sense of oneness and historical
continuity. METHODS: One-hundred and five women were enrolled from the Department
of Obstetrics and Gynecology and after delivery they presented postpartum pain
not related to organic diseases. Women filled out a general information
questionnaire assessing age, employment, marital status, education level, parity,
type of delivery, attendance to a prepartum course, week of gestation. Their
personality, as PMO, was evaluated using the Mini Questionnaire of Personal
Organization (MQPO). RESULTS: Controller PMO perceived more pain compared to the
Principle Oriented PMO (95% CIs [-0.09, -1.98]; Wald Z=-2.28; P<0.02), slightly
more than contextualized patients (95% CIs [-0.09, -1.15]; Wald Z=-1.81, P<0.06)
and more than those with a Detached PMO (95% CIs [-0.09, -2.10]; Wald Z=-1.84,
P<0.06). CONCLUSION: The results suggest a role of PMO in influencing the
perception of postpartum pain and no relation with the other general information
assessed, particularly, within the controller women group in which the experience
of physical pain might be a way to represent a subjective discomfort.
PMID- 27191017
TI - [Pneumonia: The urgent problem of 21st century medicine].
AB - The paper analyzes the systematic reviews and meta-analyses on the strategic
issues of pneumonia, which have been published in the past 3 years. It discusses
the prevalence and mortality rates of acquired pneumonia, hospital-acquired
(nosocomial) pneumonia, healthcare-associated pneumonia, ventilator-associated
pneumonia, and Mycoplasma pneumonia, and the specific features of their etiology,
diagnosis, and treatment. A large number of investigations emphasize the
relevance of this problem in current clinical practice.
PMID- 27191018
TI - [Anemias in chronic obstructive pulmonary disease].
AB - According to different studies, anemia occurs in 8--33% of patients with chronic
obstructive pulmonary disease (COPD). The paper describes the most important
various causes of anemia in COPD, such as systemic inflammation and endocrine
disorders, the use of some medications (theophylline, angiotensin-converting
enzyme inhibitors), frequent COPD exacerbations, and long-term oxygen therapy.
Lower hemoglobin levels in COPD patients are accompanied by increased shortness
of breath, reduced exercise tolerance, and lower quality of life. Furthermore,
some investigations have shown that anemia is an independent predictor of death
in patients with COPD. In spite of the fact that anemia may be successfully in
these patients, the evidence suggesting the importance of its impact on the
prognosis of COPD is limited.
PMID- 27191021
TI - Oncogene panels: a window into the individuality of cancers.
PMID- 27191019
TI - [Possible side effects of drugs in elderly patients with chronic obstructive
pulmonary disease and comorbidity].
AB - the papers gives data on the positive effects and adverse reactions of drugs used
to treat chronic obstructive pulmonary disease (COPD) and its comorbidity, first
of all cardiovascular disease. The authors present alternative points of views
based on both the data available in the literature and their findings. they
propose to modify pharmacotherapy for COPD in the presence of comorbidity in
patients of old age groups.
PMID- 27191022
TI - Developing blood biomarker tests for the detection of cancer.
PMID- 27191023
TI - IMPROVING QUALITY OF THE ETHIOPIAN MEDICAL JOURNAL: CURRENT CHALLENGES TO
CHANGING THE TIDE.
PMID- 27191024
TI - COMMON GENOTYPES AND TREATMENT OUTCOMES OF HCV INFECTION AMONG ETHIOPIAN
PATIENTS: A PROSPECTIVE STUDY.
AB - BACKGROUND: The treatment response of HCV infection is dependent on genotype and
stage of the disease. However, genotype pattern and treatment outcomes of HCV
infection among Ethiopian patients has not been studied so far. OBJECTIVES: To
evaluate the common HCV genotypes and treatment outcomes among Ethiopian adult
patients. METHOD: Adult patients aged 18 and above with HCV infection referred
from various regions of the country were included in the study after written
informed consent. As there was no free or insurance coverage for treatment of HCV
infection in the country, those who could afford to pay for treatment with PEG
Interferon and Ribavirin were recruited during January 1, 2008 through December
31,2013 at United Vision, Adera. Old Airport, and Mexico referral higher clinics
in Addis Ababa. Patients with decompensated cirrhosis and pregnant ladies were
excluded from the study. The patients were counseled on treatment options, cost,
treatment outcomes, adverse drug effects, and possible complications. Data were
collected on demographic features, clinical characteristics, viral genotypes, and
treatment outcomes during follow up visits until six months after completion of
recommended standard treatment. Data were analyzed using SPSS software. RESULTS:
A total of 200 adults with chronic HCV infection were treated with PEG-Interferon
and Ribavirin (for 24 or 48 weeks according to the genotypes) during the study
period. Of the 200 patients enrolled in the study, 120 (60%) were male, 90% were
from Addis Ababa, and the median age was 48 years. Sixty per cent of the patients
were infected with genotype 4,17% with genotype 1, 13.5% with genotype 2 and 9.5%
with genotype 3. Eighty percent of the patients had end of treatment response; of
these, 74.4% had undetectable HCV RNA at 6th month after end of treatment. The
end of treatment response was noted to be close to 90% for patients with HCV
genotypes 2 and.3 infections. CONCLUSION: This study indicates that genotype 4 is
the prevalent HCV genotype followed by 1, 2, and 3 among Ethiopian patients.
Treatment with interferon and ribavirin was well tolerated and provided a very
good response.
PMID- 27191025
TI - PATTERNS AND SEASONAL VARIATION OF INTUSSUSCEPTION IN CHILDREN: A RETROSPECTIVE
ANALYSIS OF CASES OPERATED IN A TERTIARY HOSPITAL IN ETHIOPIA.
AB - BACKGROUND: Intussusception is one of the frequent causes of bowel obstruction in
infants and toddlers (1). It involves invagination of a portion of intestine into
another(2-4). The peak age of occurrence is between the age of 4 and 8 months.
The aim of this study is to review the pattern of clinical presentation and
seasonal variation of intussusception in our hospital, and to analyze the mode
and outcomes of treatment. PATIENTS AND METHODS: This is a four-year
retrospective study of children aged 13 years and below who were admitted and
treated for intussusception between January 2011 and December 2014 at the
pediatric surgery unit of Tikur Anbesa Specialized Hospital (TAH) in Ethiopia.
Information on the patients' demographic characteristics, clinical presentation,
and month of occurrence as well as the operative findings and outcome were
obtained from the pediatric surgery unit record book, patient charts, and the
operating theatre registry. RESULTS: One hundred and thirty six cases of
intussusception were admitted to TAH, Addis Ababa over a four year period, of
which 130 charts were retrieved and analyzed. Males dominated in the series. Age
distribution showed that 59.2% of the cases were <= one year old, and 77.7 % were
<= two years old. Abdominal pain, vomiting, bloody mucoid diarrhea and a mass
palpated abdominally and/or rectally were the most common modes of presentations,
with the classic triad of abdominal pain, vomiting and bloody mucoid diarrhea
occurring in nearly two third of cases. The highest peak of presentation was in
the month of June with 18 (13.9%) cases. The mean duration of symptoms before
presentation to our hospital was 5.2 days with a range of 1-21 days.
Intraoperatively, it was found that ileocolic intussusception was the most common
type. Simple reduction without bowel resection was possible in 70.8% of cases.
There were 44 (33.9 %) complications, wound site infection being the most common
occurring in 20 (15.4%) cases and there were 6 deaths. CONCLUSION:
Intussusception was more common in the wet season. There was delayed presentation
with a higher rate of operative management and bowel resection. The mortality
rate has decreased significantly compared with a previous study from this
institution.
PMID- 27191026
TI - OPEN WINDOW THORACOSTOMY, STILL AN OPTION IN THE MANAGEMENT OF CHRONIC EMPYEMA:
EXPERIENCE FROM AYDER REFERRAL HOSPITAL.
AB - OBJECTIVE: Chronic empyema is a serious problem and is often difficult to manage.
Its incidence has dropped worldwide, but continues to pose health problems in low
and middle income countries. This study has been conducted to assess the outcome
of open thoracic window in patients with neglected chronic thoracic empyemain
Ethiopian patients. METHODS: A six-year (June 2008 to October 2014) retrospective
study was conducted on thirty five patients (ten females and twenty five males,
age ranging from 30-70 years). Open window thoracostomy was performed on these
patients for chronic empyema with residual lung tissue and with or without
bronchopleural fistula who failed to respond to the conventional methods of
treatment. RESULTS: The etiology was primary empyema in 16 patients, post
traumatic in 12 patients, and post-thoracotomy in seven patients. Spontaneous
closure was achieved in 12 patients; simple closure was done on 18 patients; and
closure with muscle flap in five patients. In all patients, the cavity cleared
from secretions in two to three weeks and the residual space narrowed in seven to
nine months. All patients gained weight following the surgery. CONCLUSION: Our
result has revealed that open thoracic window is still an alternative method for
the treatment of chronic empyema when the conventional method of treatment fails.
PMID- 27191027
TI - HEMATOLOGICAL AND LIPID PROFILES OF BLOOD DONORS AT RED CROSS CENTER IN ADDIS
ABABA.
AB - BACKGROUND: Physiological range of clinically important hematological laboratory
values and lipid profiles of healthy population in Ethiopia is not well assessed.
OBJECTIVE: to determine hematologic and lipid profiles of healthy blood donors in
Addis Ababa. METHODS: Red Cross Society located in Addis Ababa was selected as a
study area. 336 donors; age range between 18-58 years participated. Venous blood
sample was collected by tube containing Ehtylenediaminetetraaceticacid tri
potassium (EDTA) for hematology test and sterile tube for lipid test. After
centrifugation serum was extracted for lipid test and transported to St. Pauls's
Millennium Medical College (SPHMMC) within 5-8hrs of sample collection. IBM.SPSS
version 21 was used for data analysis, statistical significance was set at P<
0.05 and 95% CL was accepted. RESULTS: Red blood cell count, mean corpuscular
volume, platelet count and triglyceride level were significantly higher in the
present study than the reference range. RBC indices, white blood cell,
Hemoglobin, hematocrit, high density lipoprotein, low density lipoprotein and
total cholesterol were higher in the reference range used in clinical practice.
Significantly higher red blood count (p = 0.000), Hg (p = 0.000), Hematocrit (p =
0.000) and mean corpuscular hemoglobin concentration (p = 0.009) were observed in
the male. Significantly higher platelet count and high density lipoprotein were
observed among females (p = 0.001 and p = 0.001 respectively). No significant
change in hematological laboratory values and lipid profiles was seen across age
groups. CONCLUSION: It is evident from this study that hematological and lipid
variables obtained were statistically significantly different from the reference
range currently used in clinical practice.
PMID- 27191028
TI - VISCERAL LEISHMANIASIS FROM AN AREA PREVIOUSLY NOT KNOWN TO BE ENDEMIC; DANGUR,
BENSHANGUL-GUMUZ, REGIONAL STATE, NORTHWEST ETHIOPIA: A CASE REPORT.
AB - Visceral leishmaniasis (VL) is a ftial and growing public health problem in
Ethiopia. VL is recently reported outside the major endemic foci, the lowlands in
the northwest and the Omo and Abaroba-plain, Segen and Woito valleys in the
southwest. Here, we report a visceral leishmaniasis case from Benishangul-Gumuz
Regional state near the Guba area. The patient had no history of travel to known
VL endemic areas. The patient is a temporary farm laborer from West Go'jam Zone,
Wanbermna District in Amhara Regional State. While in Benishangul-Gumuz, the
patient was diagnosed with prolonged and intermittentfever, epistaxis,
splenomegaly, skin pallor, diarrhea, cough and oedema. Laboratory diagnosis
results showed that he had marked leucopenia, thrombocytopenia and anemia. The
patient was suspected of having VL and checked with rK39 immunochromnatography
and direct agglutination tests which were positive for anti leishmanial
antibodies. After getting full dose of sodium stibogluconate as per the national
visceral leishmaniasis treatment guideline, was clinically cured. As the area in
Benshangul-Gumuz where this patient contracted visceral leishmaniasis is under
social and ecological transformation with large scale projects attracting huge
influx of temporary laborers and settlers, due attention is needed with respect
to introduction or emergence of VL transmission.
PMID- 27191029
TI - A RARE CASE OF HYDATID CYST DISEASE OF THE BREAST: A CASE REPORT AND REVIEW OF
LITERATURE.
AB - Hydatid cyst of the breast is extremely rare even in endemic areas and it only
accounts for 0.27% of all hydatid cyst disease cases. It can either be a primary
site or part of a disseminated hydatidosis. Surgery remains to be a treatment of
choice. Only few reports are published in the literatures and most of the
reported cases have been diagnosed postoperatively as it is not easy to reach at
a definitive diagnosis with clinical examination and radiological investigations
only. This is a case of an isolated hydatid cyst of breast in an 18-year old
woman from the Amhara region, Ethiopia. She presented with left breast painless
lump of 5 years duration and a correct pre-operative diagnosed was made by fine
needle aspiration cytology, then confirmed after surgery. We believe this disease
should be included in the differential diagnosis of benign breast lumps
especially in endemic areas.
PMID- 27191030
TI - Corrections.
AB - [This corrects the article on p. E1 in vol. 104, PMID: 26807062.].
PMID- 27191031
TI - Enriching Photoelectrons via Three Transition Channels in Amino-Conjugated Carbon
Quantum Dots to Boost Photocatalytic Hydrogen Generation.
AB - Well-steered transport of photogenerated carriers in optoelectronic systems
underlies many emerging solar conversion technologies, yet assessing the charge
transition route in nanomaterials remains a challenge. Herein, we combine the
photoinduced absorption, emission, and excitation properties in high luminescent
carbon quantum dots (CQDs) with an amino-modified surface to identify the
existence of three photoelectron transition channels, that is, near-band-edge
transition, multiphoton active transition in CQDs, and transfer from amino groups
to CQDs, and together they contribute to strong blue photoluminescence (PL)
independent of the excitation wavelength. Moreover, the enriching electron
reservoir via these three channels was demonstrated in a holes cleaning
environment to efficiently trigger water splitting into hydrogen with excellent
stability and recyclability.
PMID- 27191032
TI - Pharmacological treatment of eosinophilic gastrointestinal disorders.
AB - INTRODUCTION: Eosinophilic gastrointestinal disorders (EGIDs) are increasingly
prevalent chronic inflammatory diseases characterized by eosinophilic
infiltration of the gastrointestinal (GI) tract, in the absence of other known
causes of eosinophilia. AREAS COVERED: Clinical management of EGIDs is
challenging, as there are currently limited therapeutic options available. The
most common EGID is eosinophilic esophagitis (EoE), and rarer forms are
eosinophilic gastritis, eosinophilic gastroenteritis, and eosinophilic colitis.
Clinical presentation depends on the affected GI site. Recently duodenal
eosinophilia has been recognized to commonly be present in patients with
functional dyspepsia. This review will provide an overview of the pathogenesis
and therapeutic management of EGIDs, with particular focus on the pharmacological
strategies for these conditions. Expert commentary: Despite the considerable
progress made in understanding the pathogenesis of EGIDs, there is still an
urgent need for the development of specific and effective therapeutic approaches.
Therapeutic management protocols are required that are based on rigorous clinical
investigation in large prospective controlled trials to better understand the
risks, benefits and limitations of each therapy. More well-defined and consistent
end-points are also required to assess treatment outcomes, as there has been
variability between patient reported outcomes, clinical outcomes, and
histological outcomes in the studies to date.
PMID- 27191033
TI - Measurement of Multiple Vitamin K Forms in Processed and Fresh-Cut Pork Products
in the U.S. Food Supply.
AB - Vitamin K food composition data have historically been limited to plant-based
phylloquinone (vitamin K1). The purpose of this study was to expand analysis of
vitamin K to animal products and to measure phylloquinone and 10 forms of
menaquinones (vitamin K2) in processed and fresh-cut pork products. Nationally
representative samples of processed pork products (n = 28) were obtained through
USDA's National Food and Nutrition Analysis Program, and fresh pork (six cuts; n
= 5 per cut) and bacon (n = 4) were purchased from local retail outlets. All
samples were analyzed by high-performance liquid chromatography (phylloquinone
and menaquinone-4) and atmospheric-pressure chemical ionization-liquid
chromatography-mass spectrometry (menaquinone-5 to menaquinone-13). Although low
in phylloquinone (<2.1 +/- 0.5 MUg of phylloquinone per 100 g), all processed
pork products and fresh pork cuts contained menaquinone-4, menaquinone-10, and
menaquinone-11 (range: [35.1 +/- 11.0]-[534 +/- 89.0] MUg of menaquinones per 100
g). The total menaquinone contents of processed pork products were correlated
with fat contents (r = 0.935). In summary, processed and fresh-cut pork products
are a rich dietary source of menaquinones that are currently unaccounted for in
assessment of vitamin K in the food supply.
PMID- 27191034
TI - Hepatoprotective effect of trans-Chalcone on experimentally induced hepatic
injury in rats: inhibition of hepatic inflammation and fibrosis.
AB - The current study investigated the hepatoprotective effect of trans-Chalcone in
carbon tetrachloride (CCl4) and paracetamol (PCM) induced liver damage in rats.
Administration of CCl4 and PCM (1 mL/kg, i.p., 3 days, and 2 g/kg, p.o., single
dose, respectively) produced hepatic injury. Ponderal changes (percent change in
body mass and relative liver mass) and biochemical parameters (serum ALT, AST,
ALP, bilirubin) were estimated. The markers of oxidative and nitrosative stress
(TBARS, reduced GSH, nitrite and nitrate), hepatic fibrosis (TGF-beta1, collagen
content), hepatic inflammation (TNF-alpha), and histopathological study were
evaluated. trans-Chalcone (5, 10, and 20 mg/kg, i.p.) was found to be beneficial
as demonstrated by significant reversal of liver histology by perceptible
reduction of inflammatory cell infiltration with regenerative changes in
hepatocytes. Improvement in percent change in body mass and significant reduction
in relative liver mass were observed. Marked reduction in serum levels of ALT,
AST, ALP, and bilirubin were noted. Decreases in TBARS and nitrites and nitrates
and increases in reduced GSH levels were noted. Hepatic fibrosis and inflammation
were significantly decreased. The findings indicate a novel hepatoprotective role
for trans-Chalcone by improving hepatic injury by possible actions such as anti
oxidant, anti-nitrosative, anti-fibrotic, and anti-inflammatory. Hence, it can be
used as promising hepatoprotective agent.
PMID- 27191036
TI - Manganese(II)/Picolinic Acid Catalyst System for Epoxidation of Olefins.
AB - An in situ generated catalyst system based on Mn(CF3SO3)2, picolinic acid, and
peracetic acid converts an extensive scope of olefins to their epoxides at 0
degrees C in <5 min, with remarkable oxidant efficiency and no evidence of
radical behavior. Competition experiments indicate an electrophilic active
oxidant, proposed to be a high-valent Mn = O species. Ligand exploration suggests
a general ligand sphere motif contributes to effective oxidation. The method is
underscored by its simplicity and use of inexpensive reagents to quickly access
high value-added products.
PMID- 27191035
TI - Shigella Antimicrobial Drug Resistance Mechanisms, 2004-2014.
AB - To determine antimicrobial drug resistance mechanisms of Shigella spp., we
analyzed 344 isolates collected in Switzerland during 2004-2014. Overall, 78.5%
of isolates were multidrug resistant; 10.5% were ciprofloxacin resistant; and 2%
harbored mph(A), a plasmid-mediated gene that confers reduced susceptibility to
azithromycin, a last-resort antimicrobial agent for shigellosis.
PMID- 27191037
TI - Relocation and Hair Cortisol Concentrations in New Zealand White Rabbits.
AB - To investigate how long relocation modified hair cortisol concentrations in New
Zealand white rabbits, 19 rabbits were subjected to a change in their breeding
facility at the beginning of the trial and then were kept under stable
environmental conditions. Hair samples were collected at the time of arrival to
the nonhuman animal facility and at 40-day intervals from the same skin area for
up to 440 days after the animals' arrival to the facility. A period effect on the
hair cortisol concentration was found (p < .01). The transfer of the rabbits to
the new facility might have induced an increase in the hypothalamic-pituitary
adrenal axis activity (p < .01). A second increase in hair cortisol concentration
(p < .01) occurred at 320 days, after a change of personnel at the facility that
occurred at 280 days, which was the only environmental change. The relocation of
rabbits to the facility resulted in a stress response leading to elevated
cortisol levels. The effect of relocation on mean cortisol concentrations was
exhausted within 120 days when all environmental factors were kept stable.
PMID- 27191039
TI - CoPc 2D and 1D Arrangement on a Ferromagnetic Surface.
AB - We investigated the growth and electronic properties of Co-phthalocyanine (CoPc)
molecule deposited on iron film with different structures (pseudomorph-fcc and
bcc) and on iron nanowires by scanning tunnelling microscopy and X-ray absorption
spectroscopy (XAS). CoPc molecules self-assemble in a two-dimensional (2D)
arrangement with the molecular plane parallel to the iron surfaces, and the local
order is lost after the first layer. The molecule-ferromagnet interaction causes
the broadening of Co and N unoccupied molecular states as well as different
electronic distribution of N states as a function of the atomic structure of iron
surface. The ferromagnetic coupling between the molecule and the iron film is
dominated by the electronic interaction between Co and the first Fe layer. CoPc
2D arrangement turns into 1D by using as a template the iron nanowire grown on a
facet surface of oxidized Cu(332) surface. CoPc molecules interact weakly with
the iron nanowires manifesting a substantial Co 3dz spectral feature in XAS
spectrum and the possibility of a magnetic interaction between Co moment and iron
nanowires. Both CoPc 2D and 1D arrangements can open up new interesting scenarios
to tune the magnetic properties of hybrid interfaces involving metallorganic
molecules.
PMID- 27191038
TI - Middle East Respiratory Syndrome Coronavirus Transmission in Extended Family,
Saudi Arabia, 2014.
AB - Risk factors for human-to-human transmission of Middle East respiratory syndrome
coronavirus (MERS-CoV) are largely unknown. After MERS-CoV infections occurred in
an extended family in Saudi Arabia in 2014, relatives were tested by using real
time reverse transcription PCR (rRT-PCR) and serologic methods. Among 79
relatives, 19 (24%) were MERS-CoV positive; 11 were hospitalized, and 2 died.
Eleven (58%) tested positive by rRT-PCR; 8 (42%) tested negative by rRT-PCR but
positive by serology. Compared with MERS-CoV-negative adult relatives, MERS-CoV
positive adult relatives were older and more likely to be male and to have
chronic medical conditions. Risk factors for household transmission included
sleeping in an index patient's room and touching respiratory secretions from an
index patient. Casual contact and simple proximity were not associated with
transmission. Serology was more sensitive than standard rRT-PCR for identifying
infected relatives, highlighting the value of including serology in future
investigations.
PMID- 27191040
TI - Next-Generation Sequencing of Mycobacterium tuberculosis.
PMID- 27191041
TI - Sudden fetal death due to dualism of the sino-atrial node.
AB - First, we report a sudden fetal death at 33(+3)weeks due to sino-atrial node
dualism. The female stillborn was delivered by induced labor. The postmortem
examination of the cardiac conduction system revealed a dualism of the sino
atrial node, associated with fragmentation of the atrio-ventricular node and His
bundle. These abnormalities of the cardiac conduction system represent the
morphological substrate for the development of malignant arrhythmias. In
particular, the dualism of the sino-atrial node can cause the dissociation of the
longitudinal nodal impulse into two distinct ways of different pulse generation,
resulting in supraventricular tachyarrhythmias. This observation suggests new
avenues of research on the pathogenesis of the sudden unexpected fetal death.
Moreover, our findings confirm the need for an accurate postmortem examination,
including serial sectioning of the cardiac conduction system, in every case of
unexplained fetal death, following standardized autoptic protocols.
PMID- 27191042
TI - Vitamin D and autoimmunity.
AB - OBJECTIVES: To review and evaluate the role of vitamin D in autoimmune diseases
based on current studies. METHOD: We searched PubMed using keywords such as
'vitamin D', 'autoimmune disease', and 'autoimmunity'. We compiled and reviewed
various studies including prospective cohorts, cross-sectional studies,
longitudinal evaluations, genetic studies, and experimental models that
investigated the role of vitamin D in autoimmune diseases. RESULTS: There is
evidence based on these various studies that several key autoimmune diseases are
modulated by vitamin D. These diseases include, but are not limited to, multiple
sclerosis (MS), scleroderma or systemic sclerosis (SSc), autoimmune thyroid
diseases, rheumatoid arthritis (RA), and primary biliary cirrhosis (PBC).
CONCLUSIONS: Although there is evidence for vitamin D as a factor in the
pathophysiology of autoimmune diseases, the mechanism for this association has
yet to be elucidated. Additional data are needed to corroborate these findings.
PMID- 27191044
TI - Elevated irisin and alanine aminotransferase levels in hypothyroidism: role of
oxidative stress?
PMID- 27191043
TI - Autophagy in cardiac metabolic control: Novel mechanisms for cardiovascular
disorders.
AB - As an extensively studied quality control system, autophagy is responsible for
clearance of dysfunctional organelles and damaged marcomolecules in cells. In
addition to its biological recycling function, autophagy plays a significant role
in the pathogenesis of metabolic syndromes such as obesity and diabetes. In
particular, metabolic disorders contribute to cardiovascular disease development.
As energy required to maintain cardiac cells functional is immense, disturbances
in the balance between anabolic and catabolic metabolism possibly contribute to
cardiovascular disorders. Therefore, an urgent need to expand our knowledge on
the role of autophagy on the metabolic regulation of hearts emerges. In this
review, the potential relationship between autophagic activity and cardiac
metabolism is explored and we also discuss how dysregulated autophagy leads to
severe cardiac disorders from the perspective of metabolic control.
PMID- 27191045
TI - Biomarkers in diabetic kidney disease: good use and pitfalls.
PMID- 27191046
TI - Evaluation of creatinine-based and cystatin C-based equations for estimation of
glomerular filtration rate in type 1 diabetic patients.
AB - Objective Several formulas based in different biomarkers may be used to estimate
glomerular filtration rate (GRF). However, all of them have some limitations, and
it is very important to evaluate their performances in different groups of
patients. Therefore, we compared GFR, as estimated by creatinine-based and
cystatin C-based equations, according to albuminuria, in type 1 diabetes (T1DM),
in an observational case-control study. Subjects and methods T1DM patients were
classified according to albuminuria: normoalbuminuric (n = 63), microalbuminuric
(n = 30), macroalbuminuric (n = 32). GFR was calculated using creatinine-based
and cystatin C-based (aMDRD, CKD-EPIcr, CKD-EPIcys, MacIsaac, Tan and CKD
EPIcrcys) equations. Spearman Correlation was used to evaluate the correlation of
GFR estimated by the formulas with albuminuria. ROC curves were constructed to
compare AUCs of GFR estimated by equations, in reference to macroalbuminuria.
Sensibility, specificity and accuracy were calculated for a cut-off < 60
mL/min/1.73 m2. Results GFR estimated by creatinine-based and cystatin C-based
equations significantly differed among normoalbuminuric, microalbuminuric and
macroalbuminuric patients. Spearman correlation and AUCs of GFR estimated by
creatinine-based and cystatin C-based formulas were very similar to each other,
though cystatin C-based equations presented better correlation with albuminuria
and higher AUCs than the creatinine-based ones, and the best accuracy to detect
macroalbuminuric patients. Conclusion Although GFR estimated by all creatinine
based and cystatin C-based equations permitted the differentiation between T1DM
patients, according to albuminuria, cystatin C-based equations presented best
accuracy to detect macroalbuminuria in T1DM patients and should be considered in
the clinical routine in order to increase the possibility of early diagnostic of
chronic renal disease.
PMID- 27191047
TI - Effects of excess maternal thyroxin on the bones of rat offspring from birth to
the post-weaning period.
AB - Objective To evaluate, in rat offspring, bone changes induced by excess maternal
thyroxin during pregnancy and lactation, and to assess the reversibility of these
changes after weaning. Material and methods Twenty Wistar rats were distributed
in two groups, hyperthyroid and control, that were treated daily with L-thyroxin
(50 mcg/animal) and placebo, respectively. The treatment was initiated seven days
before mating and continued throughout pregnancy and lactation. From every female
of each of the two groups, two offspring were euthanized after birth, two at 21
days of age (weaning), and two at 42 days of age (21 days after weaning). In
newborns, the length of pelvic and thoracic limbs were measured, and in the other
animals, the length and width of the femur and humerus were measured. Bones were
dissected, decalcified, embedded in paraffin, and analyzed histomorphometrically.
Results Excess maternal thyroxin significantly reduced the length of the pelvic
limb in neonates. In 21-day-old individuals, excess maternal thyroxine reduced
the length and the width of the femur and the humerus. It also increased
thickness of the epiphyseal plate and the percentage of trabecular bone tissue.
In 42-day-old individuals, there were no significant differences between groups
in relation to the parameters evaluated in the previous periods. Conclusion
Excess maternal thyroxine reduced growth in suckling rats both at birth and at
weaning, and it also increased the percentage of trabecular bone tissue in 21-day
old animals. These changes, however, were reversible at 42 days, i.e., 21 days
after weaning. Arch Endocrinol Metab. 2016;60(2):130-7.
PMID- 27191048
TI - Validation of HOMA-IR in a model of insulin-resistance induced by a high-fat diet
in Wistar rats.
AB - Objective The present study aimed to validate homeostasis model assessment of
insulin resistance (HOMA-IR) in relation to the insulin tolerance test (ITT) in a
model of insulin-resistance in Wistar rats induced by a 19-week high-fat diet.
Materials and methods A total of 30 male Wistar rats weighing 200-300 g were
allocated into a high-fat diet group (HFD) (55% fat-enriched chow, ad lib, n =
15) and a standard-diet group (CD) standard chow, ad lib, n = 15), for 19 weeks.
ITT was determined at baseline and in the 19th week. HOMA-IR was determined
between the 18-19th week in three different days and the mean was considered for
analysis. Area under the curve (AUC-ITT) of the blood glucose excursion along 120
minutes after intra-peritoneal insulin injection was determined and correlated
with the corresponding fasting values for HOMA-IR. Results AUC-ITT and HOMA-IR
were significantly greater after 19th week in HFD compared to CD (p < 0.001 for
both). AUC-OGTT was also higher in HFD rats (p = 0.003). HOMA-IR was strongly
correlated (Pearson's) with AUC-ITT r = 0.637; p < 0.0001. ROC curves of HOMA-IR
and AUC-ITT showed similar sensitivity and specificity. Conclusion HOMA-IR is a
valid measure to determine insulin-resistance in Wistar rats. Arch Endocrinol
Metab. 2016;60(2):138-42.
PMID- 27191049
TI - Screening for asymptomatic coronary artery disease in patients with type 2
diabetes mellitus.
AB - Diabetes is a very frequent disease and it is estimated that its prevalence will
continuously increase during the next two decades. The arteriosclerotic process
in diabetic patients progresses earlier and more diffusely, and it is more
accelerated in the diabetic patient than in the overall population. In diabetic
subjects, acute myocardial infarction (AMI) and stroke are the leading causes of
death, but the presence of arterial disease is not always detected before the
development of the acute arterial event. Several times, AMI is asymptomatic or
present nonspecific symptoms, and it is the initial form of presentation of
coronary artery disease causing an important delay in initiating cardiovascular
treatment in these patients. The purpose of this review article is to discuss how
to screen and early diagnose the presence of coronary artery disease in
asymptomatic diabetic patients, based on new available diagnostic resources.
Currently, the most recommended technique used for screening coronary artery
disease in these patients is myocardial perfusion scintigraphy or stress
echocardiography because of greater sensitivity and specificity in relation to
the exercise test. However, technological advances have enabled the development
of new imaging diagnostic methods that are less invasive than conventional
coronary angiography, and which gradually gain importance in the diagnosis of
coronary artery disease as they show higher effectiveness with lower invasiveness
and risk. Arch Endocrinol Metab. 2016;60(2):143-51.
PMID- 27191051
TI - [In Process Citation].
PMID- 27191050
TI - Central precocious puberty: revisiting the diagnosis and therapeutic management.
AB - Clinical and laboratory diagnosis and treatment of central precocious puberty
(CPP) remain challenging due to lack of standardization. The aim of this revision
was to address the diagnostic and therapeutic features of CPP in Brazil based on
relevant international literature and availability of the existing therapies in
the country. The diagnosis of CPP is based mainly on clinical and biochemical
parameters, and a period of follow-up is desirable to define the "progressive"
form of sexual precocity. This occurs due to the broad spectrum of pubertal
development, including isolated premature thelarche, constitutional growth and
puberty acceleration, progressive and nonprogressive CPP, and early puberty.
Measurement of basal and stimulated LH levels remains challenging, considering
that the levels are not always in the pubertal range at baseline, short-acting
GnRH is not readily available in Brazil, and the cutoff values differ according
to the laboratory assay. When CPP is suspected but basal LH values are at
prepubertal range, a stimulation test with short-acting or long-acting monthly
GnRH is a diagnostic option. In Brazil, the treatment of choice for progressive
CPP and early puberty is a long-acting GnRH analog (GnRHa) administered once a
month or every 3 months. In Brazil, formulations of GnRHa (leuprorelin and
triptorelin) are available and commonly administered, including 1-month depot
leuprorelin 3.75 mg and 7.5 mg, 1-month depot triptorelin 3.75 mg, and 3-month
depot leuprorelin 11.25 mg. Monthly or 3-month depot GnRHa are effective and safe
to treat CPP. Arch Endocrinol Metab. 2016;60(2):163-72.
PMID- 27191052
TI - In vitro assessment of potential intestinal absorption of some phenolic families
and carboxylic acids from commercial instant coffee samples.
AB - Coffee is one of the most consumed beverages in the world, being a source of
bioactive compounds as well as flavors. Hydroxycinnamic acids, flavonols, and
carboxylic acids have been studied in the samples of instant coffee
commercialized in Spain. The studies about contents of food components should be
complemented with either in vitro or in vivo bioaccessibility studies to know the
amount of food components effectively available for functions in the human body.
In this sense, a widely used in vitro model has been applied to assess the
potential intestinal absorption of phenolic compounds and organic acids. The
contents of hydroxycinnamic acids and flavonols were higher in instant regular
coffee samples than in the decaffeinated ones. Bioaccessible phenolic compounds
in most analyzed samples account for 20-25% of hydroxycinnamic acids and 17-26%
of flavonols. This could mean that a great part of them can remain in the gut,
acting as potential in situ antioxidants. Quinic, acetic, pyroglutamic, citric
and fumaric acids were identified in commercial instant coffee samples. Succinic
acid was found in the coffee blend containing chicory. All carboxylic acids
showed a very high bioaccessibility. Particularly, acetic acid and quinic acid
were found in higher contents in the samples treated with the in vitro simulation
of gastrointestinal processes, compared to the original ones, which can be
explained by their cleavage from chlorogenic acid during digestion. This is
considered as a positive effect, since quinic acid is considered as an
antioxidant inducer.
PMID- 27191054
TI - Patient Education Materials in Dermatology: Addressing the Health Literacy Needs
of Patients.
PMID- 27191053
TI - GGIP: Structure and sequence-based GPCR-GPCR interaction pair predictor.
AB - G Protein-Coupled Receptors (GPCRs) are important pharmaceutical targets. More
than 30% of currently marketed pharmaceutical medicines target GPCRs. Numerous
studies have reported that GPCRs function not only as monomers but also as homo-
or hetero-dimers or higher-order molecular complexes. Many GPCRs exert a wide
variety of molecular functions by forming specific combinations of GPCR subtypes.
In addition, some GPCRs are reportedly associated with diseases. GPCR
oligomerization is now recognized as an important event in various biological
phenomena, and many researchers are investigating this subject. We have developed
a support vector machine (SVM)-based method to predict interacting pairs for GPCR
oligomerization, by integrating the structure and sequence information of GPCRs.
The performance of our method was evaluated by the Receiver Operating
Characteristic (ROC) curve. The corresponding area under the curve was 0.938. As
far as we know, this is the only prediction method for interacting pairs among
GPCRs. Our method could accelerate the analyses of these interactions, and
contribute to the elucidation of the global structures of the GPCR networks in
membranes. Proteins 2016; 84:1224-1233. (c) 2016 Wiley Periodicals, Inc.
PMID- 27191055
TI - Can Attention-Deficit/Hyperactivity Disorder Onset Occur in Adulthood?
PMID- 27191056
TI - Trauma management: Chernobyl in Belarus and Ukraine.
AB - Although the Chernobyl nuclear disaster happened in the Soviet Union in 1986, we
still do not know how the most affected states - Ukraine and Belarus - have
managed this tragedy since independence. Drawing on the concept of cultural
trauma, this article compares Chernobyl narratives in Belarus and Ukraine over
the past 28 years. It shows that national narratives of Chernobyl differ,
representing the varying ways in which the state overcomes trauma. Our
understanding of post-communist transformations can be improved by analysing
trauma management narratives and their importance for new national identity
construction. These narratives also bring new insights to our vision of cultural
trauma by linking it to ontological insecurity. The article demonstrates how the
state can become an arena of trauma process as it commands material and symbolic
resources to deal with trauma. In general, it contributes to a better
understanding of how the same traumatic event can become a source of solidarity
in one community, but a source of hostility in another.
PMID- 27191058
TI - Communicating Chaplains' Care: Narrative Documentation in a Neuroscience-Spine
Intensive Care Unit.
AB - Chaplaincy care is different for every patient; a growing challenge is to ensure
that electronic health records function to support personalized care. While ICU
health care teams have advanced clinical practice guidelines to identify and
integrate relevant aspects of the patient's story into whole person care,
recommendations for documentation are rare. This qualitative study of over 400
free-text EHR notes offers unique insight into current use of free-text
documentation in ICU by six chaplains integrated into the healthcare team. Our
research provides insight into the phenomena chaplains record in the electronic
record. Content analysis shows recurrent report of patient and family practices,
beliefs, coping mechanisms, concerns, emotional resources and needs, family and
faith support, medical decision making and medical communications. These findings
are important for health care team discussions of factors deemed essential to
whole person care in ICUs, and, by extension have the potential to support the
development of EHR designs that aim to advance personalized care.
PMID- 27191057
TI - Campylobacter jejuni adenosine triphosphate phosphoribosyltransferase is an
active hexamer that is allosterically controlled by the twisting of a regulatory
tail.
AB - Adenosine triphosphate phosphoribosyltransferase (ATP-PRT) catalyzes the first
committed step of the histidine biosynthesis in plants and microorganisms. Here,
we present the functional and structural characterization of the ATP-PRT from the
pathogenic epsilon-proteobacteria Campylobacter jejuni (CjeATP-PRT). This enzyme
is a member of the long form (HisGL ) ATP-PRT and is allosterically inhibited by
histidine, which binds to a remote regulatory domain, and competitively inhibited
by AMP. In the crystalline form, CjeATP-PRT was found to adopt two distinctly
different hexameric conformations, with an open homohexameric structure observed
in the presence of substrate ATP, and a more compact closed form present when
inhibitor histidine is bound. CjeATP-PRT was observed to adopt only a hexameric
quaternary structure in solution, contradicting previous hypotheses favoring an
allosteric mechanism driven by an oligomer equilibrium. Instead, this study
supports the conclusion that the ATP-PRT long form hexamer is the active species;
the tightening of this structure in response to remote histidine binding results
in an inhibited enzyme.
PMID- 27191059
TI - Self-assembled triangular DNA nanoparticles are an efficient system for gene
delivery.
AB - Developing an advanced nucleic acid drug delivery system is of great significance
in order to achieve optimal gene delivery. Self-assembled nucleic acid
nanoparticles are an excellent platform for the delivery of nucleic acids and
other small molecular drugs. In this study, we developed the efficient, three
stranded, RNA/DNA hybrid triangular self-assembled nanoparticles, namely, mTOR
single-stranded siRNA-loaded triangular DNA nanoparticles (ssRNA-TNP). The ssRNA
TNP is formed by the complementary association of the above mentioned three
components and is more stable in complete medium than standard duplex siRNA. It
could be efficiently transfected into NCI-H292 cells in a dose- and time
dependent manner, resulting in high transfection efficiency. Furthermore, ssRNA
TNP uptake is dependent on macropinocytosis and clathrin-mediated endocytosis
pathways. Interestingly, ssRNA-TNP is more efficient to inhibit the expression of
mTOR. This ssRNA-TNP has a simpler structure, better stability, and higher
transfection efficiency; therefore it may become a novel nonviral nanosystem for
gene delivery.
PMID- 27191061
TI - Re: Prospective Evaluation of(99m)Tc-Sestamibi SPECT/CT for the Diagnosis of
Renal Oncocytomas and Hybrid Oncocytic/Chromophobe Tumors.
PMID- 27191060
TI - LPS Induces Hyper-Permeability of Intestinal Epithelial Cells.
AB - Necrotizing Enterocolitis (NEC) is a severe inflammatory disorder leading to high
morbidity and mortality rates. A growing body of evidence demonstrate the key
role of the Toll like receptor 4 (TLR4) in NEC. This membranal receptor
recognizes lipopolysaccharides (LPS) from the bacterial wall and triggers an
inflammatory response. The aim of the present study was to elucidate the effect
of LPS on paracellular permeability known to be severely affected in NEC. IEC-18
cells were treated with LPS and the effects on morphology, paracellular
permeability and their associated gene and protein expressions were measured. Our
results show that LPS down regulated the expression of occludin and ZO-1 mRNAs
while up regulating Cdkn1a. In addition LPS caused a significant increase in
paracellular permeability and epithelial barrier damage. Finally ZO-1 protein was
found to be spatially disarrayed in the intercellular junctions in response to
LPS. We conclude that LPS adversely affected the functionality of the intestinal
epithelial barrier suggesting a new mechanism by which bacterial infection may
contribute to the development of NEC. J. Cell. Physiol. 232: 381-390, 2017. (c)
2016 Wiley Periodicals, Inc.
PMID- 27191062
TI - Re: Genomic Characterization of Upper Tract Urothelial Carcinoma.
PMID- 27191063
TI - Re: Oncologic Surveillance after Surgical Resection for Renal Cell Carcinoma: A
Novel Risk-Based Approach.
PMID- 27191064
TI - Re: Cost-Effectiveness of Neoadjuvant Chemotherapy before Radical Cystectomy for
Muscle-Invasive Bladder Cancer.
PMID- 27191065
TI - Re: Trends in the Use of Perioperative Chemotherapy for Localized and Locally
Advanced Muscle-Invasive Bladder Cancer: A Sign of Changing Tides.
PMID- 27191066
TI - Re: Variation in Performance of Candidate Surgical Quality Measures for Muscle
Invasive Bladder Cancer by Hospital Type.
PMID- 27191067
TI - Re: Validation of an RNA Cell Cycle Progression Score for Predicting Death from
Prostate Cancer in a Conservatively Managed Needle Biopsy Cohort.
PMID- 27191068
TI - Re: Nadir Testosterone within First Year of Androgen-Deprivation Therapy (ADT)
Predicts for Time to Castration-Resistant Progression: A Secondary Analysis of
the PR-7 Trial of Intermittent versus Continuous ADT.
PMID- 27191069
TI - Re: Statin Use at the Time of Initiation of Androgen Deprivation Therapy and Time
to Progression in Patients with Hormone-Sensitive Prostate Cancer.
PMID- 27191070
TI - Re: Nine-Year Follow-up for a Study of Diffusion-Weighted Magnetic Resonance
Imaging in a Prospective Prostate Cancer Active Surveillance Cohort.
PMID- 27191071
TI - Re: Benign Conditions that Mimic Prostate Carcinoma: MR Imaging Features with
Histopathologic Correlation.
PMID- 27191072
TI - Re: Complications, Recovery, and Early Functional Outcomes and Oncologic Control
following In-Bore Focal Laser Ablation of Prostate Cancer.
PMID- 27191073
TI - Re: Radiation Exposure from Diagnostic Imaging in Young Patients with Testicular
Cancer.
PMID- 27191074
TI - Re: For Single Dosing, Levofloxacin is Superior to Ciprofloxacin when Combined
with an Aminoglycoside in Preventing Severe Infections after Prostate Biopsy.
PMID- 27191076
TI - Re: Wind, Water, Wound, Walk-Do the Data Deliver the Dictum?
PMID- 27191075
TI - Re: Potential Burden of Antibiotic Resistance on Surgery and Cancer Chemotherapy
Antibiotic Prophylaxis in the USA: A Literature Review and Modelling Study.
PMID- 27191077
TI - Re: The Role of Calbindin-D28k on Renal Calcium and Magnesium Handling during
Treatment with Loop and Thiazide Diuretics.
PMID- 27191078
TI - Re: Penile Lengthening and Widening without Grafting According to a Modified
'Sliding' Technique.
PMID- 27191079
TI - Re: Risk of Damage to the Somatic Innervation of the Penis during the AdVance
Procedure: An Anatomical Study.
PMID- 27191080
TI - Re: Intraoperative Decision-Making for Precise Penile Straightening during
Inflatable Penile Prosthesis Surgery.
PMID- 27191081
TI - Re: Dorsal versus Ventral Onlay Buccal Mucosal Graft Urethroplasty for Long
Segment Bulbar Urethral Stricture: A Prospective Randomized Study.
PMID- 27191082
TI - Re: Kidney-Failure Risk Projection for the Living Kidney-Donor Candidate.
PMID- 27191083
TI - Re: Urological Surveillance and Medical Complications after Spinal Cord Injury in
the United States.
PMID- 27191084
TI - Re: Drug Adherence and Clinical Outcomes for Patients under Pharmacological
Therapy for Lower Urinary Tract Symptoms Related to Benign Prostatic Hyperplasia:
Population-Based Cohort Study.
PMID- 27191085
TI - Re: The Underactive Bladder: A New Clinical Concept?
PMID- 27191087
TI - Re: Diet Modification for Overactive Bladder: An Evidence-Based Review.
PMID- 27191086
TI - Re: Health-Related Quality of Life and Outcomes after Surgical Treatment of
Complications from Vaginally Placed Mesh.
PMID- 27191088
TI - Re: "Stain in Life": The Meaning of Urinary Incontinence in the Context of Muslim
Postmenopausal Women through Hermeneutic Phenomenology.
PMID- 27191089
TI - Re: Efficacy of Electromagnetic Therapy for Urinary Incontinence: A Systematic
Review.
PMID- 27191090
TI - Re: A Randomized, Controlled Trial of Effectiveness and Safety of Management of
OAB Symptoms in Elderly Men and Women with Standard-Dosed Combination of
Solifenacin and Mirabegron.
PMID- 27191091
TI - Re: The Effect of Conservative Treatment of Urinary Incontinence among Older and
Frail Older People: A Systematic Review.
PMID- 27191092
TI - Re: 5-Alpha-Reductase Inhibitors and the Risk of Diabetes Mellitus: A Nationwide
Population-Based Study.
PMID- 27191093
TI - Re: Can Bladder Wall Thickness Measurement be Used for Detecting Bladder Outlet
Obstruction?
PMID- 27191094
TI - Re: Bladder Function in Obstructed Men-Does Age Matter?
PMID- 27191095
TI - Re: Temporary Implantable Nitinol Device (TIND): A Novel, Minimally Invasive
Treatment for Relief of Lower Urinary Tract Symptoms (LUTS) Related to Benign
Prostatic Hyperplasia (BPH): Feasibility, Safety and Functional Results at 1 Year
of Follow-up.
PMID- 27191096
TI - Re: Central Obesity is Predictive of Persistent Storage Lower Urinary Tract
Symptoms (LUTS) after Surgery for Benign Prostatic Enlargement: Results of a
Multicentre Prospective Study.
PMID- 27191097
TI - Re: Resveratrol Reduces the Levels of Circulating Androgen Precursors but has No
Effect on Testosterone, Dihydrotestosterone, PSA Levels or Prostate Volume. A 4
Month Randomised Trial in Middle-Aged Men.
PMID- 27191098
TI - Re: Systematic Review and Meta-Analysis of Candidate Gene Association Studies of
Lower Urinary Tract Symptoms in Men.
PMID- 27191099
TI - Re: Hypogonadism and Mortality in Aged Hospitalized Male Patients: A 5-Year
Prospective Observational Study.
PMID- 27191100
TI - Re: Effect of Levitra on Sustenance of Erection (EROS): An Open-Label,
Prospective, Multicenter, Single-Arm Study to Investigate Erection Duration
Measured by Stopwatch with Flexible Dose Vardenafil Administered for 8 Weeks in
Subjects with Erectile Dysfunction.
PMID- 27191101
TI - Re: The Effect of Testosterone on Cardiometabolic Risk Factors in Atorvastatin
Treated Men with Late-Onset Hypogonadism.
PMID- 27191102
TI - Re: Introduction: Ejaculatory Problems and Male Infertility.
PMID- 27191103
TI - Re: Therapy of Endocrine Disease: Testosterone Supplementation and Body
Composition: Results from a Meta-Analysis Study.
PMID- 27191104
TI - Re: Urinary Concentrations of Benzophenone-Type Ultraviolet Light Filters and
Semen Quality.
PMID- 27191105
TI - Re: Outcomes of Microscopic Subinguinal Varicocelectomy with and without the
Assistance of Doppler Ultrasound: A Randomized Clinical Trial.
PMID- 27191106
TI - Re: Varicocele Negatively Affects Sperm Mitochondrial Respiration.
PMID- 27191107
TI - Re: Men's Meat Intake and Treatment Outcomes among Couples Undergoing Assisted
Reproduction.
PMID- 27191108
TI - Re: Access to Care and Affordability have Improved following Affordable Care Act
Implementation; Problems Remain.
PMID- 27191109
TI - Re: Profiling Individual Surgeon Performance Using Information from a High
Quality Clinical Registry: Opportunities and Limitations.
PMID- 27191110
TI - Re: Successful Pregnancy in Patients with Exstrophy-Epispadias Complex: A
University of Washington Experience.
PMID- 27191111
TI - Re: 30-Day Morbidity after Augmentation Enterocystoplasty and
Appendicovesicostomy: A NSQIP Pediatric Analysis.
PMID- 27191112
TI - Re: Is it Safe to Solely Use Ventral Penile Tissues in Hypospadias Repair? Long
Term Outcomes of 578 Duplay Urethroplasties Performed in a Single Institution
over a Period of 14 Years.
PMID- 27191113
TI - Re: Self-Cathing Experience Journal: Enhancing the Patient and Family Experience
in Clean Intermittent Catheterization.
PMID- 27191114
TI - Re: Robot-Assisted Laparoscopic Augmentation Ileocystoplasty and Mitrofanoff
Appendicovesicostomy in Children: Updated Interim Results.
PMID- 27191115
TI - Re: Infiltrating Neutrophils Promote Renal Cell Carcinoma (RCC) Proliferation via
Modulating Androgen Receptor (AR) -> c-Myc Signals.
PMID- 27191116
TI - Re: Marked Protection against Acute Renal and Hepatic Injury after Nitrited
Myoglobin + Tin Protoporphyrin Administration.
PMID- 27191117
TI - Re: Autophagy Defects Suggested by Low Levels of Autophagy Activator MAP1S and
High Levels of Autophagy Inhibitor LRPPRC Predict Poor Prognosis of Prostate
Cancer Patients.
PMID- 27191119
TI - Re: Characterization of Urinary Stone Composition by Use of Third-Generation Dual
Source Dual-Energy CT with Increased Spectral Separation.
PMID- 27191118
TI - Re: Detection of Different Kidney Stone Types: An Ex Vivo Comparison of
Ultrashort Echo Time MRI to Reference Standard CT.
PMID- 27191121
TI - Ultrabrief Electroconvulsive Therapy for Mania: Data From 11 Acute Treatment
Courses.
AB - Electroconvulsive therapy (ECT) is an effective treatment of mania. Ultrabrief
ECT is a novel modality that is associated with fewer cognitive adverse effects
than the standard pulse width brief pulse ECT. It has been well studied in
depression. However, its use in mania is not yet known. Following a retrospective
chart view, we report a small sample of patients who had Right Unilateral
Ultrabrief ECT (RUB-ECT) for mania. Eleven RUB-ECTs were identified for 9
patients; 72.8% remission rate was observed with RUB-ECT. Two patients required
switch into bitemporal ECT in view of minimal clinical response and 1 patient to
right unilateral brief pulse ECT because of poor seizure parameters. All patients
achieved remission eventually. The possible mechanisms of ECT in mania and
clinical implications of ultrabrief ECT are discussed.
PMID- 27191122
TI - Differences in Cognitive Outcomes After ECT Depending on BDNF and COMT
Polymorphisms.
AB - OBJECTIVE: The study aimed to explore cognitive outcomes after electroconvulsive
therapy (ECT) depending on which version of common single nucleotide
polymorphisms the patient expressed for brain-derived neurotrophic factor (BDNF)
and catechol-O-methyltransferase (COMT). METHODS: A total of 87 patients from the
clinical ECT service in Aberdeen, Scotland, were included in the study. Cognitive
function testing (using Spatial Recognition Memory task from the Cambridge
Neuropsychological Test Automated Battery and Mini-Mental State Examination) and
mood ratings (Montgomery-Asberg Depression Rating Scale) were performed before
ECT, after 4 treatments, at the end of ECT and 1 and 3 months after the end of
treatment. These scores were compared depending on BDNF and COMT variant at each
time point using the Student t test and using a time series generalized least
squares random effects model. RESULTS: No differences were found between the val
and met versions of the BDNF or COMT polymorphism in either cognitive or mood
outcomes at any time point during ECT treatment or up to 3 months of follow-up.
CONCLUSIONS: This study did not detect significant differences in cognitive or
mood outcomes between patients who have the val66val or met versions of the BDNF
polymorphism. Our results suggest that these polymorphisms will not be helpful in
clinical practice for predicting cognitive outcomes after ECT.
PMID- 27191123
TI - The FDA and ECT.
PMID- 27191151
TI - Cancer comes of age embryonically.
PMID- 27191125
TI - Folic acid fortification and prevalences of neural tube defects, orofacial
clefts, and gastroschisis in California, 1989 to 2010.
AB - BACKGROUND: We examined whether prevalences of neural tube defects (NTDs),
orofacial clefts, and gastroschisis changed more rapidly after than before folic
acid fortification in California. METHODS: This population-based study used vital
statistics and birth defects registry data. The study population included all
live births and stillbirths delivered in central California counties from 1989 to
2010. Cases included deliveries with NTDs, orofacial clefts, and gastroschisis.
Weighted least squares regression was used to estimate slopes during
prefortification (before 1997) and postfortification (after 1998), respectively.
The difference of the two slopes with the 95% confidence interval (CI) was
calculated. RESULTS: For all NTDs combined, slopes indicated that NTD prevalence
was decreasing by 8.7 (slope: -8.7; 95% CI, -13.5--3.9) cases per 100,000
deliveries per year before fortification and by 1.7 (slope: -1.7; 95% CI, -3.7
0.3) after fortification; thus the decline had slowed by 7.0 (95% CI, 2.7-11.3)
cases per 100,000 deliveries per year. For orofacial clefts, slopes for cleft lip
with/without palate as well as for cleft palate alone indicated that the
postfortification slope was lower than the prefortification slope suggesting a
more accelerated decrease in the postfortification time period. For
gastroschisis, the slope after fortification was lower compared with
prefortification, indicating a less accelerated prevalence increase in the
postfortification time period. Stratification by race/ethnicity did not
substantially alter results. CONCLUSION: We observed a slower decline in
prevalence of NTDs, an emergence of a decline in orofacial clefts, and a slower
increase in gastroschisis, during the postfortification period in central
California, relative to the prefortification period. Birth Defects Research (Part
A), 2016. (c) 2016 Wiley Periodicals, Inc. Birth Defects Research (Part A)
106:1032-1041, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27191152
TI - AQP2-Induced Acceleration of Renal Cell Proliferation Involves the Activation of
a Regulatory Volume Increase Mechanism Dependent on NHE2.
AB - We have previously shown in renal cells that expression of the water channel
Aquaporin 2 (AQP2) increases the rate of cell proliferation by shortening the
transit time through the S and G2 /M phases of the cell cycle. This acceleration
is due, at least in part, to a down-regulation of regulatory volume decrease
(RVD) mechanisms when volume needs to be increased in order to proceed into the S
phase. We hypothesize that in order to increase cell volume, RVD mechanisms may
be overtaken by regulatory volume increase mechanisms (RVI). In this study, we
investigated if the isoform 2 of the Na+ /H+ exchanger (NHE2), the main ion
transporter involved in RVI responses, contributed to the AQP2-increased renal
cell proliferation. Three cortical collecting duct cell lines were used: WT-RCCD1
(not expressing AQPs), AQP2-RCCD1 (transfected with AQP2), and mpkCCDc14 (with
inducible AQP2 expression). We here demonstrate, for the first time, that both
NHE2 protein activity and expression were increased in AQP2-expressing cells.
NHE2 inhibition decreased cell proliferation and delayed cell cycle progression
by slowing S and G2 /M phases only if AQP2 was expressed. Finally, we observed
that only in AQP2-expressing cells a NHE2-dependent RVI response was activated in
the S phase. These observations suggest that the AQP2-increased proliferation
involves the activation of a regulatory volume increase mechanism dependent on
NHE2. Therefore, we propose that the accelerated proliferation of AQP2-expressing
cells requires a coordinated modulation of the RVD/RVI activity that contributes
to cell volume changes during cell cycle progression. J. Cell. Biochem. 118: 967
978, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27191126
TI - Acetyl L-carnitine targets adenosine triphosphate synthase in protecting
zebrafish embryos from toxicities induced by verapamil and ketamine: An in vivo
assessment.
AB - Verapamil is a Ca2+ channel blocker and is highly prescribed as an anti-anginal,
antiarrhythmic and antihypertensive drug. Ketamine, an antagonist of the Ca2+
permeable N-methyl-d-aspartate-type glutamate receptors, is a pediatric
anesthetic. Previously we have shown that acetyl l-carnitine (ALCAR) reverses
ketamine-induced attenuation of heart rate and neurotoxicity in zebrafish
embryos. Here, we used 48 h post-fertilization zebrafish embryos that were
exposed to relevant drugs for 2 or 4 h. Heart beat and overall development were
monitored in vivo. In 48 h post-fertilization embryos, 2 mm ketamine reduced
heart rate in a 2 or 4 h exposure and 0.5 mm ALCAR neutralized this effect. ALCAR
could reverse ketamine's effect, possibly through a compensatory mechanism
involving extracellular Ca2+ entry through L-type Ca2+ channels that ALCAR is
known to activate. Hence, we used verapamil to block the L-type Ca2+ channels.
Verapamil was more potent in attenuating heart rate and inducing morphological
defects in the embryos compared to ketamine at specific times of exposure. ALCAR
reversed cardiotoxicity and developmental toxicity in the embryos exposed to
verapamil or verapamil plus ketamine, even in the presence of 3,4,5
trimethoxybenzoic acid 8-(diethylamino)octyl ester, an inhibitor of intracellular
Ca2+ release suggesting that ALCAR acts via effectors downstream of Ca2+ . In
fact, ALCAR's protective effect was blunted by oligomycin A, an inhibitor of
adenosine triphosphate synthase that acts downstream of Ca2+ during adenosine
triphosphate generation. We have identified, for the first time, using in vivo
studies, a downstream effector of ALCAR that is critical in abrogating ketamine-
and verapamil-induced developmental toxicities. Published 2016. This article is a
U.S. Government work and is in the public domain in the USA.
PMID- 27191153
TI - Digital disease detection and participatory surveillance: overview and
perspectives for Brazil.
AB - This study aimed to describe the digital disease detection and participatory
surveillance in different countries. The systems or platforms consolidated in the
scientific field were analyzed by describing the strategy, type of data source,
main objectives, and manner of interaction with users. Eleven systems or
platforms, developed from 1996 to 2016, were analyzed. There was a higher
frequency of data mining on the web and active crowdsourcing as well as a trend
in the use of mobile applications. It is important to provoke debate in the
academia and health services for the evolution of methods and insights into
participatory surveillance in the digital age.
PMID- 27191154
TI - Relationship of health workers with their organization and work: a cross-cultural
study.
AB - We analyzed the differences, by Student's t-test and ANOVA, between nurses and
physicians from Portugal, Poland, Spain, and United Kingdom regarding their
relationship with their work and organization. In total, 1,401 professionals
answered the HSA-QHPR questionnaire. There are different levels of connection
between physicians and nurses. The United Kingdom has the lowest levels of
connection with the work while Portugal has the highest levels of relationship
with the organization. The results provide guidelines for the development of
policies and differential strategies aimed at improving the quality of healthcare
service.
PMID- 27191155
TI - Difficulties in access and estimates of public beds in intensive care units in
the state of Rio de Janeiro.
AB - OBJECTIVE: To estimate the required number of public beds for adults in intensive
care units in the state of Rio de Janeiro to meet the existing demand and compare
results with recommendations by the Brazilian Ministry of Health. METHODS: The
study uses a hybrid model combining time series and queuing theory to predict the
demand and estimate the number of required beds. Four patient flow scenarios were
considered according to bed requests, percentage of abandonments and average
length of stay in intensive care unit beds. The results were plotted against
Ministry of Health parameters. Data were obtained from the State Regulation
Center from 2010 to 2011. RESULTS: There were 33,101 medical requests for 268
regulated intensive care unit beds in Rio de Janeiro. With an average length of
stay in regulated ICUs of 11.3 days, there would be a need for 595 active beds to
ensure system stability and 628 beds to ensure a maximum waiting time of six
hours. Deducting current abandonment rates due to clinical improvement (25.8%),
these figures fall to 441 and 417. With an average length of stay of 6.5 days,
the number of required beds would be 342 and 366, respectively; deducting
abandonment rates, 254 and 275. The Brazilian Ministry of Health establishes a
parameter of 118 to 353 beds. Although the number of regulated beds is within the
recommended range, an increase in beds of 122.0% is required to guarantee system
stability and of 134.0% for a maximum waiting time of six hours. CONCLUSIONS:
Adequate bed estimation must consider reasons for limited timely access and
patient flow management in a scenario that associates prioritization of requests
with the lowest average length of stay.
PMID- 27191156
TI - Spatial analysis of avoidable hospitalizations due to tuberculosis in Ribeirao
Preto, SP, Brazil (2006-2012).
AB - OBJECTIVE: To describe the spatial distribution of avoidable hospitalizations due
to tuberculosis in the municipality of Ribeirao Preto, SP, Brazil, and to
identify spatial and space-time clusters for the risk of occurrence of these
events. METHODS: This is a descriptive, ecological study that considered the
hospitalizations records of the Hospital Information System of residents of
Ribeirao Preto, SP, Southeastern Brazil, from 2006 to 2012. Only the cases with
recorded addresses were considered for the spatial analyses, and they were also
geocoded. We resorted to Kernel density estimation to identify the densest areas,
local empirical Bayes rate as the method for smoothing the incidence rates of
hospital admissions, and scan statistic for identifying clusters of risk.
Softwares ArcGis 10.2, TerraView 4.2.2, and SaTScanTM were used in the analysis.
RESULTS: We identified 169 hospitalizations due to tuberculosis. Most were of men
(n = 134; 79.2%), averagely aged 48 years (SD = 16.2). The predominant clinical
form was the pulmonary one, which was confirmed through a microscopic examination
of expectorated sputum (n = 66; 39.0%). We geocoded 159 cases (94.0%). We
observed a non-random spatial distribution of avoidable hospitalizations due to
tuberculosis concentrated in the northern and western regions of the
municipality. Through the scan statistic, three spatial clusters for risk of
hospitalizations due to tuberculosis were identified, one of them in the northern
region of the municipality (relative risk [RR] = 3.4; 95%CI 2.7-4,4); the second
in the central region, where there is a prison unit (RR = 28.6; 95%CI 22.4-36.6);
and the last one in the southern region, and area of protection for
hospitalizations (RR = 0.2; 95%CI 0.2-0.3). We did not identify any space-time
clusters. CONCLUSIONS: The investigation showed priority areas for the control
and surveillance of tuberculosis, as well as the profile of the affected
population, which shows important aspects to be considered in terms of management
and organization of health care services targeting effectiveness in primary
health care.
PMID- 27191157
TI - Work, malaise, and well-being in Spanish and Latin-American doctors.
AB - OBJECTIVE: To analyze the relations between the meanings of working and the
levels of doctors work well-being in the context of their working conditions.
METHOD: The research combined the qualitative methodology of textual analysis and
the quantitative one of correspondence factor analysis. A convenience,
intentional, and stratified sample composed of 305 Spanish and Latin American
doctors completed an extensive questionnaire on the topics of the research.
RESULTS: The general meaning of working for the group located in the quartile of
malaise included perceptions of discomfort, frustration, and exhaustion. However,
those showing higher levels of well-being, located on the opposite quartile,
associated their working experience with good conditions and the development of
their professional and personal competences. CONCLUSIONS: The study provides
empirical evidence of the relationship between contextual factors and the
meanings of working for participants with higher levels of malaise, and of the
importance granted both to intrinsic and extrinsic factors by those who scored
highest on well-being.
PMID- 27191158
TI - Dynamic network data envelopment analysis for university hospitals evaluation.
AB - OBJECTIVE: To develop an assessment tool to evaluate the efficiency of federal
university general hospitals. METHODS: Data envelopment analysis, a linear
programming technique, creates a best practice frontier by comparing observed
production given the amount of resources used. The model is output-oriented and
considers variable returns to scale. Network data envelopment analysis considers
link variables belonging to more than one dimension (in the model, medical
residents, adjusted admissions, and research projects). Dynamic network data
envelopment analysis uses carry-over variables (in the model, financing budget)
to analyze frontier shift in subsequent years. Data were gathered from the
information system of the Brazilian Ministry of Education (MEC), 2010-2013.
RESULTS: The mean scores for health care, teaching and research over the period
were 58.0%, 86.0%, and 61.0%, respectively. In 2012, the best performance year,
for all units to reach the frontier it would be necessary to have a mean increase
of 65.0% in outpatient visits; 34.0% in admissions; 12.0% in undergraduate
students; 13.0% in multi-professional residents; 48.0% in graduate students; 7.0%
in research projects; besides a decrease of 9.0% in medical residents. In the
same year, an increase of 0.9% in financing budget would be necessary to improve
the care output frontier. In the dynamic evaluation, there was progress in
teaching efficiency, oscillation in medical care and no variation in research.
CONCLUSIONS: The proposed model generates public health planning and programming
parameters by estimating efficiency scores and making projections to reach the
best practice frontier.
PMID- 27191160
TI - Biochar Improves Soil Aggregate Stability and Water Availability in a Mollisol
after Three Years of Field Application.
AB - A field experiment was carried out to evaluate the effect of organic amendments
on soil organic carbon, total nitrogen, bulk density, aggregate stability, field
capacity and plant available water in a representative Chinese Mollisol. Four
treatments were as follows: no fertilization (CK), application of inorganic
fertilizer (NPK), combined application of inorganic fertilizer with maize straw
(NPK+S) and addition of biochar with inorganic fertilizer (NPK+B). Our results
showed that after three consecutive years of application, the values of soil bulk
density were significantly lower in both organic amendment-treated plots than in
unamended (CK and NPK) plots. Compared with NPK, NPK+B more effectively increased
the contents of soil organic carbon, improved the relative proportion of soil
macro-aggregates and mean weight diameter, and enhanced field capacity as well as
plant available water. Organic amendments had no obvious effect on soil C/N ratio
or wilting coefficient. The results of linear regression indicated that the
improvement in soil water retention could be attributed to the increases in soil
organic carbon and aggregate stability.
PMID- 27191162
TI - Facial Recognition in a Discus Fish (Cichlidae): Experimental Approach Using
Digital Models.
AB - A number of mammals and birds are known to be capable of visually discriminating
between familiar and unfamiliar individuals, depending on facial patterns in some
species. Many fish also visually recognize other conspecifics individually, and
previous studies report that facial color patterns can be an initial signal for
individual recognition. For example, a cichlid fish and a damselfish will use
individual-specific color patterns that develop only in the facial area. However,
it remains to be determined whether the facial area is an especially favorable
site for visual signals in fish, and if so why? The monogamous discus fish,
Symphysopdon aequifasciatus (Cichlidae), is capable of visually distinguishing
its pair-partner from other conspecifics. Discus fish have individual-specific
coloration patterns on entire body including the facial area, frontal head, trunk
and vertical fins. If the facial area is an inherently important site for the
visual cues, this species will use facial patterns for individual recognition,
but otherwise they will use patterns on other body parts as well. We used
modified digital models to examine whether discus fish use only facial coloration
for individual recognition. Digital models of four different combinations of
familiar and unfamiliar fish faces and bodies were displayed in frontal and
lateral views. Focal fish frequently performed partner-specific displays towards
partner-face models, and did aggressive displays towards models of non-partner's
faces. We conclude that to identify individuals this fish does not depend on
frontal color patterns but does on lateral facial color patterns, although they
have unique color patterns on the other parts of body. We discuss the
significance of facial coloration for individual recognition in fish compared
with birds and mammals.
PMID- 27191161
TI - Characterization of Yellow Fever Virus Infection of Human and Non-human Primate
Antigen Presenting Cells and Their Interaction with CD4+ T Cells.
AB - Humans infected with yellow fever virus (YFV), a mosquito-borne flavivirus, can
develop illness ranging from a mild febrile disease to hemorrhagic fever and
death. The 17D vaccine strain of YFV was developed in the 1930s, has been used
continuously since development and has proven very effective. Genetic differences
between vaccine and wild-type viruses are few, yet viral or host mechanisms
associated with protection or disease are not fully understood. Over the past 20
years, a number of cases of vaccine-associated disease have been identified
following vaccination with 17D; these cases have been correlated with reduced
immune status at the time of vaccination. Recently, several studies have
evaluated T cell responses to vaccination in both humans and non-human primates,
but none have evaluated the response to wild-type virus infection. In the studies
described here, monocyte-derived macrophages (MDM) and dendritic cells (MoDC)
from both humans and rhesus macaques were evaluated for their ability to support
infection with either wild-type Asibi virus or the 17D vaccine strain and the
host cytokine and chemokine response characterized. Human MoDC and MDM were also
evaluated for their ability to stimulate CD4+ T cells. It was found that MoDC and
MDM supported viral replication and that there were differential cytokine
responses to infection with either wild-type or vaccine viruses. Additionally,
MoDCs infected with live 17D virus were able to stimulate IFN-gamma and IL-2
production in CD4+ T cells, while cells infected with Asibi virus were not. These
data demonstrate that wild-type and vaccine YFV stimulate different responses in
target antigen presenting cells and that wild-type YFV can inhibit MoDC
activation of CD4+ T cells, a critical component in development of protective
immunity. These data provide initial, but critical insight into regulatory
capabilities of wild-type YFV in development of disease.
PMID- 27191163
TI - Impact on Bacterial Resistance of Therapeutically Nonequivalent Generics: The
Case of Piperacillin-Tazobactam.
AB - Previous studies have demonstrated that pharmaceutical equivalence and
pharmacokinetic equivalence of generic antibiotics are necessary but not
sufficient conditions to guarantee therapeutic equivalence (better called
pharmacodynamic equivalence). In addition, there is scientific evidence
suggesting a direct link between pharmacodynamic nonequivalence of generic
vancomycin and promotion of resistance in Staphylococcus aureus. To find out if
even subtle deviations from the expected pharmacodynamic behavior with respect to
the innovator could favor resistance, we studied a generic product of
piperacillin-tazobactam characterized by pharmaceutical and pharmacokinetic
equivalence but a faulty fit of Hill's Emax sigmoid model that could be
interpreted as pharmacodynamic nonequivalence. We determined the impact in vivo
of this generic product on the resistance of a mixed Escherichia coli population
composed of ~99% susceptible cells (ATCC 35218 strain) and a ~1% isogenic
resistant subpopulation that overproduces TEM-1 beta-lactamase. After only 24
hours of treatment in the neutropenic murine thigh infection model, the generic
amplified the resistant subpopulation up to 20-times compared with the innovator,
following an inverted-U dose-response relationship. These findings highlight the
critical role of therapeutic nonequivalence of generic antibiotics as a key
factor contributing to the global problem of bacterial resistance.
PMID- 27191164
TI - Morphometric Assessment of Convergent Tool Technology and Function during the
Early Middle Palaeolithic: The Case of Payre, France.
AB - There appears to be little doubt as to the existence of an intentional
technological resolve to produce convergent tools during the Middle Palaeolithic.
However, the use of these pieces as pointed tools is still subject to debate:
i.e., handheld tool vs. hafted tool. Present-day technological analysis has begun
to apply new methodologies in order to quantify shape variability and to decipher
the role of the morphology of these pieces in relation to function; for instance,
geometric morphometric analyses have recently been applied with successful
results. This paper presents a study of this type of analysis on 37 convergent
tools from level Ga of Payre site (France), dated to MIS 8-7. These pieces are
non-standardized knapping products produced by discoidal and orthogonal core
technologies. Moreover, macro-wear studies attest to various activities on
diverse materials with no evidence of hafting or projectile use. The aim of this
paper is to test the geometric morphometric approach on non-standardized
artefacts applying the Elliptical Fourier analysis (EFA) to 3D contours and to
assess the potential relationship between size and shape, technology and
function. This study is innovative in that it is the first time that this method,
considered to be a valuable complement for describing technological and
functional attributes, is applied to 3D contours of lithic products. Our results
show that this methodology ensures a very good degree of accuracy in describing
shape variations of the sharp edges of technologically non-standardized
convergent tools. EFA on 3D contours indicates variations in deviations of the
outline along the third dimension (i.e., dorso-ventrally) and yields quantitative
and insightful information on the actual shape variations of tools. Several
statistically significant relationships are found between shape variation and use
wear attributes, though the results emphasize the large variability of the shape
of the convergent tools, which, in general, does not show a strong direct
association with technological features and function. This is in good agreement
with the technological context of this chronological period, characterized by a
wide diversity of non-standardized tools adapted to multipurpose functions for
varied subsistence activities.
PMID- 27191166
TI - Cognitive and Ocular Factors Jointly Determine Pupil Responses under
Equiluminance.
AB - Changes in pupil diameter can reflect high-level cognitive signals that depend on
central neuromodulatory mechanisms. However, brain mechanisms that adjust pupil
size are also exquisitely sensitive to changes in luminance and other events that
would be considered a nuisance in cognitive experiments recording pupil size. We
implemented a simple auditory experiment involving no changes in visual
stimulation. Using finite impulse-response fitting we found pupil responses
triggered by different types of events. Among these are pupil responses to
auditory events and associated surprise: cognitive effects. However, these
cognitive responses were overshadowed by pupil responses associated with blinks
and eye movements, both inevitable nuisance factors that lead to changes in
effective luminance. Of note, these latter pupil responses were not recording
artifacts caused by blinks and eye movements, but endogenous pupil responses that
occurred in the wake of these events. Furthermore, we identified slow (tonic)
changes in pupil size that differentially influenced faster (phasic) pupil
responses. Fitting all pupil responses using gamma functions, we provide accurate
characterisations of cognitive and non-cognitive response shapes, and quantify
each response's dependence on tonic pupil size. These results allow us to create
a set of recommendations for pupil size analysis in cognitive neuroscience, which
we have implemented in freely available software.
PMID- 27191167
TI - Effects of Darwinian Selection and Mutability on Rate of Broadly Neutralizing
Antibody Evolution during HIV-1 Infection.
AB - Accumulation of somatic mutations in antibody variable regions is critical for
antibody affinity maturation, with HIV-1 broadly neutralizing antibodies (bnAbs)
generally requiring years to develop. We recently found that the rate at which
mutations accumulate decreases over time, but the mechanism governing this
slowing is unclear. In this study, we investigated whether natural selection
and/or mutability of the antibody variable region contributed significantly to
observed decrease in rate. We used longitudinally sampled sequences of
immunoglobulin transcripts of single lineages from each of 3 donors, as
determined by next generation sequencing. We estimated the evolutionary rates of
the complementarity determining regions (CDRs), which are most significant for
functional selection, and found they evolved about 1.5- to 2- fold faster than
the framework regions. We also analyzed the presence of AID hotspots and
coldspots at different points in lineage development and observed an average
decrease in mutability of less than 10 percent over time. Altogether, the
correlation between Darwinian selection strength and evolutionary rate trended
toward significance, especially for CDRs, but cannot fully explain the observed
changes in evolutionary rate. The mutability modulated by AID hotspots and
coldspots changes correlated only weakly with evolutionary rates. The combined
effects of Darwinian selection and mutability contribute substantially to, but do
not fully explain, evolutionary rate change for HIV-1-targeting bnAb lineages.
PMID- 27191169
TI - Different Dimensions of Cognitive Style in Typical and Atypical Cognition: New
Evidence and a New Measurement Tool.
AB - We developed the Sussex Cognitive Styles Questionnaire (SCSQ) to investigate
visual and verbal processing preferences and incorporate global/local processing
orientations and systemising into a single, comprehensive measure. In Study 1 (N
= 1542), factor analysis revealed six reliable subscales to the final 60 item
questionnaire: Imagery Ability (relating to the use of visual mental imagery in
everyday life); Technical/Spatial (relating to spatial mental imagery, and
numerical and technical cognition); Language & Word Forms; Need for Organisation;
Global Bias; and Systemising Tendency. Thus, we replicate previous findings that
visual and verbal styles are separable, and that types of imagery can be
subdivided. We extend previous research by showing that spatial imagery clusters
with other abstract cognitive skills, and demonstrate that global/local bias can
be separated from systemising. Study 2 validated the Technical/Spatial and
Language & Word Forms factors by showing that they affect performance on memory
tasks. In Study 3, we validated Imagery Ability, Technical/Spatial, Language &
Word Forms, Global Bias, and Systemising Tendency by issuing the SCSQ to a sample
of synaesthetes (N = 121) who report atypical cognitive profiles on these
subscales. Thus, the SCSQ consolidates research from traditionally disparate
areas of cognitive science into a comprehensive cognitive style measure, which
can be used in the general population, and special populations.
PMID- 27191170
TI - Data Sources for Trait Databases: Comparing the Phenomic Content of Monographs
and Evolutionary Matrices.
AB - Databases of organismal traits that aggregate information from one or multiple
sources can be leveraged for large-scale analyses in biology. Yet the differences
among these data streams and how well they capture trait diversity have never
been explored. We present the first analysis of the differences between
phenotypes captured in free text of descriptive publications ('monographs') and
those used in phylogenetic analyses ('matrices'). We focus our analysis on
osteological phenotypes of the limbs of four extinct vertebrate taxa critical to
our understanding of the fin-to-limb transition. We find that there is low
overlap between the anatomical entities used in these two sources of phenotype
data, indicating that phenotypes represented in matrices are not simply a subset
of those found in monographic descriptions. Perhaps as expected, compared to
characters found in matrices, phenotypes in monographs tend to emphasize
descriptive and positional morphology, be somewhat more complex, and relate to
fewer additional taxa. While based on a small set of focal taxa, these
qualitative and quantitative data suggest that either source of phenotypes alone
will result in incomplete knowledge of variation for a given taxon. As a broader
community develops to use and expand databases characterizing organismal trait
diversity, it is important to recognize the limitations of the data sources and
develop strategies to more fully characterize variation both within species and
across the tree of life.
PMID- 27191168
TI - Direct and Indirect Targeting of PP2A by Conserved Bacterial Type-III Effector
Proteins.
AB - Bacterial AvrE-family Type-III effector proteins (T3Es) contribute significantly
to the virulence of plant-pathogenic species of Pseudomonas, Pantoea, Ralstonia,
Erwinia, Dickeya and Pectobacterium, with hosts ranging from monocots to dicots.
However, the mode of action of AvrE-family T3Es remains enigmatic, due in large
part to their toxicity when expressed in plant or yeast cells. To search for
targets of WtsE, an AvrE-family T3E from the maize pathogen Pantoea stewartii
subsp. stewartii, we employed a yeast-two-hybrid screen with non-lethal fragments
of WtsE and a synthetic genetic array with full-length WtsE. Together these
screens indicate that WtsE targets maize protein phosphatase 2A (PP2A)
heterotrimeric enzyme complexes via direct interaction with B' regulatory
subunits. AvrE1, another AvrE-family T3E from Pseudomonas syringae pv. tomato
strain DC3000 (Pto DC3000), associates with specific PP2A B' subunit proteins
from its susceptible host Arabidopsis that are homologous to the maize B'
subunits shown to interact with WtsE. Additionally, AvrE1 was observed to
associate with the WtsE-interacting maize proteins, indicating that PP2A B'
subunits are likely conserved targets of AvrE-family T3Es. Notably, the ability
of AvrE1 to promote bacterial growth and/or suppress callose deposition was
compromised in Arabidopsis plants with mutations of PP2A genes. Also, chemical
inhibition of PP2A activity blocked the virulence activity of both WtsE and AvrE1
in planta. The function of HopM1, a Pto DC3000 T3E that is functionally redundant
to AvrE1, was also impaired in specific PP2A mutant lines, although no direct
interaction with B' subunits was observed. These results indicate that sub
component specific PP2A complexes are targeted by bacterial T3Es, including
direct targeting by members of the widely conserved AvrE-family.
PMID- 27191165
TI - Drug-Based Lead Discovery: The Novel Ablative Antiretroviral Profile of
Deferiprone in HIV-1-Infected Cells and in HIV-Infected Treatment-Naive Subjects
of a Double-Blind, Placebo-Controlled, Randomized Exploratory Trial.
AB - Antiretrovirals suppress HIV-1 production yet spare the sites of HIV-1
production, the HIV-1 DNA-harboring cells that evade immune detection and enable
viral resistance on-drug and viral rebound off-drug. Therapeutic ablation of
pathogenic cells markedly improves the outcome of many diseases. We extend this
strategy to HIV-1 infection. Using drug-based lead discovery, we report the
concentration threshold-dependent antiretroviral action of the medicinal chelator
deferiprone and validate preclinical findings by a proof-of-concept double-blind
trial. In isolate-infected primary cultures, supra-threshold concentrations
during deferiprone monotherapy caused decline of HIV-1 RNA and HIV-1 DNA; did not
allow viral breakthrough for up to 35 days on-drug, indicating resiliency against
viral resistance; and prevented, for at least 87 days off-drug, viral rebound.
Displaying a steep dose-effect curve, deferiprone produced infection-independent
deficiency of hydroxylated hypusyl-eIF5A. However, unhydroxylated deoxyhypusyl
eIF5A accumulated particularly in HIV-infected cells; they preferentially
underwent apoptotic DNA fragmentation. Since the threshold, ascertained at about
150 MUM, is achievable in deferiprone-treated patients, we proceeded from cell
culture directly to an exploratory trial. HIV-1 RNA was measured after 7 days on
drug and after 28 and 56 days off-drug. Subjects who attained supra-threshold
concentrations in serum and completed the protocol of 17 oral doses, experienced
a zidovudine-like decline of HIV-1 RNA on-drug that was maintained off-drug
without statistically significant rebound for 8 weeks, over 670 times the drug's
half-life and thus clearance from circulation. The uniform deferiprone threshold
is in agreement with mapping of, and crystallographic 3D-data on, the active site
of deoxyhypusyl hydroxylase (DOHH), the eIF5A-hydroxylating enzyme. We propose
that deficiency of hypusine-containing eIF5A impedes the translation of mRNAs
encoding proline cluster ('polyproline')-containing proteins, exemplified by
Gag/p24, and facilitated by the excess of deoxyhypusine-containing eIF5A,
releases the innate apoptotic defense of HIV-infected cells from viral blockade,
thus depleting the cellular reservoir of HIV-1 DNA that drives breakthrough and
rebound. TRIAL REGISTRATION: ClinicalTrial.gov NCT02191657.
PMID- 27191171
TI - Prospective Validation of Cessation of Contact Precautions for Extended-Spectrum
beta-Lactamase-Producing Escherichia coli(1).
AB - After contact precautions were discontinued, we determined nosocomial
transmission of extended-spectrum beta-lactamase (ESBL)-producing Escherichia
coli by screening hospital patients who shared rooms with ESBL-producing E. coli
infected or -colonized patients. Transmission rates were 2.6% and 8.8% at an
acute-care and a geriatric/rehabilitation hospital, respectively. Prolonged
contact was associated with increased transmission.
PMID- 27191172
TI - Nonoperative Management of Extravasation Injuries Associated With Neonatal
Parenteral Nutrition Using Multiple Punctures and a Hydrocolloid Dressing.
AB - INTRODUCTION: Neonatal extravasation injuries are often associated with
peripheral parenteral nutrition. Several treatment modalities have been developed
and used for managing these injuries with variable results. However, there is a
lack of consensus regarding the management of neonatal extravasation injuries.
The aim of this paper is to introduce a new nonoperative method for the treatment
of neonatal extravasation injuries associated with parenteral nutrition. METHODS:
The authors made multiple punctures on the extravasated wound using a No. 11
scalpel blade tip and applying a DuoDERM Extra Thin (ConvaTec Inc, Princeton, NJ)
dressing, a hydrocolloid dressing. The dressing was changed every 6 hours on the
first day, and dressing changes were continued with decreasing frequency
according to the amount of exudate until the wound healed. After the devitalized
tissue began to demarcate and autolyse, surgical debridement was gradually
performed. RESULTS: Twelve neonatal extravasation injuries, which occurred
between November 2010 and June 2014, were evaluated. The mean follow-up duration
was 10 months. The average duration of treatment for wound healing was 25 days.
All wounds healed without func- tional deficits and conspicuous scars.
CONCLUSION: The authors suggest their new method of using multiple punctures and
a hydrocolloid dressing is an easy, effective, and minimally invasive treatment
for neonatal extravasation injuries associated with peripheral parenteral
nutrition, and it can be used as an alternative treatment option.
PMID- 27191173
TI - The Use of Micronized Dehydrated Human Amnion/Chorion Membrane Allograft for the
Treatment of Diabetic Foot Ulcers: A Case Series.
AB - Diabetic foot ulcers (DFUs) are a common problem in patients with diabetes and
are associated with significant morbidity and mortality. Dehydrated human
amnion/chorion membrane (dHACM) allografts have been shown to be effective in the
treatment of DFUs. A micronization process produces a dHACM powder that can be
sprinkled onto irregular wound surfaces or reconstituted with normal saline for
injection into tunneling wounds or wound margins. The author presents a case
review of 3 patients with chronic plantar surface DFUs treated with micronized
dHACM over a 1-month period. Wound duration was at least 8 months, and 2 out of 3
wounds had failed to heal with cryopreserved human fibroblast-derived dermal
substitute before treatment with dHACM. Micronized dHACM (40 mg) in powder form
was sprinkled onto the plantar ulcers weekly after sharp debridement, followed by
standard topical dressings. Weekly dressing change and wound assessment was
conducted to determine the rate of closure. Off-loading shoes were provided.
Within 4 weeks of the first dHACM application, all 3 wounds had healed: the first
after 2 applications, the second after 3 applications, and the last after 4
applications. No adverse events were observed, and the wounds remained healed
after 6 months. In the author's practice, the micronized dHACM allograft was
easily applied, clinically effective, and well tolerated as a treatment for
plantar ulcers in patients with diabetes.
PMID- 27191174
TI - Combination Treatment of Artificial Dermis and Basic Fibroblast Growth Factor for
Skin Defects: A Histopathological Examination.
AB - This study examined a combination of artificial dermis and basic fibroblast
growth factor (bFGF) to treat skin defects in clinical cases, and it
histopathologically examined the effects on the conditions of recipient beds.
MATERIALS AND METHODS: The subjects were 11 patients with skin defects from burn
ulcers or traumatic ulcers. In each subject, debridement was performed and
subsequently artificial dermis was applied to the defect. The bFGF was used on 1
side (combination therapy) of the artificial dermis and not used on the other
side (artificial dermis monotherapy). A histopathological examination was
performed on the granulation tissue collected from the recipient bed. The authors
also measured skin hardness 6 months after the skin graft. RESULTS:
Histologically, the combination therapy site had more extensive capillary
angiogenesis than the monotherapy site. The combination therapy site also had
capillary walls consisting of thick, large endothelial cells; fibroblast
proliferation and activation; and more severe infiltration of inflammatory cells.
Skin hardness after the graft was also much softer in the combination therapy.
CONCLUSION: The results suggest the usefulness of this combination therapy in the
preparation of skin graft beds to improve skin hardness after skin grafts in
clinical cases.
PMID- 27191175
TI - The Effect of Ethanol Extract of Rose (Rosa damascena) on Intra-abdominal
Adhesions After Laparotomy in Rats.
AB - BACKGROUND: Abdominal adhesions are pathological connections in peritoneal
surfaces that are created after abdominal surgery. The aim of this study was to
evaluate the inhibitory effect of Rosa damascena extract on adhesions,
considering the antioxidant properties of rose. METHODS: Thirty healthy rats were
divided into 3 groups: rats treated by 1% (A) and 5% (B) of R. damascena extract
and the con- trol group (C). After administering anesthesia, the abdominal wall
was opened and 3 shallow incisions (2 cm) were made on the right wall, and a 2 *
2 piece of peritoneal surface was removed on the left side of the abdominal wall.
Then 3 mL of 1% (A) and 5% (B) R. damascena extract was administered into the
abdominal cavity. The control group (C) received 3 mL of distilled water. The
abdominal cavity was sutured, and a second laparotomy was carried out 14 days
later to the created adhesions according to the Canbaz scale, and a
histopathologic examination was also performed. All data was analyzed by SPSS
volume 16 (Chicago, IL); P less than 0.05 was considered statistically
significant. RESULTS: The amount of adhesion in group A was significantly lower
than that of group C, 1.4 +/- 1.265 versus 3 +/- 0.816, (P = 0.007). The
histological investigation also showed significant differences in the se- verity
of fibrosis (P = 0.029) and inflammation (P = 0.009) between groups A and C; all
rats in group B (5%) were found dead. CONCLUSION: This study indicated the use of
R. damascena at a 1% level resulted in a remarkable decrease of intra-abdominal
adhesions after laparotomy in rats. Further studies are necessary on this extract
and its derivatives for treatment of such diseases in the human model.
PMID- 27191176
TI - Graft-versus-host Disease-associated Angiomatosis Treated With Topical Timolol
Solution.
AB - INTRODUCTION: Scleroderma-like graft-versus-host disease (GVHD) is an uncommon
subtype of chronic GVHD. Vascular lesions rarely arise within areas of
scleroderma-like changes and until recent- ly have not been considered to be
related entities. Kaffenberg et al1 have grouped this heterogeneous collection of
vascular lesions under the term GVHD-associated angiomatosis. Treatment
modalities thus far have been mostly ineffective. Topical timolol solution has
been used in the treatment of superficial infantile hemangiomas with good
success. Here the authors report the first case of GVHD-associated angiomatosis
treated with topical timolol solution. METHODS AND MATERIALS: Timolol 0.5%
solution was applied daily to 3 lesions on the lower extremities of their patient
for 3 months. RESULTS: All lesions decreased in friability and frequency of
spontaneous hemorrhage. Le- sions remained stable in size throughout treatment
duration, with no growth observed in any lesion. Granulation tissue surrounding
all lesions was markedly reduced after the treatment period. CONCLUSION: Topical
timolol remains a promising therapeutic option in the treat- ment of GVHD
associated angiomatosis.
PMID- 27191178
TI - The study on biomass fraction estimate methodology of municipal solid waste
incinerator in Korea.
AB - In Korea, the amount of greenhouse gases released due to waste materials was
14,800,000 t CO2eq in 2012, which increased from 5,000,000 t CO2eq in 2010. This
included the amount released due to incineration, which has gradually increased
since 2010. Incineration was found to be the biggest contributor to greenhouse
gases, with 7,400,000 t CO2eq released in 2012. Therefore, with regards to the
trading of greenhouse gases emissions initiated in 2015 and the writing of the
national inventory report, it is important to increase the reliability of the
measurements related to the incineration of waste materials. This research
explored methods for estimating the biomass fraction at Korean MSW incinerator
facilities and compared the biomass fractions obtained with the different biomass
fraction estimation methods. The biomass fraction was estimated by the method
using default values of fossil carbon fraction suggested by IPCC, the method
using the solid waste composition, and the method using incinerator flue gas. The
highest biomass fractions in Korean municipal solid waste incinerator facilities
were estimated by the IPCC Default method, followed by the MSW analysis method
and the Flue gas analysis method. Therefore, the difference in the biomass
fraction estimate was the greatest between the IPCC Default and the Flue gas
analysis methods. The difference between the MSW analysis and the flue gas
analysis methods was smaller than the difference with IPCC Default method. This
suggested that the use of the IPCC default method cannot reflect the
characteristics of Korean waste incinerator facilities and Korean MSW.
IMPLICATIONS: Incineration is one of most effective methods for disposal of
municipal solid waste (MSW). This paper investigates the applicability of using
biomass content to estimate the amount of CO2 released, and compares the biomass
contents determined by different methods in order to establish a method for
estimating biomass in the MSW incinerator facilities of Korea. After analyzing
the biomass contents of the collected solid waste samples and the flue gas
samples, the results were compared with the Intergovernmental Panel on Climate
Change (IPCC) method, and it seems that to calculate the biomass fraction it is
better to use the flue gas analysis method than the IPCC method. It is valuable
to design and operate a real new incineration power plant, especially for the
estimation of greenhouse gas emissions.
PMID- 27191177
TI - Thiol-Activated HNO Release from a Ruthenium Antiangiogenesis Complex and HIF
1alpha Inhibition for Cancer Therapy.
AB - Metallonitrosyl complexes are promising as nitric oxide (NO) donors for the
treatment of cardiovascular, endothelial, and pathogenic diseases, as well as
cancer. Recently, the reduced form of NO(-) (protonated as HNO, nitroxyl,
azanone, isoelectronic with O2) has also emerged as a candidate for therapeutic
applications including treatment of acute heart failure and alcoholism. Here, we
show that HNO is a product of the reaction of the Ru(II) complex
[Ru(bpy)2(SO3)(NO)](+) (1) with glutathione or N-acetyl-L-cysteine, using met
myoglobin and carboxy-PTIO (2-(4-carboxyphenyl)-4,4,5,5-tetramethylimidazoline-1
oxyl-3-oxide) as trapping agents. Characteristic absorption spectroscopic
profiles for HNO reactions with met-myoglobin were obtained, as well as EPR
evidence from carboxy-PTIO experiments. Importantly, the product HNO counteracted
NO-induced as well as hypoxia-induced stabilization of the tumor-suppressor HIF
1alpha in cancer cells. The functional disruption of neovascularization by HNO
produced by this metallonitrosyl complex was demonstrated in an in vitro
angiogenesis model. This behavior is consistent with HNO biochemistry and
contrasts with NO-mediated stabilization of HIF-1alpha. Together, these results
demonstrate for the first time thiol-dependent production of HNO by a ruthenium
complex and subsequent destabilization of HIF-1alpha. This work suggests that the
complex warrants further investigation as a promising antiangiogenesis agent for
the treatment of cancer.
PMID- 27191179
TI - On source models for (192)Ir HDR brachytherapy dosimetry using model based
algorithms.
AB - A source model is a prerequisite of all model based dose calculation algorithms.
Besides direct simulation, the use of pre-calculated phase space files (phsp
source models) and parameterized phsp source models has been proposed for Monte
Carlo (MC) to promote efficiency and ease of implementation in obtaining photon
energy, position and direction. In this work, a phsp file for a generic (192)Ir
source design (Ballester et al 2015) is obtained from MC simulation. This is used
to configure a parameterized phsp source model comprising appropriate probability
density functions (PDFs) and a sampling procedure. According to phsp data
analysis 15.6% of the generated photons are absorbed within the source, and 90.4%
of the emergent photons are primary. The PDFs for sampling photon energy and
direction relative to the source long axis, depend on the position of photon
emergence. Photons emerge mainly from the cylindrical source surface with a
constant probability over +/-0.1 cm from the center of the 0.35 cm long source
core, and only 1.7% and 0.2% emerge from the source tip and drive wire,
respectively. Based on these findings, an analytical parameterized source model
is prepared for the calculation of the PDFs from data of source geometry and
materials, without the need for a phsp file. The PDFs from the analytical
parameterized source model are in close agreement with those employed in the
parameterized phsp source model. This agreement prompted the proposal of a purely
analytical source model based on isotropic emission of photons generated
homogeneously within the source core with energy sampled from the (192)Ir
spectrum, and the assignment of a weight according to attenuation within the
source. Comparison of single source dosimetry data obtained from detailed MC
simulation and the proposed analytical source model show agreement better than 2%
except for points lying close to the source longitudinal axis.
PMID- 27191180
TI - Autobiographical memory impairment in obstructive sleep apnea patients with and
without depressive symptoms.
AB - Obstructive sleep apnea is associated with memory impairments, and higher rates
of depressive symptoms and major depressive disorder compared with community
estimates. Autobiographical memory overgenerality, a behaviour characterized by
difficulty recalling specific memories from one's own life, is recognized as a
marker of depression. Previous studies have demonstrated the predictive quality
of specific autobiographical memory recall on the course of depression in
patients with obstructive sleep apnea. However, it remains unclear whether
impaired autobiographical memory is simply a feature of depression, or whether it
is also impaired in patients with obstructive sleep apnea without depression.
This study aimed to investigate whether autobiographical memory impairments can
be observed in patients with obstructive sleep apnea, independent of the severity
of depressive symptoms. Twenty-one patients with obstructive sleep apnea
symptomatic for depressive symptoms (mean age = 43.43 years, SD = 9.97), 17
patients with obstructive sleep apnea asymptomatic for depressive symptoms (mean
age = 40.65 years, SD = 9.39), and 20 healthy controls without sleep-disordered
breathing (mean age = 32.80 years, SD = 6.69) completed an Autobiographical
Memory Test. Patients with obstructive sleep apnea symptomatic for depressive
symptoms recalled significantly fewer specific memories when compared with
healthy controls (P = 0.010). No difference in the recall of specific
autobiographical memory was observed between symptomatic and asymptomatic
patients with obstructive sleep apnea. With regard to valence, symptomatic
patients with obstructive sleep apnea recalled significantly fewer negative
specific memories when compared with controls (P = 0.010). Impairment in specific
autobiographical memory recall can be observed in patients with obstructive sleep
apnea, regardless of the severity of depressive symptoms; however, this effect
may not be as prominent in younger patients with obstructive sleep apnea.
PMID- 27191181
TI - Health impact and noise exposure assessment in the cricket bat industry of
Kashmir, India.
AB - AIM: The aim of the present study was to identify and evaluate predominant noise
sources in the cricket bat industry of Kashmir, India. METHODS: Sound levels were
measured at operator's ear level in the working zone of the workers of seven
cricket bat factories. The impact assessment was made through personal interviews
with each worker separately during their period of rest. RESULTS: On average,
62.5% of the workers reported difficulty in hearing and 24.1% of the workers have
become patients for hypertension. Only 58.1% of the workers complained of
headache due to high noise level. CONCLUSIONS: The workers engaged in the cricket
bat industry of Kashmir are exposed to high noise levels. It is suggested that
personal protective equipment like ear plugs and ear muffs be used by these
workers as a protection against this hazard.
PMID- 27191182
TI - Automated hybrid closed-loop control with a proportional-integral-derivative
based system in adolescents and adults with type 1 diabetes: individualizing
settings for optimal performance.
AB - BACKGROUND: Automated insulin delivery systems, utilizing a control algorithm to
dose insulin based upon subcutaneous continuous glucose sensor values and insulin
pump therapy, will soon be available for commercial use. The objective of this
study was to determine the preliminary safety and efficacy of initialization
parameters with the Medtronic hybrid closed-loop controller by comparing
percentage of time in range, 70-180 mg/dL (3.9-10 mmol/L), mean glucose values,
as well as percentage of time above and below target range between sensor
augmented pump therapy and hybrid closed-loop, in adults and adolescents with
type 1 diabetes. METHODS: We studied an initial cohort of 9 adults followed by a
second cohort of 15 adolescents, using the Medtronic hybrid closed-loop system
with the proportional-integral-derivative with insulin feed-back (PID-IFB)
algorithm. Hybrid closed-loop was tested in supervised hotel-based studies over 4
5 days. RESULTS: The overall mean percentage of time in range (70-180 mg/dL, 3.9
10 mmol/L) during hybrid closed-loop was 71.8% in the adult cohort and 69.8% in
the adolescent cohort. The overall percentage of time spent under 70 mg/dL (3.9
mmol/L) was 2.0% in the adult cohort and 2.5% in the adolescent cohort. Mean
glucose values were 152 mg/dL (8.4 mmol/L) in the adult cohort and 153 mg/dL (8.5
mmol/L) in the adolescent cohort. CONCLUSIONS: Closed-loop control using the
Medtronic hybrid closed-loop system enables adaptive, real-time basal rate
modulation. Initializing hybrid closed-loop in clinical practice will involve
individualizing initiation parameters to optimize overall glucose control.
PMID- 27191184
TI - Enhanced photoluminescence and phosphorescence properties of green phosphor
Zn2GeO4:Mn(2+)via composition modification with GeO2 and MgF2.
AB - A green long-lasting phosphorescence (LLP) phosphor Zn2GeO4:Mn(2+) (ZGOM) has
been synthesized by a solid-state method at 1100 degrees C in air. The
luminescence intensity has been improved up to 9 and 6 times through mixing GeO2
and MgF2 into the composition, respectively. The phosphorescence duration of the
sample has been prolonged to 5 h. The phosphor, composed of a mixture of Zn2GeO4
(ZGO), GeO2, and MgGeO3 phases, emits enhanced green luminescence with a broad
excitation band between 250 nm to 400 nm. Under identical measurement conditions,
the optimized phosphor ZGOM has a higher emission intensity and shows longer
wavelength emission than those of the commercial green LLP phosphor SrAl2O4:Eu,Dy
(SAOED) under an excitation at 336 nm. The quantum yield of the sample modified
by GeO2 and MgF2 is as high as 95.0%. Understanding of the formation mechanism
for enhancement of emission intensity and prolonging of phosphorescence duration
of ZGOM is fundamentally important, which might be extended to other identified
solid-state inorganic phosphor materials for advanced properties.
PMID- 27191183
TI - Hollow Structure Improved Anti-Cancer Immunity of Mesoporous Silica Nanospheres
In Vivo.
AB - Hollow and non-hollow mesoporous silica nanospheres are synthesized and used for
cancer vaccine adjuvants. The hollow structure of mesoporous silica nanospheres
significantly promote cellular uptake of a model cancer antigen by macrophage
like cells in vitro, improve anti-cancer immunity, CD4(+) and CD8(+) T cell
populations in splenocytes of mice in vivo.
PMID- 27191185
TI - Quantifying Isoniazid Levels in Small Hair Samples: A Novel Method for Assessing
Adherence during the Treatment of Latent and Active Tuberculosis.
AB - BACKGROUND: Tuberculosis (TB) is the leading cause of death from an infectious
pathogen worldwide and the most prevalent opportunistic infection in people
living with HIV. Isoniazid preventive therapy (IPT) reduces the incidence of
active TB and reduces morbidity and mortality in HIV-infected patients
independently of antiretroviral therapy. However, treatment of latent or active
TB is lengthy and inter-patient variability in pharmacokinetics and adherence
common. Current methods of assessing adherence to TB treatment using drug levels
in plasma or urine assess short-term exposure and pose logistical challenges.
Drug concentrations in hair assess long-term exposure and have demonstrated
pharmacodynamic relevance in HIV. METHODS: A large hair sample from a patient
with active TB was obtained for assay development. Methods to pulverize hair and
extract isoniazid were optimized and then the drug detected by liquid
chromatography/ tandem mass spectrometry (LC/MS-MS). The method was validated for
specificity, accuracy, precision, recovery, linearity and stability to establish
the assay's suitability for therapeutic drug monitoring (TDM). Hair samples from
patients on directly-observe isoniazid-based latent or active TB therapy from the
San Francisco Department of Public Health TB clinic were then tested. RESULTS:
Our LC/MS-MS-based assay detected isoniazid in quantities as low as 0.02ng/mg
using 10-25 strands hair. Concentrations in spiked samples demonstrated linearity
from 0.05-50ng/mg. Assay precision and accuracy for spiked quality-control
samples were high, with an overall recovery rate of 79.5%. In 18 patients with
latent or active TB on treatment, isoniazid was detected across a wide linear
dynamic range. CONCLUSIONS: An LC-MS/MS-based assay to quantify isoniazid levels
in hair with performance characteristics suitable for TDM was developed and
validated. Hair concentrations of isoniazid assess long-term exposure and may be
useful for monitoring adherence to latent or active TB treatment in the setting
of HIV.
PMID- 27191187
TI - Do as I Say, Not as I Do? An Examination of the Relationship Between Partner
Behaviors and Help Seeking for Alcohol Related Issues.
AB - BACKGROUND: Although there are a number of risks associated with problematic
alcohol use, the proportion of people who seek help for alcohol-related issues is
alarmingly low. OBJECTIVE: This study investigated the potential social
influences that are associated with alcohol-related help seeking, including
perceived partner support, descriptive and injunctive subjective norms, and
marital satisfaction. METHODS: Participants included 133 individuals (50% female,
48% male, and 2% did not report) recruited nationwide through both print and
electronic methods. Data were collected in an online survey in 2013. Respondents
were 77% Caucasian, 16% African-American, 2% Asian, 2% American Indian, and 1%
Hawaiian/Pacific Islander, with a mean age of 38 years (SD = 11.93). Data were
analyzed using hierarchical multiple regression. RESULTS: Results suggested that
when considered independently, perceived partner support, injunctive social
norms, and closest friend's level of drinking were significantly associated with
help seeking behavior, while marital satisfaction was not. Results further
indicated that examining support and norms together accounted for increased
variance in help seeking over examining the variables separately. Significant
interactions were found between gender and acceptance of drinking behavior, which
suggested that acceptance of drinking behavior was important for men's help
seeking but not for women's, and between positive support and acceptance, which
indicated that the role of support varied by level of acceptance for both
genders. CONCLUSION: Overall, this study suggests that social influences play an
important role in a person's decision to seek help for alcohol related issues.
PMID- 27191186
TI - Associations between Parents' Perceived Air Quality in Homes and Health among
Children in Nanjing, China.
AB - The increasing prevalence of respiratory diseases in Chinese children has focused
attention on indoor environmental quality. We investigated associations between
perceived air quality in domestic environments and children's allergic diseases
with a questionnaire survey study. A total of 4017 children aged 1-8 years old
from 23 kindergartens in urban, suburban and industrial areas in Nanjing were
randomly recruited for this study. Parents' perceived odors, including stuffy
odor, unpleasant odor, pungent odor, moldy odor, humid air and dry air were found
to be associated with asthma, wheeze, dry cough and rhinitis (P < 0.05). Both
perceived dry and humid air were found to be positively associated with dampness
indices, and we present evidence that the sensation of dryness may not be due to
the actual indoor relative humidity, but rather to indoor air irritants. Parents'
perception of odors and relative humidity may be indicators of environment
pollutants, which are likely the real factors associated with children's allergic
diseases.
PMID- 27191189
TI - Clocking Surface Reaction by In-Plane Product Rotation.
AB - Electron-induced reaction of physisorbed meta-diiodobenzene (mDIB) on Cu(110) at
4.6 K was studied by Scanning Tunneling Microscopy and molecular dynamics theory.
Single-electron dissociation of the first C-I bond led to in-plane rotation of an
iodophenyl (IPh) intermediate, whose motion could be treated as a "clock" of the
reaction dynamics. Alternative reaction mechanisms, successive and concerted,
were observed giving different product distributions. In the successive
mechanism, two electrons successively broke single C-I bonds; the first C-I bond
breaking yielded IPh that rotated directionally by three different angles, with
the second C-I bond breaking giving chemisorbed I atoms (#2) at three preferred
locations corresponding to the C-I bond alignments in the prior rotated IPh
configurations. In the concerted mechanism a single electron broke two C-I bonds,
giving two chemisorbed I atoms; significantly these were found at angles
corresponding to the C-I bond direction for unrotated mDIB. Molecular dynamics
accounted for the difference in reaction outcomes between the successive and the
concerted mechanisms in terms of the time required for the IPh to rotate in
plane; in successive reaction the time delay between first and second C-I bond
breaking events allowed the IPh to rotate, whereas in concerted reaction the
computed delay between excitation and reaction (~1 ps) was too short for
molecular rotation before the second C-I bond broke. The dependence of the extent
of motion at a surface on the delay between first and second bond breaking
suggested a novel means to "clock" sub-picosecond dynamics by imaging the
products arising from varying time delays between impacting pairs of electrons.
PMID- 27191190
TI - Family Centered Practice During Pediatric Death in an Out of Hospital Setting.
AB - OBJECTIVE: To understand effective ways for EMS providers to interact with
distressed family members during a field intervention involving a recent or
impending out-of-hospital (OOH) pediatric death. METHODS: Eight focus groups with
98 EMS providers were conducted in urban and rural settings between November 2013
and March 2014. Sixty-eight providers also completed a short questionnaire about
a specific event including demographics. Seventy-eight percent of providers were
males, 13% were either African American or Hispanic, and the average number of
years in EMS was 16 years. They were asked how team members managed the family
during the response to a dying child, what was most helpful for families whose
child suddenly and unexpectedly was dead in the OOH setting, and what follow up
efforts with the family were effective. RESULTS: The professional response by the
EMS team was critical to family coping and getting necessary support. There were
several critical competencies identified to help the family cope including: (1)
that EMS provide excellent and expeditious care with seamless coordination, (2)
allowing family to witness the resuscitation including the attempts to save the
child's life, and (3) providing ongoing communication. Whether the child is
removed from the scene or not, keeping the family appraised of what is happening
and why is critical. Exclusion of families from the process in cases of suspected
child abuse is not warranted. Giving tangible forms of support by calling
friends, family, and clergy, along with allowing the family time with the child
after death, giving emotional support, and follow-up gestures all help families
cope. CONCLUSION: The study revealed effective ways for EMS providers to interact
with distressed family members during an OOH pediatric death.
PMID- 27191188
TI - Development of Medical Countermeasures to Middle East Respiratory Syndrome
Coronavirus.
AB - Preclinical development of and research on potential Middle East respiratory
syndrome coronavirus (MERS-CoV) medical countermeasures remain preliminary;
advancements are needed before most countermeasures are ready to be tested in
human clinical trials. Research priorities include standardization of animal
models and virus stocks for studying disease pathogenesis and efficacy of medical
countermeasures; development of MERS-CoV diagnostics; improved access to nonhuman
primates to support preclinical research; studies to better understand and
control MERS-CoV disease, including vaccination studies in camels; and
development of a standardized clinical trial protocol. Partnering with clinical
trial networks in affected countries to evaluate safety and efficacy of
investigational therapeutics will strengthen efforts to identify successful
medical countermeasures.
PMID- 27191191
TI - Leveraging a faculty fellowship programme to develop leaders in interprofessional
education.
AB - This article reports findings from an interprofessional education (IPE) study of
a longitudinal faculty fellowship that aimed to develop IPE leaders at an
academic institution based in the United States. Eight applicants were
competitively selected to participate in an IPE track of the fellowship,
alongside 14 faculty members who entered through a separate selection process.
One year after graduation, a survey of the IPE fellows was undertaken to evaluate
programme outcomes using open-ended questions based on an adaptation of
Kirkpatrick's four-level training evaluation model. Results indicated that
respondents valued participating in a longitudinal programme where they could
learn about and practice teaching and leadership skills and conduct education
scholarship. While learning on an interprofessional basis, the fellows reported
establishing relationships that endured after graduation. This report suggests
that adding IPE activities to existing faculty fellowship programmes can be an
effective means of building faculty capacity to advance institutional IPE
initiatives.
PMID- 27191193
TI - Isolation, purification, and partial characterization of a membrane-bound Cl
/HCO3--activated ATPase complex from rat brain with sensitivity to GABAAergic
ligands.
AB - This study describes the isolation and purification of a protein complex with
[Formula: see text]-ATPase activity and sensitivity to GABAAergic ligands from
rat brain plasma membranes. The ATPase complex was enriched using size-exclusion,
affinity, and ion-exchange chromatography. The fractions obtained at each
purification step were subjected to SDS-polyacrylamide gel electrophoresis (SDS
PAGE), which revealed four subunits with molecular mass ~48, 52, 56, and 59 kDa;
these were retained at all stages of the purification process. Autoradiography
revealed that the ~52 and 56 kDa subunits could bind [3H]muscimol. The [Formula:
see text]-ATPase activity of this enriched protein complex was regulated by
GABAAergic ligands but was not sensitive to blockers of the NKCC or KCC
cotransporters.
PMID- 27191194
TI - Functional characterization of the ABCG2 5' non-coding exon variants: Stem cell
specificity, translation efficiency and the influence of drug selection.
AB - ABCG2 is a multidrug transporter with wide substrate specificity, and is believed
to protect several cell types from various xenobiotics and endobiotics. This
"guardian" function is important in numerous cell types and tissue barriers but
becomes disadvantageous by being responsible for the multidrug resistance
phenotype in certain tumor cells. ABCG2 regulation at the protein level has
already been extensively studied, however, regulation at the mRNA level,
especially the functional role of the various 5' untranslated exon variants (5'
UTRs) has been elusive. In the present work, we describe a comprehensive
characterization of four ABCG2 mRNA variants with different exon 1 sequences,
investigate drug inducibility, stem cell specificity, mRNA stability, and
translation efficiency. Although certain variants (E1B and E1C) are considered as
"constitutive" mRNA isoforms, we show that chemotoxic drugs significantly alter
the expression pattern of distinct ABCG2 mRNA isoforms. When examining human
embryonic stem cell lines, we provide evidence that variant E1A has an expression
pattern coupled to undifferentiated stem cell stage, as its transcript level is
regulated parallel to mRNAs of Oct4 and Nanog pluripotency marker genes. When
characterizing the four exon 1 variants we found no significant differences in
terms of mRNA stabilities and half-lives of the isoforms. In contrast, variant
E1U showed markedly lower translation efficiency both at the total protein level
or regarding the functional presence in the plasma membrane. Taken together,
these results indicate that the different 5' UTR variants play an important role
in cell type specific regulation and fine tuning of ABCG2 expression.
PMID- 27191192
TI - Genetic and clinical features of cryopyrin-associated periodic syndromes in
Turkish children.
AB - OBJECTIVES: The aim of this study was to present the genetic and clinical data of
the largest cohort of Turkish cryopyrin-associated periodic syndromes (CAPS)
patients. METHODS: This is a two-centre descriptive study of Turkish children
with clinical diagnosis of CAPS. NLRP3 analyses were performed by Sanger
sequencing and by massively parallel sequencing. ASC dependent NF-kappaB
activation and transfection-induced THP-1 cell death assays determined the
functional consequences of the detected variants. Disease activity and response
to anti interleukin 1 (anti-IL-1) treatment was also assessed. RESULTS:
Heterozygous germline NLRP3 mutation was detected in 8 of 14 enrolled patients
(57.1%). Two novel somatic mutations Y560H and G307D were found which induced
both THP-1 cell death and ASC dependent NF-kB activation. With anti-IL-1
treatment the disease activity was improved in all patients except one. Except
two patients with macrophage activation syndrome (MAS) attack, there were no
serious adverse events requiring hospitalisation. CONCLUSIONS: CAPS should be
considered in all patients with typical symptoms even if Sanger-based genetic
analysis is negative, since a considerable number of patients have mosaicism.
Treatment should be patient-tailored and MAS should be considered as a rare
complication.
PMID- 27191196
TI - Response to Kaya and Yildirim's Letter: "Choroidal Thinning May Change Scleral
Architecture".
PMID- 27191195
TI - Skeletal site-specific effects of endurance running on structure and strength of
tibia, lumbar vertebrae, and mandible in male Sprague-Dawley rats.
AB - Bone microarchitecture, bone mineral density (BMD), and bone strength are
affected positively by impact activities such as running; however, there are
discrepancies in the magnitude of these effects. These inconsistencies are mainly
a result of varying training protocols, analysis techniques, and whether or not
the skeletal sites measured are weight bearing. This study's purpose was to
determine the effects of endurance running on sites that experience different
weight bearing and load. Eight-week-old male Sprague-Dawley rats (n = 20) were
randomly assigned to either a group with a progressive treadmill running protocol
(25 m/min for 1 h, incline of 10%) or a nontrained control group for 8 weeks. The
trabecular structure of the tibia, lumbar vertebra (L3), and mandible and the
cortical structure at the tibia midpoint were measured using microcomputed
tomography to quantify bone volume fraction (i.e., bone volume divided by total
volume (BV/TV)), trabecular number (Tb.N), trabecular thickness (Tb.Th),
trabecular separation (Tb.Sp), and cortical thickness. BMD at the proximal tibia,
lumbar vertebrae (L1-L3), and mandible was measured using dual energy X-ray
absorptiometry. The tibia midpoint strength was measured by 3-point bending using
a materials testing system. Endurance running resulted in superior bone structure
at the proximal tibia (12% greater BV/TV (p = 0.03), 14% greater Tb.N (p = 0.01),
and 19% lower Tb.Sp (p = 0.05)) but not at other sites. Contrary to our
hypothesis, mandible bone structure was altered after endurance training (8%
lower BV/TV (p < 0.01) and 15% lower Tb.Th (p < 0.01)), which may be explained by
a lower food intake, resulting in less mechanical loading from chewing. These
results highlight the site-specific effects of loading on the skeleton.
PMID- 27191197
TI - Imaging Nuclei of MDA-MB-231 Breast Cancer Cells by Chiral Ruthenium(II) Complex
Coordinated by 2-(4-Phenyacetylenephenyl)-1H-imidazo[4,5f][1,10]phenanthroline.
AB - A pair of chiral ruthenium(II) complexes, Lambda- and Delta-[Ru(bpy)2(p
BEPIP)](ClO4)2 [Lambda- and Delta-RM0627; bpy = 2,2-bipyridine; p-BEPIP = 2-(4
phenyacetylenephenyl)-1H-imidazo[4,5f][1,10]phenanthroline], were prepared using
the Sonogashira coupling reaction under microwave irradiation. The study shows
that Lambda-RM0627 emitted strong phosphorescence in the range 500-700 nm with a
maximum at 594 nm when excited at 365 nm (the Stokes shift is about 227 nm),
which was mainly located in the cell nucleus with red phosphorescence. Further
studies using real-time phosphorescence observation confirmed that Lambda-RM0627
can be taken up quickly by MDA-MB-231 cells and enriched in the nucleus. The in
vitro and in vivo toxicities of Lambda-RM0627 were also evaluated, and it was
found that Lambda-RM0627 slightly inhibited the growth of MDA-MB-231 breast
cancer cells and HaCaT normal human epidermal cells and had little influence on
the development of Zebrafish embryos at low concentration. In conclusion, the
levoisomer of chiral ruthenium complexes can act as a potential phosphorescent
probe that targets nuclei of living cells with low toxicity.
PMID- 27191198
TI - Highly Enantioselective Formation of alpha-Allyl-alpha-Arylcyclopentanones via Pd
Catalysed Decarboxylative Asymmetric Allylic Alkylation.
AB - A highly enantioselective Pd-catalysed decarboxylative asymmetric allylic
alkylation of cyclopentanone derived alpha-aryl-beta-keto esters employing the
(R,R)-ANDEN-phenyl Trost ligand has been developed. The product (S)-alpha-allyl
alpha-arylcyclopentanones were obtained in excellent yields and
enantioselectivities (up to >99.9 % ee). This represents one of the most highly
enantioselective formations of an all-carbon quaternary stereogenic center
reported to date. This reaction was demonstrated on a 4.0 mmol scale without any
deterioration of enantioselectivity and was exploited as the key enantioselective
transformation in an asymmetric formal synthesis of the natural product (+)
tanikolide.
PMID- 27191200
TI - The role of multiplex molecular panels for the diagnosis of gastrointestinal
infections in immunocompromised patients.
AB - PURPOSE OF REVIEW: An increasing number of laboratories have implemented
multiplex molecular panels for the diagnosis of gastrointestinal infections. This
review focuses on recent data addressing the performance of US Food and Drug
Administration-cleared multiplex gastrointestinal panels and discusses the
advantages and limitations of these tests in the immunocompromised population.
RECENT FINDINGS: Testing for gastrointestinal pathogens using multiplex molecular
panels increases sensitivity and detection of coinfections compared with routine
testing methods. Furthermore, multiplex panels reduce turnaround time and may
allow for more informed decisions regarding treatment and infection control
measures. However, the routine use of multiplex gastrointestinal panels has led
to an increase in the detection of certain organisms, such as enteroaggregative
Escherichia coli and sapovirus, which many clinical laboratories did not
specifically test for in the past. This has created a degree of confusion on how
to best interpret the results of multiplex panels, especially in the
immunocompromised host. SUMMARY: Multiplex molecular panels provide a rapid and
sensitive tool for the diagnosis of infectious diarrhea, and may allow for more
timely decisions regarding the management of immunosuppressed patients. However,
there are limitations associated with multiplex panels, including the
interpretation of results and the cost associated with testing. Clinical
microbiologists should work closely with clinicians to develop evidence-based
algorithms to guide test utilization in this area.
PMID- 27191199
TI - Treatment of mucormycosis in transplant patients: role of surgery and of old and
new antifungal agents.
AB - PURPOSE OF REVIEW: Mucormycosis is an opportunistic mold infection whose
management is difficult, as there is a paucity of evidence-based data. We
summarize the latest advances in diagnosis and management of mucormycosis in
transplant recipients. RECENT FINDINGS: There is promise for improvement in
nonculture-based diagnostics with new biomarkers of Mucorales DNA that can be
used for early diagnosis, and monitoring of response. Antifungal treatment
consists of high-dose lipid formulations of amphotericin B or isavuconazole as
the first-line therapy and posaconazole as salvage therapy. The new,
pharmacokinetically more reliable formulations of posaconazole (intravenous,
extended-release tablets) are welcomed improvements. Yet, the role of combination
therapy is still uncertain. Surgery had a significant role in selected cases,
such as in patients with rhinosinusitis form of mucormycosis, which nowadays can
be performed with minimal invasive technique. SUMMARY: Mucormycosis remain a life
threatening opportunistic mold infection among transplant patients. Early
diagnosis, prompt treatment with effective antifungals in combination with
surgery if feasible is essential. Immune adjunct therapy and improvement of early
diagnostics are important areas for future research. There are good prospects of
progress in diagnostics and management of mucormycosis in transplant patients.
PMID- 27191201
TI - Molecular diagnosis of toxoplasmosis in immunocompromised patients.
AB - PURPOSE OF REVIEW: Toxoplasmosis in immunocompromised patients is associated with
a high mortality rate. Molecular techniques are important tools to diagnose acute
disease in immunocompromised patients, but there are various methods with
variable efficiency. Some of them have been validated for the diagnosis of
congenital toxoplasmosis, but the impact of their use has not been evaluated in
immunocompromised patients. RECENT FINDINGS: Toxoplasmosis is of increasing
importance in non-HIV immunocompromised patients. In addition, the picture of
disease shows greater severity in South America, both in immunocompetent study
participants and in congenitally infected infants. These epidemiological
differences could influence the sensitivity of diagnostic methods. This review
analyzes recent data on molecular diagnosis and compares them with older ones, in
light of progress gained in molecular techniques and of recent epidemiological
findings. Most recent studies were conducted in South America and used PCR
targeting the B1 gene. PCR on blood could allow diagnosing a significant
proportion of patients with ocular toxoplasmosis in Brazil. SUMMARY: Quantitative
PCR methods with specific probes should be used to improve sensitivity and
warrant specificity. Performance of quantitative PCR targeting the repeated 529
bp sequence for the diagnosis of toxoplasmosis in immunocompromised patients
needs evaluation in field studies in South America and in western countries.
PMID- 27191202
TI - Hepatitis C following liver transplantation: current approach and future research
opportunities.
AB - PURPOSE OF REVIEW: The treatment of hepatitis C virus infection (HCV) in liver
transplant recipients was very limited until direct-acting antivirals became
widely available. We review the current approach to HCV treatment following liver
transplantation and future research opportunities. RECENT FINDINGS: Current
treatment of HCV infection with all oral new direct-acting antivirals in the
postliver transplant setting is easier, shorter, tolerable, and more effective
with high-sustained virological response rates. However, some challenges remain,
including the optimal timing of therapy, drug-drug interactions, renal
insufficiency, and HIV coinfection. SUMMARY: Patients with recurrent HCV
following liver transplant will significantly benefit from all oral new direct
acting antivirals. Ongoing studies will determine the optimal timing and
combination in this unique population.
PMID- 27191203
TI - Cryptococcus gattii VGIIb-like Variant in White-Tailed Deer, Nova Scotia, Canada.
PMID- 27191204
TI - A Mixed Model to Disentangle Variance and Serial Autocorrelation in Affective
Instability Using Ecological Momentary Assessment Data.
AB - Affective instability, the tendency to experience emotions that fluctuate
frequently and intensively over time, is a core feature of several mental
disorders including borderline personality disorder. Currently, affect is often
measured with Ecological Momentary Assessment protocols, which yield the
possibility to quantify the instability of affect over time. A number of linear
mixed models are proposed to examine (diagnostic) group differences in affective
instability. The models contribute to the existing literature by estimating
simultaneously both the variance and serial dependency component of affective
instability when observations are unequally spaced in time with the serial
autocorrelation (or emotional inertia) declining as a function of the time
interval between observations. In addition, the models can eliminate systematic
trends, take between subject differences into account and test for (diagnostic)
group differences in serial autocorrelation, short-term as well as long-term
affective variability. The usefulness of the models is illustrated in a study on
diagnostic group differences in affective instability in the domain of eating
disorders. Limitations of the model are that they pertain to group (and not
individual) differences and do not focus explicitly on circadian rhythms or
cycles in affect.
PMID- 27191205
TI - Anticorps Monoclonaux: Principes Fondamentaux Et Applications.
AB - The technique of hybridization of myeloma and B-lymphoid cells synthetizing
antibodies, allows to obtain cellular clones producing a pre-defined antibody,
during an undefined time and in unlimited quantity. The monoclonal antibodies
have analytical properties which have never been obtained until now. They also
constitute a major progress in the production of antisera, allowing their
standardization, not only of place (unlimited production) but of time, thanks to
the immortality (at least in principle) of the hybridoma clones. Finally, they
represent a possible revival of serotherapy.
PMID- 27191207
TI - Same-Sex Couples' Decisions and Experiences of Marriage in the Context of
Minority Stress: Interviews From a Population-Based Longitudinal Study.
AB - In the emerging context of marriage equality, it is important to explore the
reasons for and experience of marriage for long-term same-sex couples, including
the role of minority stress. In Wave 3 of the population-based, longitudinal
CUPPLES Study we interviewed 21 long-term same-sex couples (14 female, 7 male)
who resided in 12 different states and who were legally married. Couple members
ranged in age from 37 to 84 and reported being together as a couple from 15 to 41
years. Seven couples lived in states that did not recognize their marriage at the
time of the interview. Legal protection and social validation emerged as the two
primary domains that captured couples' lived experiences of marriage. Minority
stress experiences emerged in the narratives in the context of couples' long-term
commitment, the availability of civil marriage, and couples' participation in
activist efforts on behalf of marriage equality for themselves and others.
PMID- 27191208
TI - Inflammatory Bowel Disease Patients' Participation, Attitude and Preferences
Toward Exercise.
AB - The purpose of the study was to investigate the level of exercise participation
in patients with inflammatory bowel disease (IBD) and to investigate their
intention, attitude and preference toward exercise. The data of 158 IBD patients
that participated in a self-administered survey at Severance Hospital between
March 2013 and November 2013 were included in this cross sectional and
descriptive analysis. Questionnaires included 3 sections to determine the IBD
patient's current exercise participation, attitude toward exercise, and exercise
preferences. This study investigated IBD patients both collectively, and
according to their specific disease: Crohn's disease (CD) (n=62), Ulcerative
colitis (UC) (n=73) and intestinal Behcet's disease (BD) (n=23). IBD patients
currently participate in 103 min/week of exercise including mild, moderate and
strenuous intensity, with BD patients being the least active, followed by CD, and
UC being most active. The majority of IBD patients found exercise to be pleasant
(57.7%), beneficial (80.5%), sensible (71.8%), uplifting (61%) and good (70.5%),
and 44.4% found exercise to be enjoyable. This study shows the IBD patients'
participation, attitude and preferences toward exercise and provides much needed
information for the development of evidence based exercise programs that are
specific to IBD.
PMID- 27191209
TI - A Preliminary Exploration of Concussion and Strength Performance in Youth Ice
Hockey Players.
AB - The objective of this study was to describe the effect of concussion on upper and
lower body strength in children and youth athletes. The participant group was
made up of 178 unique male and female ice hockey players (ages 8-14 years). Using
a 3-year prospective longitudinal research design, baseline and post-concussion
data on hand grip strength, jump tests, and leg maximal voluntary contraction
were collected. Using a linear mixed-effects model, no significant differences
were found when comparing the baseline strength performance of individuals who
went on to experience a concussion and those who did not. When accounting for
sex, multiple concussions, and ongoing changes in strength associated with age,
weaker hand grip scores were found following concussion while participants were
still symptomatic. Lower squat jump heights were achieved while participants were
symptomatic as well as when they were no longer self-reporting symptoms
associated with concussion. This study represents an initial step towards better
understanding strength performance following concussion that may limit the on and
off ice performance of youth ice hockey players, as well as predispose youth to
subsequent injuries.
PMID- 27191210
TI - The Effect of Inspiratory Muscle Training on Respiratory and Limb Locomotor
Muscle Deoxygenation During Exercise with Resistive Inspiratory Loading.
AB - We investigated how inspiratory muscle training impacted respiratory and
locomotor muscle deoxygenation during submaximal exercise with resistive
inspiratory loading. 16 male cyclists completed 6 weeks of either true (n=8) or
sham (n=8) inspiratory muscle training. Pre- and post-training, subjects
completed 3, 6-min experimental trials performed at ~80% VO2peak with
interventions of either moderate inspiratory loading, heavy inspiratory loading,
or maximal exercise imposed in the final 3 min. Locomotor and respiratory muscle
oxy-, deoxy-, and total-haemoglobin and myoglobin concentration was continuously
monitored using near-infrared spectroscopy. Locomotor muscle deoxygenation
changes from 80% VO2peak to heavy inspiratory loading were significantly reduced
pre- to post-training from 4.3+/-5.6 uM to 2.7+/-4.7 uM. Respiratory muscle
deoxygenation was also significantly reduced during the heavy inspiratory loading
trial (4.6+/-3.5 uM to 1.9+/-1.5 uM) post-training. There was no significant
difference in oxy-, deoxy-, or total-haemoglobin and myoglobin during any of the
other loading trials, from pre- to post-training, in either group. After
inspiratory muscle training, highly-trained cyclists exhibited decreased
locomotor and respiratory muscle deoxygenation during exercise with heavy
inspiratory loading. These data suggest that inspiratory muscle training reduces
oxygen extraction by the active respiratory and limb muscles, which may reflect
changes in respiratory and locomotor muscle oxygen delivery.
PMID- 27191211
TI - Do Stretch Durations Affect Muscle Mechanical and Neurophysiological Properties?
AB - The aim of the study was to determine whether stretching durations influence
acute changes of mechanical and neurophysiological properties of plantar flexor
muscles. Plantar flexors of 10 active males were stretched in passive conditions
on an isokinetic dynamometer. Different durations of static stretching were
tested in 5 randomly ordered experimental trials (1, 2, 3, 4 and 10*30-s).
Fascicle stiffness index, evoked contractile properties and spinal excitability
(Hmax/Mmax) were examined before (PRE), immediately after (POST0) and 5 min after
(POST5) stretching. No stretch duration effect was recorded for any variable.
Moreover, whatever the stretching duration, stiffness index, peak twitch torque
and rate of force development were significantly lower at POST0 and POST5 as
compared to PRE (P<0.05). Electromechanical delay was longer at POST0 and POST5
as compared to PRE (P<0.05). Whatever the stretch duration, no significant
changes of Hmax/Mmax ratio were recorded. In conclusion, 30 s of static
stretching to maximum tolerated discomfort is sufficient enough to alter
mechanical properties of plantar flexor muscles, but 10*30 s does not
significantly affect these properties further. Stretching does not impair spinal
excitability.
PMID- 27191212
TI - The Neuropsychology of Working Memory: An Introduction.
PMID- 27191213
TI - Working Memory: A Selective Review.
AB - The purpose of this paper is to provide a selective overview of the evolution of
the concept and assessment of working memory, and how its assessment has been
confused with the assessment of some components of attention. A literature search
using PsychNet Gold was conducted using the terms working memory. In addition,
the writer reviewed recommendations from a sampling of recent neuropsychology
texts in regard to the assessment of attention and working memory, as well as the
two most recent editions of the Wechsler Memory Scale. It is argued that many
clinicians have an incomplete understanding of the relationship between attention
and working memory, and often conflate the two in assessment and treatment.
Suggestions were made for assessing these abilities.
PMID- 27191214
TI - Parental Perceptions of the Efficacy of Cogmed Working Memory Training.
AB - Many articles have been written about the effectiveness of Cogmed Working Memory
Training (CWMT). As Cogmed licensees, we have provided CWMT to more than 350
trainees and have collected pre- and post-training assessment data and parental
feedback from about 280 child and adolescent trainees and their parents. On all
nine measures of working memory and other selected executive functions, we have
found statistically significant improvement. We also offer many of the comments
and feedback that we have received from families about the changes they have
experienced. There are limitations to the one group pre-test post-test design
used in this study that need to be considered as the results are reviewed.
PMID- 27191215
TI - Working Memory in the Classroom: An Inside Look at the Central Executive.
AB - This article provides a review of working memory and its application to
educational settings. A discussion of the varying definitions of working memory
is presented. Special attention is given to the various multidisciplinary
professionals who work with students with working memory deficits, and their
unique understanding of the construct. Definitions and theories of working memory
are briefly summarized and provide the foundation for understanding practical
applications of working memory to assessment and intervention. Although
definitions and models of working memory abound, there is limited consensus
regarding universally accepted definitions and models. Current research indicates
that developing new models of working memory may be an appropriate paradigm shift
at this time. The integration of individual practitioner's knowledge regarding
academic achievement, working memory and processing speed could provide a
foundation for the future development of new working memory models. Future
directions for research should aim to explain how tasks and behaviors are
supported by the substrates of the cortico-striatal and the cerebro-cerebellar
systems. Translation of neurobiological information into educational contexts
will be helpful to inform all practitioners' knowledge of working memory
constructs. It will also allow for universally accepted definitions and models of
working memory to arise and facilitate more effective collaboration between
disciplines working in educational setting.
PMID- 27191216
TI - Working Memory Goes to School.
AB - This article endeavors to provide a comprehensive developmental perspective of
Working Memory in the classroom. Instructional implications will be discussed as
they apply to preschool, elementary and secondary education. It is the intent of
this paper to also provide food for thought about working memory as it applies to
other aspects of the school day, such as physical education and social,
emotional, and behavioral functioning.
PMID- 27191217
TI - Neuro-Cognitive Intervention for Working Memory: Preliminary Results and Future
Directions.
AB - Definitions of working memory identify it as a function of the executive function
system in which an individual maintains two or more pieces of information in mind
and uses that information simultaneously for some purpose. In academics, working
memory is necessary for a variety of functions, including attending to the
information one's teacher presents and then using that information simultaneously
for problem solving. Research indicates difficulties with working memory are
observed in children with mathematics learning disorder (MLD) and reading
disorders (RD). To improve working memory and other executive function
difficulties, and as an alternative to medication treatments for attention and
executive function disorders, the Motor Cognition(2)(r) (MC(2)(r))program was
developed. Preliminary research on this program indicates statistically
significant improvements in working memory, mathematics, and nonsense word
decoding for reading. Further research on the MC(2)(r) program and its impact on
working memory, as well as other areas of executive functioning, is warranted.
PMID- 27191220
TI - Treating Working Memory Deficits: Current Status, Applications, and Future
Directions.
PMID- 27191218
TI - Working Memory and Neurofeedback.
AB - Impairments in working memory are typically associated with impairments in other
cognitive faculties such as attentional processes and short-term memory. This
paper briefly introduces neurofeedback as a treatment modality in general, and,
more specifically, we review several of the current modalities successfully used
in neurofeedback (NF) for the treatment of working memory deficits. Two case
studies are presented to illustrate how neurofeedback is applied in treatment.
The development of Low Resolution Electromagnetic Tomography (LORETA) and its
application in neurofeedback now makes it possible to specifically target deep
cortical/subcortical brain structures. Developments in neuroscience concerning
neural networks, combined with highly specific yet practical NF technologies,
makes neurofeedback of particular interest to neuropsychological practice,
including the emergence of specific methodologies for treating very difficult
working memory (WM) problems.
PMID- 27191219
TI - Implicit Working Memory: Implications for Assessment and Treatment.
AB - Working memory (WM) impacts a gamut of cognitive abilities, but implicit WM is
typically not considered in assessment or treatment, which may explain the
variability of results in reviews of WM training. The role of implicit WM in
adaptive behavior is reviewed. All we do is action based. Explicit WM plays a
major role when we are required to "think"; that is, when we apply previously
learned perception-action linkages in new ways to unique situations. Implicit WM
is involved in the automation of behavior, which occurs through interaction with
cortical and subcortical systems that guide sensory-motor anticipation and the
prediction of reward. This article reviews evidence that implicit WM interacts
with cortical-cerebellar and cortical-basal ganglia connections to form
perception-action linkages. The cerebellum forms an internal model of cortical
WM, corrects the content of this internal model, and then projects the improved
representation back to the cortex, where it is retained for future use. The basal
ganglia also form an anticipatory system, controlling cortical access to WM by
allowing or restricting the information that is released based on the probability
of reward. This framework is applied to the assessment and treatment of
individuals with WM deficits. The ability to automate behavior can be assessed
through repeated trials of existing testing instruments, such as the Trails B and
Stroop tasks. Application of skill learning emphasizing automation as an end goal
offers a model for the development of new types of WM training.
PMID- 27191221
TI - The Differential Effects of Chaplain Interventions on Patient Satisfaction.
AB - There is an acute need to define the specific skills that make chaplains integral
to the healthcare team. This prospective study attempts to identify those skills
that may be specific to chaplains, for whom no other member of the health care
team has similar training, and to examine if these skills have a differential
effect on patient satisfaction. A total of 59 interventions were identified and
grouped into 10 categories by focus groups comprised of chaplains. Subsequently,
Principal Component Analysis yielded two independent variables; Component 1
representing the "Religious/Spiritual" dimension, and Component 2 representing
the "Psychosocial" dimension of chaplains' work. The two components were used in
an OLS regression model to measure patient satisfaction. Interventions that
comprise the "Religious/Spiritual" dimension may be considered to be specific
skills that chaplains contribute to patient care and these have a slightly
stronger correlation with patient satisfaction than the interventions of the
"Psychosocial" dimension.
PMID- 27191222
TI - Cell-Targeting Cationic Gene Delivery System Based on a Modular Design Rationale.
AB - En route to target cells, a gene carrier faces multiple extra- and intracellular
hurdles that would affect delivery efficacy. Although diverse strategies have
been proposed to functionalize gene carriers for individually overcoming these
barriers, it is challenging to generate a single multifunctional gene carrier
capable of surmounting all these barriers. Aiming at this challenge, we have
developed a supramolecular modular approach to fabricate a multifunctional
cationic gene delivery system. It consists of two prefunctionalized modules: (1)
a host module: a polymer (PCD-SS-PDMAEMA) composed of poly(beta-cyclodextrin)
backbone and disulfide-linked PDMAEMA arms, expectedly acting to compact DNA and
release DNA upon cleavage of disulfide linkers in reductive microenvironment; and
(2) a guest module: adamantyl and folate terminated PEG (Ad-PEG-FA), expectedly
functioning to reduce nonspecific interactions, improve biocompatibility, and
provide folate-mediated cellular targeting specificity. Through the host-guest
interaction between beta-cyclodextrin units of the "host" module and adamantyl
groups of the "guest" module, the PCD-SS-PDMAEMA-1 (host) and Ad-PEG-FA (guest)
self-assemble forming a supramolecular pseudocopolymer (PCD-SS-PDMAEMA-1/PEG-FA).
Our comprehensive analyses demonstrate that the functions preassigned to the two
building modules are well realized. The gene carrier effectively compacts DNA
into stable nanosized polyplexes resistant to enzymatic digestion, triggers DNA
release in reducing environment, possesses significantly improved
hemocompatibility, and specifically targets folate-receptor positive cells. Most
importantly, endowed with these predesigned functions, the PCD-SS-PDMAEMA-1/PEG
FA supramolecular gene carrier exhibits excellent transfection efficacy for both
pDNA and siRNA. Thus, this work represents a proof-of-concept example showing the
efficiency and convenience of an adaptable, modular approach for conferring
multiple functions to a single supramolecular gene carrier toward effective in
vivo delivery of therapeutic nucleic acids.
PMID- 27191223
TI - Reemergence of Dengue in Southern Texas, 2013.
AB - During a dengue epidemic in northern Mexico, enhanced surveillance identified 53
laboratory-positive cases in southern Texas; 26 (49%) patients acquired the
infection locally, and 29 (55%) were hospitalized. Of 83 patient specimens that
were initially IgM negative according to ELISA performed at a commercial
laboratory, 14 (17%) were dengue virus positive by real-time reverse
transcription PCR performed at the Centers for Disease Control and Prevention.
Dengue virus types 1 and 3 were identified, and molecular phylogenetic analysis
demonstrated close identity with viruses that had recently circulated in Mexico
and Central America. Of 51 household members of 22 dengue case-patients who
participated in household investigations, 6 (12%) had been recently infected with
a dengue virus and reported no recent travel, suggesting intrahousehold
transmission. One household member reported having a recent illness consistent
with dengue. This outbreak reinforces emergence of dengue in southern Texas,
particularly when incidence is high in northern Mexico.
PMID- 27191224
TI - Wastewater Treatment Works (WwTW) as a Source of Microplastics in the Aquatic
Environment.
AB - Municipal effluent discharged from wastewater treatment works (WwTW) is suspected
to be a significant contributor of microplastics (MP) to the environment as many
personal care products contain plastic microbeads. A secondary WwTW (population
equivalent 650 000) was sampled for microplastics at different stages of the
treatment process to ascertain at what stage in the treatment process the MP are
being removed. The influent contained on average 15.70 (+/-5.23) MP.L(-1). This
was reduced to 0.25 (+/-0.04) MP.L(-1) in the final effluent, a decrease of
98.41%. Despite this large reduction we calculate that this WwTW is releasing 65
million microplastics into the receiving water every day. A significant
proportion of the microplastic accumulated in and was removed during the grease
removal stage (19.67 (+/-4.51) MP/2.5 g), it was only in the grease that the much
publicised microbeads were found. This study shows that despite the efficient
removal rates of MP achieved by this modern treatment plant when dealing with
such a large volume of effluent even a modest amount of microplastics being
released per liter of effluent could result in significant amounts of
microplastics entering the environment. This is the first study to describe in
detail the fate of microplastics during the wastewater treatment process.
PMID- 27191225
TI - Rapamycin slows down gut aging.
PMID- 27191226
TI - Pregnancy and primary Sjogren's syndrome: management and outcomes in a
multicentre retrospective study of 54 pregnancies.
AB - OBJECTIVES: Primary Sjogren's syndrome (pSS) is one of the most common autoimmune
diseases, mainly affecting women during the fourth decade of life. During
pregnancy, the presence of anti-Ro/SSa and anti-La/SSb antibodies increases the
risk of congenital heart block (CHB). Foetal and pregnancy outcomes in pregnant
women with pSS compared with the general population are difficult to evaluate
because of confounding factors including age and body mass index (BMI). METHOD:
The aim of this case-control study was to analyse the impact of pSS in pregnant
women on foetal and pregnancy outcomes. RESULTS: We enrolled 19 women with pSS
(54 pregnancies) matched by age and BMI to 216 controls. Patients with pSS
delivered significantly earlier (38 weeks + 3 days vs. 39 weeks + 2 days) and
experienced more spontaneous abortions [< 22 weeks of gestation (WG)] than the
controls [n = 16/54 (30.0%) vs. n = 1/216 (0.4%); p < 0.00001]. Preterm delivery
(<= 37+6 WG) was significantly higher in the pSS group than in the control group
(29% vs. 12%, p = 0.04). pSS activity significantly affected the birthweight
percentile, which was lower in pregnancies occurring after the diagnosis of pSS
than in those occurring before (32.43 +/- 21.57 vs. 60.46 +/- 27.37; p = 0.008).
No case of CHB was observed. CONCLUSIONS: pSS is responsible for an increased
risk of spontaneous abortion. The duration of pregnancy is lower in patients with
than without pSS, with more premature deliveries. Pregnancies that occur after
the onset of the disease result in lower birthweight percentile children than
when pSS is not clinically overt.
PMID- 27191227
TI - tert-Butyl Nitrite: Organic Redox Cocatalyst for Aerobic Aldehyde-Selective
Wacker-Tsuji Oxidation.
AB - An aldehyde-selective aerobic Wacker-Tsuji oxidation is developed. Using tert
butyl nitrite as a simple organic redox cocatalyst instead of copper or silver
salts, a variety of aldehydes were achieved as major products in up to 30/1
regioselectivity as well as good to high yields at room temperature.
PMID- 27191229
TI - Chronic pain and cognition.
PMID- 27191230
TI - Cognitive deficits in chronic pain patients, in a brief screening test, are
independent of comorbidities and medication use.
AB - Objective To describe and analyze cognitive aspects in patients with chronic pain
and a control group without pain. Method A case-control study was conducted on 45
patients with chronic pain and on 45 control subjects. Data including pain
diagnosis, comorbidities and medication used, were evaluated. Cognitive tests,
such as the Montreal Cognitive Assessment (MoCA), Verbal Fluency Test, Clock
Drawing Test and Stroop Test, were applied. Results Patients with chronic pain
showed a poorer performance, as shown by the scores of the MoCA test (p < 0.002),
Verbal Fluency Test (p < 0.001), Clock Drawing Test (p = 0.022) and Stroop Test
(p < 0.000). Chronic pain variable (p = 0.015, linear regression model) was an
independent factor for results obtained with the MoCA. Conclusion Patients with
chronic pain showed a poorer performance in a brief screening test for cognitive
impairment not related to confounding variables, as comorbidities and pain
medication use.
PMID- 27191231
TI - A histomorphometric study of unmyelinated fibers of the fibular nerve in Wistar
rats.
AB - There are few histomorphometric studies on the unmyelinated fibers of the fibular
nerve in rats, and the number of experimental studies using this nerve has been
increasing in the last years. Sixty-two percent of the endoneurial area from 10
fibular nerves of adult Wistar rats was scanned by electron microscopy, and
digitized. The total number of unmyelinated axons (1.882 +/- 271) was
significantly lesser, and their axon diameters (0.2 um to 2.8 um) significantly
higher than that determined in previous studies. The histogram peaked at 1 um.
The differences could be due to the nerve sampled area, the number and the age of
the animals evaluated, and the laboratory techniques used. This study brings new
and referential data to be used in experimental investigations involving
histomorphometric evaluation of the rat fibular nerve.
PMID- 27191232
TI - Exclusive bed for thrombolysis. A simple measure that allows 85% of ischemic
stroke patients to be treated in the first hour.
AB - The door-to-needle time is an important goal to reduce the time to treatment in
intravenous thrombolysis. Objective Analyze if the inclusion of an exclusive
thrombolytic bed reduces the door-to-needle time. Method One hundred and fifty
patients admitted for neurological evaluation with ischemic stroke were separated
in two groups: in the first, patients were admitted in the Emergency Room for
intravenous thrombolysis (ER Group); in the second, patients were admitted in an
exclusive thrombolytic bed in the general neurology ward (TB Group). Results
Sixty-eight (86.0%) patients from TB Group were treated in the first 60 minutes
of arrival as compared to 48 (67.6%) in the ER Group (p = 0.011). Conclusion The
introduction of a thrombolytic bed in a general hospital setting can markedly
reduce the door-to-needle time, allowing more than 85% of patients to be treated
within the first hour of admission.
PMID- 27191233
TI - Consistent declining trends in stroke mortality in Brazil: mission accomplished?
AB - Stroke mortality rates are declining in Brazil, but diferences among regions need
to be better investigated. The age-adjusted stroke mortality trends among adults
(30-69 years-old) from Brazilian regions were studied between 1996 and 2011.
Method Data were analyzed after: 1) reallocation of deaths with non-registered
sex or age; 2) redistribution of garbage codes and 3) underreporting correction.
A linear regression model with autoregressive errors and a state space model were
fitted to the data, aiming the estimation of annual trends at every point in
time. Results Although there were high values, a steady decrease of rates was
observed. The decreasing trends among all regions were statistically significant,
with higher values of decline among the Northeast and Northern regions, where
rates were the highest. Conclusion Standardized methodology use is mandatory for
correct interpretation of mortality estimates. Although declining, rates are
still extremely high and efforts must be made towards prevention of stroke
incidence, reduction of case-fatality rates and prevention of sequelae.
PMID- 27191234
TI - The extended pterional approach allows excellent results for removal of anterior
cranial fossa meningiomas.
AB - Objective To describe a unique operative strategy, instead the classical
pterional approach, and to analyses it safety and effectiveness for removal of
anterior cranial fossa meningiomas. Method We identify 38 patients with
tuberculum sellae and olphactory groove meningiomas operated between 1986 and
2013. Medical charts, operative reports, imaging studies and clinical follow-up
evaluations were reviewed and analyzed retrospectively. The pterional craniotomy
is extended toward the frontal bone providing access through the subfrontal
route, besides the usual anterolateral view provided by the classical pterional
approach. Results Surgical mortality occurred in one patient (2.6%). Gross total
resection was achieved in 27 patients (86.8%). Median time of follow-up was 69.4
months. Conclusion The extended pterional approach allows excellent results.
Total removal of meningiomas of the anterior cranial fossa was obtained in 86.8 %
of patients, with low morbidity and mortality.
PMID- 27191235
TI - Surgical outcomes of the endoscopic endonasal transsphenoidal approach for large
and giant pituitary adenomas: institutional experience with special attention to
approach-related complications.
AB - Objective In this study, we investigate our institutional experience of patients
who underwent endoscopic endonasal transsphenoidal approach for treatment of
large and giant pituitary adenomas emphasizing the surgical results and approach
related complications. Method The authors reviewed 28 consecutive patients who
underwent surgery between March, 2010 and March, 2014. Results The mean
preoperative tumor diameter was 4.6 cm. Gross-total resection was achieved in
14.3%, near-total in 10.7%, subtotal in 39.3%, and partial in 35.7%. Nine
patients experienced improvement in visual acuity, while one patient worsened.
The most common complications were transient diabetes insipidus (53%), new
pituitary deficit (35.7%), endonasal adhesions (21.4%), and cerebrospinal fluid
leak (17.8%). Surgical mortality was 7.1%. Conclusions Endoscopic endonasal
transsphenoidal surgery is a valuable treatment option for large or giant
pituitary adenomas, which results in high rates of surgical decompression of
cerebrovascular structures.
PMID- 27191236
TI - Pituitary macroadenoma: analysis of intercarotid artery distance compared to
controls.
AB - Objective To evaluate the intercarotid distance (ICD) of patients with pituitary
macroadenoma and compare to heatlhy controls. Method We retrospectively reviewed
contrast-enhanced MRI images from twenty consecutive patients diagnosed with non
functioning pituitary macroadenoma, measured the ICD at two different levels
(petrous segment - ICD1 and horizontal cavernous segment - ICD2) and compared to
twenty paired controls. Results There was no statistically significant difference
of the mean ICD1 between the groups and subgroups. For the ICD2 there was
statistically significant difference between the case and controls. However,
there was no significant difference between the patients with smaller adenomas
and the controls. In contrast, the patients with giant adenomas showed
statistically significantly higher ICD2 than the controls. Conclusion The ICD at
the horizontal segment of the cavernous carotid tends to be wider in patients
with giant pituitary adenomas than in healthy individuals or patients with
smaller adenomas.
PMID- 27191237
TI - 3-D simulation of posterior fossa reduction in Chiari I.
AB - We proposed a 3D model to evaluate the role of platybasia and clivus length in
the development of Chiari I (CI). Using a computer aided design software, two
DICOM files of a normal CT scan and MR were used to simulate different clivus
lengths (CL) and also different basal angles (BA). The final posterior fossa
volume (PFV) was obtained for each variation and the percentage of the volumetric
change was acquired with the same method. The initial normal values of CL and BA
were 35.65 mm and 112.66o respectively, with a total PFV of 209 ml. Ranging the
CL from 34.65 to 29.65 - 24.65 - 19.65, there was a PFV decrease of 0.47% - 1.12%
- 1.69%, respectively. Ranging the BA from 122.66o to 127.66o - 142.66o, the PFV
decreased 0.69% - 3.23%, respectively. Our model highlights the importance of the
basal angle and clivus length to the development of CI.
PMID- 27191238
TI - Predictors of quality of life after moderate to severe traumatic brain injury.
AB - Objective To verify correlations between age, injury severity, length of stay
(LOS), cognition, functional capacity and quality of life (QOL) six months after
hospital discharge (HD) of victims of traumatic brain injury (TBI). Method 50
patients consecutively treated in a Brazilian emergency hospital were assessed at
admission, HD and six months after HD. The assessment protocol consisted in
Abbreviated Injury Scale, Injury Severity Score, Glasgow Coma Scale (GCS),
Revised Trauma Score (RTS), Mini Mental Test, Barthel Index and World Health
Organization QOL - Brief. Results Strong negative correlation was observed
between LOS and GCS and LOS and RTS. An almost maximal correlation was found
between RTS and GCS and functional capacity and GCS at HD. Age and LOS were
considered independent predictors of QOL. Conclusion Age and LOS are independent
predictors of QOL after moderate to severe TBI.
PMID- 27191239
TI - Vestibular migraine: diagnosis challenges and need for targeted treatment.
AB - Approximately 1% of the general population suffers from vestibular migraine.
Despite the recently published diagnostic criteria, it is still underdiagnosed
condition. The exact neural mechanisms of vestibular migraine are still unclear,
but the variability of symptoms and clinical findings both during and between
attacks suggests an important interaction between trigeminal and vestibular
systems. Vestibular migraine often begins several years after typical migraine
and has a variable clinical presentation. In vestibular migraine patients, the
neurological and neurotological examination is mostly normal and the diagnosis
will be based in the patient clinical history. Treatment trials that specialize
on vestibular migraine are scarce and therapeutic recommendations are based on
migraine guidelines. Controlled studies on the efficacy of pharmacologic
interventions in the treatment of vestibular migraine should be performed.
PMID- 27191240
TI - What's in a name? Problems, facts and controversies regarding neurological
eponyms.
AB - The use of eponyms in neurology remains controversial, and important questions
have been raised about their appropriateness. Different approaches have been
taken, with some eponyms being excluded, others replaced, and new ones being
created. An example is Hallervorden-Spatz syndrome, which has been replaced by
neurodegeneration with brain iron accuulatium (NBIA). Amiothoplic lateral
sclerosys (ALS), for which the eponym is Charcot's disease, has been replaced in
the USA by Lou Gehrig's disease. Guillain-Barre syndrome (GBS) is an eponym that
is still the subject of controversy, and various different names are associated
with it. Finally,restless legs syndrome (RLS), which was for years known as
Ekbom's syndrome, has been rechristened as RLS/Willis-Ekbom syndrome.
PMID- 27191241
TI - Hypertrophic olivary degeneration: unveiling the triangle of Guillain-Mollaret.
PMID- 27191242
TI - Primary central nervous system lymphoma (PCNSL).
PMID- 27191243
TI - Effects of aluminum oxide (Al2O3) nanoparticles on ECG, myocardial inflammatory
cytokines, redox state, and connexin 43 and lipid profile in rats: possible
cardioprotective effect of gallic acid.
AB - The objectives of present study were to examine the effects of aluminum oxide
(Al2O3) nanoparticles on myocardial functions, electrical activities, morphology,
inflammation, redox state, and myocardial expression of connexin 43 (Cx43) and
the effect of gallic acid (GA) on these effects in a rat animal model. Forty male
albino rats were divided into 4 equal groups: the control (normal) group; the
Al2O3 group, rats received Al2O3 (30 mg.kg(-1), i.p.) daily for 14 days; the nano
alumina group, rats received nano-alumina (30 mg.kg(-1), i.p.) daily for 14 days;
and the nano-alumina + GA group, rats received GA (100 mg.kg(-1) orally once
daily) for 14 days before nano-alumina administration. The results showed
disturbed ECG variables and significant increases in serum levels of LDH,
creatine phosphokinase (CPK), CK-MB, triglycerides (TGs), cholesterol and LDL,
nitric oxide (NO), and TNF-alpha and myocardial concentrations of NO, TNF-alpha,
and malondialdehyde (MDA), with significant decreases in serum HDL and myocardial
GSH, SOD, catalase (CAT), and Cx43 expression in the nano-alumina group.
Pretreatment with GA improved significantly all parameters except serum and
myocardial NO. We concluded that chronic administration of Al2O3 NPs caused
myocardial dysfunctions, and pretreatment with GA ameliorates myocardial injury
induced by nano-alumina, probably through its hypolipidaemic, anti-inflammatory,
and antioxidant effects and upregulation of Cx43 in heart.
PMID- 27191244
TI - Cell Adhesion and Proliferation on the "Living" Surface of a Polyelectrolyte
Multilayer.
AB - The adhesion of living eukaryotic cells to a substrate, one of the most complex
problems in surface science, requires adsorption of extracellular proteins such
as fibronectin. Thin films of polyelectrolyte complex made layer-by-layer
(polyelectrolyte multilayers or PEMUs) offer a high degree of control of surface
charge and composition-interconnected and essential variables for protein
adhesion. Fibroblasts grown on multilayers of poly(styrenesulfonate), PSS, and
poly(diallyldimethylammonium), PDADMA, with increasing thickness exhibit good
adhesion until the 12th layer of polyelectrolyte has been added, whereupon there
is a sudden transition to nonadhesive behavior. This sharp change is due to the
migration of excess positive charge to the surface-a previously unrecognized
property of PEMUs. Precise radiotracer assays of adsorbed (125)I-albumin show how
protein adsorption is related to multilayer surface charge. With more negative
surface charge density from the sulfonates of PSS, more albumin adsorbs to the
surface. However, a loosely held or "soft corona" exchanges with serum protein
under the Vroman effect, which is correlated with poor cell adhesion. A
comprehensive view of cell adhesion highlights the central role of robust protein
adhesion, which is required before any secondary effects of matrix stiffness on
cell fate can come into play.
PMID- 27191245
TI - Dielectrophoretic applications for disease diagnostics using lab-on-a-chip
platforms.
AB - Dielectrophoresis is a powerful technique used to distinguish distinct cellular
identities in heterogeneous cell populations and to monitor changes in the cell
state without the need for biochemical tags, including live and dead cells.
Recent studies in the past decade have indicated that dielectrophoresis can be
used to discriminate the disease state of cells by exploring the differences in
the dielectric polarizabilities of the cells. Factors controlling the dielectric
polarizability are dependent on the conductivity and permittivity of the cell and
the suspending medium, the cell morphology, the internal structure, and the
electric double layer effects associated with the charges on the cell surface.
Diseased cells, such as those associated with malaria, cancer, dengue, anthrax
and human African trypanosomiasis, could be spatially trapped by positive
dielectrophoresis or spatially separated from other healthy cells by negative
dielectrophoretic forces. The aim of this review was to provide a better and
deeper understanding on how dielectrophoresis can be utilized to manipulate
diseased cells. This review compiles and compares the significant findings
obtained by researchers in manipulating abnormal or unhealthy cells.
PMID- 27191246
TI - Visceral adiposity index and prognosis among patients with ischemic heart
failure.
AB - CONTEXT AND OBJECTIVES: The obesity paradox has already been established in
relation to heart failure, but it is not known which obesity indicator best
reflects this phenomenon. The aim of this study was to evaluate the association
between obesity indexes and mortality among patients with heart failure. DESIGN
AND SETTING: Cohort study conducted in the Department of Cardiology of Hospital
Nossa Senhora da Conceicao (Brazil). METHODS: Clinical, demographic,
socioeconomic, biochemical and anthropometric data on 116 patients aged 30 to 85
years with a diagnosis of heart failure were evaluated. Arm fat area, body mass
index, body surface area, body adiposity index, lipid accumulation product (LAP)
and visceral adiposity index (VAI) were calculated. Cox regression was used to
perform survival analyses. RESULTS: At baseline, the individuals with ischemic
heart failure who remained alive showed higher VAI (3.60 +/- 3.71 versus 1.48 +/-
1.58; P = 0.04) and a trend towards higher LAP, in comparison with the
individuals who died. After an average follow-up of 14.3 months, ischemic heart
failure patients who had VAI > 1.21 showed 78% lower risk of death (HR 0.12; 95%
CI: 0.02-0.67; P = 0.02) and the Kaplan-Meier survival curves showed better
prognosis for these individuals (P = 0.005; log-rank test). CONCLUSION: Our
results suggest that VAI is a good predictor of better prognosis among ischemic
heart failure patients.
PMID- 27191247
TI - The effect of red grape seed extract on serum paraoxonase activity in patients
with mild to moderate hyperlipidemia.
AB - CONTEXT AND OBJECTIVE: Red grape seed extract (RGSE) contains oligomeric
proanthocyanidin complexes as a class of flavonoids. These compounds are potent
antioxidants and exert many health-promoting effects. This study aimed to
determine the effects of RGSE on serum levels of triglycerides (TG), total
cholesterol (TC), high-density lipoprotein cholesterol (HDL-C), low-density
lipoprotein cholesterol (LDL-C), apolipoprotein AI (apo-AI) levels and
paraoxonase (PON) activity in patients with mild to moderate hyperlipidemia
(MMH). DESIGN AND SETTINGS: A randomized double-blind placebo-controlled clinical
trial was conducted at Shahid-Modarres Hospital (Tehran, Iran) and Tabriz
University of Medical Sciences. Seventy MMH patients were randomly assigned to
receive treatment (200 mg/day of RGSE) or placebo for eight weeks. RESULTS:
Significant elevation in serum levels of apo-AI (P = 0.001), HDL-C (P = 0.001)
and PON activity (P = 0.001) and marked decreases in concentrations of TC (P =
0.015), TG (P = 0.011) and LDL-C (P = 0.014) were found in the cases. PON
activity was significantly correlated with apo-AI (r = 0.270; P < 0.01) and HDL-C
(r = 0.45; P < 0.001). Significant differences between the RGSE and control
groups (before and after treatment) for TC (P = 0.001), TG (P = 0.001), PON (P =
0.03), apo-AI (P = 0.001) and LDL-C (P = 0.002) were seen. CONCLUSION: It is
possible that RGSE increases PON activity mostly through increasing HDL-C and apo
AI levels in MMH patients. It may thus have potential beneficial effects in
preventing oxidative stress and atherosclerosis in these patients.
PMID- 27191248
TI - Pneumonia caused by Bordetella bronchiseptica in two HIV-positive patients.
AB - CONTEXT AND OBJECTIVE: Bordetella bronchiseptica (BB) is a Gram-negative
coccobacillus responsible for respiratory diseases in dogs, cats and rabbits.
Reports on its development in humans are rare. However, in immunosuppressed
patients, especially in those with the immunodeficiency virus (HIV), BB can cause
severe pulmonary infections. We report on two cases of pneumonia caused by BB in
HIV-positive male patients in a university hospital. CASE REPORT: The first case
comprised a 43-year-old patient who was admitted presenting chronic leg pain and
coughing, with suspected pneumonia. BB was isolated from sputum culture and was
successfully treated with trimethoprim/sulfamethoxazole in association with
levofloxacin. The second case comprised a 49-year-old patient who was admitted
presenting fever, nausea, sweating and a dry cough, also with suspected
pneumonia. BB was isolated from sputum culture, tracheal secretions and
bronchoalveolar lavage. The disease was treated with ciprofloxacin but the
patient died. CONCLUSION: BB should be included in the etiology of pneumonia in
immunodeficient HIV patients. As far as we know, these two were the first cases
of pneumonia due to BB to occur in this university hospital.
PMID- 27191249
TI - Factor structure and psychometric properties of the Connor-Davidson resilience
scale among Brazilian adult patients.
AB - CONTEXT AND OBJECTIVE: Personal resilience is associated with several mental
health outcomes. The Connor-Davidson resilience scale (CD-RISC) is a widely used
self-report measurement of resilience. This study aimed to investigate the
reliability and validity of a Brazilian Portuguese version of the CD-RISC. DESIGN
AND SETTING: Cross-sectional validation study carried out in the outpatient
clinics of a public university hospital. METHODS: The cross-cultural adaptation
followed established guidelines and involved interviews with 65 adults in
psychiatric and non-psychiatric outpatient clinics at a teaching hospital.
Validation was assessed through concurrent application of the Lipp Brazilian
Stress Symptom Inventory (ISSL), Self-Report Questionnaire (SRQ), Sheehan
Disability Scales (SDS) and Chronic Pain Grade (CPG) to 575 patients at the same
setting. Temporal stability was verified through a second application to 123
participants. RESULTS: Factor analysis identified four factors, named tenacity,
adaptability-tolerance, reliance on support from outside and intuition. The alpha
coefficient of 0.93 and intraclass correlation coefficient of 0.84 indicated good
internal consistency and temporal stability. Significant correlations between
this version of the CD-RISC and the ISSL, SRQ, SDS and CPG were noted. The
patients at the outpatient clinic for borderline personality had resilience
scores that were significantly lower than those of the patients at the general
anxiety or post-traumatic stress outpatient clinics. CONCLUSION: This Brazilian
Portuguese version of the Connor-Davidson resilience scale exhibited adequate
reliability and validity among a sample of Brazilian adult patients.
PMID- 27191250
TI - Disability due to maternal common mental disorders (CMDs) as a risk factor for
chronic childhood malnutrition: cross-sectional study.
AB - CONTEXT AND OBJECTIVE: The disability associated with maternal common mental
disorders (CMDs) is among the possible explanations for the association between
chronic childhood malnutrition and CMDs. CMDs may impair the mother's ability to
perform her role, particularly in deprived environments. The present study aimed
to evaluate whether disability relating to CMDs could be part of the pathway of
the association between childhood malnutrition and maternal CMDs. DESIGN AND
SETTING: Cross-sectional study conducted in two institutions: one for
malnourished children and another for eutrophic children living in a low-income
community in the state of Alagoas, Brazil. METHOD: The cases consisted of 55
malnourished children aged from 12 to 60 months who were attending a nutritional
rehabilitation center, with height-for-age z-scores < 2. The controls were 70
eutrophic children of the same age who were attending a day care center in the
same area as the cases. The Self-Report Questionnaire made it possible to
identify likely cases of maternal CMD. The Sheehan Disability Scale enabled
evaluation of the associated disability. RESULTS: Chronic childhood malnutrition
was significantly associated with maternal disability relating to CMDs (OR =
2.28; 95% CI: 1.02-5.1). The best logistic regression model using chronic
childhood malnutrition as the dependent variable included the following
independent variables: higher number of people living in the household; absence
of the biological father from the household; and maternal disability relating to
CMDs. CONCLUSIONS: If confirmed, the association between chronic childhood
malnutrition and maternal disability relating to CMDs may be useful in helping to
identify the causal chain between childhood malnutrition and maternal CMDs and to
indicate environmental risk factors associated with chronic childhood
malnutrition.
PMID- 27191251
TI - Are normal-weight adolescents satisfied with their weight?
AB - CONTEXT AND OBJECTIVE: The high prevalence of obesity has led to public policies
for combating it. People with normal weight may gain greater awareness of this
issue and change their perceptions of their weight. The aim of this study was to
evaluate the prevalence of body weight dissatisfaction among normal-weight
adolescents, according to demographic and socioeconomic variables, health-related
behavior and morbidities. DESIGN AND SETTING: Population-based cross-sectional
study that used data from a health survey conducted in the city of Campinas, Sao
Paulo, in 2008-2009. METHODS: The prevalence and prevalence ratios of weight
dissatisfaction were estimated according to independent variables, by means of
simple and multiple Poisson regression. RESULTS: 573 normal-weight adolescents
aged 10 to 19 years (mean age 14.7 years) were analyzed. The prevalence of weight
dissatisfaction was 43.7% (95% confidence interval, CI: 37.8-49.8). Higher
prevalences of weight dissatisfaction were observed among females, individuals
aged 15 to 19 years, those whose households had eight or more domestic
appliances, former smokers, individuals who reported alcohol intake and those who
had one or more chronic diseases. Lower prevalence of dissatisfaction was
observed among adolescents living in substandard housing. Among the normal-weight
adolescents, 26.1% wished to lose weight and 17.6% wished to gain weight.
CONCLUSION: The results from this study indicate that even when weight is seen to
be within the normal range, a high proportion of adolescents express
dissatisfaction with their weight, especially females, older adolescents and
those of higher socioeconomic level.
PMID- 27191252
TI - Conjugation Strategy Strongly Impacts the Conformational Stability of a PEG
Protein Conjugate.
AB - Site-specific PEGylation is an important strategy for enhancing the
pharmacokinetic properties of protein drugs, and has been enabled by the recent
development of many chemoselective reactions for protein side-chain modification.
However, the impact of these different conjugation strategies on the properties
of PEG-protein conjugates is poorly understood. Here we show that the ability of
PEG to enhance protein conformational stability depends strongly on the identity
of the PEG-protein linker, with the most stabilizing linkers involving
conjugation of PEG to planar polar groups near the peptide backbone. We also find
that branched PEGs provide superior stabilization relative to their linear
counterparts, suggesting additional applications for branched PEGs in protein
stabilization.
PMID- 27191254
TI - A scoping review to understand "leadership" in interprofessional education and
practice.
AB - This scoping study examined how "leadership" is referred to and used in
interprofessional education and practice. A total of 114 refereed articles were
reviewed to determine how leadership is defined, conceptualised, and theorised.
The review also examined what capabilities were identified for effective
interprofessional leadership. The majority of papers were empirical studies
undertaken by researchers based in North America. The majority of articles did
not refer to a specific leadership approach, nor did they define, describe, or
theorise leadership. Moreover, "leadership" capabilities were rarely identified.
Articles generally focused on health practitioners and educators or students as
leaders with little exploration of leadership at higher levels (e.g. executive,
accrediting bodies, government). This review indicates the need for a more
critical examination of interprofessional leadership and the capabilities
required to lead the changes required in both education and practice settings.
The goal of this article is to stimulate discussion and more sophisticated,
shared understandings of interprofessional leadership for the professions.
Recommendations for future research are required in both education and practice
settings.
PMID- 27191255
TI - Changes in human pulp blood flow during canine retraction.
AB - AIM: To evaluate the effects of maxillary canine retraction on pulpal blood flow
(PBF) in humans as recorded by laser Doppler flowmetry (LDF). METHODS: Maxillary
canines of 24 participants were divided into two groups (n = 12 each). Teeth in
the study group underwent maxillary canine retraction using mini-implants as
anchorage for approximately 4 months, with 100 g of force applied via coil
springs. Subjects in the control group received no orthodontic treatment. LDF
measurements were recorded at baseline (T0); during retraction, at 24 hours (T1),
3 days (T2), 7 days (T3) and 1 month (T4); and at the end of retraction (T5) in
the study group and at similar time-points in control subjects. Data were
analyzed using the Friedman, Wilcoxon signed rank and Mann-Whitney U tests, with
the significance level set at 0.05. RESULTS: No significant changes in PBF
perfusion units (PU) were observed in the control group over the course of the
study. However, PBF in the study group increased significantly from T0 (3.6 +/-
0.2 PU) to T1 (3.7 +/- 0.2 PU, p < 0.001) and decreased severely from T1 to T2
(3.3 +/- 0.1, p < 0.001). PBF in the study group was still significantly lower at
T3 (3.4 +/- 0.1 PU, p < 0.001) in comparison to T0; however, at T4 and T5, PBF
was found to have returned to pre-retraction levels. CONCLUSION: The fact that
PBF values returned to initial levels within one month of the initiation of
retraction despite short-term, hyperaemic, regressive changes demonstrates that
the changes observed in PBF during canine retraction are reversible.
PMID- 27191253
TI - Pregnancy, Labor, and Delivery after Ebola Virus Disease and Implications for
Infection Control in Obstetric Services, United States.
AB - Many of the survivors of the 2014-2015 epidemic of Ebola virus disease (EVD) in
western Africa were women of childbearing age. Limited clinical and laboratory
data exist that describe these women's pregnancies and outcomes. We report the
case of an EVD survivor who became pregnant and delivered her child in the United
States, and we discuss implications of this case for infection control practices
in obstetric services. Hospitals in the United States must be prepared to care
for EVD survivors.
PMID- 27191256
TI - Abdominal scar characteristics as a predictor of cervical stenosis after
abdominal radical trachelectomy.
AB - To investigate whether abdominal scar characteristics could predict the
occurrence of cervical stenosis after abdominal radical trachelectomy (ART), we
conducted a retrospective study and investigated the relationship between
abdominal scar characteristics and the occurrence of cervical stenosis in
patients one year after undergoing ART. The abdominal scars were evaluated using
the Vancouver Scar Scale (VSS). Seventy-two participants were enrolled in the
study, including 15 (20.8%) women with cervical stenosis, and 57 (79.2%) without
stenosis. Results showed that the mean abdominal scar score assessed by VSS was
higher in patients with cervical stenosis (7, range: 1-10) compared to those
without stenosis (4, range: 0-9) (P = 0.001). Incidence rates of cervical
stenosis increased with the VSS score. For women with VSS scores of 0 to 4, 5, 6,
7, 8, 9 and 10, respectively, the occurrences of cervical stenosis were 6.1%,
16.7%, 16.7%, 27.3%, 37.5%, 50% and 100%. The cutoff point of VSS score was 7
according to the receiver operating characteristic (ROC) curve. Fourteen of the
15 stenosis happened either in patients without anti-stenosis tools (Foley
catheters or tailed intrauterine devices) placed during the surgery or after the
devices were removed. Our results demonstrated that VSS is an effective approach
to assess the presence of cervical stenosis after ART. Women who have an
abdominal scar with a VSS score > 7 have a high risk of developing isthmic
stenosis without anti-stenosis tools in place.
PMID- 27191257
TI - Demonstration of a WNT5A-IL-6 positive feedback loop in melanoma cells: Dual
interference of this loop more effectively impairs melanoma cell invasion.
AB - Increased expression and signalling of WNT5A and interleukin-6 (IL-6) have both
been shown to promote melanoma progression. Here, we investigated the proposed
existence of a WNT5A-IL-6 positive feedback loop that drives melanoma migration
and invasion. First, the HOPP algorithm revealed that the invasive phenotype of
cultured melanoma cells was significantly correlated with increased expression of
WNT5A or IL-6. In three invasive melanoma cell lines, endogenous WNT5A protein
expression was related to IL-6 protein secretion. Knockdown with anti-IL-6 siRNAs
or treating WM852 melanoma cells with a neutralising anti-IL-6 antibody reduced
WNT5A protein expression. Conversely, the silencing of WNT5A expression by WNT5A
siRNAs or treating WM852 melanoma cells with Box5 (a WNT5A antagonist)
significantly reduced IL-6 secretion. Interestingly, these effects occurred at
the protein level but not at the transcriptional levels. Functionally, we
demonstrated that combined siRNA knockdown of WNT5A and IL-6 expression or the
simultaneous inhibition of WNT5A and IL-6 signalling inhibited melanoma cell
invasion more effectively than suppressing each factor individually. Together,
our results demonstrate that WNT5A and IL-6 are connected through a positive
feedback loop in melanoma cells and that the combined targeting of both molecules
could serve as an effective therapeutic means to reduce melanoma metastasis.
PMID- 27191258
TI - Rs2853677 modulates Snail1 binding to the TERT enhancer and affects lung
adenocarcinoma susceptibility.
AB - Genome wide association studies (GWAS) have shown that SNPs in non-coding regions
are associated with inherited susceptibility to cancer. The effect of one single
SNP, however, is weak. To identify potential co-factors of SNPs, we investigated
the underlying mechanism by which SNPs affect lung cancer susceptibility. We
found that rs2853677 is located within the Snail1 binding site in a TERT
enhancer. This enhancer increases TERT transcription when juxtaposed to the TERT
promoter. The binding of Snail1 to the enhancer disrupts enhancer-promoter
colocalization and silences TERT transcription. The high risk variant of
rs2853677 disrupts the Snail1 binding site and derepresses TERT expression in
response to Snail1 upregulation, thus increasing lung adenocarcinoma
susceptibility. Our data suggest that Snail1 may be a co-factor of rs2853677 for
predicting lung adenocarcinoma susceptibility and prognosis.
PMID- 27191260
TI - HEY2, a target of miR-137, indicates poor outcomes and promotes cell
proliferation and migration in hepatocellular carcinoma.
AB - HEY2, a bHLH transcription factor, has been implicated in the progression of
human cancers. Here, we showed that HEY2 expression was markedly increased in
HCC, compared with the adjacent nontumorous tissues. High HEY2 expression was
closely correlated with tumor multiplicity, tumor differentiation and TNM stage.
Kaplan-Meier analyses revealed that HEY2 expression was significantly associated
with poor overall and disease-free survival in a training cohort of 361 patients
with HCC. The prognostic implication of HEY2 was validated in another cohort of
169 HCC patients. Multivariate Cox regression model indicated HEY2 as an
independent factor for overall survival in HCC (Hazard ratio = 1.645, 95%
confident interval: 1.309-2.067, P<0.001). We also demonstrated that HEY2
expression was inhibited by miR-137. In clinical samples, HEY2 expression was
reversely associated to miR-137 expression. Furthermore, overexpression of HEY2
increased cell viabilities, colony formation and cell migration, whereas
knockdown of HEY2 resulted in the opposite phenotypes. Collectively, our data
suggest HEY2 as a promising biomarker for unfavorable outcomes and a novel
therapeutic target for the clinical management of HCC.
PMID- 27191259
TI - Notch1 pathway-mediated microRNA-151-5p promotes gastric cancer progression.
AB - Gastric carcinoma is the third leading cause of lethal cancer worldwide. Previous
studies showed that Notch1 receptor intracellular domain (N1IC), the activated
form of Notch1 receptor, promotes gastric cancer progression. It has been
demonstrated that a significant cross-talk interplays between Notch pathways and
microRNAs (miRNAs) in controlling tumorigenesis. This study identified an
intronic microRNA-151 (miR-151), which consists of two mature miRNAs, miR-151-3p
and miR-151-5p, as a Notch1 receptor-induced miRNA in gastric cancer cells.
Activation of Notch1 pathway enhanced expressions of miR-151 and its host gene,
focal adhesion kinase (FAK), in gastric cancer cells. The levels of miR-151 in
gastric cancer samples were higher than those of adjacent non-tumor samples.
Activated Notch1 pathway induced CBF1-dependent FAK promoter activity. The
ectopic expression of miR-151 promoted growth and progression of SC-M1 gastric
cancer cells including cell viability and colony formation, migration, and
invasion abilities. Activated Notch1 pathway could augment progression of gastric
cancer cells through miR-151-5p and FAK. The mRNA levels of pluripotency genes,
Nanog and SOX-2, tumorsphere formation ability, tumor growth, and lung metastasis
of SC-M1 cells were elevated by activated Notch1 pathway through miR-151-5p.
Furthermore, miR-151-5p could target 3'-untranslated region (3'-UTR) of p53 mRNA
and down-regulate p53 level in SC-M1 cells. Mechanistically, Notch1/miR-151-5p
axis contributed to progression of SC-M1 cells through down-regulation of p53
which in turn repressed FAK promoter activity. Taken together, these results
suggest that Notch1 pathway and miR-151-5p interplay with p53 in a reciprocal
regulation loop in controlling gastric carcinogenesis.
PMID- 27191262
TI - Long non-coding RNA Malat1 promotes gallbladder cancer development by acting as a
molecular sponge to regulate miR-206.
AB - Long non-coding RNA (lncRNA) metastasis-associated lung adenocarcinoma transcript
1 (Malat1) functions as an oncogene in many types of human cancer. In this study,
we show that Malat1 is overexpressed in gallbladder cancer (GBC) tissue and
cells. The high Malat1 levels correlated positively with tumor size and lymphatic
metastasis, and correlated negatively with overall survival. We also show that
Malat1 functions as a competing endogenous RNA (ceRNA) for miR-206. Because miR
206 directly suppresses expression of ANXA2 and KRAS, which are thought to
promote GBC progression, Malat1 binding of miR-206 in GBC tissue and cells has an
oncogenic effect. Conversely, Malat1 knockdown inhibits proliferation and
invasion by GBC cells while increasing apoptosis. In vivo, silencing Malat1
decreases tumor volume. These results suggest Malat1 could potentially serve as a
therapeutic target and prognostic marker for GBC.
PMID- 27191261
TI - A novel p70 S6 kinase-microRNA biogenesis axis mediates multicellular spheroid
formation in ovarian cancer progression.
AB - Ovarian cancer is the leading cause of death of all gynecologic tumors,
associated with widespread peritoneal dissemination and malignant ascites. Key to
this is the ability to form multicellular spheroids (MCS); however, the tumor
specific factors that regulate MCS formation are unclear. p70 S6 kinase (p70S6K),
which is a downstream effector of phosphatidylinositol 3-kinase/Akt, is
frequently constitutively active in ovarian carcinoma. Here we identify p70S6K as
a vital regulator of MCS formation. We also uncover a new mechanism of p70S6K
function as a component of the microRNA biogenesis machinery in this process. We
show that p70S6K phosphorylates, and inhibits the interaction of tristetraprolin
(TTP) and Dicer that promotes the expression of a subset of miRNAs, including the
maturation of miR-145. Twist and Sox9 are two divergent targets of miR-145,
thereby enhancing N-cadherin, but not other cadherin, expression and MCS
formation. Activating miR-145 suppresses ovarian tumor growth and metastasis in
an orthotopic xenograft mouse model. Meta-analysis in the Oncomine database
reveals that high p70S6K and low TTP levels are associated with ovarian tumor
progression. These results define a critical link between p70S6K, miRNA
maturation, and MCS formation that may underlie poor clinical outcome of ovarian
cancer patients for developing novel therapeutic strategies.
PMID- 27191263
TI - Derivatives of 6-cinnamamido-quinoline-4-carboxamide impair lysosome function and
induce apoptosis.
AB - Autophagy is a lysosomal degradative process that protects cancer cells from
multiple types of stress. In this study, we synthesized a series of derivatives
of 6-cinnamamido-quinoline-4-carboxamide (CiQ), and investigated their effects on
the proliferation and autophagy of cancer cells in vitro. These derivatives
effectively inhibited the proliferation of a broad spectrum of cancer cell lines.
Further study revealed that CiQ derivatives may induce autophagy and result in
disruption of autophagy propagation. Consequently, these derivatives triggered
massive apoptosis, as evidenced by caspase-9 activation and PARP cleavage.
Blockage of autophagy by depletion of autophagy related gene ATG5 or BECN1
considerably alleviated CiQ-induced cell death, indicating that autophagy may
mediate CiQ-induced cell death. Furthermore, treatment with CiQ derivatives
increased lysosome membrane permeability (LMP) and enhanced accumulation of
ubiquitinated proteins, which collectively indicate impaired lysosome function.
In addition, treatment of cells with CiQ derivatives activated extracellular
signal-regulated kinase (ERK); abrogation of ERK activation, either by treating
cells with U0126, an inhibitor of mitogen-activated protein/ERK kinase 1 (MEK1),
or by ectopically overexpressing a dominant-negative MEK1, significantly reduced
CiQ derivative-induced LMP, LC3 and p62 accumulation, and cytotoxicity. These
results indicate that CiQ derivatives activate ERK and disrupt lysosome function,
thereby altering autophagic flux and resulting in apoptotic cell death.
PMID- 27191264
TI - Simm530, a novel and highly selective c-Met inhibitor, blocks c-Met-stimulated
signaling and neoplastic activities.
AB - The aberrant c-Met activation has been implicated in a variety of human cancers
for its critical role in tumor growth, metastasis and tumor angiogenesis. Thus, c
Met axis presents as an attractive therapeutic target. Notably, most of these c
Met inhibitors currently being evaluated in clinical trials lack selectivity and
target multiple kinases, often accounting for the undesirable toxicities. Here we
described Simm530 as a potent and selective c-Met inhibitor. Simm530 demonstrated
>2,000 fold selectivity for c-Met compared with other 282 kinases, making it one
of the most selective c-Met inhibitors described to date. This inhibitor
significantly blocked c-Met signaling pathways regardless of mechanistic
complexity implicated in c-Met activation. As a result, Simm530 led to
substantial inhibition of c-Met-promoted cell proliferation, migration, invasion,
ECM degradation, cell scattering and invasive growth. In addition, Simm530
inhibited primary human umbilical vascular endothelial cell (HUVEC)
proliferation, decreased intratumoral CD31 expression and plasma pro-angiogenic
factor interleukin-8 secretion, suggesting its significant anti-angiogenic
properties. Simm530 resulted in dose-dependent inhibition of c-Met
phosphorylation and tumor growth in c-Met-driven lung and gastric cancer
xenografts. And, the inhibitor is well tolerated even at doses that achieve
complete tumor regression. Together, Simm530 is a potent and highly selective c
Met kinase inhibitor that may have promising therapeutic potential in c-Met
driven cancer treatment.
PMID- 27191265
TI - Long noncoding RNA DANCR promotes invasion of prostate cancer through
epigenetically silencing expression of TIMP2/3.
AB - LncRNA DANCR suppresses differentiation of epithelial cells, however, its
function in prostate cancer development is still unknown. In the present study,
we found the expression of DANCR increases in prostate cancer tissues and cells
compared to normal prostate tissues and cells, moreover, DANCR promotes invasion
and migration of prostate cancer cells in vitro and metastasis of tumor
xenografts in nude mice. Mechanistically, we found that TIMP2/3, which are
critical metastasis inhibitor of prostate cancer, were down-regulated by DANCR
synergistically with EZH2 through epigenetically silencing their promoter by
chromatin immunoprecipitation assay. In addition, we further investigated whether
DANCR is regulated by the differentiation-promoting androgen-androgen receptor
(AR) pathway and found that DANCR expression is repressed by androgen-AR;
furthermore, DANCR impedes the upregulation of TIMP2/3 and the suppression of
invasion and migration by androgen-AR. On the other hand, interestingly, we found
that in prostate cancer cells DANCR knockdown decreased the promotion of invasion
and migration by the treatment of enzalutamide, which is an AR inhibitor. In
summary, our results indicate that DANCR promotes prostate cancer invasion and
metastasis through repressing the expression of TIMP2/3, and suggest that DANCR
could be a potential target for preventing prostate cancer metastasis, and
knockdown DANCR may lessen the potential side effect of AR inhibitor.
PMID- 27191266
TI - DNA demethylating agent decitabine broadens the peripheral T cell receptor
repertoire.
AB - PURPOSE: Decitabine, a promising epi-immunotherapeutic agent has shown clinical
responses in solid tumor patients, while the anti-tumor mechanisms were unclear.
We aimed to investigate the immunomodulatory effect of decitabine in peripheral T
cells. EXPERIMENTAL DESIGN: We applied next-generation sequencing to investigate
the complementarity-determining region 3 (CDR3) of the TCRbeta gene, the
diversity of which acts as the prerequisite for the host immune system to
recognize the universal foreign antigens. We collected the peripheral blood
mononuclear cells (PBMCs) from 4 patients, at baseline and after 2 cycles of low
dose decitabine therapy. RESULTS: An increase of the unique productive sequences
of the CDR3 of TCRbeta was observed in all of the 4 patients after decitabine
treatment, which was characterized by a lower abundance of expanded clones and
increased TCR diversity compared with before decitabine treatment. Further
analysis showed a tendency for CD4 T cells with an increased CD4/CD8 ratio in
response to decitabine therapy. In addition, the genome-wide expression
alterations confirmed the effects of decitabine on immune reconstitution, and the
increase of TCR excision circles (TRECs) was validated. CONCLUSIONS: The low-dose
DNMT inhibitor decitabine broadens the peripheral T cell repertoire, providing a
novel role for the epigenetic modifying agent in anti-tumor immune enhancement.
PMID- 27191268
TI - Quantitative assessment of the association between APC promoter methylation and
breast cancer.
AB - Adenomatous polyposis coli (APC) is an important tumor suppressor gene in breast
cancer. However, there were inconsistent conclusions in the association between
APC promoter methylation and breast cancer. Hence, we conducted a meta-analysis
to quantitatively assess the clinicopathological significance and diagnosis role
of APC methylation in breast cancer. In total, 3172 samples from 29 studies were
performed in this study. The odds ratio (OR) of APC methylation was 5.92 (95% CI
= 3.16-11.07) in breast cancer cases compared to controls,. The APC promoter
methylation was associated with cancer stage (OR = 0.47, 95% CI = 0.28-0.80, P =
0.006), lymph node metastases (OR = 0.55, 95% CI = 0.36-0.84, P = 0.005) and ER
status (OR = 1.34, 95% CI = 1.03-1.73, P = 0.003) in breast cancer. Furthermore,
the sensitivity and specificity for all included studies were 0.444 (95% CI:
0.321-0.575, P < 0.0001) and 0.976 (95% CI: 0.916-0.993, P < 0.0001),
respectively. These results suggested that APC promoter methylation was
associated with breast cancer risk, and it could be a valuable biomarker for
diagnosis, treatment and prognosis of breast cancer.
PMID- 27191267
TI - Identification of polycystic ovary syndrome potential drug targets based on
pathobiological similarity in the protein-protein interaction network.
AB - Polycystic ovary syndrome (PCOS) is one of the most common endocrinological
disorders in reproductive aged women. PCOS and Type 2 Diabetes (T2D) are closely
linked in multiple levels and possess high pathobiological similarity. Here, we
put forward a new computational approach based on the pathobiological similarity
to identify PCOS potential drug target modules (PPDT-Modules) and PCOS potential
drug targets in the protein-protein interaction network (PPIN). From the systems
level and biological background, 1 PPDT-Module and 22 PCOS potential drug targets
were identified, 21 of which were verified by literatures to be associated with
the pathogenesis of PCOS. 42 drugs targeting to 13 PCOS potential drug targets
were investigated experimentally or clinically for PCOS. Evaluated by independent
datasets, the whole PPDT-Module and 22 PCOS potential drug targets could not only
reveal the drug response, but also distinguish the statuses between normal and
disease. Our identified PPDT-Module and PCOS potential drug targets would shed
light on the treatment of PCOS. And our approach would provide valuable insights
to research on the pathogenesis and drug response of other diseases.
PMID- 27191269
TI - Targeting glioma stem cells enhances anti-tumor effect of boron neutron capture
therapy.
AB - The uptake of (10)boron by tumor cells plays an important role for cell damage in
boron neutron capture therapy (BNCT). CD133 is frequently expressed in the
membrane of glioma stem cells (GSCs), resistant to radiotherapy and chemotherapy,
and represents a potential therapeutic target. To increase (10)boron uptake in
GSCs, we created a polyamido amine dendrimer, conjugated CD133 monoclonal
antibodies, encapsulating mercaptoundecahydrododecaborate (BSH) in void spaces,
and monitored the uptake of the bioconjugate nanoparticles by GSCs in vitro and
in vivo. Fluorescence microscopy showed the specific uptake of the bioconjugate
nanoparticles by CD133-positive GSCs. Treatment with the biconjugate
nanoparticles resulted in a significant lethal effect after neutron radiation due
to efficient and CD133-independent cellular targeting and uptake in CD133
expressing GSCs. A significantly longer survival occurred in combination with the
biconjugate nanoparticles and BSH compared with BSH alone in human intracranial
GBM models employing CD133-positive GSCs xenografts. Our data demonstrated that
this bioconjugate nanoparticle targets human CD133-positive GSCs and is a
potential boron agent in BNCT.
PMID- 27191270
TI - MiR-23a-depressed autophagy is a participant in PUVA- and UVB-induced premature
senescence.
AB - Autophagy is a cellular catabolic mechanism that is activated in response to
stress conditions, including ultraviolet (UV) irradiation, starvation, and
misfolded protein accumulation. Abnormalities in autophagy are associated with
several pathologies, including aging and cancer. Furthermore, recent studies have
demonstrated that microRNAs (miRNAs) are potent modulators of the autophagy
pathway. As a result, the current study aims to elucidate the role of the
autophagy-related miRNA miR-23ain the process of photoaging. Experiments
demonstrated that the antagomir-mediated inactivation of miR-23a resulted in the
stimulation of PUVA- and UVB-depressed autophagy flux and protected human
fibroblasts from premature senescence. Furthermore, AMBRA1 was identified as a
miR-23a target. AMBRA1 cellular levels increased following the introduction of
miR-23a antagomirs. And a bioinformatics analysis revealed that the AMBRA1 3' UTR
contains functional miR-23a responsive sequences. Finally, it was also
demonstrated that both AMBRA1 overexpression and Rapamycin treatment were both
able to rescue fibroblasts from PUVA and UVB irradiation-induced autophagy
inhibition, but that these effects could also be mitigated by miR-23a
overexpression. Therefore, this study concludes that miR-23a-regulated autophagy
is a novel and important regulator of ultraviolet-induced premature senescence
and AMBRA1 is a rate-limiting miRNA target in this pathway.
PMID- 27191273
TI - Chance to change how NMC spends your subs.
AB - It will happen to every nurse and midwife each year, and they can barely fail to
notice: L120 leaves his or her bank account and is deposited in the coffers of
the Nursing and Midwifery Council.
PMID- 27191271
TI - NPR-C gene polymorphism is associated with increased susceptibility to coronary
artery disease in Chinese Han population: a multicenter study.
AB - To find a new locus that confers significant susceptibility to CAD in Chinese Han
population, a genome-wide association study in 200 "extreme individuals" from a
Shandong cohort and a pathway-based candidate gene study from a Shanghai cohort
(293 CAD/293 controls) were simultaneously performed. Amongst them, 13 SNPs
associated with CAD were selected to conduct validation and replication studies
in additional 3363 CAD patients and 3148 controls. A novel locus rs700926 in
natriuretic peptide receptor C (NPR-C) was identified in Shandong and Hubei
cohorts. Then rs700926 and other nine tag SNPs were genotyped in four
geographically different populations (Shandong, Shaanxi, Hubei and Sichuan
cohorts), and 6 SNPs (rs700926, rs1833529, rs2270915, rs17541471, rs3792758 and
rs696831) showed stronger association with CAD, regardless of single or combined
analysis. We further genotyped rs2270915 and 10 additional tag SNPs in a central
China cohort and identified rs12697273 and rs10066436 as the loci associated with
CAD. All these positive associations remained significant after adjustment for
traditional risk factors of CAD. NPR-C gene SNPs significantly contribute to CAD
susceptibility in the Chinese Han population.
PMID- 27191274
TI - Overstretched staff have little time to comfort patients, survey reveals.
AB - Almost three quarters of nurses feel they do not have enough time to comfort or
talk to patients, Unison's annual safe staffing survey has shown.
PMID- 27191276
TI - General practice plan aims to boost nurse numbers.
AB - A multi-billion pound investment to counter pressures facing general practice in
England includes at least L15 million to develop practice nursing.
PMID- 27191275
TI - Queen's Nursing Institute backs #1hour2empower campaign.
AB - The Queen's Nursing Institute is the latest organisation to give its backing to
RCNi's #1hour2empower campaign.
PMID- 27191277
TI - DH reveals vision for 'modernising' NMC framework.
AB - A consultation on modernising the Nursing and Midwifery Council's legal framework
has been launched by the Department of Health.
PMID- 27191272
TI - The tumor suppressive miR-200b subfamily is an ERG target gene in human prostate
tumors.
AB - The TMPRSS2-ERG fusion occurs in approximately 50% of prostate cancer (PCa),
resulting in expression of the oncogenic ERG in the prostate. Because ERG is a
transcriptional activator, we hypothesized that ERG-regulated genes contribute to
PCa development. Since microRNA (miRNA) has crucial functions in cancer, we
searched for miRNAs regulated by ERG in PCas. We mined published datasets based
on the MSKCC Prostate Oncogene Project, in which a comprehensive analysis defined
the miRNA transcriptomes in 113 PCas. We retrieved the miRNA expression datasets,
and identified miRNAs differentially expressed between ERG-positive and ERG
negative samples. Out of 369 miRNAs, miR-200a, -200b, -429 and -205 are the only
miRNAs significantly increased in ERG-positive tumors. Strikingly, miR-200a,
200b and -429 are transcribed as a single polycistronic transcript, suggesting
they are regulated at the transcriptional level. With ChIP-qPCR and in vitro
binding assay, we identified two functional ETS motifs in the miR-200b/a/429 gene
promoter. Knockdown of ERG in PCa cells reduced expression of these three miRNAs.
In agreement with the well-established tumor suppressor function, overexpression
of the miR-200b/a/429 gene inhibited PCa cell growth and invasion. In summary,
our study reveals that miR-200b/a/429 is an ERG target gene, which implicates an
important role in TMPRSS2/ERG-dependent PCa development. Although induction of
the tumor suppressive miR-200b subfamily by oncogenic ERG appears to be
counterintuitive, it is consistent with the observation that the vast majority of
primary prostate cancers are slow-growing and indolent.
PMID- 27191278
TI - Ministers defer legal move to curtail time staff can spend on union duties.
AB - The RCN has welcomed the delay in the introduction of a cap on time staff
representatives can spend on union duties.
PMID- 27191279
TI - Photographers capture the rich landscape of nursing in 2016.
AB - The RCN is inviting members of the public to choose the photograph they think
defines nursing in the 21st century.
PMID- 27191280
TI - Trust asks NMC to change language threshold for overseas recruits.
AB - An NHS trust has written to the Nursing and Midwifery Council asking the
regulator to lower its English language test pass mark so it can recruit more
nurses from overseas.
PMID- 27191281
TI - Staffing among biggest hurdles in meeting new mental health targets.
AB - Ensuring there are enough staff working in mental health care will be one of the
biggest challenges in improving patient access to services.
PMID- 27191282
TI - 'It is now time for the next generation of improvement'.
AB - Mental health nurse Claire Murdoch, pictured, has been appointed NHS England's
new national mental health director.
PMID- 27191283
TI - Growing demands on end of life care.
AB - Extra funding for end of life community care is needed to help the NHS and social
services cope with growing numbers of people dying from cancer, a charity has
warned.
PMID- 27191285
TI - RCN calls for improved information sharing on FGM.
AB - Updated guidance on dealing with and reporting cases of female genital mutilation
(FGM) emphasises the need to improve information sharing across health and social
care services.
PMID- 27191286
TI - Should we stay or should we go? Referendum reflections for nurses.
AB - With the EU referendum looming, nurses will be weighing up what a vote to stay
in, or to leave, the European Union would mean for their jobs and healthcare in
the UK.
PMID- 27191287
TI - Cancer patients treated at home live longer than those receiving care in
hospital.
AB - Patients with cancer who die at home live longer than those who die in hospital,
new study results suggest.
PMID- 27191289
TI - Overfed toddlers heading for obesity with current diet.
AB - UK toddlers are consuming more than the recommended amount of calories and
protein, potentially putting them at risk of obesity in later life, say
University College London researchers.
PMID- 27191288
TI - Liver transplant patients face further complications if they have high body mass
index.
AB - Patients with a high body mass index (BMI) who have a liver transplant face an
increased risk of developing steatosis - when the liver becomes infiltrated with
fat - say researchers.
PMID- 27191291
TI - Use of cannabis in pregnancy could lead to health problems in newborn babies.
AB - Use of cannabis in pregnancy is linked to low birthweight and the need for
intensive care, say US researchers.
PMID- 27191290
TI - Primary care services do not need to be sited in emergency departments.
AB - There is little evidence to back locating primary care services in
emergency/urgent care facilities, a new study suggests.
PMID- 27191293
TI - First steps towards a brighter future.
PMID- 27191292
TI - Scarlet fever.
AB - Essential facts Scarlet fever is characterised by a rash that usually accompanies
a sore throat and flushed cheeks. It is mainly a childhood illness. While this
contagious disease rarely poses a danger to life today, outbreaks in the past led
to many deaths.
PMID- 27191294
TI - Help in raising concerns about child abuse.
PMID- 27191296
TI - Every contact counts.
AB - Almost two in three adults are overweight or obese, which puts the majority of us
at a significantly high risk of life threatening illnesses like type 2 diabetes,
heart disease and certain cancers.
PMID- 27191295
TI - Great achievements by dedicated nurses.
AB - Like many nurses, those featured here are motivated by a desire to do everything
they can to give high quality care to their patients. Nurses are often reluctant
to seek recognition for their achievements, but by talking publicly about the
difference they have made, Gillian Elwood, Anja Templin and Sandra Wood are
helping to share good practice.
PMID- 27191297
TI - Clarity of vision.
AB - It is rather like painting the Forth Road Bridge, running to stand still, or akin
to King Canute trying to turn back the waters.
PMID- 27191298
TI - Voices - Funding of student places is a topic on everyone's mind, says Crystal
Oldman.
AB - Last week nurses from across the country gathered in London for the Queen's
Nurses annual meeting.
PMID- 27191300
TI - Readers panel - Facing up to climate change.
AB - Our experts consider a hot topic of the day.
PMID- 27191299
TI - Listen again.
AB - At last I've caught up. Not only does my new-to-me but previously-loved motor
have climate control and a heated windscreen, it lets me play music from my
phone.
PMID- 27191301
TI - Workplace wisdom.
AB - What advice would you give to nurses who wish to work flexible hours to fit in
with caring responsibilities?
PMID- 27191306
TI - The best of the week's health-related TV and radio.
AB - Our roundup of what's on.
PMID- 27191302
TI - Starting out - I was moved by family's kindness and generosity in the face of
tragedy.
AB - The moment that inspired me to train as a nurse was an emotional one. I worked as
a healthcare assistant before beginning training as a nurse. Several years ago I
came across a patient who will always stick in my memory... even though we never
spoke.
PMID- 27191307
TI - Hearing Check app.
AB - This free app from the charity Action on Hearing Loss is a quick way to check
users' hearing. Each section provides well laid-out information with links to
further content.
PMID- 27191308
TI - Consultation's sleight of hand seeks to sugar unpalatable bursaries pill.
AB - The Department of Health's consultation on student bursaries has begun and people
are being encouraged to take part in it. However, this consultation is not a
consultation - it is predictable spin where sleight of hand seeks to sugar an
unpalatable pill.
PMID- 27191309
TI - The right way and the wrong way of getting out of a chair.
AB - Many thanks for publishing our article 'An integrated practice approach to
mobility care for older people' (CPD, March 16). It looked great, however I have
one comment. The picture on the Contents page to help locate the article in the
magazine is misleading. It illustrates a common mistake when assisting people
with mobility; encouraging or letting them put their hands on their walker when
they attempt to stand.
PMID- 27191310
TI - Age discrimination by fellow nurses is forcing me to leave.
AB - I would like to share my upsetting experience of working in an acute hospital. I
am an older, experienced nurse with a wide range of knowledge within different
specialties. Due to a ward closure, I was transferred to another hospital to take
charge of an acute receiving unit with a workforce of young, newly qualified
nurses. Since then, I have been told to my face that I am too 'old' for the job
and need to retire. Nurses seem to be sneering: 'Look at her, she is just an
elderly nurse who is shockingly still working.'
PMID- 27191313
TI - Golden opportunity on May 5 to send government a clear message.
AB - It is worrying that there is little discussion about the upcoming local elections
because this would be a golden opportunity to send the government a clear
message.
PMID- 27191314
TI - Education is needed to ensure high quality, fundamental care.
AB - Nursing Standard (editorial April 13) refers to a comment about nursing
associates by Health Education England's nurse director Lisa Bayliss-Pratt at a
conference in Australia. She is quoted as saying that if we don't upskill our
support workforce then graduate nurses may end up 'getting dragged down doing
fundamental care'.
PMID- 27191315
TI - Much needs to be done to forge alliances at grass roots.
AB - Kevin Corbett and Jenny Finch (reflections, April 13) are right to call for
stronger nursing alliances between primary care and community nurses.
PMID- 27191316
TI - Raj Sookye: 1943-2016.
AB - Retired ophthalmic theatre nurse Raj Sookye died in February aged 72, following a
short illness.
PMID- 27191317
TI - Stuart Frost: 1974-2016.
AB - Mental health nurse Stuart Frost died in a road accident in the Worcestershire
village of Shenstone on March 21.
PMID- 27191318
TI - How to perform open tracheal suction via an endotracheal tube.
AB - Rationale and key points Tracheal suction involves the removal of pulmonary
secretions from the respiratory tract using negative pressure under sterile
conditions. Practitioners should be aware of the indications for, and risks
associated with, open tracheal suction via an endotracheal tube. ? Respiratory
assessment of the patient should be carried out to identify when tracheal suction
is required. ? A suction pressure of 80-120mmHg is recommended, and suction
should last no longer than 15 seconds. ? Reassurance and support should be given
to the patient to minimise any discomfort and distress that might result from
tracheal suction. Reflective activity Clinical skills articles can help update
your practice and ensure it remains evidence-based. Apply this article to your
practice. Reflect on and write a short account of: 1. How you think this article
will change your practice when performing open tracheal suction via an
endotracheal tube. 2. How you could use this resource to educate your colleagues.
Subscribers can upload their reflective accounts at: rcni.com/portfolio .
PMID- 27191319
TI - Facilitation of learning: part 2.
AB - The previous article in this series of 11, Facilitation of learning: part 1,
reviewed learning theories and how they relate to clinical practice. Developing
an understanding of these theories is essential for mentors and practice teachers
to enable them to deliver evidence-based learning support. This is important
given that effective learning support is dependent on an educator who possesses
knowledge of their specialist area as well as the relevent tools and methods to
support learning. The second domain of the Nursing and Midwifery Council's
Standards to Support Learning and Assessment in Practice relates to the
facilitation of learning. To fulfil this domain, mentors and practice teachers
are required to demonstrate their ability to recognise the needs of learners and
provide appropriate support to meet those needs. This article expands on some of
the discussions from part 1 of this article and considers these from a practical
perspective, in addition to introducing some of the tools that can be used to
support learning.
PMID- 27191320
TI - Neutropenic sepsis: prevention, identification and treatment.
AB - Chemotherapy-induced neutropenia may result in significant physical, social and
emotional consequences for patients receiving anticancer therapy. Chemotherapy
induced neutropenia also leads to delays in treatment and reductions in dose
intensity. In some cases neutropenia may be prevented by the use of granulocyte
colony stimulating factor, but it remains one of the most common side effects of
chemotherapy. Patients who are neutropenic have a reduced ability to fight
infection and are at increased risk of developing neutropenic sepsis. Nurses need
to be able to recognise the signs and symptoms of neutropenic sepsis to ensure
early diagnosis and treatment. There are evidence-based pathways for the
treatment of patients with neutropenic sepsis and nurses have the potential to
develop services and initiatives to support best practice for this group of
patients.
PMID- 27191321
TI - Medication errors.
AB - Medication errors are one of the most common causes of preventable harm to
patients, and result in a financial burden on the NHS.
PMID- 27191322
TI - When one door closes.
AB - We probably all know someone who has been made redundant from their job. It could
even have happened to you.
PMID- 27191323
TI - Platforms for progression.
AB - Nurses looking for jobs could do worse than spend more time on their smartphones,
because employers are increasingly using social media to recruit staff.
PMID- 27191325
TI - On the move.
AB - Promotions / new appointments.
PMID- 27191326
TI - Student life - Which path should you take?
AB - Last year's Shape of Caring review highlighted the alarming fact that at least
20% of nursing students are dropping out of their studies.
PMID- 27191327
TI - Attorneys and Physicians.
PMID- 27191328
TI - Problems+Solutions.
AB - Readers are invited to submit questions relating to problem cases. Inquiries will
be answered by qualified consultants and replies forwarded by mail promptly.
Selected problems and solutions are published every month in this section.
PMID- 27191329
TI - The Medical Bookshelf.
PMID- 27191330
TI - THE airway in systemic disease.
PMID- 27191331
TI - Nasal manifestations of systemic disease.
PMID- 27191333
TI - Primary Care Digest.
PMID- 27191335
TI - Whole-Genome Analysis of Cryptococcus gattii, Southeastern United States.
AB - Cryptococcus gattii is a recognized pathogenic fungus along the Pacific coast of
the United States from California to Washington. Here we report that C. gattii
may also be endemic to the southeastern United States and has probably been
present there longer than in the Pacific Northwest.
PMID- 27191336
TI - Initial Development and Psychometric Properties of a New Measure of Substance Use
Disorder "Recovery Progression": The Recovery Progression Measure (RPM).
AB - There is a growing literature around substance use disorder treatment outcomes
measures. Various constructs have been suggested as being appropriate for
measuring recovery outcomes, including "recovery capital" and "treatment
progression." However, these previously proposed constructs do not measure
changes in psychosocial functioning during the recovery process. Therefore, a new
psychometric assessment, the "Recovery Progression Measure" (RPM), has been
developed to measure this recovery oriented psychosocial change. AIMS: The aims
of this study were to evaluate the reliability and factor structure of the RPM
via data collected from 2218 service users being treated for their substance
dependence. METHOD: Data were collected from service users accessing the Breaking
Free Online (BFO) substance use disorder treatment and recovery program, which
has within its baseline assessment a 36-item psychometric measure previously
developed by the authors to assess the six areas of functioning described in the
RPM. Reliability analyses and exploratory factor analyses (EFA) were conducted to
examine the underlying factor structure of the RPM measure. RESULTS: Internal
reliability of the RPM measure was found to be excellent (alpha > .70) with the
overall assessment to have reliability alpha = .89, with item-total correlations
revealing moderate-excellent reliability of individual items. EFA revealed the
RPM to contain an underlying factor structure of eight components. DISCUSSION:
This study provides initial data to support the reliability of the RPM as a
recovery measure. Further work is now underway to extend these findings,
including convergent and predictive validity analyses.
PMID- 27191337
TI - Exploring structure and interactions of the bacterial adaptor protein YjbH by
crosslinking mass spectrometry.
AB - Adaptor proteins assist proteases in degrading specific proteins under
appropriate conditions. The adaptor protein YjbH promotes the degradation of an
important global transcriptional regulator Spx, which controls the expression of
hundreds of genes and operons in response to thiol-specific oxidative stress in
Bacillus subtilis. Under normal growth conditions, the transcription factor is
bound to the adaptor protein and therefore degraded by the AAA+ protease ClpXP.
If this binding is alleviated during stress, the transcription factor accumulates
and turns on genes encoding stress-alleviating proteins. The adaptor protein YjbH
is thus a key player involved in these interactions but its structure is unknown.
To gain insight into its structure and interactions we have used chemical
crosslinking mass spectrometry. Distance constraints obtained from the
crosslinked monomer were used to select and validate a structure model of YjbH
and then to probe its interactions with other proteins. The core structure of
YjbH is reminiscent of DsbA family proteins. One lysine residue in YjbH (K177),
located in one of the alpha-helices outside the thioredoxin fold, crosslinked to
both Spx K99 and Spx K117, thereby suggesting one side of the YjbH for the
interaction with Spx. Another lysine residue that crosslinked to Spx was YjbH K5,
located in the long and presumably very flexible N-terminal arm of YjbH. Our
crosslinking data lend support to a model proposed based on site-directed
mutagenesis where the YjbH interaction with Spx can stabilize and present the C
terminal region of Spx for protease recognition and proteolysis. Proteins 2016;
84:1234-1245. (c) 2016 Wiley Periodicals, Inc.
PMID- 27191338
TI - Fractal features and surface micromorphology of diamond nanocrystals.
AB - This paper analyses the three-dimensional (3-D) surface texture of growing
diamond nanocrystals on Au thin films as catalyst on p-type Si substrate using
hot filament chemical vapour deposition (HFCVD). Rutherford backscattering
spectrometry (RBS), atomic force microscopy (AFM), Raman, X-ray diffraction (XRD)
and scanning electron microscopy (SEM) analyses were applied also to characterize
the 3-D surface texture data in connection with the statistical, and fractal
analyses. This type of 3-D morphology allows a deeper understanding of
structure/property relationships and surface defects in prepared samples. Our
results indicate a promising way for preparing high-quality diamond nanocrystals
on Au thin films as catalyst on p-type Si substrate via HFCVD method.
PMID- 27191339
TI - Can the Afinion HbA1c Point-of-Care instrument be an alternative method for the
Tosoh G8 in the case of Hb-Tacoma?
AB - BACKGROUND: Hb-variant interference when reporting HbA1c has been an ongoing
challenge since HbA1c was introduced to monitor patients with diabetes mellitus.
Most Hb-variants show an abnormal chromatogram when cation-exchange HPLC is used
for the determination of HbA1c. Unfortunately, the Tosoh G8 generates what
appears to be normal chromatogram in the presence of Hb-Tacoma, yielding a
falsely high HbA1c value. The primary aim of the study was to investigate if the
Afinion HbA1c point-of-care (POC) instrument could be used as an alternative
method for the Tosoh G8 when testing for HbA1c in the presence of Hb-Tacoma.
METHODS: Whole blood samples were collected in K2EDTA tubes from individuals
homozygous for HbA (n = 40) and heterozygous for Hb-Tacoma (n = 20). Samples were
then immediately analyzed with the Afinion POC instrument. After analysis,
aliquots of each sample were frozen at -80 degrees C. The frozen samples were
shipped on dry ice to the European Reference Laboratory for Glycohemoglobin (ERL)
and analyzed with three International Federation of Clinical Chemistry and
Laboratory Medicine (IFCC) and National Glycohemoglobin Standardization Program
(NGSP) Secondary Reference Measurement Procedures (SRMPs). The Premier Hb9210 was
used as the reference method. RESULTS: When compared to the reference method,
samples with Hb-Tacoma yielded mean relative differences of 31.8% on the Tosoh
G8, 21.5% on the Roche Tina-quant Gen. 2 and 16.8% on the Afinion. CONCLUSIONS:
The Afinion cannot be used as an alternative method for the Tosoh G8 when testing
for HbA1c in the presence of Hb-Tacoma.
PMID- 27191340
TI - Histamine H2 receptor blockade augments blood pressure responses to acute
submaximal exercise in males.
AB - Histamine is a potent vasodilator that has been found to increase during
exercise. We tested the hypothesis that histamine would attenuate blood pressure
(BP), cardiac output (CO), and vascular resistance responses to short-term,
submaximal dynamic exercise during H2 receptor blockade. Fourteen healthy men (20
29 years of age) were studied. Systolic (SBP), diastolic (DBP), and mean arterial
(MAP) BP and heart rate (HR) were assessed at rest and during the last minute of
10 min of submaximal cycling exercise (60% of peak oxygen consumption) in the
absence and presence of histamine H2 receptor blockade (ranitidine, 300 mg).
Stroke volume (SV) (impedance cardiography) and plasma norepinephrine (NE) were
measured, and CO, rate * pressure product (RPP), and total peripheral resistance
(TPR) were calculated. Plasma levels of histamine were also measured. H2 blockade
had no effects on any variables at rest. During exercise, SBP (184 +/- 3 mm Hg
vs. 166 +/- 2 mm Hg), MAP (121 +/- 2 mm Hg vs. 112 +/- 5 mm Hg), and RPP (25.9 +/
0.8 * 10(3) mm Hg.beats/min vs. 23.5 +/- 0.8 * 10(3) mm Hg/beats.min) were
greater during blocked conditions (P < 0.05), and an interaction was observed for
TPR. SV, DBP, HR, and NE levels were unaffected by blockade. Plasma histamine
increased from 1.83 +/- 0.14 ng/mL at rest to 2.33 +/- 0.23 ng/mL during exercise
(P < 0.05) and was not affected by H2 blockade (1.56 +/- 0.23 ng/mL vs. 1.70 +/-
0.24 ng/mL). These findings suggest that, during submaximal exercise, histamine
attenuates BP, vascular resistance, and the work of the heart via activation of
H2 receptors and that these effects occurred primarily in the vasculature and not
in the myocardium.
PMID- 27191342
TI - Microscale air quality impacts of distributed power generation facilities.
AB - The electric system is experiencing rapid growth in the adoption of a mix of
distributed renewable and fossil fuel sources, along with increasing amounts of
off-grid generation. New operational regimes may have unforeseen consequences for
air quality. A three-dimensional microscale chemical transport model (CTM) driven
by an urban wind model was used to assess gaseous air pollutant and particulate
matter (PM) impacts within ~10 km of fossil-fueled distributed power generation
(DG) facilities during the early afternoon of a typical summer day in Houston,
TX. Three types of DG scenarios were considered in the presence of motor vehicle
emissions and a realistic urban canopy: (1) a 25-MW natural gas turbine operating
at steady state in either simple cycle or combined heating and power (CHP) mode;
(2) a 25-MW simple cycle gas turbine undergoing a cold startup with either
moderate or enhanced formaldehyde emissions; and (3) a data center generating 10
MW of emergency power with either diesel or natural gas-fired backup generators
(BUGs) without pollution controls. Simulations of criteria pollutants (NO2, CO,
O3, PM) and the toxic pollutant, formaldehyde (HCHO), were conducted assuming a 2
hr operational time period. In all cases, NOx titration dominated ozone
production near the source. The turbine scenarios did not result in ambient
concentration enhancements significantly exceeding 1 ppbv for gaseous pollutants
or over 1 ug/m(3) for PM after 2 hr of emission, assuming realistic plume rise.
In the case of the datacenter with diesel BUGs, ambient NO2 concentrations were
enhanced by 10-50 ppbv within 2 km downwind of the source, while maximum PM
impacts in the immediate vicinity of the datacenter were less than 5 ug/m(3).
IMPLICATIONS: Plausible scenarios of distributed fossil generation consistent
with the electricity grid's transformation to a more flexible and modernized
system suggest that a substantial amount of deployment would be required to
significantly affect air quality on a localized scale. In particular, natural gas
turbines typically used in distributed generation may have minor effects. Large
banks of diesel backup generators such as those used by data centers, on the
other hand, may require pollution controls or conversion to natural gas-fired
reciprocal internal combustion engines to decrease nitrogen dioxide pollution.
PMID- 27191343
TI - Influence of disability type on upper-limb motor skills.
AB - This study was carried out in order to determine the effect of physical
disability (paraplegia) and sensory disability (deafness) on motor skills of the
upper limbs. Studies were distinguished by two parameters: the nature of the
control curve (sine or random) and the magnitude of the isometric force exerted
on the lever (10 N, 20 N, 40 N, 80 N). A comparison of the quality of manual
force control in a visual detection task among groups of people with sensory
disability (deaf), people with physical disability (paraplegic) and people
without disability showed differences among those groups. Values of force above
20 N create conditions of lower quality of control and of direction of force
exertion outside the body. At the same time, the study proved that people with
some types of disability can perform certain work tasks as effectively as people
without disability.
PMID- 27191345
TI - Cost of dose escalation in people with rheumatoid arthritis treated with tumour
necrosis factor inhibitors across Europe.
AB - OBJECTIVES: The aim of this study was to calculate the marginal cost of dose
escalation in people with rheumatoid arthritis treated with tumour necrosis
factor (TNF) inhibitors across Europe. METHODS: The proportion of people who
escalate their dose of TNF inhibitor and the average percentage increase in TNF
inhibitor cost associated with escalators versus non-escalators was calculated
from previously published estimates, weighted by the sample size for each study.
The number of people with rheumatoid arthritis treated with TNF inhibitors and
the corresponding total drug sales were obtained for five European countries from
Decision Resources' Pharmacor Market Forecast. Method 1 assumed that total sales
of a TNF inhibitor represented the cost of an escalator multiplied by the number
of escalators plus the cost of a non-escalator multiplied by the number of non
escalators. Method 2 assumed that the drug cost per day used to forecast total
sales was calculated using the dose of TNF inhibitor used by non-escalators. The
marginal cost of TNF inhibitor dose escalation was estimated by multiplying the
difference in cost between escalators and non-escalators by the number of
escalators. RESULTS: The estimated increase in TNF inhibitor costs associated
with dose escalation in people with rheumatoid arthritis across five European
countries (Germany, France, UK, Spain and Italy) was ?51.5-54.4 million for
adalimumab, ?44.8-52.8 million for infliximab and ?5.8-5.9 million for
etanercept. CONCLUSIONS: Dose escalation of the TNF inhibitors adalimumab,
etanercept and infliximab in people with rheumatoid arthritis has resulted in an
increase in TNF inhibitor costs across five European countries.
PMID- 27191346
TI - Salmonella Typhimurium methionine sulfoxide reductase A (MsrA) prefers TrxA in
repairing methionine sulfoxide.
AB - Intraphagocytic survival of Salmonella Typhimurium (ST) depends (at least in
part) upon its ability to repair oxidant-damaged macromolecules. Met residues
either free or in protein bound form are highly susceptible to phagocyte
generated oxidants. Oxidation of Mets leads to Met-SO formation, consequently
loss of protein functions that results in cell death. Methionine sulfoxide
reductase (Msr) reductively repairs Met-SO to Met in the presence of thioredoxin
(trx) and thioredoxin reductase (trxR). Earlier we reported that methionine
sulfoxide reductase A (msrA) gene deletion strain of ST suffered oxidative
stress.[1] Thioredoxin system of ST comprises of two thioredoxins (trxA and trxC)
and one thioredoxin reductase (trxB). Preferred trx utilized in MsrA-mediated
repair of Met-SO is not known. In current study, we cloned, expressed, and
purified ST TrxA, TrxB, TrxC, and MsrA in recombinant forms. The migration of
TrxA, TrxB, TrxC, and MsrA proteins was approximately 10, 36, 16, and 26 kDa on
SDS-gels. The nicotinamide adenine dinucleotide phosphate hydrogen (NADPH)-linked
reductase assays interpreted that MsrA utilized two times more NADPH for the
reduction of S-methyl p-tolyl sulfoxide when TrxA was included in the assays as
compared to TrxC.
PMID- 27191347
TI - Catalytic Access to Alkyl Bromides, Chlorides and Iodides via Visible Light
Promoted Decarboxylative Halogenation.
AB - Herein is reported the catalytic, visible light-promoted, decarboxylative
halogenation (bromination, chlorination, and iodination) of aliphatic carboxylic
acids. This operationally-simple reaction tolerates a range of functional groups,
proceeds at room temperature, and is redox neutral. By employing an iridium
photocatalyst in concert with a halogen atom source, the use of stoichiometric
metals such as silver, mercury, thallium, and lead can be circumvented. This
reaction grants access to valuable synthetic building blocks from the large pool
of cheap, readily available carboxylic acids.
PMID- 27191344
TI - Arylfluorosulfates Inactivate Intracellular Lipid Binding Protein(s) through
Chemoselective SuFEx Reaction with a Binding Site Tyr Residue.
AB - Arylfluorosulfates have appeared only rarely in the literature and have not been
explored as probes for covalent conjugation to proteins, possibly because they
were assumed to possess high reactivity, as with other sulfur(VI) halides.
However, we find that arylfluorosulfates become reactive only under certain
circumstances, e.g., when fluoride displacement by a nucleophile is facilitated.
Herein, we explore the reactivity of structurally simple arylfluorosulfates
toward the proteome of human cells. We demonstrate that the protein reactivity of
arylfluorosulfates is lower than that of the corresponding aryl sulfonyl
fluorides, which are better characterized with regard to proteome reactivity. We
discovered that simple hydrophobic arylfluorosulfates selectively react with a
few members of the intracellular lipid binding protein (iLBP) family. A central
function of iLBPs is to deliver small-molecule ligands to nuclear hormone
receptors. Arylfluorosulfate probe 1 reacts with a conserved tyrosine residue in
the ligand-binding site of a subset of iLBPs. Arylfluorosulfate probes 3 and 4,
featuring a biphenyl core, very selectively and efficiently modify cellular
retinoic acid binding protein 2 (CRABP2), both in vitro and in living cells. The
X-ray crystal structure of the CRABP2-4 conjugate, when considered together with
binding site mutagenesis experiments, provides insight into how CRABP2 might
activate arylfluorosulfates toward site-specific reaction. Treatment of breast
cancer cells with probe 4 attenuates nuclear hormone receptor activity mediated
by retinoic acid, an endogenous client lipid of CRABP2. Our findings demonstrate
that arylfluorosulfates can selectively target single iLBPs, making them useful
for understanding iLBP function.
PMID- 27191348
TI - Claudins and mineral metabolism.
AB - PURPOSE OF REVIEW: The tight junction conductance made of the claudin-based
paracellular channel is important in the regulation of calcium and magnesium
reabsorption in the kidney. This review describes recent findings of the
structure, the function, and the physiologic regulation of claudin-14, claudin
16, and claudin-19 channels that through protein interactions confer calcium and
magnesium permeability to the tight junction. RECENT FINDINGS: Mutations in two
tight junction genes - claudin-16 and claudin-19 - cause the inherited renal
disorder familial hypomagnesemia with hypercalciuria and nephrocalcinosis. A
recent genome-wide association study has identified claudin-14 as a major risk
gene of hypercalciuric nephrolithiasis. The crystal structure of claudin-19 has
recently been resolved allowing the reconstruction of a claudin assembly model
from cis-dimers made of claudin-16 and claudin-19 interaction. MicroRNAs have
been identified as novel regulators of the claudin-14 gene. The microRNA-claudin
14 operon is directly regulated by the Ca sensing receptor gene in response to
hypercalcemia. SUMMARY: The paracellular pathway in the kidney is particularly
important for mineral metabolism. Three claudin proteins - claudin-14, claudin
16, and claudin-19 - contribute to the structure and function of this
paracellular pathway. Genetic mutations and gene expression changes in these
claudins may lead to alteration of the paracellular permeability to calcium and
magnesium, ultimately affecting renal mineral metabolism.
PMID- 27191350
TI - Role of mesenchymal stem cells in kidney injury and fibrosis.
AB - PURPOSE OF REVIEW: Multiple studies have established the beneficial role of
mesenchymal stem cell (MSC) therapy for kidney injury. In this review we will
discuss the recent identification of Gli1 as a marker for perivascular MSC and
the role of this cell population in kidney fibrosis. RECENT FINDINGS: Recent
studies demonstrate that expression of the hedgehog transcriptional activator
Gli1 specifically marks perivascular MSC. Genetic fate tracing of MSC in kidney
injury revealed their contribution to the myofibroblast pool whereas ablation of
MSC reduced kidney fibrosis. Furthermore, strong evidence suggests that
pharmacologically targeting Gli proteins inhibits cell-cycle progression of
myofibroblasts in kidney fibrosis and is a promising therapeutic strategy in
chronic kidney disease. SUMMARY: Although there is tremendous excitement about
MSC as cellular therapy in kidney injury it has been shown that resident
perivascular MSC are a major source of myofibroblasts and a novel therapeutic
target in kidney fibrosis. While resident kidney MSC might also be involved in
capillary rarefaction after injury and during fibrosis progression their
potential role in kidney repair, angiogenesis, and regeneration remains unclear.
Further studies are needed to identify the molecular pathways that control the
profibrotic versus proregenerative role of resident MSC in kidney injury and
repair.
PMID- 27191349
TI - Oxalate, inflammasome, and progression of kidney disease.
AB - PURPOSE OF REVIEW: Oxalate is an end product of metabolism excreted via the
kidney. Excess urinary oxalate, whether from primary or enteric hyperoxaluria,
can lead to oxalate deposition in the kidney. Oxalate crystals are associated
with renal inflammation, fibrosis, and progressive renal failure. It has long
been known that as the glomerular filtration rate becomes reduced in chronic
kidney disease (CKD), there is striking elevation of plasma oxalate. Taken
together, these findings raise the possibility that elevation of plasma oxalate
in CKD may promote renal inflammation and more rapid progression of CKD
independent of primary cause. RECENT FINDINGS: The inflammasome has recently been
identified to play a critical role in oxalate-induced renal inflammation. Oxalate
crystals have been shown to activate the NOD-like receptor family, pyrin domain
containing 3 inflammasome (also known as NALP3, NLRP3, or cryopyrin), resulting
in release of IL-1beta and macrophage infiltration. Deletion of inflammasome
proteins in mice protects from oxalate-induced renal inflammation and progressive
renal failure. SUMMARY: The findings reviewed in this article expand our
understanding of the relevance of elevated plasma oxalate levels leading to
inflammasome activation. We propose that inhibiting oxalate-induced inflammasome
activation, or lowering plasma oxalate, may prevent or mitigate progressive renal
damage in CKD, and warrants clinical trials.
PMID- 27191353
TI - New opportunities for allergen immunotherapy using synthetic peptide immuno
regulatory epitopes (SPIREs).
AB - INTRODUCTION: Allergen immunotherapy (AIT) reduces allergic rhinoconjunctivitis
(ARC) symptoms, but long-term efficacy requires treatment for 3-5 years.
Synthetic peptide immuno-regulatory epitopes, a new class of AIT, are allergen
peptides with a shorter, more convenient treatment regimen that could potentially
have benefits on adherence and outcomes. AREAS COVERED: Phase 2 trials of
therapies derived from cat, house dust mite, grass, and ragweed allergen peptides
demonstrated significant reduction in ARC symptoms after short-course treatment;
improvement was sustained for 18-24 months posttreatment. We conducted a PubMed
literature search for clinical publications using the search terms AIT; allergen
peptides; ARC; cat, grass, house dust mite, and ragweed allergy; SCIT; SLIT; and
synthetic peptides. Expert commentary: Long-term disease modification is a
realistic goal of AIT. The inconvenience of conventional AIT regimens negatively
impacts long-term persistence and, thus, efficacy. In comparison, SPIREs have a
more convenient treatment regimen that could potentially have benefits on
adherence and outcomes.
PMID- 27191351
TI - Inflammation regulates fibroblast growth factor 23 production.
AB - PURPOSE OF REVIEW: Fibroblast growth factor 23 (FGF23) is a hormone secreted by
osteocytes and osteoblasts that regulates phosphorus and vitamin D homeostasis.
FGF23 levels increase progressively in chronic kidney disease (CKD), and FGF23
excess might be a causal factor of left ventricular hypertrophy, CKD progression
and death. Therefore, understanding the molecular mechanisms that control FGF23
production is critical to design therapies to lower FGF23 levels. The present
review focuses on the role of inflammatory stimuli on FGF23 regulation and
summarizes recent studies that support a novel framework linking inflammation to
FGF23 regulation. RECENT FINDINGS: Inflammation and iron deficiency, which are
common occurrences in CKD, have emerged as novel FGF23 regulators. Recent
findings show that inflammation increases FGF23 production in bone through direct
and iron-related indirect mechanisms. In these settings, hypoxia-inducible factor
(HIF)-1alpha orchestrates FGF23 transcription in response to inflammation and is
primarily responsible for coordinating FGF23 production and cleavage. SUMMARY: We
demonstrate that inflammation increases FGF23 production and may contribute to
elevated FGF23 levels in CKD. Osseous HIF-1alpha may represent a therapeutic
target to lower FGF23 levels in CKD patients and minimize the negative
consequences associated with FGF23 excess.
PMID- 27191352
TI - Rational Design of Prevascularized Large 3D Tissue Constructs Using Computational
Simulations and Biofabrication of Geometrically Controlled Microvessels.
AB - A major challenge in the development of clinically relevant 3D tissue constructs
is the formation of vascular networks for oxygenation, nutrient supply, and waste
removal. To this end, this study implements a multimodal approach for the
promotion of vessel-like structures formation in stiff fibrin hydrogels.
Computational simulations have been performed to identify the easiest
microchanneled configuration assuring normoxic conditions throughout thick
cylindrical hydrogels (8 mm height, 6 mm ?), showing that in our configuration a
minimum of three microchannels (600 MUm ?), placed in a non-planar disposition,
is required. Using small hydrogel bricks with oxygen distribution equal to the
microchanneled configuration, this study demonstrates that among different
culture conditions, co-culture of mesenchymal and endothelial cells supplemented
with ANG-1 and VEGF leads to the most developed vascular network. Microchanneled
hydrogels have been then cultured in the same conditions both statically and in a
bioreactor for 7 d. Unexpectedly, the combination between shear forces and
normoxic conditions is unable to promote microvascular networks formation in
three-channeled hydrogels. Differently, application of either shear forces or
normoxic conditions alone results in microvessels outgrowth. These results
suggest that to induce angiogenesis in engineered constructs, complex
interactions between several biochemical and biophysical parameters have to be
modulated.
PMID- 27191354
TI - Hematologic Response to Vorinostat Treatment in Relapsed Myeloid Leukemia of Down
Syndrome.
AB - Children with Down syndrome are at high risk to develop myeloid leukemia (ML-DS).
Despite their excellent prognosis, children with ML-DS particularly suffer from
severe therapy-related toxicities and for relapsed ML-DS the cure rates are very
poor. Here we report the clinical course of one child with ML-DS treated with the
histone deacetylase (HDAC) inhibitor vorinostat (suberoylanilide hydroxamic acid)
after second relapse. The child had previously received conventional chemotherapy
and stem cell transplantation, yet showed a remarkable clinical and hematologic
response. Thus, HDAC inhibitor may represent an effective class of drugs for the
treatment of ML-DS.
PMID- 27191355
TI - Clinical Features of Ocular Sarcoidosis in Patients with Biopsy-proven Pulmonary
Sarcoidosis in Serbia.
AB - PURPOSE: To analyze clinical characteristics of ocular sarcoidosis in a group of
biopsy-proven sarcoid patients treated at the single referral center for
sarcoidosis in Serbia. METHODS: A prospective study carried out on 88 biopsy
proven sarcoid patients between January 2012 and December 2014. All patients
underwent complete ophthalmological examination. RESULTS: Ocular sarcoidosis was
present in 32 patients (36.4% of all) and included: eyelid skin lesions (2.3%);
orbital inflammation (2.3%); conjunctival lesions (7.9%); anterior uveitis
(2.3%); intermediate uveitis (1.1%); posterior uveitis (15.9%); panuveitis
(5.7%), and neuro-ophthalmologic manifestations (9.1%). Complications included
cataract (20.4%); glaucoma (5.7%); cystoid macular edema (3.4%); epiretinal
membrane formation (4.5%); macular atrophy (2.3%); and choroidal
neovascularization (1.1%). Binocular visual impairment was present in one patient
(1.1%), due to complications of posterior uveitis (macular scars). CONCLUSIONS:
Patients in Serbia demonstrated ocular sarcoidosis as the first most common site
of extrapulmonary sarcoid manifestations, with more often neuro-ophthalmologic
lesions than in other European populations.
PMID- 27191356
TI - Assessing the Tongzhi Label: Self-Identification and Public Opinion.
AB - Tongzhi is one of several Chinese terms that refer to individuals who are
attracted to the same sex. Using data from two different surveys in Hong Kong,
this research note examines how the term tongzhi coexists with other terms. We
investigate the prevalence of self-identification as tongzhi, and we explore the
extent to which using the term tongzhi influences public attitudes toward gay
people and gay rights. Activists began popularizing the term tongzhi in the late
1980s, but less than one third of the participants in our 2008 survey of sexual
orientation minorities (n = 728) described themselves as tongzhi. Using a split
ballot experiment in a 2013 public opinion poll (n = 831), we found that
attitudes toward gay people and gay rights were not significantly impacted by
whether questions were phrased in terms of tongzhi or the main alternative term
tongxinglianzhe. We discuss how our findings can enrich understandings of earlier
research and illuminate avenues for future study.
PMID- 27191357
TI - Switchover of the Mechanism between Electron Transfer and Hydrogen-Atom Transfer
for a Protonated Manganese(IV)-Oxo Complex by Changing Only the Reaction
Temperature.
AB - Hydroxylation of mesitylene by a nonheme manganese(IV)-oxo complex, [(N4Py)Mn(IV)
(O)](2+) (1), proceeds via one-step hydrogen-atom transfer (HAT) with a large
deuterium kinetic isotope effect (KIE) of 3.2(3) at 293 K. In contrast, the same
reaction with a triflic acid-bound manganese(IV)-oxo complex, [(N4Py)Mn(IV)
(O)](2+) -(HOTf)2 (2), proceeds via electron transfer (ET) with no KIE at 293 K.
Interestingly, when the reaction temperature is lowered to less than 263 K in the
reaction of 2, however, the mechanism changes again from ET to HAT with a large
KIE of 2.9(3). Such a switchover of the reaction mechanism from ET to HAT is
shown to occur by changing only temperature in the boundary region between ET and
HAT pathways when the driving force of ET from toluene derivatives to 2 is around
-0.5 eV. The present results provide a valuable and general guide to predict a
switchover of the reaction mechanism from ET to the others, including HAT.
PMID- 27191358
TI - Editorial: The anxiety of caring and the devaluing of nursing.
PMID- 27191359
TI - PET/MRI: A New Frontier in Breast Cancer Imaging.
PMID- 27191360
TI - A Community-Oriented Approach to Breast Cancer in a Low-Resource Setting:
Improving Awareness, Early Detection and Treatment of Breast Cancer in
Tajikistan.
AB - Breast cancer is one of the most common cancers and causes of death in females in
Tajikistan; yet less than half of the adult women in Tajikistan have heard of
breast cancer. Limited access to health care contributes to late stage
presentation. We developed a public-private partnership to implement a breast
cancer awareness intervention in a low-resource community in Khorog, Tajikistan.
We trained local health professionals in clinical breast care and conducted a
breast cancer screening and treatment program. The partnership involved visiting
USA-based health professionals working alongside local health care providers
(HCP) in the continuum of breast care-from education to the diagnostic evaluation
and management of detected breast abnormalities. Patient data were collected
using a web-based program (VirtualDoc). Twenty-four HCP received didactic and
clinical breast examination training. 441 women underwent clinical breast
evaluation. 74 (17%) had abnormal exams and underwent additional diagnostic
procedures. We identified six (1.4%) cases of breast cancer (all locally
advanced) and two women had benign fibroadenomas. All women with cancer underwent
modified radical mastectomy, while the fibroadenomas were treated by cosmetically
appropriate lumpectomy. Five of six subjects with cancer were previously aware of
their breast lump and three had recently seen a family medicine (FM) doctor.
Health systems assessment revealed availability of diagnostic equipment but lack
of well-trained operators and clinician interpreters. We were successful in
integrating clinical breast exams into the routine care of female patients by
local FM doctors and in the process, achieved a better understanding of existing
risk factors and barriers to breast cancer care. This public-private partnership,
leveraging the technical expertise of visiting health professionals, demonstrates
how a focused onsite training and awareness program can provide sustained
improvements in breast care in a low-resource environment.
PMID- 27191362
TI - Corrigendum.
PMID- 27191361
TI - A Case of Primary Angiosarcoma of Breast during Pregnancy with Metastasis to the
Contralateral Breast: A Potential Diagnostic Pitfall.
PMID- 27191363
TI - Investigation on the mechanism of non-photocatalytically TiO2 -induced reactive
oxygen species and its significance on cell cycle and morphology.
AB - Titanium dioxide (TiO2 ) nanoparticles are widely used in daily human life, and
were reported to elicit biological effects such as oxidative stress either
generating reactive oxygen species (ROS) or causing cell necrosis without
generating ROS, whose underlying molecular mechanisms are not yet known. In this
study, the role of dissolved oxygen in TiO2 catalytic activity in dark
environment, and long-term cytotoxic effects of TiO2 exposure were investigated.
To determine the effect of dissolved oxygen, the anatase-TiO2 nanoparticle
suspension was prepared both in deoxygenated and regular MilliQ water, and a ~ 9
fold higher ROS in regular MilliQ samples was observed compared to deoxygenated
samples while in the dark, which suggested dissolved oxygen as the driving agent
behind the TiO2 catalytic reaction. On the other hand, the differential cell
viability and endogenous ROS activity was demonstrated through a sensitive
macrophage-based assay, on a dose- and time-dependent manner. Both the cell
number and endogenous ROS activity increased with increase in time till 48 h,
followed by a reduction at 72 h exposure period. Long-term exposures to these
nanoparticles even at low concentrations were found detrimental to cells, where
late apoptosis until 48 h and necrosis at 72 h leading to cell death were noted.
Late apoptotic events and cell membrane cytoskeletal actin rearrangement observed
were hypothesized to be induced by particle-mediated cellular ROS. This in
addition to radical generation ability of TiO2 in the dark will help further in
better understanding of the toxicity mechanism in cells beyond ROS generation.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27191364
TI - Promoting the immunization neighborhood: Benefits and challenges of pharmacies as
additional locations for HPV vaccination.
PMID- 27191366
TI - Cutaneous Hemophagocytic Lymphohistiocytosis: Bean Bags From the Bone.
PMID- 27191367
TI - Thermoelectric Detection of Multi-Subband Density of States in Semiconducting and
Metallic Single-Walled Carbon Nanotubes.
AB - Thermoelectric detection of a multi-subband density of states in semiconducting
and metallic single-walled carbon nanotubes is demonstrated by scanning the Fermi
energy from electron-doped to hole-doped regions. The Fermi energy is
systematically controlled by utilizing the strong electric field induced in
electric-double-layer transistor configurations, resulting in the optimization of
the thermoelectric power factor.
PMID- 27191365
TI - Chronic kidney disease in European patients with obstructive sleep apnea: the
ESADA cohort study.
AB - The cross-sectional relationship of obstructive sleep apnea with moderate to
severe chronic kidney disease, defined as an estimated glomerular filtration rate
<60 mL min-1 ?1.73 m-2 , was investigated in a large cohort of patients with
suspected obstructive sleep apnea studied by nocturnal polysomnography or
cardiorespiratory polygraphy. Data were obtained from the European Sleep Apnea
Database, where information from unselected adult patients with suspected
obstructive sleep apnea afferent to 26 European sleep centres had been
prospectively collected. Both the Modification of Diet in Renal Disease and the
Chronic Kidney Disease-Epidemiology Collaboration equations were used for the
assessment of estimated glomerular filtration rate. The analysed sample included
7700 subjects, 71% male, aged 51.9 +/- 12.5 years. Severe obstructive sleep apnea
(apnea-hypopnea index >=30) was found in 34% of subjects. The lowest nocturnal
oxygen saturation was 81 +/- 10.2%. Chronic kidney disease prevalence in the
whole sample was 8.7% or 6.1%, according to the Modification of Diet in Renal
Disease or the Chronic Kidney Disease-Epidemiology Collaboration equations,
respectively. Subjects with lower estimated glomerular filtration rate were
older, more obese, more often female, had worse obstructive sleep apnea and more
co-morbidities (P < 0.001, each). With both equations, independent predictors of
estimated glomerular filtration rate <60 were: chronic heart failure; female
gender; systemic hypertension; older age; higher body mass index; and worse
lowest nocturnal oxygen saturation. It was concluded that in obstructive sleep
apnea, chronic kidney disease is largely predicted by co-morbidities and
anthropometric characteristics. In addition, severe nocturnal hypoxaemia, even
for only a small part of the night, may play an important role as a risk factor
for kidney dysfunction.
PMID- 27191369
TI - Increased levels of circulating platelet derived microparticles in Crohn's
disease patients.
AB - OBJECTIVE: Platelet activation is a consistent feature in inflammatory bowel
disease. However, the role of circulating platelet derived microparticles (PDMPs)
and the effects of disease activity and treatment on their levels has not been
clarified yet in this disorder. MATERIAL AND METHODS: Using flow cytometry, we
measured platelet derived microparticles and platelet derived microparticles
expressing Annexin V in platelet rich plasma from 47 Crohn's disease and 43
ulcerative colitis patients and 24 healthy controls. RESULTS: Crohn's disease
patients have greater PDMPs (0.31% +/- 0.07% versus 0.14% +/- 0.04%, p = 0.02)
and PDMPs expressing Annexin V (27% +/- 2.6% versus 14.6% +/- 2.7%, p = 0.002)
levels in comparison with healthy controls; however, both microparticles levels
are not related with disease activity. Crohn's disease patients on 5-ASA therapy
show lower levels of PDMPs in comparison with those on no 5-ASA (0.30% +/- 0.07%
versus 0.32% +/- 0.09%, p = 0.048). Ulcerative colitis patients have similar
PDMPs and PDMPs expressing Annexin V levels, compared to healthy controls (p =
0.06 and p = 0.2, respectively) and there is no correlation of both
microparticles expression with disease activity. 5-ASA has no effect on both
microparticles levels in ulcerative colitis patients. Anti-TNF-alpha treatment
has no effect on study's microparticles expression in Crohn's and ulcerative
colitis patients. CONCLUSIONS: Circulating levels of platelet derived
microparticles are increased only in Crohn's patients, but they do not correlate
with disease activity. 5-ASA treatment is associated with lower levels of PDMPs
only in Crohn's, while anti-TNF-alpha treatment does not influence expression of
microparticles in inflammatory bowel disease patients.
PMID- 27191368
TI - Single-stage resection and microwave ablation for bilobar colorectal liver
metastases.
AB - BACKGROUND: Patients undergoing liver resection combined with microwave ablation
(MWA) for bilobar colorectal metastasis may have similar overall survival to
patients who undergo two-stage hepatectomy, but with less morbidity. METHODS:
This was a multi-institutional evaluation of patients who underwent MWA between
2003 and 2012. Morbidity (90-day) and mortality were compared between patients
who had MWA alone and those who underwent combined resection and MWA (CRA).
Mortality and overall survival after CRA were compared with published data on two
stage resections. RESULTS: Some 201 patients with bilobar colorectal liver
metastasis treated with MWA from four high-volume institutions were evaluated
(100 MWA alone, 101 CRA). Patients who had MWA alone were older, but the groups
were otherwise well matched demographically. The tumour burden was higher in the
CRA group (mean number of lesions 3.9 versus 2.2; P = 0.003). Overall (31.7
versus 15.0 per cent; P = 0.006) and high-grade (13.9 versus 5.0 per cent; P =
0.030) complication rates were higher in the CRA group. Median overall survival
was slightly shorter in the CRA group (38.4 versus 42.2 months; P = 0.132) but
disease-free survival was similar (10.1 versus 9.3 months; P = 0.525). The
morbidity and mortality of CRA compared favourably with rates in the existing
literature on two-stage resection, and survival data were similar. CONCLUSION:
Single-stage hepatectomy and MWA resulted in survival similar to that following
two-stage hepatectomy, with less overall morbidity.
PMID- 27191370
TI - Pericarditis Caused by Hyperinvasive Strain of Neisseria meningitidis, Sardinia,
Italy, 2015.
PMID- 27191371
TI - Use of alphav Integrin Linked to GFP to Image Molecular Dynamics in Trafficking
Cancer-Cell Emboli.
AB - Human osteosarcoma cells with an alphav integrin green fluorescent protein (GFP)
fusion gene were previously established and imaged in vitro and in vivo. In the
present study, we imaged the interaction of alphav integrin-GFP in osteosarcoma
cells and collagen fibers in vascular-trafficking osteosarcoma emboli in nude
mice. Human 143B osteosarcoma cells, expressing alphav integrin-GFP, were
injected by a vascular route in an abdominal skin flap in nude mice. Osteosarcoma
cells were fluorescently imaged in the epigastric cranialis vein in the abdominal
skin flap by confocal microscopy. Collagen fibers were imaged in reflectance
mode. At early stages of tumor embolus-formation, cancer cells adhered firmly to
each other, diffusely expressing alphav integrin-GFP. Two weeks after injection,
collagen fiber scaffolds were visualized at the margins of tumor emboli or within
them. Four weeks after injection, cancer cells invading from emboli were strongly
expressing alphav integrin-GFP, and were aligned along collagen fibers. The
results suggest alphav integrin and collagen fiber scaffolds are important for
tumor embolus formation, which are potential seeds of metastasis. J. Cell.
Biochem. 118: 26-30, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27191372
TI - Work Environment and Its Relationship to Quality Improvement: Health Care
Providers' Perspectives.
AB - There is a gap in understanding how work environment contributes to hospitals'
readiness for quality improvement (QI) in developing countries; thus, diagnosing
work environment problems in health care organizations is the initial step in
designing strategies for QI in organizations. This study examines the
relationship between nurses' and physicians' perspectives of the work
environments and hospitals' climate for QI. Study results indicate that work
environment is positively associated with hospitals' readiness for QI.
PMID- 27191373
TI - Treatment Options for Veterans With Posttraumatic Stress Disorder.
PMID- 27191374
TI - Leveraging respiratory organ motion for non-invasive tumor treatment devices: a
feasibility study.
AB - In noninvasive abdominal tumor treatment, research has focused on minimizing
organ motion either by gating, breath holding or tracking of the target. The
paradigm shift proposed in this study takes advantage of the respiratory organ
motion to passively scan the tumor. In the proposed self-scanning method, the
focal point of the HIFU device is held fixed for a given time, while it passively
scans the tumor due to breathing motion. The aim of this paper is to present a
treatment planning method for such a system and show by simulation its
feasibility. The presented planning method minimizes treatment time and ensures
complete tumor ablation under free-breathing. We simulated our method on
realistic motion patterns from a patient specific statistical respiratory model.
With our method, we achieved a shorter treatment time than with the gold-standard
motion-compensation approach. The main advantage of the proposed method is that
electrically steering of the focal spot is no longer needed. As a consequence, it
is much easier to find an optimal solution for both avoiding near field heating
and covering the whole tumor. However, the reduced complexity on the beam forming
comes at the price of an increased complexity on the planning side as well as a
reduced efficiency in the energy distribution. Although we simulate the approach
on HIFU, the idea of self-scanning passes over to other tumor treatment
modalities such as proton therapy or classical radiation therapy.
PMID- 27191376
TI - Visible-Light-Assisted Photocatalytic Reduction of Nitroaromatics by Recyclable
Ni(II)-Porphyrin Metal-Organic Framework (MOF) at RT.
AB - A microporous Ni(II)-porphyrin metal-organic framework (MOF), [Ni3(Ni-HTCPP)2(MU2
H2O)2(H2O)4(DMF)2].2DMF, (MOF1) (where, Ni-HTCPP = 5,10,15,20-tetrakis(4
benzoate) porphyrinato-Ni(II)) has been synthesized by the solvothermal route.
Single-crystal X-ray diffraction study of 1 reveals a 2D network structure
constituted by Ni3 cluster and [Ni-HTCPP](3-) metalloligand having (3, 6)
connected binodal net with {4(3)}2{4(6).6(6).8(3)}-kgd net topology. The 2D
layers are further stacked together through pi-pi interactions between the
porphyrin linkers to generate a 3D supramolecular framework which houses 1D
channels with dimension of ~5.0 * 9.0 A(2) running along the crystallographic a
axis. Visible-light-assisted photocatalytic investigation of MOF1 for
heterogeneous reduction of various nitroaromatics at room temperature resulted in
the corresponding amines with high yield and selectivity. On the contrary, the
Ni(II)-centered porphyrin tetracarboxylic acid [Ni-H4TCPP] metalloligand does not
show the photocatalytic activity under similar conditions. The remarkably high
catalytic performance of MOF1 over [Ni-H4TCPP] metalloligand has been attributed
due to cooperative catalysis involving the Ni-centered porphyrin secendary
building units (SBUs) and the Ni3-oxo node. Further, the MOF1 was recycled and
reused up to three cycles without any significant loss of catalytic activity as
well as structural rigidity. To the best of our knowledge, MOF1 represents the
first example of MOF based on 3d metal ion exhibiting visible-light-assisted
reduction of nitroaromatics under mild conditions without the assistance of noble
metal cocatalysts.
PMID- 27191375
TI - Help-Seeking Behaviors Among Active-Duty Military Personnel: Utilization of
Chaplains and Other Mental Health Service Providers.
AB - Military chaplains not only conduct religious services, but also provide
counseling and spiritual support to military service members, operating as
liaisons between soldiers and mental health professionals. In this study, active
duty soldiers (N = 889) reported help-seeking behaviors and mental health. Using
logistic regressions, we describe the issues for which soldiers reported seeking
help, then outline the characteristics of those who are most likely to seek help
from a chaplain. Of the soldiers who sought help from a chaplain within the
previous year, 29.9% reported high levels of combat exposure, 50.8% screened
positive for depression, 39.1% had probable PTSD, and 26.6% screened positive for
generalized anxiety disorder. The participant's unit firing on the enemy,
personally firing on the enemy, and seeing dead bodies or human remains predicted
seeing a chaplain. Future research should examine ways to engage soldiers who
have had more combat experiences with the chaplain community to address spiritual
issues.
PMID- 27191377
TI - The Recaptured Scale Technique: A Method for Testing the Structural Robustness of
Personality Scales.
AB - Tellegen and Waller advocated a complex and time-consuming scale construction
method that they called "exploratory test construction." Scales that are
constructed by this method-such as the Multidimensional Personality Questionnaire
(MPQ)-are presumed to be more "psychologically coherent" and "robust" than scales
constructed by other means. Using a novel procedure that we call the "recaptured
scale technique," we tested this conjecture by conducting a megafactor analysis
on data from the 411 adult participants of the Minnesota Study of Twins Reared
Apart who completed the MPQ, the MMPI, and the CPI. We extracted and obliquely
rotated 21 factors from a matrix of gender-corrected tetrachoric correlations for
the 1,102 nonredundant items of the three omnibus inventories. Robustness of the
11 MPQ scales was assessed by the degree to which these factors recaptured the
MPQ item groupings. Our results showed that nine factors were clearly
recognizable as MPQ scales and two additional factors represented a bifurcation
of an MPQ scale. A higher-order factor analysis of all 21 factor scales yielded
five factors that clearly resembled the Big Five. Our results provide strong
support for (a) the method of exploratory test construction, (b) the structural
robustness of most MPQ scales, and
PMID- 27191379
TI - Is Poor Sleep Quality Associated With Greater Disability in Patients With
Multiple Sclerosis?
AB - Poor sleep is a serious burden for patients with multiple sclerosis (MS). The aim
of this study is to assess whether the association between sleep quality and
disability in MS patients is direct or mediated by depression, pain, and fatigue.
We collected data from 152 patients with MS who filled out the Pittsburgh Sleep
Quality Index, the Hospital Anxiety and Depression Scale, the Multidimensional
Fatigue Inventory and one item of the Short Form-36 regarding pain. The
relationship between poor sleep and disability was found to be indirect, mediated
by depression (p < 0.05), pain (p < 0.001) and physical fatigue (p < 0.01).
Treatment of sleep disturbances may have beneficial effects beyond improving
sleep. It may reduce depression, pain, and physical fatigue, which in turn may
lessen disability.
PMID- 27191380
TI - Extended Human-to-Human Transmission during a Monkeypox Outbreak in the
Democratic Republic of the Congo.
AB - A >600% increase in monkeypox cases occurred in the Bokungu Health Zone of the
Democratic Republic of the Congo during the second half of 2013; this increase
prompted an outbreak investigation. A total of 104 possible cases were reported
from this health zone; among 60 suspected cases that were tested, 50 (48.1%)
cases were confirmed by laboratory testing, and 10 (9.6%) tested negative for
monkeypox virus (MPXV) infection. The household attack rate (i.e., rate of
persons living with an infected person that develop symptoms of MPXV infection)
was 50%. Nine families showed >1 transmission event, and >6 transmission events
occurred within this health zone. Mean incubation period was 8 days (range 4-14
days). The high attack rate and transmission observed in this study reinforce the
importance of surveillance and rapid identification of monkeypox cases. Community
education and training are needed to prevent transmission of MPXV infection
during outbreaks.
PMID- 27191381
TI - Enhancing the Activity of Peptide-Based Artificial Hydrolase with Catalytic
Ser/His/Asp Triad and Molecular Imprinting.
AB - In this study, an artificial hydrolase was developed by combining the catalytic
Ser/His/Asp triad with N-fluorenylmethoxycarbonyl diphenylalanine (Fmoc-FF),
followed by coassembly of the peptides into nanofibers (CoA-HSD). The peptide
based nanofibers provide an ideal supramolecular framework to support the
functional groups. Compared with the self-assembled catalytic nanofibers (SA-H),
which contain only the catalytic histidine residue, the highest activity of CoA
HSD occurs when histidine, serine, and aspartate residues are at a ratio of
40:1:1. This indicates that the well-ordered nanofiber structure and the
synergistic effects of serine and aspartate residues contribute to the
enhancement in activity. Additionally, for the first time, molecular imprinting
was applied to further enhance the activity of the peptide-based artificial
enzyme (CoA-HSD). p-NPA was used as the molecular template to arrange the
catalytic Ser/His/Asp triad residues in the proper orientation. As a result, the
activity of imprinted coassembled CoA-HSD nanofibers is 7.86 times greater than
that of nonimprinted CoA-HSD and 13.48 times that of SA-H.
PMID- 27191378
TI - The Cek1-mediated MAP kinase pathway regulates exposure of alpha-1,2 and beta-1,2
mannosides in the cell wall of Candida albicans modulating immune recognition.
AB - The Cek1 MAP kinase (MAPK) mediates vegetative growth and cell wall biogenesis in
the fungal pathogen Candida albicans. Alterations in the fungal cell wall caused
by a defective Cek1-mediated signaling pathway leads to increased beta-1,3-glucan
exposure influencing dectin-1 fungal recognition by immune cells. We show here
that cek1 cells also display an increased exposure of alpha-1,2 and beta-1,2
mannosides (alpha-M and beta-M), a phenotype shared by strains defective in the
activating MAPKK Hst7, suggesting a general defect in cell wall assembly. cek1
cells display walls with loosely bound material as revealed by transmission
electron microscopy and are sensitive to tunicamycin, an inhibitor of N
glycosylation. Transcriptomal analysis of tunicamycin treated cells revealed a
differential pattern between cek1 and wild type cells which involved mainly cell
wall and stress related genes. Mapping alpha-M and beta-M epitopes in the
mannoproteins of different cell wall fractions (CWMP) revealed an important shift
in the molecular weight of the mannan derived from mutants defective in this MAPK
pathway. We have also assessed the role of galectin-3, a member of a beta
galactoside-binding protein family shown to bind to and kill C. albicans through
beta-M recognition, in the infection caused by cek1 mutants. Increased binding of
cek1 to murine macrophages was shown to be partially blocked by lactose. Galectin
3(-/-) mice showed increased resistance to fungal infection, although galectin-3
did not account for the reduced virulence of cek1 mutants in a mouse model of
systemic infection. All these data support a role for the Cek1-mediated pathway
in fungal cell wall maintenance, virulence and antifungal discovery.
PMID- 27191383
TI - Fine-Needle Diathermy with Simultaneous Subconjunctival Bevacizumab.
AB - PURPOSE: To describe our experience of the use of subconjunctival bevacizumab in
conjunction with fine-needle diathermy for the treatment of corneal
vascularization. METHODS: Retrospective analysis of cases treated between 2010
and 2013. RESULTS: Those patients with corneal lipid deposition had good results
following treatment with 3/5 cases requiring more than one session of treatment.
A single patient with severe atopy and multiple previous failed penetrating
keratoplasty underwent five sessions of treatment but his third graft
subsequently also failed. CONCLUSION: Concurrent use of subconjunctival
bevacizumab with FND gives good results in patients with lipid deposition;
however, the management of cases with ongoing inflammatory processes is much more
challenging.
PMID- 27191382
TI - Deep biomarkers of human aging: Application of deep neural networks to biomarker
development.
AB - One of the major impediments in human aging research is the absence of a
comprehensive and actionable set of biomarkers that may be targeted and measured
to track the effectiveness of therapeutic interventions. In this study, we
designed a modular ensemble of 21 deep neural networks (DNNs) of varying depth,
structure and optimization to predict human chronological age using a basic blood
test. To train the DNNs, we used over 60,000 samples from common blood
biochemistry and cell count tests from routine health exams performed by a single
laboratory and linked to chronological age and sex. The best performing DNN in
the ensemble demonstrated 81.5 % epsilon-accuracy r = 0.90 with R(2) = 0.80 and
MAE = 6.07 years in predicting chronological age within a 10 year frame, while
the entire ensemble achieved 83.5% epsilon-accuracy r = 0.91 with R(2) = 0.82 and
MAE = 5.55 years. The ensemble also identified the 5 most important markers for
predicting human chronological age: albumin, glucose, alkaline phosphatase, urea
and erythrocytes. To allow for public testing and evaluate real-life performance
of the predictor, we developed an online system available at http://www.aging.ai.
The ensemble approach may facilitate integration of multi-modal data linked to
chronological age and sex that may lead to simple, minimally invasive, and
affordable methods of tracking integrated biomarkers of aging in humans and
performing cross-species feature importance analysis.
PMID- 27191384
TI - Traceless and Chemoselective Amine Bioconjugation via Phthalimidine Formation in
Native Protein Modification.
AB - ortho-Phthalaldehyde (OPA) and its derivatives are found to react
chemoselectively with amino groups on peptides and proteins rapidly and
tracelessly under the physiological condition via formation of phthalimidines,
which provides a novel and promising approach when performing bioconjugation on
native proteins. The notable advantages of this method over the existing native
protein lysine-labeling approaches include a traceless process, a self-reacting,
specific and fast reaction, ease of operation, and the ability to use
nonhydrolyzable reagents. Its applications have been effectively demonstrated
including conjugation of peptides and proteins, and generation of an active
PEGlyated l-asparaginase.
PMID- 27191385
TI - A Simplified Semiquantitative Meal Bolus Strategy Combined with Single- and Dual
Hormone Closed-Loop Delivery in Patients with Type 1 Diabetes: A Pilot Study.
AB - BACKGROUND: Single- and dual-hormone closed-loop systems can improve glycemic
control and have the potential to reduce carbohydrate-counting burden for
patients with type 1 diabetes; however, simplification of meal insulin
calculation should not compromise glycemic control. METHODS: We compared in a
randomized outpatient pilot trial: (1) a single-hormone closed-loop system
accompanied with carbohydrate-content matched boluses versus accompanied with a
simplified meal bolus strategy, and (2) a dual-hormone closed-loop system
accompanied with carbohydrate-content matched boluses versus accompanied with a
simplified meal bolus strategy. Carbohydrate-matched boluses were based on the
participant's carbohydrate meal content estimation whereas the simplified
strategy involved the selection, by participants, of a semi-quantitative meal
carbohydrate-content size: snack, regular, large, or very large meal. Each
participant also underwent sensor-augmented pump therapy. Basal insulin delivery
was more aggressive with the simplified bolus. The primary outcome was mean
sensor glucose level over a 15-h daytime period. RESULTS: Twelve participants
were recruited (48.2 +/- 16.0 years old; HbA1c 7.4% +/- 0.9%) to compare the two
bolus strategies during single- and dual-hormone closed-loop delivery. A similar
mean sensor glucose level (15 h) was achieved with the carbohydrate-matched
boluses and simplified strategy using single-hormone (median [interquartile]: 7.6
[7.2-8.1] vs. 8.0 [7.0-8.6] mmol/L; P = 0.90) and dual-hormone closed-loop
systems (7.6 [6.7-9.1] vs. 7.0 [6.4-8.2] mmol/L; P = 0.08). Exploratory analyses
showed that, as compared with sensor-augmented pump therapy, there was an
increased time spent in hypoglycemia with the simplified strategy but not with
the carbohydrate-matched boluses. CONCLUSIONS: Though the algorithm employed in
this pilot study may lead to an increased risk for hypoglycemia, this strategy
has the potential to reduce the carbohydrate-counting burden in patients with
type 1 diabetes while generally maintaining adequate glucose control. Longer
outpatient studies with an improved algorithm are needed.
PMID- 27191386
TI - Targeting the Motion of Shikimate Kinase: Development of Competitive Inhibitors
that Stabilize an Inactive Open Conformation of the Enzyme.
AB - The large conformational changes observed by Molecular Dynamics simulation
studies on the product release in the LID and shikimic acid binding (SB) domains
of the shikimate kinase (SK) enzyme have been exploited in the development of
reversible competitive inhibitors against SK from Mycobacterium tuberculosis and
Helicobacter pylori. This enzyme is a recognized target for antibiotic drug
discovery. The reported C5-substituted shikimic acid analogues interact with the
dynamic apolar pocket that surrounds the C4 and C5 hydroxyl groups of the natural
substrate, cause the opening of the LID and SB domains, and capture the essential
arginine far from the ATP binding site as required for catalysis. The 3
nitrobenzyl 3e and 5-benzothiophenyl derivatives 3i proved to be the most potent
inhibitors. An ester prodrug of 3i was the most efficient derivative in achieving
good in vitro activity against H. pylori, having a MIC value of 4 MUg/mL.
PMID- 27191388
TI - Correction: X-Box Binding Protein 1 (XBP1s) Is a Critical Determinant of
Pseudomonas aeruginosa Homoserine Lactone-Mediated Apoptosis.
AB - [This corrects the article DOI: 10.1371/journal.ppat.1003576.].
PMID- 27191387
TI - Neuroprosthetic Decoder Training as Imitation Learning.
AB - Neuroprosthetic brain-computer interfaces function via an algorithm which decodes
neural activity of the user into movements of an end effector, such as a cursor
or robotic arm. In practice, the decoder is often learned by updating its
parameters while the user performs a task. When the user's intention is not
directly observable, recent methods have demonstrated value in training the
decoder against a surrogate for the user's intended movement. Here we show that
training a decoder in this way is a novel variant of an imitation learning
problem, where an oracle or expert is employed for supervised training in lieu of
direct observations, which are not available. Specifically, we describe how a
generic imitation learning meta-algorithm, dataset aggregation (DAgger), can be
adapted to train a generic brain-computer interface. By deriving existing
learning algorithms for brain-computer interfaces in this framework, we provide a
novel analysis of regret (an important metric of learning efficacy) for brain
computer interfaces. This analysis allows us to characterize the space of
algorithmic variants and bounds on their regret rates. Existing approaches for
decoder learning have been performed in the cursor control setting, but the
available design principles for these decoders are such that it has been
impossible to scale them to naturalistic settings. Leveraging our findings, we
then offer an algorithm that combines imitation learning with optimal control,
which should allow for training of arbitrary effectors for which optimal control
can generate goal-oriented control. We demonstrate this novel and general BCI
algorithm with simulated neuroprosthetic control of a 26 degree-of-freedom model
of an arm, a sophisticated and realistic end effector.
PMID- 27191389
TI - Spiclypeus shipporum gen. et sp. nov., a Boldly Audacious New Chasmosaurine
Ceratopsid (Dinosauria: Ornithischia) from the Judith River Formation (Upper
Cretaceous: Campanian) of Montana, USA.
AB - This study reports on a new ceratopsid, Spiclypeus shipporum gen et sp. nov.,
from the lower Coal Ridge Member of the Judith River Formation in Montana, USA,
which dates to ~76 Ma (upper Campanian). The species is distinguished by rugose
dorsal contacts on the premaxillae for the nasals, laterally projecting
postorbital horncores, fully fused and anteriorly curled P1 and P2 epiparietals,
and a posterodorsally projecting P3 epiparietal. The holotype specimen is also
notable for its pathological left squamosal and humerus, which show varied signs
of osteomyelitis and osteoarthritis. Although the postorbital horncores of
Spiclypeus closely resemble those of the contemporaneous 'Ceratops', the
horncores of both genera are nevertheless indistinguishable from those of some
other horned dinosaurs, including Albertaceratops and Kosmoceratops; 'Ceratops'
is therefore maintained as a nomen dubium. Cladistic analysis recovers Spiclypeus
as the sister taxon to the clade Vagaceratops + Kosmoceratops, and appears
transitional in the morphology of its epiparietals. The discovery of Spiclypeus
adds to the poorly known dinosaur fauna of the Judith River Formation, and
suggests faunal turnover within the formation.
PMID- 27191390
TI - Investigating Oral Microbiome Profiles in Children with Cleft Lip and Palate for
Prognosis of Alveolar Bone Grafting.
AB - In this study, we sought to investigate the oral microbiota structure of children
with cleft lip and palate (CLP) and explore the pre-operative oral bacterial
composition related to the prognosis of alveolar bone grafting. In total, 28
patients (19 boys, 9 girls) with CLP who were scheduled to undergo alveolar bone
grafting for the first time were recruited. According to the clinical examination
of operative sites at the third month after the operation, the individuals were
divided into a non-inflammation group (n = 15) and an inflammation group (n =
13). In all, 56 unstimulated saliva samples were collected before and after the
operation. The v3-v4 hypervariable regions of the 16S rRNA gene were sequenced
using an Illumina MiSeq sequencing platform. Based on the beta diversity of the
operational taxonomic units (OTUs) in the inflammation and non-inflammation
samples, the microbial variation in the oral cavity differed significantly
between the two groups before and after the operation (P < 0.05). Analysis of the
relative abundances of pre-operative OTUs revealed 26 OTUs with a relative
abundance higher than 0.01%, reflecting a significant difference of the relative
abundance between groups (P < 0.05). According to a principal component analysis
of the pre-operative samples, the inflammation-related OTUs included Tannerella
sp., Porphyromonas sp., Gemella sp., Moraxella sp., Prevotella nigrescens, and
Prevotella intermedia, most of which were enriched in the inflammation group and
showed a significant positive correlation. A cross-validated random forest model
based on the 26 different OTUs before the operation was able to fit the post
operative status of grafted sites and yielded a good classification result. The
sensitivity and specificity of this classified model were 76.9% and 86.7%,
respectively. These findings show that the oral microbiota profile before
alveolar bone grafting may be related to the risk of post-operative inflammation
at grafted sites.
PMID- 27191391
TI - First Human Cases of Leishmania (Viannia) lainsoni Infection and a Search for the
Vector Sand Flies in Ecuador.
AB - An epidemiological study of leishmaniasis was performed in Amazonian areas of
Ecuador since little information on the prevalent Leishmania and sand fly species
responsible for the transmission is available. Of 33 clinical specimens from
patients with cutaneous leishmaniasis (CL), causative parasites were identified
in 25 samples based on cytochrome b gene analysis. As reported previously,
Leishmania (Viannia) guyanensis and L. (V.) braziliensis were among the causative
agents identified. In addition, L. (V.) lainsoni, for which infection is reported
in Brazil, Bolivia, Peru, Suriname, and French Guiana, was identified in patients
with CL from geographically separate areas in the Ecuadorian Amazon,
corroborating the notion that L. (V.) lainsoni is widely distributed in South
America. Sand flies were surveyed around the area where a patient with L. (V.)
lainsoni was suspected to have been infected. However, natural infection of sand
flies by L. (V.) lainsoni was not detected. Further extensive vector searches are
necessary to define the transmission cycle of L. (V.) lainsoni in Ecuador.
PMID- 27191392
TI - The Efficacy of a Nurse-Led Disease Management Program in Improving the Quality
of Life for Patients with Chronic Kidney Disease: A Meta-Analysis.
AB - BACKGROUND: The impacts of nurse-led disease management programs on the quality
of life for patients with chronic kidney disease have not been extensively
studied. Furthermore, results of the existing related studies are inconsistent.
The focus of the proposed meta-analysis is to evaluate the efficacy of nurse-led
disease management programs in improving the quality of life for patients with
chronic kidney disease. METHODS: Literature survey was performed to identify the
eligible studies from PubMed, Current Nursing and Allied Health Literature, and
Cochrane Central Register of Controlled Trials with predefined terms. The outcome
measured was quality of life. This meta-analysis was conducted in line with
recommendations from the preferred reporting items for systematic reviews and
meta-analyses. RESULTS: Eight studies comprising a total of 1520 patients were
included in this meta-analysis, with 766 patients assigned to the nurse-led
disease management program. Nurse-led disease management improved the quality of
life in terms of symptoms, sleep, staff encouragement, pain, general health
perception, energy/fatigue, overall health and mental component summary when
evaluated 6 weeks after the beginning of intervention. When evaluated 12 weeks
later, the quality of life in terms of symptoms, sleep, staff encouragement,
energy/fatigue, and physical component summary was improved. Stratified by the
modalities of dialysis, similar results of pooled analyses were observed for
patients with peritoneal dialysis or hemodialysis, compared with the overall
analyses. The results of sensitivity analyses were the same as the primary
analyses. The symmetric funnel plot suggested that the possibility of potential
publication bias was relatively low. CONCLUSION: Nurse-led disease management
program seems effective to improve some parameters of quality of life for
patients with chronic kidney disease. However, the seemingly promising results
should be cautiously interpreted and generalized and still need to be confirmed
through well-designed large-scale prospective randomized controlled trials.
PMID- 27191393
TI - Human soluble delta-like 1 homolog exerts antitumor effects in vitro and in vivo.
AB - Proteolysis of delta-like 1 homolog (DLK1), a cell-surface transmembrane protein,
produces an active soluble form of DLK1 (sDLK1). Both membrane-bound DLK1 and
sDLK1 modulate multiple developmental processes including adipogenesis,
osteogenesis, chondrogenesis and myogenesis. However, cancer-related functions of
DLK1 have not yet been established. We thus evaluated the roles of extracellular
sDLK1, comprising six EGF-like domains and juxtamembrane regions, in human
pancreatic cancer MIA PaCa-2 cells in vitro and in vivo. We observed that sDLK1
exerted antitumor effects not only in cancer cell migration and anchorage
independent cell growth but also in in vivo tumor growth.
PMID- 27191394
TI - Known-Groups and Concurrent Validity of the Mandarin Tone Identification Test
(MTIT).
AB - OBJECTIVE: The Mandarin Tone Identification Test (MTIT) is a new test designed to
assess the tone identification abilities of children with hearing impairment
(HI). Evidence for reliability and sensitivity has been reported. The present
study aimed to evaluate the known-groups and concurrent validity of the MTIT.
DESIGN: The MTIT and Mandarin Pediatric Speech Intelligibility test (MPSI) were
administered in quiet and in noise conditions. The known-groups validity was
evaluated by comparing the performance of the MTIT on children with two different
levels of HI. The MPSI was included to evaluate the concurrent validity of the
MTIT. STUDY SAMPLE: 81 children with HI were recruited in the present study. They
were Mandarin-speaking children with profound HI (mean age = 9; 0, n = 41) and
with moderate to severe HI (mean age = 8; 9, n = 40). RESULTS: Scores on the MTIT
differed between the two groups with different hearing levels suggesting good
known-groups validity. A strong relationship between tone and sentence perception
both in quiet and in noise provided preliminary evidence for concurrent validity.
CONCLUSIONS: The present study confirmed that the MTIT has good known-groups
validity and provided preliminary evidence for concurrent validity. The MTIT
could be used to evaluate tone identification ability in children with HI with
confidence.
PMID- 27191395
TI - Mechanical signatures of microbial biofilms in micropillar-embedded growth
chambers.
AB - Biofilms are surface-attached communities of microorganisms embedded in an
extracellular matrix and are essential for the cycling of organic matter in
natural and engineered environments. They are also the leading cause of many
infections, for example, those associated with chronic wounds and implanted
medical devices. The extracellular matrix is a key biofilm component that
determines its architecture and defines its physical properties. Herein, we used
growth chambers embedded with micropillars to study the net mechanical forces
(differential pressure) exerted during biofilm formation in situ. Pressure from
the biofilm is transferred to the micropillars via the extracellular matrix, and
reduction of major matrix components decreases the magnitude of micropillar
deflections. The spatial arrangement of micropillar deflections caused by
pressure differences in the different biofilm strains may potentially be used as
mechanical signatures for biofilm characterization. Hence, we submit that
micropillar-embedded growth chambers provide insights into the mechanical
properties and dynamics of the biofilm and its matrix.
PMID- 27191398
TI - Digital microfluidics with a magnetically actuated floating liquid marble.
AB - Controlled actuation of a floating liquid marble, a liquid droplet coated with
hydrophobic particles floating on another liquid surface, is a potential digital
microfluidics platform for the transport of aqueous solution with minimal volume
loss. This paper reports our recent investigation on the magnetic actuation of
floating liquid marbles filled with magnetic particles. The magnetic force and
frictional force acting on the floating liquid marble determine the horizontal
movement of the marble. We varied the magnetic flux density, flux density
gradient, concentration of magnetic particles and speed of the marble to
elucidate the relationship between the acting forces. We subsequently determined
the suitable operating conditions for the actuation and derived the scaling laws
for the actuation parameters.
PMID- 27191397
TI - Emissions and Char Quality of Flame-Curtain "Kon Tiki" Kilns for Farmer-Scale
Charcoal/Biochar Production.
AB - FLAME CURTAIN BIOCHAR KILNS: Pyrolysis of organic waste or woody materials yields
charcoal, a stable carbonaceous product that can be used for cooking or mixed
into soil, in the latter case often termed "biochar". Traditional kiln
technologies for charcoal production are slow and without treatment of the
pyrolysis gases, resulting in emissions of gases (mainly methane and carbon
monoxide) and aerosols that are both toxic and contribute to greenhouse gas
emissions. In retort kilns pyrolysis gases are led back to a combustion chamber.
This can reduce emissions substantially, but is costly and consumes a
considerable amount of valuable ignition material such as wood during start-up.
To overcome these problems, a novel type of technology, the Kon-Tiki flame
curtain pyrolysis, is proposed. This technology combines the simplicity of the
traditional kiln with the combustion of pyrolysis gases in the flame curtain
(similar to retort kilns), also avoiding use of external fuel for start-up.
BIOCHAR CHARACTERISTICS: A field study in Nepal using various feedstocks showed
char yields of 22 +/- 5% on a dry weight basis and 40 +/- 11% on a C basis.
Biochars with high C contents (76 +/- 9%; n = 57), average surface areas (11 to
215 m(2) g(-1)), low EPA16-PAHs (2.3 to 6.6 mg kg(-1)) and high CECs (43 to 217
cmolc/kg)(average for all feedstocks, mainly woody shrubs) were obtained, in
compliance with the European Biochar Certificate (EBC). GAS EMISSION FACTORS:
Mean emission factors for the flame curtain kilns were (g kg(-1) biochar for all
feedstocks); CO2 = 4300 +/- 1700, CO = 54 +/- 35, non-methane volatile organic
compounds (NMVOC) = 6 +/- 3, CH4 = 30 +/- 60, aerosols (PM10) = 11 +/- 15, total
products of incomplete combustion (PIC) = 100 +/- 83 and NOx = 0.4 +/- 0.3. The
flame curtain kilns emitted statistically significantly (p<0.05) lower amounts of
CO, PIC and NOx than retort and traditional kilns, and higher amounts of CO2.
IMPLICATIONS: With benefits such as high quality biochar, low emission, no need
for start-up fuel, fast pyrolysis time and, importantly, easy and cheap
construction and operation the flame curtain technology represent a promising
possibility for sustainable rural biochar production.
PMID- 27191399
TI - Shape-Encoded Chitosan-Polyacrylamide Hybrid Hydrogel Microparticles with
Controlled Macroporous Structures via Replica Molding for Programmable
Biomacromolecular Conjugation.
AB - Polymeric hydrogel microparticle-based suspension arrays with shape-based
encoding offer powerful alternatives to planar and bead-based arrays toward high
throughput biosensing and medical diagnostics. We report a simple and robust
micromolding technique for polyacrylamide- (PAAm-) based biopolymeric-synthetic
hybrid microparticles with controlled 2D shapes containing a potent
aminopolysaccharide chitosan as an efficient conjugation handle uniformly
incorporated in PAAm matrix. A postfabrication conjugation approach utilizing
amine-reactive chemistries on the chitosan shows stable incorporation and
retained chemical reactivity of chitosan, readily tunable macroporous structures
via simple addition of low content long-chain PEG porogens for improved
conjugation capacity and kinetics, and one-pot biomacromolecular assembly via
bioorthogonal click reactions with minimal nonspecific binding. We believe that
the integrated fabrication-conjugation approach reported here could offer
promising routes to programmable manufacture of hydrogel microparticle-based
biomacromolecular conjugation and biofunctionalization platforms for a large
range of applications.
PMID- 27191396
TI - Prevalence and Distribution of Segmentation Errors in Macular Ganglion Cell
Analysis of Healthy Eyes Using Cirrus HD-OCT.
AB - PURPOSE: To determine the frequency of different types of spectral domain optical
coherence tomography (SD-OCT) scan artifacts and errors in ganglion cell
algorithm (GCA) in healthy eyes. METHODS: Infrared image, color-coded map and
each of the 128 horizontal b-scans acquired in the macular ganglion cell-inner
plexiform layer scans using the Cirrus HD-OCT (Carl Zeiss Meditec, Dublin, CA)
macular cube 512 * 128 protocol in 30 healthy normal eyes were evaluated. The
frequency and pattern of each artifact was determined. Deviation of the
segmentation line was classified into mild (less than 10 microns), moderate (10
50 microns) and severe (more than 50 microns). Each deviation, if present, was
noted as upward or downward deviation. Each artifact was further described as per
location on the scan and zones in the total scan area. RESULTS: A total of 1029
(26.8%) out of total 3840 scans had scan errors. The most common scan error was
segmentation error (100%), followed by degraded images (6.70%), blink artifacts
(0.09%) and out of register artifacts (3.3%). Misidentification of the inner
retinal layers was most frequent (62%). Upward Deviation of the segmentation line
(47.91%) and severe deviation (40.3%) were more often noted. Artifacts were
mostly located in the central scan area (16.8%). The average number of scans with
artifacts per eye was 34.3% and was not related to signal strength on Spearman
correlation (p = 0.36). CONCLUSIONS: This study reveals that image artifacts and
scan errors in SD-OCT GCA analysis are common and frequently involve segmentation
errors. These errors may affect inner retinal thickness measurements in a
clinically significant manner. Careful review of scans for artifacts is important
when using this feature of SD-OCT device.
PMID- 27191400
TI - Vibrotactile Presentation of Musical Notes to the Glabrous Skin for Adults with
Normal Hearing or a Hearing Impairment: Thresholds, Dynamic Range and High
Frequency Perception.
AB - Presentation of music as vibration to the skin has the potential to facilitate
interaction between musicians with hearing impairments and other musicians during
group performance. Vibrotactile thresholds have been determined to assess the
potential for vibrotactile presentation of music to the glabrous skin of the
fingertip, forefoot and heel. No significant differences were found between the
thresholds for sinusoids representing notes between C1 and C6 when presented to
the fingertip of participants with normal hearing and with a severe or profound
hearing loss. For participants with normal hearing, thresholds for notes between
C1 and C6 showed the characteristic U-shape curve for the fingertip, but not for
the forefoot and heel. Compared to the fingertip, the forefoot had lower
thresholds between C1 and C3, and the heel had lower thresholds between C1 and
G2; this is attributed to spatial summation from the Pacinian receptors over the
larger contactor area used for the forefoot and heel. Participants with normal
hearing assessed the perception of high-frequency vibration using 1s sinusoids
presented to the fingertip and were found to be more aware of transient vibration
at the beginning and/or end of notes between G4 and C6 when stimuli were
presented 10dB above threshold, rather than at threshold. An average of 94% of
these participants reported feeling continuous vibration between G4 and G5 with
stimuli presented 10dB above threshold. Based on the experimental findings and
consideration of health effects relating to vibration exposure, a suitable range
of notes for vibrotactile presentation of music is identified as being from C1 to
G5. This is more limited than for human hearing but the fundamental frequencies
of the human voice, and the notes played by many instruments, lie within it.
However, the dynamic range might require compression to avoid the negative
effects of amplitude on pitch perception.
PMID- 27191402
TI - Trait-Based Community Assembly along an Elevational Gradient in Subalpine
Forests: Quantifying the Roles of Environmental Factors in Inter- and
Intraspecific Variability.
AB - Understanding how communities respond to environmental variation is a central
goal in ecology. Plant communities respond to environmental gradients via
intraspecific and/or interspecific variation in plant functional traits. However,
the relative contribution of these two responses to environmental factors remains
poorly tested. We measured six functional traits (height, leaf thickness,
specific leaf area (SLA), leaf carbon concentration (LCC), leaf nitrogen
concentration (LNC) and leaf phosphorus concentration (LPC)) for 55 tree species
occurring at five elevations across a 1200 m elevational gradient of subalpine
forests in Yulong Mountain, Southwest China. We examined the relative
contribution of interspecific and intraspecific traits variability based on
community weighted mean trait values and functional diversity, and tested how
different components of trait variation respond to different environmental axes
(climate and soil variables). Species turnover explained the largest amount of
variation in leaf morphological traits (leaf thickness and SLA) across the
elevational gradient. However, intraspecific variability explained a large amount
of variation (49.3%-76.3%) in three other traits (height, LNC and LPC) despite
high levels of species turnover. The detection of limiting similarity in
community assembly was improved when accounting for both intraspecific and
interspecific variability. Different components of trait variation respond to
different environmental axes, especially soil water content and climatic
variables. Our results indicate that intraspecific variation is critical for
understanding community assembly and evaluating community response to
environmental change.
PMID- 27191401
TI - The Regulation of para-Nitrophenol Degradation in Pseudomonas putida DLL-E4.
AB - Pseudomonas putida DLL-E4 can efficiently degrade para-nitrophenol and its
intermediate metabolite hydroquinone. The regulation of para-nitrophenol
degradation was studied, and PNP induced a global change in the transcriptome of
P. putida DLL-E4. When grown on PNP, the wild-type strain exhibited significant
downregulation of 2912 genes and upregulation of 845 genes, whereas 2927 genes
were downregulated and 891 genes upregulated in a pnpR-deleted strain. Genes
related to two non-coding RNAs (ins1 and ins2), para-nitrophenol metabolism, the
tricarboxylic acid cycle, the outer membrane porin OprB, glucose dehydrogenase
Gcd, and carbon catabolite repression were significantly upregulated when cells
were grown on para-nitrophenol plus glucose. pnpA, pnpR, pnpC1C2DECX1X2, and
pnpR1 are key genes in para-nitrophenol degradation, whereas pnpAb and
pnpC1bC2bDbEbCbX1bX2b have lost the ability to degrade para-nitrophenol. Multiple
components including transcriptional regulators and other unknown factors
regulate para-nitrophenol degradation, and the transcriptional regulation of para
nitrophenol degradation is complex. Glucose utilization was enhanced at early
stages of para-nitrophenol supplementation. However, it was inhibited after the
total consumption of para-nitrophenol. The addition of glucose led to a
significant enhancement in para-nitrophenol degradation and up-regulation in the
expression of genes involved in para-nitrophenol degradation and carbon
catabolite repression (CCR). It seemed that para-nitrophenol degradation can be
regulated by CCR, and relief of CCR might contribute to enhanced para-nitrophenol
degradation. In brief, the regulation of para-nitrophenol degradation seems to be
controlled by multiple factors and requires further study.
PMID- 27191404
TI - Calcified Cyst in the Spleen.
PMID- 27191403
TI - Mothers Make a Difference: Mothers Develop Weaker Bonds with Immature Sons than
Daughters.
AB - Among mammals, individuals form strong social bonds preferentially with their
kin. Differences in these relationships are linked to differential kin
availability due to sex-specific dispersal patterns, but there is some indication
that differential bonding among sexes already occurs prior to maturation.
However, little is known about how these patterns arise during individual
development. Here we investigated sex differences in the development of mother
offspring bonds in rhesus macaques (Macaca mulatta). Our results revealed that
mothers showed sex-biased bonding toward their offspring. Sons had a distinctly
higher probability of receiving aggression from their mothers than did daughters
in the first year of life, while no differences were found with respect to
affiliative interactions. After the first year, probabilities of all affiliative
and aggressive behaviours investigated were higher for daughters than for sons,
although generally declining. Furthermore, sons spending less time with their
mother and receiving more maternal aggression tended to disperse earlier. The
results of our study suggest that mothers influence their bonding strength with
offspring by interacting less affiliative with sons than daughters.
PMID- 27191408
TI - New framework should be given a fair chance.
AB - A commitment to ensuring the right staff are in the right place at the right time
appears at number nine on the list of ten commitments that underpin the new
framework for nursing in England. Others include a pledge to improve education,
training and professional development, and a drive to ramp up nursing research
with a view to developing a stronger evidence-base for nursing care.
PMID- 27191407
TI - Running induces nausea in rats: Kaolin intake generated by voluntary and forced
wheel running.
AB - Three experiments were conducted showing rats' pica behavior (kaolin clay intake)
due to running in activity wheels. The amount of kaolin consumed was a positive
function of the available time of voluntary running (20, 40, or 60 min), although
this relationship was blunted by a descending (i.e., 60 -> 40 -> 20 min) test
series of execution (Experiment 1). Pica was also generated by forced running in
a motorized wheel for 60 min as a positive function of the speed of wheel
rotations at 98, 185, or 365 m/h, independent of the order of execution
(Experiment 2). Voluntary running generated more pica than did forced running at
80 m/h, although the distance travelled in the former condition was 27% lesser
than that in the latter condition (Experiment 3). Because kaolin intake is
regarded as a reliable measure of nausea in rats, these results show that wheel
running, either voluntary or forced, induces nausea in rats.
PMID- 27191406
TI - Associations between maternal sense of coherence and controlling feeding
practices: The importance of resilience and support in families of preschoolers.
AB - Sense of Coherence (SOC) measures an individual's positive, or salutogenic,
orientation toward her/his capacities, environment, future, and life. SOC
comprises three factors: comprehensibility (the sense of one's own life as
ordered and understandable); manageability (the perception of available resources
and skills to manage stressors); and meaningfulness (the overall sense that life
is filled with meaning and purpose). In numerous studies, SOC has been associated
with resilience to stress. However, associations between parental SOC and
controlling feeding practices have yet to be studied. This study examines the
validity of the SOC 13-item, 3-factor questionnaire, associations between SOC and
maternal and child characteristics, and associations between SOC and use of
pressuring or restrictive feeding, among mothers of 4-year-olds. 565 mothers
(23.5% of foreign origin, 30.3% with overweight/obesity) recruited via the
Swedish population registry (response rate: 65%), completed the SOC-13, the Child
Feeding Questionnaire (CFQ), and a background questionnaire. The validity of SOC
13 was examined using confirmatory factor analysis; associations with background
characteristics and feeding practices were tested with structural equation
modeling. SOC-13 validity testing showed acceptable fit (TLI = 0.93, CFI = 0.94,
RMSEA = 0.06, SRMR = 0.04) after allowing one pair of error terms to correlate.
The Cronbach's alpha for meaningfulness was 0.73, comprehensibility 0.76, and
manageability 0.75. SOC increased with mothers' Swedish background and education,
and decreased with higher BMI. Child gender, age, and BMI, were not associated
with SOC. Lower SOC was associated with controlling practices and with concern
about child weight and eating. The associations between SOC and feeding suggest
that SOC-related parameters could inform childhood obesity research, and that
prevention should address the socioeconomic barriers that parents face in
building resilience to stress.
PMID- 27191410
TI - Dementia champion is NI nurse of the year.
AB - A nurse who transformed dementia care at her trust has been named RCN Northern
Ireland nurse of the year.
PMID- 27191409
TI - NHS England framework unveiled, with focus on new ways of working.
AB - The long-awaited successor to the Compassion in Practice strategy for nurses,
midwives and care staff will be launched this week.
PMID- 27191411
TI - Patients say they notice when staff are under stress.
AB - More than one in five patients said they had been cared for by a visibly stressed
nurse in the past year, according to a survey.
PMID- 27191412
TI - The case for a new nursing associate role fails to convince students.
AB - Nurse academics went head-to-head in a debate last week on the proposed role of
nursing associate.
PMID- 27191413
TI - Get a grip on nurse supply, MPs tell health bodies.
AB - Organisations in charge of NHS staffing have been told to 'get a better grip' on
the supply of nurses while they attempt to create a seven-day service.
PMID- 27191414
TI - Changing demands of health care require extended nursing roles.
AB - Developing the skills of nurses, healthcare assistants and other non-medical
staff is the only way the NHS can meet the changing demands of patient care.
PMID- 27191415
TI - The spirit of Crimea lives on in trust's sculpture.
AB - RCN president Cecilia Anim cut the ribbon on a sculpture commemorating nursing as
part of International Nurses Day celebrations in London.
PMID- 27191417
TI - Local cancer plan aims to save lives.
AB - A plan to save thousands of lives through earlier cancer diagnosis, treatment and
care, has been unveiled by NHS England.
PMID- 27191418
TI - Bowel surgery recovery scheme proves a success.
AB - A targeted recovery programme for patients after having bowel surgery has reduced
readmission rates and time spent in hospital.
PMID- 27191420
TI - Poor discharge planning causes huge rise in adverse incidents.
AB - A sharp rise in discharge-related incidents has prompted the health service
ombudsman to issue a warning over patients being unfit to leave hospital.
PMID- 27191419
TI - BBC calls in nurse specialist to advise on breast cancer storyline.
AB - A clinical nurse specialist in breast care was brought in to advise the BBC on
the portrayal of EastEnders' Peggy Mitchell's battle with breast cancer.
PMID- 27191421
TI - Nursing's first revalidators give the process an early vote of confidence.
AB - Ever since a 2011 Commons health committee report described the nursing
regulator's system for ensuring fitness to practise as 'wholly inadequate', the
Nursing and Midwifery Council has been gearing up for revalidation.
PMID- 27191423
TI - Parents believe better primary care avoids the need for trips to emergency
departments.
AB - Improving access to primary care will reduce paediatric visits to emergency
departments; that is the widely-held view of parents studied in Iowa in the
United States.
PMID- 27191422
TI - Scientists discover the factor which puts type 2 diabetes into remission
following surgery.
AB - A gut hormone has been found to restore normal blood glucose levels in people
with type 2 diabetes after bariatric surgery.
PMID- 27191424
TI - Greater chance of hip replacement failure in last decade, study says.
AB - Hip replacements carried out in the last decade have a greater risk of failure, a
study found.
PMID- 27191425
TI - Protein research offers hope of potential Alzheimer's disease treatment.
AB - A protein called IL-33 could hold the key to reversing memory decline in people
with Alzheimer's disease.
PMID- 27191426
TI - Long-term use of aspirin linked to reduced risk of developing bile duct cancer.
AB - The humble aspirin - used regularly and long-term - has the potential to decrease
the risk of developing bile duct cancer, new research has found.
PMID- 27191427
TI - Controlled drugs.
AB - Essential facts Controlled drugs are defined and governed by the Misuse of Drugs
Act 1971 and associated regulations. Examples of controlled drugs include
morphine, pethidine and methadone. Since 2012, appropriately qualified nurses and
midwives can prescribe controlled drugs for medical conditions within their
competence. There are some exceptions when treating addiction.
PMID- 27191428
TI - How art helps patient care and recovery.
PMID- 27191429
TI - Inspirational nurses making a difference.
AB - Nurses today perform an astonishing range of roles with energy, imagination and
dedication. The three individuals featured here each show a different aspect of
nursing: Kirstie Wallace's high-octane job involves managing aircraft and
surgeons, Amy Louise Parkes is enabling people with dementia to have more
independence, and Anna Richardson has created a ward culture that is open to
challenge from patients.
PMID- 27191431
TI - Voices - Finally, proof revalidation is not the nightmare many feared, says Janet
Finch.
AB - I come from an academic background, so am acutely aware of the importance of
measuring outcomes in quantifiable terms. I was pleased with our latest
revalidation figures because they clearly show that the introduction of the
process has been a major success.
PMID- 27191430
TI - 'Welsh uprising' gave nurses a true voice.
PMID- 27191432
TI - Cultural shift.
AB - Many are hailing it as the most significant development since the 1960s. NHS
England's General Practice Forward View is a vindication of our call for more
investment in primary care nursing.
PMID- 27191433
TI - A load of bull.
AB - 'How is your vision?' I asked my friend.
PMID- 27191435
TI - Readers panel - Justifiable levels of protest.
AB - Our experts consider a hot topic of the day.
PMID- 27191434
TI - Tell us a story.
AB - Want to hear some good news? Of course not. Only bad news sells papers, attracts
viewers, gets clicks. Good news is for freesheets and council newsletters. Young
people raise cash for puppies? Pass the sick bag.
PMID- 27191436
TI - Workplace wisdom.
AB - How can I support nurses with work-related mental health issues to better cope
with their jobs?
PMID- 27191437
TI - Starting out - What do you do when a trip to the loo is anything but bog
standard?
AB - During my nurse training, I was in a lecture, listening to a woman describing the
problems she and her son experienced using the loo in outpatients. Her son had
profound multiple learning disabilities, and what should have been a simple
matter of gaining access to a toilet became a physical, and emotional, struggle.
PMID- 27191441
TI - The best of the week's health-related TV and radio.
AB - Our roundup of what's on.
PMID- 27191443
TI - Hunt fiddles with his phone, while nurse education bursaries burn.
AB - Earlier this month, I sat in the gallery of the House of Commons watching the
parliamentary debate over proposed government changes to nurse education funding.
This was a phenomenal moment for the #bursaryorbust campaign, highlighting how we
are being heard.
PMID- 27191444
TI - Call for support to ensure campaign is a roaring success.
AB - The #bursaryorbust debate in parliament was a clear example of why we must
continue our fight for future students. It is not just the NHS bursary, but
Agenda for Change and safe staffing that the government wants to target, and we
must draw a line in the sand on this.
PMID- 27191445
TI - Awards event is the tip of the outstanding care iceberg.
AB - On Friday May 6, the RCNi Nurse Awards 2016 took place at the Westminster Park
Plaza Hotel in London. It was a wonderful occasion, and the many examples of
excellent work by nurses - individually and in teams - impressed colleagues,
families and friends. It was lovely to see nurses praised for their work, and
hear how thrilled the finalists were to be shortlisted.
PMID- 27191446
TI - Reality of pay rise gives little scope for celebration.
AB - Following our recent 1% pay rise, I opened my payslip with excitement, only to be
sorely disappointed.
PMID- 27191448
TI - Changes to the nursing associate role are no panacea.
AB - I find it surprising the number of nurses applauding the proposed changes to the
nursing associate role. As well as being hailed as a return to the 'good old
days', it is being seen as a stepping stone for healthcare assistants to make the
leap to registered nurse, or a way in for the less academically inclined.
PMID- 27191449
TI - Government needs to address needs of dying at home patients.
AB - Last week was Dying Matters Awareness Week, a campaign to encourage people to
talk about their end of life wishes.
PMID- 27191450
TI - How to insert a nasogastric tube and check gastric position at the bedside.
AB - Rationale and key points A nasogastric tube (NG tube) can be used to aspirate
stomach contents or to administer feed, medication or fluid into the stomach. ? A
blind technique is used to insert the NG tube through the nostril, along the
nasopharynx, through the oesophagus and into the stomach. ? It is important for
nurses to be able to recognise problems that may arise when inserting a NG tube
blindly, and to know what actions to take if it is suspected that the distal tip
of the NG tube is not sitting in the stomach, or they are unable to identify its
location. ? Misplacement and subsequent use of a NG tube to administer feed,
medication or fluid is a 'never event' ( NHS England Patient Safety Domain 2015
). Reflective activity Clinical skills articles can help update your practice and
ensure it remains evidence based. Apply this article to your practice. Reflect on
and write a short account of: 1. How you think this article will change your
practice. 2. How you could use this resource to educate your colleagues.
Subscribers can update their reflective accounts at rcni.com/portfolio.
PMID- 27191451
TI - Assessment and accountability: part 1 - assessment.
AB - Assessment in clinical practice is a complex role undertaken by mentors and
practice teachers. Therefore, this and the following two articles in this series
will explore student assessment in clinical practice and the theoretical concepts
that relate to assessment of learning and development. This article examines the
importance of assessment and the role of mentors and practice teachers in this
activity. Definitions and the purpose of assessment are analysed, in addition to
various assessment methods used in clinical practice that relate to the third
domain and outcomes of the Nursing and Midwifery Council's Standards to Support
Learning and Assessment in Practice on assessment and accountability.
PMID- 27191452
TI - Managing resources and reducing waste in healthcare settings.
AB - The NHS is under pressure to increase its effectiveness and productivity. Nurses
are tasked with delivering effective and efficient care, as well as improving
patient safety, experiences and results. The reduction of waste in service
delivery, care and treatment can release time and resources for nurses to engage
in direct patient care. Nurses have an important role in reducing waste and
influencing other professionals in the healthcare environment to increase their
efficiency and productivity.
PMID- 27191453
TI - Healthy sleep.
AB - As a nurse who works permanently on night shifts, I read with interest the CPD
article on promoting healthy sleep.
PMID- 27191454
TI - Tips for smart leaders.
AB - Are you working for a transformational leader? The sort of person the NHS
desperately needs who will enthuse and inspire all around them with 100 ideas a
minute and boundless energy? Yes? Exhausting isn't it?
PMID- 27191456
TI - 'I left the NHS to start a business'.
AB - It was December 2011. As I walked through the snow towards the hospital I'd
worked at since I was 19, a feeling of despair came over me. I heard myself say
out loud: 'I will not be doing this, this time next year.' It took me by surprise
- it was not a question, it was a fact.
PMID- 27191457
TI - Student life - How to practise effectively.
AB - Referring to the Nursing and Midwifery Council Code's second theme - practise
effectively; think what effective nursing care means to you and how this has an
impact on the essence of quality care.
PMID- 27191458
TI - Phenology, reproductive biology and growing degree days of the grapevine 'Isabel'
(Vitis labrusca, Vitaceae) cultivated in northeastern Brazil.
AB - Phenology and reproductive biology of cultivated species are important for the
comprehension of the requirements for fruit and seed production and the
management of pollinators. This study aimed to characterise the phenology,
reproductive biology and growing degree days of the grapevine 'Isabel' (Vitis
labrusca) in northeastern Brazil during January 2011 (P1), Augst 2011 (P2), April
2012 (P3) and August 2012 (P4). We recorded the duration (days) of the
phenological stages, pruning (P), woolly bud (W), budburst (B), inflorescence
development (ID), flowering (F), ripening (R) and harvest (H). We analysed the
floral biology, the sexual system and the breeding system. We measured the
growing degree days (GDD) required to reach the subperiods P-B, B-F and F-H. The
periods P1, P2, P3 and P4 lasted for 116, 125, 117 and 130 days, respectively.
The number of days of harvest were similar in the same dry (P1 and P3) and rainy
(P2 and P4) periods. All the periods that we recorded were shorter than those
observed in other regions of Brazil, which may be attributable to the mean
temperature and carbohydrate metabolism. The flowers are green, hermaphroditic,
with an odour of mignonette, low pollen viability and autogamous. The base
temperature of 10 degrees C was considered the most adequate for the subperiods
as has been documented for other grape varieties in Brazil. Thus, temperature was
also the most adequate for the cycles, presenting a smaller standard deviation
(0.119, 0.147, 0.156 and 0.153 to P1, P2, P3 and P4, respectively) when compared
to a base temperature of 12 degrees C (0.122, 0.158, 0.165 and 0.160 to P1, P2,
P3 and P4, respectively). The higher and the lower observed GDD were 1972.17 and
1870.05, respectively, both above the values recorded in other parts of Brazil
for same variety. The phonological results, including knowledge of growing degree
days, are important to the planning of cultures at the study site and in other
regions that have similar climatic conditions and make it possible to pre
determine the harvest.
PMID- 27191459
TI - Floristic and structural status of forests in permanent preservation areas of
Moju river basin, Amazon region.
AB - The goal of this study is to analyze the floristic patterns and the structure of
disturbed and undisturbed upland forests, in Permanent Preservation Areas (PPAs)
along the Moju river, in the Brazilian state of Para. Trees with a diameter equal
to or larger than 10cm at 1.30m from the ground (DBH) >=10cm were analyzed for
the upper stratum. For the middle stratum, individuals with DBH between 4.99 and
9.99cm were sampled. Forty-five families and 221 species were found in disturbed
forests, and 43 families and 208 species in undisturbed forests. Floristic
similarity was high between strata and between forest types, with values above
50%. Similarity was highest between middle strata. The most species-abundant
families in undisturbed forests were Fabaceae, Sapotaceae, Chrysobalanaceae and
Myrtaceae; the species with the highest density there were Eschweilera
grandiflora, Licania sclerophylla and Zygia cauliflora. In disturbed forests, the
dominant families were Fabaceae, Sapotaceae, Lecythidaceae and Melastomataceae.
The Shannon-Wiener diversity index was 3.21 for undisturbed forests and 2.85 for
disturbed forests. Non-metric multidimensional scaling (MDS) analysis did not
group the forests by their floristic composition in both upper and middle strata.
Overall, the PPA forests along the Moju river, even if disturbed, did not show
major floristic changes but substantially change their structural
characteristics.
PMID- 27191460
TI - First occurrence of Anodontites tenebricosus (Bivalvia: Mycetopodidae) in the
Tocantins-Araguaia basin, Brazil.
PMID- 27191461
TI - Assessment of benthic macroinvertebrates at Nile tilapia production using
artificial substrate samplers.
AB - Biomonitoring is a cheap and effective tool for evaluation of water quality, and
infer on the balance of aquatic ecosystems. The benthic macroinvertebrates are
bioindicators sensitive to environmental changes, and can assist in detecting and
preventing impacts such as organic enrichment and imbalance in the food chain. We
compared the structure of benthic communities on artificial substrate samplers
located in places near and far from net cages for production of Nile tilapia
(Oreochromis niloticus). Samplers were manufactured with nylon net, using
substrates such as crushed stone, gravel, loofah and cattail leaves. Samples were
collected after 30 days of colonization, rinsed and then the specimens were
identified and quantified. The following metrics were calculated: richness of
Operational Taxonomic Units, Margalef richness, abundance of individuals, Shannon
index and evenness index. The macrobenthic community structure was strongly
modified according to the proximity of the net cages. Metrics showed significant
differences (p < 0.05) between near and distant sites, for both periods (dry and
rainy seasons). The position of the samplers significantly affected the structure
of macroinvertebrate community, as near sites showed higher values for the
community metrics, such as richness and diversity. Near sites presented a larger
number of individuals, observed both in the dry and rainy seasons, with a
predominance of Chironomidae (Diptera) in the dry season and Tubificidae
(Oligochaeta) in the rainy season.
PMID- 27191463
TI - Frugivory and seed dispersal of Solanum granuloso-leprosum Dunal (Solanaceae) by
birds in deciduous seasonal forest.
AB - The goal of this study was to identify which bird species consume Solanum
granuloso-leprosum fruits and disperse its seeds. 60 hours of focal observations
were carried out between April and May 2006 on the edge of a deciduous forest
fragment in the Uruguay River region, Rio Grande do Sul state, Brazil. Ten
species were observed in total removing 443 fruits. Saltator similis removed
61.8% of the fruits, followed by Tangara sayaca (17.1%), Pipraeidea bonariensis
(11.7%), and T. preciosa (6.8%), while the remaining six species accounted for
only 2.5% of the fruits removed. Most fruit removal occurred early in the day or
mid-afternoon. The most common feeding behaviors were picking (60.7%), followed
by stalling (23%) and hovering (16%). Birds flew more than 10 m from the fruit
plant in 62% of the removal events. All bird species observed here may be
considered potential dispersers of S. granuloso-leprosum, as they moved the seeds
away from the mother plant where strong competition and predation are likely to
occur. Results also suggest that S. granuloso-leprosum may be useful in
ecological restoration programs.
PMID- 27191464
TI - Comparative feeding ecology of four syntopic Hypostomus species in a Brazilian
southeastern river.
AB - Though their broad distribution in most Brazilian rivers, scarce studies
concerning ecological interactions on Hypostomus species are available. This
study observes the diet, the trophic interactions and some morphological aspects
of four syntopic species of Hypostomus. These fishes were studied at the superior
part of the Corumbatai river, at Sao Paulo state, southeastern Brazil. Analyses
focused feeding patterns, their amplitude and whether there happens some food
items overlap among the species. Fish were caught using cast nets at some points
of the river. Species were chosen according to their local abundance and, so
there were four main species: H. albopunctatus, H. ancistroides, H. regani and H.
strigaticeps. Nine food items were found: sediments, fungi, diatoms, green algae,
Tecamoeba, vegetal debris and invertebrates. There were not significant
differences for the feeding pattern among the four Hypostomus species. The
feeding niche amplitude has been larger for H. albopunctatus influenced by a
larger amount of vegetal debris and invertebrates. Elevated niche overlap was
found to happen among the species and also for their trophic morphology. Results
may suggest that there is a similar pattern in food taken between four species of
Hypostomus analyzed since all consume similar environmental resources and have
similar anatomical features. However, a different intake insect larvae and plant
material in H. albopunctatus diet indicate differences in local and how this
species may be exploring their food compared to the others.
PMID- 27191462
TI - Protozoa ciliates community structure in urban streams and their environmental
use as indicators.
AB - The objective of this work was to investigate the patterns of diversity and
abundance of ciliates protozoa community in three tropical urban streams and
verify which species can be considered bioindicators of water quality. In each
stream, three regions (headwater, middle and mouth) were sampled in two years, in
the rainy and dry seasons. The abiotic factors (dissolved oxygen, pH,
temperature, turbidity, width, depth, flow and conductivity) and biotic factors
(total coliforms, bacterioplankton, chlorophyll and flagellates) were analyzed
using appropriate methods and ciliates were identified and counted through
specialized literature. We identified 84 species distributed into 24 orders.
Peniculida was the most representative order followed by Prorodontida. The RDA
scores showed a spatial difference between streams. On the other hand, regarding
the temporal variation, there was no separation of the sampled data. The Indval
analysis showed ten species indicators, such as Coleps hirtus, Euplotes moebiusi
and Tintinidium pusillum. The Cluster analysis per stream versus period showed a
greater distinction of the streams in the dry season. On the other hand, a low
similarity was observed between sections within the same stream. In summary, the
results indicated that the ciliates can be used as important tools as
bioindicators in lotic environments affected by different degrees of pollution,
due to the fact that they have short life cycle, allowing the detection of
impacts on a small time scale, as well as by having direct answers to
environmental changes and high sensitivity to contaminants.
PMID- 27191465
TI - First record of Dendrocerus carpenteri (Hymenoptera: Megaspilidae) on Aphidius
ervi in alfalfa fields in Brazil.
PMID- 27191466
TI - Heterogeneity of elemental composition and natural abundance of stables isotopes
of C and N in soils and leaves of mangroves at their southernmost West Atlantic
range.
AB - Mangrove communities were selected in the state of Santa Catarina, Brazil, near
their southernmost limit of distribution, to study mineral nutrient relation in
soils and plants. Communities included three true mangrove species, Rhizophora
mangle, Laguncularia racemosa and Avicennia germinans, and two associated
species, the fern Acrostichum danaeifolium, and the grass Spartina densiflora.
The sites included communities in the lower Rio Tavares near Florianopolis city,
Sonho beach near Palhoca city, and the Santo Antonio lagoon. These sites included
a full range of mangroves under humid climate where winter temperatures, instead
of salinity, may be the main factor regulating their productive capacity and
species composition. Soil salinity was determined by the concentration of soluble
Na, and soil C and N were linearly correlated indicating their association in
organic matter. Tavares site showed higher specific conductivity, and
concentrations of Na and Mg in the soil layer below 40 cm depth, indicating
larger influence of marine water. Isotopic signature of C increased with soil
depth suggesting that microorganisms decomposing organic matter are releasing 13C
depleted CO2. Nitrogen isotopic signature decreased with soil depth, indicating
enrichment in 15N possibly as a result of denitrification in the upper soil
layers. Mineral elements in leaf tissues showed A. schaueriana with higher
concentrations of N, P, Na, K, Cu, Zn, and Na/Ca ratio. Spartina densiflora was
characterized by the lowest N and K concentrations, and the highest
concentrations of Al and Fe. Rhizophora mangle and L. racemosa had the highest Ca
concentrations. Carbon isotopic signatures identified S. densiflora as a C4
plant, and A. schaueriana as the mangrove species occupying comparatively more
water stressed microsites than the rest. Leaf nitrogen isotopic signatures were
positive, in correspondence with the soil values. The results support the
hypothesis that sites sampled were comparatively fertile, because sediment
transport through fresh water run-off is predominant in humid coasts, and
therefore plants were not limited by nutrient supply, nor particularly stressed
by soil salinity.
PMID- 27191467
TI - Effects of a small natural barrier on the spatial distribution of the fish
assemblage in the Verde River, Upper Parana River Basin,Brazil.
AB - Geographical barriers influence species distribution and play an important role
in the segregation of fish assemblages. The present study aims to test the
influence of a small natural barrier on the spatial distribution of fish species
in the Verde River, Upper Parana River Basin, Brazil, considering two biotopes:
upstream and downstream of the Branca Waterfall. We observed the highest species
richness downstream of the Branca Waterfall, which also had the highest number of
exclusive species. Richness, evenness, and abundance varied significantly among
biotopes. The composition and structure of the fish assemblage differed between
biotopes, which were characterized by different indicator species, mainly
downstream of the Branca Waterfall. Physical and chemical variables and
geographical distance between sites were not responsible for the differences
observed. Hence, the present study shows that small barriers can also be crucial
in structuring fish fauna and play a key role in the segregation of fish
assemblages.
PMID- 27191468
TI - Regression models for estimating leaf area of seedlings and adult individuals of
Neotropical rainforest tree species.
AB - Individual leaf area (LA) is a key variable in studies of tree ecophysiology
because it directly influences light interception, photosynthesis and
evapotranspiration of adult trees and seedlings. We analyzed the leaf dimensions
(length - L and width - W) of seedlings and adults of seven Neotropical
rainforest tree species (Brosimum rubescens, Manilkara maxima, Pouteria caimito,
Pouteria torta, Psidium cattleyanum, Symphonia globulifera and Tabebuia
stenocalyx) with the objective to test the feasibility of single regression
models to estimate LA of both adults and seedlings. In southern Bahia, Brazil, a
first set of data was collected between March and October 2012. From the seven
species analyzed, only two (P. cattleyanum and T. stenocalyx) had very similar
relationships between LW and LA in both ontogenetic stages. For these two
species, a second set of data was collected in August 2014, in order to validate
the single models encompassing adult and seedlings. Our results show the
possibility of development of models for predicting individual leaf area
encompassing different ontogenetic stages for tropical tree species. The
development of these models was more dependent on the species than the
differences in leaf size between seedlings and adults.
PMID- 27191469
TI - Antioxidant activity and phenol content of extracts of bark, stems, and young and
mature leaves from Blepharocalyx salicifolius (Kunth) O. Berg.
AB - Phenolic compounds are a group of plant secondary metabolites known to have a
variety of bioactivities, including the ability to function as antioxidants.
Because of the side effects of the use of synthetic substances, the search for
natural and less toxic compounds has increased significantly. This study was
designed to evaluate the antioxidant activity and phenol content of hexane, ethyl
acetate, and aqueous extracts of the bark (suber) and stems as well as the young
and mature leaves of Blepharocalyx salicifolius. The extracts were obtained by
extraction with organic solvents and subsequent fractionation by chromatographic
partition coefficient. Preliminary tests for the presence of antioxidants were
performed using bioautography in thin-layer chromatography. The antioxidant
activity of the extracts was assessed using the 2,2-diphenyl-1-picrylhydrazyl
(DPPH) method, and the phenol content of the extracts was quantified using the
Folin-Ciocalteu technique. The results showed that 9 of the 12 extracts evaluated
displayed very strong antioxidant activity and three displayed moderate activity.
Aqueous extracts of the young leaves and bark and the ethyl acetate extract of
the young leaves showed the highest levels of antioxidant activity and total
phenolic content (TPC). A correlation was observed between TPC and antioxidant
activity index (AAI) with a correlation coefficient (r2) of 0.7999. Thus, the
high phenol content of B. salicifolius extracts and its correlation with
antioxidant activity provide substrates for further studies.
PMID- 27191470
TI - Length-weight relationship of Hoplias aff. malabaricus (Bloch, 1794) in a
subtropical wetland.
PMID- 27191472
TI - Capturing Heterogeneity in Medical Marijuana Policies: A Taxonomy of Regulatory
Regimes Across the United States.
AB - BACKGROUND: There is considerable movement in the U.S. to legalize use of
cannabis for medicinal purposes. Twenty-three U.S. states and the District of
Columbia have laws that decriminalize use of marijuana for medicinal purposes.
Most prior studies of state medical marijuana laws and their association with
overall marijuana use, adolescent use, crime rates, and alcohol traffic
fatalities have used a binary coding of whether the state had a medical marijuana
law or not. Mixed results from these studies raise the question of whether this
method for measuring policy characteristics is adequate. OBJECTIVES: Our
objective was to develop a validated taxonomy of medical marijuana laws that will
allow researchers to measure variation in aspects of medical marijuana statutes
as well as their overall restrictiveness. METHODS/RESULTS: We used a modified
Delphi technique using detailed and validated data about each state's medical
marijuana law. Three senior researchers coded elements of the state laws in
initiation of use, quantity allowed, regulations around distribution, and overall
restrictiveness. We used 2013 data from the U.S. National Survey on Drug Use and
Health to assess validity of the taxonomy. Results indicate substantial state
level variation in medical marijuana policies. Validation analysis supported the
taxonomy's validity for all four dimensions with the largest effect sizes for the
quantity allowed in the state's medical marijuana policy. CONCLUSIONS/IMPORTANCE:
This analysis demonstrates the potential importance of nondichotomous measurement
of medical marijuana laws in studies of their impact. These findings may also be
useful to states that are considering medical marijuana laws, to understand the
potential impact of characteristics of those laws.
PMID- 27191471
TI - Acute Retinal Necrosis Presenting in Developmentally-delayed Patients with
Neonatal Encephalitis: A Case Series and Literature Review.
AB - We report three cases of patients with developmental-delay from neonatal herpetic
encephalitis and/or meningitis who presented years later with acute retinal
necrosis due to herpes simplex virus. The diagnosis was delayed in all cases due
to the patients' inability to verbalize their ocular complaints and cooperate
with eye examinations. This case series documents the clinical course,
pathophysiologic mechanism, and treatment of acute retinal necrosis in this
patient population. Clinicians should understand the importance of prudent
consideration of acute retinal necrosis in patients with a history of neonatal
herpetic encephalitis and/or meningitis presenting with a red eye.
PMID- 27191473
TI - Prevalence of Nontuberculous Mycobacterial Pulmonary Disease, Germany, 2009-2014.
AB - We analyzed routine statutory health insurance claim data to determine prevalence
of nontuberculous mycobacterial pulmonary disease in Germany. Documented
prevalence rates of this nonnotifiable disease increased from 2.3 to 3.3
cases/100,000 population from 2009 to 2014. Prevalence showed a strong
association with advanced age and chronic obstructive pulmonary disease.
PMID- 27191475
TI - The Medical Bookshelf.
AB - Books received are listed as space permits, and those of particular interest to
our readers are reviewed.
PMID- 27191474
TI - Interprofessional practice in healthcare: Experiences of a faculty learning
community.
AB - Healthcare reform has had its impact on many health professionals as well as
clinical settings, particularly with the enactment of the Affordable Care Act. In
healthcare settings, healthcare teams are challenged with new systems of care and
changing philosophies of management. However, healthcare providers retain a
distinctive sense that they cannot always provide care without some form of
collaboration. This article presents the results of a pilot study, which measured
the effectiveness of a model of practice utilised at a faculty-practitioner
operated university community clinic. The purpose of the study was to measure the
perceived effectiveness of a practice model, client satisfaction, and students'
perceptions of learning. Implications of this pilot study include providing an
interprofessional practice model, which can be replicated in any healthcare
setting. This study also provides an opportunity to improve student learning in
degree programmes where practice is a significant aspect of the learning process.
PMID- 27191477
TI - Coping in adolescence.
PMID- 27191476
TI - Editor's Mail.
AB - The Editor welcomes readers' comments, and selected letters are published each
month. Letters must be signed and should be sent to Editor's Mail at the address
shown. The journal reserves the right to condense letters if necessary for space.
PMID- 27191478
TI - Cues/Tips.
PMID- 27191479
TI - Cardiology in the Magic Kingdom.
AB - Since his report on last year's meeting of the American College of Cardiology in
Dallas was so well received, we asked Dr James M. Barker to attend this year's
meeting, held in Anaheim, California, and again give us a primary care
physician's perspective.-R.B.H.
PMID- 27191482
TI - Apoptosis-Mediated Chemoprevention by Different Ratios of Fish Oil in
Experimental Colon Carcinogenesis.
AB - Apoptosis plays an important role in prevention of colon cancer. In the present
study, different ratios of fish oil and corn oil increased Fas expression in both
phases and a decrease in FasL expression only in post initiation phase. Treatment
with fish oil activated the intrinsic apoptotic pathway by increasing Bax
expression and Cyt c release and decreasing Bcl-2 levels in both phases. This
suggests that intrinsic pathway is upregulated by fish oil; however, Fas-FasL
activity may be involved in inhibition of reversal of immune surveillance in
tumor cells.
PMID- 27191484
TI - Irritable bowel syndrome.
PMID- 27191483
TI - Use of Unamplified RNA/cDNA-Hybrid Nanopore Sequencing for Rapid Detection and
Characterization of RNA Viruses.
AB - Nanopore sequencing, a novel genomics technology, has potential applications for
routine biosurveillance, clinical diagnosis, and outbreak investigation of virus
infections. Using rapid sequencing of unamplified RNA/cDNA hybrids, we identified
Venezuelan equine encephalitis virus and Ebola virus in 3 hours from sample
receipt to data acquisition, demonstrating a fieldable technique for RNA virus
characterization.
PMID- 27191485
TI - Transit time.
PMID- 27191486
TI - Microbiome: Bacterial broadband.
PMID- 27191487
TI - Perspective: An easier diagnosis.
PMID- 27191488
TI - Diet: Food for thought.
PMID- 27191490
TI - Q&A: Peter Whorwell.
PMID- 27191489
TI - Diagnostics: Filling in the missing pieces.
PMID- 27191491
TI - Infectious disease: Something in the water.
PMID- 27191493
TI - Irritable bowel syndrome: 4 big questions.
PMID- 27191492
TI - Drug development: A healthy pipeline.
PMID- 27191494
TI - MicroRNA-126-3p suppresses cell proliferation by targeting PIK3R2 in Kaposi's
sarcoma cells.
AB - Kaposi's sarcoma is a highly vascular tumor of lymphatic endothelial origin. Many
deregulated miRNAs, including miR-126-3p, have been identified in Kaposi's
sarcoma tissues. miR-126-3p is the most highly endothelial-specific miRNA that
regulates vascular integrity and angiogenesis. In this study, we aimed to
determine the effect of miR-126-3p on Kaposi's sarcoma cells through transfection
of a miRNA mimic and inhibitor. Moreover, we searched the target gene (PIK3R2) of
miR-126-3p using bioinformatics software and further verified PIK3R2 using
luciferase reporter assays, Real-time quantitative PCR (qRT-PCR) and western
blot. The results demonstrated that miR-126-3p inhibited cell proliferation,
arrested cell cycle progression, induced cell apoptosis, and inhibited cell
invasion of SLK cells. The bioinformatics analysis and luciferase reporter assay
revealed that PIK3R2 mRNA is a direct target of miR-126-3p. Moreover, the level
of expression of the PIK3R2 gene was downregulated in SLK cells transfected with
miR-126-3p siRNAs. Therefore, our data demonstrated that miR-126-3p is a tumor
suppressor miRNA that acts by targeting PIK3R2 in Kaposi's sarcoma cells. These
findings contribute to our understanding of the molecular mechanisms underlying
Kaposi's sarcoma.
PMID- 27191496
TI - Mesenchymal stem cells promote pancreatic adenocarcinoma cells invasion by
transforming growth factor-beta1 induced epithelial-mesenchymal transition.
AB - Mesenchymal stem cells (MSCs) could be ideal delivery vehicles for antitumor
biological agents in pancreatic adenocarcinoma (PA). While the role of MSCs in
tumor growth is elusive. Inflammation is an important feature of PA. In this
study, we reported that MSCs pre-stimulated with the combination of TNF-alpha and
IFN-gamma promote PA cells invasion. The invasion of PA cell lines were evaluate
by wound healing assay and transwell assay in vitro and liver metastasis in nude
mice. We observed MSCs pre-stimulated with the combination of TNF-alpha and IFN
gamma promoted PA cells invasion in vitro and in vivo. Consistent with MSCs
promoting PA cells invasion, PA cells were found undergo epithelial-mesenchymal
transition (EMT). We demonstrated that MSCs pre-stimulated with both of TNF-alpha
and IFN-gamma provoked expression transforming growth factor-beta1 (TGF-beta1).
MSCs promoting EMT-mediated PA cells invasion could be reversed by short
interfering RNA of TGF-beta1. Our results suggest that MSCs could promote PA
cells invasion in inflammation microenvironment and should be cautious as
delivery vehicles in molecular target therapy.
PMID- 27191495
TI - The ratio of STAT1 to STAT3 expression is a determinant of colorectal cancer
growth.
AB - The role of STAT1 and STAT3 for colorectal carcinoma (CRC) development and
progression is controversial. We evaluated 414 CRC patient samples on tissue
microarrays for differential expression of STAT1 and STAT3 protein levels and
correlated ratios with clinical parameters. Concomitant absence of nuclear STAT1
and STAT3 expression was associated with significantly reduced median survival by
>=33 months (p=0.003). To gain insight into underlying mechanisms, we generated
four CRC cell lines with STAT3 knockdown. The cell lines harbor different known
mutational drivers and were xenografted into SCID mice to analyze the influence
of STAT3 on their tumor growth behavior. Experimental downregulation of STAT3
expression had differential, cell-line specific effects on STAT1 expression
levels. STAT1 consistently showed nuclear localization irrespective of its
tyrosine phosphorylation status. Two characteristic STAT1/3 expression patterns
with opposite growth behavior could be distinguished: cell lines with a low
STAT1/high STAT3 ratio showed faster tumor growth in xenografts. In contrast,
xenografts of cell lines showing high STAT1 and low STAT3 levels grew slower.
Importantly, these ratios reflected clinical outcome in CRC patients as well. We
conclude that the ratio of STAT1 to STAT3 expression is a key determinant of CRC
progression and that STAT1 counteracts pro-tumorigenic STAT3 signaling. Thus, we
suggest that the STAT3/STAT1 ratios are better clinical predictors in CRC as
compared to STAT3 or STAT1 levels alone.
PMID- 27191497
TI - microRNA-374a suppresses colon cancer progression by directly reducing CCND1 to
inactivate the PI3K/AKT pathway.
AB - microRNA-374a (miR-374a) exhibits oncogenic functions in various tumor types.
Here we report that miR-374a suppresses proliferation, invasion, migration and
intrahepatic metastasis in colon adenocarcinoma cell lines HCT116 and SW620.
Notably, we detected that PI3K/AKT signaling and its downstream cell cycle
factors including c-Myc, cyclin D1 (CCND1), CDK4 and epithelial-mesenchymal
transition (EMT)-related genes including ZEB1, N-cadherin, Vimentin, Slug, and
Snail were all significantly downregulated after miR-374a overexpression.
Conversely, cell cycle inhibitors p21 and p27 were upregulated. Expression of E
cadherin was only decreased in HCT116, without any obvious differences observed
in SW620 cells. Furthermore, luciferase reporter assays confirmed that miR-374a
could directly reduce CCND1. Interestingly, when CCND1 was silenced or
overexpressed, levels of pPI3K, pAkt as well as cell cycle and EMT genes were
respectively downregulated or upregulated. We examined miR-374a levels by in situ
hybridization and its correlation with CCND1 expression in CRC tumor tissues.
High miR-374a expression with low level of CCND1 was protective factor in CRC.
Together these findings indicate that miR-374a inactivates the PI3K/AKT axis by
inhibiting CCND1, suppressing of colon cancer progression.
PMID- 27191498
TI - Distinct mechanisms contribute to acquired cisplatin resistance of urothelial
carcinoma cells.
AB - Cisplatin (CisPt) is frequently used in the therapy of urothelial carcinoma (UC).
Its therapeutic efficacy is limited by inherent or acquired drug resistance.
Here, we comparatively investigated the CisPt-induced response of two different
parental urothelial carcinoma cell lines (RT-112, J-82) with that of respective
drug resistant variants (RT-112R, J-82R) obtained upon month-long CisPt
selection. Parental RT-112 cells were ~2.5 fold more resistant to CisPt than J-82
cells and showed a different expression pattern of CisPt-related resistance
factors. CisPt resistant RT-112R and J-82R variants revealed a 2-3-fold increased
CisPt resistance as compared to their corresponding parental counterparts.
Acquired CisPt resistance was accompanied by morphological alterations resembling
epithelial mesenchymal transition (EMT). RT-112R cells revealed lower apoptotic
frequency and more pronounced G2/M arrest following CisPt exposure than RT-112
cells, whereas no differences in death induction were observed between J-82 and J
82R cells. CisPt resistant J-82R cells however were characterized by a reduced
formation of CisPt-induced DNA damage and related DNA damage response (DDR) as
compared to J-82 cells. Such difference was not observed between RT-112R and RT
112 cells. J-82R cells showed an enhanced sensitivity to pharmacological
inhibition of checkpoint kinase 1 (Chk1) and, moreover, could be re-sensitized to
CisPt upon Chk1 inhibition. Based on the data we suggest that mechanisms of
acquired CisPt resistance of individual UC cells are substantially different,
with apoptosis- and DDR-related mechanisms being of particular relevance.
Moreover, the findings indicate that targeting of Chk1 might be useful to
overcome acquired CisPt resistance of certain subtypes of UC.
PMID- 27191499
TI - Emx2 as a novel tool to suppress glioblastoma.
AB - Glioblastoma is a devastating CNS tumour for which no cure is presently
available. We wondered if manipulation of Emx2, which normally antagonizes
cortico-cerebral astrogenesis by inhibiting proliferation of astrocyte
progenitors, may be employed to counteract it. We found that Emx2 overexpression
induced the collapse of seven out of seven in vitro tested glioblastoma cell
lines. Moreover, it suppressed four out of four of these lines in vivo. As proven
by dedicated rescue assays, the antioncogenic activity of Emx2 originated from
its impact on at least six metabolic nodes, which accounts for the robustness of
its effect. Finally, in two out of two tested lines, the tumor culture collapse
was also achieved when Emx2 was driven by a neural stem cell-specific promoter,
likely active within tumor-initiating cells. All that points to Emx2 as a novel,
promising tool for therapy of glioblastoma and prevention of its recurrencies.
PMID- 27191500
TI - Functional TRAIL receptors in monocytes and tumor-associated macrophages: A
possible targeting pathway in the tumor microenvironment.
AB - Despite the accepted dogma that TRAIL kills only tumor cells and spares normal
ones, we show in this study that mononuclear phagocytes are susceptible to
recombinant TRAIL via caspase-dependent apoptosis. Human resting monocytes and in
vitro-differentiated macrophages expressed substantial levels of the functional
TRAIL receptors (TRAIL-R1 and TRAIL-R2), while neutrophils and lymphocytes mostly
expressed the non-signaling decoy receptor (TRAIL-R3). Accordingly, exclusively
monocytes and macrophages activated caspase-8 and underwent apoptosis upon
recombinant TRAIL treatment. TRAIL-Rs were up-regulated by anti-inflammatory
agents (IL-10, glucocorticoids) and by natural compounds (Apigenin, Quercetin,
Palmitate) and their treatment resulted in increased TRAIL-induced apoptosis. In
mice, the only signaling TRAIL-R (DR5) was preferentially expressed by blood
monocytes rather than neutrophils or lymphocytes. In both mice and humans, Tumor
Associated Macrophages (TAM) expressed functional TRAIL-R, while resident
macrophages in normal tissues did not. As a proof of principle, we treated mice
bearing a murine TRAIL-resistant fibrosarcoma with recombinant TRAIL. We observed
significant decrease of circulating monocytes and infiltrating TAM, as well as
reduced tumor growth and lower metastasis formation. Overall, these findings
demonstrate that human and murine monocytes/macrophages are, among leukocytes,
uniquely susceptible to TRAIL-mediated killing. This differential susceptibility
to TRAIL could be exploited to selectively target macrophages in tumors.
PMID- 27191501
TI - De novo HAPLN1 expression hallmarks Wnt-induced stem cell and fibrogenic networks
leading to aggressive human hepatocellular carcinomas.
AB - About 20% hepatocellular carcinomas (HCCs) display wild-type beta-catenin,
enhanced Wnt signaling, hepatocyte dedifferentiation and bad outcome, suggesting
a specific impact of Wnt signals on HCC stem/progenitor cells. To study Wnt
specific molecular pathways, cell fates and clinical outcome, we fine-tuned
Wnt/beta-catenin signaling in liver progenitor cells, using the prototypical Wnt
ligand Wnt3a. Cell biology assays and transcriptomic profiling were performed in
HepaRG hepatic progenitors exposed to Wnt3a after beta-catenin knockdown or Wnt
inhibition with FZD8_CRD. Gene expression network, molecular pathology and
survival analyses were performed on HCCs and matching non-tumor livers from 70
patients by real-time PCR and tissue micro-array-based immunohistochemistry.
Wnt3a reprogrammed liver progenitors to replicating fibrogenic myofibroblast-like
cells displaying stem and invasive features. Invasion was inhibited by 30 nM FZD7
and FZD8 CRDs. Translation of these data to human HCCs revealed two tight gene
networks associating cell surface Wnt signaling, stem/progenitor markers and
mesenchymal commitment. Both networks were linked by Hyaluronan And Proteoglycan
Link Protein 1 (HAPLN1), that appeared de novo in aggressive HCCs expressing
cytoplasmic beta-catenin and stem cell markers. HAPLN1 was independently
associated with bad overall and disease-free outcome. In vitro, HAPLN1 was
expressed de novo in EPCAM-/NCAM+ mesoderm-committed progenitors, upon
spontaneous epithelial-mesenchymal transition and de-differentiation of
hepatocyte-like cells to liver progenitors. In these cells, HAPLN1 knockdown
downregulated key markers of mesenchymal cells, such as Snail, LGR5, collagen IV
and alpha-SMA. In conclusion, HAPLN1 reflects a signaling network leading to
stemness, mesenchymal commitment and HCC progression.
PMID- 27191502
TI - NRAS and EPHB6 mutation rates differ in metastatic melanomas of patients in the
North Island versus South Island of New Zealand.
AB - Melanoma, the most aggressive skin cancer type, is responsible for 75% of skin
cancer related deaths worldwide. Given that New Zealand (NZ) has the world's
highest melanoma incidence, we sought to determine the frequency of mutations in
NZ melanomas in recurrently mutated genes. NZ melanomas were from localities
distributed between North (35 degrees S-42 degrees S) and South Islands (41
degrees S-47 degrees S). A total of 529 melanomas were analyzed for BRAF exon 15
mutations by Sanger sequencing, and also by Sequenom MelaCarta MassARRAY. While,
a relatively low incidence of BRAFV600E mutations (23.4%) was observed overall in
NZ melanomas, the incidence of NRAS mutations in South Island melanomas was high
compared to North Island melanomas (38.3% vs. 21.9%, P=0.0005), and to The Cancer
Genome Atlas database (TCGA) (38.3% vs. 22%, P=0.0004). In contrast, the
incidence of EPHB6G404S mutations was 0% in South Island melanomas, and was 7.8%
in North Island (P=0.0002). Overall, these data suggest that melanomas from
geographically different regions in NZ have markedly different mutation
frequencies, in particular in the NRAS and EPHB6 genes, when compared to TCGA or
other populations. These data have implications for the causation and treatment
of malignant melanoma in NZ.
PMID- 27191507
TI - Abstracts Of The Meeting On Obesity Organized By The Contact Groups " Hormones "
and " Nutrition " of Frsm/Fwgo On February 23, 1985 In Brussels Effect Of Growth
Hormone Releasing Factor (Grf) On Plasma Growth Hormone (Gh) And Prolactin (Prl)
Levels In Obese Children.
PMID- 27191503
TI - The prognostic role of RANK SNP rs34945627 in breast cancer patients with bone
metastases.
AB - Receptor activator of NF-kB (RANK) pathway regulates bone remodeling and is
involved in breast cancer (BC) progression. Genetic polymorphisms affecting RANK
ligand (RANKL) and osteoprotegerin (OPG) have been previously associated with BC
risk and bone metastasis (BM)-free survival, respectively. In this study we
conducted a retrospective analysis of the association of five missense RANK SNPs
with clinical characteristics and outcomes in BC patients with BM. SNP rs34945627
had an allelic frequency of 12.5% in BC patients, compared to 1.2% in the control
group (P = 0.005). SNP rs34945627 was not associated with any clinicopathological
characteristics, but patients presenting SNP rs34945627 had decreased disease
free survival (DFS) (log-rank P = 0.039, adjusted HR 2.29, 95% CI 1.04-5.08, P =
0.041), and overall survival (OS) (log-rank P = 0.019, adjusted HR 4.32, 95% CI
1.55-12.04, P = 0.005). No differences were observed regarding bone disease-free
survival (log-rank P = 0.190, adjusted HR 1.68, 95% CI 0.78-3.66, P = 0.187),
time to first skeletal-related event (log-rank P = 0.753, adjusted HR 1.28, 95%
CI 1.42-3.84; P = 0.665), or time to bone progression (log-rank P = 0.618,
adjusted HR 0.511, 95% CI 0.17-1.51; P = 0.233). Our analysis shows that RANK SNP
rs34945627 has a high allelic frequency in patients with BC and BM, and is
associated with decreased DFS and OS.
PMID- 27191504
TI - Structures of paraoxon-inhibited human acetylcholinesterase reveal perturbations
of the acyl loop and the dimer interface.
AB - Irreversible inhibition of the essential nervous system enzyme
acetylcholinesterase by organophosphate nerve agents and pesticides may quickly
lead to death. Oxime reactivators currently used as antidotes are generally less
effective against pesticide exposure than nerve agent exposure, and pesticide
exposure constitutes the majority of cases of organophosphate poisoning in the
world. The current lack of published structural data specific to human
acetylcholinesterase organophosphate-inhibited and oxime-bound states hinders
development of effective medical treatments. We have solved structures of human
acetylcholinesterase in different states in complex with the organophosphate
insecticide, paraoxon, and oximes. Reaction with paraoxon results in a highly
perturbed acyl loop that causes a narrowing of the gorge in the peripheral site
that may impede entry of reactivators. This appears characteristic of
acetylcholinesterase inhibition by organophosphate insecticides but not nerve
agents. Additional changes seen at the dimer interface are novel and provide
further examples of the disruptive effect of paraoxon. Ternary structures of
paraoxon-inhibited human acetylcholinesterase in complex with the oximes HI6 and
2-PAM reveals relatively poor positioning for reactivation. This study provides a
structural foundation for improved reactivator design for the treatment of
organophosphate intoxication. Proteins 2016; 84:1246-1256. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27191510
TI - Arabian, Asian, western: a cross-cultural comparison of aircraft accidents from
human factor perspectives.
AB - INTRODUCTION: Rates of aviation accident differ in different regions; and
national culture has been implicated as a factor. This invites a discussion about
the role of national culture in aviation accidents. This study makes a cross
cultural comparison between Oman, Taiwan and the USA. METHOD: A cross-cultural
comparison was acquired using data from three studies, including this study, by
applying the Human Factors Analysis and Classification System (HFACS) framework.
The Taiwan study presented 523 mishaps with 1762 occurrences of human error
obtained from the Republic of China Air Force. The study from the USA carried out
for commercial aviation had 119 accidents with 245 instances of human error. This
study carried out in Oman had a total of 40 aircraft accidents with 129
incidences. RESULTS: Variations were found between Oman, Taiwan and the USA at
the levels of organisational influence and unsafe supervision. Seven HFACS
categories showed significant differences between the three countries (p < 0.05).
CONCLUSION: Although not given much consideration, national culture can have an
impact on aviation safety. This study revealed that national culture plays a role
in aircraft accidents related to human factors that cannot be disregarded.
PMID- 27191509
TI - Inhibition of clinical pathogenic herpes simplex virus 1 strains with
enzymatically created siRNA pools.
AB - Herpes simplex virus (HSV) is a common human pathogen causing severe diseases
such as encephalitis, keratitis, and neonatal herpes. There is no vaccine against
HSV and the current antiviral chemotherapy fails to treat certain forms of the
disease. Here, we evaluated the antiviral activity of enzymatically created small
interfering (si)RNA pools against various pathogenic HSV strains as potential
candidates for antiviral therapies. Pools of siRNA targeting 0.5-0.8 kbp of
essential HSV genes UL54, UL29, or UL27 were enzymatically synthesized. Efficacy
of inhibition of each siRNA pool was evaluated against multiple clinical isolates
and laboratory wild type HSV-1 strains using three cell lines representing host
tissues that support HSV-1 replication: epithelial, ocular, and cells that
originated from the nervous system. The siRNA pools targeting UL54, UL29, and
UL27, as well as their equimolar mixture, inhibited HSV replication, with the
pool targeting UL29 having the most prominent antiviral effect. In contrast, the
non-specific control siRNA pool did not have such an effect. Moreover, the UL29
pool elicited only a minimal innate immune response in the HSV-infected cells,
thus evidencing the safety of its potential clinical use. These results are
promising for the development of a topical RNA interference approach for clinical
treatment of HSV infection. J. Med. Virol. 88:2196-2205, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27191511
TI - A review of polychlorinated biphenyls (PCBs) pollution in indoor air environment.
AB - Polychlorinated biphenyls (PCBs) were widely used in industrial production due to
the unique physical and chemical properties. As a kind of persistent organic
pollutants, the PCBs would lead to environment pollution and cause serious
problems for human health. Thus, they have been banned since the 1980s due to the
environment pollution in the past years. Indoor air is the most direct and
important environment medium to human beings; thus, the PCBs pollution research
in indoor air is important for the protection of human health. This paper
introduces the industrial application and potential harm of PCBs, summarizes the
sampling, extracting, and analytical methods of environment monitoring, and
compares the indoor air levels of urban areas with those of industrial areas in
different countries according to various reports. This paper can provide a basic
summary for PCBs pollution control in the indoor air environment. IMPLICATIONS:
The review of PCBs pollution in indoor air in China is still limited. In this
paper, we introduce the industrial application and potential harm of PCBs,
summarize the sampling, extracting, and analytical methods of environment
monitoring, and compare the indoor air levels of urban areas with industrial
areas in different countries according to various reports.
PMID- 27191513
TI - Automated Measurement of Cobblestone Morphology for Characterizing Stem Cell
Derived Retinal Pigment Epithelial Cell Cultures.
AB - PURPOSE: Assessing the morphologic properties of cells in microscopy images is an
important task to evaluate cell health, identity, and purity. Typically,
subjective visual assessments are accomplished by an experienced researcher. This
subjective human step makes transfer of the evaluation process from the
laboratory to the cell manufacturing facility difficult and time consuming.
METHODS: Automated image analysis can provide rapid, objective measurements of
cultured cells, greatly aiding manufacturing, regulatory, and research goals.
Automated algorithms for classifying images based on appearance characteristics
typically either extract features from the image and use those features for
classification or use the images directly as input to the classification
algorithm. In this study we have developed both feature and nonfeature extraction
methods for automatically measuring "cobblestone" structure in human retinal
pigment epithelial (RPE) cell cultures. RESULTS: A new approach using image
compression combined with a Kolmogorov complexity-based distance metric enables
robust classification of microscopy images of RPE cell cultures. The automated
measurements corroborate determinations made by experienced cell biologists. We
have also developed an approach for using steerable wavelet filters for
extracting features to characterize the individual cellular junctions.
CONCLUSIONS: Two image analysis techniques enable robust and accurate
characterization of the cobblestone morphology that is indicative of viable RPE
cultures for therapeutic applications.
PMID- 27191514
TI - Enzymatic modification by point mutation and functional analysis of an omega-6
fatty acid desaturase from Arctic Chlamydomonas sp.
AB - Arctic Chlamydomonas sp. is a dominant microalgal strain in cold or frozen
freshwater in the Arctic region. The full-length open reading frame of the omega
6 fatty acid desaturase gene (AChFAD6) was obtained from the transcriptomic
database of Arctic Chlamydomonas sp. from the KOPRI culture collection of polar
micro-organisms. Amino acid sequence analysis indicated the presence of three
conserved histidine-rich segments as unique characteristics of omega-6 fatty acid
desaturases, and three transmembrane regions transported to plastidic membranes
by chloroplast transit peptides in the N-terminal region. The AChFAD6 desaturase
activity was examined by expressing wild-type and V254A mutant (Mut-AChFAD6)
heterologous recombinant proteins. Quantitative gas chromatography indicated that
the concentration of linoleic acids in AChFAD6-transformed cells increased more
than 3-fold [6.73 +/- 0.13 mg g-1 dry cell weight (DCW)] compared with cells
transformed with vector alone. In contrast, transformation with Mut-AChFAD6
increased the concentration of oleic acid to 9.23 +/- 0.18 mg g-1 DCW, indicating
a change in enzymatic activity to mimic that of stearoyl-CoA desaturase. These
results demonstrate that AChFAD6 of Arctic Chlamydomonas sp. increases membrane
fluidity by enhancing denaturation of C18 fatty acids and facilitates production
of large quantities of linoleic fatty acids in prokaryotic expression systems.
PMID- 27191515
TI - Integration host factor and LuxR synergistically bind DNA to coactivate quorum
sensing genes in Vibrio harveyi.
AB - The cell-cell signaling process called quorum sensing allows bacteria to control
behaviors in response to changes in population density. In Vibrio harveyi, the
master quorum-sensing transcription factor LuxR is a member of the TetR family of
transcription factors that both activates and represses genes to coordinate group
behaviors, including bioluminescence. Here, we show that integration host factor
(IHF) is a key coactivator of the luxCDABE bioluminescence genes that is required
together with LuxR for precise timing and expression levels of bioluminescence
during quorum sensing. IHF binds to multiple sites in the luxCDABE promoter and
bends the DNA in vitro. IHF and LuxR synergistically bind luxCDABE promoter DNA
at overlapping, essential binding sites that are required for maximal gene
expression in vivo. RNA-seq analysis demonstrated that IHF regulates 300 genes in
V. harveyi, and among these are a core set of 19 genes that are also directly
bound and regulated by LuxR. We validated these global analyses by demonstrating
that both IHF and LuxR are required for transcriptional activation of the osmotic
stress response genes betIBA-proXWV. These data suggest that IHF plays an
integral role in one mechanism of transcriptional activation by the LuxR-type
family of quorum-sensing regulators in vibrios.
PMID- 27191512
TI - In Vitro and In Vivo Enzyme Activity Screening via RNA-Based Fluorescent
Biosensors for S-Adenosyl-l-homocysteine (SAH).
AB - High-throughput enzyme activity screens are essential for target characterization
and drug development, but few assays employ techniques or reagents that are
applicable to both in vitro and live cell settings. Here, we present a class of
selective and sensitive fluorescent biosensors for S-adenosyl-l-homocysteine
(SAH) that provide a direct "mix and go" activity assay for methyltransferases
(MTases), an enzyme class that includes several cancer therapeutic targets. Our
riboswitch-based biosensors required an alternate inverted fusion design
strategy, but retained full selectivity for SAH over its close structural
analogue, the highly abundant methylation cofactor S-adenosyl-l-methionine (SAM).
The level of ligand selectivity for these fluorescent biosensors exceeded that of
commercial antibodies for SAH and proved critical to cellular applications, as we
employed them to measure methylthioadenosine nucleosidase (MTAN) activity in live
Escherichia coli. In particular, we were able to monitor in vivo increase of SAH
levels upon chemical inhibition of MTAN using flow cytometry, which demonstrates
high-throughput, single cell measurement of an enzyme activity associated with
the biosynthesis of quorum sensing signal AI-2. Thus, this study presents RNA
based fluorescent biosensors as promising molecular reagents for high-throughput
enzymatic assays that successfully bridge the gap between in vitro and in vivo
applications.
PMID- 27191517
TI - [The health care through the critical eyes of the users: a survey].
AB - INTRODUCTION: Hospitalization is a condition, in which the individual ceases his
daily normal acti- vities to be taken over by a hospital, in order to solve a
health problem. The healthcare profes- sionals have the responsibility to make
the event "admission" the least traumatic as possible. In this regard the welcome
is a crucial moment - like a "business card" for the structure - and it will
mostly define the satisfaction of the hospitalization experience. OBJECTIVE: Aim
of this study is to explore the experience of hospitalization of the respondents,
to outline the main issues that affect the quality of care provided in two
hospitals in Rome and to detect the most common critical situations, in relation
to the thematic areas identified. METHOD: Data collected through semi-structured
questionnaires and following phenomenological approach focused on the analysis of
experiences of respondents to extract the meaning themes with inductive technique
of Van Kaam. RESULTS: Thanks to the interviews about the users hospitalization
experience, there were outlined four key-elements that affect the quality of care
provided and the more obvious critical issues detected; therefore it is
highlighted a relational and communicative asymmetry between the heal- thcare
provider and the user and also a lack of awareness of healthcare professionals on
the impor- tance of the "primacy effect", which is a preliminary element to
strengthen the subsequent posi- tive perceptions of hospital care. CONCLUSIONS:
In the light of the results, that work can provide interesting insights and
guidance to all those who, for various reasons, are active in the health
professions in addition to contribu- ting to the improvement of the quality of
care in our facilities.
PMID- 27191516
TI - [Uniform and ornaments of hands of Healthcare workers: a point prevalence survey
in Ausl of Rimini].
AB - INTRODUCTION: The debate about uniform and hand ornament of nurses, technical and
supporting staff is focused on two main topics: the risk of infections and the
effect on the professional image perceived by patient. AIM: PRIMARY OBJECTIVE:
to describe how nurses, technical and supporting staff dress their uniform.
SECONDARY OBJECTIVE: to verify the use of ornaments of the hands during routine
care. METHODS: The survey was conducted in a sample of 503 operators on 67
working contexts in hospital during 14 days. Study questionnaire was composed by
5 items that described behaviors regarding uniform (item 1-2) and the presence of
hand ornaments (item 3-4-5). RESULTS: The 17.3% of observed subjects did not wear
the uniform and identification badge appropriately; 16.1% had hand ornaments.
DISCUSSION: Despite patient delegates, through advisory committee, pointed out
the need to identify the workers through the uniform and badge, our results
showed inadequate behaviours. The presence of ornaments that interfere with hands
hygiene and increase infection risk is a critical issue that has to be
periodically monitored. Future interventions are needed to improve behaviours of
healthcare personnel.
PMID- 27191518
TI - [Efficacy of educational intervention for patients wearing peripherall inserted
central catheter. A pilot study].
AB - BACKGROUND: Peripherally Inserted Central Catheter (PICC) is a central venous
catheter suitable for patients who receive chemotherapy in Day Hospital regimen.
The patient must be educated to a proper home management of the PICC through
targeted education. AIM: To evaluate the effectiveness of a targeted educational
intervention through the comparison of: indi- vidual interview, brochure paper,
informative video. METHOD: Single-centre randomized controlled clinical trial
with a simple three-arm comparative scheme. The study population is represented
by all patients undergoing the insertion of PICC at the Oncological Day Hospital
and Breast Unit in the observation period between October 2013 and February 2014.
The Standard educational session is performed by the nurse positioning the PICC.
PICC team consists of two nurses in possession of the first level master in
venous access management. Following randomization eligible patients were divided
in three groups: group A received Standard educational session; group B received
the standard information and the brochure; group C received the stan- dard
information and the informative video. The level of the achieved knowledge was
investigated by administering targeted questionnaires. RESULTS: A total of 40
patients joined the study: a convenience sample represented by people between the
ages of 18 and 75 years, in outpatient care. Statistically significant difference
in key areas necessary for the proper management of PICC: when to change the
dressing (p=0.001), when to wash the catheter (p=0), how to recognize signs and
symptoms of infection (p=0.001), identify at-risk behaviors (p=0.005), when
carrying out the inspection of the catheter's insertion site (p=0). CONCLUSIONS:
The administration of a multimedial educational tool was found to be superior in
efficacy compared to the only Standard educational session to increase patient's
knowledges. The video appears to be more effective than the brochure. The
obtained results are influenced by the small sample size and by the shortness of
the follow up timing; this leads to a low generalizability of the conclusions.
PMID- 27191519
TI - [Ricerca storica sul contributo di Cristina Trivulzio di Belgiojoso
all'assistenza infermieristica].
AB - The aim of this historical research project is to examine the contribution of
Cristina Trivulzio Princess of Belgiojoso to the development of the nursing
organisation in Italy. Specifically, the analysis focuses on the period of the
Roman Republic, as in 1849 Cristina Trivulzio (Princess of Belgiojoso) was one of
the person in charge of the service of caring and rescuing of the injured. For
this reason, nineteen volumes were analysed out of the one hundred twenty-nine
volumes found in five Italian historical archives. All documentary sources were
evaluated accordingly to the Chabod historical research method (1999), while for
their critical interpretation a scheme of analysis was created. As a result, five
topics clearly emerged from the data collected: organisation, health politics,
training, research and nursing. To sum up, this research reveals that the
contributions of Cristina Trivulzio are so relevant that she may be counted among
the founders of the italian nursing organisation.
PMID- 27191520
TI - Towards an integrated model of nursing competence: an overview of the literature
reviews and concept analysis.
AB - INTRODUCTION: Competence is considered a fundamental element when measuring a
nurse's or student's ability to provide nursing care, but there is no consensus
on what competence really is. This paper aims to review the existing meanings and
models of nursing competence. METHOD: The overview of literature reviews and
concept analysis was performed through a search on Pubmed, Cinahl and PsychINFO
from January 2005 to September 2014. It included key words, such as: Competence
Model; Professional Competence; Nursing Competence; Competency Model;
Professional Competency; Nursing Competency. RESULT: A total of 14 papers were
found, coming from educational or clinical nursing field. It was possible to
identify some common themes: description of competence determinants; confu- sion
around the competence concept; lack in competence evaluation; lack when
competence have to be operationalized. CONCLUSION: The overview results, enriched
by the literature coming out from the organiza- tional studies, build the
conceptual basis of an integrated model of nursing competence. More empirical
research is needed to test the theoretical assumptions.
PMID- 27191522
TI - [Editorial].
PMID- 27191521
TI - Nursing diagnoses and theoretical frameworks in neonatal units: a literature
review.
AB - AIM: To identify nursing diagnoses and theoretical frameworks used in neonatal
units through a literature review. METHOD: Cinahl, Medline and Lilacs, database
were used to perform this study. The descriptors used were: "Nursing Diagnosis"
AND "Models, Nursing" OR "Nursing Theory" AND "Infant" OR "Newborn". The search
was limited to articles published from 2000 to November 2013. Studies were
selected only if they were written in English, Spanish, Portuguese and Italian.
RESULTS: In the literature review two taxonomies and six major theoretical
frameworks were identified. The most frequently detected nursing diagnoses were:
activity intolerance, impaired spontaneous ventilation, ineffective breathing
pattern, risk for aspiration, delayed growth and development, Ineffective
breastfeeding, Ineffective infant feeding pattern, hyperthermia / hypothermia,
risk for infection, impaired tissue integrity, Interrupted family processes, risk
for impaired parenting, risk for impaired attachment, interrupted family
processes. Diagnoses applying to parents' psychosocial sphere were identified,
enabling the newborn's integral evaluation, including the family. CONCLUSIONS:
Nursing diagnoses provide nurses working in neonatal units the opportunity of an
effective management of the care process as well as the availability of the
needed data in order to continuously improve a quality-based nursing care. The
use of one model or more reference models represents a way to help professional
action.
PMID- 27191523
TI - Binge-eating disorder: emerging treatments for a new diagnosis.
AB - PURPOSE OF REVIEW: This review provides an update on the new Diagnostic and
Statistical Manual (DSM) diagnosis of binge-eating disorder (BED) by presenting
diagnostic criteria, associated risk factors and co-morbidities, and tools for
assessment. An update on the currently available evidence-based treatments for
adolescent BED is provided to help with the coordination of treatment planning
for identified patients with this condition. RECENT FINDINGS: BED is now
officially included in the DSM. Research with youth has begun to show improvement
from treatments such as cognitive behavioral therapy, previously shown to be
useful in adults. SUMMARY: BED is common and often begins during youth. The
availability of diagnostic criteria, along with increasing knowledge about the
condition and available treatments, is expected to result in improved
identification and management in younger patients.
PMID- 27191524
TI - Understanding autoimmunity of vitiligo and alopecia areata.
AB - PURPOSE OF REVIEW: Vitiligo and alopecia areata are common, disfiguring skin
diseases. Treatment options are limited and include nontargeted approaches, such
as corticosteroids, topical calcineurin inhibitors, narrow band ultraviolet B
phototherapy, and other immune-modifying agents. The purpose of this article is
to review shared, novel mechanisms between vitiligo and alopecia areata, as well
as discuss how they inform the development of future targeted treatments. RECENT
FINDINGS: Vitiligo and alopecia areata are both autoimmune diseases, and striking
similarities in pathogenesis have been identified at the level of both the innate
and adaptive immune system. Increased reactive oxygen species and high cellular
stress level have been suggested as the initiating trigger of the innate immune
system in both diseases, and genome-wide association studies have implicated risk
alleles that influence both innate and adaptive immunity. Most importantly,
mechanistic studies in mouse models of vitiligo and alopecia areata have
specifically implicated an interferon (IFN)gamma-driven immune response,
including IFNgamma, IFNgamma-induced chemokines, and cytotoxic CD8 T cells as the
main drivers of disease pathogenesis. These recent discoveries may reveal an
effective strategy to develop new treatments, and several proof-of-concept
clinical studies support this hypothesis. SUMMARY: The identification of IFNgamma
driven immune signaling pathways has enabled discoveries of potential new
treatments for vitiligo and alopecia areata, and supports initiation of larger
clinical trials.
PMID- 27191526
TI - Further understanding of the immunopathology of multiple sclerosis: impact on
future treatments.
AB - INTRODUCTION: The understanding of the immunopathogenesis of multiple sclerosis
(MS) has expanded with more research into T-cell subtypes, cytokine contributors,
B-cell participation, mitochondrial dysfunction, and more. Treatment options have
rapidly expanded with three relatively recent oral therapy alternatives entering
the arena. AREAS COVERED: In the following review, we discuss current mechanisms
of immune dysregulation in MS, how they relate to current treatments, and the
impact these findings will have on the future of therapy. Expert commentary: The
efficacy of these medications and understanding their mechanisms of actions
validates the immunopathogenic mechanisms thought to underlie MS. Further
research has exposed new targets, while new promising therapies have shed light
on new aspects into the pathophysiology of MS.
PMID- 27191527
TI - Whole-exome sequencing strategy proposed as first-line test: WES for well
phenotyped infants leads to high diagnostic yield.
PMID- 27191525
TI - Clinical implications of new mechanistic insights into atopic dermatitis.
AB - PURPOSE OF REVIEW: The review will examine recent advances in our understanding
of atopic dermatitis and how these mechanisms provide a framework for new
approaches to the management of this common skin disease. RECENT FINDINGS: The
mechanisms by which epithelial skin barrier and immune responses contribute to
the complex clinical phenotypes found in atopic dermatitis are being elucidated.
Atopic dermatitis often precedes food allergy because reduced skin barrier
function allows environmental food allergens to penetrate the skin leading to
systemic allergen sensitization. There is increasing evidence that atopic
dermatitis is a systemic disease. New treatments are focused on intervention in
polarized immune responses leading to allergic diseases. This includes antagonism
of IL-4 and IL-13 effects. Prevention strategies involve maintaining normal skin
barrier function with emollients to prevent allergens and microbes from
penetrating the skin. SUMMARY: Recent work on the pathogenesis of atopic
dermatitis has important implications for its clinical management, including the
development of effective barrier creams and biologicals targeting specific
polarized immune pathways resulting in skin inflammation.
PMID- 27191528
TI - Website aims to accelerate gene discovery, diagnosis, treatment: MyGene2.org
fosters open sharing among families, researchers, and clinicians.
PMID- 27191531
TI - Pediatric Uveitis: Experience in Colombia.
AB - PURPOSE: To describe the clinical features of uveitis in children treated at two
ophthalmologic centers in Bogota, Colombia, in a 13 year-period. METHODS:
Retrospective observational clinical record review of pediatric children with
diagnosis of uveitis. RESULTS: In total, 310 children were evaluated, 51.9% were
female, mean age of 10.1 years. Posterior uveitis was the most common location
(58.7%), of insidious onset (87.4%) and chronic course (78.1%). The most common
etiology was infection (58.4%) caused by toxoplasmosis (76.8%). There was a
statistically significant difference in visual acuity between anterior (20/68)
and intermediate uveitis (20/70), compared with posterior uveitis (20/434)
(p<0.05). CONCLUSIONS: This is the first study to report the clinical features of
pediatric uveitis in Colombia, where infectious etiologies are the leading cause.
It will improve awareness and knowledge of pediatric uveitis in developing
countries, and contribute to the development of public health policies of
pediatric visual health. Received 12 September 2015; revised 23 February 2016;
accepted 25 February 2016; published online 18 May 2016.
PMID- 27191533
TI - Self-perceived health status, gender, and work status.
AB - This study analyzes the relationship between gender and self-perceived health
status in Spanish retirees and housewives from a sample of 1,106 community
dwelling older adults. A multivariate linear regression model was used in which
self-perceived health status was measured by the EQ-5D visual analogue scale and
gender according to work status (retired men and women and housewives). Retired
males reported a significantly better health status than housewives. Self
perceived health status was closely associated with physical, mental, and
functional health and leisure activities. Finally, being a woman with complete
dedication to domestic work is associated with a worse state of self-perceived
health.
PMID- 27191534
TI - Characterization of obstructive sleep apnea-hypopnea syndrome (OSA) population by
means of cluster analysis.
AB - Obstructive sleep apnea-hypopnea syndrome (OSA) is being identified increasingly
as an important health issue. It is typified by repeated episodes of upper airway
collapse during sleep leading to occasional hypoxaemia, sleep fragmentation and
poor sleep quality. OSA is also being considered as an independent risk factor
for hypertension, diabetes and cardiovascular diseases, leading to increased
multi-morbidity and mortality. Cluster analysis, a powerful statistical set of
techniques, may help in investigating and classifying homogeneous groups of
patients with similar OSA characteristics. This study aims to investigate the
(possible) different groups of patients in an OSA population, and to analyse the
relationships among the main clinical variables in each group to better
understand the impact of OSA on patients. Starting from a well-characterized OSA
population of 198 subjects afferent to our sleep centre, we identified three
different communities of OSA patients. The first has a very severe disease [apnea
hypopnea index (AHI) = 65.91 +/- 22.47] and sleep disorder has a strong impact on
daily life: a low level of diurnal partial pressure of oxygen (PaO2 ) (77.39 +/-
11.64 mmHg) and a high prevalence of hypertension (64%); the second, with less
severe disease (AHI = 28.88 +/- 17.13), in which sleep disorders seem to be less
important for diurnal PaO2 and have a minimum impact on comorbidity; and the last
with very severe OSA (AHI = 57.26 +/- 15.09) but with a low risk of nocturnal
hypoxaemia (T90 = 11.58 +/- 8.54) and less sleepy (Epworth Sleepiness Scale 10.00
+/- 4.77).
PMID- 27191535
TI - A Single Gene Cluster for Chalcomycins and Aldgamycins: Genetic Basis for
Bifurcation of Their Biosynthesis.
AB - Aldgamycins are 16-membered macrolide antibiotics with a rare branched-chain
sugar d-aldgarose or decarboxylated d-aldgarose at C-5. In our efforts to clone
the gene cluster for aldgamycins from a marine-derived Streptomyces sp. HK-2006-1
capable of producing both aldgamycins and chalcomycins, we found that both are
biosynthesized from a single gene cluster. Whole-genome sequencing combined with
gene disruption established the entire gene cluster of aldgamycins: nine new
genes are incorporated with the previously identified chalcomycin gene cluster.
Functional analysis of these genes revealed that almDI/almDII, (encoding
alpha/beta subunits of pyruvate dehydrogenase) triggers the biosynthesis of
aldgamycins, whereas almCI (encoding an oxidoreductase) initiates chalcomycins
biosynthesis. This is the first report that aldgamycins and chalcomycins are
derived from a single gene cluster and of the genetic basis for bifurcation in
their biosynthesis.
PMID- 27191532
TI - In Situ Transfection by Controlled Release of Lipoplexes Using Acoustic Droplet
Vaporization.
AB - Localized delivery of nucleic acids to target sites (e.g., diseased tissue) is
critical for safe and efficacious gene therapy. An ultrasound-based technique
termed acoustic droplet vaporization (ADV) has been used to spatiotemporally
control the release of therapeutic small molecules and proteins contained within
sonosensitive emulsions. Here, ADV is used to control the release of lipoplex
containing plasmid DNA encoding an enhanced green fluorescent protein reporter
from a sonosensitive emulsion. Focused ultrasound (3.5 MHz, mechanical index (MI)
>= 1.5) generates robust release of fluorescein (i.e., surrogate payload) and
lipoplex from the emulsion. In situ release of the lipoplex from the emulsion
using ADV (MI = 1.5, 30 cycles) yields a 55% release efficiency, resulting in 43%
transfection efficiency and 95% viability with C3H/10T1/2 cells. Without exposure
to ultrasound, the release and transfection efficiencies are 5% and 7%,
respectively, with 99% viability. Lipoplex released by ADV retains its
bioactivity while the ADV process does not yield any measureable sonoporative
enhancement of transfection. Co-encapsulation of Ficoll PM 400 within the
lipoplex-loaded emulsion, and its subsequent release using ADV, yield higher
transfection efficiency than the lipoplex alone. The results demonstrate that ADV
can have utility in the spatiotemporal control of gene delivery.
PMID- 27191537
TI - Safety of antitumour necrosis factor treatments in chronic rheumatic diseases:
therapy discontinuations related to side effects.
AB - WHAT IS KNOWN AND OBJECTIVE: The appearance of antitumor necrosis factor drugs
(ATDs) has been a major advance in the management of these patients. However, due
to the immunosuppressive effect of these therapies, side effects that require
treatment discontinuations can appear. The purpose of this study was to evaluate
the frequency of ATD discontinuation due to adverse drug effects (ADEs) and the
influence of different factors such as diagnosis, ATD prescribed and concomitant
disease-modifying antirheumatic drugs (DMARDs). METHODS: Observational study from
a prospective cohort conducted in a tertiary hospital (1350 beds) in Spain. Data
were obtained from the database of the Rheumatology Outpatient Unit of the
hospital and patients' clinical files. Included patients had a diagnosis of RA or
peripheral or axial SpA (ankylosing spondylitis, psoriatic SpA, non-radiographic
SpA, SpA associated with inflammatory bowel disease or reactive arthritis)
treated between November 2000 and March 2014 with infliximab (IFX), etanercept
(ETN) or adalimumab (ADA). RESULTS AND DISCUSSION: Study cohort included 531
rheumatic patients (282 patients with RA, 53.1%, and 249 patients with SpA,
46.9%). ATDs were discontinued in 62 cases (11.7%) because of ADEs, mainly
inmunogenicity and infections (mainly due to infusion reactions, 58.1%, and
infections, 19.3%). ATD discontinuation was higher in the group of RA patients
compared with SpA (44/282 (15.6%) in RA vs. 18/249 (7.23%) in SpA). The
appearance of ADEs that led to drop out was more frequent in patients under IFX
therapy (45 (18.6%) with IFX vs. 12 (7.59%) with ETN and 5 (3.81%) with ADA). We
observed a significantly increased risk of ADEs when patients received IFX than
when ETN or ADA were used (P < 0.001); 444 patients (83.6%) received DMARDs in
combination with ATDs. The risk of ATD withdrawal was significantly higher in
patients treated with leflunomide as compared to those who do not (OR = 1.984, P
< 0.05). WHAT IS NEW AND CONCLUSION: Discontinuation of ATD due to ADEs is
relatively frequent and it depends on the diagnosis and ATD administered. The
risk of treatment discontinuation is higher in patients diagnosed with RA vs. SpA
or treated with IFX (rather than with ETN or ADA). The addition of DMARDs to ATDs
increased the frequency of treatment discontinuation, up to three concomitant
medications. Leflunomide in combination with an ATD significantly increased the
probability of treatment discontinuation due to adverse reactions.
PMID- 27191536
TI - Effect of Helicobacter pylori Eradication and ABO Genotype on Gastric Cancer
Development.
AB - BACKGROUND: Evidence is lacking regarding how Helicobacter pylori infection
status, eradication history, and ABO blood type affect the development of gastric
cancer (GC) given the multifactorial and distinctive etiology according to cancer
location (noncardia vs cardia) and histologic type (intestinal vs diffuse-type).
We evaluated the effect of H. pylori infection status incorporated with H. pylori
eradication history and ABO genotype on GC development according to cancer
location and histologic type. METHODS: A case-control study of 997 patients with
noncardia GC (NCGC) and 1147 control subjects was performed using risk analyses
with 14 factors including H. pylori infection with eradication history and ABO
genotype. As final analyses, multivariable logistic regression models were
fitted. Additionally, H. pylori infection status with eradication history was
tested for its association with age, atrophic gastritis (AG), and intestinal
metaplasia (IM). RESULTS: The ABO genotype with the B allele was associated with
a significantly lower risk of NCGC of both histologic types. The reduction in
risk for NCGC by adding the B allele was more prominent in diffuse-type than that
in the intestinal-type. H. pylori infection with eradication history was
associated with a significantly lower risk of NCGC of both histologic types,
compared with those without eradication history (odds ratio (OR), 0.22; 95%
confidence interval (CI), 0.14-0.34) approaching that of uninfected subjects.
Past infection status without an eradication history was associated with older
age, AG, and IM. CONCLUSIONS: H. pylori eradication and the B allele decreased
the risks of the intestinal and diffuse-types of NCGC. H. pylori eradication
revealed a strong association against developing NCGC. Therefore, it should be
considered as a primary measure in NCGC prevention.
PMID- 27191539
TI - Dipeptidyl peptidase-IV inhibitors induced bullous pemphigoid: a case report and
analysis of cases reported in the European pharmacovigilance database.
AB - WHAT IS KNOWN AND OBJECTIVE: Bullous pemphigoid has been reported in association
with gliptins. We describe a case, review the literature and analyse all cases of
bullous pemphigoid recorded in the European pharmacovigilance database,
EudraVigilance. CASE SUMMARY: A 74-year-old woman, treated with
vildagliptin/metformin for 12 months, developed bullous pemphigoid, confirmed by
skin biopsy. The symptoms resolved within 7 months after vildagliptin/metformin
withdrawal. WHAT IS NEW AND CONCLUSION: A search in EudraVigilance showed a
disproportionality for bullous pemphigoid and gliptins, except alogliptin. These
findings extend the evidence associating gliptins with this potentially serious
disease.
PMID- 27191538
TI - Population pharmacokinetics of tacrolimus in Thai kidney transplant patients:
comparison with similar data from other populations.
AB - WHAT IS KNOWN AND OBJECTIVE: Tacrolimus, the most widely used calcineurin
inhibitor in kidney transplantation, has a narrow therapeutic window with high
interindividual variability in its pharmacokinetics. Clinically feasible models
that combine important factors may help guide individual tacrolimus dosage
adjustment in kidney transplant patients. The purpose of this study was to
develop a population pharmacokinetic model and investigate the influence of
clinical factors on the pharmacokinetics of tacrolimus in adult Thai kidney
transplant patients from routine data monitoring. METHODS: A total of 1183 whole
blood concentrations from 96 patients were characterized using nonlinear mixed
effects modelling. Clinical factors tested for influence on pharmacokinetic
parameters were weight, haemoglobin, duration of tacrolimus therapy, prednisolone
dose, serum albumin and estimated glomerular filtration rate. RESULTS AND
DISCUSSION: A one-compartment model with first-order absorption best described
the data. The population estimate of tacrolimus apparent clearance (CL/F) and
apparent volume of distribution (V/F) in the final population model was 21.5 L/h
(95% CI; 18.38, 24.34) and 333 L (95% CI; 222.66, 484.35), respectively. CL/F
increased with decreasing haemoglobin levels and decreased with increasing
duration of tacrolimus therapy (both P < 0.001). The population pharmacokinetic
equation that predicted CL/F of tacrolimus was CL/F = 21.5 * exp((-0.05 () (HB) (
- 11.8))) * (DOT/125)(-0.06) , where CL/F was tacrolimus apparent oral clearance
(L/h), HB was haemoglobin levels (g/dL), and DOT was duration of tacrolimus
therapy (days). No covariates significantly influenced V/F. WHAT IS NEW AND
CONCLUSION: The first population pharmacokinetic model of tacrolimus in Thai
adult kidney transplant patients was developed and validated. Haemoglobin and
duration of tacrolimus therapy could partly explain the interindividual
variability in the apparent clearance of tacrolimus. This manuscript also
provides a summary review of previously reported population pharmacokinetic
models of twice daily tacrolimus in adult kidney transplant recipients.
PMID- 27191540
TI - Diabetes literacy and informal social support: a qualitative study of patients at
a diabetes centre.
AB - AIMS AND OBJECTIVES: To explore the resources that patients diagnosed with type 2
diabetes drew upon to manage the disease in their daily lives. BACKGROUND: Type 2
diabetes is a disease affecting Australian adults at a rate described as an
'epidemic'. Treatment usually focuses on patient self-management, which may
require daily blood sugar monitoring, oral medications or injectable therapies,
and regulating diet and exercise. Health research studies of patient self
management, including those involving type 2 diabetes, have focused largely on
individual-centred definitions, though a number of studies, in particular
qualitative studies, have indicated the positive role of social relationships and
informal social networks. DESIGN: Exploratory, qualitative. METHODS: The project
focused on 26 patients attending a diabetes centre for clinical consultations
with centre staff including doctors, diabetes educators, podiatrists and
dietitians. The consultations were observed and audio recorded, followed by semi
structured, audio-recorded interviews with the patients and separate interviews
with the consulting professional staff. RESULTS: Overwhelmingly the patients drew
on informal social networks of support to manage the disease. Spouses were
significant, sometimes presenting with the patient as a 'team' approach to
managing the disease. Sons and daughters also played a significant support role,
especially interpreting during consultations and explaining health information.
In some cases neighbours and also local community organisations provided informal
support. Only two patients claimed not to use informal social support.
CONCLUSIONS: Informal social support in patients' self-management of type 2
diabetes was found to be an important factor to be considered by clinicians. The
study suggested the need for a more deliberate or pro-active policy to involve
patients' family and other informal social networks in treatment programs.
RELEVANCE TO CLINICAL PRACTICE: Clinicians may need document and incorporate
informal social support in the development and implementation of patient
management plans.
PMID- 27191541
TI - Death by a thousand cuts: the slow demise of chemotherapy.
PMID- 27191542
TI - The uncovering and characterization of a CCKoma syndrome in enteropancreatic
neuroendocrine tumor patients.
AB - OBJECTIVE: Neuroendocrine tumors in the pancreas and the gastrointestinal tract
may secrete hormones which cause specific syndromes. Well-known examples are
gastrinomas, glucagonomas, and insulinomas. Cholecystokinin-producing tumors
(CCKomas) have been induced experimentally in rats, but a CCKoma syndrome in man
has remained unknown until now. MATERIAL AND METHODS: Using a panel of
immunoassays for CCK peptides and proCCK as well as for chromogranin A, we have
examined plasma samples from 284 fasting patients with gastroenteropancreatic
neuroendocrine tumors. In hyperCCKemic samples, plasma CCK was further
characterized by chromatography. RESULTS: One of the patients displayed gross
hyperCCKemia. She was a 58-year old woman with a pancreatic endocrine tumor,
liver metastases, 500-1000-fold elevated basal CCK concentration in plasma,
diarrhea, severe weight loss, recurrent peptic ulcer and bilestone attacks from a
contracted gallbladder. The CCK concentrations in plasma were not affected by
resection of the pancreatic tumor, but decreased to normal after hemihepatectomy
with removal of the metastases. CONCLUSION: A CCKoma syndrome with severe
hypersecretion of CCK exists in man. The duodenal ulcer disease and diarrhea with
permanently low gastrin in plasma suggest that CCKomas may mimic gastrinoma-like
symptoms, because CCK peptides are full agonists of the gastrin/CCK-B receptor.
PMID- 27191543
TI - Ecologic Study of Meningococcal B Vaccine and Neisseria gonorrhoeae Infection,
Norway.
PMID- 27191544
TI - The rise and fall of the CD28 superagonist TGN1412 and its return as TAB08: a
personal account.
AB - Two decades ago, we discovered 'superagonistic' monoclonal antibodies specific
for the CD28 molecule which are able to polyclonally activate T cells, in
particular regulatory T cells, and are therapeutically active in many rodent
models of autoimmunity, inflammation, transplantation, and tissue repair. A phase
I trial of the human CD28 superagonist TGN1412 failed in 2006 due to an
unexpected cytokine release syndrome, but after it became clear that dose
reduction allows to preferentially address regulatory T cells also in humans,
clinical development was resumed under the name TAB08. Here, I recount the story
of CD28 superagonist development from a personal perspective with an emphasis on
the dramatic events during and after the 2006 phase I trial, the reasons for the
failure of preclinical research to warn of the impending cytokine storm, and on
the research which allowed resumption of clinical development.
PMID- 27191545
TI - Trends in National Institutes of Health Funding of Principal Investigators in
Dermatology Research by Academic Degree and Sex.
AB - IMPORTANCE: National Institutes of Health (NIH) grants are becoming increasingly
competitive in the academic research arena. Identifying NIH funding disparities
is an important step in improving academic diversity. OBJECTIVE: To examine
recent NIH funding trends in dermatology. DESIGN, SETTING, AND PARTICIPANTS:
Retrospective study with linear regression analysis and repeated-measures
analysis of variance of all NIH grants awarded to departments of dermatology from
fiscal year 2009 to 2014. Funding data were exported from the NIH Research
Portfolio Online Reporting Tools Expenditures and Results. Publication data were
drawn from Scopus. All NIH-funded principal investigators in dermatology were
categorized by their academic degree and sex. MAIN OUTCOMES AND MEASURES: The NIH
funding trends were compared by investigator degree (MD, PhD, or MD/PhD) and sex.
RESULTS: A total of 1292 NIH-funded grants were awarded to dermatology research
from fiscal year 2009 through 2014. Adjusted NIH funding for dermatologic
research diminished by 4.6% from $67.3 million in 2009 to $64.2 million in 2014,
with a nadir of $58.6 million in 2013. Funding for the NIH's Research Project
Grant Program (R01) decreased by 21.0% from $43.9 million to $34.7 million during
this period. The dollar amount of NIH funding significantly trended down for
investigators with an MD degree by $1.35 million per year from $23.6 million in
2009 to $18.4 million in 2014 (P = .02) while there was no significant change in
NIH funding for MD/PhD (from $17.6 million in 2009 to $19.8 million in 2014; P =
.44) and PhD investigators (from $26.1 million in 2009 to $25.9 million in 2014;
P = .74). Similarly, the total dollar amount of R01 grants awarded to principal
investigators with only an MD degree trended down by $1.4 million per year from
$13.2 million in 2009 to $6.0 million in 2014 (P < .001). The number of female
investigators with NIH grants in dermatology trended down significantly compared
with the trend of their male counterparts (from 49 women in 2009 to 43 women in
2014 vs from 84 men in 2009 to 97 men in 2014; P = .04). CONCLUSIONS AND
RELEVANCE: There is a downward trend in NIH funding for female and MD-only
dermatology investigators. Departmental support and junior faculty mentorship for
women and MD investigators is crucial for maintaining their presence in NIH
funded dermatology research.
PMID- 27191546
TI - Examining the complexities of affective experience will enhance our understanding
of pain and inform new interventions designed to bolster resilience.
PMID- 27191547
TI - Treatment Options for Veterans With Posttraumatic Stress Disorder.
PMID- 27191549
TI - Effects of market, e-marketing, and technology orientations on innovativeness and
performance in Turkish health organizations.
AB - This article investigates the effects of strategic orientation on innovativeness
and performance in health organizations in Turkey. We test hypotheses that
market, e-marketing, and technology orientations positively affect innovativeness
and performance. Market and technology orientations are found not have a
significant effect on performance, but e-marketing orientation and innovativeness
have a significant and positive effect. We also investigate indirect effects on
innovativeness and performance. The analyses of direct and indirect effects are
an important contribution to understanding relationships among research
variables.
PMID- 27191548
TI - Methionine-Restricted Diet Increases miRNAs That Can Target RUNX2 Expression and
Alters Bone Structure in Young Mice.
AB - Dietary methionine restriction (MR) increases longevity and improves healthspan
in rodent models. Young male C57BL/6J mice were placed on MR to assess effects on
bone structure and formation. Mice were fed diets containing 0.86% or 0.12%
methionine for 5 weeks. Fasting blood plasma was analyzed for metabolic and bone
related biomarkers. Tibiae were analyzed by histomorphometry, while femurs were
analyzed by micro-CT and biomechanically using 4-point bending. MR mice had
reduced plasma glucose and insulin, while FGF21 and FGF23 increased. Plasma
levels of osteocalcin and osteoprotegrin were unaffected, but sclerostin and
procollagen I decreased. MR induced bone marrow fat accretion, antithetical to
the reduced fat depots seen throughout the body. Cortical bone showed significant
decreases in Bone Tissue Density (BTD). In trabecular bone, mice had decreased
BTD, bone surface, trabecula and bone volume, and trabecular thickness..
Biomechanical testing showed that on MR, bones were significantly less stiff and
had reduced maximum load and total work, suggesting greater fragility. Reduced
expression of RUNX2 occurred in bone marrow of MR mice. These results suggest
that MR alters bone remodeling and apposition. In MR mice, miR-31 in plasma and
liver, and miR-133a, miR-335-5p, and miR-204 in the bone marrow was elevated.
These miRNAs were shown previously to target and regulate Osterix and RUNX2 in
bone, which could inhibit osteoblast differentiation and function. Therefore,
dietary MR in young animals alters bone structure by increasing miRNAs in bone
and liver that can target RUNX2. J. Cell. Biochem. 118: 31-42, 2017. (c) 2016
Wiley Periodicals, Inc.
PMID- 27191550
TI - Removal of nickel(II) from aqueous solution by Vigna unguiculata (cowpea) pods
biomass.
AB - The potential to remove nickel(II) ions from aqueous solution using a biosorbent
prepared from Vigna unguiculata pods (VUPs) was investigated in batch
experiments. The batch mode experiments were conducted utilising the independent
variables of pH (2 to 8), contact time (5 to 120 min), dosage concentration (0.2
to 1.6 g), nickel(II) concentrations (10 to 80 mg L(-1)) and temperature (20 to
50 degrees C). The biosorption data fitted best to the Freundlich biosorption
model with a correlation coefficient (R(2)) of 0.993 and lowest chi-squared value
of 31.89. The maximum sorption capacity of the VUP for nickel(II) was 27.70 mg g(
1). Kinetics studies revealed that the biosorption process followed the pseudo
second-order model as it had the lowest sum of square error value (0.808) and
correlation coefficient close to unity (R(2) = 0.998). The calculated
thermodynamic parameters showed that the biosorption process was feasible,
spontaneous and endothermic. Consequently, the study demonstrated that VUP
biomass could be used as a biosorbent for the removal of nickel(II) from aqueous
solution.
PMID- 27191552
TI - The activated sludge metabolic characteristics changing sole carbon source from
readily biodegradable acetate to toxic phenol.
AB - A sequencing batch reactor was used to investigate the effect of carbon sources
on the metabolism of activated sludge. Acetate and phenol, with the chemical
oxygen demand (COD) of 330-350 mg L(-1), was used as the carbon source in Periods
I and II, respectively. Acetate decreased in the initial 120 min with the
intracellular storage materials (XSTO), extracellular polymeric substances (EPS),
and the soluble microbial products (SMP) accumulating to 131.0 mg L(-1), 347.5 mg
L(-1), and 35.5 mg L(-1), respectively. Then, XSTO and EPS decreased to 124.5 mg
L(-1) and 340.0 mg L(-1), respectively, in the following 120 min. When acetate
was replaced by phenol, it could not be used at the beginning due to its
toxicity. The XSTO decreased from 142 mg L(-1) to 54.6 mg L(-1) during the
aeration period. The EPS had a significant increase, with the highest value of
618.1 mg L(-1), which then decreased to 245.6 mg L(-1) at 240 min. The phenol was
gradually degraded with the acclimation and it can be fully degraded 18 d later.
Meanwhile, the usage ratio of the internal carbon source decreased. The effluent
SMP in Period II was 1.7 times that in Period I.
PMID- 27191551
TI - The investigation of the sludge reduction efficiency and mechanisms in oxic
settling-anaerobic (OSA) process.
AB - This paper aims to provide a full understanding of the sludge reduction
mechanisms in the oxic-settling-anaerobic (OSA) process and presents an
evaluation of the sludge reduction efficiencies and sludge characteristics in
this process compared to the conventional activated sludge process. Fifty-eight
percent reduction in observed yield in the OSA process was achieved compared to
the control system at the end of the operational period with no deterioration of
effluent quality. The settleability of sludge in the OSA process was also found
to be better than that of the control system in terms of sludge volume index. In
long-term operation, capillary suction time and specific resistance to filtration
values confirmed that the OSA process showed good filterability characteristics.
The results of batch experiments showed that higher endogenous respiration in the
systems might lead to lower sludge production and that energy uncoupling had only
a limited impact on sludge reduction.
PMID- 27191553
TI - Mutagenicity, cytotoxicity and phytotoxicity evaluation of biodegraded textile
effluent by fungal ligninolytic enzymes.
AB - Colored effluents from the textile industry have led to severe environmental
pollution, and this has emerged as a global issue. The feasibility of
ligninolytic enzymes for the detoxification and degradation of textile wastewater
was investigated. Ganoderma lucidum crude ligninolytic enzymes extract (MnP
717.7, LiP 576.3, and Laccase 323.2 IU/mL) was produced using solid-state culture
using wheat bran as substrate. The biodegradation treatment efficiency was
evaluated on the basis of degradation and detoxification of textile effluents.
Standard bioassays were employed for mutagenicity, cytotoxicity and phytotoxicity
evaluation before and after biodegradation. The degradation of Masood Textile,
Kalash Textile, Khyber Textile and Sitara Textile effluents was achieved up to
87.29%, 80.17%, 77.31% and 69.04%, respectively. The biochemical oxygen demand,
chemical oxygen demand, total suspended solids and total organic carbon were
improved considerably as a result of biodegradation of textile effluents, which
were beyond the permissible limits established by the National Environmental
Quality Standards before treatment. The cytotoxicity (Allium cepa, hemolytic,
Daphnia magna and brine shrimp), mutagenicity (Ames TA98 and TA100) and
phytotoxicity (Triticum aestivum) tests revealed that biodegradation
significantly (P < 0.05) detoxifies the toxic agents in wastewater. Results
revealed that biodegradation could possibly be used for remediation of textile
effluents. However, detoxification monitoring is crucial and should always be
used to evaluate the bio-efficiency of a treatment technique.
PMID- 27191555
TI - Life cycle assessment comparison of activated sludge, trickling filter, and high
rate anaerobic-aerobic digestion (HRAAD).
AB - This paper conducts a comparative assessment of the environmental impacts of
three methods of treating primary clarifier effluent in wastewater treatment
plants (WWTPs) through life cycle assessment methodology. The three technologies,
activated sludge (AS), high rate anaerobic-aerobic digestion (HRAAD), and
trickling filter (TF), were assessed for treatment of wastewater possessing
average values of biochemical oxygen demand and total suspended solids of 90 mg
L(-1) and 70 mg L(-1), respectively. The operational requirements to process the
municipal wastewater to effluent that meets USEPA regulations have been
calculated. The data for the AS system were collected from the East Honolulu WWTP
(Hawaii, USA) while data for the HRAAD system were collected from a demonstration
scale system at the same plant. The data for the TF system were estimated from
published literature. Two different assessment methods have been used in this
study: IMPACT 2002+ and TRACI 2. The results show that TF had the smallest
environmental impacts and that AS had the largest, while HRAAD was in between the
two but with much reduced impacts compared with AS. Additionally, the study shows
that lower sludge production is the greatest advantage of HRAAD for reducing
environmental impacts compared with AS.
PMID- 27191554
TI - Visible light induced photocatalytic degradation of rhodamine B by magnetic
bentonite.
AB - The photocatalytic activity of magnetic bentonite, Fe3O4 nanoparticles decorated
Al-pillared bentonite (Fe3O4/Al-B), for the degradation of rhodamine B (RhB) in
the presence of H2O2 under visible light (VL) was evaluated. The effects of
different reaction parameters such as catalyst dose, dye concentration and
externally added H2O2 were also investigated. The magnetic bentonite showed good
photocatalytic activity, magnetic separability and stability for repeated use.
More than 95% of 40 mg/L RhB was converted within 3 h under VL with a catalyst
dose of 0.5 g/L. Suitable mechanisms have been proposed to account for the
photocatalytic activities in the presence and absence of H2O2. The efficiency of
H2O2 in VL process was much higher than that of the dark process. Results
obtained in the current study may be useful to develop a suitable photocatalyst
for photocatalytic remediation of different water contaminants including organic
dyes.
PMID- 27191556
TI - Breakthrough curves of oil adsorption on novel amorphous carbon thin film.
AB - A novel amorphous carbon thin film (ACTF) was prepared by hydrolyzing wood
sawdust and delignificating the residue to obtain cellulose mass that was
subjected to react with cobalt silicate nanoparticle as a catalyst under the
influence of sudden concentrated sulfuric acid addition at 23 degrees C. The
novel ACTF was obtained in the form of thin films like graphene sheets having
winding surface. The prepared ACTF was characterized by Fourier-transform
infrared spectrometer, transmission electron microscope (TEM), and Brunauer
Emmett-Teller (BET). The adsorption capacity of ACTF to remove oil from synthetic
produced water was evaluated using the incorporation of Thomas and Yoon-Nelson
models. The performance study is described through the breakthrough curves
concept under relevant operating conditions such as column bed heights (3.8, 5
and 11 mm) and flow rate (0.5, 1 and 1.5 mL.min(-1)). It was found that the oil
uptake mechanism is favoring higher bed height. Also, the highest bed capacity of
700 mg oil/g ACTF was achieved at 5 mm bed height, and 0.5 mL.min(-1) flow rate.
The results of breakthrough curve for oil adsorption was best described using the
Yoon-Nelson model. Finally, the results illustrate that ACTF could be utilized
effectively for oil removal from synthetic produced water in a fixed-bed column
system.
PMID- 27191557
TI - Characterization of char from slow pyrolysis of sewage sludge.
AB - The effects of final pyrolysis temperature Tend from 300 oC to 550 oC, heating
rates beta of 2 oC/min, 3 oC/min and 5 oC/min, retention time RT from 45 min to
90 min, and the moisture content MC from 0 to 70% on characteristics of the
pyrolysis char from sewage sludge were investigated using a tube furnace in this
study. The resulting chars were characterized by sorption of nitrogen (surface
area and pore volume). Their adsorption characteristics were evaluated via iodine
value and methylene blue value. Either the pore structures or adsorption
characteristics depend on the pyrolysis processing and moisture content of the
sludge precursors. In terms of iodine value and surface area of the char, Tend of
450 oC, RT of 75 min and beta of 3 oC/min proved the optimum combination of
pyrolysis parameters. The chars have an undeveloped mesopore and macropore
structure and a developed micropore structure. The sodium phenoxide adsorption
equilibrium data fit well with the Langmuir model of adsorption, suggesting
monolayer coverage of sodium phenoxide molecules at the surface of the char. Its
adsorption mechanism is mainly physical in nature, enhanced by chemisorption.
PMID- 27191558
TI - A surfactant-free solvothermal synthesis of Cu2O microcrystals and their
photocatalytic activity.
AB - The Cu2O octahedral microcrystals have been successfully fabricated by a
surfactant-free solvothermal approach. The morphology and structure of the as
prepared sample were characterized by scanning electron microscopy, X-ray powder
diffraction and UV-Vis spectroscopy. It was found that the structure and
morphology of Cu2O microcrystals were strongly affected by synthesis time and
temperature. Based on the time-dependent experiment, the possible formation
mechanism of Cu2O octahedral microcrystals was proposed. The photocatalytic
activities of as-prepared Cu2O samples were also evaluated for degradation of
methyl orange under visible-light irradiation. The results showed that the Cu2O
synthesized at 180 degrees C for 4 h had a better photocatalytic performance due
to its high percentage of exposed (111) crystal facet and the lowest band gap
energy.
PMID- 27191559
TI - Anaerobic digestion of aliphatic polyesters.
AB - Anaerobic processes for the treatment of plastic materials waste represent
versatile and effective approach in environmental protection and solid waste
management. In this work, anaerobic biodegradability of model aliphatic
polyesters, poly(L-lactic acid) (PLA), and poly(E-caprolactone) (PCL), in the
form of powder and melt-pressed films with varying molar mass, was studied.
Biogas production was explored in batch laboratory trials at 55 +/- 1 degrees C
under a nitrogen atmosphere. The inoculum used was thermophilic digested sludge
(total solids concentration of 2.9%) from operating digesters at the Central
Waste Water Treatment Plant in Prague, Czech Republic. Methanogenic
biodegradation of PCLs typically yielded from 54 to 60% of the theoretical biogas
yield. The biodegradability of PLAs achieved from 56 to 84% of the theoretical
value. High biogas yield (up to 677 mL/g TS) with high methane content (more than
60%), comparable with conventionally processed materials, confirmed the potential
of polyester samples for anaerobic treatment in the case of their exploitation in
agriculture or as a packaging material in the food industry.
PMID- 27191560
TI - Poly-(acryl amine-co-dimethyldiallyl ammonium chloride) graft starch flocculant
for cleaning-up of wastewater.
AB - Graft starch flocculant (GSF) was synthesized by copolymerization of
carboxymethylated soluble starch, acryl amine and dimethyldiallyl ammonium
chloride using ceric ammonium nitrate (NH4)2Ce(NO3)6 as the polymerization
initiator. The morphology was observed by scanning electron microscope, the
structure was characterized by Fourier transform infrared spectroscopy and the
surface area was measured by the Brunauer-Emmett-Teller method. The experimental
results showed that the GSF had huge pore volume, high specific area and proper
reaction groups, which could enhance its ability to adsorb heavy metal ions. The
adsorption behavior was investigated through batch experiments in simulated
Cu(2+)and Pb(2+) ions wastewater, and adsorption characteristics were affected by
many factors, such as flocculant concentration, pH of the solution and adsorption
time. Finally, the optimal adsorption parameters were gained, with GSF density of
0.024 mg.L(-1), pH of 8 and a reaction time of 30 min. Application experiments
adequately demonstrated that the removal ratio of Cu(2+) and Pb(2+) ions for the
local wastewater reached about 50% based on the above optimized condition.
PMID- 27191561
TI - Application of response surface methodology and artificial neural network:
modeling and optimization of Cr(VI) adsorption process using Dowex 1X8 anion
exchange resin.
AB - We report the adsorption efficiency of Cr(VI) on a strong anionic resin Dowex
1X8. The Fourier transform infrared spectroscopy (FTIR) and X-ray diffraction
(XRD) analysis of this adsorbent were investigated. Response surface methodology
was applied to evaluate the main effects and interactions among initial pH,
initial Cr(VI) concentration, adsorbent dose and temperature. Analysis of
variance depicted that resin dose and initial pH were the most significant
factors. Desirability function (DF) showed that the maximum Cr(VI) removal of
95.96% was obtained at initial pH 5, initial Cr(VI) concentration of 100 mg/L,
resin dose of 2 g and temperature of 283 K. Additionally, a simulated industrial
wastewater containing 14.95 mg/L of Cr(VI) was treated successfully by Dowex 1X8
at optimum conditions. Same experimental design was employed to develop the
artificial neural network. Both models gave a high correlation coefficient
(RRSM(2) = 0.932, RANN(2) = 0.996).
PMID- 27191562
TI - Water quality of Danube Delta systems: ecological status and prediction using
machine-learning algorithms.
AB - Environmental issues have a worldwide impact on water bodies, including the
Danube Delta, the largest European wetland. The Water Framework Directive
(2000/60/EC) implementation operates toward solving environmental issues from
European and national level. As a consequence, the water quality and the
biocenosis structure was altered, especially the composition of the macro
invertebrate community which is closely related to habitat and substrate
heterogeneity. This study aims to assess the ecological status of Southern Branch
of the Danube Delta, Saint Gheorghe, using benthic fauna and a computational
method as an alternative for monitoring the water quality in real time. The
analysis of spatial and temporal variability of unicriterial and multicriterial
indices were used to assess the current status of aquatic systems. In addition,
chemical status was characterized. Coliform bacteria and several chemical
parameters were used to feed machine-learning (ML) algorithms to simulate a real
time classification method. Overall, the assessment of the water bodies indicated
a moderate ecological status based on the biological quality elements or a good
ecological status based on chemical and ML algorithms criteria.
PMID- 27191563
TI - Adsorption characteristics of Pb(II) from aqueous solutions onto a natural
biosorbent, fallen arborvitae leaves.
AB - In this study, the potential of the oriental arborvitae leaves for the adsorption
of Pb(II) from aqueous solutions was evaluated. Brunauer-Emmett-Teller analysis
showed that the surface area of arborvitae leaves was 29.52 m(2)/g with pore
diameter ranging from 2 to 50 nm. X-ray photoelectron spectroscopy and Fourier
transform infrared spectroscopy showed C-C or C-H, C-O, and O-C=O were the main
groups on the arborvitae leaves, which were the main sites for surface
complexation. Finally, effects of adsorbent dose, initial pH, contact time, and
coexisting natural organic matters (humic acid (HA)) on the adsorption of Pb(II)
were investigated. The results indicated that the pHZPC (adsorbents with zero
point charge at this pH) was 5.3 and the adsorption reached equilibrium in 120
min. Isotherm simulations revealed that the natural arborvitae leaves exhibit
effective adsorption for Pb(II) in aqueous solution, giving adsorptive affinity
and capacity in an order of 'no HA' > 5 mg/L HA > 10 mg/L HA, and according to
the Langmuir models, the maximum adsorptions of Pb(II) were 43.67 mg/g, 38.61
mg/g and 35.97 mg/g, respectively. The results demonstrated that the oriental
arborvitae leaves showed high potentials for the adsorption of Pb(II) from
aqueous solutions.
PMID- 27191565
TI - Biodegradation and decolorization of melanoidin solutions by manganese peroxidase
yeasts.
AB - The ability of selected manganese peroxidase (MnP) yeast strains, isolated from
the mixed liquor of an activated sludge bioreactor treating melanoidins
wastewater, was investigated in this work, aiming to examine the degradation
potential of melanoidins, in the presence or absence of nutrients. Ten yeast
strains were initially isolated from the mixed liquor; four yeast strains (Y1,
Y2, Y3 and Y4) were selected for further studies, based on their tolerance
towards synthetic melanoidins (SMs) degradation and MnP activity onto solid agar
medium. The Y1 strain exhibited almost 98% homology to Candida glabrata yeast,
based on 28S rRNA identification studies. During experiments carried out using SM
at 30 degrees C, the four isolated yeast cultures showed a noticeable organic
matter reduction and decolorization capacity reaching up to 70% within 2-5 days.
However, the corresponding yeast cultures grown in glucose peptone yeast extract
medium using real melanoidin wastewater at 30 degrees C showed lower organic
matter and color removal capacity, reaching about 60% within 2-5 days.
Nevertheless, it was found that the removal of real and synthetic melanoidins
could be carried out by these strains under non-aseptic conditions, without
requiring further addition of nutrients.
PMID- 27191564
TI - Simulations of nutrient emissions from a net cage aquaculture system in a
Brazilian bay.
AB - Hydrodynamics and transport simulations were conducted with the modeling software
TELEMAC-2D on Ico-Mandantes bay, a branch of the Itaparica reservoir. The bay has
a maximal operational water level amplitude of 5 m and is suffering from
eutrophication and algae bloom. Therefore, we investigated low and high water
level scenarios with two different high resolution meshes, with the purpose to
deeper understand their impact on transport of substances and to improve the
watershed management. In particular, nutrient emissions from a hypothetical net
cage aquaculture system located in the bay were investigated on half-year cycles.
We observed a relevant impact on water quality for a tilapia production of 130 t
y(-1); i.e. after 6 months' simulation we obtained around 8 MUgP L(-1) and 6 MUgP
L(-1) at the source of emissions, for low and high water scenarios, respectively.
PMID- 27191566
TI - Anaerobic treatment of sulfate-containing municipal wastewater with a fluidized
bed reactor at 20 degrees C.
AB - This study focuses on the anaerobic treatment of sulfate-containing municipal
wastewater at 20 degrees C with a fluidized bed reactor. Mean influent chemical
oxygen demand (COD) and sulfate concentrations were 481 and 96 mg/l. The response
of the COD removal efficiency to increasing organic loading rates (OLR) was
investigated. Average total COD removal was 61% at OLR between 2.7 and 13.7 kg
COD/(m3.d) and did not distinctly depend on the OLR. To assess the removal
efficiency in more detail the COD in- and output mass flows were balanced. The
results showed that only 11-12% of the input COD was recovered as gaseous
methane. About 12-13% of the input COD remained in the effluent as dissolved
methane. Furthermore, a distinct amount of 12-19% of the input COD remained in
the reactor as settled sludge and was not further biologically degraded. Due to
the reduction by sulfate-reducing bacteria, 13-14% of the input COD was degraded.
Further adverse impacts of the influent sulfate on the anaerobic treatment
process are discussed as well.
PMID- 27191567
TI - Adsorption efficiency of natural materials for low-concentration cesium in
solution.
AB - In this study, several natural materials were investigated in order to clarify
their potential use as cesium (Cs) adsorbents in situ. Four materials--carbonized
rice hull, beech sawdust, oak sawdust, and charcoal (Japanese cedar)--which were
previously shown to have Cs adsorption capabilities, were examined. Cs adsorption
experiments were conducted using different initial Cs and adsorbent
concentrations. The physical properties, adsorption isotherms, and adsorption
processes were then examined, so as to exploit the Cs adsorption characteristics
in the field. Based on these findings, carbonized rice hull and beech sawdust
were selected as effective Cs adsorbents. It was found that these materials show
continuous and stable Cs adsorption rates for different initial Cs
concentrations. The adsorption efficiency of these two adsorption materials in
combination was considered, and it was shown that the adsorption isotherms for
carbonized rice hull and beech sawdust follow the Freundlich model. Furthermore,
the beech sawdust adsorption process exhibited better agreement with the
calculated values obtained via the adsorption rate model and the adsorption
kinetics model than did the carbonized rice hull adsorption.
PMID- 27191568
TI - Effect of carrier fill ratio on biofilm properties and performance of a hybrid
fixed-film bioreactor treating coal gasification wastewater for the removal of
COD, phenols and ammonia-nitrogen.
AB - The purpose of this study was to determine the effect different biofilm carrier
filling ratios would have on biofilm morphology and activity and bacterial
diversity in a hybrid fixed-film bioreactor treating high strength coal
gasification wastewater (CGWW) for the removal of chemical oxygen demand (COD),
phenols and ammonia-nitrogen. Results showed that a carrier fill of 70% formed a
'compact' biofilm, a 50% fill formed a 'rippling' biofilm and a 30% fill formed a
'porous' biofilm. The highest microbial activity was obtained with a 50% carrier
fill supporting a relatively thin biofilm. The highest level of biofilm bound
metals were aluminium, silicon, calcium and iron in the 'compact' biofilm;
nitrogen, magnesium, chloride, sodium and potassium in the 'rippling' biofilm,
and copper in the 'porous' biofilm. The bioreactor improved the quality of the
CGWW by removing 49% and 78% of the COD and phenols, respectively. However, no
significant amount of ammonia-nitrogen was removed since nitrification did not
take place due to heterotrophic bacteria out-competing autotrophic nitrifying
bacteria in the biofilm. The dominant heterotrophic genera identified for all
three carrier filling ratios were Thauera, Pseudaminobacter, Pseudomonas and
Diaphorobacter.
PMID- 27191569
TI - Determining anaerobic degradation kinetics from batch tests.
AB - Data obtained from a biomethane potential (BMP) test were used in order to obtain
the parameters of a kinetic model of solid wastes anaerobic degradation. The
proposed model considers a hydrolysis step with a first order kinetic, a Monod
kinetic for the soluble organic substrate degradation and a first order decay of
microorganisms. The instantaneous release of methane was assumed. The parameters
of the model are determined following a direct search optimization procedure. A
'multiple-shooting' technique was used as a first step of the optimization
process. The confidence interval of the parameters was determined by using Monte
Carlo simulations. Also, the distribution functions of the parameters were
determined. Only the hydrolysis first order constant shows a normal distribution.
PMID- 27191570
TI - Analysis and numerical simulation of natural and human-caused low dissolved
oxygen in the Minjiang River Estuary.
AB - The Minjiang River, a typical tidal channel in Southeast China, plays an
important role in the supply of drinking water, flood control and drought relief,
farming and navigation, as well as shipping and other functions. Dissolved oxygen
(DO), as a basic living condition for aquatic biota, has been deteriorating in
the Minjiang River in recent years. In order to understand how the spatial
distribution of DO responds to river discharge, nutrient loading and water
temperature, a three-dimensional Environmental Fluid Dynamics Code model was used
to simulate water age and the distribution of DO in the Minjiang River. The model
presented in this paper was used for water resource and water quality simulations
under various physical, chemical, and biological scenarios. Sensitivity
simulation results indicated that the three factors had a significant impact on
the spatial distribution variation of DO in the Minjiang River. Increased river
discharge or split ratio of the North Channel resulted in decreased water age and
increased DO. Increased nutrient loading and water temperature caused lower DO.
In order to protect coastal environments in the Minjiang River, river discharge
should be increased and pollutants of local cities should be reduced during the
high temperature and drought period.
PMID- 27191572
TI - Simulation of antimony adsorption on nano-zero valent iron and kaolinite and
analyzing the influencing parameters.
AB - Antimony is one of the most toxic pollutants in industrial and mineral
wastewaters threatening the life of humans and other creatures. We simulated the
adsorption of antimony in the presence of nano-zero valent iron (nZVI) adsorbent,
on kaolinite and in the presence of nZVI coated on kaolinite from mineral
wastewater using VISUAL MINTEQ 3.1 software. Our aim was to determine the factors
affecting the adsorption of antimony by applying simulation. The simulation was
performed using an adsorption model of a diffuse layer model. The results of the
simulation indicated that the nZVI concentration, initial concentrations of
antimony and pH factor are effective on the adsorption of antimony. In the
conducted stimulation, the optimum pH was 2-5 and the highest adsorption occurred
in an acidic state. With increasing initial concentrations of antimony in the
simulation, we concluded that nZVI had absorbed various concentrations above 90%
and, by increasing the concentration of nZVI, antimony adsorption rate increased.
The increased surface area of nZVI and the expansion of more interchangeable
surfaces available for reaction with antimony ions causes more antimony ions to
be adsorbed. In all cases, the coefficient of determination between the
laboratory results and the model predictions that was obtained was more than 0.9.
PMID- 27191571
TI - A novel anoxic-aerobic biofilter process using new composite packing material for
the treatment of rural domestic wastewater.
AB - A pilot scale experiment was conducted to evaluate the characteristics of
contaminants removal in a continuously two-stage biological process composed of
an anoxic biofilter (AF) and an biological aerated filter (BAF). This novel
process was developed by introducing new composite packing material (MZF) into
bioreactors to treat rural domestic wastewater. A comparative study conducted by
the same process with ceramsite as packing material under the same conditions
showed that a MZF system with a Fe proportion in the packing material performed
better in chemical oxygen demand (COD) removal (average 91.5%), ammonia (NH4(+)
N) removal (average 98.3%), total nitrogen (TN) removal (average 64.8%) and total
phosphorus (TP) removal (average 90%). After treatment of the MZF system, the
concentrations of COD, NH4(+)-N, TN and TP in effluent were 20.3 mg/L, 0.5 mg/L,
11.5 mg/L and 0.3 mg/L, respectively. The simultaneously high efficiencies of
nitrification, denitrification and phosphorus removal were achieved by the
coupling effects of biological and chemical processes in the MZF system. The
results of this study showed that the application of MZF might be a favorable
choice as packing material in biofilters for treatment of rural domestic
wastewater.
PMID- 27191573
TI - Carbon sequestration in a surface flow constructed wetland after 12 years of
swine wastewater treatment.
AB - Constructed wetlands used for the treatment of swine wastewater may potentially
sequester significant amounts of carbon. In past studies, we evaluated the
treatment efficiency of wastewater in a marsh-pond-marsh design wetland system.
The functionality of this system was highly dependent on soil carbon content and
organic matter turnover rate. To better understand system performance and carbon
dynamics, we measured plant dry matter, decomposition rates and soil carbon
fractions. Plant litter decomposition rate was 0.0052 g day(-1) (+/-0.00119 g
day(-1)) with an estimated half-life of 133 days. The detritus layer accumulated
over the soil surface had much more humin than other C fractions. In marsh areas,
soil C extracted with NaOH had four to six times higher amounts of humic acid,
fulvic acid and humin than soil C extracted by cold and hot water, HCl/HF, and Na
pyruvate. In the pond area, humic acid, fulvic acid and humin content were two to
four times lower than in the marsh area. More soil C and N was found in the marsh
area than in the pond area. These wetlands proved to be large sinks for stable C
forms.
PMID- 27191575
TI - Influence of temperature on the activity of anammox granular biomass.
AB - The aim of this study was to determine a short-term and long-term effect of
temperature on the anammox rate and determination of temperature coefficients in
the Arrhenius and Ratkowsky equations. The short-term effects of temperature on
the anammox granular biomass were investigated in batch tests at ten different
temperatures in the range of 10-55 degrees C. The maximum overall nitrogen
removal rate of 1.3 gN gVSS(-1).d(-1) was observed at 40 degrees C (VSS:
volatile suspended solids). The minimum rate, close to 0 gN gVSS(-1).d(-1), was
observed for the limits of the analyzed temperature range (10 and 55 degrees C).
The activity tests carried out at 55 degrees C showed an irreversible loss of
the activity due to the observed biomass lysis. Subsequently to the batch tests,
a sequencing batch reactor (SBR) was operated at different temperatures (from 30
to 11 degrees C) to determine the long-term effects of temperature. The system
was successfully operated at 15 degrees C, but when temperature was decreased to
11 degrees C, nitrite started to accumulate and the system lost its stability.
The temperature coefficient (theta) was 1.07 for the batch tests carried out in
the temperature range of 10-40 degrees C. In contrast, during the long-term SBR
operation, substantially different theta had to be estimated for two temperature
ranges, 1.07 (T = 15-30 degrees C) and 1.65 (T = 11-15 degrees C).
PMID- 27191574
TI - Meat industry wastewater: microbiological quality and antimicrobial
susceptibility of E. coli and Salmonella sp. isolates, case study in Vojvodina,
Serbia.
AB - Wastewater from meat processing industries is a fusion of compounds with a high
load of organic matter, and pathogen microorganisms like Escherichia coli, and
Salmonella sp. The aim of this research was to determine microbiological
characteristics of the wastewater discharged from the meat processing industry in
order to get a more detailed insight into meat industry wastewater pollution, and
to evaluate the resistance of bacterial strains E. coli and Salmonella sp. to
antibiotics. The evaluation of the antimicrobial susceptibility was performed on
37 strains of E. coli and eight strains of Salmonella sp. to nine different
antibiotics. The number of faecal pollution indicators was very high in all
samples. From a total of 37 strains of E. coli, a moderate degree of resistance
was shown to tetracycline (37.83%); a low degree of resistance to ampicillin
(21.62%), streptomycin (24.32%), trimethoprim-sulfamethoxazol (18.92%) and
nalidixic acid (16.22%); and very low to: chloramphenicol (13.51%), ciprofloxacin
(2.7%), gentamicin and cefotaxime (0.0%). The results for eight strains of
Salmonella sp. show that all eight isolates had some degree of susceptibility to
nine tested antimicrobial agents and six strains were fully susceptible to all
tested antibiotics.
PMID- 27191576
TI - Optimization of lamp arrangement in a closed-conduit UV reactor based on a
genetic algorithm.
AB - The choice for the arrangement of the UV lamps in a closed-conduit ultraviolet
(CCUV) reactor significantly affects the performance. However, a systematic
methodology for the optimal lamp arrangement within the chamber of the CCUV
reactor is not well established in the literature. In this research work, we
propose a viable systematic methodology for the lamp arrangement based on a
genetic algorithm (GA). In addition, we analyze the impacts of the diameter,
angle, and symmetry of the lamp arrangement on the reduction equivalent dose
(RED). The results are compared based on the simulated RED values and evaluated
using the computational fluid dynamics simulations software ANSYS FLUENT. The
fluence rate was calculated using commercial software UVCalc3D, and the GA-based
lamp arrangement optimization was achieved using MATLAB. The simulation results
provide detailed information about the GA-based methodology for the lamp
arrangement, the pathogen transport, and the simulated RED values. A significant
increase in the RED values was achieved by using the GA-based lamp arrangement
methodology. This increase in RED value was highest for the asymmetric lamp
arrangement within the chamber of the CCUV reactor. These results demonstrate
that the proposed GA-based methodology for symmetric and asymmetric lamp
arrangement provides a viable technical solution to the design and optimization
of the CCUV reactor.
PMID- 27191577
TI - Copper and cadmium complexation by Cylindrospermopsis raciborskii exudates.
AB - Cylindrospermopsis raciborskii is a potentially toxic cyanobacterium that
excretes organic materials which act as ligands for metals. Metal ligands may be
characterized for their strength of association, e.g., stability constants, which
can be either thermodynamic (K) or conditional (K'). In this research we examined
K and K' for Cu and Cd complexes with three molecular weight fractions (>30 kDa;
30-10 kDa; 10-3 kDa) of the cyanobacteria EOM. Complexation capacities of the
excreted organic materials (EOM) for metals were determined at several ionic
strengths (1.0 * 10(-2), 5.0 * 10(-2), 1.0 * 10(-1), and 5.0 * 10(-1) mol L(-1))
at pH 6.6 +/- 0.1, with ligands for which no data for their acidity constants are
available; these constants are thus conditional for this specific pH. Bayesian
statistics showed that with a probability of 95-100% the EOM have two different
ligands for Cu but only one for Cd, that ligands for Cu were stronger than for Cd
(94-100% probability), and that the smallest EOM fraction had the highest
strength of association for Cu (logKCuL 13.5). The lowest affinity was obtained
for Cd (logKCdL 8.6) complexed to any molecular weight fraction. The present
findings have important ecological implications, since the metal-ligand
association is dynamic, and together with a diversity of ligands it can act as an
environmental metal buffer. As a result, higher metal loads may be necessary for
the detection of toxicity.
PMID- 27191578
TI - Study of kinetics of degradation of cyclohexane carboxylic acid by acclimated
activated sludge.
AB - Activated sludge contains complex microorganisms, which are highly effective
biodegrading agents. In this study, the kinetics of biodegradation of cyclohexane
carboxylic acid (CHCA) by an acclimated aerobic activated sludge were
investigated. The results showed that after 180 days of acclimation, the
activated sludge could steadily degrade >90% of the CHCA in 120 h. The
degradation of CHCA by the acclimated activated sludge could be modeled using a
first-order kinetics equation. The equations for the degradation kinetics for
different initial CHCA concentrations were also obtained. The kinetics constant,
kd, decreased with an increase in the CHCA concentration, indicating that, at
high concentrations, CHCA had an inhibiting effect on the microorganisms in the
activated sludge. The effects of pH on the degradation kinetics of CHCA were also
investigated. The results showed that a pH of 10 afforded the highest degradation
rate, indicating that basic conditions significantly promoted the degradation of
CHCA. Moreover, it was found that the degradation efficiency for CHCA increased
with an increase in temperature and concentration of dissolved oxygen under the
experimental conditions.
PMID- 27191580
TI - Erratum: Water Science and Technology 73 (4), 873-880: Influence of flocculation
on sediment deposition process at the Three Gorges Reservoir, Dangwei Wang,
Xiaofang Liu, Zuwen Ji, Zhandi Dong and Haihua Hu, doi: 10.2166/wst.2015.562.
PMID- 27191579
TI - Utilization of artificial recharged effluent as makeup water for industrial
cooling system: corrosion and scaling.
AB - The secondary effluent from wastewater treatment plants was reused for industrial
cooling water after pre-treatment with a laboratory-scale soil aquifer treatment
(SAT) system. Up to a 95.3% removal efficiency for suspended solids (SS), 51.4%
for chemical oxygen demand (COD), 32.1% for Cl(-) and 30.0% SO4(2-) were observed
for the recharged secondary effluent after the SAT operation, which is essential
for controlling scaling and corrosion during the cooling process. As compared to
the secondary effluent, the reuse of the 1.5 m depth SAT effluent decreased the
corrosion by 75.0%, in addition to a 55.1% decline of the scales/biofouling
formation (with a compacted structure). The experimental results can satisfy the
Chinese criterion of Design Criterion of the Industrial Circulating Cooling Water
Treatment (GB 50050-95), and was more efficient than tertiary effluent which
coagulated with ferric chloride. In addition, chemical structure of the
scales/biofouling obtained from the cooling system was analyzed.
PMID- 27191581
TI - Liposomal Formulation to Increase Stability and Prolong Antineuropathic Activity
of Verbascoside.
AB - Verbascoside (acteoside) possesses various pharmacological properties for human
health, including antioxidant, anti-inflammatory, and antineoplastic properties
in addition to numerous wound healing and neuroprotective properties, with an
excellent and well-known safety profile. However, its poor chemical stability,
due to hydrolysis, limits its use in the clinic. To overcome these limitations,
we prepared unilamellar liposomal formulations of verbascoside for parenteral
administration.Two formulations were prepared: V-L1 and V-L2, where V-L2 contains
phospholipid and cholesterol about 4 times higher than the V-L1 sample, and about
2 times higher than verbascoside. The mean particle size of the liposomes
prepared was found to be around 120 nm with a polydispersity index < 0.2.
Encapsulation efficacy resulted in 30 %. A total of 82.28 +/- 1.79 % of
verbascoside was released from the liposomes within 24 hours. Liposomes
ameliorate the stability of verbascoside by preventing its hydrolysis.The
optimized drug delivery formulation was tested in the paw pressure test in two
animal models of neuropathic pain: a peripheral mononeuropathy was produced
either by a chronic constriction injury of the sciatic nerve or by an intra
articular injection of sodium monoiodoacetate. The performance of the liposomal
formulation was compared with that of the free drug.For evaluating the paw
pressure test in chronic constriction injury rats, a liposomal formulation
administered i. p. at the dosage of 100 mg/kg showed a longer lasting
antihyperalgesic effect in comparison with a 100-mg/kg verbascoside saline
solution, as well as in the sodium monoiodoacetate models. The effect appeared 15
min after administration and persisted for up to 60 min.
PMID- 27191582
TI - Squarrosine A and Pyrrolhuperzine A, New Lycopodium Alkaloids from Thai and
Philippine Huperzia squarrosa.
AB - Two new Lycopodium alkaloids, squarrosine A (1) and pyrrolhuperzine A (2), were
isolated from the Thai and Philippine plant Huperzia squarrosa. (R)-2
Piperidineacetic acid (5) was a known alkaloid, but has now been isolated for the
first time from a natural source. Their structures were elucidated using
extensive spectroscopic analyses and, for pyrrolhuperzine A (2), confirmation by
chemical transformation. The new compounds exhibited moderate
acetylcholinesterase inhibitory activities.
PMID- 27191583
TI - Marine Biodiscovery Goes Deeper: Using In Vivo Bioassays Based on Model Organisms
to Identify Biomedically Relevant Marine Metabolites.
AB - Secondary metabolites from marine organisms are structurally diverse small
molecules with high levels of bioactivity, and represent an underutilized
resource for modern drug discovery. To facilitate the identification of drug-like
marine metabolites, the significant potential of in vivo models of human disease
in particular those suitable for medium-throughput screening and bioassay-guided
fractionation - should be explored in future marine biodiscovery efforts. Here,
we explore the advantages of Caenorhabditis elegans, Drosophila, and zebrafish
bioassays for marine biodiscovery, and review recent progress in using these in
vivo models to identify bioactive marine metabolites.
PMID- 27191584
TI - Fe3O4@Graphene Oxide@Ag Particles for Surface Magnet Solid-Phase Extraction
Surface-Enhanced Raman Scattering (SMSPE-SERS): From Sample Pretreatment to
Detection All-in-One.
AB - A multifunctional magnetic graphene surface-enhanced Raman scattering (SERS)
substrate was fabricated successfully by the layer-by-layer assembly of silver
and graphene oxide (GO) nanoparticles (NPs) on the magnetic ferroferric oxide
particles (Fe3O4@GO@Ag). This ternary particle possesses magnetic properties,
SERS activity, and adsorption ability simultaneously. Owing to the multifunction
of this Fe3O4@GO@Ag ternary complex, we put forward a new method called a surface
magnetic solid-phase extraction (SMSPE) technique, for the SERS detections of
pesticide residues on the fruit peels. SMSPE integrates many sample pretreatment
procedures, such as surface extraction, separation sample, and detection, all-in
one. So this method shows great superiority in simplicity, rapidity, and high
efficiency above other standard methods. The whole detection process can be
finished within 20 min including the sample pretreatment and SERS detection.
Owing to the high density of Ag NPs, the detection sensitivity is high enough
that the lowest detectable concentrations are 0.48 and 40 ng/cm(2) for thiram and
thiabendazole, which are much lower than the maximal residue limits in fruit
prescribed by the U.S. Environmental Protection Agency. This multifunctional
ternary particle and its corresponding analytical method have been proven to be
applicable for practical samples and also valuable for other surface analysis.
PMID- 27191585
TI - To Drug or Not to Drug: A Qualitative Study of Patients' Decision-Making
Processes for Managing Insomnia.
AB - Treatment preferences play a key role in dictating sleep health outcomes.
However, patients' treatment beliefs, attitudes, and experiences that inform
preference conceptualization remain an unknown phenomenon. Therefore, this study
aims to explore patient perceptions toward pharmacotherapy and the
nonpharmacological management of insomnia. Fifty-one patients with insomnia were
recruited from specialist clinics and general community settings. Participants
completed a brief questionnaire followed by an in-depth semistructured interview
that was digitally recorded, transcribed verbatim, and subjected to Framework
Analysis to identify emergent themes. Three key themes were identified: Resolving
Insomnia, Self-Imposed Treatment Boundaries, and Treatment Uptake. Patients'
illness, treatment, and psychosocial beliefs and experiences are closely linked
to treatment choice. Being attuned to these influences during the clinical
encounter can facilitate treatment selection that is meaningful for the patient.
PMID- 27191586
TI - Identification of a mutation causing a defective spindle assembly checkpoint in
high ethyl caproate-producing sake yeast strain K1801.
AB - In high-quality sake brewing, the cerulenin-resistant sake yeast K1801 with high
ethyl caproate-producing ability has been used widely; however, K1801 has a
defective spindle assembly checkpoint (SAC). To identify the mutation causing
this defect, we first searched for sake yeasts with a SAC-defect like K1801 and
found that K13 had such a defect. Then, we searched for a common SNP in only
K1801 and K13 by examining 15 checkpoint-related genes in 23 sake yeasts, and
found 1 mutation, R48P of Cdc55, the PP2A regulatory B subunit that is important
for the SAC. Furthermore, we confirmed that the Cdc55-R48P mutation was
responsible for the SAC-defect in K1801 by molecular genetic analyses.
Morphological analysis indicated that this mutation caused a high cell
morphological variation. But this mutation did not affect the excellent brewing
properties of K1801. Thus, this mutation is a target for breeding of a new risk
free K1801 with normal checkpoint integrity.
PMID- 27191587
TI - Social Workers as Workplace-Based Instructors of Alcohol and Drug Screening,
Brief Intervention, and Referral to Treatment (SBIRT) for Emergency Medicine
Residents.
AB - PROBLEM: Residency education is challenged by a shortage of personnel and time,
particularly for teaching behavioral interventions such as screening, brief
intervention, and referral to treatment (SBIRT) to reduce hazardous drinking and
drug use. However, social workers may be well placed to teach SBIRT in clinical
training settings. INTERVENTION: We describe a curriculum with social workers as
SBIRT trainers of emergency medicine (EM) residents during actual clinical shifts
in an EM residency training program. The curriculum required 1 EM faculty member
working with social workers and 1 additional hour of formal residency conference
teaching time. CONTEXT: We implemented the curriculum at both a university
tertiary care hospital emergency department and a county trauma center. We
trained 8 social workers at both sites as SBIRT superusers to teach and assess EM
resident SBIRT performance with actual patients. We measured the length and
number of sessions to attain SBIRT competence, residents' satisfaction, and
resident comments (coded by authors). OUTCOME: Five of the 8 social workers
trained residents between June 2013 and May 2014, 31 EM residents trained to a
level indicating SBIRT competence with 114 patients. Each patient interaction
averaged 8.8 minutes and residents averaged 3.13 patients. Twenty-four (77%)
residents gave ratings of 1.58 (SD = .58) for the quality of teaching, 2.33 (SD =
.87) for recommending the training to a colleague, 1.38 (SD = .49) for
superusers' knowledge, 1.88 (SD = .95) for usefulness of instruction, 1.54 (SD =
.72) for workplace learning, and 1.58 (SD = .78) for valuing learning from social
workers (on a scale of 1 [very satisfied/strongly agree] to 5 [very
dissatisfied/strongly disagree]). Residents preferred learning SBIRT during the
1st and 2nd training years and in the workplace. LESSONS LEARNED: Social work
colleagues can be effective in teaching SBIRT to residents in the workplace, and
our residents highly valued learning from social workers, who all had prior
training in motivational interviewing. In the implementation of this curriculum,
the clinical demands of residents must be taken into account when teaching
occurs, and having multiple social worker instructors was instrumental.
PMID- 27191588
TI - Prevalence and antibiotic resistance of commensal Streptococcus pneumoniae in
nine European countries.
AB - The human microbiota represents an important reservoir of antibiotic resistance.
Moreover, the majority of antibiotics are prescribed in primary care. For this
reason, we assessed the prevalence and antibiotic resistance of nasal carriage
strains of Streptococcus pneumoniae, the most prevalent bacterial causative agent
of community-acquired respiratory tract infections, in outpatients in nine
European countries. Nasal swabs were collected between October 2010 and May 2011,
from 32,770 patients, recruited by general practices in nine European countries.
Overall prevalence of S. pneumoniae nasal carriage in the nine countries was
2.9%. The carriage was higher in men (3.7%) than in women (2.7%). Children (4-9
years) had a higher carriage prevalence (27.2%) compared with those older than 10
years (1.9%). The highest resistance observed was to cefaclor. The highest
prevalence of multidrug resistance was found in Spain and the lowest prevalence
was observed in Sweden.
PMID- 27191589
TI - Use of Population Genetics to Assess the Ecology, Evolution, and Population
Structure of Coccidioides.
AB - During the past 20 years, a general picture of the genetic diversity and
population structure of Coccidioides, the causal agent of coccidioidomycosis
(Valley fever), has emerged. The genus consists of 2 genetically diverse species,
C. immitis and C. posadasii, each of which contains 1 or more distinct
populations with limited gene flow. Genotypic data indicate that C. immitis is
divided into 2 subpopulations (central and southern California populations) and
C. posadasii is divided into 3 subpopulations (Arizona, Mexico, and Texas/South
America populations). However, admixture within and among these populations and
the current paucity of environmental isolates limit our understanding of the
population genetics of Coccidioides. We assessed population structure of
Coccidioides in Arizona by analyzing 495 clinical and environmental isolates. Our
findings confirm the population structure as previously described and indicate a
finer scale population structure in Arizona. Environmental isolates appear to
have higher genetic diversity than isolates from human patients.
PMID- 27191590
TI - Fission Yeast SCYL1/2 Homologue Ppk32: A Novel Regulator of TOR Signalling That
Governs Survival during Brefeldin A Induced Stress to Protein Trafficking.
AB - Target of Rapamycin (TOR) signalling allows eukaryotic cells to adjust cell
growth in response to changes in their nutritional and environmental context. The
two distinct TOR complexes (TORC1/2) localise to the cell's internal membrane
compartments; the endoplasmic reticulum (ER), Golgi apparatus and
lysosomes/vacuoles. Here, we show that Ppk32, a SCYL family pseudo-kinase, is a
novel regulator of TOR signalling. The absence of ppk32 expression confers
resistance to TOR inhibition. Ppk32 inhibition of TORC1 is critical for cell
survival following Brefeldin A (BFA) induced stress. Treatment of wild type cells
with either the TORC1 specific inhibitor rapamycin or the general TOR inhibitor
Torin1 confirmed that a reduction in TORC1 activity promoted recovery from BFA
induced stress. Phosphorylation of Ppk32 on two residues that are conserved
within the SCYL pseudo-kinase family are required for this TOR inhibition.
Phosphorylation on these sites controls Ppk32 protein levels and sensitivity to
BFA. BFA induced ER stress does not account for the response to BFA that we
report here, however BFA is also known to induce Golgi stress and impair traffic
to lysosomes. In summary, Ppk32 reduce TOR signalling in response to BFA induced
stress to support cell survival.
PMID- 27191592
TI - In Vivo Chromatin Targets of the Transcription Factor Yin Yang 2 in Trophoblast
Stem Cells.
AB - BACKGROUND: Yin Yang 2 (YY2) is a zinc finger protein closely related to the well
characterized Yin Yang 1 (YY1). YY1 is a DNA-binding transcription factor, with
defined functions in multiple developmental processes, such as implantation, cell
differentiation, X inactivation, imprinting and organogenesis. Yy2 has been
treated as a largely immaterial duplication of Yy1, as they share high homology
in the Zinc Finger-region and similar if not identical in vitro binding sites. In
contrast to these similarities, gene expression alterations in HeLa cells with
attenuated levels of either Yy1 or Yy2 were to some extent gene-specific.
Moreover, the chromatin binding sites for YY2, except for its association with
transposable retroviral elements (RE) and Endogenous Retroviral Elements (ERVs),
remain to be identified. As a first step towards defining potential Yy2 functions
matching or complementary to Yy1, we considered in vivo DNA binding sites of YY2
in trophoblast stem (TS) cells. RESULTS: We report the presence of YY2 protein in
mouse-derived embryonic stem (ES) and TS cell lines. Following up on our previous
report on ERV binding by YY2 in TS cells, we investigated the tissue-specificity
of REX1 and YY2 binding and confirm binding to RE/ERV targets in both ES cells
and TS cells. Because of the higher levels of expression, we chose TS cells to
understand the role of Yy2 in gene and chromatin regulation. We used in vivo YY2
association as a measure to identify potential target genes. Sequencing of
chromatin obtained in chromatin-immunoprecipitation (ChIP) assays carried out
with alphaYY2 serum allowed us to identify a limited number of chromatin targets
for YY2. Some putative binding sites were validated in regular ChIP assays and
gene expression of genes nearby was altered in the absence of Yy2. CONCLUSIONS:
YY2 binding to ERVs is not confined to TS cells. In vivo binding sites share the
presence of a consensus binding motif. Selected sites were uniquely bound by YY2
as opposed to YY1, suggesting that YY2 exerts unique contributions to gene
regulation. YY2 binding was not generally associated with gene promoters.
However, several YY2 binding sites are linked to long noncoding RNA (lncRNA)
genes and we show that the expression levels of a few of those are Yy2-dependent.
PMID- 27191591
TI - Identification of a Transcription Factor That Regulates Host Cell Exit and
Virulence of Mycobacterium tuberculosis.
AB - The interaction of Mycobacterium tuberculosis (Mtb) with host cell death
signaling pathways is characterized by an initial anti-apoptotic phase followed
by a pro-necrotic phase to allow for host cell exit of the bacteria. The
bacterial modulators regulating necrosis induction are poorly understood. Here we
describe the identification of a transcriptional repressor, Rv3167c responsible
for regulating the escape of Mtb from the phagosome. Increased cytosolic
localization of MtbDeltaRv3167c was accompanied by elevated levels of
mitochondrial reactive oxygen species and reduced activation of the protein
kinase Akt, and these events were critical for the induction of host cell
necrosis and macroautophagy. The increase in necrosis led to an increase in
bacterial virulence as reflected in higher bacterial burden and reduced survival
of mice infected with MtbDeltaRv3167c. The regulon of Rv3167c thus contains the
bacterial mediators involved in escape from the phagosome and host cell necrosis
induction, both of which are crucial steps in the intracellular lifecycle and
virulence of Mtb.
PMID- 27191594
TI - A Conserved Epitope Mapped with a Monoclonal Antibody against the VP3 Protein of
Goose Parvovirus by Using Peptide Screening and Phage Display Approaches.
AB - BACKGROUND: Waterfowl parvovirus (WPV) infection causes high mortality and
morbidity in both geese (Anser anser) and Muscovy ducks (Cairina moschata),
resulting in significant losses to the waterfowl industries. The VP3 protein of
WPV is a major structural protein that induces neutralizing antibodies in the
waterfowl. However, B-cell epitopes on the VP3 protein of WPV have not been
characterized. METHODS AND RESULTS: To understand the antigenic determinants of
the VP3 protein, we used the monoclonal antibody (mAb) 4A6 to screen a set of
eight partially expressed overlapping peptides spanning VP3. Using western
blotting and an enzyme-linked immunosorbent assay (ELISA), we localized the VP3
epitope between amino acids (aa) 57 and 112. To identify the essential epitope
residues, a phage library displaying 12-mer random peptides was screened with mAb
4A6. Phage clone peptides displayed a consensus sequence of YxRFHxH that mimicked
the sequence 82Y/FNRFHCH88, which corresponded to amino acid residues 82 to 88 of
VP3 protein of WPVs. mAb 4A6 binding to biotinylated fragments corresponding to
amino acid residues 82 to 88 of the VP3 protein verified that the 82FxRFHxH88 was
the VP3 epitope and that amino acids 82F is necessary to retain maximal binding
to mAb 4A6. Parvovirus-positive goose and duck sera reacted with the epitope
peptide by dot blotting assay, revealing the importance of these amino acids of
the epitope in antibody-epitope binding reactivity. CONCLUSIONS AND SIGNIFICANCE:
We identified the motif FxRFHxH as a VP3-specific B-cell epitope that is
recognized by the neutralizing mAb 4A6. This finding might be valuable in
understanding of the antigenic topology of VP3 of WPV.
PMID- 27191593
TI - Mycobacterium massiliense Induces Macrophage Extracellular Traps with
Facilitating Bacterial Growth.
AB - Human neutrophils have been known to release neutrophil extracellular traps
(NETs), antimicrobial DNA structures capable of capturing and killing microbes.
Recently, a similar phenomenon has been reported in macrophages infected with
various pathogens. However, a role for macrophages extracellular traps (METs) in
host defense responses against Mycobacterium massiliense (M. mass) has yet to be
described. In this study, we show that M. mass, a rapid growing mycobacterium
(RGM), also induces the release of METs from PMA-differentiated THP-1 cells.
Intriguingly, this process is not dependent on NADPH oxidase activity, which
regulates NET formation. Instead, M. mass-induced MET formation partially depends
on calcium influx and requires phagocytosis of high bacterial load. The METs
consist of a DNA backbone embedded with microbicidal proteins such as histone,
MPO and elastase. Released METs entrap M. mass and prevent their dissemination,
but do not have bactericidal activity. Instead, they result in enhanced bacterial
growth. In this regard, METs were considered to provide interaction of M. mass
with cells and an environment for bacterial aggregation, which may facilitate
mycobacterial survival and growth. In conclusion, our results demonstrate METs as
an innate defense response against M. mass infection, and suggest that
extracellular traps play a multifaceted role in the interplay between host and
bacteria.
PMID- 27191596
TI - Overexpression of Actinidia deliciosa pyruvate decarboxylase 1 gene enhances
waterlogging stress in transgenic Arabidopsis thaliana.
AB - Ethanolic fermentation is classically associated with waterlogging tolerance when
plant cells switch from respiration to anaerobic fermentation. Pyruvate
decarboxylase (PDC), which catalyzes the first step in this pathway, is thought
to be the main regulatory enzyme. Here, we cloned a full-length PDC cDNA sequence
from kiwifruit, named AdPDC1. We determined the expression of the AdPDC1 gene in
kiwifruit under different environmental stresses using qRT-PCR, and the results
showed that the increase of AdPDC1 expression during waterlogging stress was much
higher than that during salt, cold, heat and drought stresses. Overexpression of
kiwifruit AdPDC1 in transgenic Arabidopsis enhanced the resistance to
waterlogging stress but could not enhance resistance to cold stress at five weeks
old seedlings. Overexpression of kiwifruit AdPDC1 in transgenic Arabidopsis could
not enhance resistance to NaCl and mannitol stresses at the stage of seed
germination and in early seedlings. These results suggested that the kiwifruit
AdPDC1 gene is required during waterlogging but might not be required during
other environmental stresses. Expression of the AdPDC1 gene was down-regulated by
abscisic acid (ABA) in kiwifruit, and overexpression of the AdPDC1 gene in
Arabidopsis inhibited seed germination and root length under ABA treatment,
indicating that ABA might negatively regulate the AdPDC1 gene under waterlogging
stress.
PMID- 27191595
TI - JC Polyomavirus Abundance and Distribution in Progressive Multifocal
Leukoencephalopathy (PML) Brain Tissue Implicates Myelin Sheath in Intracerebral
Dissemination of Infection.
AB - Over half of adults are seropositive for JC polyomavirus (JCV), but rare
individuals develop progressive multifocal leukoencephalopathy (PML), a
demyelinating JCV infection of the central nervous system. Previously, PML was
primarily seen in immunosuppressed patients with AIDS or certain cancers, but it
has recently emerged as a drug safety issue through its association with diverse
immunomodulatory therapies. To better understand the relationship between the JCV
life cycle and PML pathology, we studied autopsy brain tissue from a 70-year-old
psoriasis patient on the integrin alpha-L inhibitor efalizumab following a ~2
month clinical course of PML. Sequence analysis of lesional brain tissue
identified PML-associated viral mutations in regulatory (non-coding control
region) DNA, capsid protein VP1, and the regulatory agnoprotein, as well as 9
novel mutations in capsid protein VP2, indicating rampant viral evolution. Nine
samples, including three gross PML lesions and normal-appearing adjacent tissues,
were characterized by histopathology and subject to quantitative genomic,
proteomic, and molecular localization analyses. We observed a striking
correlation between the spatial extent of demyelination, axonal destruction, and
dispersion of JCV along white matter myelin sheath. Our observations in this
case, as well as in a case of PML-like disease in an immunocompromised rhesus
macaque, suggest that long-range spread of polyomavirus and axonal destruction in
PML might involve extracellular association between virus and the white matter
myelin sheath.
PMID- 27191597
TI - Novel Mutations in the Voltage-Gated Sodium Channel of Pyrethroid-Resistant
Varroa destructor Populations from the Southeastern USA.
AB - The parasitic mite Varroa destructor has a significant worldwide impact on bee
colony health. In the absence of control measures, parasitized colonies
invariably collapse within 3 years. The synthetic pyrethroids tau-fluvalinate and
flumethrin have proven very effective at managing this mite within apiaries, but
intensive control programs based mainly on one active ingredient have led to many
reports of pyrethroid resistance. In Europe, a modification of leucine to valine
at position 925 (L925V) of the V. destructor voltage-gated sodium channel was
correlated with resistance, the mutation being found at high frequency
exclusively in hives with a recent history of pyrethroid treatment. Here, we
identify two novel mutations, L925M and L925I, in tau-fluvalinate resistant V.
destructor collected at seven sites across Florida and Georgia in the
Southeastern region of the USA. Using a multiplexed TaqMan(r) allelic
discrimination assay, these mutations were found to be present in 98% of the
mites surviving tau-fluvalinate treatment. The mutations were also found in 45%
of the non-treated mites, suggesting a high potential for resistance evolution if
selection pressure is applied. The results from a more extensive monitoring
programme, using the Taqman(r) assay described here, would clearly help
beekeepers with their decision making as to when to include or exclude pyrethroid
control products and thereby facilitate more effective mite management
programmes.
PMID- 27191598
TI - Ocelot (Leopardus pardalis) Density in Central Amazonia.
AB - Ocelots (Leopardus pardalis) are presumed to be the most abundant of the wild
cats throughout their distribution range and to play an important role in the
dynamics of sympatric small-felid populations. However, ocelot ecological
information is limited, particularly for the Amazon. We conducted three camera
trap surveys during three consecutive dry seasons to estimate ocelot density in
Amana Reserve, Central Amazonia, Brazil. We implemented a spatial capture
recapture (SCR) model that shared detection parameters among surveys. A total
effort of 7020 camera-trap days resulted in 93 independent ocelot records. The
estimate of ocelot density in Amana Reserve (24.84 +/- SE 6.27 ocelots per 100
km2) was lower than at other sites in the Amazon and also lower than that
expected from a correlation of density with latitude and rainfall. We also
discuss the importance of using common parameters for survey scenarios with low
recapture rates. This is the first density estimate for ocelots in the Brazilian
Amazon, which is an important stronghold for the species.
PMID- 27191599
TI - Photochemical Generation of Benzyl Cations That Selectively Cross-Link Guanine
and Cytosine in DNA.
AB - UV irradiation of several aryl boronates efficiently produced bifunctional benzyl
cations that selectively form guanine-cytosine cross-links in DNA. Photoinduced
homolysis of the C-Br bond took place with the aryl boronate bromides 3a and 4a,
generating free radicals that were oxidized to benzyl cations via electron
transfer. However, photoirradiation of the quaternary ammonium salts 3b and 4b
led to heterolysis of C-N bond, directly producing benzyl cations. The electron
donating group in the aromatic ring greatly enhanced cross-linking efficiency.
PMID- 27191601
TI - Response to comment on "Exposure-response modeling of non-cancer effects in
humans exposed to Libby Amphibole Asbestos; update" by Benson et al. (2015)
submitted by Goodman et al. (2016).
PMID- 27191600
TI - Genome-Wide Association Mapping of Anther Extrusion in Hexaploid Spring Wheat.
AB - In a number of crop species hybrids are able to outperform line varieties. The
anthers of the autogamous bread wheat plant are normally extruded post anthesis,
a trait which is unfavourable for the production of F1 hybrid grain. Higher
anther extrusion (AE) promotes cross fertilization for more efficient hybrid seed
production. Therefore, this study aimed at the genetic dissection of AE by genome
wide association studies (GWAS) and determination of the main effect QTL. We
applied GWAS approach to identify DArT markers potentially linked to AE to unfold
its genetic basis in a panel of spring wheat accessions. Phenotypic data were
collected for three years and best linear unbiased estimate (BLUE) values were
calculated across all years. The extent of the AE correlation between growing
years and BLUE values ranged from r = +0.56 (2013 vs 2015) to 0.91 (2014 vs BLUE
values). The broad sense heritability was 0.84 across all years. Six accessions
displayed stable AE >80% across all the years. Genotyping data included 2,575
DArT markers (with minimum of 0.05 minor allele frequency applied). AE was
influenced both by genotype and by the growing environment. In all, 131
significant marker trait associations (MTAs) (|log10 (P)| >FDR) were established
for AE. AE behaved as a quantitative trait, with five consistently significant
markers (significant across at least two years with a significant BLUE value)
contributing a minor to modest proportion (4.29% to 8.61%) of the phenotypic
variance and affecting the trait either positively or negatively. For this
reason, there is potential for breeding for improved AE by gene pyramiding. The
consistently significant markers linked to AE could be helpful for marker
assisted selection to transfer AE to high yielding varieties allowing to promote
the exploitation of hybrid-heterosis in the key crop wheat.
PMID- 27191602
TI - Patterns of Coral-Reef Finfish Species Disappearances Inferred from Fishers'
Knowledge in Global Epicentre of Marine Shorefish Diversity.
AB - In the Philippines, very high fishing pressure coincides with the globally
greatest number of shorefish species, yet no long-term fisheries data are
available to explore species-level changes that may have occurred widely in the
most species rich and vulnerable marine ecosystem, namely coral reefs. Through
2655 face-to-face interviews conducted between August 2012 and July 2014, we used
fishers' recall of past catch rates of reef-associated finfish to infer species
disappearances from catches in five marine key biodiversity areas (Lanuza Bay,
Danajon Bank, Verde Island Passage, Polillo Islands and Honda Bay). We modeled
temporal trends in perceived catch per unit effort (CPUE) based on fishers'
reports of typical good days' catches using Generalized Linear Mixed Modelling.
Fifty-nine different finfish disappeared from catches between the 1950s and 2014;
42 fish were identified to species level, two to genus, seven to family and eight
to local name only. Five species occurring at all sites with the greatest number
of fishers reporting zero catches were the green bumphead parrotfish
(Bolbometopon muricatum), humphead wrasse (Cheilinus undulatus), African pompano
(Alectis ciliaris), giant grouper (Epinephelus lanceolatus) and mangrove red
snapper (Lutjanus argentimaculatus). Between the 1950s and 2014, the mean
perceived CPUE of bumphead parrotfish declined by 88%, that of humphead wrasse by
82%, African pompano by 66%, giant grouper by 74% and mangrove red snapper by
64%. These declines were mainly associated with excess and uncontrolled fishing,
fish life-history traits like maximum body size and socio-economic factors like
access to market infrastructure and services, and overpopulation. The fishers'
knowledge is indicative of extirpations where evidence for these losses was
otherwise lacking. Our models provide information as basis for area-based
conservation and regional resource management particularly for the more
vulnerable, once common, large, yet wide-ranging reef finfish species.
PMID- 27191603
TI - Derivation, Characterization, and Neural Differentiation of Integration-Free
Induced Pluripotent Stem Cell Lines from Parkinson's Disease Patients Carrying
SNCA, LRRK2, PARK2, and GBA Mutations.
AB - We report generation of induced pluripotent stem cell (iPSC) lines from ten
Parkinson's disease (PD) patients carrying SNCA, PARK2, LRRK2, and GBA mutations,
and one age-matched control. After validation of pluripotency, long-term genome
stability, and integration-free reprogramming, eight of these lines (one of each
SNCA, LRRK2 and GBA, four PARK2 lines, and the control) were differentiated into
neural stem cells (NSC) and subsequently to dopaminergic cultures. We did not
observe significant differences in the timeline of neural induction and NSC
derivation between the patient and control line, nor amongst the patient lines,
although we report considerable variability in the efficiency of dopaminergic
differentiation among patient lines. We performed whole genome expression
analyses of the lines at each stage of differentiation (fibroblast, iPSC, NSC,
and dopaminergic culture) in an attempt to identify alterations by large-scale
evaluation. While gene expression profiling clearly distinguished cells at
different stages of differentiation, no mutation-specific clustering or
difference was observed, though consistent changes in patient lines were detected
in genes associated mitochondrial biology. We further examined gene expression in
a stress model (MPTP-induced dopaminergic neuronal death) using two clones from
the SNCA triplication line, and detected changes in genes associated with
mitophagy. Our data suggested that even a well-characterized line of a monogenic
disease may not be sufficient to determine the cause or mechanism of the disease,
and highlights the need to use more focused strategies for large-scale data
analysis.
PMID- 27191604
TI - Morphometric analysis of feedforward pathways from the primary somatosensory area
(S1) of rats.
AB - We used biotinylated dextran amine (BDA) to anterogradely label individual axons
projecting from primary somatosensory cortex (S1) to four different cortical
areas in rats. A major goal was to determine whether axon terminals in these
target areas shared morphometric similarities based on the shape of individual
terminal arbors and the density of two bouton types: en passant (Bp) and
terminaux (Bt). Evidence from tridimensional reconstructions of isolated axon
terminal fragments (n=111) did support a degree of morphological heterogeneity
establishing two broad groups of axon terminals. Morphological parameters
associated with the complexity of terminal arbors and the proportion of beaded Bp
vs stalked Bt were found to differ significantly in these two groups following a
discriminant function statistical analysis across axon fragments. Interestingly,
both groups occurred in all four target areas, possibly consistent with a
commonality of presynaptic processing of tactile information. These findings lay
the ground for additional work aiming to investigate synaptic function at the
single bouton level and see how this might be associated with emerging properties
in postsynaptic targets.
PMID- 27191605
TI - Altered mean platelet volume in patients with polymyositis and its association
with disease severity.
AB - Polymyositis (PM) is an autoimmune disease characterized by chronic inflammation
in skeletal muscle. Mean platelet volume (MPV), a marker in the assessment of
systemic inflammation, is easily measured by automatic blood count equipment.
However, to our knowledge, there are no data in the literature with respect to
MPV levels in PM patients. Therefore, in this study we aimed to investigate MPV
levels in patients with PM. This study included 92 newly diagnosed PM patients
and 100 healthy individuals. MPV levels were found to be significantly lower
compared with healthy controls (10.3+/-1.23 vs 11.5+/-0.74 fL, P<0.001).
Interestingly, MPV was found to be positively correlated with manual muscle test
(MMT) score and negatively correlated with erythrocyte sedimentation rate (ESR)
in patients with PM (r=0.239, P=0.022; r=-0.268, P=0.010, respectively). In
addition, MPV was significantly lower in active PM patients compared with
inactive PM patients (9.9+/-1.39 vs 10.6+/-0.92 fL, P=0.010). MPV was
independently associated with PM in multivariate regression analyses, when
controlling for hemoglobin and ESR (OR=0.312, P=0.031, 95%CI=0.108 to 0.899). The
ROC curve analysis for MPV in estimating PM patients resulted in an area under
the curve of 0.800, with sensitivity of 75.0% and specificity of 67.4%. Our
results suggest that MPV is inversely correlated with disease activity in
patients with PM. MPV might be a useful tool for rapid assessment of disease
severity in PM patients.
PMID- 27191606
TI - Involvement of the TRPV1 channel in the modulation of spontaneous locomotor
activity, physical performance and physical exercise-induced physiological
responses.
AB - Physical exercise triggers coordinated physiological responses to meet the
augmented metabolic demand of contracting muscles. To provide adequate responses,
the brain must receive sensory information about the physiological status of
peripheral tissues and organs, such as changes in osmolality, temperature and pH.
Most of the receptors involved in these afferent pathways express ion channels,
including transient receptor potential (TRP) channels, which are usually
activated by more than one type of stimulus and are therefore considered
polymodal receptors. Among these TRP channels, the TRPV1 channel (transient
receptor potential vanilloid type 1 or capsaicin receptor) has well-documented
functions in the modulation of pain sensation and thermoregulatory responses.
However, the TRPV1 channel is also expressed in non-neural tissues, suggesting
that this channel may perform a broad range of functions. In this review, we
first present a brief overview of the available tools for studying the
physiological roles of the TRPV1 channel. Then, we present the relationship
between the TRPV1 channel and spontaneous locomotor activity, physical
performance, and modulation of several physiological responses, including water
and electrolyte balance, muscle hypertrophy, and metabolic, cardiovascular,
gastrointestinal, and inflammatory responses. Altogether, the data presented
herein indicate that the TPRV1 channel modulates many physiological functions
other than nociception and thermoregulation. In addition, these data open new
possibilities for investigating the role of this channel in the acute effects
induced by a single bout of physical exercise and in the chronic effects induced
by physical training.
PMID- 27191607
TI - Histidine-tryptophan-ketoglutarate solution decreases mortality and morbidity in
high-risk patients with severe pulmonary arterial hypertension associated with
complex congenital heart disease: an 11-year experience from a single
institution.
AB - Cardioplegic reperfusion during a long term ischemic period interrupts cardiac
surgery and also increases cellular edema due to repeated solution
administration. We reviewed the clinical experiences on myocardial protection of
a single perfusion with histidine-tryptophan-ketoglutarate (HTK) for high-risk
patients with severe pulmonary arterial hypertension associated with complex
congenital heart disease. This retrospective study included 101 high-risk
patients undergoing arterial switch operation between March 2001 and July 2012.
We divided the cohort into two groups: HTK group, myocardial protection was
carried out with one single perfusion with HTK solution; and St group, myocardial
protection with conventional St. Thomas' crystalloid cardioplegic solution. The
duration of cardiopulmonary bypass did not differ between the two groups. The
mortality, morbidity, ICU stay, post-operative hospitalization time, and number
of transfusions in HTK group were lower than those in St group (P<0.05).
Univariate and multivariate analysis showed that HTK is a statistically
significant independent predictor of decreased early mortality and morbidity
(P<0.05). In conclusion, HTK solution seems to be an effective and safe
alternative to St. Thomas' solution for cardioplegic reperfusion in high-risk
patients with complex congenital heart disease.
PMID- 27191608
TI - Random-start controlled ovarian stimulation for emergency fertility preservation
in a patient with myelodysplastic syndrome: a case report.
AB - This study reports a case of a gonadotropin-releasing hormone agonist trigger in
a young female with myelodysplastic syndrome (MDS) who underwent fertility
preservation using random-start controlled ovarian stimulation. This method
involves the stimulation of the ovary regardless of a patient's menstrual-cycle
phase. A review of the related literature is also provided. A 17-year-old patient
was diagnosed with MDS and required initiation of peripheral blood stem cell
transplantation within a maximum of 3 weeks and was in the luteal phase of the
menstrual cycle when the possibility of attempting preservation of fertility was
presented to her. She opted for a random-start controlled ovarian stimulation
with gonadotropins. With successful hemorrhagic prophylaxis, 17 oocytes were
retrieved including 10 mature and 7 immature oocytes. Of the immature oocytes, 3
were successfully matured in vitro and a vitrification protocol was used to
freeze the 13 mature oocytes.
PMID- 27191609
TI - Novel retrograde puncture method to establish preperitoneal space for
laparoscopic direct inguinal hernia repair with internal ring suturing.
AB - The aim of this study was to explore the clinical efficacy of a novel retrograde
puncture approach to establish a preperitoneal space for laparoscopic direct
inguinal hernia repair with inguinal ring suturing. Forty-two patients who
underwent laparoscopic inguinal hernia repair with retrograde puncture for
preperitoneal space establishment as well as inguinal ring suturing between
August 2013 and March 2014 at our hospital were enrolled. Preperitoneal space was
successfully established in all patients, with a mean establishment time of 6
min. Laparoscopic repairs were successful in all patients, with a mean surgical
time of 26+/-15.1 min. Mean postoperative hospitalization duration was 3.0+/-0.7
days. Two patients suffered from postoperative local hematomas, which were
relieved after puncturing and drainage. Four patients had short-term local pain.
There were no cases of chronic pain. Patients were followed up for 6 months to 1
year, and no recurrence was observed. Our results demonstrate that preperitoneal
space established by the retrograde puncture technique can be successfully used
in adult laparoscopic hernioplasty to avoid intraoperative mesh fixation, and
thus reduce medical costs.
PMID- 27191610
TI - Analysis of foveal characteristics and their asymmetries in the normal
population.
AB - The advance of optical coherence tomography (OCT) enables a detailed examination
of the human retina in-vivo for clinical routine and experimental eye research.
Only few investigations to date captured human foveal morphology in a large
subject group on the basis of a detailed analysis employing mathematical models.
However, even for important foveal characteristics unified terminology and clear
definitions were not implemented so far. This might be a reason, why to this day
the human fovea is considered to be a mostly symmetric and round structure.
Therefore, the most important finding of this work is the detailed analysis of
the asymmetric structure of the human fovea. We employed five clinically highly
relevant foveal characteristics, which are derived from a previously published
fovea model. For each, an accurate mathematical description is given. The
presented properties include (1) mean retinal thickness inside a defined radius,
(2) foveal bowl area, (3) a new, exact definition of foveal radius, (4) maximum
foveal slope, and (5) the maximum height of the foveal rim. Furthermore, minimum
retinal thickness was derived and analyzed. 220 strictly controlled healthy
Caucasian subjects of European decent with an even distribution of age and gender
were imaged with an Heidelberg Spectralis OCT. Detailed analysis demonstrated the
following general results: (1) significant gender difference regarding the
central foveal subfield thickness (CFST) but no significant differences for the
minimum central retinal thickness, (2) a strong correlation between right and
left eye of the same subject, and, as essential finding, (3) strong structural
differences of the fovea form in the different anatomical directions (nasal,
temporal, inferior and superior). In the analysis of the foveal asymmetry, it
will be demonstrated that the foveal radius is larger in nasal and temporal
direction compared to inferior and superior position. Furthermore, it will be
shown that the circular fovea rather has an elliptic form with the larger axis
along the nasal to temporal direction. Interestingly, the foveal slope shows a
divergent behavior as the temporal direction has the smallest slope angle and
both, inferior and superior angles are clearly larger than the others. The
findings in this work can be used for an exact quantification of changes in early
stages of various retinal diseases and as a marker for initial diagnosis.
PMID- 27191611
TI - Changes in aquaporin-4 and Kir4.1 expression in rats with inherited retinal
dystrophy.
AB - Muller glial cells (MGC) are essential for normal functioning of retina. They are
especially involved in potassium (K+) and water homeostasis, via inwardly
rectifying K+ (Kir 4.1) and aquaporin-4 (AQP4) channels respectively. Because MGC
appear morphologically and functionally altered in most retinal pathologies, we
studied the expression of AQP 4 and Kir 4.1 during the time course of progressive
retinal degeneration in Royal College of Surgeons (RCS) rats, an animal model for
the hereditary human retinal degenerative disease Retinitis pigmentosa.
Simultaneous detection of AQP4 and Kir 4.1 was performed by quantitative real
time polymerase chain reaction (QRT-PCR), Western blot and immunohistochemistry
at birth and during progression of the pathology. Although small quantities of
AQP4 and Kir 4.1 mRNA were detected at birth (postnatal day (PNd) 0) in both
control and dystrophic rat retinas, proteins could not be detected at this age.
Detectable proteins appeared in the second week of postnatal life. From PNd15
onwards, the time course in the expression of both AQP4 and Kir 4.1 mRNAs and
protein was similar in dystrophic and control rats, with a progressive increase
peaking at PNd60 and a subsequent decrease by one year. AQP4 protein and mRNA
content were significantly lowered in dystrophic compared to control rats. Kir
4.1 protein levels were also lower in dystrophic retinas, while mRNA
concentrations were unchanged and/or slightly higher in dystrophic rats. The
discrepancies between Kir4.1 mRNA and protein suggest perturbation in protein
translation due to the pathology. AQP4 and Kir 4.1/vimentin co-immunolabeling
showed that: 1) apical radial processes of some MGC invaded the subretinal zone,
and 2) MGC morphology was distorted in advanced pathology. MGC became
hypertrophic both during the pathology and also with age in control rats. In
conclusion, our results confirm that this inherited photoreceptor degeneration
also leads to progressive alterations in physiological and morphological
parameters of MGC which may aggravate retinal impairment.
PMID- 27191612
TI - Antimicrobial Susceptibility of Enteric Gram Negative Facultative Anaerobe
Bacilli in Aerobic versus Anaerobic Conditions.
AB - Antimicrobial treatments result in the host's enteric bacteria being exposed to
the antimicrobials. Pharmacodynamic models can describe how this exposure affects
the enteric bacteria and their antimicrobial resistance. The models utilize
measurements of bacterial antimicrobial susceptibility traditionally obtained in
vitro in aerobic conditions. However, in vivo enteric bacteria are exposed to
antimicrobials in anaerobic conditions of the lower intestine. Some of enteric
bacteria of food animals are potential foodborne pathogens, e.g., Gram-negative
bacilli Escherichia coli and Salmonella enterica. These are facultative
anaerobes; their physiology and growth rates change in anaerobic conditions. We
hypothesized that their antimicrobial susceptibility also changes, and evaluated
differences in the susceptibility in aerobic vs. anaerobic conditions of generic
E. coli and Salmonella enterica of diverse serovars isolated from cattle feces.
Susceptibility of an isolate was evaluated as its minimum inhibitory
concentration (MIC) measured by E-Test(r) following 24 hours of adaptation to the
conditions on Mueller-Hinton agar, and on a more complex tryptic soy agar with 5%
sheep blood (BAP) media. We considered all major antimicrobial drug classes used
in the U.S. to treat cattle: beta-lactams (specifically, ampicillin and
ceftriaxone E-Test(r)), aminoglycosides (gentamicin and kanamycin),
fluoroquinolones (enrofloxacin), classical macrolides (erythromycin), azalides
(azithromycin), sulfanomides (sulfamethoxazole/trimethoprim), and tetracyclines
(tetracycline). Statistical analyses were conducted for the isolates (n>=30)
interpreted as susceptible to the antimicrobials based on the clinical breakpoint
interpretation for human infection. Bacterial susceptibility to every
antimicrobial tested was statistically significantly different in anaerobic vs.
aerobic conditions on both media, except for no difference in susceptibility to
ceftriaxone on BAP agar. A satellite experiment suggested that during first days
in anaerobic conditions the susceptibility changes with time. The results
demonstrate that assessing effects of antimicrobial treatments on resistance in
the host's enteric bacteria that are Gram negative facultative Anaerobe Bacilli
requires data on the bacterial antimicrobial susceptibility in the conditions
resembling those in the intestine.
PMID- 27191613
TI - Synthesis of alpha-santonin derived acetyl santonous acid triazole derivatives
and their bioevaluation for T and B-cell proliferation.
AB - A new series of alpha-santonin derived acetyl santonous acid 1,2,3-triazole
derivatives were synthesised using Huisgen 1,3-dipolar cyclo-addition reaction
(click chemistry approach) and evaluated for their in vitro inhibition activity
on concanavalin A (ConA) induced T cell proliferation and lipopolysaccharide
(LPS) induced B cell proliferation. Among the synthesised series, compounds 2-10
and 19 exhibited significant inhibition against ConA and LPS stimulated T-cell
and B-cell proliferation in a dose dependent manner. More significantly compounds
4, 9-10 and 19 exhibited potent inhibition activity with remarkably lower
cytotoxicity on the mitogen-induced T cell and B cell proliferation at 1 MUM
concentration. The compound 6 displayed potent immunosuppressive effects with
~89% against LPS induced B-cell and ~83% against ConA stimulated T-cell
proliferation at 100 MUM concentration without cytotoxicity. Compound 10 was more
selective against B cell proliferation and exhibited 81% and 69% suppression at
100 and 1 MUM concentration respectively. The present study led to the
identification of several santonin analogs with reduced cytotoxicity and strong
inhibition activity against the cell proliferation induced by the mitogens.
PMID- 27191614
TI - The therapeutic voyage of pyrazole and its analogs: A review.
AB - Pyrazole, a five membered heteroaromatic ring with two nitrogen atoms is of
immense significance. Presence of this nucleus in the pharmacological agents of
diverse therapeutic categories viz. antianxiety, anti-inflammatory,
antipsychotic, anticancer, antiobesity, analgesic, antipyretic etc. has made it
an indispensable anchor for design and development of new pharmacological agents.
Owing to the development of novel and new pyrazole based therapeutic agents at a
faster pace, there is a need to couple the latest information with previously
available information to understand status of this moiety in medicinal chemistry
research. The review herein highlights the therapeutic worth of pyrazole
derivatives. Several therapeutically active pyrazole based derivatives developed
by numerous scientists across the globe are reported here.
PMID- 27191615
TI - 2,3,4-Trihydroxybenzyl-hydrazide analogues as novel potent coxsackievirus B3 3C
protease inhibitors.
AB - Human coxsackievirus B3 (CVB3) 3C protease plays an essential role in the viral
replication of CVB3, which is a non-enveloped and positive single-stranded RNA
virus belonging to Picornaviridae family, causing acute viral myocarditis mainly
in children. During optimization based on SAR studies of benserazide (3), which
was reported as a novel anti-CVB3 3C(pro) agent from a screening of compound
libraries, the 2,3,4-trihydroxybenzyl moiety of 3 was identified as a key
pharmacophore for inhibitory activity against CVB3 3C(pro). Further optimization
was performed by the introduction of various aryl-alkyl substituted hydrazide
moieties instead of the serine moiety of 3. Among the optimized compounds, 11Q, a
4-hydroxyphenylpentanehydrazide derivative, showed the most potent inhibitory
activity (IC50 = 0.07 MUM). Enzyme kinetics studies indicated that 11Q exhibited
a mixed inhibitory mechanism of action. The antiviral activity against CVB3 was
confirmed using the further derived analogue (14b) with more cell permeable
valeryl ester group at the 2,3,4-trihydroxy moiety.
PMID- 27191616
TI - Gold(III) complexes with ONS-Tridentate thiosemicarbazones: Toward selective
trypanocidal drugs.
AB - Tridentate thiosemicarbazone ligands with an ONS donor set, H2L(R) (R = Me and
Et) were prepared by reactions of 1-phenyl-1,3-butanedione with 4-R-3
thiosemicarbazides. H2L(R) reacts with Na[AuCl4].2H2O in MeOH in a 1:1 M ratio
under formation of green gold(III) complexes of composition [AuCl(L(R))]. These
compounds represent the first examples of gold(III) complexes with ONS chelate
bonded thiosemicarbazones. The in vitro anti-Trypanosoma cruzi activity against
both trypomastigote and amastigote forms (IC50try/ama) of CL Brener strains as
well as the cytotoxicity against LLC-MK2 cells of the free ligands and complexes
was evaluated. The complex [AuCl(L(Me))] was found to be more active and more
selective than its precursor ligand and the standard drug benznidazole with a
SItry/ama value higher than 200, being considered as a lead candidate for Chagas
disease treatment. Moreover the in vitro activity against the replicative
amastigote form (IC50ama) of T. cruzi was additionally investigated revealing
that [AuCl(L(Me))] was also more potent than benznidazole still with a similar
selectivity index. Finally, docking studies showed that free ligands and
complexes interact with the same residues of the parasite protease cruzain but
with different intensities, suggesting that this protease could be a possible
target for the trypanocidal action of the obtained compounds.
PMID- 27191618
TI - Design, synthesis and antifungal activity of novel furancarboxamide derivatives.
AB - Twenty-seven novel furancarboxamide derivatives with a diphenyl ether moiety were
synthesized and evaluated for their antifungal activity against Rhizoctonia
solani, Botrytis cirerea, Valsa mali and Sphaceloma ampelimum. Antifungal
bioassay results indicated that most compounds had good or excellent fungicidal
activities for R. solani and S. ampelimum at 20 mg L(-1). Among synthesized
compounds, compound 18e showed a greater inhibitory effect against S. ampelimum,
with half maximal effective concentration (EC50) values of 0.020 mg L(-1). This
strong activity rivals currently used commercial fungicides, such as Boscalid and
Carbendazim, and has great potential as a lead compound for future development of
novel fungicides.
PMID- 27191617
TI - 3-(Benzodioxan-2-ylmethoxy)-2,6-difluorobenzamides bearing hydrophobic
substituents at the 7-position of the benzodioxane nucleus potently inhibit
methicillin-resistant Sa and Mtb cell division.
AB - Lipophilic substituents at benzodioxane C (7) of 3-(benzodioxan-2-ylmethoxy)-2,6
difluorobenzamide improve the antibacterial activity against methicillin
resistant Staphylococcus aureus strains to MIC values in the range of 0.2-2.5
MUg/mL, whereas hydrophilic substituents at the same position and modifications
at the benzodioxane substructure, excepting for replacement with 2-cromanyl, are
deleterious. Some of the lead compounds also exhibit good activity against Mtb.
Parallel SARs to those of 3-(2-benzothiazol-2-ylmethoxy)-2,6-difluorobenzamide,
well known FtsZ inhibitor, and cells alterations typical of FtsZ inhibition
indicate such a protein as the target of these potent antibacterial benzodioxane
benzamides.
PMID- 27191619
TI - 8-Hydroxyquinolines in medicinal chemistry: A structural perspective.
AB - 8-Hydroxyquinolines are heterocyclic compounds characterized by a moderate metal
binding affinity. The interest in 8-hydroxyquinolines has grown exponentially in
the last two decades as they are privileged structures for the design of new drug
candidates that exert a host of biological effects on various targets. The study
of biological activities such as neuroprotection, anticancer, antibacterial,
antifungal activity has been further promoted by the synthetic versatility of 8
hydroxyquinoline, which allows the generation of a large number of derivatives.
These include numerous multifunctional analogues having the metal-binding motif
of 8-hydroxyquinoline. In this review, we have summarized 8-hydroxyquinolines, 8
hydroxyquinoline-like compounds, 8-hydroxyquinoline-loaded nanoparticle systems
with respect to their biological activities, interaction with metal ions and
mechanisms of action.
PMID- 27191620
TI - Association of Sleep Disordered Breathing with Mono-Symptomatic Nocturnal
Enuresis: A Study among School Children of Central India.
AB - OBJECTIVE: To study the prevalence of primary monosymptopomatic nocturnal
enuresis (PMNE) in children aged 5-10 year and to find its association with sleep
disordered breathing (SDB) by using a 22 item pediatric sleep related breathing
disorder (SRBD) scale. METHODS: This was a school based cross sectional
epidemiological study from July 2015 to November 2015. A questionnaire seeking
information on socio-demographic variables, nocturnal enuresis (NE) frequency,
school performance and a validated 22 item pediatric sleep related breathing
disorder scale (SRBDs) was distributed to 1820 pupils in three primary schools.
RESULTS: A total of 1528(83.95%) questionnaires were retrieved. Out of 1528
forms, 182(11.9%) forms were incomplete for requested information and hence 1346
(73.9%) questionnaires were finally analyzed. The prevalence of NE was found to
be 12.7% (95% CI; 11-14.6), whereas prevalence of primary nocturnal enuresis
(PMNE) was 8.2% (95% CI; 7.1-10.1). SRBD scale score >0.33 (adjusted OR: 2.87;
95%CI: 1.67-4.92), paternal history of enuresis in childhood (adjusted OR:4.96;
95% CI: 2.36-10.45), and inappropriate toilet training (adjusted OR: 1.64; 95%
CI: 1.01-2.66) were independently associated with PMNE. CONCLUSION: Sleep
disordered breathing, inappropriate toilet training and a history of childhood NE
in father were found to be significant risk factors for PMNE in the present
study. Thus, these findings suggest that it is imperative to rule out SDB in PMNE
patients as they may require different therapeutic interventions.
PMID- 27191622
TI - Ptosis Repair in Ocular Myasthenia Gravis.
AB - Ptosis repair was performed in patients with ocular myasthenia gravis by a
posterior approach (Fasanella-Servat, 12 eyelids of nine patients) or levator
advancement (eight eyelids of five patients) techniques. There were eight males
and five females. Median age was 73 years and range 30-86 years. The median
duration of myasthenia was 10 years and range 2 to 28 years. Pyridostigmine and
prednisone were widely used prior to surgical referral, but ineffective or
intolerable in all. The mean preoperative upper margin-reflex distance (MRD) was
0.55 mm (range -1 to 2 mm). The levator excursion range was 10 to 16 mm and mean
12.4 mm. Mean follow-up was 9.1 months. Postoperatively, the MRD ranged from 0.5
to 4 mm, with a mean of 2.3 mm. Two patients had lagophthalmos postoperatively
(one posterior approach, one levator advancement) that did not require
correction. Three of five patients who underwent levator advancement required
repeat ptosis repair.
PMID- 27191621
TI - Post-Ebola Measles Outbreak in Lola, Guinea, January-June 2015(1).
AB - During public health crises such as the recent outbreaks of Ebola virus disease
in West Africa, breakdowns in public health systems can lead to epidemics of
vaccine-preventable diseases. We report here on an outbreak of measles in the
prefecture of Lola, Guinea, which started in January 2015.
PMID- 27191623
TI - Synthesis of substituted phenylcarbamates of N-cyclobutylformylated chitosan and
their application as chiral selectors in enantioseparation.
AB - The goal of this study was to develop new chiral stationary phases (CSPs) with
high chiral recognition capability and high compatibility with the so-called
"nonstandard solvents". Seven new chitosan bis(phenylcarbamate)-(N
cyclobutylformamide) derivatives were synthesized from chitosan with high degree
of deacetylation as a starting material. The corresponding chiral stationary
phases (CSPs 1-7) were prepared with the chitosan derivatives as chiral selectors
(CSs). The enantioseparation capability of CSPs 1-7 was evaluated by high
performance liquid chromatography with nineteen analytes. In comparison with the
CSPs of cellulose tris(3,5-dimethylphenylcarbamate) (CDMPC) and amylose tris(3,5
dimethylphenylcarbamate) (ADMPC), the prepared CSPs generally demonstrated
excellent enantioseparation capability, particularly for the CSP derived from
chitosan bis(3-chloro-4-methylphenylcarbamate)-(N-cyclobutylformamide). Moreover,
the CSPs in the present study could separate some analytes better, making them
complementary for enantioseparations with the CSPs of CDMPC and ADMPC. The
tolerability of the CSP with the best enantioseparation capability to organic
solvents was investigated. The results showed that it could work in pure ethyl
acetate, pure chloroform, and a normal phase containing 70% tetrahydrofuran,
which are prevented from enantioseparation by the coating type CSPs of CDMPC and
ADMPC. As these chitosan derivatives were almost insoluble in most organic
solvents, the corresponding CSPs can work in a wide range of mobile phases. In
addition, the influence of the position and electron effects of methyl and chloro
groups introduced onto the CSs and the composition of mobile phases on
enantioseparation was also discussed.
PMID- 27191624
TI - Ultrasound-Assisted Solvent-Free Parallel Synthesis of 3-Arylcoumarins Using N
Acylbenzotriazoles.
AB - An ultrasound-assisted one-pot acylation/cyclization reaction between N
acylbenzotriazoles and 2-hydroxybenzaldehydes has been developed for the
synthesis of substituted 3-arylcoumarins. Using ultrasound not only allows rapid
and clean conversion but also simplifies experimental setup and parallel workup
leading to rapid generation of 3-arylcoumarin libraries under mild, solvent-free,
and chromatography-free conditions.
PMID- 27191625
TI - Aortic Centers of Excellence: Shifting the Focus.
PMID- 27191626
TI - Choroidal Thinning May Change Scleral Architecture.
PMID- 27191628
TI - The Professors Rate Us.
PMID- 27191627
TI - Development of a Computer-Based Format for the Alcohol, Smoking, and Substance
Involvement Screening Test (ASSIST) With University Students.
AB - BACKGROUND: The Alcohol, Smoking, and Substance Involvement Screening Test
(ASSIST) is a reliable and valid tool for the early detection of harmful and
hazardous drug use in primary care settings when administered by interview in the
general population. In university students, substance use is high, so a reliable
and feasible screening instrument is needed. OBJECTIVES: To compare the computer
based ASSIST (ASSISTc) with the interview format (ASSISTi). METHODS: A
convenience sample with counterbalanced design was used alternating between the
ASSISTi and ASSISTc with 15-day interval. Although this is not a traditional test
retest reliability study, the same statistical analysis was used: intraclass
correlations (ICC), kappa (kappa), and Cronbach's alpha (alpha) to compare the
two formats. A satisfaction questionnaire was applied immediately after the
second session. RESULTS: Both formats were completed by the students (n = 809)
over 15 days. The scores of involvement with all substances and with tobacco,
alcohol, cannabis, and cocaine obtained with the two formats demonstrated
excellent ICC (> .77). The level of agreement was considered substantial for
tobacco (kappa = .69) and cannabis (kappa = .70) and moderate for alcohol (kappa
= .58). The consistency of the ASSISTc was considered satisfactory (alpha: .85
for tobacco, .73 for alcohol, and .87 for cannabis). The analysis of satisfaction
and feasibility showed that the ASSISTi was easier to understand, but the two
formats were considered similar when considering acceptability, ease of
responding, and degree of intimidation. CONCLUSIONS/IMPORTANCE: The two formats
are acceptable, the scores are comparable, and they can be used interchangeably.
PMID- 27191629
TI - Problems+Solutions.
AB - Readers are invited to submit questions relating to problem cases. Inquiries will
be answered by qualified consultants and replies forwarded by mail promptly.
Selected problems and solutions are published every month in this section.
PMID- 27191630
TI - The Medical Bookshelf.
AB - Books received are listed as space permits, and those of particular interest to
our readers are reviewed.
PMID- 27191631
TI - Jarm-don't jog-to live longer.
AB - This section is reserved for commentaries and brief essays dealing with matters
of interest to physicians. Material for consideration should not exceed five
double-spaced typewritten pages. An honorarium of $75 is offered at the time of
publication. Submissions should be addressed to: Editor, Postgraduate Medicine,
4530 W 77th St, Minneapolis. MN 55435.
PMID- 27191633
TI - Trauma injuries.
PMID- 27191632
TI - Trauma.
PMID- 27191636
TI - Primary Care Digest.
PMID- 27191634
TI - Cues/Tips.
PMID- 27191638
TI - Developing interprofessional communication skills for pharmacists to improve
their ability to collaborate with other professions.
AB - Successful communication between health professionals is a prerequisite for
collaborative practice. Clinical pharmacists completed a learning and practice
module introducing them to a framework for successful interprofessional
communication (IPC) in the course of their postgraduate studies. A face-to-face
discussion of a contemporary clinical topic with a health professional was then
scheduled, mainly with junior doctors, in their practice setting. An exploratory
case study methodology was employed to investigate pharmacists' written
reflections on their experience applying their newly acquired IPC skills.
Thematic analysis of reflections developed five categories relating to
interprofessional collaboration, learning, and education. Themes describing
pharmacists' preconceptions about the health professional and scheduled
interprofessional encounter, how it allowed them to learn about doctors' and
other health professionals' practice and build collaborative relationships were
identified. Reflections also elaborated that applying the communication framework
and strengthening of collaboration created opportunities for IPE, with added
observations about these increasing potential impact on patient care and change
of practice. Analysis of anonymous feedback provided by the health professionals
yielded similar themes and was integrated for triangulation. Applying successful
IPC skills in healthcare settings may increase interprofessional collaboration
and create practice models which facilitate interprofessional learning in health
profession programmes.
PMID- 27191639
TI - Lighting the way.
PMID- 27191640
TI - Designing convergent chemistry curricula.
PMID- 27191641
TI - Gene expression: Means to an end.
PMID- 27191642
TI - Genomics: A Tribe for RNA.
PMID- 27191643
TI - Protein evolution: Polymerase's new language.
PMID- 27191645
TI - Proteostasis: Chaperoning for hearing loss.
PMID- 27191646
TI - Cancer metabolism: Addicted to serine.
PMID- 27191648
TI - Erratum: Chemoproteomic profiling of host and pathogen enzymes active in cholera.
PMID- 27191647
TI - Metalloproteins: Mapping elusive electron density.
PMID- 27191649
TI - Possible Transmission of mcr-1-Harboring Escherichia coli between Companion
Animals and Human.
PMID- 27191650
TI - Speed of leukemia development and genetic diversity in xenograft models of T cell
acute lymphoblastic leukemia.
AB - T cell acute lymphoblastic leukemia (T-ALL) develops through accumulation of
multiple genomic alterations within T-cell progenitors resulting in clonal
heterogeneity among leukemic cells. Human T-ALL xeno-transplantation in
immunodeficient mice is a gold standard approach to study leukemia biology and we
recently uncovered that the leukemia development is more or less rapid depending
on T-ALL sample. The resulting human leukemia may arise through genetic selection
and we previously showed that human T-ALL development in immune-deficient mice is
significantly enhanced upon CD7+/CD34+ leukemic cell transplantations. Here we
investigated the genetic characteristics of CD7+/CD34+ and CD7+/CD34- cells from
newly diagnosed human T-ALL and correlated it to the speed of leukemia
development. We observed that CD7+/CD34+ or CD7+/CD34- T-ALL cells that promote
leukemia within a short-time period are genetically similar, as well as xenograft
derived leukemia resulting from both cell fractions. In the case of delayed T-ALL
growth CD7+/CD34+ or CD7+/CD34- cells were either genetically diverse, the
resulting xenograft leukemia arising from different but branched subclones
present in the original sample, or similar, indicating decreased fitness to mouse
micro-environment. Altogether, our work provides new information relating the
speed of leukemia development in xenografts to the genetic diversity of T-ALL
cell compartments.
PMID- 27191651
TI - Mesenchymal stem cells in combination with erythropoietin repair hyperoxia
induced alveoli dysplasia injury in neonatal mice via inhibition of TGF-beta1
signaling.
AB - The aim of the present study is to investigate the protection effects of bone
marrow mesenchymal stem cells (MSCs) in combination with EPO against hyperoxia
induced bronchopulmonary dysplasia (BPD) injury in neonatal mice. BPD model was
prepared by continuous high oxygen exposure, 1*106 bone marrow MSCs and 5000U/kg
recombinant human erythropoietin (EPO) were injected respectively. Results showed
that administration of MSCs, EPO especially MSCs+EPO significant attenuated
hyperoxia-induced lung damage with a decrease of fibrosis, radical alveolar
counts and inhibition of the occurrence of epithelial-mesenchymal transition
(EMT). Furthermore, MSCs+EPO co-treatment more significantly suppressed the
levels of transforming growth factor-beta1(TGF-beta1) than MSCs or EPO alone.
Collectively, these results suggested that MSCs, EPO in particular MSCs+EPO co
treatment could promote lung repair in hyperoxia-induced alveoli dysplasia injury
via inhibition of TGF-beta1 signaling pathway to further suppress EMT process and
may be a promising therapeutic strategy.
PMID- 27191652
TI - Expression of PD-1 on CD4+ T cells in peripheral blood associates with poor
clinical outcome in non-small cell lung cancer.
AB - Recent success of using agents inhibiting the major immune check point,
programmed cell death-1 (PD-1) pathway, offers a great promise for effective
cancer therapy. Two blocking antibodies for PD-1, nivolumab and pembrolizumab
have recently been approved for treating advanced recurrent non-small cell lung
cancer (NSCLC). Activation of PD-1 on T cells and PD-L1 on tumor cells or antigen
presenting cells leads to T cell exhaustion and ultimately tumor growth. In this
study, we performed flow cytometry analysis of peripheral blood samples collected
from patients with advanced NSCLC at initial diagnosis. We report that surface
expression of PD-1 on CD4+ T cells has a prognostic value in NSCLC patients, as
high expression of PD-1 is associated with a shorter progression-free survival
and overall survival. Importantly, we also found that high PD-1 expression on
peripheral CD4+ T cells is associated with inferior clinical response in a subset
of patients who received anti-PD-L1 treatment, indicating a potential predictive
value of this marker. This work highlights the potential of a non-invasive and
effective method to determine prognostic and predictive biomarkers for inhibiting
the PD-1 pathway in NSCLC patients.
PMID- 27191653
TI - Altered glutamine metabolism in platinum resistant ovarian cancer.
AB - Ovarian cancer is characterized by an increase in cellular energy metabolism,
which is predominantly satisfied by glucose and glutamine. Targeting metabolic
pathways is an attractive approach to enhance the therapeutic effectiveness and
to potentially overcome drug resistance in ovarian cancer. In platinum-sensitive
ovarian cancer cell lines the metabolism of both, glucose and glutamine was
initially up-regulated in response to platinum treatment. In contrast, platinum
resistant cells revealed a significant dependency on the presence of glutamine,
with an upregulated expression of glutamine transporter ASCT2 and glutaminase.
This resulted in a higher oxygen consumption rate compared to platinum-sensitive
cell lines reflecting the increased dependency of glutamine utilization through
the tricarboxylic acid cycle. The important role of glutamine metabolism was
confirmed by stable overexpression of glutaminase, which conferred platinum
resistance. Conversely, shRNA knockdown of glutaminase in platinum resistant
cells resulted in re-sensitization to platinum treatment. Importantly, combining
the glutaminase inhibitor BPTES with platinum synergistically inhibited platinum
sensitive and resistant ovarian cancers in vitro. Apoptotic induction was
significantly increased using platinum together with BPTES compared to either
treatment alone. Our findings suggest that targeting glutamine metabolism
together with platinum based chemotherapy offers a potential treatment strategy
particularly in drug resistant ovarian cancer.
PMID- 27191654
TI - Long-term clinical outcome in nasopharyngeal carcinoma patients with post
radiation persistently detectable plasma EBV DNA.
AB - PURPOSE: To investigate the long-term clinical outcome of nasopharyngeal
carcinoma (NPC) patients with persistently detectable plasma EBV (pEBV) DNA after
curative radiotherapy (RT). RESULTS: The post-RT pEBV DNA levels were very lower
copy number (median 21, interquartile range 8-206 copies/ml). After long-term
follow-up, the relapse rate was 64.8%, the median time to progression 20 months,
and 5-year overall survival (OS) 49.6%. Thirty-two of 39 (82.1%) patients with
high viral load (>= 100 copies/ ml) developed tumor relapse, whereas 57.0%
(49/86) patients with low viral load (< 100 copies/ml) had tumor relapse (P =
0.0065). The 5-year OS rates were 20.5% and 62.9% for patients with viral load >=
and < 100 copies/ml (median survival, 20 vs. 100 months; P < 0.0001). Patients
who received adjuvant chemotherapy (AdjCT) experienced significant reduction in
distant failures (66.2% vs. 31.6%; P = 0.0001) but similar locoregional
recurrences (P = 0.2337). The 5-year OS rates were 69.4% for patients who
received AdjCT compared with 33.2% for those of without AdjCT (median survival,
111 vs. 32 months; P < 0.0001). METHODS: We screened 931 newly diagnosed NPC
patients who finished curative RT and found 125 patients (13.4%) with detectable
pEBV DNA one week after RT. The clinical characteristics, treatment modality,
subsequent failure patterns and survivals were analyzed. CONCLUSIONS: NPC
patients with persistently detectable pEBV DNA after curative RT have a higher
rate of treatment failure and poor survivals. Levels of the post-RT pEBV DNA and
administration of AdjCT affect the final outcome significantly.
PMID- 27191657
TI - An Update on the Clinical Utility of the Children's Post-Traumatic Cognitions
Inventory.
AB - The Children's Post-Traumatic Cognitions Inventory (CPTCI) is a self-report
questionnaire that measures maladaptive cognitions in children and young people
following exposure to trauma. In this study, the psychometric properties of the
CPTCI were examined in further detail with the objective of furthering its
utility as a clinical tool. Specifically, we investigated the CPTCI's
discriminant validity, test-retest reliability, and the potential for the
development of a short form of the measure. Three samples (London, East Anglia,
Australia) of children and young people exposed to trauma (N = 535; 7-17 years
old) completed the CPTCI and a structured clinical interview to measure
posttraumatic stress disorder (PTSD) symptoms between 1 and 6 months following
trauma. Test-retest reliability was investigated in a subsample of 203 cases. The
results showed that a score in the range of 46 to 48 on the CPTCI was indicative
of clinically significant appraisals as determined by the presence of PTSD. The
measure also had moderate-to-high test-retest reliability (r = .78) over a 2
month period. The Children's Post-Traumatic Cognitions Inventory-Short Form
(CPTCI-S) had excellent internal consistency (alpha = .92), and moderate-to-high
test-retest reliability (r = .78). The examination of construct validity showed
the model had an excellent fitting factor structure (Comparative Fit index =
0.95, Tucker-Lewis index = 0.91, Root Mean Square Error of Approximation = .07).
A score ranging from 16 to 18 was the best cutoff point on the CPTCI-S, in that
it was indicative of clinically significant appraisals as determined by the
presence of PTSD. Based on these results, we concluded that the CPTCI is a useful
tool to support the practice of clinicians and that the CPTCI-S has excellent
psychometric properties.
PMID- 27191655
TI - rs965513 polymorphism as a common risk marker is associated with papillary
thyroid cancer.
AB - Papillary thyroid cancer (PTC) is the most common type of thyroid cancer. With
the rapid development of genome-wide association studies (GWAS), many genome
variants associated with susceptibility to PTC have been identified, including
the single nucleotide polymorphism rs965513 (9q22.33) near FOXE1. To evaluate the
association between rs965513 and PTC in different ethnicities and countries, we
conducted a meta-analysis using relatively large-scale samples from 23 studies (N
= 163,136; 20,736 cases and 142,400 controls) by searching the PubMed and Google
Scholar databases. Significant heterogeneity caused by different populations
among the selected studies was observed. The A allele of rs965513 polymorphism
was shown to be highly associated with risk of thyroid cancer, with odds ratios
of 1.58 (95% CI 1.32-1.90) in all populations, 1.65 (95% CI 1.31-2.07)) in
Caucasian populations and 1.49 in Asian populations. Compared to the dominant and
recessive models, we observed the highest odds ratio (OR = 2.80, 95% CI 2.12
3.69) in the homozygous model. These results revealed that the rs965513
polymorphism is a risk factor for thyroid cancer.
PMID- 27191658
TI - Abstracts of the meeting of the Belgian Society of Internal Medicine (27 February
1988).
PMID- 27191660
TI - Interrater reliability of electrodiagnosis in neonatal brachial plexopathy.
AB - INTRODUCTION: We investigated interrater reliability of overall assessment of
nerve root lesions by electrodiagnostic testing (EDX) in neonatal brachial plexus
palsy (NBPP). METHODS: Two blinded, board-certified reviewers retrospectively
reviewed de-identified EDX data from 37 infants with NBPP for 2005-2012. Only
nerve conduction and electromyography needle data were included. The examiners
independently assigned 1 of 4 nerve root lesion categories: (1) pre-ganglionic
lesion (avulsion), (2) post-ganglionic lesion (rupture), (3) normal, or (4)
"unable to determine." Simple percentage agreement, the Cohen kappa statistic
representing interrater reliability for each nerve root (C5-T1), and overall
kappa between examiners were evaluated. RESULTS: Interrater reliabilities were
substantial to almost perfect for each nerve root except C5. Considering all
nerve roots, overall interrater reliability was substantial (kappa = 0.62);
simple percentage agreement was 75% (138/185). CONCLUSIONS: Interrater
reliability of nerve root assessment by EDX for infants with NBPP was high for C6
T1 root levels, but less reliable for C5 because of technical factors. Muscle
Nerve 55: 69-73, 2017.
PMID- 27191656
TI - Isolation, identification, and characterization of novel nanovesicles.
AB - Extracellular microvesicles (EVs) have been recognized for many potential
clinical applications including biomarkers for disease diagnosis. In this study,
we identified a major population of EVs by simply screening fluid samples with a
nanosizer. Unlike other EVs, this extracellular nanovesicle (named HG-NV, HG-NV
stands for HomoGenous nanovesicle as well as for Huang-Ge- nanovesicle) can be
detected with a nanosizer with minimal in vitro manipulation and are much more
homogenous in size (8-12 nm) than other EVs. A simple filtration platform is
capable of separating HG-NVs from peripheral blood or cell culture supernatants.
In comparison with corresponding exosome profiles, HG-NVs released from both
mouse and human breast tumor cells are enriched with RNAs. Tumor derived HG-NVs
are more potent in promoting tumor progression than exosomes. In summary, we
identified a major subset of EVs as a previously unrecognized nanovesicle. Tumor
cell derived HG-NVs promote tumor progression. Molecules predominantly present in
breast tumor HG-NVs have been identified and characterized. This discovery may
have implications in advancing both microvesicle biology research and clinical
management including potential used as a biomarker.
PMID- 27191661
TI - Novel insights into enhanced dewatering of waste activated sludge based on the
durable and efficacious radical generating.
AB - : This study aims to develop a high-efficiency radical oxidation process for
enhancing the dewaterability of waste activated sludge (WAS). Radical scavenging
studies combined with electron paramagnetic resonance (EPR) were carried out for
the direct radical identification and effectiveness evaluation of radical
oxidation. The results indicated that Fe(II)-activated CaO2 can pose a superior
effect on dewatering WAS due to its distinctive capacity of stable *OH production
and the high reaction efficiency of regulated-released *OH with water-holding
organics. The mechanism for the enhanced dewatering performance was also
explored. The rupture of sludge colloidal flocs and the reduction of hydrophilic
functional groups in loosely bound extracellular polymeric substances (LB-EPS)
were found to be mainly responsible for the release of interstitial water and
improved dewaterability, respectively. In addition, an inference about the
relationship between interfacial water and zeta potential of different EPS
fractions was established by the simultaneous measurement of the binding
affinities of Ca2+ and Fe2+/Fe3+ for EPS and bound water content. All these
results provide the direct evidence that Fe(II)-activated CaO2 is a promising
pretreatment reagent for sludge disposal. IMPLICATIONS: Fe(II)-activated CaO2 was
first proposed to be highly effective in enhancing the dewaterability of waste
activated sludge. Electron paramagnetic resonance (EPR) spectroscopy provided the
direct evidence for the specific advantages of CaO2, especially the capacity of
durable and efficacious *OH production leading to the excellent conditioning
performance.
PMID- 27191662
TI - Low-dose versus high-dose heparin locks for hemodialysis catheters: a systematic
review and meta-analysis.
AB - BACKGROUND: Low-dose heparin lock has been suggested as an effective and safe
catheter lock in hemodialysis. However, whether a low-dose lock is superior to a
high-dose heparin lock in preventing catheter-related infections and maintaining
catheter patency is inconclusive. STUDY DESIGN: A systematic review and meta
analysis was performed by searching in NGC (National Guideline Clearinghouse),
Cochrane Library, Joanna Briggs Institute Library, MEDLINE, EMbase, RNAO
(Registered Nurses' Association of Ontario), Nursing Consult, PubMed, OVID, China
Biology Medicine disc (CBMdisc), China National Knowledge Infrastructure (CNKI),
Chongqing VIP (CQVIP), Wanfang Data Knowledge Service Platform, and major
nephrology journals. SETTING AND POPULATION: Patients receiving hemodialysis with
central venous catheters. SELECTION CRITERIA FOR STUDIES: Randomized controlled
trials (RCT), controlled clinical trials (CCT), and cohort studies comparing low
dose heparin lock with high-dose heparin lock in hemodialysis patients with
central venous catheters. Intervention (exposure): Locking central venous
catheters with low-dose heparin lock. OUTCOMES: Primary outcomes include bleeding
related complications and catheter-related infections. Secondary outcomes include
catheter retention time, catheter thrombosis/occlusion incidence, and catheter
dysfunction. RESULTS: Five RCTs and three CCTs were eventually identified for the
meta-analysis, with 916 patients in total. Meta-analysis showed that, compared
with the high-dose heparin group (>= 5,000 U/mL), the low-dose (< 5,000 U/mL)
heparin lock could significantly reduce the incidences of bleeding- related
complications RR = 3.29, 95% CI (2.19, 4.94), p < 0.00001) and catheterrelated
infections (RR = 1.66, 95% CI (1.01, 2.73), p = 0.04). However, no significant
differences were observed in the catheter retention time MD = 9.32, 95% CI (
6.70, 25.35), p = 0.25), catheter thrombosis/occlusion incidence (RR = 0.68, 95%
CI (0.28, 1.65), p = 0.39), or catheter dysfunction (RR = 1.07, 95% CI (0.75,
1.53), p = 0.71) between the high- and low-dose heparin groups. CONCLUSION: Low
dose heparin lock could decrease the incidences of catheter-related infections
and bleeding-related complications without influencing the catheter retention
time or the incidence of catheter thrombosis/occlusion or catheter dysfunction.
Low-dose heparin lock solution should be recommended for the hemodialysis
patients using central venous catheter in clinic.
PMID- 27191663
TI - Serum phosphorus adds to value of serum parathyroid hormone for assessment of
bone turnover in renal osteodystrophy.
AB - It is well-established that parathyroid hormone (PTH) correlates with the level
of bone turnover in patients with chronic kidney disease stage 5D (CKD-5D).
Hyperphosphatemia is a well-established complication of end-stage renal disease
and is usually attributed to dietary intake. This study evaluates the
relationship between serum phosphorus levels and bone turnover in patients with
CKD-5D. 93 patients with CKD-5D from the Kentucky Bone Registry who had
sequentially undergone anterior iliac bone biopsies were reviewed. Undecalcified
bone sections were qualitatively assessed for turnover and placed into a group
with low turnover and a group with non-low (normal/high) turnover. Results of PTH
and phosphorus concentrations in blood drawn at the time of biopsies were
compared between the groups. PTH and phosphorus levels were significantly higher
in the non-low turnover group compared to the low turnover group. Cutoff levels
for PTH and phosphorus were tested for predictive power of bone turnover. Both
PTH and phosphorus correlated with turnover. Adding serum phosphorus to serum PTH
enhanced predictive power of PTH for low turnover. The vast majority of patients
with serum phosphorus levels >= 6.0 mg/dL had non-low turnover, while the
majority of those with low turnover had phosphorus values < 6.0 mg/dL.
Classification and regression-tree analysis showed that elevated serum phosphorus
(> 6.2 mg/dL) in patients with PTH < 440 pg/mL was helpful in diagnosing nonlow
turnover in this range of PTH. In patients with PTH ranges of 440 - 814 pg/mL,
serum phosphorus levels > 4.55 mg/dL ruled out low turnover bone disease. This
suggests that not only dietary intake but also bone affects serum phosphorus
levels.
PMID- 27191664
TI - Challenges with Accruing a Study of Topical Opioid for Painful Malignant Wounds:
Lessons Learned.
PMID- 27191665
TI - Optimal therapy and prospects for new medicines in eosinophilic granulomatosis
with polyangiitis (Churg-Strauss syndrome).
AB - INTRODUCTION: The prevalence of eosinophilic granulomatosis with polyangiitis
(EGPA; previously known as Churg-Strauss syndrome) is lower than that of other
antineutrophil cytoplasm antibody (ANCA)-associated vasculitides (AAV's), and
only a few randomized controlled trials have been conducted for this rare
disease. However, recent international efforts have helped delineate the best
treatment approach. AREAS COVERED: At present, EGPA conventional therapy is by
default similar to that of other AAVs. Limited, non-severe EGPA can initially be
treated with glucocorticoids (GCs) alone. Patients with life-threatening
manifestations and/or major organ involvement must receive a combination of GCs
and an immunosuppressant, mainly cyclophosphamide. Remission can be achieved in
>85% of patients with these first-line treatments, but vasculitis relapses occur
in more than one-third of patients, and about 85% cannot stop GC treatment
because of GC-dependent asthma and/or ENT manifestations. A few biologic agents,
including rituximab or mepolizumab, are now under investigation after interesting
preliminary results. Expert commentary: Treatment for EGPA still has several
unmet needs. Several biologic agents are now under investigation in randomized
controlled trials, but a few others should be considered soon. Their benefit
should be demonstrated for devising more EGPA-tailored therapeutic strategies
(ideally GC-free).
PMID- 27191666
TI - Measures of health, fitness, and functional movement among firefighter recruits.
AB - AIM: The purpose of this study was to examine the associations between various
health and fitness measures and Functional Movement ScreenTM (FMSTM) scores among
78 firefighter recruits. METHODS: Relationships between FMSTM scores and age,
body mass index (BMI), sit and reach (S&R) distance, estimated maximal aerobic
capacity (VO2max), estimated one-repetition maximum squat (1RM-Squatmax), and
plank endurance (%Plankmax) were examined. RESULTS: Total FMSTM scores were
significantly correlated with BMI (r = -0.231, p = 0.042), estimated 1RM-Squatmax
(r = 0.302, p = 0.007), and %Plankmax (r = 0.320, p = 0.004). Multiple regression
analyses indicated that this combination of predictors significantly predicted
(F(3, 74) = 5.043, p = 0.003) Total FMSTM score outcomes and accounted for 17% of
the total variance (R2 = 0.170). In addition, logistic regression analyses
indicated that estimated 1RM-Squatmax also significantly predicted (chi2 = 6.662,
df = 1, p = 0.010) FMSTM group membership (<=14 or >=15). CONCLUSION: These
results suggest that the health and fitness measures of obesity (BMI), bilateral
lower extremity strength (estimated 1RM-Squatmax), and core muscular endurance
(%Plankmax) are significantly associated with functional movement patterns among
firefighter recruits. Consequently, injury prevention programs implemented among
firefighter recruits should target these aspects of health and fitness.
PMID- 27191667
TI - Corneal Astigmatism Stability in Descemet Membrane Endothelial Keratoplasty for
Fuchs Corneal Dystrophy.
AB - PURPOSE: To calculate the magnitude and angle of the shift in corneal astigmatism
associated with Descemet membrane endothelial keratoplasty (DMEK) surgery to
determine the feasibility of concurrent astigmatism correction at the time of
DMEK triple procedures. DESIGN: Retrospective study. METHODS: Forty-seven eyes
that previously underwent the DMEK procedure for Fuchs endothelial corneal
dystrophy and that had more than 1.0 diopter (D) of front corneal astigmatism
preoperatively were identified. All DMEK surgeries used a clear corneal temporal
incision of 3.2 mm. Surgically induced astigmatism (SIA) was evaluated 6 months
postsurgery with vector analysis using Scheimpflug image reading. RESULTS: We did
not find a difference between pre- and postoperative magnitude of front
astigmatism (P = 0.88; paired t test). The magnitude of the SIA front surface was
0.77 +/- 0.63 D (range, 0.10-3.14 D). The centroid vector of the SIA front
surface was 0.14 at 89.3 degrees . A hyperopic corneal power shift was noted in
both the front surface by 0.26 +/- 0.74 D (range, 0.45-3.05 D) (P = 0.018; paired
t test) and back surface by 0.56 +/- 0.55 D (range, 0.25-2.40 D) (P < 0.01;
paired t test). CONCLUSIONS: DMEK surgery induces minimal amounts of corneal
astigmatism that is a with-the-rule shift associated with a temporal clear
corneal incision. The stability of these data from preop to postop supports the
plausibility of incorporating astigmatism correction with the cautious use of
toric intraocular lenses for patients with Fuchs corneal dystrophy and cataract.
PMID- 27191668
TI - Descemet Membrane Endothelial Keratoplasty (DMEK) Tissue Preparation: A Donor
Diabetes Mellitus Categorical Risk Stratification Scale for Assessing Tissue
Suitability and Reducing Tissue Loss.
AB - PURPOSE: This study assessed a novel diabetes mellitus (DM) rating scale in
relation to its utility in reducing Descemet membrane endothelial keratoplasty
(DMEK) tissue preparation failure. METHODS: A 5-point DM rating scale was
defined, in which 1 demonstrated relatively good health associated with DM and 5
represented comorbidities associated with DM. A chart review from consecutive
donors who had at least 1 tissue prepared for DMEK was performed. Using the donor
profile, the first tissue processed from each donor was categorized according to
the DM severity and if the tissue passed or failed the DMEK preparation. Failure
rates per rating group were evaluated using logistic regression and odds of
preparation failure. RESULTS: A total of 125 tissues prepared for DMEK were
categorized based on the defined DM rating scale. Of these, 9 tissues were rated
1 (11.1% failure), 25 were rated 2 (0% failure), 31 were rated 3 (6.5% failure),
24 were rated 4 (16.7% failure), and 36 were rated 5 (30.6% failure). The odds
ratios were significant for tissues rated as 5 and 3 (P < 0.05). No other rating
categories were found to influence the odds of failure. A chi test comparing
categories of low risk (1-3) and high risk (4-5) was also performed (P = 0.001).
CONCLUSIONS: The DM rating scale does seem to stratify the risk of preparation
failure associated with the severity of DM and associated comorbidities.
Inclusion of some diabetic donors for the preparation of DMEK grafts may be
warranted given proper screening of the donor history and application of the
rating scale.
PMID- 27191669
TI - Acute Corneal Hydrops Complicated by Microbial Keratitis: Case Series Reveals
Poor Immediate and Long-Term Prognosis.
AB - PURPOSE: Acute corneal hydrops is a rare complication of keratoconus and may be a
risk factor for further complications such as microbial keratitis. METHODS:
Retrospective, case series. RESULTS: Six eyes developed microbial keratitis
during acute corneal hydrops. Risk factors for the development of microbial
keratitis included epithelial defect, contact lens wear, trichiasis, and use of
topical steroids. Two eyes developed corneal perforation and 1 eye was treated
for possible endophthalmitis. All eyes developed marked scarring and
neovascularization with final visual acuity of 20/200 or less. Three eyes
received penetrating keratoplasty, and each experienced endothelial rejection
with 1 eye developing graft failure. CONCLUSIONS: Microbial keratitis is a rare
complication that may develop during acute corneal hydrops. The infection may
rapidly appear and spread throughout the cornea and can lead to severe corneal
scarring, neovascularization, and loss of vision and may prejudice subsequent
keratoplasty. Prophylactic topical antibiotics should always be considered when
treating acute corneal hydrops.
PMID- 27191670
TI - Tear Matrix Metalloproteinases and Myeloperoxidase Levels in Patients With Boston
Keratoprosthesis Type I.
AB - PURPOSE: To investigate the tear levels of matrix metalloproteinases (MMPs),
myeloperoxidase (MPO), and tissue inhibitor of metalloproteinase-1 in eyes after
Boston keratoprosthesis type I (B-KPro) implantation and to correlate these
markers with the established B-KPro prognostic categories. METHODS: Tear washes
were collected from 40 patients (7 with autoimmune disease, 2 with chemical burn,
and 31 with other noncicatrizing diagnoses). Tear levels of MMPs, MPO, and tissue
inhibitor of metalloproteinase-1 were quantified using multianalyte bead-based
enzyme-linked immunosorbent assays. The total MMP activity was determined using a
fluorimetric assay. The analytes were compared to the underlying diagnosis and
other clinical factors. RESULTS: The MMP-8, MMP-9, and MPO levels were markedly
elevated in the eyes with B-KPro (80 +/- 31, 291 +/- 77, and 244 +/- 33 pg/MUg,
respectively). Chemical burn was associated with significantly higher tear MMP-8
(474 +/- 376 pg/MUg) and MMP-9 levels (1300 +/- 635 pg/MUg) compared with
noncicatrizing diseases (MMP-8: 41 +/- 15 pg/MUg, P = 0.02 and MMP-9: 196 +/- 57
pg/MUg, P = 0.02) and higher MMP-9 levels compared with autoimmune diseases (MMP
8: 96 +/- 65 pg/MUg, P = 0.21 and MMP-9: 306 +/- 196 pg/MUg, P = 0.04). Similar
analyte levels were observed in the B-KPro eye and the contralateral non-B-KPro
eye of patients with bilateral diseases. MMP-8, MMP-9, and total MMP activities
correlated strongly with each other. CONCLUSIONS: In the eyes with B-KPro, tear
MMP-8 and MMP-9 levels seem to be related to the underlying ocular surface
pathology and not significantly influenced by the presence of the prosthesis.
PMID- 27191671
TI - Wave Front-Guided Photorefractive Keratectomy Using a High-Resolution Aberrometer
After Corneal Collagen Cross-Linking in Keratoconus.
AB - PURPOSE: To evaluate the clinical outcomes of wave front-guided (WFG)
photorefractive keratectomy (PRK) using a high-definition aberrometer in corneas
with keratoconus at least 1 year after corneal collagen cross-linking (CXL).
METHODS: Prospective uncontrolled interventional case series study including a
total of 34 consecutive eyes of 25 patients with keratoconus previously treated
with CXL. All cases underwent WFG PRK using the VISX STAR S4 IR excimer laser and
the iDesign system. All eyes had keratoconus grade I or II according to the
Amsler-Krumeich classification. Visual, refractive, and ocular aberrometric
outcomes were evaluated during a 12-month follow-up. Astigmatic changes were
analyzed with the Alpins vector method. RESULTS: A significant improvement was
observed in the uncorrected and corrected distance visual acuities (P < 0.001).
The mean efficacy and safety indices at 12 months postoperatively were 1.58 +/-
1.11 and 1.96 +/- 1.52, respectively. Manifest sphere and cylinder were reduced
significantly (P < 0.001), with 76.5% of the eyes having a spherical equivalent
within +/-1.00 D at 12 months postoperatively. The mean difference vector and
magnitude of error were 1.06 +/- 0.92 and 0.43 +/- 0.86 D, respectively. Some
corneal irregularity indices were reduced significantly with surgery (P <= 0.005)
as well as the level of ocular higher order aberrations, primary coma, and
trefoil (P < 0.001). CONCLUSIONS: Sequential WFG PRK using the iDesign system and
the STAR S4 IR excimer laser after CXL is an effective option to correct the
spherocylindrical error and to minimize the level of higher order aberrations in
mild and moderate keratoconus if the maximum intended ablation depth does not
exceed 15% of the minimal corneal thickness.
PMID- 27191673
TI - Eckardt Keratoprosthesis for Tectonic Repair of a Large Corneal Perforation.
AB - PURPOSE: To report on the use of the Eckardt keratoprosthesis as an emergency
temporary tectonic seal for a full-thickness, large decentered corneal
perforation. METHODS: Case report and review of the literature. RESULTS: A 47
year-old male patient with myotonic dystrophy presented with a large corneal
perforation as a complication of chronic ulceration caused by lagophthalmia and
recurrent herpetic keratitis. The perforation was triggered by a superinfection
with Gram-positive bacteria. In an emergency setting where no donor cornea was
available, the Eckardt keratoprosthesis was sutured into the debrided corneal
defect as a tectonic measure. A secondary procedure, consisting of open sky
cataract extraction combined with penetrating keratoplasty was performed 3 weeks
later. During this period, the prosthesis was well tolerated and the anterior
chamber stayed well formed. CONCLUSIONS: The Eckardt keratoprosthesis allowed us
to convert what would have been an emergency a chaud keratoplasty into a well
controlled elective procedure. In our case, the silicone prosthesis was well
tolerated during the 3-week period while awaiting final repair with a corneal
donor button.
PMID- 27191672
TI - Corneoscleral Laceration and Ocular Burns Caused by Electronic Cigarette
Explosions.
AB - PURPOSE: To report cases of acute globe rupture and bilateral corneal burns from
electronic cigarette (EC) explosions. METHODS: Case series. RESULTS: We describe
a series of patients with corneal injury caused by EC explosions. Both patients
suffered bilateral corneal burns and decreased visual acuity, and one patient
sustained a unilateral corneoscleral laceration with prolapsed iris tissue and
hyphema. A review of the scientific literature revealed no prior reported cases
of ocular injury secondary to EC explosions; however, multiple media and
government agency articles describe fires and explosions involving ECs, including
at least 4 with ocular injuries. CONCLUSIONS: Given these cases and the number of
recent media reports, ECs pose a significant public health risk. Users should be
warned regarding the possibility of severe injury, including sight-threatening
ocular injuries ranging from corneal burns to full-thickness corneoscleral
laceration.
PMID- 27191674
TI - Long-Term Density Changes in Corneal Layers After Primary Pterygium Excision With
Topical Mitomycin-C.
AB - PURPOSE: To evaluate the long-term change in average corneal densities at 18
months after primary pterygium excision with topical adjuvant mitomycin-C
application, particularly the relationship between anterior and posterior corneal
layer densities. METHODS: Prospective observational case series. Patients were
imaged with the Pentacam at 18 months after their surgery. Average corneal
densities were the primary outcome and were compared with postoperative weeks 1,
4, and 12 data using paired t test. RESULTS: In all, 31 eyes of 31 patients were
recruited. Mean age at time of operation was 62.0 +/- 9.4 years. Mean best
corrected logarithm of the minimal angle of resolution visual acuity at 18 months
was 0.14. The mean total anterior, central, and posterior average corneal
densities were 30.6, 25.9, and 20.5 respectively. Compared with postoperative
week 1 data, the anterior layer was reduced by 12.7% (P = 0.0144); however, there
was no significant change in the central and posterior layers (P = 0.5353 and
0.0858, respectively). Subgroup analyses did not support the use of a 10- to 12
mm annulus as opposed to total-diameter data, and density reduction effect at 18
months from week 12 and week 1 seemed to be stronger in males (P = 0.0074 and
0.0042, respectively). CONCLUSIONS: Anterior corneal scars continued to diminish
in density with time. Previous finding of a significant increase in posterior
layer density at postoperative week 12 is likely not a result of optical
phenomenon caused by a less dense anterior layer. Sex difference in terms of scar
remodeling may be present.
PMID- 27191675
TI - Boston Type 1 Keratoprosthesis: Visual Outcomes, Device Retention, and
Complications.
AB - PURPOSE: To determine the visual outcomes, device retention, and complications
after Boston type 1 keratoprosthesis (KPro-1) device implantation. METHODS:
Comprehensive review of every case of KPro-1 implantation at a tertiary eye care
center. RESULTS: The initial KPro-1 procedure, performed in 75 eyes without a
previous keratoprosthesis, was included in the analysis. During the first 6
postoperative months, improvement occurred in the mean preoperative best
corrected visual acuity of 20/1265 to a mean best-obtained postoperative visual
acuity of 20/97 (P < 0.001). After a mean follow-up period of 41.4 months (range,
0.8-82.8 months), the final mean best-corrected visual acuity was 20/428.
Improved vision was recorded in 43 eyes (57.3%), ambulatory vision (>=20/400) in
47 eyes (62.7%), intermediate functional vision (>=20/80) in 23 eyes (30.7%), and
full functional vision (>=20/40) in 11 eyes (14.7%). The initial device was
retained in 64 eyes (85.3%), with a Kaplan-Meier retention probability of 96% at
6 months and 82% at 5 years. One or more sight-threatening complications occurred
in 51 eyes (68%). These included device extrusion in 11 eyes (14.7%), ulcerative
keratitis in 12 eyes (16%), endophthalmitis in 7 eyes (9.3%), sterile vitritis in
3 eyes (4%), retroprosthetic membranes in 25 eyes (33.3%), maculopathy in 26 eyes
(34.7%), retinal detachment in 9 eyes (12%), and progressive optic neuropathy in
7 eyes (9.3%). CONCLUSIONS: Boston KPro-1 implantation is associated with
satisfactory visual outcomes and excellent device retention in a majority of
cases. However, serious postoperative complications are common and may compromise
the final visual result.
PMID- 27191677
TI - Adjusting Local Molecular Environment for Giant Ambient Thermal Contraction.
AB - A low-energy triggered switch that can generate mechanoresponse has great
technological potential. A submolecular moiety, S-dibenzocyclooctadiene (DBCOD)
that is composed of a flexible eight-membered ring connecting to a phenyl ring at
each end, undergoes a conformational change from twist-boat to chair under a low
energy stimulus such as near infrared irradiation, resulting in thermal
contraction of DBCOD-based polymer. Experimental evidence corroborated by
theoretical calculations indicates that introducing molecular asymmetry can
reduce crystallinity significantly and consequently facilitate the kinetics of
the conformational change. It has been demonstrated that the negative thermal
expansion (NTE) coefficient of a DBCOD-based polymer system can be adjusted in a
range from -1140 to -2350 ppm K-1 . -2350 ppm K-1 is ~10 times better than the
value reported by the second best NTE system.
PMID- 27191676
TI - Anti-Proliferation Effects of Garlic (Allium sativum L.) on the Progression of
Benign Prostatic Hyperplasia.
AB - Benign prostatic hyperplasia (BPH) is a urologic disease that affects most of men
over the age 50. But until now there is no such perfect cure without side
effects. Because of diverse adverse effects, it is desirable to develop effective
and long term-safety-herbal medicines to inhibit the progress of BPH. In spite of
garlic's large use and a wide spectrum of studies, including anti-hyperlipidemic,
cardio-protective, and anti-inflammatory activities, there was none to prove
efficacy for BPH. In this study, we evaluated the efficacy of garlic to prove its
suppressing effects on BPH. Garlic administration decreased relative prostate
weight ratio, suppressed mRNA expression level of AR, DHT serum levels, and the
growth of prostatic tissue in BPH-induced rats. Moreover, garlic administration
decreased the levels of inflammatory proteins, iNOS, and COX-2 in prostatic
tissue. Further investigation showed that garlic induced accumulation of death
inducing signal complex and activation of AMPK and decreased the levels of anti
apoptotic proteins, such as Bcl-2, Bcl-xL, and survivin. These results suggest
that garlic may have suppressing effects on BPH and it has great potential to be
developed as treatment for BPH. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27191678
TI - 'Competent persons who can treat you with competence, as simple as that' - an
interview study with transgender people on their experiences of meeting health
care professionals.
AB - AIMS AND OBJECTIVES: With a focus on sexual health and rights, this study
describes how transgender people experience meetings with health care
professionals. BACKGROUND: Transgender people face prejudice and discrimination
worldwide. Little is known of their experiences in sexual health-promoting
settings. METHOD: Within a descriptive design, 20 persons aged 18-74 and
identifying as transgender and nonbinary were interviewed. The results were
analysed with constructivist grounded theory. RESULTS: Disrespect among health
care professionals is the core category connected to the experiences in the
result; transgender people experience estrangement, expectations and eviction in
different sexual health-promoting settings. CONCLUSION: Transgender knowledge
needs to be increased in general, in both specialised transgender health care and
many other health care settings, to prevent transgender peoples' experiences of
estrangement. Moreover, an increased knowledge of, and respect for, sexual health
and rights is needed to prevent transgender peoples' exposure to gender binary,
cis- and heteronormative expectations. In addition, access to sexual health care
is essential following gender-confirmatory care as well to avoid transgender
peoples' experiences of eviction from the health care system. RELEVANCE TO
CLINICAL PRACTICE: Nurses have an important role to play in striving for equity
and justice within health care. This study describes how health care
professionals appear to be disrespectful and suggestions of how this can be
avoided are made.
PMID- 27191680
TI - Improved chromatographic method for purification of lactoperoxidase from
different milk sources.
AB - Our previous studies showed that sulfanilamide is a new competitive inhibitor of
and can be used in the purification of lactoperoxidase (LPO, EC1.11.1.7) from
milk. However, this method has some disadvantages like a lower purification
factor. The aim of the present study is to improve the purification process of
milk LPO from different sources. For this purpose, 16 commercial sulfanilamide
derivatives were selected for inhibition studies to determine the best inhibitor
of bovine LPO by calculating kinetic parameters. A cyanogen bromide-activated
Sepharose 4B affinity matrix was synthesized by coupling with each competitive
inhibitor. Among the inhibitors, 5-amino-2-methylbenzenesulfonamide and 2-chloro
4-sulfamoylaniline were used as ligands for the purification of LPO from bovine,
buffalo, cow, and goat milks with 1059.37, 509.09, 232.55, and 161.90, and 453.12
, 151.86-, 869.00-, and 447.57-fold, respectively. Our results show that 5-amino
2-methylbenzenesulfonamide, 2-chloro-4-sulfamoylaniline, and 5-amino-1
naphthalenesulfonamide are the best inhibitors for one-step purification of the
enzyme.
PMID- 27191679
TI - Anisotropically Stiff 3D Micropillar Niche Induces Extraordinary Cell Alignment
and Elongation.
AB - A microfabricated pillar substrate is developed to confine, align, and elongate
cells, allowing decoupled analysis of stiffness and directionality in 3D.
Mesenchymal stem cells and cardiomyocytes are successfully confined in a 3D
environment with precisely tunable stiffness anisotropy. It is discovered that
anisotropically stiff micropillar substrates provide cellular confinement in 3D,
aligning cells in the stiffer direction with extraordinary elongation.
PMID- 27191682
TI - Noble-Metal-Free Materials for Surface-Enhanced Raman Spectroscopy Detection.
AB - Surface-enhanced Raman spectroscopy (SERS) is an attractive tool for the sensing
of molecules in the fields of chemical and biochemical analysis as it enables the
sensitive detection of molecular fingerprint information even at the single
molecule level. In addition to traditional coinage metals in SERS analysis,
recent research on noble-metal-free materials has also yielded highly sensitive
SERS activity. This Minireview presents the recent development of noble-metal
free materials as SERS substrates and their potential applications, especially
semiconductors and emerging graphene-based nanostructures. Rather than providing
an exhaustive review of this field, possible contributions from semiconductor
substrates, characteristics of graphene enhanced Raman scattering, as well as
effect factors such as surface plasmon resonance, structure and defects of the
nanostructures that are considered essential for SERS activity are emphasized.
The intention is to illustrate, through these examples, that the promise of noble
metal-free materials for enhancing detection sensitivity can further fuel the
development of SERS-related applications.
PMID- 27191681
TI - Complete Series of {FeNO}(8), {FeNO}(7), and {FeNO}(6) Complexes Stabilized by a
Tetracarbene Macrocycle.
AB - Use of a macrocyclic tetracarbene ligand, which is topologically reminiscent of
tetrapyrrole macrocycles though electronically distinct, has allowed for the
isolation, X-ray crystallographic characterization and comprehensive
spectroscopic investigation of a complete set of {FeNO}(x) complexes (x = 6, 7,
8). Electrochemical reduction, or chemical reduction with CoCp2, of the {FeNO}(7)
complex 1 leads to the organometallic {FeNO}(8) species 2. Its crystallographic
structure determination is the first for a nonheme iron nitroxyl {FeNO}(8) and
has allowed to identify structural trends among the series of {FeNO}(x)
complexes. Combined experimental data including (57)Fe Mossbauer, IR, UV-vis-NIR,
NMR and Kbeta X-ray emission spectroscopies in concert with DFT calculations
suggest a largely metal centered reduction of 1 to form the low spin (S = 0)
{FeNO}(8) species 2. The very strong sigma-donor character of the tetracarbene
ligand imparts unusual properties and spectroscopic signatures such as low (57)Fe
Mossbauer isomer shifts and linear Fe-N-O units with high IR stretching
frequencies for the NO ligand. The observed metal-centered reduction leads to
distinct reactivity patterns of the {FeNO}(8) species. In contrast to literature
reported {FeNO}(8) complexes, 2 does not undergo NO protonation under strictly
anaerobic conditions. Only in the presence of both dioxygen and protons is rapid
and clean oxidation to the {FeNO}(7) complex 1 observed. While 1 is stable toward
dioxygen, its reaction with dioxygen under NO atmosphere forms the {FeNO}(6)(ONO)
complex 3 that features an unusual O-nitrito ligand trans to the NO. 3 is a rare
example of a nonheme octahedral {FeNO}(6) complex. Its electrochemical or
chemical reduction triggers dissociation of the O-nitrito ligand and sequential
formation of the {FeNO}(7) and {FeNO}(8) compounds 1 and 2. A consistent
electronic structure picture has been derived for these unique organometallic
variants of the key bioinorganic {FeNO}(x) functional units.
PMID- 27191684
TI - Optimizing Stimulation in a Case of Facial Pain Through "Cross-Talk" of
Peripheral and Central Leads: A Case Report.
AB - OBJECTIVES: To describe inter-lead (cross-talk) stimulation between a trigeminal
nerve lead and a cervical epidural lead for the treatment of facial pain in a 69
year-old patient with empty nose syndrome. MATERIALS AND METHODS: A trial implant
was performed with a peripheral V2 trigeminal lead and a C1-C2 lead in cross-talk
configuration. During permanent implant, the V2 lead was placed uneventfully
while the central lead could only be advanced to C3-C4. RESULTS: During the
trial, pain decreased by 70%. One month after permanent implant, the patient
still experienced a 60-70% reduction in pain levels and a decrease from ten to
two weekly pain episodes. Nine months post implant, the patient reported complete
pain relief (0/10 on a numeric rating scale ranging from 0 to 10) and medications
were discontinued. Infrequent exacerbations (3/10) were controlled by increasing
stimulation. Three years post implant, the patient continued to have no baseline
pain and could easily control exacerbations. CONCLUSION: Cross-talk configuration
between a peripheral and a central lead created a more efficient stimulation
technique. The resulting paresthesia was superior to that obtained from either
lead alone and exceeded the paresthesia obtained from the combination of the two
leads when used simultaneously, without an inter-lead configuration.
PMID- 27191683
TI - Comparing the energy landscapes for native folding and aggregation of PrP.
AB - Protein sequences are evolved to encode generally one folded structure, out of a
nearly infinite array of possible folds. Underlying this code is a funneled free
energy landscape that guides folding to the native conformation. Protein
misfolding and aggregation are also a manifestation of free-energy landscapes.
The detailed mechanisms of these processes are poorly understood, but often
involve rare, transient species and a variety of different pathways. The inherent
complexity of misfolding has hampered efforts to measure aggregation pathways and
the underlying energy landscape, especially using traditional methods where
ensemble averaging obscures important rare and transient events. We recently
studied the misfolding and aggregation of prion protein by examining 2 monomers
tethered in close proximity as a dimer, showing how the steps leading to the
formation of a stable aggregated state can be resolved in the single-molecule
limit and the underlying energy landscape thereby reconstructed. This approach
allows a more quantitative comparison of native folding versus misfolding,
including fundamental differences in the dynamics for misfolding. By identifying
key steps and interactions leading to misfolding, it should help to identify
potential drug targets. Here we describe the importance of characterizing free
energy landscapes for aggregation and the challenges involved in doing so, and we
discuss how single-molecule studies can help test proposed structural models for
PrP aggregates.
PMID- 27191686
TI - Multi-Case Review of the Application of the Precautionary Principle in European
Union Law and Case Law.
AB - The precautionary principle was formulated to provide a basis for political
action to protect the environment from potentially severe or irreversible harm in
circumstances of scientific uncertainty that prevent a full risk or cost-benefit
analysis. It underpins environmental law in the European Union and has been
extended to include public health and consumer safety. The aim of this study was
to examine how the precautionary principle has been interpreted and subsequently
applied in practice, whether these applications were consistent, and whether they
followed the guidance from the Commission. A review of the literature was used to
develop a framework for analysis, based on three attributes: severity of
potential harm, standard of evidence (or degree of uncertainty), and nature of
the regulatory action. This was used to examine 15 pieces of legislation or
judicial decisions. The decision whether or not to apply the precautionary
principle appears to be poorly defined, with ambiguities inherent in determining
what level of uncertainty and significance of hazard justifies invoking it. The
cases reviewed suggest that the Commission's guidance was not followed
consistently in forming legislation, although judicial decisions tended to be
more consistent and to follow the guidance by requiring plausible evidence of
potential hazard in order to invoke precaution.
PMID- 27191685
TI - Intravitreal Dexamethasone Implant for the Treatment of Macular Edema in Chronic
Non-infectious Uveitis.
AB - PURPOSE: To report observations on the single and repeat use of the dexamethasone
(DEX) intravitreal implant (Ozurdex; Allergan, Inc, Irvine, CA) for the treatment
of macular edema in patients with non-infectious posterior segment uveitis.
METHODS: A chart review of 15 consecutive patients (25 eyes) was conducted. The
primary outcome measure of the first and subsequent implants was central retinal
thickness (CRT) on spectral-domain optical coherence tomography (sdOCT).
Secondary outcomes were best-corrected visual acuity (BCVA), time to repeat
implant, and adverse events. Multilevel mixed-effects linear regression was used
to determine the effect of the DEX implant compared with baseline. The Kaplan
Meier estimator was used to examine survival from relapse. RESULTS: A total of 35
implants on 25 eyes of 15 patients were included in the analysis. Of these, 91.4%
(32 of 35 eyes) had a reduction in CRT and 80% (20 of 25 eyes) had improved BCVA.
After the first DEX implant, CRT decreased from 590 um (SE: 28 um) at baseline to
370 um (SE: 31 um) at 3 months (p < 0.001). The logMAR VA was 0.614 (SE: 0.089)
at baseline and improved to 0.35 (SE: 0.10, p = 0.002), reaching a statistically
significant difference at 3 months. A repeat implant led to VA improvement of
0.184 logMAR (SE: 0.171 logMAR) and CRT reduction of -291 um (SE: 74 um). There
was no significant difference in effect between the first repeat implant and the
initial implant. Kaplan-Meier estimates of treatment success were 72% between 3
and 6 months. CONCLUSIONS: The DEX implant is an effective adjunct treatment to
systemic corticosteroid or immunomodulatory therapy. Additional research is
required to determine the efficacy of DEX implant as monotherapy for controlling
chronic uveitic macular edema.
PMID- 27191688
TI - Efficacy of Human Fibrinogen-Thrombin Patch (TachoSil) Clinical Application in
Upper Gastrointestinal Cancer Surgery.
AB - BACKGROUND: Previous studies investigated the efficacy and applicability of
tissue adhesives in gastrointestinal surgery while no evidence is available to
date about a novel compound, TachoSil (Takeda, Zurich, Switzerland). The primary
aim of this observational study was to assess the effect of new fibrin sealant on
the incidence of postoperative complications in a homogeneous group of patients
submitted to upper gastrointestinal surgery for cancer. METHODS: Two cohorts of
28 and 34 patients undergoing upper gastrointestinal for surgery were compared.
In the first cohort, the anastomotic site was treated with TachoSil fibrinogen
thrombin-collagen patches and in the second no collagen sponge or any other
hemostatic sealant was used. Postoperative complications and outcomes as well as
postoperative biochemical parameters were analyzed. RESULTS: Postoperative
complications occurred in 12 patients (35.3%) and 2 patients (7.1%) in control
and collagen sponge group respectively (chi2 = 3.539, p < 0.05), with no
anastomotic leakage in the collagen sponge group. A binary logistic regression
analysis showed that the nonuse of collagen sponge [odds ratio (OR) = 0.025, 95%
confidence interval (CI) = 0.001-0.457, p = 0.01] was independently associated
with postoperative complication occurrence. CONCLUSIONS: The addition of
fibrinogen-thrombin-collagen sponge patch may reduce postoperative complication
rate after upper gastrointestinal surgery for cancer. Further study to delineate
the role of TachoSil in gastrointestinal surgery are also needed to demonstrate
improved effectiveness and applicability.
PMID- 27191687
TI - Nephroblastomatosis or Wilms tumor in a fourth patient with a somatic PIK3CA
mutation.
AB - Wilms tumor and nephroblastomatosis are associated with syndromic conditions
including hemihyperplasia. Hemihyperplasia is genetically heterogeneous and may
be the result of genomic abnormalities seen in Beckwith-Wiedemann syndrome,
mosaic chromosome or genomic abnormalities, or somatic point mutations. Somatic
missense mutations affecting the PI3K-AKT-MTOR pathway result in segmental
overgrowth and are present in numerous benign and malignant tumors. Here, we
report a fourth patient with asymmetric overgrowth due to a somatic PIK3CA
mutation who had nephroblastomatosis or Wilms tumor. Similar to two of three
reported patients with a somatic PIK3CA mutation and renal tumors, he shared a
PIK3CA mutation affecting codon 1047, presented at birth with asymmetric
overgrowth, and had fibroadipose overgrowth. Codon 1047 is most commonly affected
by somatic mutations in PIK3CA-related overgrowth spectrum (PROS). While the
fibroadipose overgrowth phenotype appears to be common in individuals with PIK3CA
mutations at codon 1047, individuals with a clinical diagnosis of Klippel
Trenaunay syndrome or isolated lymphatic malformation also had mutations
affecting this amino acid. Screening for Wilms tumor in individuals with PROS
related hemihyperplasia may be considered and, until the natural history is fully
elucidated in larger cohort studies, may follow guidelines for Beckwith-Wiedemann
syndrome, or isolated hemihyperplasia. It is not known if the specific PIK3CA
mutation, the mosaic distribution, or the clinical presentation affect the Wilms
tumor or nephroblastomatosis risk in individuals with PROS. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27191690
TI - Adaptation of Perceptual Responses to Low-Load Blood Flow Restriction Training.
AB - Martin-Hernandez, J, Ruiz-Aguado, J, Herrero, AJ, Loenneke, JP, Aagaard, P,
Cristi-Montero, C, Menendez, H, and Marin, PJ. Adaptation of perceptual responses
to low-load blood flow restriction training. J Strength Cond Res 31(3): 765-772,
2017-The purpose of this study was to determine the adaptive response of ratings
of perceived exertion (RPE) and pain over 6 consecutive training sessions. Thirty
subjects were assigned to either a blood flow restriction training (BFRT) group
or a high-intensity resistance training (HIT) group. Blood flow-restricted
training group performed 4 sets (30 + 15 + 15 + 15, respectively) of unilateral
leg extension at an intensity of 20% one repetition maximum (1RM) while a
restrictive cuff was applied to the most proximal part of the leg. The HIT group
performed 3 sets of 8 repetitions with 85% 1RM. Ratings of perceived exertion and
pain were assessed immediately after each exercise set along the 6 training
sessions and were then averaged to obtain the overall RPE and pain per session.
Statistical analyses showed significant main effects for group (p <= 0.05) and
time (p < 0.001). Ratings of perceived exertion values dropped from session 1 to
session 6 in both BFRT (8.12 +/- 1.3 to 5.7 +/- 1.1, p < 0.001) and HIT (8.5 +/-
1.2 to 6.40 +/- 1.2, p < 0.001). Similar results were observed regarding pain
ratings (BFRT: 8.12 +/- 1.3 to 5.90 +/- 1.55, p < 0.001; HIT: 6.22 +/- 1.7 to
5.14 +/- 1.42, p < 0.01). Our results indicate that RPE was higher after HIT,
whereas differences did not reach significance regarding pain. These perceptual
responses were attenuated over time, and the time course of this adaptive
response was similar between BFRT and HIT. In summary, BFRT induces a marked
perceptual response to training, comparable with that observed with HIT. However,
this response becomes attenuated with continuous practice, leading to moderate
values of RPE and pain. Perceptual responses may not limit the application of
BFRT to highly motivated individuals.
PMID- 27191691
TI - Effect of Time-of-Day on Biochemical Markers in Response to Physical Exercise.
AB - Ammar, A, Chtourou, H, and Souissi, N. Effect of time-of-day on biochemical
markers in response to physical exercise. J Strength Cond Res 31(1): 272-282,
2017-It is well established that many physiological functions are time-of-day
(TOD) dependent, and their circadian rhythms are well documented in healthy
populations at resting state. In fact, greater rate of oxidative stress, white
blood cell, homocysteine, and muscle damage markers were shown in the early
evening (~18:00 hours), and more efficient antioxidant activity was observed in
the early morning (~06:00 hours). During physical tasks, the majority of the
above-mentioned physiological functions increase from pre to post physical
exercise with different rates of increases from morning to evening. These
different rates of increases are suggested to alter the physiological resting
diurnal variation. In this context, given the possible significant interaction
"TOD * physical exercise," circadian rhythms of the physiological responses
during physical activity are still not well established in trained subjects.
Otherwise, it was suggested that the diurnal variation of these biochemical
parameters could, in part, explain the typical diurnal fluctuation of physical
performance. Therefore, the aim of this review was to summarize the currently
available studies investigating the circadian rhythms of physiological functions
at rest and after physical exercise and to establish their possible effect on the
diurnal variation of sport performance. Although studies in this field are
limited, the available information shows that compared with sedentary subjects,
trained population maintained similar diurnal variations of hematological
parameters, homocysteine, and some biochemical markers at rest and even after
physical exercise. However, after strength exercises, the circadian rhythms for
the majority of oxidative stress and muscle damage markers were altered because
of the higher rate of increased pre-post exercises registered in the morning
compared with the afternoon and evening. The available studies reveal that the
significant circadian variation observed for sport performances could be more
likely explained by the alteration of ionic movement and the diurnal fluctuation
of homocysteine, lactate, muscle damage, and antioxidant markers.
PMID- 27191689
TI - Final overall survival results of a randomized trial comparing bortezomib plus
pegylated liposomal doxorubicin with bortezomib alone in patients with relapsed
or refractory multiple myeloma.
AB - BACKGROUND: Previous results from an interim analysis of an open-label,
randomized, phase 3 study demonstrated that bortezomib combined with pegylated
liposomal doxorubicin (PLD) was superior to bortezomib monotherapy in patients
with relapsed/refractory multiple myeloma who had previously received one or more
lines of therapy. Protocol-defined final survival data from that study are
provided here. METHODS: Patients were randomized (1:1) to receive either
bortezomib alone (1.3 mg/m(2) intravenously on days 1, 4, 8, and 11 of every 21
day cycle) or bortezomib-PLD (bortezomib plus PLD 30 mg/m(2) intravenously on day
4). The primary endpoint was the time to progression. Secondary efficacy
endpoints included overall survival (OS), progression-free survival, and the
overall response rate. RESULTS: In total, 646 patients (bortezomib-PLD, n = 324;
bortezomib alone, n = 322) were randomized between December, 2004, and March,
2006. On the clinical cutoff date (May 16, 2014) for the final survival analysis,
at a median follow-up of 103 months, 79% of patients had died (bortezomib-PLD
group: 253 of 324 patients; 78%; bortezomib alone group: 257 of 322 patients;
80%). The median OS in the bortezomib-PLD group was 33 months (95% confidence
interval [CI], 28.9-37.1) versus 30.8 months (95% CI, 25.2-36.5) in the
bortezomib alone group (hazard ratio, 1.047; 95% CI, 0.879-1.246; P = .6068).
Salvage therapies included conventional and novel drugs, which were well balanced
between the two treatment groups. CONCLUSIONS: Despite inducing a superior time
to progression, long-term follow-up revealed that PLD-bortezomib did not improve
OS compared with bortezomib alone in patients with relapsed/refractory multiple
myeloma. The inability to sustain the early observed survival advantage may have
been caused by the effects of subsequent lines of therapy, and underscores the
need for long-term follow-up of phase 3 trials while recognizing the challenge of
having adequate power to detect long-term differences in OS. Cancer 2016;122:2050
6. (c) 2016 American Cancer Society.
PMID- 27191692
TI - Electromyographical Comparison of Pike Variations Performed With and Without
Instability Devices.
AB - Snarr, RL, Hallmark, AV, Nickerson, BS, and Esco, MR. Electromyographical
comparison of pike variations performed with and without instability devices. J
Strength Cond Res 30(12): 3436-3442, 2016-Instability devices are primarily used
with the intent of increasing the intensity of traditional exercises by providing
further muscular demands of the abdominal wall by decreasing stability. Although
there are multiple studies examining these devices, most often they only compare
one such device to a stable movement and overlook the comparison among multiple
devices. The purpose of this investigation was to compare the electromyographical
differences of the rectus abdominis (RA), external oblique (EO), erector spinae
(LSES), and rectus femoris (RF) during pike variations performed on various
surfaces. Twenty men and women volunteered for this study. All subjects performed
5 variations of a pike on varying surfaces (i.e., stable ground [PK], Swiss ball
[SB], suspension training device [ST], BOSU ball [BOSU], and Core Coaster [CC]).
Normalized (%MVC) values were recorded for each muscle during 5 repetitions of
each pike variations. For the RA, EO, LSES, and RF, the PK elicited significantly
lower values compared with the instability devices. In terms of the EO, the ST
was significantly higher than the BOSU, SB, and CC. Results of this investigation
demonstrated significant differences between the instability devices and the
stable pike. These results indicate that with more freely moving instability
devices (e.g., suspension device, Swiss ball, etc.), core musculature may require
greater muscular demands. Practitioners should take note that traditional stable
pikes may not offer a core musculature challenge to resistance-trained
individuals.
PMID- 27191693
TI - Effects of 16 Weeks of Concurrent Training on Resting Heart Rate Variability and
Cardiorespiratory Fitness in People Living With HIV/AIDS Using Antiretroviral
Therapy: A Randomized Clinical Trial.
AB - Pedro, RE, Guariglia, DA, Okuno, NM, Deminice, R, Peres, SB, and Moraes, SMF.
Effects of 16 weeks of concurrent training on resting heart rate variability and
cardiorespiratory fitness in people living with HIV/AIDS using antiretroviral
therapy: a randomized clinical trial. J Strength Cond Res 30(12): 3494-3502, 2016
The study evaluated the effects of concurrent training on resting heart rate
variability (HRVrest) and cardiorespiratory fitness in people living with
HIV/AIDS undergoing antiretroviral therapy (ART). Fifty-eight participants were
randomized into 2 groups (control and training group); however, only 33 were
analyzed. The variables studied were HRVrest indices, submaximal values of oxygen
uptake (V[Combining Dot Above]O2sub) and heart rate (HR5min), peak speed (Vpeak),
and peak oxygen uptake (V[Combining Dot Above]O2peak). The training group
performed concurrent training (15-20 minutes of aerobic exercise plus 40 minutes
of resistance exercise), 3 times per week, for 16 weeks. Posttraining V[Combining
Dot Above]O2peak and Vpeak increased, and HR5min decreased. Resting heart rate
variability indices did not present statistical differences posttraining;
however, the magnitude-based inferences demonstrated a "possibly positive effect"
for high frequency (HF) and low frequency (LF) plus high frequency (LF + HF) and
a "likely positive effect" for R-Rmean posttraining. In conclusion, concurrent
training was effective at improving cardiorespiratory fitness and endurance
performance. Moreover, it led to probably a positive effect on HF and a likely
positive effect on R-Rmean in people living with HIV/AIDS undergoing ART.
PMID- 27191694
TI - The Running Performance Profile of Elite Gaelic Football Match-Play.
AB - : Malone, S, Solan, B, and Collins, K. TITLE: The running performance profile of
elite Gaelic football match-play. J Strength Cond Res 31(1): 30-36, 2017-The
current study examined (a) the match running performance of Gaelic football and
(b) the decrement in match running performance with respect to position. Global
positioning satellite system technologies (4-Hz; VX Sport) were used with 3 elite
intercounty teams across 3 full seasons with 250 full game data sets collected.
Game movements were classified according to game actions and distance covered
across speed zone thresholds (total distance [TD], high-speed running distance
[HSRD; >=17 km.h], sprint distance [SD; >=22 km.h]; accelerations [n]; peak speed
[km.h]). The influence of running performance in each quarter on the subsequent
quarter was analyzed across all positional roles. The mean (+/-SD) TD and HSRD
covered during the game were 8,889 +/- 1,448 m and 1,596 +/- 594 m, respectively.
Results show a temporal profile for TD with reductions in the second (-4.1%),
third (-5.9%) and fourth (-3.8%) quarters, respectively. There was a significant
reduction in HSRD in the second (-8.8%), third (-15.9%), and fourth (-19.8%)
quarters when compared to the first quarter (p < 0.001). Positional differences
were observed for distance-based measures with the middle 3 positions (half-back,
midfield, and half-forward) completing the highest running performances. These
positions also showed increased decrements in TD and HSRD and SD across quarters.
The current data indicate a reduction in exercise intensity over the duration of
elite Gaelic football match-play. It is unclear if this reduction is because of
fatigue, pacing, contextual factors, or nutritional strategies employed by
players.
PMID- 27191695
TI - Warm-up Practices in Elite Boxing Athletes: Impact on Power Output.
AB - Cunniffe, B, Ellison, M, Loosemore, M, and Cardinale, M. Warm-up practices in
elite boxing athletes: Iimpact on power output. J Strength Cond Res 31(1): 95
105, 2017-This study evaluated the performance impact of routine warm-up
strategies in elite Olympic amateur boxing athletes and physiological
implications of the time gap (GAP) between warm-up and boxing activity. Six male
boxers were assessed while performing standardized prefight warm-up routines.
Core and skin temperature measurements (Tcore and Tskin), heart rate, and upper-
and lower-body power output (PO) were assessed before and after warm-up, during a
25-minutes GAP and after 3 * 2 minutes rounds of sparring. Reflected temperature
(Tc) was also determined using high-resolution thermal images at fixed time
points to explore avenues for heat loss. Despite individual differences in warm
up duration (range 7.4-18.5 minutes), increases in Tcore and Tskin occurred (p <=
0.05). Corresponding increases (4.8%; p <= 0.05) in countermovement jump (CMJ)
height and upward-rightward shifts in upper-body force-velocity and power
velocity curves were observed. Athletes remained inactive during the 25-minutes
GAP with a gradual and significant increase in Tc occurring by the end of GAP
suggesting the likelihood of heat loss. Decreases in CMJ height and upper-body PO
were observed after 15 minutes and 25 minutes GAP (p <= 0.05). By the end of GAP
period, all performance variables had returned to pre-warm-up values. Results
suggest routine warm-ups undertaken by elite boxers have acute effects on power
generating capacity. Gradual decreases in performance variables are evident with
inactivity and seem related to alterations in body temperature. Considering the
constraints of major competitions and time spent in air conditioned holding areas
before fights, practitioners should be aware of the potential of nullifying the
warm-up effects.
PMID- 27191696
TI - Maximal Aerobic Frequency of Ball Hitting: A New Training Load Parameter in
Tennis.
AB - Baiget, E, Iglesias, X, and Rodriguez, FA. Maximal aerobic frequency of ball
hitting: a new training load parameter in tennis. J Strength Cond Res 31(1): 106
114, 2017-This study aimed (a) to evaluate a new training load parameter in
tennis based on the ball-hitting frequency (Ballf) at V[Combining Dot Above]O2max
occurs (maximal aerobic frequency of ball hitting, MAF) and (b) to assess the
accuracy of a specific endurance tennis test (SET-Test) for predicting MAF.
Thirty-five male competitive tennis players performed the SET-Test and selected
physiological and performance parameters at maximal workload (MAX), and last
completed stage (LS) and MAF were compared. Performance parameters (Ballf, time,
stage, and hits per test) at LS were higher than at MAF (20.2 +/- 1.7 vs. 18.1 +/
1.5 shots.min, 6.6 +/- 0.8 vs. 5.6 +/- 0.8 stages, and 189 +/- 33 vs. 147 +/- 27
hits; p < 0.001), and highly correlated (r = 0.72-0.77; p < 0.001). The mean
difference between Ballf and stage at MAF and LS were 2.1 +/- 1.1 shots.min and
1.1 +/- 0.6 stages, respectively. The main physiological parameters (heart rate,
V[Combining Dot Above]O2, and V[Combining Dot Above]CO2 at LS) were higher than
at MAF (191 +/- 9 vs. 186 +/- 8 beats.min, 55.5 +/- 5.9 vs. 55.0 +/- 6.0
ml.kg.min and 4,724 +/- 880 vs. 4,253 +/- 739 ml.min; p < 0.005), and were very
strongly correlated (r = 0.93-0.99; p < 0.001). We conclude that MAF can be used
as a practical performance parameter to prescribe tennis-specific training, and
that the SET-Test is a valid method for assessing MAF. Gas exchange measurements
not being available, as a rule of thumb, most players reach their MAF at ~1 stage
(95% confidence interval: 0.9-1.2) and ~2 shots.min (95% confidence interval: 1.7
2.5) less than their completed LS. A model for specific on-court training
protocols for optimizing aerobic fitness in competitive tennis player is
proposed.
PMID- 27191697
TI - Effects of Short or Long Warm-up on Intermediate Running Performance.
AB - van den Tillaar, R, Vatten, T, and von Heimburg, E. Effects of short or long warm
up on intermediate running performance. J Strength Cond Res 31(1): 37-44, 2017
The aim of the study was to compare the effects of a long warm-up (general +
specific) and a short warm-up (specific) on intermediate running performance (3
minute run). Thirteen experienced endurance-trained athletes (age 23.2 +/- 2.3
years, body mass 79.8 +/- 8.2 kg, body height 1.82 +/- 0.05 m) conducted 2 types
of warm-ups in a crossover design with 1 week in between: a long warm-up (10
minutes, 80% maximal heart rate, and 8 * 60 m sprint with increasing intensity
and 1 minute rest in between) and a short warm-up (8 * 60 m sprint with
increasing intensity and 1 minute rest in between). Each warm-up was followed by
a 3-minute running test on a nonmotorized treadmill. Total running distance,
running velocity at each 30 seconds, heart rate, blood lactate concentration,
oxygen uptake, and rate of perceived exertion were measured. No significant
differences in running performance variables and physiological parameters were
found between the 2 warm-up protocols, except for the rate of perceived exertion
and heart rate, which were higher after the long warm-up and after the 3-minute
running test compared with the short warm-up. It was concluded that a short warm
up is as effective as a long warm-up for intermediate performance. Therefore,
athletes can choose for themselves if they want to include a general part in
their warm-up routines, even though it would not enhance their running
performance more compared with only using a short, specific warm-up. However, to
increase efficiency of time for training or competition, these short, specific
warm-ups should be performed instead of long warm-ups.
PMID- 27191698
TI - Comparison of Olympic and Hexagonal Barbells With Midthigh Pull, Deadlift, and
Countermovement Jump.
AB - Malyszek, KK, Harmon, RA, Dunnick, DD, Costa, PB, Coburn, JW, and Brown, LE.
Comparison of olympic and hexagonal barbells with midthigh pull, deadlift, and
countermovement jump. J Strength Cond Res 31(1): 140-145, 2017-Those training for
strength and power commonly use different bars and different lifts. The hexagonal
barbell (HBar) and Olympic barbell (OBar) are frequently used training
implements, and the midthigh pull (MTP) and deadlift (DL) are 2 popular
exercises. Therefore, the purpose of this study was to compare force between an
HBar and OBar for a MTP, DL, and countermovement jump (CMJ). Twenty resistance
trained men (age = 24.05 +/- 2.09 years, ht = 178.07 +/- 7.05 cm, mass = 91.42 +/
14.44 kg) volunteered to participate and performed MTP and DL using both bars
and a CMJ. Joint angles were recorded for all pulls and the bottom position of
the CMJ. Peak ground reaction force (PGRF) was greater in the MTP (3,186.88 +/-
543.53 N) than DL (2,501.15 +/- 404.04 N) but not different between bars.
Midthigh pull joint angles were more extended than DL, and the strongest
correlations between isometric and dynamic performance were seen between DL PGRF
and CMJ impulse (OBar r = 0.85; HBar r = 0.84). These findings are likely because
of the different anatomical characteristics between the MTP and DL and the
similarity in joint angles between the DL and CMJ. Therefore, the DL may be an
optimal choice for athletes in jump-dependent sports, regardless of bar.
PMID- 27191699
TI - Neisseria gonorrhoeae Resistant to Ceftriaxone and Cefixime, Argentina.
PMID- 27191700
TI - Stealth Research and Theranos: Reflections and Update 1 Year Later.
PMID- 27191702
TI - Errors in Identification of 17 of 527 Brain Images in Genetic Study of Phenotypes
Associated With Bipolar Disorder.
PMID- 27191701
TI - Long and short (timeframe) of endoplasmic reticulum stress-induced cell death.
AB - A number of age-dependent degenerative diseases are caused by chronic endoplasmic
reticulum (ER) stress in vital cells. In many cases, the afflicted cells suffer
from ER stress since birth, but the death of irreplaceable cells occurs only late
in life. Although our understanding of ER stress-induced cell death has advanced
significantly, most of the known mechanisms involve pathways that signal within
hours, and it remains unclear how these pathways regulate cell death that occurs
only decades later. Here, I highlight the conceptual issues and suggest ways to
make sense of the age-related effect of ER stress-induced cell death in
degenerative diseases.
PMID- 27191703
TI - Transcription Factor Drug Targets.
AB - Transcription factors represent the point of convergence of multiple signaling
pathways within eukaryotic cells. Deregulated transcription factors contribute to
the pathogenesis of a plethora of human diseases, ranging from diabetes,
inflammatory disorders and cardiovascular disease to many cancers, and thus these
proteins hold great therapeutic potential. Direct modulation of transcription
factor function by small molecules is no longer regarded a Sisyphean task, as
recent work in drug discovery has revealed that transcription factors are
amenable to drug inhibition. Here in we summarize, recent advances regarding the
significance of transcription factors in human diseases and we discuss emerging
pharmacological strategies to modulate transcription factor function. J. Cell.
Biochem. 117: 2693-2696, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27191704
TI - Does trust of patients in their physician predict loyalty to the health care
insurer? The Israeli case study.
AB - This pioneer study tests the relationship between patients' trust in their
physicians and patients' loyalty to their health care insurers. This is a cross
sectional study using a representative sample of patients from all health care
insurers with identical health care plans. Regression analyses and Baron and
Kenny's model were used to test the study model. Patient trust in the physician
did not predict loyalty to the insurer. Loyalty to the physician did not mediate
the relationship between trust in the physician and loyalty to the insurer.
Satisfaction with the physician was the only predictor of loyalty to the insurer.
PMID- 27191705
TI - Modern Radiological Imaging of Osteoarthritis of The Hip Joint With Consideration
of Predisposing Conditions.
AB - Osteoarthritis is the most common disease of the hip joint in adults and has a
high socioeconomic impact. This review article discusses the value of three
imaging modalities in the diagnosis of osteoarthritis of the hip joint:
projection radiography, computed tomography, and magnetic resonance imaging
(MRI). Besides established imaging diagnostics of osteoarthritis, this review
also outlines new MRI techniques that enable the biochemical analysis of hip
joint cartilage and discusses predisposing deformities of the hip joint including
femoroacetabular impingement (FAI) with labral pathologies, hip joint dysplasia,
malrotation, and, finally, femoral head necrosis, for which early detection and
an exact description of the extent and localization of the necrotic area are
extremely important. Conventional X-rays remain indispensable for the diagnosis
of osteoarthritis, while MRI is able to depict additional early symptoms and
signs of activity of the disease. With the increasing number of joint-preserving
interventions such as surgical hip luxation and hip joint arthroscopy for
treating FAI, high-resolution imaging is gaining further importance for both pre-
and postoperative diagnostics because it can accurately recognize early stages of
joint damage. With high-resolution MR sequences and MR arthrography, the detailed
depiction of the thin cartilaginous coating of the hip joint has become quite
possible. KEY POINTS: * Projection radiography is the method of choice for the
diagnostic work-up of osteoarthritis of the hip joint.* Using computed
tomography, the amount of acetabular bone stock prior to total hip arthroplasty
is assessed in selected patients.* Magnetic resonance imaging can substantiate
the indication of surgery in case of discrepancy between clinical symptoms and
radiological findings of the hip joint.* If distinct and left untreated,
predisposing conditions (such as femoroacetabular impingement) may lead to early
development of osteoarthritis of the hip joint.* Functional cartilage imaging can
verify changes in the biochemical composition of the cartilage before they become
morphologically evident. Citation Format: * Weber MA, Merle C, Rehnitz C et al.
Modern Radiological Imaging of Osteoarthritis of The Hip Joint With Consideration
of Predisposing Conditions. Fortschr Rontgenstr 2016; 188: 635 - 651.
PMID- 27191706
TI - Heterogenized Bimetallic Pd-Pt-Fe3O4 Nanoflakes as Extremely Robust, Magnetically
Recyclable Catalysts for Chemoselective Nitroarene Reduction.
AB - A very simple synthesis of bimetallic Pd-Pt-Fe3O4 nanoflake-shaped alloy
nanoparticles (NPs) for cascade catalytic reactions such as dehydrogenation of
ammonia-borane (AB) followed by the reduction of nitro compounds (R-NO2) to
anilines or alkylamines (R-NH2) in methanol at ambient temperature is described.
The Pd-Pt-Fe3O4 NPs were easily prepared via a solution phase hydrothermal method
involving the simple one-pot coreduction of potassium tetrachloroplatinate (II)
and palladium chloride (II) in polyvinylpyrrolidone with subsequent deposition on
commercially available Fe3O4 NPs. The bimetallic Pd-Pt alloy NPs decorated on
Fe3O4 NPs provide a unique synergistic effect for the catalysis of cascade
dehydrogenation/reduction. Various nitroarene derivatives were reduced to
anilines with very specific chemoselectivity in the presence of other reducible
functional groups. The bimetallic Pd-Pt-Fe3O4 NPs provide a unique synergistic
effect for the catalysis of cascade dehydrogenation/reduction. The nitro
reduction proceeded in 5 min with nearly quantitative conversions and yields.
Furthermore, the magnetically recyclable nanocatalysts were readily separated
using an external magnet and reused up to 250 times without any loss of catalytic
activity. A larger scale (10 mmol) reaction was also successfully performed with
>99% yield. This efficient, recyclable Pd-Pt-Fe3O4 NPs system can therefore be
repetitively utilized for the reduction of various nitro-containing compounds.
PMID- 27191708
TI - Trait and State Levels of Loneliness in Early and Late Adolescents: Examining the
Differential Reactivity Hypothesis.
AB - According to the differential reactivity hypothesis, lonely individuals respond
differently to their environment compared to nonlonely individuals, which may
sustain their loneliness levels. However, this interesting hypothesis has not yet
been explored in daily life: Do lonely individuals feel lonely all the time, or
do they feel more or less lonely in specific social contexts? The main aim of the
present study was to test the differential reactivity hypothesis in daily life by
examining in three samples whether trait levels of loneliness affected the levels
of state loneliness in different social contexts. We used baseline questionnaires
to measure trait loneliness and the Experience Sampling Method to collect data on
state loneliness, in early adolescents (N = 269, Mage = 14.49, 59% female) and
late adolescents (N = 223, Mage = 19.60, 91% female) from the Netherlands and
late adolescents from the United States (N = 126, Mage = 19.20, 51% female).
Results provided evidence for the differential reactivity hypothesis in the total
sample, as high lonely adolescents experienced higher levels of state loneliness
in situations in which they were alone than low lonely adolescents, but also
benefited more from being with intimate company than low lonely adolescents. In
sum, the present study provided evidence for the differential reactivity
hypothesis and showed that the experience of loneliness in daily life was
remarkably similar across age and culture. Our findings provide important
insights into the daily experiences of trait lonely people, which may provide
starting points for interventions.
PMID- 27191707
TI - Exploiting mitochondria as targets for the development of new antifungals.
AB - Mitochondria are essential for cell growth and survival of most fungal pathogens.
Energy (ATP) produced during oxidation/reduction reactions of the electron
transport chain (ETC) Complexes I, III and IV (CI, CIII, CIV) fuel cell
synthesis. The mitochondria of fungal pathogens are understudied even though more
recent published data suggest critical functional assignments to fungal-specific
proteins. Proteins of mammalian mitochondria are grouped into 16 functional
categories. In this review, we focus upon 11 proteins from 5 of these categories
in fungal pathogens, OXPHOS, protein import, stress response, carbon source
metabolism, and fission/fusion morphology. As these proteins also are fungal
specific, we hypothesize that they may be exploited as targets in antifungal drug
discovery. We also discuss published transcriptional profiling data of
mitochondrial CI subunit protein mutants, in which we advance a novel concept
those CI subunit proteins have both shared as well as specific responsibilities
for providing ATP to cell processes.
PMID- 27191710
TI - Handbook on Medical Student Evaluation and Assessment.
PMID- 27191709
TI - A combination of soy isoflavones and cello-oligosaccharides changes equol/O
desmethylangolensin production ratio and attenuates bone fragility in
ovariectomized mice.
AB - We examined the cooperative effects of isoflavones and cello-oligosaccharides on
daidzein metabolism and bone fragility in ovariectomized mice. Cello
oligosaccharides increased urinary equol and decreased O-desmethylangolensin. A
combination of isoflavones and cello-oligosaccharides attenuated decreases in
bone breaking force and stiffness caused by ovariectomy. Combination treatment
with isofalvones and cello-oligosaccharides increases urinary equol/O
desmethylangolensin production ratio and prevents ovariectomy-induced
abnormalities in bone strength.
PMID- 27191711
TI - Discriminatory usefulness of pulsed-field gel electrophoresis and sequence-based
typing in Legionella outbreaks.
AB - AIM: To compare the discriminatory power of pulsed-field gel electrophoresis
(PFGE) and sequence-based typing (SBT) in Legionella outbreaks for determining
the infection source. MATERIALS & METHODS: Twenty-five investigations of
Legionnaires' disease were analyzed by PFGE, SBT and Dresden monoclonal antibody.
RESULTS: The results suggested that monoclonal antibody could reduce the number
of Legionella isolates to be characterized by molecular methods. The
epidemiological concordance PFGE-SBT was 100%, while the molecular concordance
was 64%. Adjusted Wallace index (AW) showed that PFGE has better discriminatory
power than SBT (AWSBT->PFGE = 0.767; AWPFGE->SBT = 1). The discrepancies appeared
mostly in sequence type (ST) 1, a worldwide distributed ST for which PFGE
discriminated different profiles. CONCLUSION: SBT discriminatory power was not
sufficient verifying the infection source, especially in worldwide distributed
STs, which were classified into different PFGE patterns.
PMID- 27191712
TI - Rapid Detection of Polymyxin Resistance in Enterobacteriaceae.
AB - For identification of polymyxin resistance in Enterobacteriaceae, we developed a
rapid test that detects glucose metabolization associated with bacterial growth
in the presence of a defined concentration of colistin or polymyxin B. Formation
of acid metabolites is evidenced by a color change (orange to yellow) of a pH
indicator (red phenol). To evaluate the test, we used bacterial colonies of 135
isolates expressing various mechanisms of colistin resistance (intrinsic,
chromosomally encoded, and plasmid-mediated MCR-1) and 65 colistin-susceptible
isolates. Sensitivity and specificity were 99.3% and 95.4%, respectively,
compared with the standard broth microdilution method. This new test is
inexpensive, easy to perform, sensitive, specific, and can be completed in <2
hours. It could be useful in countries facing endemic spread of carbapenemase
producers and for which polymyxins are last-resort drugs.
PMID- 27191713
TI - Copper-Catalyzed Three-Component Reaction for Regioselective Aryl- and
Heteroarylselenation of Indoles using Selenium Powder.
AB - A new and efficient copper-catalyzed C3 aryl- and heteroarylselenation of indoles
employing selenium powder has been developed. The advantages of this chemistry
involve the use of cheap selenating reagents, tolerance of a variety of
functional groups, and practicality. In addition, this protocol has been further
elaborated in an intramolecular phenylselenation of a (hetero) aryl C-H bond to
construct an important motif of benzoselenopheno[3,2-b]indole. A preliminary
mechanism study suggests that the reaction starts with a Ullman-type selenation
between aryl iodides and selenium, followed by an oxidative cross-coupling with
indole. The utility of this method has been demonstrated in an efficient gram
scale synthesis and an application to the synthesis of tubulin polymerization
inhibitor.
PMID- 27191714
TI - Antipsychotic-Induced Neuroleptic Malignant Syndrome After Cardiac Surgery.
AB - We report a case of neuroleptic malignant syndrome (NMS) in a postoperative
cardiac surgery patient after the administration of typical and atypical
antipsychotics for the treatment of delirium. On postoperative day 8, the
patient's temperature peaked at 40.6 degrees C. Agitation, rigidity, elevation in
creatine kinase, and leukocytosis were associated findings. NMS was suspected on
postoperative day 10. All antipsychotics were discontinued; dantrolene infusions
and fluid therapy were initiated. After 2 days of NMS treatment, the patient's
symptoms resolved. The temporal relationship between discontinuation of all
antipsychotics, initiation of dantrolene, and clinical improvement supports the
diagnosis of antipsychotic-induced NMS.
PMID- 27191717
TI - The Effects of Run-of-River Hydroelectric Power Schemes on Fish Community
Composition in Temperate Streams and Rivers.
AB - The potential environmental impacts of large-scale storage hydroelectric power
(HEP) schemes have been well-documented in the literature. In Europe, awareness
of these potential impacts and limited opportunities for politically-acceptable
medium- to large-scale schemes, have caused attention to focus on smaller-scale
HEP schemes, particularly run-of-river (ROR) schemes, to contribute to meeting
renewable energy targets. Run-of-river HEP schemes are often presumed to be less
environmentally damaging than large-scale storage HEP schemes. However, there is
currently a lack of peer-reviewed studies on their physical and ecological
impact. The aim of this article was to investigate the effects of ROR HEP schemes
on communities of fish in temperate streams and rivers, using a Before-After,
Control-Impact (BACI) study design. The study makes use of routine environmental
surveillance data collected as part of long-term national and international
monitoring programmes at 23 systematically-selected ROR HEP schemes and 23
systematically-selected paired control sites. Six area-normalised metrics of fish
community composition were analysed using a linear mixed effects model (number of
species, number of fish, number of Atlantic salmon-Salmo salar, number of >1 year
old Atlantic salmon, number of brown trout-Salmo trutta, and number of >1 year
old brown trout). The analyses showed that there was a statistically significant
effect (p<0.05) of ROR HEP construction and operation on the number of species.
However, no statistically significant effects were detected on the other five
metrics of community composition. The implications of these findings are
discussed in this article and recommendations are made for best-practice study
design for future fish community impact studies.
PMID- 27191716
TI - Chimeric Mice with Competent Hematopoietic Immunity Reproduce Key Features of
Severe Lassa Fever.
AB - Lassa fever (LASF) is a highly severe viral syndrome endemic to West African
countries. Despite the annual high morbidity and mortality caused by LASF, very
little is known about the pathophysiology of the disease. Basic research on LASF
has been precluded due to the lack of relevant small animal models that reproduce
the human disease. Immunocompetent laboratory mice are resistant to infection
with Lassa virus (LASV) and, to date, only immunodeficient mice, or mice
expressing human HLA, have shown some degree of susceptibility to experimental
infection. Here, transplantation of wild-type bone marrow cells into irradiated
type I interferon receptor knockout mice (IFNAR-/-) was used to generate chimeric
mice that reproduced important features of severe LASF in humans. This included
high lethality, liver damage, vascular leakage and systemic virus dissemination.
In addition, this model indicated that T cell-mediated immunopathology was an
important component of LASF pathogenesis that was directly correlated with
vascular leakage. Our strategy allows easy generation of a suitable small animal
model to test new vaccines and antivirals and to dissect the basic components of
LASF pathophysiology.
PMID- 27191715
TI - Maintenance of Stem Cell Niche Integrity by a Novel Activator of Integrin
Signaling.
AB - Stem cells depend critically on the surrounding microenvironment, or niche, for
their maintenance and self-renewal. While much is known about how the niche
regulates stem cell self-renewal and differentiation, mechanisms for how the
niche is maintained over time are not well understood. At the apical tip of the
Drosophila testes, germline stem cells (GSCs) and somatic stem cells share a
common niche formed by hub cells. Here we demonstrate that a novel protein named
Shriveled (Shv) is necessary for the maintenance of hub/niche integrity.
Depletion of Shv protein results in age-dependent deterioration of the hub
structure and loss of GSCs, whereas upregulation of Shv preserves the niche
during aging. We find Shv is a secreted protein that modulates DE-cadherin levels
through extracellular activation of integrin signaling. Our work identifies Shv
as a novel activator of integrin signaling and suggests a new integration model
in which crosstalk between integrin and DE-cadherin in niche cells promote their
own preservation by maintaining the niche architecture.
PMID- 27191719
TI - Mass Extinction and the Disappearance of Unknown Mammal Species: Scenario and
Perspectives of a Biodiversity Hotspot's Hotspot.
AB - We aimed to determine the conservation status of medium- and large-sized mammals
and evaluate the impact of 500 years of forest fragmentation on this group of
animals in the Pernambuco Endemism Center, in the biogeographical zone of the
Atlantic forest north of the Sao Francisco River in northeastern Brazil. Line
transect surveys were performed in 21 forest fragments, resulting in a checklist
of the mammals of the entire Pernambuco Endemism Center area. We ran a
generalized linear model (Factorial ANCOVA) to analyze to what extent the
vegetation type, fragment area, isolation, sampling effort (as total kilometers
walked), or higher-order interactions predicted (a) richness and (b) sighting
rates. To determine if the distribution of the species within the forest
fragments exhibited a nested pattern, we used the NODF metric. Subsequently, we
performed a Binomial Logistic Regression to predict the probability of
encountering each species according to fragment size. Out of 38 medium- and large
sized mammal species formerly occurring in the study area, only 53.8% (n = 21)
were sighted. No fragment hosted the entire remaining mammal community, and only
four species (19%) occurred in very small fragments (73.3% of the remaining
forest fragments, with a mean size of 2.8 ha). The mammalian community was highly
simplified, with all large mammals being regionally extinct. Neither the species
richness nor sighting rate was controlled by the vegetation type, the area of the
forest fragments, isolation or any higher-order interaction. Although a highly
significant nested subset pattern was detected, it was not related to the ranking
of the area of forest fragments or isolation. The probability of the occurrence
of a mammal species in a given forest patch varied unpredictably, and the
probability of detecting larger species was even observed to decrease with
increasing patch size. In an ongoing process of mass extinction, half of the
studied mammals have gone extinct. The remaining medium-sized mammal community is
highly simplified and homogenized. The persistence of these species in a forest
patch is determined by their ability to adapt to a novel simplified diet, the
efficient use of the surrounding matrix without being engulfed by the sink
effect, and escaping hunting. Our results suggest that the 21st century medium
sized mammalian fauna of this region will comprise only four species unless
strict conservation measures are implemented immediately and every forest
fragment is effectively protected.
PMID- 27191718
TI - The Lake Chad Basin, an Isolated and Persistent Reservoir of Vibrio cholerae O1:
A Genomic Insight into the Outbreak in Cameroon, 2010.
AB - The prevalence of reported cholera was relatively low around the Lake Chad basin
until 1991. Since then, cholera outbreaks have been reported every couple of
years. The objective of this study was to investigate the 2010/2011 Vibrio
cholerae outbreak in Cameroon to gain insight into the genomic make-up of the V.
cholerae strains responsible for the outbreak. Twenty-four strains were isolated
and whole genome sequenced. Known virulence genes, resistance genes and
integrating conjugative element (ICE) elements were identified and annotated. A
global phylogeny (378 genomes) was inferred using a single nucleotide
polymorphism (SNP) analysis. The Cameroon outbreak was found to be clonal and
clustered distant from the other African strains. In addition, a subset of the
strains contained a deletion that was found in the ICE element causing less
resistance. These results suggest that V. cholerae is endemic in the Lake Chad
basin and different from other African strains.
PMID- 27191721
TI - Limited Capacity for Faster Digestion in Larval Coral Reef Fish at an Elevated
Temperature.
AB - The prevalence of extreme, short-term temperature spikes in coastal regions
during summer months is predicted to increase with ongoing climate change. In
tropical systems, these changes are predicted to increase the metabolic demand of
coral reef fish larvae while also altering the plankton communities upon which
the larvae feed during their pelagic phase. The consequences of these predictions
remain speculative in the absence of empirical data on the interactive effects of
warm temperatures on the metabolism, postprandial processes and growth responses
of coral reef fish larvae. Here, we tested the effect of increased temperature on
the metabolism, postprandial performance and fine-scale growth patterns of a
coral reef fish (Amphiprion percula) in the latter half of its ~11-d larval
phase. First, we measured the length and weight of fed versus fasted larvae (N =
340; mean body mass 4.1+/-0.05 mg) across fine temporal scales at a typical
current summer temperature (28.5 degrees C) and a temperature that is likely be
encountered during warm summer periods later this century (31.5 degrees C).
Second, we measured routine metabolic rate (Mo2 routine) and the energetics of
the postprandial processes (i.e., digestion, absorption and assimilation of a
meal; termed specific dynamic action (SDA)) at both temperatures. Larvae fed
voraciously when provided with food for a 12-hour period and displayed a
temperature-independent increase in mass of 40.1% (28.5 degrees C) and 42.6%
(31.5 degrees C), which was largely associated with the mass of prey in the gut.
A subsequent 12-h fasting period revealed that the larvae had grown 21.2+/-4.8%
(28.5 degrees C) and 22.8+/-8.8% (31.5 degrees C) in mass and 10.3+/-2.0% (28.5
degrees C) and 7.8+/-2.6% (31.5 degrees C) in length compared with pre-feeding
values (no significant temperature effect). Mo2 routine was 55+/-16% higher at
31.5 degrees C and peak Mo2 during the postprandial period was 28+/-11% higher at
31.5 degrees C, yet elevated temperature had no significant effect on SDA (0.51+/
0.06 J at 28.5 degrees C vs. 0.53+/-0.07 J at 31.5 degrees C), SDA duration
(6.0+/-0.6 h vs. 6.5+/-0.5 h), or the percent of total meal energy used for SDA
(SDA coefficient: 10.1+/-1.3% vs. 13.0+/-1.7%). Our findings of higher Mo2
routine but similar SDA coefficient at high temperature provide the first
empirical evidence that coral reef fish larvae may have to secure more food to
attain similar growth rates during warm summer periods, and perhaps with
chronically warmer conditions associated with climate change.
PMID- 27191720
TI - Integrated and Functional Genomics Analysis Validates the Relevance of the
Nuclear Variant ErbB380kDa in Prostate Cancer Progression.
AB - The EGF-family of tyrosine-kinase receptors activates cytoplasmic pathways
involved in cell proliferation, migration and differentiation in response to
specific extracellular ligands. Beside these canonical pathways, the nuclear
localization of the ErbB receptors in primary tumours and cancer cell lines led
to investigate their role as transcriptional regulators of cancer genes. The
nuclear localization of ErbB3 has been reported in various cancer tissues and
cell lines but the nuclear functions and the putative correlation with tumour
progression and resistance to therapy remain unclear. We first assessed ErbB3
expression in normal and tumour prostate tissues. The nuclear staining was mainly
due to an isoform matching the C-terminus domain of the full length ErbB3185kDa
receptor. Nuclear staining was also restricted to cancer cells and was increased
in advanced castration-resistant prostate cancer when compared to localized
tumours, suggesting it could be involved in the progression of prostate cancer up
to the terminal castration-resistant stage. ChIP-on-chip experiments were
performed on immortalized and tumour cell lines selected upon characterization of
endogenous nuclear expression of an ErbB380kDa isoform. Among the 1840 target
promoters identified, 26 were selected before ErbB380kDa-dependent gene
expression was evaluated by real-time quantitative RT-PCR, providing evidence
that ErbB380kDa exerted transcriptional control on those genes. Some targets are
already known to be involved in prostate cancer progression even though no link
was previously established with ErbB3 membrane and/or nuclear signalling. Many
others, not yet associated with prostate cancer, could provide new therapeutic
possibilities for patients expressing ErbB380kDa. Detecting ErbB380kDa could thus
constitute a useful marker of prognosis and response to therapy.
PMID- 27191722
TI - Species Identification in Malaise Trap Samples by DNA Barcoding Based on NGS
Technologies and a Scoring Matrix.
AB - The German Barcoding initiatives BFB and GBOL have generated a reference library
of more than 16,000 metazoan species, which is now ready for applications
concerning next generation molecular biodiversity assessments. To streamline the
barcoding process, we have developed a meta-barcoding pipeline: We pre-sorted a
single malaise trap sample (obtained during one week in August 2014, southern
Germany) into 12 arthropod orders and extracted DNA from pooled individuals of
each order separately, in order to facilitate DNA extraction and avoid time
consuming single specimen selection. Aliquots of each ordinal-level DNA extract
were combined to roughly simulate a DNA extract from a non-sorted malaise sample.
Each DNA extract was amplified using four primer sets targeting the CO1-5'
fragment. The resulting PCR products (150-400bp) were sequenced separately on an
Illumina Mi-SEQ platform, resulting in 1.5 million sequences and 5,500 clusters
(coverage >=10; CD-HIT-EST, 98%). Using a total of 120,000 DNA barcodes of
identified, Central European Hymenoptera, Coleoptera, Diptera, and Lepidoptera
downloaded from BOLD we established a reference sequence database for a local
CUSTOM BLAST. This allowed us to identify 529 Barcode Index Numbers (BINs) from
our sequence clusters derived from pooled Malaise trap samples. We introduce a
scoring matrix based on the sequence match percentages of each amplicon in order
to gain plausibility for each detected BIN, leading to 390 high score BINs in the
sorted samples; whereas 268 of these high score BINs (69%) could be identified in
the combined sample. The results indicate that a time consuming presorting
process will yield approximately 30% more high score BINs compared to the non
sorted sample in our case. These promising results indicate that a fast,
efficient and reliable analysis of next generation data from malaise trap samples
can be achieved using this pipeline.
PMID- 27191723
TI - BMP4 Signaling Is Able to Induce an Epithelial-Mesenchymal Transition-Like
Phenotype in Barrett's Esophagus and Esophageal Adenocarcinoma through Induction
of SNAIL2.
AB - BACKGROUND: Bone morphogenetic protein 4 (BMP4) signaling is involved in the
development of Barrett's esophagus (BE), a precursor of esophageal adenocarcinoma
(EAC). In various cancers, BMP4 has been found to induce epithelial-mesenchymal
transition (EMT) but its function in the development of EAC is currently unclear.
AIM: To investigate the expression of BMP4 and several members of the BMP4
pathway in EAC. Additionally, to determine the effect of BMP4 signaling in a
human Barrett's esophagus (BAR-T) and adenocarcinoma (OE33) cell line. METHODS:
Expression of BMP4, its downstream target ID2 and members of the BMP4 pathway
were determined by Q-RT-PCR, immunohistochemistry and Western blot analysis using
biopsy samples from EAC patients. BAR-T and OE33 cells were incubated with BMP4
or the BMP4 antagonist, Noggin, and cell viability and migration assays were
performed. In addition, expression of factors associated with EMT (SNAIL2, CDH1,
CDH2 and Vimentin) was evaluated by Q-RT-PCR and Western blot analysis. RESULTS:
Compared to squamous epithelium (SQ), BMP4 expression was significantly
upregulated in EAC and BE. In addition, the expression of ID2 was significantly
upregulated in EAC and BE compared to SQ. Western blot analysis confirmed our
results, showing an upregulated expression of BMP4 and ID2 in both BE and EAC. In
addition, more phosphorylation of SMAD1/5/8 was observed. BMP4 incubation
inhibited cell viability, but induced cell migration in both BAR-T and OE33
cells. Upon BMP4 incubation, SNAIL2 expression was significantly upregulated in
BAR-T and OE33 cells while CDH1 expression was significantly downregulated. These
results were confirmed by Western blot analysis. CONCLUSION: Our results indicate
active BMP4 signaling in BE and EAC and suggest that this results in an invasive
phenotype by inducing an EMT-like response through upregulation of SNAIL2 and
subsequent downregulation of CDH1.
PMID- 27191724
TI - Using Big Data to Assess Prescribing Patterns in Greece: The Case of Chronic
Obstructive Pulmonary Disease.
AB - INTRODUCTION: Chronic Obstructive Pulmonary Disease (COPD) is one of the top
leading causes of death and disability, and its management is focused on reducing
risk factors, relieving symptoms, and preventing exacerbations. The study aim was
to describe COPD prescribing patterns in Greece by using existing health
administrative data for outpatients. METHODS: This is a retrospective cross
sectional study based on prescriptions collected by the largest social insurance
fund, during the first and last trimester of 2012. Selection criteria were the
prescription of specific active substances and a COPD diagnosis. Extracted
information included active substance, strength, pharmaceutical form and number
of packages prescribed, diagnosis, time of dispensing, as well as insurees' age,
gender, percentage of co-payment and social security unique number. Statistical
analysis included descriptive statistics and logistic regression. RESULTS:
174,357 patients received medicines for COPD during the study period. Patients
were almost equally distributed between male and female, and age above 55 years
was strongly correlated with COPD. Most patients received a long-acting beta
agonist plus inhaled corticosteroid combination (LABA +ICS), followed by long
acting muscarinic agonist (LAMA). 63% patients belonging in the 35-54 age
received LABA+ICS. LAMA was prescribed more frequently among males and was
strongly correlated with COPD. CONCLUSION: The study provides big data analysis
of Greek COPD prescribing patterns. It highlights the need for appropriate COPD
classification in primary care illustrating the role of electronic prescribing in
ensuring appropriate prescribing. Moreover, it indicates possible gender
differences in treatment response or disease severity, and the impact of
statutory co-payments on prescribing.
PMID- 27191726
TI - The mechanistic-holistic divide revisited: The case of the lac operon.
AB - In this paper, I revisit the development of the repression model of genetic
regulation in the lac operon to challenge a common application of a conceptual
framework in the history of biology. I take Allen's (1978) account of the changes
in the life sciences during the early and mid-twentieth century as an example of
a common application of a framework based on the dichotomy between a mechanistic,
or reductionist, approach to science and a holistic one. From this conceptual
framework, Allen infers two general claims about the process of science and its
goals: (1) that "mechanistic materialism" has often presented a more practical
way to begin the study of complex phenomena in the life sciences, and (2) that
the approach described as "holistic materialism" provides a more complete or
accurate description of the natural world. The development of the lac operon
model does not fit Allen's generalizations about scientific developments, and it
can be used to cast some doubt on the scope of application of that conceptual
framework. I argue that a better framework to interpret particular episodes in
the history of molecular biology is to consider the ways in which biologists
prioritize and track different aspects of the phenomena under study, rather than
to focus on whether certain scientific practices are best described as developing
from mechanistic to more holistic approaches. I end with some implications for
the historiography of science by considering the appropriateness of different
conceptual frameworks for different grains of resolution in the history of
biology.
PMID- 27191725
TI - Triclosan Exposure Is Associated with Rapid Restructuring of the Microbiome in
Adult Zebrafish.
AB - Growing evidence indicates that disrupting the microbial community that comprises
the intestinal tract, known as the gut microbiome, can contribute to the
development or severity of disease. As a result, it is important to discern the
agents responsible for microbiome disruption. While animals are frequently
exposed to a diverse array of environmental chemicals, little is known about
their effects on gut microbiome stability and structure. Here, we demonstrate how
zebrafish can be used to glean insight into the effects of environmental chemical
exposure on the structure and ecological dynamics of the gut microbiome.
Specifically, we exposed forty-five adult zebrafish to triclosan-laden food for
four or seven days or a control diet, and analyzed their microbial communities
using 16S rRNA amplicon sequencing. Triclosan exposure was associated with rapid
shifts in microbiome structure and diversity. We find evidence that several
operational taxonomic units (OTUs) associated with the family Enterobacteriaceae
appear to be susceptible to triclosan exposure, while OTUs associated with the
genus Pseudomonas appeared to be more resilient and resistant to exposure. We
also found that triclosan exposure is associated with topological alterations to
microbial interaction networks and results in an overall increase in the number
of negative interactions per microbe in these networks. Together these data
indicate that triclosan exposure results in altered composition and ecological
dynamics of microbial communities in the gut. Our work demonstrates that because
zebrafish afford rapid and inexpensive interrogation of a large number of
individuals, it is a useful experimental system for the discovery of the gut
microbiome's interaction with environmental chemicals.
PMID- 27191728
TI - A Systematic Review of Social Media Use to Discuss and View Deliberate Self-Harm
Acts.
AB - OBJECTIVE: To conduct a systematic review of studies of social media platforms
used by young people to discuss and view deliberate self-harm. STUDY DESIGN: 11
electronic databases were searched from January 2000 to January 2012 for primary
research; in June 2014 an updated search of Medline was conducted. Grey
literature sources were also searched. Search results were screened by two
reviewers. Data were extracted by one reviewer and verified by another.
Methodological quality was assessed using the Mixed Methods Appraisal Tool.
RESULTS: Due to heterogeneity in study objectives and outcomes, results were not
pooled; a narrative analysis is presented. 26 studies were included. Most were
conducted in Canada or the UK (30.8% each), used qualitative designs (42.3%), and
evaluated discussion forums (73.1%). Participants were most often aged 19-21
years (69.2%), female (mean 68.6%), and 19.2% had a documented history of
depression. The social media platforms evaluated were commonly supportive and
provided a sense of community among users. Support included suggestions for
formal treatment, advice on stopping self-harming behavior, and encouragement.
Harms included normalizing and accepting self-harming behavior; discussion of
motivation or triggers, concealment, suicidal ideation or plans; and live
depictions of self-harm acts. CONCLUSIONS: Although this evidence is limited by
its descriptive nature, studies identify beneficial and detrimental effects for
young people using social media to discuss and view deliberate self-harm. The
connections users make online may be valuable to explore for therapeutic benefit.
Prospective, longitudinal investigations are needed to identify short- and long
term potential harms associated with use.
PMID- 27191727
TI - Morning and Evening Blue-Enriched Light Exposure Alters Metabolic Function in
Normal Weight Adults.
AB - Increasing evidence points to associations between light-dark exposure patterns,
feeding behavior, and metabolism. This study aimed to determine the acute effects
of 3 hours of morning versus evening blue-enriched light exposure compared to dim
light on hunger, metabolic function, and physiological arousal. Nineteen healthy
adults completed this 4-day inpatient protocol under dim light conditions
(<20lux). Participants were randomized to 3 hours of blue-enriched light exposure
on Day 3 starting either 0.5 hours after wake (n = 9; morning group) or 10.5
hours after wake (n = 10; evening group). All participants remained in dim light
on Day 2 to serve as their baseline. Subjective hunger and sleepiness scales were
collected hourly. Blood was sampled at 30-minute intervals for 4 hours in
association with the light exposure period for glucose, insulin, cortisol,
leptin, and ghrelin. Homeostatic model assessment of insulin resistance (HOMA-IR)
and area under the curve (AUC) for insulin, glucose, HOMA-IR and cortisol were
calculated. Comparisons relative to baseline were done using t-tests and repeated
measures ANOVAs. In both the morning and evening groups, insulin total area, HOMA
IR, and HOMA-IR AUC were increased and subjective sleepiness was reduced with
blue-enriched light compared to dim light. The evening group, but not the morning
group, had significantly higher glucose peak value during blue-enriched light
exposure compared to dim light. There were no other significant differences
between the morning or the evening groups in response to blue-enriched light
exposure. Blue-enriched light exposure acutely alters glucose metabolism and
sleepiness, however the mechanisms behind this relationship and its impacts on
hunger and appetite regulation remain unclear. These results provide further
support for a role of environmental light exposure in the regulation of
metabolism.
PMID- 27191730
TI - Total Synthesis of Teixobactin.
AB - The first total synthesis of the cyclic depsipeptide natural product teixobactin
is described. Synthesis was achieved by solid-phase peptide synthesis,
incorporating the unusual l-allo-enduracididine as a suitably protected synthetic
cassette and employing a key on-resin esterification and solution-phase
macrolactamization. The synthetic natural product was shown to possess potent
antibacterial activity against a range of Gram-positive pathogenic bacteria,
including a virulent strain of Mycobacterium tuberculosis and methicillin
resistant Staphylococcus aureus (MRSA).
PMID- 27191731
TI - Patterns of Uveitis and Scleritis in Nepal: A Tertiary Referral Center Study.
AB - PURPOSE: To analyze the patterns of uveitis and scleritis at the tertiary
referral center in Nepal. METHODS: A retrospective review of uveitis and
scleritis cases at Tilganga Institute of Ophthalmology in 2014. RESULTS: A total
of 1113 uveitis, 73 scleritis, 35 sclerouveitis cases were reviewed. Anterior
uveitis (590, 53%) was most common, followed by panuveitis (20%), intermediate
uveitis (14%), then posterior uvietis (12.9%). The most common causes of
anterior, pan, and posterior uveitis were herpetic disease (15.1%), SHAPU (15.2%)
and toxoplamosis (27.1%) respectively. The most common cause of scleritis &
sclerouveitis was Tuberculosis (15.1%, 31.4% respectively). CONCLUSION: Herpetic
disease, toxoplasmosis, tuberculosis and SHAPU were common infections.
PMID- 27191733
TI - Mind MELD or Ignore It at Your Peril.
PMID- 27191729
TI - Lumbar Myeloid Cell Trafficking into Locomotor Networks after Thoracic Spinal
Cord Injury.
AB - Spinal cord injury (SCI) promotes inflammation along the neuroaxis that
jeopardizes plasticity, intrinsic repair and recovery. While inflammation at the
injury site is well-established, less is known within remote spinal networks. The
presence of bone marrow-derived immune (myeloid) cells in these areas may further
impede functional recovery. Previously, high levels of the gelatinase, matrix
metalloproteinase-9 (MMP-9) occurred within the lumbar enlargement after thoracic
SCI and impeded activity-dependent recovery. Since SCI-induced MMP-9 potentially
increases vascular permeability, myeloid cell infiltration may drive inflammatory
toxicity in locomotor networks. Therefore, we examined neurovascular reactivity
and myeloid cell infiltration in the lumbar cord after thoracic SCI. We show
evidence of region-specific recruitment of myeloid cells into the lumbar but not
cervical region. Myeloid infiltration occurred with concomitant increases in
chemoattractants (CCL2) and cell adhesion molecules (ICAM-1) around lumbar
vasculature 24h and 7days post injury. Bone marrow GFP chimeric mice established
robust infiltration of bone marrow-derived myeloid cells into the lumbar gray
matter 24h after SCI. This cell infiltration occurred when the blood-spinal cord
barrier was intact, suggesting active recruitment across the endothelium. Myeloid
cells persisted as ramified macrophages at 7days post injury in parallel with
increased inhibitory GAD67 labeling. Importantly, macrophage infiltration
required MMP-9.
PMID- 27191734
TI - Fixation Characteristics of Severe Amblyopia Subtypes: Which One is Worse?
AB - PURPOSE: To determine differences in macular sensitivity and fixation patterns in
different subtypes of severe amblyopia. METHODS: This case-control study enrolled
a total of 73 male adults, including 18 with pure strabismic severe amblyopia, 19
with pure anisometropic severe amblyopia, 18 with mixed (strabismic plus
anizometropic) severe amblyopia, and 18 healthy controls. MP-1 microperimetry was
used to evaluate macular sensitivity, location of fixation, and stability of
fixation. RESULTS: Mean macular sensitivity, stability of fixation, and location
of fixation were significantly worse in all amblyopia subtypes when compared with
healthy controls. Intergroup comparisons between amblyopia subtypes revealed that
mean macular sensitivity, stability of fixation, and location of fixation were
significantly worse in pure strabismic and mixed amblyopic eyes when compared
with pure anisometropic amblyopic eyes. CONCLUSIONS: Strabismus seems to be a
worse prognostic factor in severe amblyopia than anisometropia in terms of
fixation characteristics and retinal sensitivity.
PMID- 27191732
TI - Substance Use Profiles of Urban American Indian Adolescents: A Latent Class
Analysis.
AB - A growing majority of American Indian adolescents now live in cities and are at
high risk of early and problematic substance use and its negative health effects.
OBJECTIVE: This study used latent class analysis to empirically derive
heterogeneous patterns of substance use among urban American Indian adolescents,
examined demographic correlates of the resulting latent classes, and tested for
differences among the latent classes in other risk behavior and prosocial
outcomes. METHOD: The study employed a representative sample of 8th, 10th, and
12th grade American Indian adolescents (n = 2,407) in public or charter schools
in metropolitan areas of Arizona in 2012. Latent class analysis examined eight
types of last 30 day substance use. RESULTS: Four latent classes emerged: a large
group of "nonusers" (69%); a substantial minority using alcohol, tobacco, and/or
marijuana [ATM] (17%); a smaller group of polysubstance users consuming, alcohol,
tobacco, marijuana, other illicit drugs, and prescription or OTC drugs in
combination (6%); and a "not alcohol" group reporting combinations of tobacco,
marijuana, and prescription drug use, but rarely alcohol use (4%). The latent
classes varied by age and grade level, but not by other demographic
characteristics, and aligned in highly consistent patterns on other non-substance
use outcomes. Polysubstance users reported the most problematic and nonusers the
least problematic outcomes, with ATM and "not alcohol" users in the middle.
CONCLUSIONS: Urban AI adolescent substance use occurs in three somewhat
distinctive patterns of combinations of recent alcohol and drug consumption,
covarying in systematic ways with other problematic risk behaviors and attitudes.
PMID- 27191735
TI - Epidemiology of Pulmonary Nontuberculous Mycobacterial Disease, Japan(1).
PMID- 27191736
TI - One carbon metabolism, a complex metabolic network involved in pathomechanisms of
inherited disorders, birth defects and age-related pathologies.
PMID- 27191737
TI - Quantitative transportation assessment in curved canals prepared with an off
centered rectangular design system.
AB - The purpose of this study was to assess the ability of an off-centered
rectangular design system [ProTaper Next (PTN)] to maintain the original profile
of the root canal anatomy. To this end, ProTaper Universal (PTU), Reciproc (R)
and WaveOne (WO) systems were used as reference techniques for comparison. Forty
clear resin blocks with simulated curved root canals were randomly assigned to 4
groups (n = 10) according to the instrumentation system used: PTN, PTU, R and WO.
Color stereomicroscopic images of each block were taken before and after
instrumentation. All image processing and data analysis were performed with an
open source program (Fiji v.1.47n). Evaluation of canal transportation was
obtained for two independent regions: straight and curved portions. Univariate
analysis of variance and Tukey's Honestly Significant Difference test were
performed, and a cut-off for significance was set at alpha = 5%. Instrumentation
systems significantly influenced canal transportation (p = 0.000). Overall, R
induced significantly lower canal transportation compared with WO, PTN and PTU (p
= 0.000). The curved portion displayed superior canal transportation compared to
the straight one (p = 0.000). The significance of the difference among
instrumentation systems varied according to the canal level evaluated (p =
0.000). In its straight portion, R and WO exhibited significantly lower
transportation than PTN; whereas in the curved portion, R produced the lowest
deviation. PTU exhibited the highest canal transportation at both levels. It can
be concluded that PTN produced less canal transportation than PTU and WO;
however, R exhibited better centering ability than PTN.
PMID- 27191738
TI - Histomorphometric evaluation of strontium-containing nanostructured
hydroxyapatite as bone substitute in sheep.
AB - The aim of this study is to evaluate the biocompatibility and osteoconductivity
in surgical defects of sheep tibias filled with 1% strontium-containing
nanostructured hydroxyapatite microspheres (SrHA), stoichiometric hydroxyapatite
without strontium microspheres (HA), or blood clots. Santa Ines sheep were
subjected to three perforations on the medial side of the left tibia. The
biomaterials were characterized by X-ray Diffraction (XRD) and Fourier Transform
Infrared (FTIR) before implantation and by X-Ray Microfluorescence (uFRX) and
Scanning Electron Microscopy (SEM) after sheep tibias implantation. Surgical
defects were filled with blood clots (control), SrHA (Group 1) or HA (Group 2).
After 30 days, 5-um bone blocks were obtained for histological evaluation, and
the blocks obtained from 1 animal were embedded in methylmethacrylate for
undecalcified sections. Mononuclear inflammatory infiltrate remained mild in all
experimental groups. Giant cells were observed surrounding biomaterials particles
of both groups and areas of bone formation were detected in close contact with
biomaterials. All groups showed newly formed bone from the periphery to the
center of the defects, which the control, HA and SrHA presented 36.4% (+/- 21.8),
31.2% (+/- 14.7) and 26.2% (+/- 12.9) of newly formed bone density, respectively,
not presenting statistical differences. In addition, the connective tissue
density did not show any significant between groups. The SrHA showing a higher
volume density of biomaterial (51.2 +/- 14.1) present in the defect compared to
HA (32.6 +/- 8.5) after 30 days (p = 0.03). Microspheres containing 1% SrHA or HA
can be considered biocompatible, have osteoconductive properties and may be
useful biomaterials for clinical applications.
PMID- 27191739
TI - Effect of irrigation technique for removal of triple antibiotic paste on bond
strength of MTA to root dentin.
AB - This study evaluated the bond strength of mineral trioxide aggregate (MTA) to
root canal dentin after the performance of various irrigation procedures to
remove triple antibiotic paste (TAP). A total of 56 single-rooted human
mandibular premolars were instrumented using a rotary system to size 40 and
divided randomly into a control group (no intracanal dressing) and three
experimental groups (TAP application for 28 days). TAP was then removed by
rinsing with 10 mL 2.5% NaOCl using three irrigation systems (Vibringe sonic
irrigation, CanalBrush, and syringe irrigation). The coronal and middle parts of
root canals were then obturated with MTA. After storage for 1 week, each specimen
was embedded in an acrylic block and sectioned horizontally (2-mm-thick slices)
at two levels (coronal and middle). Bond strength of MTA to root canal dentin was
assessed in 28 samples per group via push-out test using a universal testing
machine. Data from the four groups were compared using one-way analysis of
variance. Tukey's test was used for multiple comparisons. Push-out bond strength
values were significantly higher in the control and Vibringe groups than in the
CanalBrush and syringe irrigation groups (p < 0.001). TAP removal from root
canals with the Vibringe irrigation system may increase the push-out bond
strength of MTA compared with the use of the CanalBrush or syringe irrigation.
PMID- 27191740
TI - Radiological characterization of clay mixed red mud in particular as regards its
leaching features.
AB - The reuse of industrial by-products such as red mud is of great importance. In
the case of the building material industry the reuse of red mud requires a
cautious attitude, since the enhanced radionuclide content of red mud can have an
effect on human health. The natural radionuclide content of red mud from the Ajka
red mud reservoir and the clay sample from a Hungarian brick factory were
determined by gamma spectrometry. It was found that maximum 27.8% red mud content
can be added to fulfil the conditions of the EU-BSS. The effect of heat treatment
was investigated on a red mud-clay mixture and it was found that in the case of
radon and thoron exhalation the applied heat reduced remarkably the exhalation
capacities. The leaching features of red mud and different mixtures were studied
according to the MSZ-21470-50 Hungarian standard, the British CEN/TS 14429
standard and the Tessier sequential extraction method. The Tessier method and the
MSZ-21470-50 standard are suitable for the characterization of materials;
however, they do not provide enough information for waste deposition purposes. To
this end, we propose using the CEN/TS 14429 method, because it is easy to use,
and gives detailed information about the material's behaviour under different pH
conditions, however, further measurements are necessary.
PMID- 27191741
TI - Estimation of sedimentation rates based on the excess of radium 228 in granitic
reservoir sediments.
AB - Knowledge of sedimentation rates in lakes is required to understand and quantify
the geochemical processes involved in scavenging and remobilization of
contaminants at the Sediment-Water Interface (SWI). The well-known 210Pb excess
(210Pbex) method cannot be used for quantifying sedimentation rates in uranium
enriched catchments, as large amounts of 210Pb produced by weathering and human
activities may dilute the atmospheric 210Pb. As an alternative dating method in
these cases, we propose an original method based on 232Th decay series nuclides.
This study focuses on an artificial lake located in a granitic catchment
downstream from a former uranium mine site. The exponential decay of 228Ra excess
(228Raex) with depth in two long cores yields sedimentation rates of 2.4 and 5.2
cm yr-1 respectively. These sedimentation rates lead to the attribution of the
137Cs activity peak observed at depth to the Chernobyl fallout event of 1986. The
228Raex method was also applied to two short cores which did not display the
137Cs peak, and mean sedimentation rates of 2.1 and 4.0 cm y-1 were deduced. The
proposed method may replace the classical radiochronological methods (210Pbex,
137Cs) to determine sedimentation rates in granitic catchments.
PMID- 27191742
TI - GFOD1 and peejar are promising markers for clear-cell renal cell carcinoma
disease progression.
AB - Clear cell renal cell carcinoma (ccRCC) is a common genitourinary malignancy. The
molecular pathogenesis of ccRCC remains unclear and biomarkers for daily practice
were still limited. We performed an integrative analysis of two public ccRCC
microarray datasets, E-GEOD-22541 and E-MTAB-1050, The candidate differential
expression genes (DEG) were then confirmed in the E-GEOD-53757 dataset. In
addition, an independent cohort of 50 ccRCC and 36 non-tumor kidney tissues were
analyzed to examine the selected DGEs by qRT-PCR. We identified and validated two
DEGs, namely GFOD1 and peejar, which were significantly up-regulated in ccRCC
compared with normal renal tissues (p < 0.001). Moreover, the expression of these
two genes are related to histological grade and stage and decrease of their
expression correlated with disease progression (p < 0.05). Furthermore, we found
the expression of peejar was positively correlated with the expression of GFOD1
in ccRCC tissue, with Pearson correlation coefficiency reaching 0.939 (p <
0.001). GFOD1 and peejar were novel genes correlated with ccRCC disease
progression and patients' poor prognosis.
PMID- 27191743
TI - CAPE suppresses migration and invasion of prostate cancer cells via activation of
non-canonical Wnt signaling.
AB - Prostate cancer (PCa) was the fifth most common cancer overall in the world. More
than 80% of patients died from PCa developed bone metastases. Caffeic acid
phenethyl ester (CAPE) is a main bioactive component of honeybee hive propolis.
Transwell and wound healing assays demonstrated that CAPE treatment suppressed
the migration and invasion of PC-3 and DU-145 PCa cells. Gelatin zymography and
Western blotting indicated that CAPE treatment reduced the abundance and activity
of MMP-9 and MMP-2. Analysis using Micro-Western Array (MWA), a high-throughput
antibody-based proteomics platform with 264 antibodies detecting signaling
proteins involved in important pathways indicated that CAPE treatment induced
receptor tyrosine kinase-like orphan receptor 2 (ROR2) in non-canonical Wnt
signaling pathway but suppressed abundance of beta-catenin, NF-kappaB activity,
PI3K-Akt signaling, and epithelial-mesenchymal transition (EMT). Overexpression
or knockdown of ROR2 suppressed or enhanced cell migration of PC-3 cells,
respectively. TCF-LEF promoter binding assay revealed that CAPE treatment reduced
canonical Wnt signaling. Intraperitoneal injection of CAPE reduced the metastasis
of PC-3 xenografts in tail vein injection nude mice model. Immunohistochemical
staining demonstrated that CAPE treatment increased abundance of ROR2 and Wnt5a
but decreased protein expression of Ki67, Frizzle 4, NF-kappaB p65, MMP-9, Snail,
beta-catenin, and phosphorylation of IkappaBalpha. Clinical evidences suggested
that genes affected by CAPE treatment (CTNNB1, RELA, FZD5, DVL3, MAPK9, SNAl1,
ROR2, SMAD4, NFKBIA, DUSP6, and PLCB3) correlate with the aggressiveness of PCa.
Our study suggested that CAPE may be a potential therapeutic agent for patients
with advanced PCa.
PMID- 27191746
TI - The role of CEUS in characterization of superficial lymph nodes: a single center
prospective study.
AB - Accurate lymph node characterization is important in a large number of clinical
settings. We evaluated the usefulness of Contrast Enhanced Ultrasound (CEUS) in
distinguishing between benign and malignant lymph nodes compared with
conventional ultrasonography in the differential diagnosis of superficial
lymphadenopathy.We present our experience for 111 patients enrolled in a single
center.111 superficial lymph nodes were selected and only 1 lymph node per
patient underwent CEUS. A definitive diagnosis for all lymph nodes was obtained
by ultrasonographically guided biopsy and/or excision biopsy.The size of the
lymph nodes, the site (neck, axilla, inguinal region) being easily accessible for
biopsy, and the US and color Doppler US characteristics guided us in selecting
the nodes to be evaluated by CEUS.In our study we identified different
enhancement patterns in benign and malignant lymph nodes, with a high degree of
diagnostic accuracy for superficial lymphadenopathy in comparison with
conventional US.
PMID- 27191744
TI - Targeting tumor-associated macrophages to combat pancreatic cancer.
AB - The tumor microenvironment is replete with cells that evolve with and provide
support to tumor cells during the transition to malignancy. The hijacking of the
immune system in the pancreatic tumor microenvironment is suggested to contribute
to the failure to date to produce significant improvements in pancreatic cancer
survival by various chemotherapeutics. Regulatory T cells, myeloid derived
suppressor cells, and fibroblasts, all of which constitute a complex ecology
microenvironment, can suppress CD8+ T cells and NK cells, thus inhibiting
effector immune responses. Tumor-associated macrophages (TAM) are versatile
immune cells that can express different functional programs in response to
stimuli in tumor microenvironment at different stages of pancreatic cancer
development. TAM have been implicated in suppression of anti-tumorigenic immune
responses, promotion of cancer cell proliferation, stimulation of tumor
angiogenesis and extracellular matrix breakdown, and subsequent enhancement of
tumor invasion and metastasis. Many emerging agents that have demonstrated
efficacy in combating other types of tumors via modulation of macrophages in
tumor microenvironments are, however, only marginally studied for pancreatic
cancer prevention and treatment. A better understanding of the paradoxical roles
of TAM in pancreatic cancer may pave the way to novel preventive and therapeutic
approaches. Here we give an overview of the recruitment and differentiation of
macrophages, TAM and pancreatic cancer progression and prognosis, as well as the
potential preventive and therapeutic targets that interact with TAM for
pancreatic cancer prevention and treatment.
PMID- 27191745
TI - Aldehyde dehydrogenase 2 inhibits inflammatory response and regulates
atherosclerotic plaque.
AB - Previous studies demonstrated that aldehyde dehydrogenase 2 (ALDH2) rs671
polymorphism, which eliminates ALDH2 activity down to 1%-6%, is a susceptibility
gene for coronary disease. Here we investigated the underlying mechanisms based
on our prior clinical and experimental studies. Male apoE-/- mice were
transfected with GFP, ALDH2-overexpression and ALDH2-RNAi lentivirus respectively
(n=20 each) after constrictive collars were placed around the right common
carotid arteries. Consequently, ALDH2 gene silencing led to an increased en face
plaque area, more unstable plaque with heavier accumulation of lipids, more
macrophages, less smooth muscle cells and collagen, which were associated with
aggravated inflammation. However, ALDH2 overexpression displayed opposing
effects. We also found that ALDH2 activity decreased in atherosclerotic plaques
of human and aged apoE-/- mice. Moreover, in vitro experiments with human
umbilical vein endothelial cells further illustrated that, inhibition of ALDH2
activity resulted in elevating inflammatory molecules, an increase of nuclear
translocation of NF-kappaB, and enhanced phosphorylation of NF-kappaB p65, AP-1 c
Jun, Jun-N terminal kinase and p38 MAPK, while ALDH2 activation could trigger
contrary effects. These findings suggested that ALDH2 can influence plaque
development and vulnerability, and inflammation via MAPK, NF-kappaB and AP-1
signaling pathways.
PMID- 27191747
TI - CXCL16 regulates cisplatin-induced acute kidney injury.
AB - The pathogenesis of cisplatin-induced acute kidney injury (AKI) is characterized
by tubular cell apoptosis and inflammation. However, the molecular mechanisms are
not fully understood. We found that CXCL16 was induced in renal tubular
epithelial cells in response to cisplatin-induced AKI. Therefore, we investigated
whether CXCL16 played a role in cisplatin-induced tubular cell apoptosis and
inflammation. Wild-type and CXCL16 knockout mice were administrated with vehicle
or cisplatin at 20 mg/kg by intraperitoneal injection. CXCL16 knockout mice had
lower blood urea nitrogen and less tubular damage following cisplatin-induced AKI
as compared with wild-type mice. Genetic disruption of CXCL16 reduced tubular
epithelial cell apoptosis and decreased caspase-3 activation. Furthermore, CXCL16
deficiency inhibited infiltration of macrophages and T cells into the kidneys
following cisplatin treatment, which was associated with reduced expression of
the proinflammatory cytokines in the kidneys. Taken together, our results
indicate that CXCL16 plays a crucial role in the pathogenesis of cisplatin
induced AKI through regulation of apoptosis and inflammation and maybe a novel
therapeutic target for cisplatin-induced AKI.
PMID- 27191753
TI - Food (and Water) for Thought.
PMID- 27191752
TI - Doctor to Doctor.
PMID- 27191754
TI - Problems+Solutions.
PMID- 27191749
TI - Comparison of the early period effects of bone marrow-derived mesenchymal stem
cells and platelet-rich plasma on the Achilles tendon ruptures in rats.
AB - INTRODUCTION: This study aims to histopathologically, biomechanically, and
immunohistochemically compare the fourth-week efficiencies of local platelet-rich
plasma (PRP) and bone marrow-derived mesenchymal stem cell (rBM-MSC) treatments
of the Achilles tendon ruptures created surgically in rats. MATERIALS AND
METHODS: The study included 35 12-month-old male Sprague Dawley rats, with an
average weight of 400-500 g. Five rats were used as donors for MSC and PRP, and
30 rats were separated into MSC, PRP, and control groups (n = 10). The Achilles
tendons of the rats were cut transversely, the MSC from bone marrow was
administered to the MSC group, the PRP group received PRP, and the control group
received physiological saline to create the same surgical effect. In previous
studies, it was shown that this physiological saline does not have any effect on
tendon recovery. Thirty days after the treatment, the rats were sacrificed and
their Achilles tendons were examined histopathologically, immunohistochemically,
and biomechanically. RESULTS: The use of rBM-MSC and PRP in the Achilles tendon
ruptures when the tendon is in its weakest phase positively affected the recovery
of the tendon in histopathologic, immunohistochemical, and biomechanical manners
compared to the control group (p < 0.05). While the levels of pro-inflammatory
cytokines TNF-alpha, IFNgamma, and IL 1beta were significantly low, the levels of
anti-inflammatory cytokines and growth factors playing key roles in tendon
recovery, such as IL2, VEGF, transforming growth factor-beta, and HGF, were
significantly higher in the MSC group than those of the PRP and control groups (p
< 0.05). In the MSC group, the [Formula: see text] (mm) value was significantly
higher (p ? 0.05) than that in the PRP and control groups. CONCLUSION: rBM-MSC
and PRP promote the recovery of the tendon and increase its structural strength.
The use of PRP and MSC provides hope for the treatment of the Achilles tendon
ruptures that limit human beings' functionalities and quality of life,
particularly for athletes. It is thought that the use of MSC can be more
effective for tendon healing; hence, more extensive and advanced studies are
needed on this topic.
PMID- 27191755
TI - Readers' Forum.
PMID- 27191748
TI - Combined experience of six independent laboratories attempting to create an Ewing
sarcoma mouse model.
AB - Ewing sarcoma (ES) involves a tumor-specific chromosomal translocation that
produces the EWS-FLI1 protein, which is required for the growth of ES cells both
in vitro and in vivo. However, an EWS-FLI1-driven transgenic mouse model is not
currently available. Here, we present data from six independent laboratories
seeking an alternative approach to express EWS-FLI1 in different murine tissues.
We used the Runx2, Col1a2.3, Col1a3.6, Prx1, CAG, Nse, NEFL, Dermo1, P0, Sox9 and
Osterix promoters to target EWS-FLI1 or Cre expression. Additional approaches
included the induction of an endogenous chromosomal translocation, in utero knock
in, and the injection of Cre-expressing adenovirus to induce EWS-FLI1 expression
locally in multiple lineages. Most models resulted in embryonic lethality or
developmental defects. EWS-FLI1-induced apoptosis, promoter leakiness, the lack
of potential cofactors, and the difficulty of expressing EWS-FLI1 in specific
sites were considered the primary reasons for the failed attempts to create a
transgenic mouse model of ES.
PMID- 27191756
TI - The Medical Bookshelf.
PMID- 27191758
TI - Treatment of warts.
PMID- 27191757
TI - Everyday minor surgery.
PMID- 27191759
TI - Where does heroism really begin?
PMID- 27191762
TI - Primary Care Digest.
PMID- 27191760
TI - The 'retired MD syndrome'.
PMID- 27191764
TI - Warts.
PMID- 27191765
TI - Dose regimens for Chinese adult liver transplant recipients according to the
genetic polymorphisms of CYP2C9, CYP2C19, and CYP3A5 in recipients and donors.
AB - OBJECTIVE: Genetic polymorphisms of the P450 2C9 enzyme (CYP2C9), CYP2C19 and
CYP3A5 gene are known to affect the metabolism of many drugs applied in liver
transplant recipients, such as warfarin, voriconazole, and tacrolimus. The aim of
this study was to recommend dose regimens for the liver recipients based on
CYP2C9, CYP2C19, and CYP3A5 genotypic combinations of liver transplant recipients
and their donors. METHODS: 91 adult Han Chinese liver transplant recipients who
underwent orthotopic liver transplantation at Tianjin First Central Hospital,
China, between 2013 and 2014 were included in this study. CYP2C9*2, CYP2C9*3,
CYP2C19* 2, CYP2C19*3 and CYP3A5*3, in both liver recipients and their grafted
liver were tested by polymerase chain reaction-restriction fragment length
polymorphism. The dose regimens for the liver recipients were recommended based
on CYP genotypic combinations of the recipients and their donors. RESULTS: In the
liver transplant recipients, the frequencies of CYP2C9*2, CYP2C9*3, CYP2C19*2,
CYP2C19*3, and CYP3A5*3 were found to be 2.75%, 4.40%, 0%, 24.18%, and 75.27%,
respectively. Allele frequencies were significantly different for CYP2C9*2,
CYP2C19*2, and CYP2C19* 3 (p < 0.001) when comparing the recipients with Chinese,
Eastern Asians and Caucasians populations. Most dose regimens of drugs,
especially of immunosuppressive drugs, should be adjusted according to the
variant metabolism activity affected by the genetic polymorphisms in both
recipients and their grafted liver. CONCLUSION: The dose regimens would present
considerable intraand inter-patient variability in liver transplant recipients
since the genetic polymorphisms of P450 enzyme in their grafted liver might
complicate the metabolism of drugs in liver transplant recipients. Giving careful
consideration to the CYP genotypic combinations of transplant recipients and
donors in clinical dose regimens could optimize outcomes.
PMID- 27191766
TI - Population pharmacokinetics of ticagrelor in patients with acute coronary
syndromes.
AB - OBJECTIVE: Ticagrelor is an orally administered antiplatelet agent used to reduce
thrombotic events in patients with acute coronary syndromes. Data from two
studies in patients with acute coronary syndromes with large amounts of
pharmacokinetic (PK) data (phase IIb DISPERSE-2 study (n = 609)); phase III PLATO
PK substudy (n = 6,381)), along with non-linear mixed effects modeling software,
were used to develop population PK models for ticagrelor and its metabolite, AR
C124910XX, and to evaluate the impact of demographic and clinical factors on the
PK of ticagrelor and AR-C124910XX. METHODS: 32 covariates relating to disease
history, biomarkers, clinical chemistry, and concomitant medications were
assessed. RESULTS: A one-compartment model with population mean PK parameters of
firstorder absorption rate constant (0.67/h), apparent systemic clearance (14
L/h), and apparent volume of distribution (221 L) was shown to best describe the
PK profile of ticagrelor. Patients co-administered moderate CYP3A inducers or
inhibitors increased (by 110%, 95% confidence interval (CI), 52 - 192%) or
decreased (by 64%, 95% CI, 39 - 73%) apparent ticagrelor clearance, respectively,
while habitual smoking decreased apparent ticagrelor clearance by 22% (95% CI, 19
- 25%). Ticagrelor bioavailability was 21% (95% CI, 19 - 22%) lower at treatment
initiation (visit 1) versus subsequent visits. Compared with Caucasian patients,
ticagrelor bioavailability was 39% (95% CI, 33 - 46%) higher in Asian patients
and 18% (95% CI, 6 - 28%) lower in Black patients. CONCLUSIONS: In the current
analyses, the population PK models developed for ticagrelor and AR-C124910XX
described the data obtained in the DISPERSE-2 and PLATO studies well, and were
consistent with previous phase I PK studies.
PMID- 27191767
TI - Pharmacokinetics of a nanocrystal-containing megestrol acetate formulation: a
single-dose, randomized, open-label, 2-part, 2-period crossover study in healthy
Korean subjects.
AB - OBJECTIVE: The conventional suspension of megestrol acetate contains
micronized megestrol acetate, which was recently discovered to have a
disadvantage of decreasing bioavailability when taken in a fasting state. Since
megestrol acetate is taken to increase appetite, this property becomes a
discouraging factor. To improve upon this, an advanced formulation was developed
using a nanocrystal drug-delivery system. This study was conducted to compare the
safety and pharmacokinetic characteristics between the conventional formulation
of megestrol acetate and a generic version of the advanced formulation containing
nanocrystals. METHODS: This was a randomized, open-label, 2-period, 2
treatment, crossover, single-dose, 2-part study (part 1 fasting and part 2 fed),
conducted in healthy males aged between 20 and 50 years with weight within +/-
20% of ideal body weight having no congenital abnormalities or chronic diseases.
Different subjects were used in part 1 and part 2, but subjects received a single
dose of the reference and test drugs separated by a 14-day washout period. Blood
sampling was performed up to 120 hours after dosing using a pre-specified
sampling time scheme. Primary pharmacokinetic parameters were Cmax and
AUClast of the test and reference formulations of megestrol acetate.
Bioequivalence evaluation was based on the standard criterion of 80 - 125% for
the 90% confidence interval of geometric mean ratios of test to reference drugs
calculated for the pharmacokinetic parameters. To monitor adverse events, both
subject interviews and physical examinations were done on a regular time basis.
RESULTS: 80 subjects (n = 40 each part) were enrolled, and 79 completed
the study. The 90% CIs of the geometric mean ratios of Cmax and
AUClast were 4.4625 - 5.6018 and 1.3602 - 1.6418, respectively, for
part 1, and 0.9793 - 1.1327 and 0.7721 - 0.8431, respectively, for part 2. No
significant difference was discovered in the incidence of adverse events (AEs)
when test and reference treated groups were compared. CONCLUSIONS: Our
findings suggest that the test formulation of megestrol-acetate-containing
nanocrystals is better absorbed and has higher bioavailability compared to the
reference formulation in a fasting state. This should allow for a lower dose and
better patient compliance.
ClinicalTrials.gov identifier:
NCT02446353.
PMID- 27191768
TI - Effects of cardiovascular drugs on TSH serum levels in patients on replacement
therapy after thyroidectomy.
AB - OBJECTIVE: Hypothyroidism is one of most common endocrine disorders resulting
from deficiency of thyroid hormones. The aim of our study was to investigate
whether cardiovascular drugs as well as gender, age, body-mass index, and habits,
like smoking or drinking coffee affect thyroid-stimulating hormone (TSH) level in
hypothyroid patients with thyroxine replacement therapy who suffer from
cardiovascular disease. MATERIALS: The study was conducted on 150 hypothyroid
patients who underwent total thyroidectomy for benign reasons; they were divided
into five treatment groups: levothyroxine only group and, according to the drugs
they had in therapy alongside levothyroxine, the angiotensinconverting enzyme
inhibitors group, the selective beta-blockers group, the calcium antagonists
group, as well as the nitrates group. A retrospective cohort study was conducted
in the Clinical Center Kragujevac, Serbia, during the period of January 2012 to
October 2014. All patients' data were collected both from participants' health
records and questionnaires that patients completed, including data about habits,
like smoking or drinking coffee. RESULTS: TSH values were significantly higher in
the group of patients with selective beta-blockers in therapy alongside
levothyroxine, compared to all the other study groups. The values of TSH level
did not significantly differ among the other therapy groups. On the other hand,
cigarette smoking was a risk factor that decreased TSH levels in patients on
thyroid replacement therapy. CONCLUSIONS: Our study shows that selective beta-1
blockers can increase, while cigarette smoking can decrease TSH serum levels in
hypothyroid patients on thyroid-replacement therapy.
PMID- 27191769
TI - Killian's photographs: "Facies dolorosa", the countenance of pain.
AB - The book "Facies dolorosa" by Hans Killian, first published in 1934 and later in
1956 and 1967 as extended and annotated versions, comprises ~ 70 photographs
depicting facial expressions of patients suffering from various diseases. The
photographs in black and white are analyzed and annotated by the author with the
purpose of providing clinicians, and especially young doctors, with an insight
into the medical and scientific value of facial expression of pain in the
diagnosis, staging, and prognosis of severe disease. This historical review of a
book no longer in print is part of a 2016 commemorative publication marking the
60th anniversary of the publication of the "Facies dolorosa" by Dustri Medical
and Scientific Publications, Munich, Germany and Rockledge, USA.
PMID- 27191770
TI - Induced next-day somnolence in an elderly patient taking suvorexant concomitantly
with diltiazem.
AB - OBJECTIVE: To present the first case of induced next-day somnolence in a patient
taking suvorexant concomitantly with diltiazem. CASE SUMMARY: The patient was an
88-year-old female who had suffered from insomnia and anorexia, for which a
psychiatric clinic had prescribed 1.5 mg/day aripiprazole and 15 mg/day
suvorexant (both once daily at bedtime), which cured her insomnia. Subsequently,
a different hospital prescribed diltiazem hydrochloride (100 mg, sustained
release, daily after breakfast) for treatment of hypertension. After starting
diltiazem, the patient was unable to wake up in the morning and overslept by ~ 3
hours. On the third day of taking diltiazem, the patient, on the basis of her own
judgment, took only half a tablet of suvorexant, and found that she was able to
sleep, and there was no somnolence the following morning. As halving suvorexant
tablets is an off-label usage, and lower-dose tablets are not available, her
prescription was switched to 1-mg rilmazafone hydrochloride. Since then, her
sleep disorder has not recurred. DISCUSSION: Because suvorexant is metabolized by
CYP3A4, next-day somnolence could have occurred as a result of increased plasma
suvorexant concentration due to CYP3A4 inhibition by diltiazem. CONCLUSION:
Elderly patients may suffer next-day somnolence if they concomitantly take
suvorexant and sustained-release diltiazem hydrochloride, even if the diltiazem
dose is low and there is a significant interval between the administration times
of the two drugs. In order to avoid drug interaction, it may be desirable to
switch from suvorexant to a different soporific that is not metabolized by
CYP3A4.
PMID- 27191772
TI - Five-Year Secular Trends and Predictors of Nonconsent to Receive Donor Milk in
the Neonatal Intensive Care Unit.
AB - OBJECTIVE: To identify independent maternal and infant factors associated with
donor milk nonconsent and to examine secular trends in nonconsent rates.
MATERIALS AND METHODS: Mothers of infants eligible to receive donor milk (<=32
weeks of gestation or <=1,800 g) born between August 2010 and 2015 were included.
Multivariable logistic regression modeled odds of nonconsent. RESULTS: Of the 486
mother/infant dyads from the first 5 years of the donor milk program, nonwhite
race (adjusted odds ratio [aOR] 1.69; 95% confidence interval [CI] 1.04-2.76) and
increasing gestational age (aOR 1.11; 95% CI 1.03-1.21) independently predicted
nonconsent. Each year the program existed, there was a 48% reduction in odds of
nonconsent (aOR 0.52; 95% CI 0.43-0.62). The most common reason given for
nonconsent was "it's someone else's milk." CONCLUSION: Program duration was
associated with reduced nonconsent rates and may reflect increased exposure to
information and acceptance of donor milk use among neonatal intensive care unit
staff and parents. Despite overall improvements in consent rates, race-specific
disparities in rates of nonconsent for donor milk persisted after 5 years of this
donor milk program. Further research is warranted to clarify the basis for race
based disparities in donor milk nonconsent rates, with the goal of designing
interventions to reduce donor milk refusal among minority mothers.
PMID- 27191771
TI - FAAH inhibitors in the limelight, but regrettably.
AB - This short review focuses on the recent drug development of FAAH inhibitors, as
recent serious adverse events have been reported in a phase I study with a
compound of this class. The authors overview the potential interest in targeting
FAAH inhibition, the current programs, and the available information on the
recent dramatic events.
PMID- 27191773
TI - Synthesis, Radiolabeling, and Biological Evaluation of 5-Hydroxy-2
[(18)F]fluoroalkyl-tryptophan Analogues as Potential PET Radiotracers for Tumor
Imaging.
AB - Aiming at developing mechanism-based amino acid (18)F-PET tracers for tumor
imaging, we synthesized two (18)F-labeled analogues of 5-hydroxy-l-[beta
(11)C]tryptophan ([(11)C]5HTP) whose excellent in vivo performance in
neuroendocrine tumors is mainly attributed to its decarboxylation by aromatic
amino acid decarboxylase (AADC), an enzyme overexpressed in these malignancies.
Reference compounds and precursors were synthesized following multistep synthetic
approaches. Radiosynthesis of tracers was accomplished in good radiochemical
yields (15-39%), high specific activities (45-95 GBq/MUmol), and excellent
radiochemical purities. In vitro cell uptake was sodium-independent and was
inhibited >=95% by 2-amino-2-norbornanecarboxylic acid (BCH) and ~30% by
arginine. PET imaging in mice revealed distinctly high tumor/background ratios
for both tracers, outperforming the well-established O-(2
[(18)F]fluoroethyl)tyrosine ([(18)F]FET) tracer in a head-to-head comparison.
Biological evaluation revealed that the in vivo performance is most probably
independent of any interaction with AADC. Nevertheless, the excellent tumor
visualization qualifies the new tracers as interesting probes for tumor imaging
worthy for further investigation.
PMID- 27191774
TI - Reliability and validity of the Turkish translation of the beliefs about
medicines questionnaire (BMQ-T) in patients with Behcet's disease.
AB - OBJECTIVES: The aim of this study was to evaluate the reliability and validity of
the Turkish translation of the Beliefs about Medicines Questionnaire (BMQ-T,
(c)Prof. Rob Horne) for patients with Behcet's disease. METHODS: This
methodological study enrolled a sample of 125 patients. The scale was adapted to
Turkish through a process including translation, comparison with versions in
other languages, back translation, and pretesting. Construct validity was
evaluated by factor analysis. Medication adherence evaluated as poor, moderate
and good according to the Morisky Medication Adherence Scale (MMAS). BMQ-T scores
compared along medication adherence status groups. RESULTS: In our study, as in
the original scale, the factor analysis confirmed that the BMQ-T had a four
factor structure explaining 54.73% of the total variance. The BMQ-T had
acceptable internal consistency (Cronbach's alpha coefficient: Specific
Necessity=.812; Specific Concerns=.672; General Harm=.677; General Overuse=.656),
adequate test-retest reliability (intraclass correlation coefficients: Specific
Necessity=.715; Specific Concerns=.680; General Harm=.678; General Overuse=.327).
Specific Necessity and Specific Concerns scores were significantly different
between medication adherence status groups. CONCLUSIONS: The psychometric
properties of the BMQ-T were consistent with those reported in the original
study. The BMQ-T was found to be a valid and reliable tool for evaluating beliefs
about medicines in patients with Behcet's disease.
PMID- 27191775
TI - In situ dispersion of non-aqueous Fe3O4 nanocolloids by microdroplet coalescence
and their use in the preparation of magnetic composite particles.
AB - Monodispersity and size uniformity are critical issues for nanoparticles,
especially for the inorganic particles dispersed in organic carriers serving as
the precursor of composites. Herein, for the first time, we have developed a
method based on flow-induced droplet coalescence for in situ dispersion of
surface-modified Fe3O4 nanoparticles to prepare Fe3O4/polystyrene (Fe3O4/PS)
composite particles. A plate-type microchannel was constructed to initiate
droplet coalescence for reducing the water-oil interfacial area and for
dispersing Fe3O4 nanoparticles into the precursor suspensions. Under optimized
conditions, the precursor suspensions could be composed of monodispersed Fe3O4
nanoparticles with an average size of approximately 12 nm. In this case, the
saturation magnetization of the prepared superparamagnetic composites was as high
as 4.012 emu g(-1) at a magnetite content of 5 wt%. The method is simple and has
great potential to be tailored for the preparation of non-aqueous suspensions
with uniform and monodispersed nanoparticles.
PMID- 27191776
TI - Abstracts of the meeting of the Belgian Society of Endocrinology (5 March 1988).
PMID- 27191777
TI - Deployment Experiences, Social Support, and Mental Health: Comparison of Black,
White, and Hispanic U.S. Veterans Deployed to Afghanistan and Iraq.
AB - Compared to their White counterparts, Black and Hispanic Vietnam-era, male,
combat veterans in the United States have experienced discrimination and
increased trauma exposure during deployment and exhibited higher rates of
postdeployment mental health disorders. The present study examined differences in
deployment experiences and postdeployment mental health among male and female
Black, Hispanic, and White veterans deployed in support of Operation Enduring
Freedom in Afghanistan and Operation Iraqi Freedom in Iraq. Data were drawn from
a national survey of veterans (N = 924) who had returned from deployment within
the last 2 years. Ethnoracial minority veterans were compared to White veterans
of the same gender on deployment experiences and postdeployment mental health.
The majority of comparisons did not show significant differences; however,
several small group differences did emerge (.02 < eta(2) < .04). Ethnoracial
minority veterans reported greater perceived threat in the warzone and more
family-related concerns and stressors during deployment than White veterans of
the same gender. Minority female veterans reported higher levels of
postdeployment symptoms of anxiety than their White counterparts, which were
accounted for by differences in deployment experience. These differences call for
ongoing monitoring.
PMID- 27191778
TI - Legionella pneumophila restrains autophagy by modulating the host's sphingolipid
metabolism.
AB - Sphingolipids are bioactive molecules playing a key role as membrane components,
but they are also central regulators of many intracellular processes including
macroautophagy/autophagy. In particular, sphingosine-1-phosphate (S1P) is a
critical mediator that controls the balance between sphingolipid-induced
autophagy and cell death. S1P levels are adjusted via S1P synthesis,
dephosphorylation or degradation, catalyzed by SGPL1 (sphingosine-1-phosphate
lyase 1). Intracellular pathogens are able to modulate many different host cell
pathways to allow their replication. We have found that infection of eukaryotic
cells with the human pathogen Legionella pneumophila triggers a change in the
host cell sphingolipid metabolism and specifically affects the levels of
sphingosine. Indeed, L. pneumophila secretes a protein highly homologous to
eukaryotic SGPL1 (named LpSPL). We solved the crystal structure of LpSPL and
showed that it encodes lyase activity, targets the host's sphingolipid
metabolism, and plays a role in starvation-induced autophagy during L.
pneumophila infection to promote intracellular survival.
PMID- 27191779
TI - Commentary on Neodymium-Doped Yttrium Aluminium Garnet Laser Treatment of
Pediatric Venous Malformation in the Oral Cavity.
PMID- 27191780
TI - Cicatricial Ectropion With Topical 5% Fluorouracil Cream.
PMID- 27191781
TI - Reconstruction of a Hand Web Space Defect.
PMID- 27191782
TI - Histologic Findings of Tumor Lysis in Advanced Basal Cell Carcinoma Treated With
Vismodegib.
PMID- 27191784
TI - Pulsed Dye Laser Treatment of Multiple Common Acquired Melanocytic Nevi: A Novel
Approach.
PMID- 27191783
TI - Laser-Assisted Drug Delivery.
AB - BACKGROUND: Laser-assisted drug delivery (LADD) is an evolving new therapy with
many possible applications as a highly targeted customizable method for
distribution of drugs within the skin. LADD offers the advantages of
accessibility, noninvasiveness, compliance, safety, and effectiveness. OBJECTIVE:
To review the available literature regarding LADD. METHODS: A MEDLINE search was
performed on LADD from 1989 to 2015, and the results are summarized. Practical
applications of these procedures are also discussed. RESULTS: Reports of the use
of ablative, nonablative, and fractional lasers as a means to increase cutaneous
permeation of the topical application of medications and cosmeceuticals were
found. The focus of the review was to demonstrate the variety of topical
treatments that have been used with the LADD method and the multitude of future
studies needed to fully characterize the best application of this evolving
technology. CONCLUSION: The most comprehensive review in the literature to date
on LADD is provided. Further studies are needed to fully evaluate the safety,
dosing, side effects, and results.
PMID- 27191785
TI - Advanced Scalp Measurement Using a Phototrichogram With a Protractor and
Tapeline.
PMID- 27191786
TI - Superficial Acral Fibromyxoma (Digital Fibromyxoma): A Novel Treatment Approach
Using Mohs Micrographic Surgery for a Recurrence-Prone Digital Tumor.
PMID- 27191788
TI - Occupational safety and health as an element of a complex compensation system
evaluation within an organization.
AB - Occupational safety and health (OSH) plays a significant role in today's
organizations, because it helps in attracting and retaining employees as well as
molding their attitudes and behaviors at work. This is why the issue of OSH is
stressed in a comprehensive approach to employee rewards: the total reward
concept. This article explains how OSH may be included in a complex evaluation
process of the compensation system. Although the literature on the effectiveness
of employee compensation refers mainly to financial and non-financial components,
there is a need for inclusion of working conditions in such analyses. An
evaluation of the compensation system that incorporates OSH can drive many
benefits for both the organization and employees. Obtaining such benefits,
however, requires systematic evaluation of the reward system, including OSH.
Incorporation of OSH issue within the comprehensive analysis of compensation
systems promotes responsible behavior of all stakeholders.
PMID- 27191787
TI - Treatment of genetic defects of thiamine transport and metabolism.
AB - INTRODUCTION: Thiamine is a key cofactor for energy metabolism in brain tissue.
There are four major genetic defects (SLC19A2, SLC19A3, SLC25A19 and TPK1)
involved in the metabolism and transport of thiamine through cellular and
mitochondrial membranes. Neurological involvement predominates in three of them
(SLC19A3, SCL25A19 and TPK1), whereas patients with SLC19A2 mutations mainly
present extra-neurological features (e.g. diabetes mellitus, megaloblastic
anaemia and sensori-neural hearing loss). These genetic defects may be amenable
to therapeutic intervention with vitamins supplementation and hence, constitutes
a main area of research. AREAS COVERED: We conducted a literature review of all
reported cases with these genetic defects, and focused our paper on treatment
efficacy and safety, adverse effects, dosing and treatment monitoring. Expert
commentary: Doses of thiamine vary according to the genetic defect: for SLC19A2,
the usual dose is 25-200 mg/day (1-4 mg/kg per day), for SLC19A3, 10-40 mg/kg per
day, and for TPK1, 30 mg/kg per day. Thiamine supplementation in SLC19A3-mutated
patients restores CSF and intracellular thiamine levels, resulting in successful
clinical benefits. In conclusion, evidence collected so far suggests that the
administration of thiamine improves outcome in SLC19A-2, SLC19A3- and TPK1
mutated patients, so most efforts should be aimed at early diagnosis of these
disorders.
PMID- 27191789
TI - Synaptotagmin I's Intrinsically Disordered Region Interacts with Synaptic Vesicle
Lipids and Exerts Allosteric Control over C2A.
AB - Synaptotagmin I (Syt I) is a vesicle-localized integral membrane protein that
senses the calcium ion (Ca(2+)) influx to trigger fast synchronous release of
neurotransmitter. How the cytosolic domains of Syt I allosterically communicate
to propagate the Ca(2+) binding signal throughout the protein is not well
understood. In particular, it is unclear whether the intrinsically disordered
region (IDR) between Syt I's transmembrane helix and first C2 domain (C2A) plays
an important role in allosteric modulation of Ca(2+) binding. Moreover, the
structural propensity of this IDR with respect to membrane lipid composition is
unknown. Using differential scanning and isothermal titration calorimetry, we
found that inclusion of the IDR does indeed allosterically modulate Ca(2+)
binding within the first C2 domain. Additionally through application of nuclear
magnetic resonance, we found that Syt I's IDR interacts with membranes whose
lipid composition mimics that of a synaptic vesicle. These findings not only
indicate that Syt I's IDR plays a role in regulating Syt I's Ca(2+) sensing but
also indicate the IDR is exquisitely sensitive to the underlying membrane lipids.
The latter observation suggests the IDR is a key route for communication of lipid
organization to the adjacent C2 domains.
PMID- 27191790
TI - Participatory design facilitates Person Centred Nursing in service improvement
with older people: a secondary directed content analysis.
AB - AIMS AND OBJECTIVES: To explore, using the example of a project working with
older people in an outpatient setting in a large UK NHS Teaching hospital, how
the constructs of Person Centred Nursing are reflected in interviews from
participants in a Co-design led service improvement project. BACKGROUND: Person
Centred Care and Person Centred Nursing are recognised terms in healthcare. Co
design (sometimes called participatory design) is an approach that seeks to
involve all stakeholders in a creative process to deliver the best result, be
this a product, technology or in this case a service. Co-design practice shares
some of the underpinning philosophy of Person Centred Nursing and potentially has
methods to aid in Person Centred Nursing implementation. RESEARCH DESIGN: The
research design was a qualitative secondary Directed analysis. METHODS: Seven
interview transcripts from nurses and older people who had participated in a Co
design led improvement project in a large teaching hospital were transcribed and
analysed. Two researchers analysed the transcripts for codes derived from
McCormack & McCance's Person Centred Nursing Framework. RESULTS: The four most
expressed codes were as follows: from the pre-requisites: knowing self; from care
processes, engagement, working with patient's beliefs and values and shared
Decision-making; and from Expected outcomes, involvement in care. This study
describes the Co-design theory and practice that the participants responded to in
the interviews and look at how the co-design activity facilitated elements of the
Person Centred Nursing framework. CONCLUSIONS: This study adds to the rich
literature about using emancipatory and transformational approaches to Person
Centred Nursing development, and is the first study exploring explicitly the
potential contribution of Co-design to this area. IMPLICATIONS FOR PRACTICE:
Methods from Co-design allow older people to contribute as equals in a practice
development project, co-design methods can facilitate nursing staff to engage
meaningfully with older participants and develop a shared understanding and
goals. The co-produced outputs of Co-design projects embody and value the
expressed beliefs and values of staff and older people.
PMID- 27191791
TI - Brain-derived Neurotrophic Factor Signaling Mediates the Antidepressant-like
Effect of the Total Flavonoids of Alpiniae Oxyphyllae Fructus in Chronic
Unpredictable Mild Stress Mice.
AB - The present study verified the antidepressant-like effects of the total
flavonoids of Alpinia oxyphylla Miq. (AOF) using the chronic unpredictable mild
stresses paradigm and explored the mechanism that underlies antidepressant-like
effects of AOF in mice. Previous research has shown that tropomyosin-related
kinase B (TrkB) receptor-mediated extracellular regulated protein kinases (ERK)
signaling pathways participate in depression pathophysiology. Therefore, we aimed
to explore whether AOF improved depression-like behaviors by increasing activity
of ERK pathways mediated by TrkB. Results showed that AOF significantly reduced
the immobility time in the forced swimming test and increased the sucrose
preference in sucrose preference test. In addition, decreased phosphorylated
cyclic adenosine monophosphate response element-binding protein (pCREB)/CREB,
pERK/ERK, and pTrkB/TrkB levels in the hippocampus induced by chronic
unpredictable mild stresses were reversed by intragastric administration of AOF.
Results suggested that AOF increased pCREB/CREB, pERK/ERK, and pTrkB/TrkB levels
by acting on the TrkB receptor. To verify this hypothesis, mice were pretreated
with the TrkB inhibitor K252a (or 0.1% dimethyl sulfoxide, intraperitoneally, 2
weeks), before the intragastric administration of AOF. This resulted in an
absence of antidepressant-like effects, as well as no activation of
pERK/pCREB/BDNF signaling pathways. Results demonstrated that AOF might exert
antidepressant-like effects by targeting TrkB receptor-mediated pERK/pCREB/BDNF
signal systems, which could help to identify the AOF receptor. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27191792
TI - Positives and negatives of online dating according to women 50.
AB - To understand the positives and negatives of online dating according to the lived
experience of older women, telephone interviews were conducted with 45 women ages
50+ who date online. Interviews were audio recorded, transcribed verbatim, and
thematically team coded. The opportunity to expand one's social network for both
friendships and romantic partners, the ability to control dating risks and pace
of relationship formation, and knowing more about one's partner were significant
reported benefits of online dating. Dating online also includes unique risks,
such as pervasive lying, attempted financial exploitation in the form of
scammers, and unwanted electronic sexual aggression.
PMID- 27191793
TI - Fabrication of Dendrimer-Based Polyion Complex Submicrometer-Scaled Structures
with Enhanced Stability under Physiological Conditions.
AB - Submicrometer-scaled (subMU-) self-assembled materials have been developed based
on polyion complex (PIC) formation, in particular for biomedical-applications.
However, sufficient stability under physiological conditions is required for
their practical use. In this study, PIC formation behavior is examined using a
block aniomer, poly(ethylene glycol)-b-poly(aspartic acid), and homocatiomers,
poly(l-lysine) (LPK) and dendritic poly(l-lysine) (DPK) with different
generations, to elucidate the contribution of the dendritic architecture to
stability enhancement. LPK-based PIC shows a subMU-vesicular structure only at 25
degrees C in the absence of NaCl; in contrast, DPK-based PIC forms a subMU
structure under physiological salt concentration and temperature conditions, even
when the number of charges of a single molecule is much smaller than that of LPK.
Moreover, the formation of subMU-vesicular and -spherical micellar structures is
dependent on DPK generation. Thus, the molecular backbone architecture of the PIC
component plays an important role not only in expanding the preparation
conditions and enhancing stability, but also in controlling the self-assembled
structures, mainly due to the spatially restricted structures of dendrimers.
PMID- 27191794
TI - Add-on treatment with intermediate-acting insulin versus sliding-scale insulin
for patients with type 2 diabetes or insulin resistance during cyclic
glucocorticoid-containing antineoplastic chemotherapy: a randomized crossover
study.
AB - The aim of this study was to compare the effectiveness and safety of intermediate
acting insulin (IMI) titrated on body weight and glucocorticoid dose with that of
short-acting sliding-scale insulin (SSI) in patients on recurrent high-dose
glucocorticoid-containing chemotherapy. We enrolled 26 patients with type 2
diabetes mellitus or random blood glucose level >12 mmol/l in a previous cycle of
chemotherapy in a randomized crossover study. In two consecutive cycles of
glucocorticoid-containing chemotherapy, participants were treated with either IMI
or SSI, as add-on to routine diabetes medication. We compared time spent in
target range (3.9-10 mmol/l), measured by continuous glucose monitoring (CGM),
and the occurrence of hypoglycaemia. IMI resulted in a higher proportion of
glucose values within target range than SSI (34.4 vs 20.9%; p < 0.001). There
were no severe or symptomatic hypoglycaemic events. Two participants in each
group had a subclinical hypoglycaemia detected only by CGM. Once-daily IMI
resulted in better glycaemic control than SSI in patients with glucocorticoid
induced hyperglycaemia during chemotherapy. Safety was not compromised as the
incidence of hypoglycaemia was low and not different between both regimens.
PMID- 27191795
TI - The Impact of Distraction on Laparoscopic Skills in Veterinary Medical Students.
AB - OBJECTIVE: To investigate the impact of distraction on the performance of a
simulator-based laparoscopic task in veterinary students. STUDY DESIGN:
Prospective, randomized trial. SAMPLE POPULATION: Years 1-4 veterinary students
(n=41). METHODS: Participants repeated a simulated laparoscopic peg transfer task
to eliminate any learning effects and were subsequently randomized to receive
either a cognitive (double-digit addition questions, n=21) or sensory distraction
(dogs barking and anesthesia monitor alerts, n=20). The laparoscopic task scores
were compared between baseline and in the presence and absence of each
distraction. The number of addition questions attempted, and the number of
questions answered correctly in 1 minute were compared between baseline and
during a concurrent laparoscopic task. RESULTS: Baseline laparoscopic task scores
were not significantly different between groups (P=.09). Laparoscopic task scores
were significantly lower than baseline when performed with the cognitive
distraction (P<.001) and significantly higher than baseline when performed with
the sensory distraction (P=.005). Participants undergoing cognitive distraction
attempted significantly fewer addition questions (P<.001) and answered
significantly fewer addition questions correctly (P<.001) when a concurrent
laparoscopic task was performed. CONCLUSION: Cognitive distraction had a negative
impact on the performance of a laparoscopic task in this cohort of veterinary
students, whereas sensory distraction had a positive effect.
PMID- 27191796
TI - Intrathecal Baclofen Therapy in a Child With Severe Scoliosis: Report of 2 Cases.
AB - OBJECTIVE: Scoliosis is commonly found in children with cerebral palsy. Many
patients with cerebral palsy and scoliosis undergo intrathecal baclofen (ITB)
pump placement. The authors report 2 cases with cerebral palsy and severe
scoliosis treated with intrathecal baclofen. METHOD: The case of a 7-year-old boy
with shunted hydrocephalus required surgical revision of the intrathecal
catheter, while the other patient without shunt did not require revision. In the
patient with shunted hydrocephalus, after the initial placement of baclofen pump
and catheter at Th3 level, spasticity of lower extremities did not improve. The
Indium(111) diethylenetriamine pentaacetic acid (In(111) DTPA) scintigraphy with
injection of In(111) DTPA through the pump did not demonstrate distribution of
the tracer to the lumbosacral area. Conversely, by direct injection of In(111)
DTPA through lumbar puncture, the tracer distributed in the whole spinal canal.
RESULT: Replacement of the tip of the catheter caudal to the curve of the
scoliosis improved the symptom. CONCLUSION: The authors suggest that, in patients
with severe scoliosis and shunted hydrocephalus, it may be necessary to place the
tip of the catheter caudal to the curve of the scoliosis for correction of
spasticity of lower extremities.
PMID- 27191797
TI - Outcomes of Ahmed Glaucoma Valve Implantation for Glaucoma Secondary to Fuchs
Uveitis Syndrome.
AB - PURPOSE: To present the outcomes of Ahmed glaucoma valve implantation (AGV) in
glaucoma secondary to Fuchs uveitis syndrome (FUS). METHODS: In this
retrospective chart review, two definitions of success were used: 6 mmHg
<=intraocular pressure (IOP) <=21 mmHg (success 1), and 6 mmHg <= IOP <=21 mmHg
and at least 25% reduction from baseline (success 2). Depending on the need of
postoperative antiglaucoma medication, success was defined as either complete or
qualified. RESULTS: In total, 17 eyes of 17 patients were included. Complete
success rates (both definitions) were 23.5% (n = 17) after 1 year and 23% (n =
13) after 3 years. Qualified success rates (both definitions) were 58.3% (n = 17)
after 1 and 38.4% (n = 13) after 3 years. Encapsulated bleb formation was the
most common complication (47% of eyes). CONCLUSIONS: AGV was moderately
successful in the management of glaucoma secondary to FUS. Success rates are
improved by medications, needling, and cycloablative procedures.
PMID- 27191798
TI - Phenotypic variability in patients with interstitial 6q21-q22 microdeletion and
Acro-Cardio-Facial syndrome.
AB - Deletions of 6q are known to be associated with variable clinical phenotypes
including facial dysmorphism, hand malformations, heart defects, microcephaly,
intellectual disability, epilepsy, and other neurodevelopmental and
neuropsychiatric conditions. Here, we report a 7-year-old boy evaluated for
facial dysmorphism, trigonocephaly, microcephaly, global developmental delay, and
behavioral abnormalities. Molecular karyotyping revealed a 13-Mb deletion within
6q21-q22.31, (chr6:105,771,520-119,130,805; hg19, GRch37) comprising 81 genes.
Review of 15 cases with interstitial 6q21-q22.3 deletion from the literature
showed that facial dysmorphism, intellectual disability, and corpus callosum
abnormalities are the most consistent clinical features in these individuals.
Deleted genes and breakpoints in the 6q21-q22 region of the patient reported here
are similar to two earlier reported cases with the clinical diagnosis of Acro
Cardio-Facial syndrome. However, the present case lacks characteristic clinical
findings of Acro-Cardio-Facial syndrome. We discuss, the considerable phenotypic
variability seen in individuals with 6q21-q22 microdeletion and emphasize the
need for further scrutiny into the hypothesis of Acro-Cardio-Facial syndrome
being a microdeletion syndrome. (c) 2016 Wiley Periodicals, Inc.
PMID- 27191799
TI - Recovery of episodic memory subprocesses in mild and complicated mild traumatic
brain injury at 1 and 12 months post injury.
AB - INTRODUCTION: Episodic memory complaints are commonly reported after traumatic
brain injury (TBI). The contributions of specific memory subprocesses (encoding,
consolidation, and retrieval), however, are not well understood in mild TBI
(mTBI). In the present study, we evaluated subprocesses of episodic memory in
patients with mTBI using the item-specific deficit approach (ISDA), which
analyzes responses on list learning tasks at an item level. We also conducted
exploratory analyses to evaluate the effects of complicated mTBI (comp-mTBI) on
memory. METHOD: We compared episodic verbal memory performance in mTBI (n = 92)
at approximately 1 and 12 months post TBI, as well as in a healthy comparison
(HC) group (n = 40) at equivalent time points. Episodic memory was assessed using
the California Verbal Learning Test-2nd Edition (CVLT-II), and both standard CVLT
II scores and ISDA indices were evaluated. RESULTS: Compared to the HC group, the
mTBI group showed significantly poorer encoding and learning across time, as
measured by ISDA and CVLT-II. Further analyses of these mTBI subgroups
[(noncomplicated mTBI (NC-mTBI, n = 77) and comp-mTBI (n = 15)], indicated that
it was the comp-mTBI group who continued to demonstrate poorer encoding ability
than the HC group. When the patient groups were directly compared, the NC-mTBI
group improved slightly on the ISDA Encoding Deficit Index. While the comp-mTBI
group worsened slightly over time, their poorer encoding ability was not likely
clinically meaningful. CONCLUSIONS: These findings indicate that, while the NC
mTBI and HC groups' performances were comparable by 12 months, a primary, long
term deficit in encoding of auditory verbal information remained problematic in
the comp-mTBI group.
PMID- 27191800
TI - Androgen deprivation therapy use and risk of death in men treated with high-dose
radiation for intermediate-risk prostate cancer.
PMID- 27191801
TI - Salivary antimicrobial defensins in pregnancy.
AB - AIM: Susceptibility to and severity of gingival inflammation are enhanced during
pregnancy; however, regulation of oral innate immune response, including
antimicrobial peptides, during pregnancy is still unknown. We analysed salivary
levels of human beta-defensin (hBD)-1, -2, -3, and human neutrophil peptide (HNP)
1 in pregnant women, and related those to their periodontal status. MATERIAL AND
METHODS: In this cohort study, 30 generally healthy, non-smoking Caucasian women
without periodontitis were followed at three time points during pregnancy and
twice post-partum. The non-pregnant group consisted of 24 women, who were
examined three times at the following months. At each visit, periodontal status
was recorded and stimulated saliva samples were collected. Salivary estradiol,
progesterone, and defensin concentrations were measured by ELISA assays. RESULTS:
After adjusting for visible plaque and gingival bleeding, reduced salivary
concentrations of hBD-1, hBD-2, and HNP-1 were found especially during the third
trimester, whereas hBD-3 concentrations did not change during pregnancy and post
partum visits. Weak associations were observed between salivary defensin and
hormone concentrations and clinical parameters. CONCLUSION: There seems to be an
independent regulation cascade for each antimicrobial defensin in the oral cavity
during pregnancy, despite of the similarities between these antimicrobial
peptides.
PMID- 27191802
TI - Photocatalytic Degradation of Cell Membrane Coatings for Controlled Drug Release.
AB - Biomimetic cell-membrane-camouflaged particles with desirable features have been
widely used for various biomedical applications. However, there are few reports
on employing these particles for cancer drug delivery due to the failure of the
membrane coatings to be efficiently degraded in the tumor microenvironment which
hampers the drug release. In this work, core-shell SiO2 @TiO2 nanoparticles with
enhanced photocatalytic activity are used for controlled degradation of surface
erythrocyte membrane coatings. The antitumor drug docetaxel is encapsulated into
nanocarriers to demonstrate the controlled drug release under ultraviolet
irradiation, and the drug-loaded nanoparticles are further used for enhanced
cancer cell therapy. Here, a simple but practical method for degradation of cell
membrane coatings is presented, and a good feasibility of using cell membrane
coated nanocarriers for controlled drug delivery is demonstrated.
PMID- 27191805
TI - Breast Cancer Exosomes Breach the Blood-Brain Barrier.
PMID- 27191806
TI - Ready or Not, Here We Go: Decision-Making Strategies From Artificial Intelligence
Based on Deep Neural Networks.
PMID- 27191807
TI - Hyaluronidase and Hyaluronan Oligosaccharides Promote Neurological Recovery After
Intraventricular Hemorrhage.
PMID- 27191808
TI - Increasing the Relevance of Optogenetics to the Human Brain: Novel Platform for
Nonhuman Primates.
PMID- 27191809
TI - Targeted Treatment of Experimental Spinal Cord Glioma With Dual Gene-Engineered
Human Neural Stem Cells.
PMID- 27191810
TI - Iatrogenic Alzheimer Disease? Amyloid-beta Protein Transmission Between Humans.
PMID- 27191811
TI - The FIRST Trial: Implications for Neurosurgery.
PMID- 27191812
TI - Epidural Spinal Cord Stimulation for the Restoration of Balance and Gait
Following Spinal Cord Injury.
PMID- 27191813
TI - Isocitrate Dehydrogenase Mutation Leads to Alteration in 3-Dimensional DNA
Structure and Oncogene Activation in Gliomas.
PMID- 27191814
TI - Targeting MicroRNA to Enhance Poststroke Recovery.
PMID- 27191816
TI - Nicotiana benthamiana MAPK-WRKY pathway confers resistance to a necrotrophic
pathogen Botrytis cinerea.
AB - MEK2-SIPK/WIPK cascade, a Nicotiana benthamiana mitogen-activated protein kinase
(MAPK) cascade, is an essential signaling pathway for plant immunity and involved
in hypersensitive response (HR) accompanied by cell death. WRKY transcription
factors as substrates of SIPK and WIPK have been isolated and implicated in HR
cell death. Here, we show virus-induced gene silencing of WRKY genes compromised
constitutively active MEK2-triggered cell death in N. benthamiana leaves. In
general, HR cell death enhances susceptibility to necrotrophic pathogens such as
Botrytis cinerea. However, the WRKY gene silencing elevated susceptibility to B.
cinerea. These findings suggest that downstream WRKYs of MEK2-SIPK/WIPK cascade
are required for cell death-dependent and -independent immunities in N.
benthamiana.
PMID- 27191817
TI - Effects of Ecballium Elaterium on Proinflammatory Cytokines in a Rat Model of
Sepsis.
AB - OBJECTIVE: Ecballium elaterium (EE) is a plant from Cucurbitaceae family. Its
anti-inflammatory role in sepsis is not well understood. We investigated the
effects of EE on serum levels of proinflammatory cytokines and further explored
the mechanisms underlying histological changes in liver and ileum following EE
administration in a polymicrobial sepsis model. METHODS: Thirty rats were divided
into three groups of 10 rats each. Rats were subjected to sham laparotomy plus
normal saline administration (control group, CG), laparotomy with cecal ligation
and puncture (CLP) (sepsis group, SG), and laparotomy with CLP plus 2.5 mg/kg EE
administration (experimental group, EG). Twenty-four hours after laparotomy,
animals underwent cardiac puncture, and blood was collected for interleukin 1 (IL
1), interleukin 6 (IL-6), and tumor necrosis factor alpha (TNF-alpha) assessment.
Whole sections of liver and ileum tissues were collected for histologic
examination. RESULTS: The serum level of IL-6 was significantly lower in EG as
compared to SG. Although IL-6 levels were shown a statistically significant (p <
0.0001) decline to near control values, no significant changes were observed in
serum levels of IL-1 and TNF-alpha after EE treatment. Histologic examination
revealed statistically significant reduction in collagen formation (p = 0.001) on
serosal surface of ileum and hepatic venous congestion (p = 0.040) in EG as
compared to SG. CONCLUSION: EE might play a protective role in sepsis prevention
and treatment by decreasing IL-6 production and reducing liver damage and may
influence bacterial translocation by reinforcing intestinal barrier function.
PMID- 27191819
TI - Macroscopic Alignment of One-Dimensional Conjugated Polymer Nanocrystallites for
High-Mobility Organic Field-Effect Transistors.
AB - Controlling the morphology of polymer semiconductors remains a fundamental
challenge that hinders their widespread applications in electronic and
optoelectronic devices and commercial feasibility. Although conjugated polymer
nanowires (NWs) are envisioned to afford high charge-carrier mobility, the
alignment of preformed conjugated polymer NWs has not been reported. Here, we
demonstrate an extremely simple and effective strategy to generate well-aligned
arrays of one-dimensional (1D) polymer semiconductors that exhibit remarkable
enhancement in charge transport using a solution shear-coating technique. We show
that solution shear coating of poly(alkylthiophene) NWs induces extension or
coplanarization of the polymer backbone and highly aligned network films, which
results in enhanced intra- and intermolecular ordering and reduced grain
boundaries. Consequently, highly aligned poly(3-hexylthiophene) NWs exhibited
over 33-fold enhancement in the average carrier mobility, with the highest
mobility of 0.32 cm(2) V(-1) s(-1) compared to pristine films. The presented
platform is a promising strategy and general approach for achieving well-aligned
1D nanostructures of polymer semiconductors and could enable the next generation
of high-performance flexible electronic devices for a wide range of applications.
PMID- 27191818
TI - Debate Regarding Oseltamivir Use for Seasonal and Pandemic Influenza.
AB - A debate about the market-leading influenza antiviral medication, oseltamivir,
which initially focused on treatment for generally mild illness, has been
expanded to question the wisdom of stockpiling for use in future influenza
pandemics. Although randomized controlled trial evidence confirms that
oseltamivir will reduce symptom duration by 17-25 hours among otherwise healthy
adolescents and adults with community-managed disease, no randomized controlled
trials have examined the effectiveness of oseltamivir against more serious
outcomes. Observational studies, although criticized on methodologic grounds,
suggest that oseltamivir given early can reduce the risk for death by half among
persons hospitalized with confirmed infection caused by influenza A(H1N1)pdm09
and influenza A(H5N1) viruses. However, available randomized controlled trial
data may not be able to capture the effect of oseltamivir use among hospitalized
patients with severe disease. We assert that data on outpatients with relatively
mild disease should not form the basis for policies on the management of more
severe disease.
PMID- 27191821
TI - Fully Automated RNAscope In Situ Hybridization Assays for Formalin-Fixed Paraffin
Embedded Cells and Tissues.
AB - Biomarkers such as DNA, RNA, and protein are powerful tools in clinical
diagnostics and therapeutic development for many diseases. Identifying RNA
expression at the single cell level within the morphological context by RNA in
situ hybridization provides a great deal of information on gene expression
changes over conventional techniques that analyze bulk tissue, yet widespread use
of this technique in the clinical setting has been hampered by the dearth of
automated RNA ISH assays. Here we present an automated version of the RNA ISH
technology RNAscope that is adaptable to multiple automation platforms. The
automated RNAscope assay yields a high signal-to-noise ratio with little to no
background staining and results comparable to the manual assay. In addition, the
automated duplex RNAscope assay was able to detect two biomarkers simultaneously.
Lastly, assay consistency and reproducibility were confirmed by quantification of
TATA-box binding protein (TBP) mRNA signals across multiple lots and multiple
experiments. Taken together, the data presented in this study demonstrate that
the automated RNAscope technology is a high performance RNA ISH assay with broad
applicability in biomarker research and diagnostic assay development. J. Cell.
Biochem. 117: 2201-2208, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27191820
TI - Structural domains within the HIV-1 mRNA and the ribosomal protein S25 influence
cap-independent translation initiation.
AB - The 5' leader of the HIV-1 genomic RNA is a multifunctional region that folds
into secondary/tertiary structures that regulate multiple processes during viral
replication including translation initiation. In this work, we examine the
internal ribosome entry site (IRES) located in the 5' leader that drives
translation initiation of the viral Gag protein under conditions that hinder cap
dependent translation initiation. We show that activity of the HIV-1 IRES relies
on ribosomal protein S25 (eS25). Additionally, a mechanistic and mutational
analysis revealed that the HIV-1 IRES is modular in nature and that once the 40S
ribosomal subunit is recruited to the IRES, translation initiates without the
need of ribosome scanning. These findings elucidate a mechanism of initiation by
the HIV-1 IRES whereby a number of highly structured sites present within the HIV
1 5' leader leads to the recruitment of the 40S subunit directly at the site of
initiation of protein synthesis.
PMID- 27191822
TI - Increased Ocular Levels of MicroRNA-148a in Cases of Retinal Detachment Promote
Epithelial-Mesenchymal Transition.
AB - PURPOSE: The purpose of this study was to determine microRNA expression in
vitreous and subretinal fluid (SRF) samples from patients with retinal detachment
(RD). The pathological importance of the identified microRNA transcript levels
was analyzed in vitro. METHODS: Vitreous fluid was collected from 10 patients
with macular hole (MH), vitreomacular traction syndrome (VMTS), or foveoschisis
and from 11 patients with RD. Subretinal fluid was collected from 7 patients with
RD. Of these, blood serum was collected in 4 patients. MicroRNA microarray
profiling was performed to identify microRNA transcripts that were present in
vitreous fluid, and more redundantly detected in SRF, of patients with RD, but
not detected in control eyes. Western blotting and scratch assays were performed
in ARPE-19 cells and primary human RPE cell lines transfected with microRNA to
elucidate the effect of identified microRNA transcripts on epithelial-mesenchymal
transition (EMT). RESULTS: MicroRNA microarray profiling revealed that hsa-miR
148a-3p was the most redundantly detected transcript in SRF and vitreous fluid
from patients with RD, but not those with the other diseases. Expression levels
of hsa-miR-148a-3p were higher in SRF samples than in blood serum samples in 3
out of 4 patients. Following hsa-miR-148a-3p mimic transfection, ARPE-19 and
human RPE cells demonstrated increased expression of alpha-smooth muscle actin by
Western blotting and increased migration ability during scratch assays.
CONCLUSIONS: The results of the present study indicate that hsa-miR-148a-3p was
specifically detected in RD and promotes EMT in RPE.
PMID- 27191824
TI - Treatment Options for Veterans With Posttraumatic Stress Disorder-Reply.
PMID- 27191825
TI - Efficiency of the Switching Process in Organic Electrochemical Transistors.
AB - Entirely screen printed organic electrochemical transistors (OECTs) based on
poly(3,4-ethylenedioxithiophene) poly(styrenesulfonate) ( PEDOT: PSS) and a
polymer electrolyte are investigated in view of a correlation between the
electrical charge consumed during switching and the volume of PEDOT: PSS in the
transistor channel. An understanding of the relation between charge consumption
and the amount of electrochemically active PEDOT is essential for the design of
high performance transistors and for providing a deeper insight into the
fundamentals of the electrochemical switching process in OECTs. It turned out
that a precise control of the width of the PEDOT: PSS source-drain line is
imperative for maximizing both the on-current and the on/off current ratio of
lateral OECTs.
PMID- 27191823
TI - Progressive Early Breakdown of Retinal Pigment Epithelium Function in
Hyperglycemic Rats.
AB - PURPOSE: Diabetic macular edema (DME), an accumulation of fluid in the subretinal
space, is a significant cause of vision loss. The impact of diabetes on the
breakdown of the inner blood-retina barrier (BRB) is an established event that
leads to DME. However, the role of the outer BRB in ocular diabetes has received
limited attention. We present evidence that the breakdown of normal RPE function
in hyperglycemia facilitates conditions conducive to DME pathogenesis. METHODS:
Brown Norway rats (130-150 g) were injected intraperitoneally with streptozotocin
(STZ; 60 mg/kg) to induce hyperglycemia. After 4 weeks, Evans blue (EB) dye was
injected intravenously to determine whether there was leakage of albumin into the
retina. Subretinal saline blebs (0.5-1 MUL) were placed 4 and 9 weeks after STZ
injection, and time-lapse optical coherence tomography tracked the resorption
rate. In a subset of rats, intravitreal bevacizumab, a humanized monoclonal
antibody targeted to VEGF, was given at 5 weeks and resorption was measured at 9
weeks. RESULTS: The ability of the RPE to transport fluid was reduced
significantly after 4 and 9 weeks of hyperglycemia with a reduction of over 67%
at 9 weeks. No EB dye leakage from inner retinal vessels was measured in
hyperglycemic animals compared to control. The intravitreal administration of
bevacizumab at week 5 significantly increased the rate of fluid transport in rats
subjected to hyperglycemia for 9 weeks. CONCLUSIONS: These results demonstrate
that chronic hyperglycemia altered RPE fluid transport, in part dependent on the
actions of VEGF. These results support the idea that RPE dysfunction is an early
event associated with hyperglycemia that contributes to fluid accumulation in
DME.
PMID- 27191826
TI - Synthesis and Evaluation of 1-Substituted-Biguanide Derivatives as Anti-Diabetic
Agents for Type II Diabetes Insulin Resistant.
AB - New 1-substituted-biguanide derivatives 1-3 were synthesized by the reaction of
2,4-dimethoxyaniline, hydrazine and methylhydrazine with dicyandiamide in diluted
hydrochloric acid. The resulting biguanide salts were fully characterized by
spectroscopic methods. The synthesized compounds were screened for their anti
diabetic activity with standard metformin drug. Oral treatment of hyperglycemic
rats with the synthesized biguanide derivatives (200 mg/kg/day) for 2 weeks
significantly decreased the elevated blood glucose level. Oral administration of
biguanide derivative 2 significantly decreased the level of total cholesterol.
While, the triglycerides level was little decreased following administration of
biguanide 1 as compared to hyperglycemic rats. Additionally, anti-diabetic
properties towards liver function enzyme activities (AST and ALT) and kidney
functions (urea and critinine) as well as histopathological studies relative to
metformin hydrochloride were investigated and discussed.
PMID- 27191827
TI - Molecular dynamics simulation of d-Benzedrine transmitting through molecular
channels within D3R.
AB - Dex-Benzedrine (known as d-Benzedrine or SAT) acts in dopamine receptors of
central nerve cell system. In clinic, SAT is used to treat a variety of diseases;
meanwhile, it has dependence and addiction. In order to investigate the
pharmacology and addiction mechanisms of SAT as a medicine, in this paper, we
have studied the structure of D3R complex protein with SAT, and based on which,
using potential mean force with umbrella samplings and the simulated phospholipid
bilayer membrane (or POPC bilayer membrane), the molecular dynamics simulation
was performed to obtain free energy changes upon the trajectories for SAT moving
along the molecular channels within D3R. The free energy change for SAT
transmitting toward the outside of cell along the functional molecular channel
within D3R is 83.5 kJ mol-1. The change of free energy for SAT to permeate into
the POPC bilayer membrane along the protective molecular channel within D3R is
87.7 kJ mol-1. Our previous work gave that the free energy for Levo-Benzedrine
(RAT) transmitting toward the outside of cell along the functional molecular
channel within D3R is 91.4 kJ mol-1, while it is 117.7 kJ mol-1 for RAT to
permeate into the POPC bilayer membrane along the protective molecular channel
within D3R. The values of free energy suggest that SAT relatively prefers likely
to pass through the functional molecular channel within D3R for increasing the
release of dopamine molecules resulting in a variety of functional effects for
SAT. The obtained results show that the pharmacology and addiction mechanisms of
SAT as a drug are closely related to the molecular dynamics and mechanism for SAT
transmitting along molecular channels within D3R.
PMID- 27191828
TI - Motivational Interviewing: Creating a Leadership Role for Social Work in the Era
of Healthcare Reform.
AB - To better address the needs of individuals with a range of complex health
conditions, the Affordable Care Act has shifted the focus from acute care to
prevention through behavior change and promoted the integration of physical and
behavioral healthcare systems. Central to healthcare reform is delivering person
centered care, which means actively engaging people in their treatment decisions
and managing their wellness. Motivational Interviewing (MI) is perhaps the most
widely used intervention to promote behavior change. Although MI is utilized
across most health disciplines, social workers are uniquely positioned to lead
dissemination and on-going training efforts in this area.
PMID- 27191831
TI - Parental Serotonin Transporter Polymorphism (5-HTTLPR) Moderates Associations of
Stress and Child Behavior With Parenting Behavior.
AB - The serotonin transporter-linked polymorphic region (5-HTTLPR) is associated with
caregiving in nonhuman animals and with affective and cognitive correlates of
human parenting, yet its association with human parenting is largely unknown.
Using a well-characterized sample of parents and offspring, we evaluated the
association of parental 5-HTTLPR with observed positive and negative parenting
behavior, as well as its biologically plausible moderation of child-related
stress and disruptive child behavior as predictors of parenting. One hundred and
sixty-two parents (86% mothers) and their 6- to 9-year-old children with and
without attention-deficit/hyperactivity disorder were ascertained using multiple
methods including structured interviews, rating scales, and observed parent-child
interaction, yielding strong measures of key constructs. Controlling for multiple
youth-level (e.g., sex, 5-HTTLPR genotype, disruptive behavior) and parent-level
(e.g., demographics, depression, attention-deficit/hyperactivity disorder)
factors, parents with an S allele exhibited significantly less observed positive
parenting than those with the LL genotype. Significant Gene * Environment
interactions were also observed: Child-related stress was negatively associated
with observed parental negativity among SS/SL genotype parents but not LL
genotype parents; next, observed disruptive child behavior was positively
associated with parental negativity for both genotypes, but the effect was
strongest in SS/SL parents. These preliminary findings suggest that parental 5
HTTLPR is uniquely associated with positive and negative parenting behavior, with
more specific patterns according to child-related stress and disruptive child
behavior. We consider implications for future research evaluating genetic
influences on parenting as well as considerations for designing and delivering
parenting-based interventions.
PMID- 27191830
TI - Seeing Is Believing: Evaluating a Point-of-Care Ultrasound Curriculum for 1st
Year Medical Students.
AB - PROBLEM: Point-of-care ultrasound has been a novel addition to undergraduate
medical education at a few medical schools. The impact is not fully understood,
and few rigorous assessments of educational outcomes exist. This study assessed
the impact of a point-of-care ultrasound curriculum on image acquisition,
interpretation, and student and faculty perceptions of the course. INTERVENTION:
All 142 first-year medical students completed a curriculum on ultrasound physics
and instrumentation, cardiac, thoracic, and abdominal imaging. A flipped
classroom model of preclass tutorials and tests augmenting live, hands-on
scanning sessions was incorporated into the physical examination course. Students
and faculty completed surveys on impressions of the curriculum, and all students
under-went competency assessments with standardized patients. CONTEXT: The
curriculum was a mandatory part of the physical examination course and was taught
by experienced clinician-sonographers as well as faculty who do not routinely
perform sonography in their clinical practice. OUTCOME: Students and faculty
agreed that the physical examination course was the right time to introduce
ultrasound (87% and 80%). Students demonstrated proper use of the ultrasound
machine functions (M score = 91.55), and cardiac, thoracic, and abdominal system
assessments (M score = 80.35, 79.58, and 71.57, respectively). Students and
faculty valued the curriculum, and students demonstrated basic competency in
performance and interpretation of ultrasound. Further study is needed to
determine how to best incorporate this emerging technology into a robust learning
experience for medical students.
PMID- 27191829
TI - Batf3-dependent CD103(+) dendritic cell accumulation is dispensable for mucosal
and systemic antifungal host defense.
AB - Dendritic cells (DCs) are critical for defense against a variety of pathogens and
the formation of adaptive immune responses. The transcription factor Batf3 is
critical for the development of CD103(+)CD11b(-) DCs, which promote IL-12
dependent protective immunity during viral and parasitic infections, dampen Th2
immunity during helminthic infection, and exert detrimental effects during
bacterial infection. Whether CD103(+) DCs modulate immunity during systemic or
mucosal fungal disease remains unknown. Herein, we report that Batf3 is critical
for accumulation of CD103(+) DCs in the kidney and tongue at steady state, for
their expansion during systemic and oropharyngeal candidiasis, and for tissue
specific production of IL-12 in kidney but not tongue during systemic and
oropharyngeal candidiasis, respectively. Importantly, deficiency of CD103(+) DCs
does not impair survival or fungal clearance during systemic or oropharyngeal
candidiasis, indicating that Batf3-dependent CD103(+) DC accumulation mediates
pathogen- and tissue-specific immune effects.
PMID- 27191832
TI - One-Way Multishape-Memory Effect and Tunable Two-Way Shape Memory Effect of
Ionomer Poly(ethylene-co-methacrylic acid).
AB - Reversible elongation by cooling and contraction by heating, without the need for
repeated programming, is well-known as the two-way shape-memory effect (2W-SME).
This behavior is contrary to the common physics-contraction when cooling and
expansion when heating. Materials with such behavior may find many applications
in real life, such as self-sufficient grippers, fastening devices, optical
gratings, soft actuators, and sealant. Here, it is shown that ionomer Surlyn
8940, a 50-year old polymer, exhibits both one-way multishape-memory effects and
tunable two-way reversible actuation. The required external tensile stress to
trigger the tunable 2W-SME is very low when randomly jumping the temperatures
within the melting transition window. With a proper one-time programming, "true"
2W-SME (i.e., 2W-SME without the need for an external tensile load) is also
achieved. A long training process is not needed to trigger the tunable 2W-SME.
Instead, a proper one-time tensile programming is sufficient to trigger repeated
and tunable 2W-SME. Because the 2W-SME of the ionomer Surlyn is driven by the
thermally reversible network, here crystallization and melting transitions of the
semicrystalline poly(ethylene-co-methacrylic acid), it is believed that a class
of thermally reversible polymers should also exhibit tunable 2W-SMEs.
PMID- 27191833
TI - Calcium and filoviruses: a budding relationship.
PMID- 27191835
TI - Synthesis of Esters by in Situ Formation and Trapping of Diazoalkanes.
AB - A general method has been developed for the in situ formation and trapping of
diazoalkanes by carboxylic acids to form esters. The method is applicable to a
large variety of carboxylic acids using diazo compounds that are formed from the
hydrazones of benzaldehydes and aryl ketones. In situ reaction monitoring with IR
spectroscopy (ReactIR) was used to demonstrate that slow addition of the
hydrazone to a mixture of oxidant and carboxylic acid avoids the buildup of the
diazo compound. This method enables the safe preparation of esters from simple
precursors without isolation of diazo compounds.
PMID- 27191834
TI - Human Adenovirus Associated with Severe Respiratory Infection, Oregon, USA, 2013
2014.
AB - Several human adenoviruses (HAdVs) can cause respiratory infections, some severe.
HAdV-B7, which can cause severe respiratory disease, has not been recently
reported in the United States but is reemerging in Asia. During October 2013-July
2014, Oregon health authorities identified 198 persons with respiratory symptoms
and an HAdV-positive respiratory tract specimen. Among 136 (69%) hospitalized
persons, 31% were admitted to the intensive care unit and 18% required mechanical
ventilation; 5 patients died. Molecular typing of 109 specimens showed that most
(59%) were HAdV-B7, followed by HAdVs-C1, -C2, -C5 (26%); HAdVs-B3, -B21 (15%);
and HAdV-E4 (1%). Molecular analysis of 7 HAdV-B7 isolates identified the virus
as genome type d, a strain previously identified only among strains circulating
in Asia. Patients with HAdV-B7 were significantly more likely than those without
HAdV-B7 to be adults and to have longer hospital stays. HAdV-B7 might be
reemerging in the United States, and clinicians should consider HAdV in persons
with severe respiratory infection.
PMID- 27191836
TI - Programmatic Efforts at the National Institutes of Health to Promote and Support
the Careers of Women in Biomedical Science.
AB - Although women have reached parity at the training level in the biological
sciences and medicine, they are still significantly underrepresented in the
professoriate and in mid- and senior-level life science positions. Considerable
effort has been devoted by individuals and organizations across science sectors
to understanding this disparity and to developing interventions in support of
women's career development. The National Institutes of Health (NIH) formed the
Office of Research on Women's Health (ORWH) in 1990 with the goals of supporting
initiatives to improve women's health and providing opportunities and support for
the recruitment, retention, reentry, and sustained advancement of women in
biomedical careers. Here, the authors review several accomplishments and flagship
activities initiated by the NIH and ORWH in support of women's career development
during this time. These include programming to support researchers returning to
the workforce after a period away (Research Supplements to Promote Reentry into
Biomedical and Behavioral Research Careers), career development awards made
through the Building Interdisciplinary Research Careers in Women's Health
program, and trans-NIH involvement and activities stemming from the NIH Working
Group on Women in Biomedical Careers. These innovative programs have contributed
to advancement of women by supporting the professional and personal needs of
women in science. The authors discuss the unique opportunities that accompany NIH
partnerships with the scientific community, and conclude with a summary of the
impact of these programs on women in science.
PMID- 27191837
TI - Quality of Care Provided by Board-Certified Versus Non-Board-Certified
Psychiatrists and Neurologists.
AB - PURPOSE: To examine associations between board certification of psychiatrists and
neurologists and quality-of-care measures, using multilevel models controlling
for physician and patient characteristics, and to assess feasibility of linking
physician information with patient records to construct quality measures from
electronic claims data. METHOD: The authors identified quality measures and
matched claims data from 2006 to 2012 with 942 board-certified (BC)
psychiatrists, 868 non-board-certified (nBC) psychiatrists, 963 BC neurologists,
and 328 nBC neurologists. Using the matched data, they identified psychiatrists
who treated at least one patient with a schizophrenia diagnosis, and neurologists
attending patients discharged with a principal diagnosis of ischemic stroke, and
analyzed claims from these patients. For patients with schizophrenia who were
prescribed an atypical antipsychotic, quality measures were claims for glucose
and lipid tests, duration of any antipsychotic treatment, and concurrent
prescription of multiple antipsychotics. For patients with ischemic stroke,
quality measures were dysphagia evaluation; speech/language evaluation; and
prescription of clopidogrel, low-molecular-weight heparin, intravenous heparin,
and warfarin (for patients with co-occurring atrial fibrillation). RESULTS:
Overall, multilevel models (patients nested within physicians) showed no
statistically significant differences in quality measures between BC and nBC
psychiatrists and neurologists. CONCLUSIONS: The authors demonstrated the
feasibility of linking physician information with patient records to construct
quality measures from electronic claims data, but there may be only minimal
differences in the quality of care between BC and nBC psychiatrists and
neurologists, or there may be a difference that could not be measured with the
quality measures used.
PMID- 27191838
TI - Strategies for Developing and Recognizing Faculty Working in Quality Improvement
and Patient Safety.
AB - Academic clinical departments have the opportunity and responsibility to improve
the quality and value of care and patient safety by supporting effective quality
improvement activities. The pressure to provide high-value care while further
developing academic programs has increased the complexity of decision making and
change management in academic health systems. Overcoming these challenges will
require faculty engagement and leadership; however, most academic departments do
not have a sufficient number of individuals with expertise and experience in
quality improvement and patient safety (QI/PS). Accordingly, the authors of this
article advocate for a targeted and proactive approach to developing faculty
working in QI/PS. They propose a strategy predicated on the identification of
QI/PS as a strategic priority for academic departments, the creation of enabling
resources in QI/PS, and the expansion of rigorous training programs in change
management and in improvement and implementation sciences. Professional
organizations, health systems, medical schools, and academic departments should
recognize successful QI/PS work with awards and promotions. Individual faculty
members should expand their collaborative networks, consider the generalizability
and scholarly impact of their efforts when designing QI/PS initiatives, and
benchmark the outcomes of their performance. Appointments and promotions
committees should work proactively with department and QI/PS leaders to ensure
that outstanding achievement in QI/PS is defined and recognized. As with the
development of physician-investigators and clinician-educators, departments and
health systems need a comprehensive approach to support and recognize the
contributions of faculty working in QI/PS to meet the considerable needs and
opportunities in health care.
PMID- 27191839
TI - Five Tactics to Quickly Build Quality Improvement and Patient Safety Capacity at
Academic Health Centers.
AB - In this issue, Coleman and colleagues propose an ambitious strategic action
framework for academic health center (AHC) department chairs seeking to expand
faculty expertise in quality improvement and patient safety (QI/PS). Noting the
advent of value-based payment models and that AHCs lag behind some community
hospitals in QI/PS, Coleman and colleagues call for deliberate, proactive faculty
development and recognition measures to build QI/PS capacity at AHCs. In this
Invited Commentary, the author addresses the five challenges to strengthening
QI/PS capacity identified by Coleman and colleagues: (1) the mentorship gap: the
paucity of expert senior faculty who can mentor junior colleagues in QI/PS; (2)
data and analytic bottlenecks: information systems and analytic requests to
support QI/PS projects often get pushed to the back of long queues of prioritized
queries from other departments, effectively halting QI/PS projects; (3)
misalignment between existing reimbursement mechanisms and QI/PS: current fee-for
service and prospective payment mechanisms drive high utilization of costly
interventions and can financially penalize organizations that keep patients well
and avoid interventions; (4) limited recognition for QI/PS achievement in
academic advancement decisions; and (5) pressure to invent and deploy alternative
business models that reward value and results rather than the volume and pricing
of services, to better align payer, provider, and patient incentives around high
value care. The author outlines practical short-term measures to mitigate the
first four challenges and a more expansive vision for AHCs to transform their
business models and regain a leadership position in QI/PS to address the fifth
challenge.
PMID- 27191840
TI - Microanalytic Assessment of Self-Regulated Learning During Clinical Reasoning
Tasks: Recent Developments and Next Steps.
AB - Helping medical educators obtain and use assessment data to assist medical
students, residents, and physicians in reducing diagnostic errors and other forms
of ineffective clinical practice is of critical importance. Self-Regulated
Learning-Microanalytic Assessment and Training is an assessment-to-intervention
framework designed to address this need by generating data about trainees'
strategic processes (e.g., focusing on clinical task procedures), regulatory
processes (e.g., planning how to do a task), and motivational processes (e.g.,
increasing confidence for performing a task) as they perform clinical activities.
In this article, the authors review several studies that have used an innovative
assessment approach, called self-regulated learning (SRL) microanalysis, to
generate data about how trainees regulate their thinking and actions during
clinical reasoning tasks. Across the studies, initial findings revealed that
medical students often do not exhibit strategic thinking and action during
clinical reasoning practice tasks even though some regulatory processes (e.g.,
planning) are predictive of important medical education outcomes. Further,
trainees' motivation beliefs, strategic thinking, and self-evaluative judgments
tend to shift rapidly during clinical skills practice and may also vary across
different parts of a patient encounter. Collectively, these findings underscore
the value of dynamically assessing trainees' SRL as they complete clinical tasks.
The findings also set the stage for exploring how medical educators can best use
SRL microanalytic assessment data to guide remedial practices and the provision
of feedback to trainees. Implications and future research directions for
connecting assessments to intervention in medical education are discussed.
PMID- 27191842
TI - Coached Peer Review: Developing the Next Generation of Authors.
AB - PROBLEM: Publishing in academic journals is challenging for learners. Those who
pass the initial stages of internal review by an editor often find the anonymous
peer review process harsh. Academic blogs offer alternate avenues for publishing
medical education material. Many blogs, however, lack a peer review process,
which some consumers argue compromises the quality of materials published.
APPROACH: CanadiEM (formerly BoringEM) is an academic educational emergency
medicine blog dedicated to publishing high-quality materials produced by learners
(i.e., residents and medical students). The editorial team has designed and
implemented a collaborative "coached peer review" process that comprises an open
exchange among the learner-author, editors, and reviewers. The goal of this
process is to facilitate the publication of high-quality academic materials by
learner-authors while providing focused feedback to help them develop academic
writing skills. OUTCOMES: The authors of this Innovation Report surveyed
(February-June 2015) their blog's learner-authors and external expert "staff"
reviewers who had participated in coached peer review for their reactions to the
process. The survey results revealed that participants viewed the process
positively compared with both traditional journal peer review and academic blog
publication processes. Participants found the process friendly, easy, efficient,
and transparent. Learner-authors also reported increased confidence in their
published material. These outcomes met the goals of coached peer review. NEXT
STEPS: CanadiEM aims to inspire continued participation in, exposure to, and high
quality production of academic writing by promoting the adoption of coached peer
review for online educational resources produced by learners.
PMID- 27191841
TI - Role Modeling and Regional Health Care Intensity: U.S. Medical Student Attitudes
Toward and Experiences With Cost-Conscious Care.
AB - PURPOSE: To examine medical student attitudes toward cost-conscious care and
whether regional health care intensity is associated with reported exposure to
physician role-modeling behaviors related to cost-conscious care. METHOD:
Students at 10 U.S. medical schools were surveyed in 2015. Thirty-five items
assessed attitudes toward, perceived barriers to and consequences of, and
observed physician role-modeling behaviors related to cost-conscious care (using
scales for cost-conscious and potentially wasteful behaviors; Cronbach alphas of
0.82 and 0.81, respectively). Regional health care intensity was measured using
Dartmouth Atlas End-of-Life Chronic Illness Care data: ratio of physician visits
per decedent compared with the U.S. average, ratio of specialty to primary care
physician visits per decedent, and hospital care intensity index. RESULTS: Of
5,992 students invited, 3,395 (57%) responded. Ninety percent (2,640/2,932)
agreed physicians have a responsibility to contain costs. However, 48%
(1,1416/2,960) thought ordering a test is easier than explaining why it is
unnecessary, and 58% (1,685/2,928) agreed ordering fewer tests will increase the
risk of malpractice litigation. In adjusted linear regression analyses, students
in higher-health-care-intensity regions reported observing significantly fewer
cost-conscious role-modeling behaviors: For each one-unit increase in the three
health care intensity measures, scores on the 21-point cost-conscious role
modeling scale decreased by 4.4 (SE 0.7), 3.2 (0.6), and 3.9 (0.6) points,
respectively (all P < .001). CONCLUSIONS: Medical students endorse barriers to
cost-conscious care and encounter conflicting role-modeling behaviors, which are
related to regional health care intensity. Enhancing role modeling in the
learning environment may help prepare future physicians to address health care
costs.
PMID- 27191844
TI - Cysteine Peptidase B Regulates Leishmania mexicana Virulence through the
Modulation of GP63 Expression.
AB - Cysteine peptidases play a central role in the biology of Leishmania. In this
work, we sought to further elucidate the mechanism(s) by which the cysteine
peptidase CPB contributes to L. mexicana virulence and whether CPB participates
in the formation of large communal parasitophorous vacuoles induced by these
parasites. We initially examined the impact of L. mexicana infection on the
trafficking of VAMP3 and VAMP8, two endocytic SNARE proteins associated with
phagolysosome biogenesis and function. Using a CPB-deficient mutant, we found
that both VAMP3 and VAMP8 were down-modulated in a CPB-dependent manner. We also
discovered that expression of the virulence-associated GPI-anchored
metalloprotease GP63 was inhibited in the absence of CPB. Expression of GP63 in
the CPB-deficient mutant was sufficient to down-modulate VAMP3 and VAMP8.
Similarly, episomal expression of GP63 enabled the CPB-deficient mutant to
establish infection in macrophages, induce the formation of large communal
parasitophorous vacuoles, and cause lesions in mice. These findings implicate CPB
in the regulation of GP63 expression and provide evidence that both GP63 and CPB
are key virulence factors in L. mexicana.
PMID- 27191845
TI - Infant Cries Rattle Adult Cognition.
AB - The attention-grabbing quality of the infant cry is well recognized, but how the
emotional valence of infant vocal signals affects adult cognition and cortical
activity has heretofore been unknown. We examined the effects of two contrasting
infant vocalizations (cries vs. laughs) on adult performance on a Stroop task
using a cross-modal distraction paradigm in which infant distractors were vocal
and targets were visual. Infant vocalizations were presented before (Experiment
1) or during each Stroop trial (Experiment 2). To evaluate the influence of
infant vocalizations on cognitive control, neural responses to the Stroop task
were obtained by measuring electroencephalography (EEG) and event-related
potentials (ERPs) in Experiment 1. Based on the previously demonstrated existence
of negative arousal bias, we hypothesized that cry vocalizations would be more
distracting and invoke greater conflict processing than laugh vocalizations.
Similarly, we expected participants to have greater difficulty shifting attention
from the vocal distractors to the target task after hearing cries vs. after
hearing laughs. Behavioral results from both experiments showed a cry
interference effect, in which task performance was slower with cry than with
laugh distractors. Electrophysiology data further revealed that cries more than
laughs reduced attention to the task (smaller P200) and increased conflict
processing (larger N450), albeit differently for incongruent and congruent
trials. Results from a correlation analysis showed that the amplitudes of P200
and N450 were inversely related, suggesting a reciprocal relationship between
attention and conflict processing. The findings suggest that cognitive control
processes contribute to an attention bias to infant signals, which is modulated
in part by the valence of the infant vocalization and the demands of the
cognitive task. The findings thus support the notion that infant cries elicit a
negative arousal bias that is distracting; they also identify, for the first
time, the neural dynamics underlying the unique influence that infant cries and
laughs have on cognitive control.
PMID- 27191847
TI - Cortisol Awakening Response and Walking Speed in Older People.
AB - In older people, less diurnal variability in cortisol levels has been
consistently related to worse physical performance, especially to slower walking
speed (WS). The cortisol awakening response (CAR) is a discrete component of the
hypothalamic-pituitary-adrenal axis that has been related to several health
problems, such as cardiovascular disease and/or worse performance on executive
function and memory. The relationship between the CAR and physical performance in
older people is poorly understood. In this study, in 86 older people (mean age =
64.42, SD = 3.93), we investigated the relationship between the CAR and WS, a
commonly used measure of physical performance in the older population that has
also been related to health problems, such as cardiovascular disease and
executive function performance in older people. Additionally, we studied whether
the relationship between the CAR and WS was independent from cortisol levels on
awakening and several possible confounders. Results showed that a CAR of reduced
magnitude (measured with 3 samples each day, for two consecutive days, and
calculated as the area under the curve with respect to the increase), but not
cortisol levels on awakening, was related to slower WS. In addition, this
relationship was independent from cortisol levels on awakening. It is possible
that a CAR of reduced magnitude would contribute to less diurnal cortisol
variability, affecting physical performance. Additionally, it is possible that a
CAR of reduced magnitude affects WS through a possible negative effect on
executive function, or that the association between the CAR and WS is due to the
fact that both are related to similar health problems and to changes in cognitive
performance in older people.
PMID- 27191843
TI - The EARP Complex and Its Interactor EIPR-1 Are Required for Cargo Sorting to
Dense-Core Vesicles.
AB - The dense-core vesicle is a secretory organelle that mediates the regulated
release of peptide hormones, growth factors, and biogenic amines. Dense-core
vesicles originate from the trans-Golgi of neurons and neuroendocrine cells, but
it is unclear how this specialized organelle is formed and acquires its specific
cargos. To identify proteins that act in dense-core vesicle biogenesis, we
performed a forward genetic screen in Caenorhabditis elegans for mutants
defective in dense-core vesicle function. We previously reported the
identification of two conserved proteins that interact with the small GTPase RAB
2 to control normal dense-core vesicle cargo-sorting. Here we identify several
additional conserved factors important for dense-core vesicle cargo sorting: the
WD40 domain protein EIPR-1 and the endosome-associated recycling protein (EARP)
complex. By assaying behavior and the trafficking of dense-core vesicle cargos,
we show that mutants that lack EIPR-1 or EARP have defects in dense-core vesicle
cargo-sorting similar to those of mutants in the RAB-2 pathway. Genetic epistasis
data indicate that RAB-2, EIPR-1 and EARP function in a common pathway. In
addition, using a proteomic approach in rat insulinoma cells, we show that EIPR-1
physically interacts with the EARP complex. Our data suggest that EIPR-1 is a new
interactor of the EARP complex and that dense-core vesicle cargo sorting depends
on the EARP-dependent trafficking of cargo through an endosomal sorting
compartment.
PMID- 27191846
TI - Quantitative, Qualitative and Geospatial Methods to Characterize HIV Risk
Environments.
AB - Increasingly, 'place', including physical and geographical characteristics as
well as social meanings, is recognized as an important factor driving individual
and community health risks. This is especially true among marginalized
populations in low and middle income countries (LMIC), whose environments may
also be more difficult to study using traditional methods. In the NIH-funded
longitudinal study Mapa de Salud, we employed a novel approach to exploring the
risk environment of female sex workers (FSWs) in two Mexico/U.S. border cities,
Tijuana and Ciudad Juarez. In this paper we describe the development,
implementation, and feasibility of a mix of quantitative and qualitative tools
used to capture the HIV risk environments of FSWs in an LMIC setting. The methods
were: 1) Participatory mapping; 2) Quantitative interviews; 3) Sex work venue
field observation; 4) Time-location-activity diaries; 5) In-depth interviews
about daily activity spaces. We found that the mixed-methodology outlined was
both feasible to implement and acceptable to participants. These methods can
generate geospatial data to assess the role of the environment on drug and sexual
risk behaviors among high risk populations. Additionally, the adaptation of
existing methods for marginalized populations in resource constrained contexts
provides new opportunities for informing public health interventions.
PMID- 27191848
TI - Recent Clinical Trials in Osteoporosis: A Firm Foundation or Falling Short?
AB - The global burden of osteoporotic fractures is associated with significant
morbidity, mortality, and healthcare costs. We examined the ClinicalTrials.gov
database to determine whether recently registered clinical trials addressed
prevention and treatment in those at high risk for fracture. A dataset of 96,346
trials registered in ClinicalTrials.gov was downloaded on September 27, 2010. At
the time of the dataset download, 40,970 interventional trials had been
registered since October 1, 2007. The osteoporosis subset comprised 239
interventional trials (0.6%). Those trials evaluating orthopedic procedures were
excluded. The primary purpose was treatment in 67.0%, prevention in 20.1%,
supportive care in 5.8%, diagnostic in 2.2%, basic science in 3.1%, health
services research in 0.9%, and screening in 0.9%. The majority of studies (61.1%)
included drug-related interventions. Most trials (56.9%) enrolled only women,
38.9% of trials were open to both men and women, and 4.2% enrolled only men.
Roughly one fifth (19.7%) of trials excluded research participants older than 65
years, and 33.5% of trials excluded those older than 75 years. The funding
sources were industry in 51.0%, the National Institutes of Health in 6.3%, and
other in 42.7%. We found that most osteoporosis-related trials registered from
October 2007 through September 2010 examined the efficacy and safety of drug
treatment, and fewer trials examined prevention and non-drug interventions.
Trials of interventions that are not required to be registered in
ClinicalTrials.gov may be underrepresented. Few trials are specifically studying
osteoporosis in men and older adults. Recently registered osteoporosis trials may
not sufficiently address fracture prevention.
PMID- 27191849
TI - Attraction Propagation: A User-Friendly Interactive Approach for Polyp
Segmentation in Colonoscopy Images.
AB - The article raised a user-friendly interactive approach-Attraction Propagation
(AP) in segmentation of colorectal polyps. Compared with other interactive
approaches, the AP relied on only one foreground seed to get different shapes of
polyps, and it can be compatible with pre-processing stage of Computer-Aided
Diagnosis (CAD) under the systematically procedure of Optical Colonoscopy (OC).
The experimental design was based on challenging distinct datasets that totally
includes 1691 OC images, and the results demonstrated that no matter in accuracy
or calculating speed, the AP performed better than the state-of-the-art.
PMID- 27191850
TI - Identifying Neurocognitive Decline at 36 Months among HIV-Positive Participants
in the CHARTER Cohort Using Group-Based Trajectory Analysis.
AB - INTRODUCTION: While HIV-associated neurocognitive impairment remains common
despite the widespread use of combined antiretroviral therapy (cART), there have
been relatively few studies investigating the trajectories of neurocognitive
change in longitudinal NeuroAIDS studies. OBJECTIVE: To estimate the magnitude
and pattern of neurocognitive change over the first 3 years of follow-up using
Group-Based Trajectory Analysis (GBTA) applied to participants in the
longitudinal arm of the CHARTER cohort. METHOD: The study population consisted of
701 CHARTER participants who underwent neuropsychological (NP) testing on at
least 2 occasions. Raw test scores on 15 NP measures were modeled using GBTA.
Each trajectory was categorized as stable, improved or declined, according to two
different criteria for change (whether the magnitude of the estimated change at
36 months differed >= 0.5 standard deviations from baseline value or changed by >
the standard error of measurement estimated at times 1 and 2). Individuals who
declined on one or more NP measures were categorized as decliners. RESULTS:
Overall, 111 individuals (15.8%) declined on at least one NP test over 36 months,
with the vast majority showing decline on a single NP test (93/111-83.8%). The
posterior probability of group assignment was high in most participants (71%)
after only 2 sessions, and in the overwhelming majority of those with 3+
sessions. Heterogeneity of trajectories was the norm rather than the exception.
Individuals who declined had, on average, worse baseline NP performance on every
test, were older, had a longer duration of HIV infection and more follow-up
sessions. CONCLUSION: The present study identified heterogeneous trajectories
over 3 years across 15 NP raw test scores using GBTA. Cognitive decline was
observed in only a small subset of this study cohort. Decliners had demographics
and HIV characteristics that have been previously associated with cognitive
decline, suggesting clinical validity for the method.
PMID- 27191851
TI - Treatment of Thyroid Dysfunctions Decreases the Risk of Cerebrovascular Events in
Men but Not in Women: Results of the MONICA/KORA Cohort Study.
AB - OBJECTIVE: Thyroid disorders are well known to be associated with cardiovascular
diseases. Some studies have shown that the negative effects of thyroid disorders
are partially reversible after adequate treatment. The aim of this analysis was
to assess the risk of incident ischemic cerebrovascular diseases in study
participants treated for thyroid dysfunctions in a population-based cohort study.
METHODS: For the presented analyses data from 8564 male and 8714 female
individuals aged 25 to 74 years of the MONICA/KORA cohort were used (median
follow-up 14.0 years). A combined binary variable "thyroid disorder" (TDC) was
created utilizing data on self-reported physician-treated thyroid disorders and
information about medication use. To examine the association between TDC and
incident ischemic cerebrovascular events, we performed multiple adjusted Cox
proportional hazard regression models and calculated hazard ratios and
corresponding 95% confidence intervals (HR, 95%CI). RESULTS: During follow-up
between 1984 and 2008/2009, 514 incident fatal and non-fatal ischemic
cerebrovascular events occurred in men and 323 in women. At baseline, 3.5% of men
and 15.6% of women reported TDC. In the fully adjusted model, males who reported
TDC had a significantly reduced risk of ischemic cerebrovascular events (HR =
0.52, 95%CI = 0.29-0.92). A similar result was obtained in men, when we utilized
information on thyroid hormones use only. For the total study population and for
women with TDC we found no association with ischemic cerebrovascular events.
CONCLUSIONS: In our longitudinal analyses subjects with treated thyroid diseases
had no increased risk of incident ischemic cerebrovascular events. Surprisingly
in males, even a significantly reduced risk of incident ischemic cerebrovascular
events was found, a result that deserves further clarification.
PMID- 27191854
TI - Fibrin clot formation and fibrinolysis in patients with a history of coronary
stent thrombosis.
AB - INTRODUCTION: Coronary stent thrombosis is a devastating complication of
percutaneous coronary intervention (PCI). Multiple factors underlie the
pathophysiological mechanisms of stent thrombosis. Previous studies demonstrated
that patients with stent thrombosis, compared to control PCI patients, formed
denser fibrin clots in vitro which were more resistant to fibrinolysis,
suggesting that altered fibrin clot properties may contribute to the
pathophysiology of stent thrombosis. We assessed the plasma fibrin clot formation
and fibrinolysis of patients with and without stent thrombosis. METHODS: Cases
(patients with stent thrombosis) and matched controls (patients without stent
thrombosis) were included for a matched case-control study. Matching was
performed on indication and time of the index PCI (initial stent implantation)
from the cases. Fibrin clot formation and fibrinolysis were assessed in vitro by
turbidimetric assays, with human thrombin to initiate fibrin polymerization and
tissue type plasminogen activator to initiate fibrinolysis. Lag time, maximal
absorbance and clot lysis time were determined by these assays. RESULTS: In
total, 27 cases and 27 controls were included. No significant differences were
observed between cases and controls in lag time (173 vs. 162s, p=0.18), maximal
absorbance (0.78 vs. 0.83, p=0.36), and clot lysis time (69 vs. 71min, p=0.78).
Fibrin clot formation and fibrinolysis were not associated with stent thrombosis.
CONCLUSIONS: Plasma fibrin clot formation and fibrinolysis were not significantly
different between patients with stent thrombosis and matched control patients,
suggesting that fibrin clot formation and fibrinolysis play no significant role
in the pathophysiology of stent thrombosis.
PMID- 27191852
TI - Cystathione gamma lyase/Hydrogen Sulphide Pathway Up Regulation Enhances the
Responsiveness of alpha1A and alpha1B-Adrenoreceptors in the Kidney of Rats with
Left Ventricular Hypertrophy.
AB - The purpose of the present study was to investigate the interaction between H2S
and NO (nitric oxide) in the kidney and to evaluate its impact on the functional
contribution of alpha1A and alpha1B-adrenoreceptors subtypes mediating the renal
vasoconstriction in the kidney of rats with left ventricular hypertrophy (LVH).
In rats the LVH induction was by isoprenaline administration and caffeine in the
drinking water together with intraperitoneal administration of H2S. The
responsiveness of alpha1A and alpha1B to exogenous noradrenaline, phenylephrine
and methoxaminein the absence and presence of 5-methylurapidil (5-MeU) and
chloroethylclonidine (CEC) was studied. Cystathione gamma lyase (CSE),
cystathione beta synthase (CBS), 3-mercaptopyruvate sulphar transferase (3-MST)
and endothelial nitric oxide synthase (eNOS) were quantified. There was
significant up regulation of CSE and eNOS in the LVH-H2S compared to the LVH
group (P<0.05). Baseline renal cortical blood perfusion (RCBP) was increased
(P<0.05) in the LVH-H2S compared to the LVH group. The responsiveness of alpha1A
adrenergic receptors to adrenergic agonists was increased (P<0.05) after
administration of low dose 5-Methylurapidil in the LVH-H2S group while alpha1B
adrenergic receptors responsiveness to adrenergic agonists were increased
(P<0.05) by both low and high dose chloroethylclonidine in the LVH-H2S group.
Treatment of LVH with H2S resulted in up-regulation of CSE/H2S, CBS, and 3-MST
and eNOS/NO/cGMP pathways in the kidney. These up regulation of CSE/H2S, CBS, and
3-MST and eNOS/NO/cGMP pathways enhanced the responsiveness of alpha1A and
alpha1B-adrenoreceptors subtypes to adrenergic agonists in LVH-H2S. These
findings indicate an important role for H2S in modulating deranged signalling in
the renal vasculature resulting from LVH development.
PMID- 27191855
TI - Non-neural androgen receptor promotes androphilic odor preference in mice.
AB - In mice, male-typical preference for female olfactory cues results largely from
sexually differentiated testosterone production. It is currently unclear on which
cells and tissues testosterone acts to produce male-typical preference for female
olfactory cues. To further address the site of androgen action on olfactory
preference, we have developed a loxP-based transgenic mouse that overexpresses
androgen receptors (AR) only when activated by Cre. We used this transgene to
overexpress AR globally in all tissues using a CMV-Cre driver and a Nestin-Cre
driver to overexpress AR selectively in neural tissue. We then examined olfactory
preference in transgenic and wildtype (Wt) littermates by simultaneously exposing
animals to female-soiled, male-soiled and clean bedding. Ubiquitous
overexpression of AR in CMV-AR mice increased preference for male bedding,
whereas neural-specific AR overexpression in Nestin-AR transgenic mice did not
differ from wildtype siblings in olfactory preference. Neural activation of
olfactory brain areas in response to female-soiled bedding was also evaluated in
these mice by measuring FOS immunoreactivity. This revealed a decrease in neural
activity along the accessory olfactory pathway that accompanied the decrease in
preference for female odors in CMV-AR males, compared to both Nestin-AR and Wt
male siblings. Together, results indicate that androgens act via non-neural AR to
mediate olfactory preference and neural responses to olfactory stimuli, and
further suggest that AR in non-neural tissues can promote androphilic odor
preferences in male mice.In mice, male-typical preference for female olfactory
cues results largely from sexually differentiated testosterone production. It is
currently unclear on which cells and tissues testosterone acts to produce male
typical preference for female olfactory cues. To further address the site of
androgen action on olfactory preference, we have developed a loxP-based
transgenic mouse that overexpresses androgen receptors (AR) only when activated
by Cre. We used this transgene to overexpress AR globally in all tissues using a
CMV-Cre driver and a Nestin-Cre driver to overexpress AR selectively in neural
tissue. We then examined olfactory preference in transgenic and wildtype (Wt)
littermates by simultaneously exposing animals to female-soiled, male-soiled and
clean bedding. Ubiquitous overexpression of AR in CMV-AR mice increased
preference for male bedding, whereas neural-specific AR overexpression in Nestin
AR transgenic mice did not differ from wildtype siblings in olfactory preference.
Neural activation of olfactory brain areas in response to female-soiled bedding
was also evaluated in these mice by measuring FOS immunoreactivity. This revealed
a decrease in neural activity along the accessory olfactory pathway that
accompanied the decrease in preference for female odors in CMV-AR males, compared
to both Nestin-AR and Wt male siblings. Together, results indicate that androgens
act via non-neural AR to mediate olfactory preference and neural responses to
olfactory stimuli, and further suggest that AR in non-neural tissues can promote
androphilic odor preferences in male mice.
PMID- 27191853
TI - Perinatal Exposure to a Diet High in Saturated Fat, Refined Sugar and Cholesterol
Affects Behaviour, Growth, and Feed Intake in Weaned Piglets.
AB - The increased consumption of diets high in saturated fats and refined sugars is a
major public health concern in Western human societies. Recent studies suggest
that perinatal exposure to dietary fat and/or sugar may affect behavioural
development. We thus investigated the effects of perinatal exposure to a high-fat
high-sugar diet (HFS) on behavioural development and production performance of
piglets. Thirty-two non-obese sows and their piglets were allocated to 1 of 4
treatments in a 2 * 2 factorial design, with 8-week prenatal (gestation) and 8
week postnatal (lactation and post-weaning) exposure to a HFS diet (12% saturated
fat, 18.5% sucrose, 1% cholesterol) or control low-fat low-sugar high-starch
diets as factors. From weaning onwards (4 weeks of age), piglets were housed in
group of 3 littermates (n = 8 groups/treatment) and fed ad libitum. After the end
of the dietary intervention (8 weeks of age), all the piglets were fed a standard
commercial diet. Piglet behaviours in the home pens were scored, and skin
lesions, growth, feed intake and feed efficiency were measured up to 8 weeks
after the end of the dietary treatment, i.e. until 16 weeks of age. At the end of
the dietary treatment (8 weeks of age), response to novelty was assessed in a
combined open field and novel object test (OFT/NOT). During the weeks following
weaning, piglets fed the postnatal HFS diet tended to be less aggressive (p =
0.06), but exhibited more oral manipulation of pen mates (p = 0.05) than
controls. Compared to controls, piglets fed the prenatal or postnatal HFS diet
walked more in the home pen (p <= 0.05), and tended to have fewer skin lesions (p
< 0.10). Several behavioural effects of the postnatal HFS diet depended on the
prenatal diet, with piglets subjected to a switch of diet at birth being more
active, and exploring feeding materials, pen mates, and the environment more than
piglets that remained on the same diet. Behaviours during the OFT/NOT were not
affected by the diet. The intake of the postnatal HFS diet drastically reduced
feed intake, but improved feed efficiency up to 8 weeks after the end of the
dietary intervention, i.e. 16 weeks of age (p < 0.0001 for both). Our study
highlights the key role of prenatal and postnatal nutritional interactions for
early behavioural development, and reveals programming effects of early life
nutrition on voluntary feed intake of piglets later in life.
PMID- 27191856
TI - The strain of an accompanying conspecific affects the efficacy of social
buffering in male rats.
AB - Social buffering is a phenomenon in which stress in an animal is ameliorated when
the subject is accompanied by a conspecific animal(s) during exposure to
distressing stimuli. We previously reported that in male Wistar rats, the
presence of another Wistar rat mitigates conditioned fear responses to an
auditory conditioned stimulus (CS). Subsequent analyses revealed several
characteristics of this social buffering of conditioned fear responses. However,
information regarding the specificity of accompanying conspecifics is still
limited. In the present study, we assessed whether rats of other strains could
induce social buffering in Wistar rats. When a fear-conditioned Wistar subject
was re-exposed to the CS alone, we observed increased freezing and decreased
investigation and walking, as well as elevated corticosterone levels. The
presence of a Wistar, Sprague-Dawley, or Long-Evans rat blocked these responses,
suggesting that social buffering was induced by these strains of rats. In
contrast, a Fischer 344 rat did not induce social buffering in the Wistar
subject. We further found that an inbred Lewis rat induced social buffering
whereas a Brown Norway rat, a strain that has been established independently from
Wistar rats, did not. These results suggest that the difference in origin, rather
than the inbred or outbred status of the associate rat, seemed to account for the
lack of social buffering induced by the F344 rats. Based on these findings, we
conclude that strains of an accompanying conspecific can affect the efficacy of
social buffering in rats.
PMID- 27191858
TI - Palladium-Catalyzed Regioselective Difluoroalkylation and Carbonylation of
Alkynes.
AB - A novel, four-component synthetic strategy to synthesize a series of beta
difluoroalkyl unsaturated esters/amides with high regioslectivity is described.
This Pd-catalyzed difluoroalkylation and carbonylation reaction can be carried
out with simple starting materials. Through this protocol, two new C-C bonds
(including one C-CF2 bond) and one C-O(N) bond are constructed simultaneously in
a single step. The synthetic utility of this reaction system has been certified
by the applicability to a wide scope of alkynes and nucleophiles. Preliminary
mechanistic studies suggest that the difluoroalkyl radical pathway is involved in
this reaction.
PMID- 27191857
TI - Evaluating the Impact of Naltrexone on the Rat Gambling Task to Test Its
Predictive Validity for Gambling Disorder.
AB - Gambling Disorder has serious consequences and no medications are currently
approved for the treatment of this disorder. One factor that may make medication
development difficult is the lack of animal models of gambling that would allow
for the pre-clinical screening of efficacy. Despite this, there is evidence from
clinical trials that opiate antagonists, in particular naltrexone, may be useful
in treating gambling disorder. To-date, the effects of naltrexone on pre-clinical
models of gambling have not been evaluated. The purpose of the present study was
to evaluate the effects of naltrexone in an animal model of gambling, the rat
gambling task (rGT), to determine whether this model has some predictive
validity. The rGT is a model in which rats are given a choice of making either a
response that produces a large reward or a small reward. The larger the reward,
the greater the punishment, and thus this task requires that the animal inhibit
the 'tempting' choice, as the smaller reward option produces overall the most
number of rewards per session. People with gambling disorder chose the tempting
option more, thus the rGT may provide a model of problem gambling. It was found
that naltrexone improved performance on this task in a subset of animals that
chose the 'tempting', disadvantageous choice, more at baseline. Thus, the results
of this study suggest that the rGT should be further investigated as a pre
clinical model of gambling disorder and that further investigation into whether
opioid antagonists are effective in treating Gambling Disorder may be warranted.
PMID- 27191859
TI - Association between Concentrations of Metals in Urine and Adult Asthma: A Case
Control Study in Wuhan, China.
AB - BACKGROUND: Several metals have been reported to be associated with childhood
asthma. However, the results on relationships between metals and risk of
childhood asthma are inconclusive, and the research on adult asthma in the
Chinese general population is rare. OBJECTIVES: To investigate potential
associations between levels of urinary metals and adult asthma. METHODS: A case
control study of 551 adult asthma cases and 551 gender- and age-matched controls
was conducted in Wuhan, China. Demographic information was obtained, and lung
function was assessed. The urinary concentrations of 22 metals were measured by
inductively coupled plasma mass spectrometry. RESULTS: After adjusting for other
metalsand other covariates, urinary cadmium, molybdenum, chromium, copper,
uranium and selenium were positively associated with asthma, with odds ratios
(95% CI) of 1.69 (1.00, 2.85), 3.76 (2.30, 6.16), 4.89 (3.04, 7.89), 6.06 (3.27,
11.21), 6.99 (4.37, 11.19) and 9.17 (4.16, 20.21), respectively. By contrast,
urinary lead, barium, iron, zinc, nickel, manganese and rubidium were negatively
associated with asthma, with odds ratios (95% CI) of 0.48 (0.29, 0.80), 0.44
(0.27, 0.71), 0.41 (0.26, 0.64), 0.40 (0.24, 0.66), 0.30 (0.22, 0.41), 0.23
(0.14, 0.39) and 0.07 (0.03, 0.15), respectively. When comparing urinary metals
in different subgroups of cases with those in matched controls, the associations
of above 13 metals with asthma prevalence were nearly the same. CONCLUSIONS: Our
results suggested that asthma prevalence in the Chinese adults was positively
associated with urinary chromium, chromium, selenium, molybdenum, cadmium, and
uranium, and negatively associated with urinary manganese, iron, nickel, zinc,
rubidium, barium and lead. Additional research with larger populations in
different regions is required to support our findings.
PMID- 27191861
TI - Safety and Outcome of Microincision Vitreous Surgery in Uveitis.
AB - PURPOSE: To report the outcome of microincision vitreous surgery (MIVS) in
uveitis. METHODS: In total, 103 patients (106 eyes) underwent diagnostic MIVS
between March 2012 and April 2015. Postoperative evaluation included vitreous
haze grading from clinical/electronic records, best-corrected visual acuity
(BCVA), and complications. RESULTS: Mean age was 36.8 +/- 13.9 years (range: 8-80
years). Mean follow-up after MIVS was 12.2 +/- 7.2 months (median 12 months).
Mean vitreous haze grading was 2.39 +/- 0.98 (preoperatively), 0.36 +/- 0.73
postoperatively (1 week), and 0.02 +/- 0.2 at 1 month (p < 0.001). Mean BCVA was
1.5 +/- 1.0 logMAR preoperatively and 0.72 +/- 0.68 logMAR at 1 month (p =
0.000). Postoperative complications included cataract (14.6%), rise in
intraocular pressure (13.2%), vitreous hemorrhage (4.7%), hypotony (3.2%),
retinal detachment (2.8%), epiretinal membrane (2.8%), and worsening of
inflammation (0.9%). CONCLUSIONS: MIVS is safe and may have a therapeutic role in
uveitis.
PMID- 27191863
TI - Do Surgeons or Hospital Economics Ultimately Decide Operative Approach?
PMID- 27191860
TI - Clinical evaluation of 4D PET motion compensation strategies for treatment
verification in ion beam therapy.
AB - A clinical trial named PROMETHEUS is currently ongoing for inoperable
hepatocellular carcinoma (HCC) at the Heidelberg Ion Beam Therapy Center (HIT,
Germany). In this framework, 4D PET-CT datasets are acquired shortly after the
therapeutic treatment to compare the irradiation induced PET image with a Monte
Carlo PET prediction resulting from the simulation of treatment delivery. The
extremely low count statistics of this measured PET image represents a major
limitation of this technique, especially in presence of target motion. The
purpose of the study is to investigate two different 4D PET motion compensation
strategies towards the recovery of the whole count statistics for improved image
quality of the 4D PET-CT datasets for PET-based treatment verification. The well
known 4D-MLEM reconstruction algorithm, embedding the motion compensation in the
reconstruction process of 4D PET sinograms, was compared to a recently proposed
pre-reconstruction motion compensation strategy, which operates in sinogram
domain by applying the motion compensation to the 4D PET sinograms. With
reference to phantom and patient datasets, advantages and drawbacks of the two 4D
PET motion compensation strategies were identified. The 4D-MLEM algorithm was
strongly affected by inverse inconsistency of the motion model but demonstrated
the capability to mitigate the noise-break-up effects. Conversely, the pre
reconstruction warping showed less sensitivity to inverse inconsistency but also
more noise in the reconstructed images. The comparison was performed by relying
on quantification of PET activity and ion range difference, typically yielding
similar results. The study demonstrated that treatment verification of moving
targets could be accomplished by relying on the whole count statistics image
quality, as obtained from the application of 4D PET motion compensation
strategies. In particular, the pre-reconstruction warping was shown to represent
a promising choice when combined with intra-reconstruction smoothing.
PMID- 27191864
TI - The impact of food structure on taste and digestibility.
AB - The modern food chain depends on complex interactions between businesses from
farming to retail. Until recently their success depended upon providing consumers
with safe, convenient food which was pleasant to eat, at a reasonable value for
money. This has required detailed research into how food structures deliver
recognisable and preferred types of foods, from hard solids to thick liquids.
Fortunately the consumer is able to detect and report sensations of texture and
flavour which can be related to the composition, structure and breakdown of food
in the mouth. Chemists, physicists and engineers can attempt to build mechanistic
models of how structures relate to perception. The state of the art in our
understanding and design capabilities are reviewed. In the developed world, the
success is self evident as food prices (as a proportion of income) have decreased
and there is a surfeit of choice on the supermarket shelf. More recently, the
requirement to add a balanced healthy diet to the simple pleasure of eating has
become the new target. This is a different type of challenge. The effects of diet
on health are long term, and not easily reported by the consumer. Whilst we know
something of how the digestive tract works in breaking down foods, we know little
of how food structure impacts upon this process, and even less of how the neural
and metabolic feedback systems operate to relate food structures to satiety and
satiation. Therefore, in the absence of causal models relating structures to
eating habits, structures designed to achieve both immediate pleasure and long
term healthy eating are much more speculative. What we think we know, and what we
need to know are reviewed. There is no doubt that other skills, in nutrition,
physiology, neuroscience, and molecular biology etc. will need to be added to the
classical approaches of food materials science and engineering if these
challenges are to be met.
PMID- 27191862
TI - Substance Abuse Among Blacks Across the Diaspora.
AB - BACKGROUND: Lower rates of substance abuse are found among Black Americans
compared to Whites, but little is known about differences in substance abuse
across ethnic groups within the black population. OBJECTIVES: We examined
prevalence rates of substance abuse among Blacks across three geographic regions
(US, Jamaica, Guyana). The study also sought to ascertain whether length of time,
national context and major depressive episodes (MDE) were associated with
substance abuse. METHODS: We utilized three different data sources based upon
probability samples collected in three different countries. The samples included
3,570 African Americans and 1,621 US Caribbean Black adults from the 2001-2003
National Survey of American Life (NSAL). An additional 1,142 Guyanese Blacks and
1,176 Jamaican Blacks living in the Caribbean region were included from the 2005
NSAL replication extension study, Family Connections Across Generations and
Nations (FCGN). Mental disorders were based upon DSM-IV criteria. For the
analysis, we used descriptive statistics, chi-square, and multivariate logistic
regression analytic procedures. RESULTS: Prevalence of substance abuse varied by
national context, with higher rates among Blacks within the United States
compared to the Caribbean region. Rates of substance abuse were lower overall for
women, but differ across cohorts by nativity and length of time in the United
States, and in association with major depressive episode. CONCLUSIONS: The study
highlights the need for further examination of how substance abuse disparities
between US-based and Caribbean-based populations may become manifested.
PMID- 27191865
TI - A Novel Capsulorhexis Technique in White Cataract Surgery.
PMID- 27191866
TI - Identifying 2'-O-methylationation sites by integrating nucleotide chemical
properties and nucleotide compositions.
AB - 2'-O-methylationation is an important post-transcriptional modification and plays
important roles in many biological processes. Although experimental technologies
have been proposed to detect 2'-O-methylationation sites, they are cost
ineffective. As complements to experimental techniques, computational methods
will facilitate the identification of 2'-O-methylationation sites. In the present
study, we proposed a support vector machine-based method to identify 2'-O
methylationation sites. In this method, RNA sequences were formulated by
nucleotide chemical properties and nucleotide compositions. In the jackknife
cross-validation test, the proposed method obtained an accuracy of 95.58% for
identifying 2'-O-methylationation sites in the human genome. Moreover, the model
was also validated by identifying 2'-O-methylation sites in the Mus musculus and
Saccharomyces cerevisiae genomes, and the obtained accuracies are also
satisfactory. These results indicate that the proposed method will become a
useful tool for the research on 2'-O-methylation.
PMID- 27191867
TI - Elevated Pertussis Reporting in Response to 2011-2012 Outbreak, New York City,
New York, USA.
PMID- 27191868
TI - Editorial.
PMID- 27191869
TI - The importance of scientific production for the political consolidation of
professional practice.
PMID- 27191870
TI - Otoacoustic emissions in newborns with mild and moderate perinatal hypoxia.
AB - Introduction Severe neonatal hypoxia (as evidenced by the Apgar value) is
currently considered the only risk for hearing loss. Hypoxia is one of the most
common causes of injury and cell death. The deprivation of oxygen in mild or
moderate cases of hypoxia, although smaller, occurs and could cause damage to the
auditory system. Objective To investigate the amplitude of otoacoustic emissions
in neonates at term with mild to moderate hypoxia and no risk for hearing loss.
Methods We evaluated 37 newborns, divided into two groups: a control group of 25
newborns without hypoxia and a study group of 12 newborns with mild to moderate
hypoxia. TEOAE and DPOAE were investigated in both groups. Results The
differences between groups were statistically significant in the amplitude of
DPOAE at the frequencies of 1000, 2800, 4000 and 6000 Hz. In TEOAE, statistically
significant differences were found in all tested frequency bands. OAE of the
study group were lower than those in the control group. Conclusion Although the
occurrence of mild and moderate neonatal hypoxia is not considered a risk factor
for hearing loss, deprivation of minimum oxygen during neonatal hypoxia seems to
interfere in the functioning of the outer hair cells and, consequently, alter the
response level of otoacoustic emissions. Thus, hese children need longitudinal
follow-up in order to identify the possible impact of these results on language
acquisition and future academic performance.
PMID- 27191871
TI - Evaluation of the hearing protector in a real work situation using the field
microphone-in-real-ear method.
AB - Purpose To evaluate the effectiveness of the attenuation of a hearing protector
(HP) in a real work situation using the field-microphone-in-real-ear method (f
MIRE). Methods Eighteen individuals of both genders (mean age of 47.17+/-8 years)
participated in this study. In the workplace, the personal attenuation level of
the HP was assessed using the f-MIRE method, followed by orientation about the
importance of using the HP, cleaning and storing the device, and training for
effective placement. Results The analyses showed a significant statistic
attenuation for all of the collected data (total noise, by frequency band and
dose) when the noise levels in the lapel microphone and the probe microphone were
compared. In the comparison of the attenuation values provided by the
manufacturer and those found in this study, we observed higher values for the
manufacturer in all frequency bands. No difference was observed for the noise
levels in the different activities and times evaluated. Conclusion The findings
of this study enabled us to know the personal level of attenuation of the HP
during a real work situation, which was within the limits of tolerance. It was
also possible to collect information about the environmental noise to which these
workers are exposed. We noticed situations where this level exceeded the safety
values, and therefore it is recommended the use of the HP. It is important that
more studies are conducted using the f-MIRE method, because it may be an ally to
assess the effectiveness of the HP attenuation in the workplace.
PMID- 27191872
TI - Quality of life in adult cochlear implant users.
AB - Objective To evaluate the quality of life (QOL) of adult cochlear implant users
(CI) and compare it with the QOL of adults with normal hearing; and study the
influence of the variables socioeconomic status, education, age at assessment,
auditory sensory deprivation time, device usage time and performance in auditory
speech perception tests in the QOL of adult cochlear implant users. Design The
QOL was assessed using the World Health Organization Quality of Life (WHOQOL
BREF) generic assessment questionnaire. Study sample Seventy adult CI users
formed the experimental group (EG) and 50 adults with normal hearing formed the
control group (CG). Results The EG scores were close to the maximum score in
satisfactory quality of life for all domains of the WHOQOL-BREF and there were
similar results between the EG and CG. The variables age at assessment, duration
of auditory sensory deprivation, duration of CI use and performance in auditory
speech perception did not influence the results of the QOL of adult cochlear
implant users. Conclusion Evaluating the QOL should be a concern of
interdisciplinary teams in CI for an intervention with humanized care.
PMID- 27191873
TI - The effectiveness of the head-turn-plus-chin-down maneuver for eliminating
vallecular residue.
AB - Purpose When swallowing efficiency is impaired, residue accumulates in the
pharynx. Cued or spontaneous swallows in the head neutral position do not always
successfully clear residue. We investigated the impact of a novel maneuver on
residue clearance by combining a head turn with the chin down posture. Methods
Data were collected from 26 participants who demonstrated persistent vallecular
residue after an initial head neutral clearance swallow in videofluoroscopy.
Participants were cued to perform a head-turn-plus-chin-down swallow, with the
direction of head turn randomized. Pixel-based measures of residue in the
vallecular space before and after the maneuver were made on still frame lateral
images using ImageJ software. Measures of % full and the Normalized Residue Ratio
Scale (NRRS) were extracted. Univariate analyses of variance were used to detect
significant reductions in residue. Results On average, pre-maneuver measures
showed residue filling 56-73% of the valleculae, depending on stimulus
consistency (NRRS scores: 0.2-0.4). More than 80% of pre-swallow measures
displayed NRRS ratios > 0.06, a threshold previously linked to increased risk of
post-swallow aspiration. Conclusion The head-turn-plus-chin-down maneuver
achieved significant reductions in residue for thin and nectar-thick fluids,
suggesting that this maneuver can be effective in reducing persistent vallecular
residue with these consistencies.
PMID- 27191874
TI - Linguistic performance in 2 years old preterm, considering chronological age and
corrected age.
AB - Introduction Preterm birth causes problems that are not restricted to perinatal
mortality. Some premature, even in the absence of brain damage, have negative
effects on various aspects of development, such as language difficulties.
Objective This study aimed to verify the linguistic performance of preterm
children at 2 years old, considering the chronological age and corrected age.
Methods The study included 23 preterm children and applied the Test of Early
Language Development- TELD-3 to assess the language skills. Results The premature
children showed the linguistic performance alterations in Teld-3 in 39.13% of
cases. They were also analyzed considering the delay to the chronological and
corrected ages and there was no difference in performance for both receptive
subtests (p = 0.250) and significant (p = 1.000). Conclusion The group of
premature children at 2 years is a population at risk for language disorders that
cannot be compensated with age correction.
PMID- 27191876
TI - International Classification of Functioning, Disability and Health, and aphasia:
a study of social participation.
AB - Purpose To identify and analyze the impact of aphasia on social participation and
daily activities of people affected by this disease, as well as to understand
implications of environmental factors on limitations and restrictions of
participation according to the criteria established by the International
Classification of Functioning, Disability and Health. Method Data presented and
discussed were collected from 12 people of both sexes with aphasia participating
in an Interdisciplinary Group for Coexistence. Data were collected between
October 2011 and March 2013 and analyzed based on the International
Classification of Functioning, Disability and Health checklist. Results All
subjects had restrictions on performing and participating in their daily
activities, either by biological, environmental or socio-cultural factors.
Conclusions The restriction in participation was the most evident, mostly due to
environmental factors than sequels resulting from the brain injury.
PMID- 27191875
TI - Spelling performance of students with developmental dyslexia and with
developmental dyslexia associated to attention deficit disorder and
hyperactivity.
AB - Purpose to analyze and classify the spelling performance according to the
semiology of spelling error of children with developmental dyslexia (DD) and with
developmental dyslexia associated to attention deficit disorder and
hyperactivity(DD and ADHD) comparing them to a group of children without learning
process complaints. Methods Seventy students, from the third to fifth grade,
participated in this study divided as follows: 32 children without complaints of
learning difficulties (GI), mean age 9.5 years; 22 students with developmental
dyslexia (GII), mean age 10 years; 16 scholars with developmental dyslexia
associated to attention deficit disorders and hyperactivity (GIII), mean age 9.9.
Spelling skills were assessed through a standardized word dictation task. Results
Data indicated that GII and GIII children presented lower performance when
compared with typically developed children. There was no statistical difference
between the performance of GII and GIII children regarding the score reached in
spelling, although GIII children presented the lowest performance. We observed
differences between GII and GIII only in the type of misspelling. Conclusion Data
from this research contribute to develop better programs for intervention in the
studied population.
PMID- 27191877
TI - The influence of listener training on the perceptual assessment of hypernasality.
AB - Introduction A high agreement in the perceptual assessment of hypernasality among
different listeners is difficult to achieve. Prior listener training and the
standardization of analysis criteria may be effective strategies to decrease the
effect of perceptual assessment subjectivity and increase the agreement among
listeners. Objective To investigate the influence of prior training on agreement
among different listeners in the perceptual assessment of hypernasality. Methods
Three experienced speech-language pathologists analyzed 77 audio-recorded speech
samples of individuals with repaired cleft palate. During the first phase, the
listeners classified hypernasality according to their own criteria, using a 4
point scale. Seventy days later, they were required to complete the training to
define the stimuli to be used as anchors for the assessment in the following
phase. During the second phase, the listeners analyzed the same samples and rated
hypernasality in a 4-point scale, using the anchors defined during training as
the criteria. Intra- and interrater agreement in both the phases were calculated
by the kappa coefficient. These values were statistically compared using the Z
test. Results The intrarater agreement obtained between the two phases of the
study ranged from 0.38 to 0.92, with a statistically significant difference for
one of the listeners (p=0.004). The agreement for the hypernasality degree
obtained among the three listeners after training (0.54) was significantly higher
than that obtained before training (0.37; p=0.044). Conclusion Listener training
and the definition of criteria to rate hypernasality lead to the increase of
intra- and interrater agreement.
PMID- 27191878
TI - Validity and reliability of the quality of masticatory function questionnaire
applied in Brazilian adolescents.
AB - Purpose proper chewing and swallowing functions have great importance in general
health, since it potentially affects food's digestion and the subject's
nutritional status. The aim was to assess the validity and reliability of the
self-applied Quality of Masticatory Function Questionnaire (QMFQ) in a
convenience (non-referred) sample of Brazilian adolescents divided into three
groups: control (n=57), dental caries (n=51) and malocclusion (n=54). Methods
caries and malocclusion were evaluated using DMF-S index (number of decayed,
missing and filled primary and permanent surfaces) and Index of Orthodontic
Treatment Need, respectively. The QMFQ comprises 26 items regarding frequency and
intensity of chewing problems with five domains: Food-Mastication, Habits, Meat,
Fruits and Vegetables. Data were submitted to descriptive statistics, Kolmogorov
Smirnov and Chi-square tests. Psychometric evaluation included measures of
reliability (internal consistency - Cronbach's alpha and matrix of correlation)
and discriminant validity (Kruskal-Wallis/Dunn post-test). Results the instrument
showed satisfactory internal consistency, with significant positive correlations
between the domains scores except between Habits and Vegetables. Total scale
Cronbach's alpha coefficient was 0.87 and the coefficient did not increase
significantly with the removal of each domain. Significant differences were found
between controls and caries group in Food-Mastication, Meat and Fruits scores.
Caries group also showed higher median values in Food-Mastication and Fruits than
the malocclusion group. Conclusion the Quality of Masticatory Function
Questionnaire showed acceptable properties regarding internal consistency,
reliability, and discriminant validity in evaluating the impact of caries on the
perception of the masticatory function quality of Brazilian adolescents.
PMID- 27191879
TI - Effect of time of contraction and rest on the masseter and anterior temporal
muscles activity in subjects with temporomandibular disorder.
AB - Purpose The main objective of this study was to investigate the effect of time of
contraction and rest on the masseter and temporal muscles activity. Methods 49
female subjects between 18 and 30 years of age were divided into TMD (n: 26) and
control groups (n: 23). Surface electromyograph was used to evaluate the anterior
temporal and masseter muscles during contraction and rest protocols. The root
means square, median frequency and slope coefficient of the linear regression
line parameters were analyzed. Results A significant effect of time in the
contraction and rest muscle protocols was found. TMD patients showed a
significant decrease in median frequency in the right masseter muscle and the
slope coefficient in the right temporal muscle during the contraction protocol to
control subjects. Conclusion Despite the TMD patients presented with higher
fatigue susceptibility compared to the control group, both groups must meet the
maximum time of 5 s of maximum voluntary contraction and at least 30 s rest
between successive contractions of masticatory muscles during clinical or
research assessment protocols.
PMID- 27191880
TI - Auditory-perceptual evaluation of rough and breathy voices: correspondence
between analogical visual and numerical scale.
AB - PURPOSE: To determine the cut-off values of different degrees of vocal roughness
and breathiness in an analogical visual scale, from a numerical scale. METHODS:
We selected 150 voices from both genders, with quality ranging from neutrality to
intense deviations, and predominance of breathiness or roughness. The auditory
perceptual assessment through two scales: 100-mm analogical visual scales and 4
point numerical scale. Intra and interrater reliability of auditory-perceptual
ratings was analyzed using the Intraclass Correlation Coefficient. The cut-off
values for graduation of roughness and breathiness voices were determinate using
the analysis of the Receiver Operating Characteristic (ROC) curve, which is based
on the sensitivity, specificity and efficiency. RESULTS: The intra and interrater
reliability of auditory-perceptual ratings was excellent on both scales. The cut
off values (mm) in the analogical visual scale, from the numeric scale, indicated
a roughness distribution: 0-8.5 absence of the parameter; 8.5- 28.5 mild
presence; 28.5- 59.5 moderate and 59.5- 100 intense. For breathiness, the
distribution was: 0-8.5 absence of the parameter; 8.5-33.5 for mild presence;
33.5-52.5 moderate and 52.5-100 intense. CONCLUSION: The cut-off values found for
the analogical visual scale conclude that the numerical scale zero, which
represents the absence of parameter, corresponds to a small range of scores in
the analogical visual scale. Furthermore, the third degree of the numerical scale
corresponded to a wide range of the analogical visual scale.
PMID- 27191881
TI - Teacher's voice: vocal tract discomfort symptoms, vocal intensity and noise in
the classroom.
AB - OBJECTIVE: To identify a possible correlation between teachers vocal intensity
and the noise in the classroom, as well as between vocal intensity and the
symptoms of vocal tract discomfort before and after classes. METHODS: 27
Elementary School I teachers participated in the study. We used the
questionnaires "Vocal Production Condition of the Teacher" and "Vocal Tract
Discomfort Scale - VTD" which were applied before and after the class. A properly
calibrated noise meter was used for measuring noise in the classroom and the
teachers' vocal intensity. RESULTS: There was a moderate positive correlation
between vocal intensity and noise and also a significant difference between the
VTD scale and the teachers with and without vocal complaint before and after
classes. When compared separately on both occasions, there was an increase in the
group's scores for both groups and with and without complaints. We found
association of the vocal tract symptoms before and after classes, frequency of
burning, itching, sore throat and sensitive throat were observed. The intensity
of symptoms was significant for sore throat, itching and feeling of lump in the
throat. We observed significant values of vocal intensity and frequency and
intensity of symptoms for sensitive throat and lump in the throat before the
class, and sore throat and lump in the throat after the. CONCLUSION: The increase
in teacher's vocal intensity correlates to high noise levels in the classroom.
The evidence suggests correlation between vocal intensity and discomfort of the
vocal tract, with most of the symptoms reported in greater frequency and
intensity after the class.
PMID- 27191882
TI - Voice telerehabilitation in Parkinson's disease.
AB - Parkinson's disease (PD) is a neurodegenerative condition associated with motor,
neuropsychological, sensorial, and vocal symptoms. It has been suggested that
eventual obstacles faced by many patients to reach speech therapy rehabilitation
centers could be overcome with the use of synchronous telerehabilitation (real
time) approach employing communication technologies. PURPOSE: To investigate the
efficacy of vocal telerehabilitation in PD patients. METHODS: Twenty patients
diagnosed with PD and with vocal complaints participated in this study. Patients
were evaluated by videoconference (Adobe Connect 8) before and after treatment.
Evaluation method consisted of perceptual analysis of vocal quality measured by
the GRBASI scale. Treatment was conducted following the extended version of Lee
Silverman method (LSVT-X). At the end of treatment all patients were requested to
fill a questionnaire to assess their experience with telerehabilitation. RESULTS:
Analysis revealed decrease in magnitude of voice quality changes after the
intervention, indicating improvement of vocal pattern. All patients reported
satisfaction and preference for telerehabilitation compared to face-to-face
rehabilitation, as well as positive perception of audio and video. Some
technological adversities have been identified but did not prevent the approaches
to assessment and treatment. CONCLUSION: Present results suggest that
telerehabilitation methods can be considered as an effective treatment for speech
symptoms associated with PD and can be indicated to patients presenting limited
access to speech therapy centers and technological readiness.
PMID- 27191883
TI - Interference of conventional and orthodontic nipples in system stomatognatic:
systematic review.
AB - PURPOSE: Check if the type of nozzle, orthodontic or conventional, of pacifier
and bottle have any influence on the changes found in the stomatognathic system
caused by the maintenance of the sucking habit. Research Strategies: Through a
systematic literature review with meta-analysis, performed from the databases
Lilacs, Medline and Embase and Scholar Google, with the following key words in
Portuguese and English: "malocclusion" + "Pacifiers "; "Malocclusion" + "Bottle
Feeding"; "Malocclusion" + "Bottle feeding" beyond words "Orthodontic Beak" +
"Conventional Beak". SELECTION CRITERIA: We included studies that presented in
their methods to compare groups who used pacifiers and/or bottle with
conventional nozzle with groups using orthodontic nipple without temporal
delimitation. DATA ANALYSIS: The analysis of the article in its entirety was
performed systematically, ordering the relevant results in the following
categories: objective, method-case studies and evaluation, results, and
conclusion. RESULTS: Found 1,041 jobs, from the period 1969 to 2013, 848 jobs
were excluded based on the exclusion criteria and another 174 that were
repetitions. A total of 19 articles were read in full of which 4 articles met the
proposed inclusion criteria, and three studies were included in the meta
analysis. These results show that there are no significant differences between
the orthodontic and conventional nozzles on the implications of the
stomatognathic system. CONCLUSION: There is no way to conclude that there are
differences as to the consequences to the stomatognathic system caused by
conventional nozzles and orthodontic pacifier/bottle.
PMID- 27191884
TI - Vocal dose in teachers: correlation with dysphonia.
AB - Teachers are professionals with high prevalence of dysphonia, whose main risk
factors are the large work hours in classrooms with the presence of background
noise. The purpose of the study was to calculate the phonation time and the cycle
dose of teachers with dysphonia and teachers without voice disorders during the
class. There were two groups analyzed: five teachers with functional dysphonia
were the first group and five teachers without voice disorders were the second
group. For the data was used the VoxLog(r) dosimeter and the parameters were:
intensity; fundamental frequency; phonation time and cycle dose. The statistical
analysis used ANOVA, Student's T-test, and Kruskal-Wallis test. Dysphonic
teachers showed major values of phonation time and cycle dose compared with
teachers without voice disorders. The dysphonia is related to extended period of
speech time and greater exposure of the tissue of the vocal fold to phonotrauma.
PMID- 27191885
TI - Jaw movement in people with Parkinson's Disease.
AB - This study aimed to characterize the amplitude and speed of isolated jaw
movements and chewing using electrognathography in a volunteer and to compare
these data with those of two other Parkinson Disease (PD) subjects,
differentiated by the motor characteristics. METHOD: The 3 participants were
divided into three categories: one with 1 non-PD volunteer, a second category
with 1 volunteer characterized by Parkinson's hypokinesia, and a third with 1
volunteer characterized by Parkinson's tremor. RESULTS: There were differences
among the three groups; however the most significant was between the non-PD and
the PD-rigidity, in the amplitude and speed when performing the jaw movements and
chewing. Factors related to the adaptive and compensatory processes derived from
rigidity process seemed to better explain the observed changes among the PD
groups.
PMID- 27191886
TI - Characteristics of young lung cancer: Analysis of Taiwan's nationwide lung cancer
registry focusing on epidermal growth factor receptor mutation and smoking
status.
AB - Lung cancer is relatively rare in young patients as the median age at diagnosis
is 65-70 years. The main objective of this nationwide study was to investigate
the characteristics of young lung cancer in Taiwan, especially the relationships
among smoking behavior, epidermal growth factor receptor (EGFR) mutation, and
age. The National Taiwan Lung Cancer Registry, a database contain detailed cancer
statistics, was analyzed in this study for the period 2011-2012. Young lung
cancer was defined as age a?| 45 years. There were 21,536 lung cancer patients
(13,187 men and 8349 women). Among these patients, 1074 (5.0%) were in the
younger group, and 20,462 patients (95.0%) were in the older group. Female gender
(48.8% versus 38.2%, P < 0.001), never-smokers (47.3% versus 43.8%, P = 0.015),
and adenocarcinoma (70.4% versus 58.1%, P < 0.001) were more frequent in the
younger group. While the EGFR mutation rate was lower in the younger group (52.5%
versus 60.6%, P = 0.001), the primary site of lung cancer and stage distribution
were not significantly different. If only adenocarcinoma patients were included
in the analysis, female gender, older age, and never-smokers were more likely to
have EGFR mutation. In conclusion, lung cancer in young patients (a?| 45 year
old) was associated with unique characteristics, with greater percentages of
female patients, adenocarcinoma, and never-smokers and a lower EGFR mutation rate
compared with older patients.
PMID- 27191887
TI - Circulating AR copy number and outcome to enzalutamide in docetaxel-treated
metastatic castration-resistant prostate cancer.
AB - In the present study, we aimed to evaluate the association of circulating AR copy
number (CN) and outcome in a cohort of patients with advanced castration
resistant prostate cancer (CRPC) treated with enzalutamide after docetaxel. Fifty
nine CRPC patients were evaluated. AR CN was analyzed with real-time and digital
PCR in the serum collected at starting of treatment. Progressive disease was
defined on the basis of Prostate Cancer Working Group 2 criteria. AR CN gain was
found in 21 of 59 (36%) patients. Median baseline PSA, alkaline phosphatase and
lactate dehydrogenase levels were higher in the AR CN gained group (p = 0.007, p
= 0.003, p = 0.0009, respectively). Median PFS of patients with AR CN gain was
2.4 (95%CI: 1.9-3.2) vs. 4.0 months (95%CI: 3.0-6.5) of those with no gain (p =
0.0004). Median OS of patients with AR CN gain was 6.1 (95%CI: 3.4-8.6) vs. 14.1
months (95%CI: 8.2-20.5) of those with no gain (p = 0.0003). At multivariate
analysis, PSA decline >= 50% and AR CN showed a significant association with PFS
(p = 0.008 and p = 0.002, respectively) and OS (p = 0.009 and p = 0.001,
respectively). These findings indicate that the detection of circulating AR CN
gain is a promising non-invasive biomarker for outcome prediction to enzalutamide
treatment in CRPC patients.
PMID- 27191888
TI - Long non-coding RNA metastasis associated in lung adenocarcinoma transcript 1
(MALAT1) interacts with estrogen receptor and predicted poor survival in breast
cancer.
AB - Metastasis associated in lung adenocarcinoma transcript 1 (MALAT1), a lncRNA that
was first recognized as a prognostic parameter for patient survival of stage I
lung cancer, is up-regulated in multiple human malignancies, including breast
cancer. However, the mechanism of its function remained elusive. In the current
study, by examining MALAT1 expression on mRNA level, we demonstrated that
compared with MCF10A, MALAT1 expression was up-regulated in the majority of
breast cancer cell lines (9/12). In 26 pairs of estrogen receptor (ER)-positive
breast cancer samples, MALAT1 expression was significantly up-regulated compared
with adjacent normal tissues (P = 0.012). Furthermore, of 204 breast cancer
patients, high MALAT1 expression was associated with positive ER (P = 0.023) and
progesterone receptor (PR) (P = 0.024) status. Further analysis using TCGA
database revealed that ER and its target genes PGR and CCND1, were overexpressed
in MALAT1 altered group compared with unaltered group, both on the mRNA and
protein level. Lastly, we verified MALAT1's prognostic value in breast cancer. At
the cut-off value of 75%, MALAT1 was the only independent prognostic factor of
recurrence-free survival (RFS) in ER-negative patients in a multivariate Cox
regression model (hazard ratio [HR] = 2.83, 95% confidence interval [CI] 1.02
7.83). MALAT1 overexpression was also associated with poor RFS in tamoxifen
treated ER-positive breast cancer patients, which might serve as a potential
biomarker to predict endocrine treatment sensitivity.
PMID- 27191889
TI - Bi-directional roles of IRF-1 on autophagy diminish its prognostic value as
compared with Ki67 in liver transplantation for hepatocellular carcinoma.
AB - The prognostic values of IRF-1 and Ki-67 for liver transplantation (LT) of
hepatocellular carcinoma (HCC) were investigated, as well as the mechanisms of
IRF-1 in tumor suppression. Adult orthotropic liver transplantation cases (N =
127) were involved in the analysis. A significant decreased recurrence free
survival (RFS) was found in the Ki-67 positive groups. Ki-67, tumor microemboli,
the Milan and UCSF criteria were found to be independent risk factors for RFS. In
LT for HCC beyond the Milan criteria, a significant decrease in RFS was found in
the IRF-1 negative groups. In SK-Hep1 cells, an increase in apoptosis and
decrease in autophagy were observed after IFN-gamma stimulation, which was
accompanied with increasing IRF-1 levels. When IRF-1 siRNA or a caspase inhibitor
were used, reductions in LC3-II were diminished or disappeared after IFN-gamma
stimulation, suggesting that IFN-gamma inhibited autophagy via IRF-1 expression
and caspase activation. However, after IRF-1 siRNA was introduced, a reduction in
LC3-II was found. Thus basic expression of IRF-1 was also necessary for
autophagy. IRF-1 may be used as a potential target for HCC treatment based on its
capacity to affect apoptosis and autophagy. Ki-67 shows great promise for the
prediction of HCC recurrence in LT and can be used as an aid in the selection of
LT candidates.
PMID- 27191890
TI - Molecular factors in migraine.
AB - Migraine is a common neurological disorder that affects 11% of adults worldwide.
This disease most likely has a neurovascular origin. Migraine with aura (MA) and
more common form - migraine without aura (MO) - are the two main clinical
subtypes of disease. The exact pathomechanism of migraine is still unknown, but
it is thought that both genetic and environmental factors are involved in this
pathological process. The first genetic studies of migraine were focused on the
rare subtype of MA: familial hemiplegic migraine (FHM). The genes analysed in
familial and sporadic migraine are: MTHFR, KCNK18, HCRTR1, SLC6A4, STX1A, GRIA1
and GRIA3. It is possible that migraine is a multifactorial disease with
polygenic influence.Recent studies have shown that the pathomechanisms of
migraine involves both factors responsible for immune response and oxidative
stress such as: cytokines, tyrosine metabolism, homocysteine; and factors
associated with pain transmission and emotions e.g.: serotonin, hypocretin-1,
calcitonin gene-related peptide, glutamate. The correlations between genetic
variants of the HCRTR1 gene, the polymorphism 5-HTTLPR and hypocretin-1, and
serotonin were observed. It is known that serotonin inhibits the activity of
hypocretin neurons and may affect the appearance of the aura during migraine
attack.The understanding of the molecular mechanisms of migraine, including
genotype-phenotype correlations, may contribute to finding markers important for
the diagnosis and treatment of this disease.
PMID- 27191892
TI - Distinctive expression patterns of hypoxia-inducible factor-1alpha and
endothelial nitric oxide synthase following hypergravity exposure.
AB - This study was designed to examine the expression of hypoxia-inducible factor
1alpha (HIF-1alpha) and the level and activity of endothelial nitric oxide
synthase (eNOS) in the hearts and livers of mice exposed to hypergravity.
Hypergravity-induced hypoxia and the subsequent post-exposure reoxygenation
significantly increased cardiac HIF-1alpha levels. Furthermore, the levels and
activity of cardiac eNOS also showed significant increase immediately following
hypergravity exposure and during the reoxygenation period. In contrast, the
expression of phosphorylated Akt (p-Akt) and phosphorylated extracellular signal
regulated kinase (p-ERK) showed significant elevation only during the
reoxygenation period. These data raise the possibility that the increase in
cardiac HIF-1alpha expression induced by reoxygenation involves a cascade of
signaling events, including activation of the Akt and ERK pathways. In the liver,
HIF-1alpha expression was significantly increased immediately after hypergravity
exposure, indicating that hypergravity exposure to causes hepatocellular hypoxia.
The hypergravity-exposed livers showed significantly higher eNOS immunoreactivity
than did those of control mice. Consistent with these results, significant
increases in eNOS activity and nitrate/nitrite levels were also observed. These
findings suggest that hypergravity-induced hypoxia plays a significant role in
the upregulation of hepatic eNOS.
PMID- 27191891
TI - SETD2: an epigenetic modifier with tumor suppressor functionality.
AB - In the past decade important progress has been made in our understanding of the
epigenetic regulatory machinery. It has become clear that genetic aberrations in
multiple epigenetic modifier proteins are associated with various types of
cancer. Moreover, targeting the epigenome has emerged as a novel tool to treat
cancer patients. Recently, the first drugs have been reported that specifically
target SETD2-negative tumors. In this review we discuss the studies on the
associated protein, Set domain containing 2 (SETD2), a histone modifier for which
mutations have only recently been associated with cancer development. Our review
starts with the structural characteristics of SETD2 and extends to its
corresponding function by combining studies on SETD2 function in yeast,
Drosophila, Caenorhabditis elegans, mice, and humans. SETD2 is now generally
known as the single human gene responsible for trimethylation of lysine 36 of
Histone H3 (H3K36). H3K36me3 readers that recruit protein complexes to carry out
specific processes, including transcription elongation, RNA processing, and DNA
repair, determine the impact of this histone modification. Finally, we describe
the prevalence of SETD2-inactivating mutations in cancer, with the highest
frequency in clear cell Renal Cell Cancer, and explore how SETD2-inactivation
might contribute to tumor development.
PMID- 27191893
TI - Paclitaxel is necessary for improved survival in epithelial ovarian cancers with
homologous recombination gene mutations.
AB - PURPOSE: To investigate the impact of somatic mutations in homologous
recombination (HR) genes on the chemotherapeutic response and survival of
patients with epithelial ovarian cancer (EOC). EXPERIMENTAL DESIGN: We performed
targeted massively parallel sequencing of tumor DNA from 158 patients with EOC.
We associated adjuvant chemotherapy and clinical outcome with mutations in
selected genes, focusing on those encoding HR proteins. RESULTS: HR mutations
were found in 47 (30%) tumors. We did not detect an overall survival (OS)
difference in advanced stage patients whose tumors had HR mutations compared to
those without (median OS of 49.6 months (95% CI 29.9-57.7) vs. 43.3 months (95%
CI 31.9-75.47), p = 0.87). However, when stratified by chemotherapy regimen,
patients whose tumors had TP53 and HR mutations demonstrated a marked survival
advantage when treated with platinum and paclitaxel vs. platinum +/-
cyclophosphamide (median OS of 90 months (95% CI 50-NA) vs. 29.5 months (95% CI
17.7-50.5), p = 0.0005). CONCLUSIONS: Previous studies demonstrating a survival
advantage for EOC patients with somatic HR mutations have been conducted with
almost universal use of both platinum and paclitaxel. Our study is the first to
our knowledge to compare cohorts with somatic HR gene mutations treated with and
without paclitaxel containing platinum regimens. The survival benefit attributed
to the platinum sensitivity of HR deficient ovarian cancers may depend upon the
combined use of paclitaxel.
PMID- 27191894
TI - Simultaneous multitarget radiotherapy using helical tomotherapy and its
combination with sorafenib for pulmonary metastases from hepatocellular
carcinoma.
AB - We evaluated radiotherapy using helical tomotherapy (HT) combined with sorafenib
for treatment of pulmonary metastases from hepatocellular carcinoma (HCC). We
also analyzed potential prognostic factors and further validated the combination
treatment. The objective response rate in the total cohort of 45 patients treated
with HT (with or without sorafenib) was 66.7% (complete response, n = 1; partial
response, n = 29), with no adverse events > grade 2 in severity. Median
progression-free survival (PFS) and overall survival (OS) were 7.50 +/- 0.53 and
26.40 +/- 2.66 months, respectively. The addition of sorafenib was associated
with increased PFS (11.80 +/- 1.55 vs 5.80 +/- 0.52 months, p = 0.006) and
increased OS (29.60 +/- 5.23 vs 21.90 +/- 5.17 months, p = 0.007). After
multivariate adjustment, the risk of disease progression associated with
combination treatment was significantly lower (p = 0.022) compared with HT only,
and survival was significantly longer (p = 0.014). Further validation confirmed
the benefit of combination treatment. Prognostic factors were number of pulmonary
metastases for PFS (19.00 +/- 7.15 months for <=3 lesions vs 5.80 +/- 0.26 months
for >3 lesions, p < 0.001) and intrahepatic tumor status for OS (28.50 +/- 2.76
months for well-controlled tumors vs 15.60 +/- 6.38 months for uncontrolled
tumors, p = 0.011). In conclusion, radiotherapy with HT for pulmonary metastases
is feasible without major complications, and its combination with sorafenib may
be a promising approach in a subgroup of patients.
PMID- 27191896
TI - Binding Strength of Nucleobases and Nucleosides on Silver Nanoparticles Probed by
a Colorimetric Method.
AB - Because of their unique and tunable properties, oligonucleotide-functionalized
noble metal nanoparticles have provided a versatile platform for various
engineering and biomedical applications. The vast majority of such applications
were demonstrated with gold nanoparticles (AuNPs) while only a few were
demonstrated with sliver nanoparticles (AgNPs). This is largely due to the lack
of robust protocols to functionalize AgNPs with thiol-modified oligonucleotides.
Previous studies have revealed strong interactions between nucleobases and AgNPs.
This could enable an alternative way to functionalize AgNPs with non-thiolated
oligonucleotides. However, there is no quantitative study on the interaction
strengths between AgNPs and oligonucleotides. Several methods have been used for
quantitative evaluation of the interaction strengths between AuNPs and
oligonucleotides. These methods often require specialized equipment that might
not be widely accessible or rely on labor-intensive procedures to obtain the
adsorption isotherms. Herein, we developed a colorimetric method, as a simple and
high-throughput alternative of existing methods, to quantify the binding strength
between AgNPs and nucleobases/nucleosides. In this colorimetric method,
concentration-dependent destabilizing effects of nucleobase/nucleoside adsorption
on AgNPs are utilized to indirectly quantify the amount of
nucleobases/nucleosides adsorbed on AgNPs, thus deriving the binding strength
between AgNPs and nucleobases/nucleosides. First, the concentration-dependent
AgNP aggregation kinetics in the presence of nucleobases/nucleosides were
systematically investigated. Then, this colorimetric method was used to determine
the binding strengths between AgNPs and various DNA/RNA nucleobases/nucleosides.
It was found that the ranking of interaction strengths between AgNPs and DNA/RNA
nucleosides (dC < dT < dA, rC < rU < rA) is generally agreed with that between
AgNPs and corresponding nucleobases (C < T < U < A). This suggests that DNA/RNA
nucleosides interact with AgNPs mainly via the constituent nucleobases. It was
also revealed that interactions of AgNPs with DNA/RNA nucleosides are
significantly weaker than that with corresponding nucleobases. This implies that
deoxyribose/ribose might sterically inhibit the interactions between nucleobases
and AuNPs.
PMID- 27191895
TI - A novel TRPV4-specific agonist inhibits monocyte adhesion and atherosclerosis.
AB - TRPV4 ion channel mediates vascular mechanosensitivity and vasodilation. Here, we
sought to explore whether non-mechanical activation of TRPV4 could limit vascular
inflammation and atherosclerosis. We found that GSK1016790A, a potent and
specific small-molecule agonist of TRPV4, induces the phosphorylation and
activation of eNOS partially through the AMPK pathway. Moreover, GSK1016790A
inhibited TNF-alpha-induced monocyte adhesion to human endothelial cells. Mice
given GSK1016790A showed increased phosphorylation of eNOS and AMPK in the aorta
and decreased leukocyte adhesion to TNF-alpha-inflamed endothelium. Importantly,
oral administration of GSK1016790A reduced atherosclerotic plaque formation in
ApoE deficient mice fed a Western-type diet. Together, the present study suggests
that pharmacological activation of TRPV4 may serve as a potential therapeutic
approach to treat atherosclerosis.
PMID- 27191901
TI - Doctor to Doctor.
PMID- 27191897
TI - The effects of the 5-HT2A receptor antagonist sarpogrelate hydrochloride on
chronic hypoxic pulmonary hypertension in rats.
AB - PURPOSE OF THE STUDY: This study investigated whether sarpogrelate hydrochloride
(SPG), a 5-HT2A receptor antagonist, alleviates chronic hypoxic pulmonary
hypertension (CH-PH) in rats by stimulating apoptosis and inhibiting
proliferation in pulmonary artery smooth muscle cells (PASMCs). MATERIALS AND
METHODS: Forty male Sprague-Dawley rats were pretreated with SPG (50 mg/kg/day by
oral gavage) or saline vehicle and then subjected to chronic hypoxia (CH)
(hypobaric chamber set to 380 mmHg, 10% oxygen) or normoxia for 14 days. Mean
pulmonary artery pressure (PAP) and right ventricular hypertrophy (RVH) were
measured. Hypertensive pulmonary vascular remodeling was assayed by light
microscopy. Terminal deoxynucletidyl transferase dUTP nick end ligase (TUNEL)
assays, western blotting, and real-time polymerase chain reaction were used to
assess apoptosis, proliferation and underlying signaling pathways in PASMCs from
lung tissue and isolated pulmonary artery rings. RESULTS: CH increased mean PAP
and RVH. CH increased the percentage of muscularized arteries in the peripheral
pulmonary vasculature and medial wall thickness in small muscular arteries. CH
increased pulmonary protein and mRNA levels of the B-cell lymphoma protein 2 (Bcl
2), pyruvate dehydrogenase kinase (PDK), phosphorylation of extracellular signal
regulated kinases 1 and 2 (pERK1/2), cyclin D1, proliferating cell nuclear
antigen (PCNA) and decreased protein and mRNA levels of Bcl-2-associated X
protein (BAX), cleaved caspase-3. Pretreatment with SPG, which has been shown
previously to inhibit ERK1/2 phosphorylation and PDK, countered all of these
effects. Isolated pulmonary artery rings incubated with 5-HT increased pERK1/2,
PDK, and Bcl-2 expression, and decreased Bax expression. CONCLUSION:
Administration of SPG ameliorated the development of CH-PH by stimulating
apoptosis in and inhibiting proliferation of PASMCs.
PMID- 27191902
TI - Checks and Balances.
PMID- 27191904
TI - Bernstein's hug.
PMID- 27191903
TI - The Medical Bookshelf.
PMID- 27191905
TI - Chronic lung disease.
PMID- 27191907
TI - Primary Care Digest.
PMID- 27191906
TI - Prevention of emphysema.
PMID- 27191909
TI - Emphysema.
PMID- 27191911
TI - Emperipolesis in eosinophilic granuloma of the skull.
PMID- 27191910
TI - Resveratrol-Loaded Liquid-Crystalline System Inhibits UVB-Induced Skin
Inflammation and Oxidative Stress in Mice.
AB - Evidence shows beneficial effects of resveratrol (RES) on human health. However,
its poor aqueous solubility limits therapeutic effectiveness. Thus, the use of
nanostructured delivery systems for RES, such as a liquid-crystalline system
(LCS), could be viable. The purpose of this study was to develop, characterize,
and determine the in vivo effectiveness of a RES-loaded LCS. We studied an LCS
containing silicon glycol copolymer, polyether functional siloxane, and the
polymeric dispersion carbomer homopolymer type B (C974) in the ratio 20:55:25
with and without RES. Results obtained using polarized light microscopy, small
angle X-ray scattering, and rheology analysis showed that the RES-loaded LCS
system presents a lamellar structure and behaves as a non-Newtonian fluid
presenting pseudoplastic (the apparent viscosity decreases as the stress
increases) and thixotropic (the apparent viscosity decreases with the duration of
stress) behaviors. Cytotoxicity studies showed that the formulation components
are noncytotoxic. Topical application of a RES-loaded LCS protected hairless mice
from UVB-irradiation-induced skin damage by inhibiting edema, neutrophil
recruitment, lipid hydroperoxide and superoxide anion production, gp91phox mRNA
expression, and oxidative stress. The RES-loaded LCS maintained 2,2'-azinobis(3
ethylbenzothiazoline-6-sulfonic acid) (ABTS) and ferric reducing abilities,
catalase activity, reduced glutathione levels, and mRNA expression of glutathione
peroxidase 1 and glutathione reductase. The RES-loaded LCS also up-regulated
matrix metalloproteinase-9 activity, IL-10 production, and mRNA expression of
transcription factor Nrf2 and heme oxygenase-1. Therefore, a RES-loaded LCS is a
promising new therapeutic approach to mitigate skin photodamage.
PMID- 27191912
TI - A methodological study of locus coeruleus degeneration in dementing disorders.
AB - BACKGROUND: Degeneration of the locus coeruleus (LC) of the brain stem is a
recognized phenomenon in Alzheimer's disease (AD), in dementia with Lewy bodies
(DLB), and in Parkinson's disease with dementia (PDD). Prior studies have
suggested that LC degeneration can be used to differentiate various dementing
disorders histologically, but the paucity of methodological data may hamper
systematic research on this nucleus. PURPOSE: The purpose of this study was to
evaluate various approaches to quantifying LC degeneration in dementing
disorders, and to inform future decisions regarding the most appropriate method
for diagnostics and research. METHODS: 105 LCs from brains of demented
individuals with AD, DLB/PDD, vascular dementia (VaD), mixed dementia (AD+VaD),
or frontotemporal lobar degeneration (FTLD) were examined, and the extent of LC
degeneration was assessed using macroscopic evaluation, cell counting, and two
degeneration scales. Scores were compared across diagnostic categories;
diagnostic utility and intra- and interobserver reliability were assessed.
RESULTS: AD and DLB/PDD were associated with greater LC damage using either
assessment method, significantly different from VaD and FTLD. Macroscopic
appearance was informative, but cell counting was more sensitive and specific.
The degeneration scales did not add significant diagnostic value over cell
counting and were associated with greater observer variability. CONCLUSIONS: The
LC degenerates in certain dementia subtypes, especially in AD and DLB/PDD.
Macroscopic assessment of the LC postmortem can be used to differentiate between
disorders associated with degeneration (AD, DLB/PDD) or sparing (VaD) of the LC,
but counting LC cells in a representative pontine section is the most appropriate
method by which to assess LC degeneration.
PMID- 27191913
TI - Microglioma in a child - a further case in support of the microglioma entity and
distinction from histiocytic sarcoma.
AB - Microglia are not generally known to cause brain tumors but one bona fide case of
adult microglioma has been published [9]. This tumor was highly malignant. We now
report on a second, juvenile case, which showed a less aggressive course.
Microglioma is a primary central nervous system (CNS) neoplasm distinct from
glioma and other known brain tumor entities, based on its strong immunoreactivity
for the macrophage marker CD163, the microglia marker Iba1, and the complete
absence of neural as well as lymphocyte antigens. Furthermore, we have analyzed
the literature and identified a number of cases that qualify as primary
parenchymal histiocytic sarcomas of the CNS, which lack microglial morphology.
Considering the non-hematopoietic developmental origin of the vast majority of
microglia and the distinct morphological as well as immunophenotypic similarity
of their neoplastic counterparts, we suggest using the term microglioma. More
cases will be required along with appropriately-collected tissue to establish the
molecular genetic profile of this extremely rare entity.
PMID- 27191914
TI - Hyaline protoplasmic astrocytopathy with associated focal cortical dysplasia and
hippocampal sclerosis.
AB - Hyaline protoplasmic astrocytopathy is a rare histopathologic finding in which
there is an accumulation of filamin A within protoplasmic astrocytes. The
condition has been reported in association with Aicardi syndrome and in patients
with epilepsy. This case reports findings of hyaline protoplasmic astrocytopathy
in a 4-year-old female who presented with febrile-onset, medically-intractable
epilepsy. She underwent resection of the left lateral temporal lobe, hippocampus,
and amygdala. In addition to characteristic eosinophilic, astrocytic cytoplasmic
inclusions, the lateral temporal lobe showed changes consistent with ILAE
(International League Against Epilepsy) type Ic focal cortical dysplasia/Palmini
et al. [12] type IA focal cortical dysplasia. The hippocampal formation was
marked by loss of neurons in the CA1 region accompanied by gliosis and a relative
sparing of neurons in the CA4 region, consistent with ILAE type 2 hippocampal
sclerosis or CA1 sclerosis. The literature on hyaline protoplasmic astrocytopathy
and coexistent pathologies in the clinical setting of chronic epilepsy is
reviewed.
PMID- 27191915
TI - Extracellular vesicles in blood, milk and body fluids of the female and male
urogenital tract and with special regard to reproduction.
AB - Extracellular vesicles (EVs) are released from almost all cells and tissues. They
are able to transport substances (e.g. proteins, RNA or DNA) at higher
concentrations than in their environment and may adhere in a receptor-controlled
manner to specific cells or tissues in order to release their content into the
respective target structure. Blood contains high concentrations of EVs mainly
derived from platelets, and, at a smaller amount, from erythrocytes. The female
and male reproductive tracts produce EVs which may be associated with fertility
or infertility and are released into body fluids and mucosas of the urogenital
organs. In this review, the currently relevant detection methods are presented
and critically compared. During pregnancy, placenta-derived EVs are dynamically
detectable in peripheral blood with changing profiles depending upon progress of
pregnancy and different pregnancy-associated pathologies, such as preeclampsia.
EVs offer novel non-invasive diagnostic tools which may reflect the situation of
the placenta and the foetus. EVs in urine have the potential of reflecting
urogenital diseases including cancers of the neighbouring organs. Several methods
for detection, quantification and phenotyping of EVs have been established, which
include electron microscopy, flow cytometry, ELISA-like methods, Western blotting
and analyses based on Brownian motion. This review article summarises the current
knowledge about EVs in blood and cord blood, in the different compartments of the
male and female reproductive tracts, in trophoblast cells from normal and pre
eclamptic pregnancies, in placenta ex vivo perfusate, in the amniotic fluid, and
in breast milk, as well as their potential effects on natural killer cells as
possible targets.
PMID- 27191917
TI - Clinical Problem Solving Series Strategies of Information Gathering.
AB - EDITORS' PREFACE Our goal in this exercise is to consider a neglected aspect of
diagnostic problem solving, namely the strategies that physicians use to gather
data as they confront a diagnostic dilemma. In the first part, we will analyze
the rationale for individual questions and for the sequence of questions that a
general internist used when taking the history from a previously ill physician.
In the second part, we will review some of the studies that bear on the
experimental approach to information gathering.
PMID- 27191916
TI - The active immunological profile in patients with primary Sjogren's syndrome is
restricted to typically encountered autoantibodies.
PMID- 27191918
TI - Abstracts of the meeting of the Belgian Society of Internal Medicine (5 May
1990).
PMID- 27191920
TI - Histologic evaluation of new bone in post-extraction sockets induced by melatonin
and apigenin: an experimental study in American fox hound dogs.
AB - OBJECTIVE: The aim of this study was to evaluate the effect of topical
applications of melatonin and apigenin (4',5,7-trihydroxyflavone) on new bone
formation in post-extraction sockets after 30, 60 and 90 days. MATERIALS AND
METHODS: Six American fox hounds were used in the study, extracting mandibular
premolars (P2, P3 and P4) and first molar (M1). Melatonin or apigenin impregnated
in collagen sponges were applied at P3, P4 and M1 sites in both hemimandibles; P2
sites were used as control sites. Bone biopsies were taken at 30, 60 and 90 days
and stained with hematoxylin-eosin. RESULTS: At 30 days, a higher percentage of
immature bone was observed in the control group (58.11 +/- 1.76%) than in the
apigenin (34.11 +/- 1.02%) and melatonin groups (24.9 +/- 0.14%) with significant
differences between the three groups (P < 0.05). At 60 days, results were
significantly better at melatonin sites (10.34 +/- 1.09%) than apigenin (19.22 +/
0.35%) and control sites (36.7 +/- 1.11%) (P < 0.05). At 90 days, immature bone
percentages were similar for all groups. New bone formation was higher in
melatonin group (79.56 +/- 1.9%) than apigenin (68.89 +/- 1.5%) and control group
(58.87 +/- 0.12%). CONCLUSIONS: Topical applications of either melatonin or
apigenin have a potential to accelerate bone tissue in early healing stages;
melatonin was seen to have stimulated bone maturation to a greater extent at the
60 days of follow-up.
PMID- 27191921
TI - Peritonsillar morphine infiltration to prevent early postoperative pain after
tonsillectomy.
PMID- 27191922
TI - Gender difference in career advancement and job satisfaction in anaesthesia: A
cross-sectional study.
PMID- 27191923
TI - Effects of remifentanil on pharyngeal swallowing: A double blind randomised cross
over study in healthy volunteers.
AB - BACKGROUND: Exposure to remifentanil increases the incidence of pulmonary
aspiration in healthy volunteers. This effect may be explained by impairment of
airway defence mechanisms and/or altered swallowing function. Pressure-flow
analysis is a technique that allows objective assessment of swallowing based on
pressure-impedance patterns recorded during bolus swallowing. OBJECTIVES: The aim
of this study was to use pressure-flow analysis to quantify the effect of
remifentanil on healthy pharyngeal swallowing and to compare these effects with
morphine. DESIGN: A double-blind, randomised, cross-over study. SETTING: A
tertiary care teaching hospital. VOLUNTEERS: Eleven young volunteers (mean age,
23 years) and seven older volunteers (mean age, 73 years). INTERVENTIONS:
Volunteers were studied twice and received either a target-controlled
remifentanil infusion (target concentrations: young, 3 ng ml; old, 2 ng ml) or a
bolus injection of morphine (dose: young, 0.1 mg kg; old, 0.07 mg kg). Pharyngeal
pressure and impedance were recorded with an indwelling catheter while swallowing
10 boluses of liquid during each measuring phase. Variables defining swallowing
function were calculated and compared to determine drug effects. MAIN OUTCOME
MEASURES: Pharyngeal pressure-flow variables following remifentanil exposure.
RESULTS: Changes produced by remifentanil in the measured variables were
consistent with greater dysfunction of swallowing. Both the strength of the
pharyngeal contractions and pharyngeal bolus propulsion were reduced, whereas
flow resistance was increased. The swallow risk index, a global index of
swallowing dysfunction, increased overall. At the experimental doses tested,
morphine produced similar, but less extensive effects on swallowing. CONCLUSION:
Remifentanil induced dysfunction of the pharyngeal swallowing mechanism. This may
contribute to an increased risk of aspiration. TRIAL REGISTRATION: NCT01924234
(www.clinicaltrials.gov).
PMID- 27191924
TI - Reply to: are transdermal opioids contraindicated in patients at risk of suicide?
PMID- 27191926
TI - Perioperative nurses' attitudes towards organ procurement: a systematic review.
AB - AIMS AND OBJECTIVES: To explore and evaluate perioperative nurses' experience of
organ procurement. BACKGROUND: Organ procurement is part of the organ donation
process, and is typically performed in the perioperative setting. This experience
may contribute to perioperative nurses' feelings of distress and negative
attitudes towards organ donation. DESIGN: Systematic review of the literature.
METHOD: Primary research studies, published in the English language between 1990
2014 were identified, screened and appraised using Joanna Briggs Institute
appraisal tools. Data extraction and analysis followed. RESULTS: The quality
assessment resulted in seven qualitative and three quantitative research studies.
The main findings were: (1) Perioperative nurses reported feeling emotionally
distressed, challenged, lonely and physically drained throughout the entire organ
procurement procedure. (2) Perioperative nurses reported finding their own unique
self-coping strategies and ways of eliciting support. (3) Perioperative nurses
had positive and negative attitudes towards organ donation. CONCLUSION:
Perioperative nurses reported feelings of sadness, feeling challenged and
physically drained through the entire organ procurement procedure, which were
influenced by differing factors in the preoperative, intraoperative and
postoperative stages. It is acknowledged that personal coping strategies and
support are important to help perioperative nurses improve their psychological
well-being, and their experiences and attitudes towards organ procurement and
donation. The meaningfulness of these findings for practice policy and research
is described. RELEVANCE TO CLINICAL PRACTICE: Perioperative nurses play a vital
role in the organ procurement procedure and require ongoing support to ensure
their psychological welfare, in particular, newly qualified or inexperienced
nurses' participating in organ procurement.
PMID- 27191925
TI - The influence of a dynamic elastic garment on musculoskeletal and respiratory
wellness in computer users.
AB - BACKGROUND: Evidence is growing that computer users are at increased risk of
developing musculoskeletal disorders, particularly those involving the upper
extremity, with significant financial cost and lost productivity. OBJECTIVE: The
purpose of this study was to determine the short-term effects of wearing a
dynamic elastic garment (Posture Shirt[Formula: see text]; AlignMed, USA) on
musculoskeletal wellness and health in the computer workplace. METHODS: Ninety
six computer users were evaluated. The Disabilities of the Arm, Shoulder and Hand
(DASH) questionnaire was completed. A functional assessment of posture, lung
function, and grip strength was performed after wearing the Posture
Shirt[Formula: see text] for 4 weeks. A training log was kept to track usage of
the garment, as well as weekly sensations of fatigue, productivity, and energy
level. RESULTS: After 4 weeks, there was statistically significant improvement in
forward shoulder and head posture, thoracic kyphosis, and grip strength.
Improvements in spirometry measures did not meet statistical significance.
Postural fatigue and muscular fatigue decreased by 21% and 29%, respectively, and
energy level and productivity increased by 20% and 13%, respectively. CONCLUSION:
This prospective study demonstrated positive short-term impact of the Posture
Shirt[Formula: see text] on both subjective and objective measures of posture,
lung function, grip strength, fatigue, and productivity.
PMID- 27191927
TI - Glaucoma with Descemet's membrane detachment in five horses.
AB - PURPOSE: To describe the clinical and histopathologic features of glaucoma
associated with Descemet's membrane (DM) detachment in five horses without prior
history of intraocular surgery. ANIMALS STUDIED: Three Appaloosa horses and two
Thoroughbreds were included in this study. The affected horses ranged in age from
16 to 27 years and presented with severe diffuse corneal edema. PROCEDURE: Five
eyes were enucleated due to intraocular hypertension and/or chronic corneal
ulceration. The enucleated globes were evaluated by the Comparative Ocular
Pathology Laboratory of Wisconsin (COPLOW). Each globe was routinely processed
for histopathology and analyzed by light microscopy. A histologic diagnosis of
glaucoma was reached by demonstrating a loss of optic nerve axonal tissue by
measuring neurofilament-immunopositive axons with automated image analysis
software. RESULTS: All five horses presented with unilateral severe diffuse
corneal edema that had developed between 2 and 16 weeks prior to enucleation.
Intraocular pressures for the affected eyes were between 9 and 87 mmHg prior to
enucleation. Descemet's membrane detachment was identified histopathologically in
all five globes (5/5, 100%). All five eyes had an avascular spindle cell
proliferation filling the space between the displaced peripheral DM and the
corneal stroma. Neurofilament immunostaining revealed axonal loss consistent with
glaucoma. CONCLUSION: Equine glaucoma may be associated with Descemet's membrane
detachment. This detachment and glaucoma is a possible differential diagnosis for
severe equine corneal edema. In this case series, an eye with a DM detachment had
a poor prognosis for retention.
PMID- 27191928
TI - Headaches and myofascial temporomandibular disorders: overlapping entities,
separate managements?
AB - There are relevant clinical overlaps between some of the painful
temporomandibular disorders (TMD) and headache conditions that may hamper the
diagnostic process and treatment. A non-systematic search for studies on the
relationship between TMD and headaches was carried out in the following
databases: PubMed, Cochrane Library and Embase. Important pain mechanisms
contributing to the close association and complex relationship between TMD and
headache disorders are as follows: processes of peripheral and central
sensitisation which take place in similar anatomical areas, the possible
impairment of the descending modulatory pain pathways and the processes of
referred pain. In addition, the clinical examination does not always provide
distinguishing information to differentiate between headaches and TMD. So,
considering the pathophysiology and the clinical presentation of some types of
headache and myofascial TMD, such overlap can be considered not only a matter of
comorbid relationship, but rather a question of disorders where the distinction
lines are sometimes hard to identify. These concerns are certainly reflected in
the current classification systems of both TMD and headache where the clinical
consequences of diagnosis such as headache attributed to or associated with TMD
are uncertain. There are several similarities in terms of therapeutic strategies
used to manage myofascial TMD and headaches. Considering all these possible
levels of interaction, we reinforce the recommendation for multidisciplinary
approaches, by a team of oro-facial pain specialists and a neurologist (headache
specialist), to attain the most precise differential diagnosis and initiate the
best and most efficient treatment.
PMID- 27191929
TI - Sendai viroplexes for epidermal growth factor receptor-directed delivery of
interleukin-12 and salmosin genes to cancer cells.
AB - BACKGROUND: The effective delivery of therapeutic genes to target cells has been
a fundamental goal in cancer gene therapy because of its advantages with respect
to both safety and transfection efficiency. In the present, study we describe a
tumor-directed gene delivery system that demonstrates remarkable efficacy in gene
delivery and minimizes the off-target effects of gene transfection. METHODS: The
system consists of a well-verified cationic O,O'-dimyristyl-N-lysyl glutamate
(DMKE), Sendai virus fusion (F) protein and hemagglutinin-neuraminidase (HN)
protein, referred to as cationic Sendai F/HN virosomes. To achieve tumor-specific
recognition, anti-epidermal growth factor (EGF) receptor antibody was coupled to
the surface of the virosomes containing interleukin-12 (IL-12) and/or salmosin
genes that have potent anti-angiogenetic functions. RESULTS: Among the virosomal
formulations, the anti-EGF receptor (EGFR) viroplexes, prepared via complexation
of plasmid DNA (pDNA) with cationic DMKE lipid, exhibited more efficient gene
transfection to tumor cells over-expressing EGF receptors compared to the
neutrally-charged anti-EGFR virosomes encapsulating pDNA. In addition, the anti
EGFR viroplexes with IL-12 and salmosin genes exhibited the most effective
therapeutic efficacy in a mouse tumor model. Especially when combined with
doxorubicin, transfection of the two genes via the anti-EGFR viroplexes exhibited
an enhanced inhibitory effect on tumor growth and metastasis in lungs.
CONCLUSIONS: The results of the present study suggest that anti-EGFR viroplexes
can be utilized as an effective strategy for tumor-directed gene delivery.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27191930
TI - Relationship between the apolipoprotein E gene and headache following sports
related concussion.
AB - INTRODUCTION: Headache is one of the most commonly reported and longest lasting
symptoms that concussed athletes report, yet the etiology of headache symptoms
following concussion is not entirely clear. The purpose of this study was to
determine whether the e4 allele of the apolipoprotein E (APOE) gene influences
the presence and severity of postconcussion headache. METHOD: Participants were
composed of 45 concussed athletes and 43 healthy/nonconcussed athletes who were
involved in a clinically based sports concussion management program. All athletes
completed the Post-Concussion Symptom Scale (PCSS). The "headache" symptom from
the PCSS was the primary outcome variable. Buccal samples were collected and
analyzed to determine APOE genotype. RESULTS: A significantly greater proportion
of concussed e4+ athletes than e4- athletes endorsed headache. Furthermore,
concussed e4+ athletes endorsed more severe headaches than e4- athletes. When
examining the healthy/nonconcussed sample (i.e., athletes at baseline), results
showed no differences between e4 allele groups with respect to the presence and
severity of headache. CONCLUSIONS: These findings show that when compared to
concussed e4- athletes, e4+ athletes are more likely to (a) endorse
postconcussion headache and (b) report more severe headache symptoms following
concussion. Conversely, it appears that the e4 allele does not influence baseline
reports of headache. Thus, results suggest that those with the e4 genotype may be
at a higher risk for experiencing headache-related difficulties only after a
concussion is sustained.
PMID- 27191932
TI - Congenital lacrimal fistula: A major review.
AB - The purpose of this article is to review and summarize the etiopathogenesis,
symptomatology, systemic associations, management, complications and clinical
outcomes of congenital lacrimal fistulae. The authors performed an electronic
database (PubMed, MEDLINE, EMBASE and Cochrane Library) search of all articles
published in English on congenital lacrimal fistulae. Congenital subsets of
patients from series of mixed lacrimal fistulae were included in the review.
These articles were reviewed along with their relevant cross-references. Data
reviewed included demographics, presentations, investigations, management,
complications and outcomes. The prevalence of congenital lacrimal fistulae is
reported to be around 1 in 2000 live births. They are frequently unilateral,
although familial cases tend to be bilateral. Lacrimal and systemic anomalies
have been associated with lacrimal fistulae. Exact etiopathogenesis is unknown
but mostly believed to be an accessory out budding from the lacrimal drainage
system during embryogenesis. Treatment is indicated when significant epiphora or
discharge is present and is mostly achieved by various fistulectomy techniques
with or without a dacryocystorhinostomy. Congenital lacrimal fistulae are a
distinct clinical entity with unique features. Surgical management can be
challenging and successful outcomes are usually achieved with widely accepted
protocols.
PMID- 27191931
TI - Compressing molecular dynamics trajectories: Breaking the one-bit-per-sample
barrier.
AB - Molecular dynamics simulations yield large amounts of trajectory data. For their
durable storage and accessibility an efficient compression algorithm is
paramount. State of the art domain-specific algorithms combine quantization,
Huffman encoding and occasionally domain knowledge. We propose the high
resolution trajectory compression scheme (HRTC) that relies on piecewise linear
functions to approximate quantized trajectories. By splitting the error budget
between quantization and approximation, our approach beats the current state of
the art by several orders of magnitude given the same error tolerance. It allows
storing samples at far less than one bit per sample. It is simple and fast enough
to be integrated into the inner simulation loop, store every time step, and
become the primary representation of trajectory data. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27191933
TI - Improved minimal residual disease detection by targeted quantitative polymerase
chain reaction in Nucleophosmin 1 type a mutated acute myeloid leukemia.
AB - Multicolor flow cytometry (MFC) and real-time quantitative PCR (RQ-PCR) are
important independent techniques to determine minimal residual disease (MRD) in
acute myeloid leukemia (AML). MFC is the standard method, but may be unreliable.
Therefore, MFC-based determination of MRD with an RQ-PCR-based approach targeting
the nucleophosmin 1 (NPM1) type A mutation was set out to compare. Since most
current NPM1 RQ-PCR MRD protocols suffer from clear definitions of
quantifiability, we sought to define quantifiability in a reproducible and
standardized manner. The limit of quantifiability of our RQ-PCR protocol for the
NPM1 type A mutation varied between 0.002% and 0.04% residual leukemic cells
depending on the features of the standard curve for each PCR experiment. The
limit of detection was close to 0.001% leukemic cells. The limit of detection by
MFC ranged from 0.01% to 1% depending on the phenotype of the leukemic cells as
compared with non-leukemic bone marrow cells. Forty-five MRD samples from 15
patients using both NPM1 mutation specific RQ-PCR and MFC were analyzed. In 32 of
the 45 samples (71%), an MRD-signal could be detected with RQ-PCR. A quantifiable
NPM1 mutation signal was found in 15 samples (33%) (range 0.003%-2.6% leukemic
cells). By contrast, only two follow-up samples (4%) showed residual leukemic
cells (0.04% and 0.3%, respectively) by MFC. Thus, RQ-PCR of the NPM1 type A
mutation was more sensitive and reliable than MFC for determination of MRD, which
might have clinical implications. (c) 2016 Wiley Periodicals, Inc.
PMID- 27191935
TI - Enhanced hyphal growth of arbuscular mycorrhizae by root exudates derived from
high R/FR treated Lotus japonicus.
AB - Red/Far Red (R/FR) sensing positively influences the arbuscular mycorrhizal (AM)
symbiosis of both legume and nonlegume plants through jasmonic acid (JA) and
strigolactone signaling. We previously reported that root exudates obtained from
high R/FR-grown plants contained more strigolactone than low R/FR-grown plants.
To determine whether JA and JA derivatives were secreted from roots, we
investigated the expression levels of JA-responsive genes in L. japonicus
Miyakojima MG20 plants treated with root exudates prepared from either high or
low R/FR light-treated plants. The root exudates from high R/FR light-treated
plants were found to enhance the expression levels of JA-responsive genes
significantly. Moreover, exogenous JA increased AM fungal hyphal elongation as
did the root exudates derived from high R/FR-grown L. japonicus plants. We
conclude that increased JA accumulation and secretion into root exudates from
high R/FR light-grown plants is the best explanation for increased colonization
and enhanced mycorrhization under these conditions.
PMID- 27191937
TI - lambda-Eye: a high-sensitivity gamma imaging probe for axillary sentinel lymph
node mapping.
AB - OBJECTIVE: The aim of this study is the construction and performance evaluation
of 'lambda-eye', a gamma imaging probe, optimized in terms of sensitivity for
sentinel lymph node mapping. The optimization of the probe is based on
theoretical models and simulation results that were presented in a previous study
of our group. In this work, the construction of the probe, the experimental
confirmation of the simulation results, and the evaluation of its performance
with phantoms and lymph node imaging in small animals are presented. METHODS: The
system's spatial and energy resolution, sensitivity, and count rate performance
were measured using phantoms. The values of the integral and differential
uniformity in the useful field-of-view and in the central field-of-view were also
calculated. Finally, a proof-of-concept animal experiment was conducted for the
imaging of the lymph nodes of normal mice. RESULTS: The system's energy
resolution was measured as 36+/-2% and the spatial resolution was 2.2 mm at 2 mm
source-collimator distance. The values of the integral uniformity and
differential uniformity in the useful field-of-view and in the central field-of
view were found to be 5.2, 2.1, 1.7, and 0.75%, respectively. Finally, the lymph
nodes of normal mice were clearly imaged with a 10 s acquisition time.
CONCLUSION: The 'lambda-eye', used for sentinel lymph node mapping, provides a
combination of high sensitivity (~1.5 counts/s/kBq) and good spatial resolution
(~6 mm full-width of the half-maximum at 20 mm and ~10 mm full-width of the half
maximum at 50 mm distance). Its compact size (40 mm*40 mm*70 mm) allows its use
during surgery and/or for the detailed scan of a suspicious region.
PMID- 27191934
TI - Human Infection with Influenza A(H7N9) Virus during 3 Major Epidemic Waves,
China, 2013-2015.
AB - Since March 2013, a novel influenza A(H7N9) virus has caused 3 epidemic waves of
human infection in mainland China. We analyzed data from patients with laboratory
confirmed influenza A(H7N9) virus infection to estimate the risks for severe
outcomes after hospitalization across the 3 waves. We found that hospitalized
patients with confirmed infections in waves 2 and 3 were younger and more likely
to be residing in small cities and rural areas than were patients in wave 1; they
also had a higher risk for death, after adjustment for age and underlying medical
conditions. Risk for death among hospitalized patients during waves 2 and 3 was
lower in Jiangxi and Fujian Provinces than in eastern and southern provinces. The
variation in risk for death among hospitalized case-patients in different areas
across 3 epidemic waves might be associated with differences in case
ascertainment, changes in clinical management, or virus genetic diversity.
PMID- 27191936
TI - Evaluation of the effectiveness of adding androgen deprivation to modern dose
escalated radiotherapy for men with favorable intermediate-risk prostate cancer.
AB - BACKGROUND: Randomized trials have shown that androgen-deprivation therapy (ADT)
improves survival for men with intermediate-risk prostate cancer treated with
radiotherapy (RT). The benefit of ADT to patients with favorable intermediate
risk prostate cancer treated with modern dose-escalated RT is unknown. This study
evaluated the effectiveness of ADT on survival of men with favorable intermediate
risk prostate cancer treated with dose-escalated RT. METHODS: This study was a
retrospective cohort analysis of men with favorable intermediate-risk prostate
cancer from 2004 to 2007 in the National Cancer Data Base. Favorable intermediate
risk disease was defined as 1 adverse risk factor (prostate-specific antigen
level of 10-20 ng/mL or Gleason score of 7) and clinical T1/T2 prostate cancer.
All patients were treated with primary dose-escalated RT (>=75.6 Gy or RT with a
brachytherapy boost). Overall survival was analyzed with propensity score
adjustment and Cox multivariate modeling. RESULTS: The study included 18,598
patients. The use of ADT decreased from 43.5% in 2004 to 39.5% in 2007. The
propensity score-adjusted survival analysis demonstrated similar 8-year overall
survival for men treated with dose-escalated RT and ADT and men treated with RT
alone (77.7% vs 78.4%). ADT was not associated with improved survival in any age
or comorbidity subgroup. In a sensitivity analysis using Cox multivariate
modeling, the receipt of ADT was not associated with overall survival (hazard
ratio, 0.99; 95% confidence interval, 0.91-1.07; P = .768). CONCLUSIONS: Adding
ADT to modern dose-escalated RT was not associated with improved survival for
patients with favorable intermediate-risk prostate cancer. The applicability of
the survival benefit seen in older trials to modern patients is unclear. Because
of the morbidity associated with ADT, dose-escalated RT alone for patients with
favorable intermediate-risk prostate cancer may be a reasonable option. Cancer
2016;122:2341-2349. (c) 2016 American Cancer Society.
PMID- 27191938
TI - Hydrophobin-1 promotes thermostability of firefly luciferase.
AB - The thermal sensitivity of firefly luciferase limits its use in certain
applications. Firefly luciferase has hydrophobic sites on its surface, which lead
to aggregation and inactivation of the enzyme at temperatures over 30 degrees C.
We have successfully stabilized firefly luciferase at high temperatures with the
assistance of a unique protein, hydrophobin-1 (HFB1). HFB1 is a small secretory
protein belonging to class II of hydrophobins with a low molecular weight (7.5
kDa) and distinct functional hydrophobic patch on its surface. The interaction of
HFB1 with hydrophobic sites on the surface of luciferase was confirmed by
extrinsic fluorescence studies using 8-anilino-1-naphthalenesulfonic acid (ANS)
as a hydrophobic reporter probe. Calculation of thermodynamic parameters of heat
inactivation of luciferase shows that conformational changes and flexibility of
enzyme decreased in the presence of HFB1, and thermostability of the HFB1-treated
enzyme increased. Furthermore, the addition of HFB1 into the enzymatic solution
leads to an increase in catalytic efficiency of luciferase and subsequently
improves the utility of the enzyme as an ATP detector.
PMID- 27191939
TI - Treatment Options for Veterans With Posttraumatic Stress Disorder-Reply.
PMID- 27191940
TI - Individual differences in face-looking behavior generalize from the lab to the
world.
AB - Recent laboratory studies have found large, stable individual differences in the
location people first fixate when identifying faces, ranging from the brows to
the mouth. Importantly, this variation is strongly associated with differences in
fixation-specific identification performance such that individuals' recognition
ability is maximized when looking at their preferred location (Mehoudar, Arizpe,
Baker, & Yovel, 2014; Peterson & Eckstein, 2013). This finding suggests that face
representations are retinotopic and individuals enact gaze strategies that
optimize identification, yet the extent to which this behavior reflects real
world gaze behavior is unknown. Here, we used mobile eye trackers to test whether
individual differences in face gaze generalize from lab to real-world vision. In
lab fixations were measured with a speeded face identification task, while real
world behavior was measured as subjects freely walked around the Massachusetts
Institute of Technology campus. We found a strong correlation between the
patterns of individual differences in face gaze in the lab and real-world
settings. Our findings support the hypothesis that individuals optimize real
world face identification by consistently fixating the same location and thus
strongly constraining the space of retinotopic input. The methods developed for
this study entailed collecting a large set of high-definition, wide field-of-view
natural videos from head-mounted cameras and the viewer's fixation position,
allowing us to characterize subjects' actually experienced real-world retinotopic
images. These images enable us to ask how vision is optimized not just for the
statistics of the "natural images" found in web databases, but of the truly
natural, retinotopic images that have landed on actual human retinae during real
world experience.
PMID- 27191942
TI - Motion-based nearest vector metric for reference frame selection in the
perception of motion.
AB - We investigated how the visual system selects a reference frame for the
perception of motion. Two concentric arcs underwent circular motion around the
center of the display, where observers fixated. The outer (target) arc's angular
velocity profile was modulated by a sine wave midflight whereas the inner
(reference) arc moved at a constant angular speed. The task was to report whether
the target reversed its direction of motion at any point during its motion. We
investigated the effects of spatial and figural factors by systematically varying
the radial and angular distances between the arcs, and their relative sizes. We
found that the effectiveness of the reference frame decreases with increasing
radial- and angular-distance measures. Drastic changes in the relative sizes of
the arcs did not influence motion reversal thresholds, suggesting no influence of
stimulus form on perceived motion. We also investigated the effect of common
velocity by introducing velocity fluctuations to the reference arc as well. We
found no effect of whether or not a reference frame has a constant motion. We
examined several form- and motion-based metrics, which could potentially unify
our findings. We found that a motion-based nearest vector metric can fully
account for all the data reported here. These findings suggest that the selection
of reference frames for motion processing does not result from a winner-take-all
process, but instead, can be explained by a field whose strength decreases with
the distance between the nearest motion vectors regardless of the form of the
moving objects.
PMID- 27191941
TI - Evidence for different processes involved in the effects of nontemporal stimulus
size and numerical digit value on duration judgments.
AB - Perceived duration has been shown to be positively related to task-irrelevant,
nontemporal stimulus magnitude. To account for this finding, Walsh's (2003) A
Theory of Magnitude (ATOM) model suggests that magnitude of time is not
differentiated from magnitude of other nontemporal stimulus characteristics and
collectively processed by a generalized magnitude system. In Experiment 1, we
investigated the combined effects of stimulus size and numerical quantity, as two
nontemporal stimulus dimensions covered by the ATOM model, on duration judgments.
Participants were required to reproduce the duration of target intervals marked
by Arabic digits varying in physical size and numerical value. While the effect
of stimulus size was effectively moderated by target duration, the effect of
numerical value appeared to require attentional resources directed to the
numerical value in order to become effective. Experiment 2 was designed to
further elucidate the mediating influence of attention on the effect of numerical
value on duration judgments. An effect of numerical value was only observed when
participants' attention was directed to digit value, but not when participants
were required to pay special attention to digit parity. While the ATOM model
implies a common metrics and generalized magnitude processing for time, size, and
quantity, the present findings provided converging evidence for the notion of two
qualitatively different mechanisms underlying the effects of nontemporal stimulus
size and numerical value on duration judgments. Furthermore, our data challenge
the implicit common assumption that the effect of numerical value on duration
judgments represents a continuously increasing function of digit magnitude.
PMID- 27191943
TI - Predicting bias in perceived position using attention field models.
AB - Attention is the mechanism through which we select relevant information from our
visual environment. We have recently demonstrated that attention attracts
receptive fields across the visual hierarchy (Klein, Harvey, & Dumoulin, 2014).
We captured this receptive field attraction using an attention field model. Here,
we apply this model to human perception: We predict that receptive field
attraction results in a bias in perceived position, which depends on the size of
the underlying receptive fields. We instructed participants to compare the
relative position of Gabor stimuli, while we manipulated the focus of attention
using exogenous cueing. We varied the eccentric position and spatial frequency of
the Gabor stimuli to vary underlying receptive field size. The positional biases
as a function of eccentricity matched the predictions by an attention field
model, whereas the bias as a function of spatial frequency did not. As spatial
frequency and eccentricity are encoded differently across the visual hierarchy,
we speculate that they might interact differently with the attention field that
is spatially defined.
PMID- 27191944
TI - Interaction between contours and eye movements in the perception of afterimages:
A test of the signal ambiguity theory.
AB - An intriguing property of afterimages is that conscious experience can be strong,
weak, or absent following identical stimulus adaptation. Previously we suggested
that postadaptation retinal signals are inherently ambiguous, and therefore the
perception they evoke is strongly influenced by cues that increase or decrease
the likelihood that they represent real objects (the signal ambiguity theory).
Here we provide a more definitive test of this theory using two cues previously
found to influence afterimage perception in opposite ways and plausibly at
separate loci of action. However, by manipulating both cues simultaneously, we
found that their effects interacted, consistent with the idea that they affect
the same process of object interpretation rather than being independent
influences. These findings bring contextual influences on afterimages into more
general theories of cue combination, and we suggest that afterimage perception
should be considered alongside other areas of vision science where cues are found
to interact in their influence on perception.
PMID- 27191945
TI - Global shape aftereffects in composite radial frequency patterns.
AB - Individual radial frequency (RF) patterns are generated by modulating a circle's
radius as a sinusoidal function of polar angle and have been shown to tap into
global shape processing mechanisms. Composite RF patterns can reproduce the
complex outlines of natural shapes and examining these stimuli may allow us to
interrogate global shape mechanisms that are recruited in biologically relevant
tasks. We present evidence for a global shape aftereffect in a composite RF
pattern stimulus comprising two RF components. Manipulations of the shape,
location, size and spatial frequency of the stimuli revealed that this
aftereffect could only be explained by the attenuation of intermediate-level
global shape mechanisms. The tuning of the aftereffect to test stimulus size also
revealed two mechanisms underlying the aftereffect; one that was tuned to size
and one that was invariant. Finally, we show that these shape mechanisms may
encode some RF information. However, the RF encoding we found was not capable of
explaining the full extent of the aftereffect, indicating that encoding of other
shape features such as curvature are also important in global shape processing.
PMID- 27191948
TI - Cyanoacrylate microbial sealants for skin preparation prior to surgery.
AB - BACKGROUND: Surgical site infections (i.e. incisions that become infected) are a
continuing concern in health care. Microbial sealant is a liquid that can be
applied to the skin immediately before surgery and is thought to help reduce the
incidence of surgical site infections (SSIs) by sealing in the skin flora, thus
preventing contamination and infection of the surgical site. OBJECTIVES: To
assess the effects of the preoperative application of microbial sealants
(compared with no microbial sealant) on rates of SSI in people undergoing clean
surgery. SEARCH METHODS: For this second update we searched the following
electronic databases in May 2015: the Cochrane Wounds Specialised Register; the
Cochrane Central Register of Controlled Trials (CENTRAL) (The Cochrane Library);
Ovid MEDLINE; Ovid MEDLINE (In-Process & Other Non-Indexed Citations); Ovid
EMBASE and EBSCO CINAHL. There were no restrictions based on language or date of
publication or study setting. SELECTION CRITERIA: Randomised controlled trials
(RCTs) were eligible for inclusion if they involved people undergoing clean
surgery (i.e. surgery that does not involve the breathing system, gut, genital or
urinary tract, or any part of the body with an existing infection) in an
operating theatre and compared the use of preoperative microbial sealants with no
microbial sealant. DATA COLLECTION AND ANALYSIS: All review authors independently
extracted data on the characteristics, risk of bias and outcomes of the eligible
trials. MAIN RESULTS: Seven trials (859 participants undergoing clean surgery)
met the inclusion criteria. The trials all compared cyanoacrylate microbial
sealant with no sealant. We found there were fewer SSIs with the use of microbial
sealant (23/443 participants) than with the control comparison (46/416
participants). There was no evidence of a difference between the two groups in
surgical site infection rates following the use of microbial sealants when the
results were pooled (risk ratio (RR) 0.53, 95% CI 0.24 to 1.18). There were
adverse events in three studies, but these were not judged to be a result of the
use of microbial sealant. AUTHORS' CONCLUSIONS: In this second update there is
still insufficient evidence available to determine whether the use of microbial
sealants reduces the risk of surgical site infection or not. Further rigorous,
adequately-powered RCTs are required to investigate this properly.
PMID- 27191946
TI - Ultrastable and Biofunctionalizable Gold Nanoparticles.
AB - Gold nanoparticles provide an excellent platform for biological and material
applications due to their unique physical and chemical properties. However,
decreased colloidal stability and formation of irreversible aggregates while
freeze-drying nanomaterials limit their use in real world applications. Here, we
report a new generation of surface ligands based on a combination of short oligo
(ethylene glycol) chains and zwitterions capable of providing nonfouling
characteristics while maintaining colloidal stability and functionalization
capabilities. Additionally, conjugation of these gold nanoparticles with avidin
can help the development of a universal toolkit for further functionalization of
nanomaterials.
PMID- 27191949
TI - The "New Public" and the "Good Ol' Press": Evaluating Online News Sources During
the 2013 Polio Outbreak in Israel.
AB - The current research focuses on the 2013 polio outbreak in Israel as a case study
to analyze the sources of information used in new media platforms, examining
whether the new media have changed the ways in which we communicate about health
issues. Specifically, we tracked and coded polio-related references on Hebrew
news websites, blogs, forums, and Facebook posts. Overall, 24,388 polio-related
references constituted our sampling frame. The findings suggest that there is a
moderate-level correlation between the platform and the type of sources chosen by
users. Beyond the differences between various platforms, we found that online
information platforms rely not only on popular or pseudoscientific sources, but
also on high-quality information. In fact, the analysis indicates that online
news websites, forums, blogs, and Facebook posts create a unique blend of
information, including scientific literature, medical professionals, and
government representatives, as well as pseudoscientific research. These findings
suggest a more optimistic view of the Internet as a source for health-related
information in times of crises. Although the fact that members of the public are
exposed to scientific sources does not indicate to what degree this affects their
actual decision making. Exposure to a wider variety of sources may enhance health
literacy, resulting in a better understanding of information needed to make
informed decisions.
PMID- 27191947
TI - (1) H MRS in the human spinal cord at 7 T using a dielectric waveguide
transmitter, RF shimming and a high density receive array.
AB - Multimodal MRI is the state of the art method for clinical diagnostics and
therapy monitoring of the spinal cord, with MRS being an emerging modality that
has the potential to detect relevant changes of the spinal cord tissue at an
earlier stage and to enhance specificity. Methodological challenges related to
the small dimensions and deep location of the human spinal cord inside the human
body, field fluctuations due to respiratory motion, susceptibility differences to
adjacent tissue such as vertebras and pulsatile flow of the cerebrospinal fluid
hinder the clinical application of (1) H MRS to the human spinal cord.
Complementary to previous studies that partly addressed these problems, this work
aims at enhancing the signal-to-noise ratio (SNR) of (1) H MRS in the human
spinal cord. To this end a flexible tight fit high density receiver array and
ultra-high field strength (7 T) were combined. A dielectric waveguide and dipole
antenna transmission coil allowed for dual channel RF shimming, focusing the RF
field in the spinal cord, and an inner-volume saturated semi-LASER sequence was
used for robust localization in the presence of B1 (+) inhomogeneity. Herein we
report the first 7 T spinal cord (1) H MR spectra, which were obtained in seven
independent measurements of 128 averages each in three healthy volunteers. The
spectra exhibit high quality (full width at half maximum 0.09 ppm, SNR 7.6) and
absence of artifacts and allow for reliable quantification of N-acetyl aspartate
(NAA) (NAA/Cr (creatine) 1.31 +/- 0.20; Cramer-Rao lower bound (CRLB) 5), total
choline containing compounds (Cho) (Cho/Cr 0.32 +/- 0.07; CRLB 7), Cr (CRLB 5)
and myo-inositol (mI) (mI/Cr 1.08 +/- 0.22; CRLB 6) in 7.5 min in the human
cervical spinal cord. Thus metabolic information from the spinal cord can be
obtained in clinically feasible scan times at 7 T, and its benefit for clinical
decision making in spinal cord disorders will be investigated in the future using
the presented methodology. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27191950
TI - Benchmark Calculations for Bond Dissociation Enthalpies of Unsaturated Methyl
Esters and the Bond Dissociation Enthalpies of Methyl Linolenate.
AB - It is important to determine an appropriate computational method for obtaining
accurate thermochemical properties of large biodiesel molecules such as methyl
linolenate. In this study, we use Kohn-Sham density functional theory (DFT) and
coupled cluster theory to calculate bond dissociation enthalpies (BDEs) of seven
fragment molecules of methyl linolenate, in particular, propene, methyl formate,
cis-3-hexene, 1,4-pentadiene, 1-pentene, butane, and methyl butanoate. The
results are compared to BDEs obtained from experiments and to Oyeyemi et al.'s
multireference averaged coupled pair functional (MRACPF2) calculations. We found
that with extrapolation to the complete basis set (CBS) limit, the BDEs derived
from coupled cluster calculations with single, double, and triple excitations
(CCSDT) and from CCSDT with a perturbative treatment of connected quadruple
excitations, CCSDT(2)Q/CBS, are closer to the available experimental values than
those obtained by MRACPF2 for propene and methyl formate. The CCSDT/CBS
calculations were chosen as the reference for validating the DFT methods. Among
the density functionals, we found that M08-HX has the best performance with a
mean unsigned deviation (MUD) from CCSDT/CBS of only 1.0 kcal/mol, whereas the
much more expensive MRACPF2 has an MUD of 1.1 kcal/mol. We then used the most
successfully validated density functionals to calculate the BDEs of methyl
linolenate and compared the results with the MRACPF2 BDEs. The present study
identifies several Kohn-Sham exchange-correlation functionals that should be
useful for modeling ester combustion, especially the M08-HX, M06-2X, M05-2X, M08
SO, and MPWB1K global-hybrid meta functionals, the M11 and MN12-SX range
separated-hybrid meta functionals, the omegaB97 range-separated hybrid gradient
approximation functional, and the SOGGA11-X global-hybrid gradient approximation
functional.
PMID- 27191951
TI - Correction: Arabidopsis ERF1 Mediates Cross-Talk between Ethylene and Auxin
Biosynthesis during Primary Root Elongation by Regulating ASA1 Expression.
AB - [This corrects the article DOI: 10.1371/journal.pgen.1005760.].
PMID- 27191952
TI - Senior Centers and Nutritional Outcomes: A Texas Example.
AB - Healthy diet and weight control are important for elders and senior centers
(SCs). The authors consider effects of SCs on attendee nutrition and health and
efforts to improve diets and weight. Data derive from surveys in 2006 (N = 798)
and 2007 (N = 742) at 21 multipurpose SCs in Tarrant County, Texas, supplemented
with data from 2012 (N = 1,402). Measures included attendee agreement that SC
meals improved nutrition, improved health, attempts to improve diets, and success
in controlling weight. Cumulative and binary logistic regression methods were
employed. SC attendance and social engagement explained agreement that SC meals
improved nutrition and health but were not shown to predict changes in diet or
weight control. Findings suggest success of SC programs, as well as physician
recommendations, in influencing attendee nutritional behavior and perceptions of
nutrition and health effects. Practice recommendations include SC collaborations
with local health providers to promote attendee nutritional health.
PMID- 27191953
TI - Associations between Screen-Based Sedentary Behaviour and Anxiety Symptoms in
Mothers with Young Children.
AB - OBJECTIVES: Anxiety is a serious illness and women (including mothers with young
children) are at particular risk. Although physical activity (PA) may reduce
anxiety risk, little research has investigated the link between sedentary
behaviour and anxiety risk. The aim of this study was to examine the association
between screen-based sedentary behaviour and anxiety symptoms, independent of PA,
amongst mothers with young children. METHODS: During 2013-2014, 528 mothers with
children aged 2-5 years completed self-report measures of recreational screen
based sedentary behaviour (TV/DVD/video viewing, computer/e-games/hand held
device use) and anxiety symptoms (using the Hospital Anxiety and Depression
Scale, HADS-A). Linear regression analyses examined the cross-sectional
association between screen-based sedentary behaviour and anxiety symptoms.
RESULTS: In models that adjusted for key demographic and behavioural covariates
(including moderate- to vigorous-intensity PA, MVPA), computer/device use (B =
0.212; 95% CI = 0.048, 0.377) and total screen time (B = 0.109; 95% CI = 0.014,
0.205) were positively associated with heightened anxiety symptoms. TV viewing
was not associated with anxiety symptoms in either model. CONCLUSIONS: Higher
levels of recreational computer or handheld device use and overall screen time
may be linked to higher risk of anxiety symptoms in mothers with young children,
independent of MVPA. Further longitudinal and intervention research is required
to determine temporal associations.
PMID- 27191954
TI - Pemetrexed/Carboplatin/Bevacizumab followed by Maintenance Pemetrexed/Bevacizumab
in Hispanic Patients with Non-Squamous Non-Small Cell Lung Cancer: Outcomes
according to Thymidylate Synthase Expression.
AB - OBJECTIVE: To evaluate the efficacy and safety of pemetrexed, carboplatin and
bevacizumab (PCB) followed by maintenance therapy with pemetrexed and bevacizumab
(PB) in chemotherapy-naive patients with stage IV non-squamous non-small cell
lung cancer (NSCLC) through the influence of thymidylate synthase (TS) protein
and mRNA expression on several outcomes. The primary endpoints were the overall
response rate (ORR), progression-free survival (PFS) and overall survival (OS).
METHODS: A cohort of 144 patients were administered pemetrexed (500 mg/m2),
carboplatin (AUC, 5.0 mg/ml/min) and bevacizumab (7.5 mg/kg) intravenously every
three weeks for up to four cycles. Maintenance PB was administered until disease
progression or unacceptable toxicity. RESULTS: One hundred forty-four Colombian
patients with a median follow-up of 13.8 months and a median number of 6
maintenance cycles (range, 1-32) were assessed. The ORR among the patients was
66% (95% CI, 47% to 79%). The median PFS and (OS) rates were 7.9 months (95% CI,
5.9-10.0 months) and 21.4 months (95% CI, 18.3 to 24.4 months), respectively. We
documented grade 3/4 hematologic toxicities, including anemia (14%), neutropenia
(8%), and thrombocytopenia (16%). The identified grade 3/4 non-hematologic
toxicities were proteinuria (2%), venous thrombosis (4%), fatigue (11%),
infection (6%), nephrotoxicity (2%), and sensory neuropathy (4%). No grade >3
hemorrhagic events or hypertension cases were reported. OS was significantly
higher in patients with the lowest TS mRNA levels [median, 29.6 months (95% CI,
26.2-32.9)] compared with those in patients with higher levels [median, 9.3
months (95% CI, 6.6-12.0); p = 0.0001]. TS expression (mRNA levels or protein
expression) did not influence the treatment response. CONCLUSION: Overall, PCB
followed by maintenance pemetrexed and bevacizumab was effective and tolerable in
Hispanic patients with non-squamous NSCLC. This regimen was associated with
acceptable toxicity and prolonged OS, particularly in patients with low TS
expression. We found a role for Ki67 and TS expression as prognostic factors.
PMID- 27191955
TI - Correction: Decreasing Hepatitis C Virus Infection in Thailand in the Past
Decade: Evidence from the 2014 National Survey.
AB - [This corrects the article DOI: 10.1371/journal.pone.0149362.].
PMID- 27191956
TI - Correction: Therapist Effects and the Impact of Early Therapeutic Alliance on
Symptomatic Outcome in Chronic Fatigue Syndrome.
AB - [This corrects the article DOI: 10.1371/journal.pone.0144623.].
PMID- 27191958
TI - Power Asymmetries and Punishment in a Prisoner's Dilemma with Variable
Cooperative Investment.
AB - In many two-player games, players that invest in punishment finish with lower
payoffs than those who abstain from punishing. These results question the
effectiveness of punishment at promoting cooperation, especially when retaliation
is possible. It has been suggested that these findings may stem from the
unrealistic assumption that all players are equal in terms of power. However, a
previous empirical study which incorporated power asymmetries into an iterated
prisoner's dilemma (IPD) game failed to show that power asymmetries stabilize
cooperation when punishment is possible. Instead, players cooperated in response
to their partner cooperating, and punishment did not yield any additional
increase in tendency to cooperate. Nevertheless, this previous study only allowed
an all-or-nothing-rather than a variable-cooperation investment. It is possible
that power asymmetries increase the effectiveness of punishment from strong
players only when players are able to vary their investment in cooperation. We
tested this hypothesis using a modified IPD game which allowed players to vary
their investment in cooperation in response to being punished. As in the previous
study, punishment from strong players did not increase cooperation under any
circumstances. Thus, in two-player games with symmetric strategy sets, punishment
does not appear to increase cooperation.
PMID- 27191957
TI - Trib2 Suppresses Tumor Initiation in Notch-Driven T-ALL.
AB - Trib2 is highly expressed in human T cell acute lymphoblastic leukemia (T-ALL)
and is a direct transcriptional target of the oncogenic drivers Notch and TAL1.
In human TAL1-driven T-ALL cell lines, Trib2 is proposed to function as an
important survival factor, but there is limited information about the role of
Trib2 in primary T-ALL. In this study, we investigated the role of Trib2 in the
initiation and maintenance of Notch-dependent T-ALL. Trib2 had no effect on the
growth and survival of murine T-ALL cell lines in vitro when expression was
blocked by shRNAs. To test the function of Trib2 on leukemogenesis in vivo, we
generated Trib2 knockout mice. Mice were born at the expected Mendelian
frequencies without gross developmental anomalies. Adult mice did not develop
pathology or shortened survival, and hematopoiesis, including T cell development,
was unperturbed. Using a retroviral model of Notch-induced T-ALL, deletion of
Trib2 unexpectedly decreased the latency and increased the penetrance of T-ALL
development in vivo. Immunoblotting of primary murine T-ALL cells showed that the
absence of Trib2 increased C/EBPalpha expression, a known regulator of cell
proliferation, and did not alter AKT or ERK phosphorylation. Although Trib2 was
suggested to be highly expressed in T-ALL, transcriptomic analysis of two
independent T-ALL cohorts showed that low Trib2 expression correlated with the
TLX1-expressing cortical mature T-ALL subtype, whereas high Trib2 expression
correlated with the LYL1-expressing early immature T-ALL subtype. These data
indicate that Trib2 has a complex role in the pathogenesis of Notch-driven T-ALL,
which may vary between different T-ALL subtypes.
PMID- 27191959
TI - Emotional Intolerance and Core Features of Anorexia Nervosa: A Dynamic
Interaction during Inpatient Treatment? Results from a Longitudinal Diary Study.
AB - OBJECTIVE: The role of emotion dysregulation with regard to the psychopathology
of anorexia nervosa (AN) is increasingly discussed. It is both assumed that AN
symptoms have an impact on difficulties in tolerating aversive emotions and that
conversely-emotion dysregulation influences AN. To date, such conclusions are
drawn on the basis of cross-sectional data not allowing for inferences on the
temporal dynamics. The current study investigates the longitudinal interaction
between emotional intolerance and core AN symptoms over the course of inpatient
treatment by comparing patients with high (BMI<15 kg/m2) vs. low symptom severity
(HSS vs. LSS). METHOD: The study adopted a longitudinal, process-oriented design
with N = 16 analysed electronic diaries. Throughout the course of their inpatient
treatment, the patients answered questions daily about emotional intolerance and
their AN-specific cognitions and behaviours. The temporal dynamics between
emotional intolerance and these variables were analysed using a multivariate time
series approach. RESULTS: The time series of the processes under investigation
adequately reflected the individual treatment courses. The majority of
significant linear time trends was found for HSS patients. Most importantly,
analysis revealed significant temporal interactions between emotional intolerance
and AN symptoms in almost 70% of HSS patients. Thereby, up to 37% of variance in
eating restraint and up to 23% in weight concern could be attributed to changes
in emotional intolerance. CONCLUSIONS: The findings support the notion that
intolerable unpleasant emotions in severely affected AN patients influence their
psychopathology. Additionally, time series analysis outlined the inter-individual
heterogeneity of psychosomatic treatment courses of AN patients.
PMID- 27191960
TI - The Impact of Vision Impairment (IVI) Questionnaire; Validation of the Thai
Version and the Implementation on Vision-Related Quality of Life in Thai Rural
Community.
AB - The objective of this study is to validate the Thai-version of the impact of
vision impairment (IVI) questionnaire and to evaluate its impact on vision
related quality of life (VRQoL) in southern Thailand. The IVI questionnaire was
translated into Thai according to WHO translation guidelines. In addition to the
routine ophthalmological examinations, a Thai version of the IVI questionnaire
was administered to all participants. A total of 120 patients with visual
impairment who presented at Songklanagarind hospital, Songkhla province, were
enrolled in the study; 30 had age-related macular degeneration (AMD), 30 had
cataract, 30 had diabetic retinopathy, 30 had glaucoma, and 30 non-visually
impaired individuals comprised the control group. Statistical analysis
demonstrated the Thai-version IVI questionnaire is valid and reliable to evaluate
the VRQoL of the Thai patients through three subscales: (i) mobility and
independence, (ii) reading and accessing information, and (iii) emotional well
being. The results demonstrated high consistency in all subscales with Cronbach's
alpha ranging from 0.787 to 0.849. Rasch analysis revealed the validity of the
Thai-version IVI to assess VRQoL through all three subscales. Test-retest
reliability was also high (intraclass correlation coefficient = 0.96). The
composite score of the IVI was significantly higher in participants with visual
impairment compared with healthy participants. Moreover, the subscale scores of
reading and accessing information, and emotional well-being were highest in
participants with AMD. While the subscale scores of mobility and independence
were highest among those with either cataracts or diabetic retinopathy. The
symptoms of the common vision impairment diseases are associated with an adverse
impact on VRQoL in a clinic-based population as demonstrated in this study.
PMID- 27191962
TI - Development of proton CT imaging system using plastic scintillator and CCD
camera.
AB - A proton computed tomography (pCT) imaging system was constructed for evaluation
of the error of an x-ray CT (xCT)-to-WEL (water-equivalent length) conversion in
treatment planning for proton therapy. In this system, the scintillation light
integrated along the beam direction is obtained by photography using the CCD
camera, which enables fast and easy data acquisition. The light intensity is
converted to the range of the proton beam using a light-to-range conversion table
made beforehand, and a pCT image is reconstructed. An experiment for
demonstration of the pCT system was performed using a 70 MeV proton beam provided
by the AVF930 cyclotron at the National Institute of Radiological Sciences. Three
dimensional pCT images were reconstructed from the experimental data. A thin
structure of approximately 1 mm was clearly observed, with spatial resolution of
pCT images at the same level as that of xCT images. The pCT images of various
substances were reconstructed to evaluate the pixel value of pCT images. The
image quality was investigated with regard to deterioration including multiple
Coulomb scattering.
PMID- 27191961
TI - Usual Care and Informed Consent in Clinical Trials of Oxygen Management in
Extremely Premature Infants.
AB - OBJECTIVE: The adequacy of informed consent in the Surfactant, Positive Pressure,
and Pulse Oximetry Randomized Trial (SUPPORT) has been questioned. SUPPORT
investigators and publishing editors, heads of government study funding agencies,
and many ethicists have argued that informed consent was adequate because the two
oxygen saturation target ranges studied fell within a range commonly recommended
in guidelines. We sought to determine whether each oxygen target as studied in
SUPPORT and four similar randomized controlled trials (RCTs) was consistent with
usual care. DESIGN/PARTICIPANTS/SETTING: PubMed, EMBASE, Web of Science, and
Scopus were searched for English articles back to 1990 providing information on
usual care oxygen management in extremely premature infants. Data were extracted
on intended and achieved oxygen saturation levels as determined by pulse
oximetry. Twenty-two SUPPORT consent forms were examined for statements about
oxygen interventions. RESULTS: While the high oxygen saturation target range (91
to 95%) was consistent with usual care, the low range (85 to 89%) was not used
outside of the SUPPORT trial according to surveys and clinical studies of usual
care. During usual care, similar lower limits (< 88%) were universally paired
with higher upper limits (>= 92%) and providers skewed achieved oxygen
saturations toward the upper-end of these intended ranges. Blinded targeting of a
low narrow range resulted in significantly lower achieved oxygen saturations and
a doubling of time spent below the lower limit of the intended range compared to
usual care practices. The SUPPORT consent forms suggested that the low oxygen
saturation arm was a widely practiced subset of usual care. CONCLUSIONS: SUPPORT
does not exemplify comparative effectiveness research studying practices or
therapies in common use. Descriptions of major differences between the
interventions studied and commonly practiced usual care, as well as potential
risks associated with these differences, are essential elements of adequate
informed consent.
PMID- 27191963
TI - Chronic Anterior Uveitis in Children.
AB - PURPOSE: To describe the visual prognosis and remission rate of chronic anterior
uveitis in pediatric patients. METHODS: A retrospective case series of children
with chronic anterior uveitis treated in the University of Buenos Aires. Juvenile
idiopathic arthritis was defined according to the International League of
Associations for Rheumatology criteria. Uveitis remission was defined according
to SUN criteria. RESULTS: There were 35 pediatric patients (61 eyes), mean age of
uveitis onset was 7.69 +/- 3 years, 60% were females and 74% had bilateral
disease, median follow-up was 60 months (range: 12-192 months). JIA was more
frequent in females (80.95 vs 21.43; p<0.0001) and oligoarthritis was the most
common form. Remission rate was higher in males (HR = 4.99; 95% CI = 1.16-21.51;
p = 0.031). More than 40 eyes remained with the same BCVA at 1 year of follow-up.
CONCLUSIONS: Although remission was not common and only occurred after long-term
follow-up, visual prognosis was good Received 30 August 2015; revised 6 March
2016; accepted 14 March 2016; published online 18 May 2016.
PMID- 27191964
TI - Psychological Disorders and Psychosocial Resources of Patients with Newly
Diagnosed Bladder and Kidney Cancer: A Cross-Sectional Study.
AB - PURPOSE: Psychological disorders have been proven to be associated with poor
physiological, psychological and immune outcomes in cancer patients. However,
despite of many challenges of the changed self-image/body image and the altered
sexual/urinary function, relatively little is known about psychological disorders
of patients with newly diagnosed bladder and kidney cancer. We aimed to
investigate the prevalence of depression, anxiety, post-traumatic stress disorder
(PTSD) and the associated psychosocial factors among bladder/kidney cancer
patients. METHODS: A cross-sectional study was conducted of consecutive
inpatients with bladder/kidney cancer in the First Affiliated Hospital of China
Medical University in Liaoning Province, northeast China. A total of 489 early
stage cancer patients eligible for this study completed questionnaires on
demographic and clinical variables, depression, anxiety, PTSD, perceived social
support and positive psychological variables (hope, optimism and resilience)
anonymously during October 2013 and August 2014. Hierarchical regression analysis
was used to examine the relationships between psychosocial resources and
psychological disorders, while controlling for possible covariates. RESULTS: The
prevalence of depression, anxiety and PTSD was 77.5%, 69.3% and 25.2%,
respectively, while 24.9% of patients had psychological co-morbidity.
Psychosocial resources together explained more than one-third of the variance on
psychological disorders. Under standardized estimate (beta) sequence, patient's
perception of social support from family was significantly associated with
depression, anxiety and PTSD (p < 0.01). Optimism and resilience showed
integrated and independent effects on psychological disorders, and hope
represented the significant association with PTSD only (p < 0.01). CONCLUSIONS:
The high prevalence of psychological disorders in newly diagnosed patients with
early-stage bladder/kidney cancer should receive more attention in Chinese
medical settings. Additionally, in consideration of the different protective
effects of psychosocial resources, the present study demonstrated that one
complete psychological intervention integrating the associated psychosocial
factors are necessary to ameliorate psychological disorders so as to provide
patients with a more holistic cancer care.
PMID- 27191965
TI - Folate-Functionalized Magnetic-Mesoporous Silica Nanoparticles for Drug/Gene
Codelivery To Potentiate the Antitumor Efficacy.
AB - An appropriate codelivery system for chemotherapeutic agents and nucleic acid
drugs will provide a more efficacious approach for the treatment of cancer.
Combining gene therapy with chemotherapeutics in a single delivery system is more
effective than individual delivery systems carrying either gene or drug. In this
work, we developed folate (FA) receptor targeted magnetic-mesoporous silica
nanoparticles for the codelivery of VEGF shRNA and doxorubicin (DOX) (denoted as
M-MSN(DOX)/PEI-FA/VEGF shRNA). Our data showed that M-MSN(DOX)/PEI-FA could
strongly condense VEGF shRNA at weight ratios of 30:1, and possesses higher
stability against DNase I digestion and sodium heparin. In vitro antitumor
activity assays revealed that HeLa cell growth was significantly inhibited. The
intracellular accumulation of DOX by confocal microscopy and fluorescence
spectrophotometry showed that M-MSN(DOX)/PEI-FA were more easily taken up than
nontargeted M-MSN(DOX). Quantitative PCR and ELISA data revealed that M-MSN/PEI
FA/VEGF shRNA induced a significant decrease in VEGF expression as compared to
cells treated with either the control or other complexes. The invasion and
migration phenotypes of the HUVECs were significantly decrease after coculture
with MSN/PEI-FA/VEGF shRNA nanocomplexes-treated HeLa cells. The approach
provides a potential strategy to treat cancer by a singular nanoparticle delivery
system.
PMID- 27191966
TI - Prevalence and Correlates of Any and Frequent Synthetic Cannabinoid Use in a
Representative Sample of High School Students.
AB - BACKGROUND: There is increasing evidence that Synthetic Cannabinoid (SC) use is
associated with adverse health effects, but little is known about the prevalence
of SC use and risk and protective factors for SC use among adolescents.
OBJECTIVES: To determine the prevalence and correlates of any and frequent SC use
in a representative sample of high school students. METHODS: The 2013 Youth Risk
Behavior Survey was administered to 3,928 high school students in Nevada. A state
added question assessed any and frequent SC use (10 or more times). Weighted
logistic regression identified factors for both outcomes. RESULTS: 17.3% of
students reported SC use and 4.3% used SCs frequently. As expected, there were
strong associations between SC use and other substance use. After controlling for
substance use, any SC use was associated with being Hispanic (AOR = 1.74; 95% CI
= 1.27, 2.38) and living in a rural county (AOR = 1.68; 95% CI = 1.26, 2.23).
Frequent SC use was higher among students who were male (AOR = 2.14; 95% CI =
1.19, 3.84), 18 years of age (AOR = 2.34; 95% CI = 1.18, 4.67), lived in a rural
county (AOR = 1.84; 95% CI = 1.11, 3.04), and were offered, sold, or given
illegal drugs on school property (AOR = 2.40; 95% CI = 1.53, 3.79). Protective
factors for frequent SC use included high parental monitoring (AOR = 0.54; 95% CI
= 0.31, 0.93) and sports team participation (AOR = 0.61; 95% CI = 0.37, 0.99).
CONCLUSIONS/IMPORTANCE: SC prevention policies and programs should focus on
adolescents who live in rural settings and engage in substance use. Initiatives
to address drug availability as school and promote parent involvement are also
warranted.
PMID- 27191967
TI - Heterogeneous and Dynamic Prevalence of Asymptomatic Influenza Virus Infections.
AB - Influenza infection manifests in a wide spectrum of severity, including
symptomless pathogen carriers. We conducted a systematic review and meta-analysis
of 55 studies to elucidate the proportional representation of these asymptomatic
infected persons. We observed extensive heterogeneity among these studies. The
prevalence of asymptomatic carriage (total absence of symptoms) ranged from 5.2%
to 35.5% and subclinical cases (illness that did not meet the criteria for acute
respiratory or influenza-like illness) from 25.4% to 61.8%. Statistical analysis
showed that the heterogeneity could not be explained by the type of influenza,
the laboratory tests used to detect the virus, the year of the study, or the
location of the study. Projections of infection spread and strategies for disease
control require that we identify the proportional representation of these
insidious spreaders early on in the emergence of new influenza subtypes or
strains and track how this rate evolves over time and space.
PMID- 27191969
TI - Do Surgeons or Does Hospital Economics Ultimately Decide Operative Approach?
Reply.
PMID- 27191970
TI - Infrequent Complications of Inferior Oblique Recession Surgery.
AB - PURPOSE: To report the infrequent complications, including antielevation and
adherence syndrome, after a unilateral and bilateral inferior oblique (IO)
recession procedure and to discuss the possible causes. METHODS: A retrospective
chart review was conducted for patients on whom unilateral or bilateral IO
weakening surgeries were performed. RESULTS: Forty-three patients were included
in the study. In 23 patients unilateral and in 20 patients bilateral IO weakening
was performed. All patients underwent IO recession surgery. Mild limitation of
elevation developed in two patients and adherence syndrome developed in one
patient. All occurred after unilateral IO recession. No duction deficiency was
observed in patients after bilateral IO recession. CONCLUSION: Antielevation and
adherence syndrome can develop after unilateral IO recession surgery. Care should
be taken not to tighten the neurofibrovascular bundle of the IO muscle during
surgery.
PMID- 27191968
TI - Transcription Factor SP2 Enhanced the Expression of Cd14 in Colitis-Susceptible
C3H/HeJBir.
AB - Genetic analysis in the IL10-deficient mouse model revealed a modifier locus of
experimental inflammatory bowel disease (IBD) on chromosome 18, with the allele
of the strain C3H/HeJBir (C3Bir) conferring resistance and the allele of C57BL/6J
(B6) conferring susceptibility. Differential Cd14 expression was associated with
this background specific susceptibility to intestinal inflammation. Polymorphisms
of the Cd14 promoter were found to be likely causative for strain specific
expression, and Cd14-knockout mice revealed a protective role of this gene
product in experimental IBD. In this study, luciferase reporter assays confirmed
an increased activity of the C3Bir derived Cd14 promoter compared to the one of
B6. Promoter truncation experiments and site-directed mutagenesis in both strains
resulted in reduced Cd14 promoter activity and confirmed that a central AP1 and
the proximal SP1 transcription factor binding sites mediated the basal activity
of the Cd14 promoter in the mouse. Moreover, a T to C exchange at position -259
replaced putative STAT1 and CDX1 sites in the Cd14 promoter from B6 by a SP2 site
in C3Bir. Ablation of the Sp2 site through truncation was associated with a
decreased promoter activity. Site-directed mutagenesis also demonstrated that the
inactivation of SP2 led to a substantial loss of promoter activity in C3Bir.
Performing electrophoretic mobility shift and supershift assays demonstrated
interaction of SP2 with its potential binding site. In addition, retroviral
mediated overexpression of the SP2 transcription factor in primary bone marrow
macrophages derived from C3Bir mice caused a significant increase in Cd14
transcription. These data characterized SP2 as important factor responsible for
higher Cd14 expression and reduced IBD susceptibility mediated by the C3Bir
allele.
PMID- 27191972
TI - Hemophagocytic Lymphohistiocytosis and Progressive Disseminated Histoplasmosis.
PMID- 27191971
TI - Selenium reduces enterohemorrhagic Escherichia coli O157:H7 verotoxin production
and globotriaosylceramide receptor expression on host cells.
AB - AIM: This study investigated the efficacy of selenium (Se) in reducing
Escherichia coli O157:H7 verotoxin production and toxin gene expression.
Additionally, the effect of Se on globotriaosylceramide (Gb3) receptor in human
lymphoma cells was determined. MATERIALS & METHODS: The effect of Se on verotoxin
synthesis was determined by standard ELISA, whereas its effect on Gb3 receptor
was determined by flow cytometry and real-time quantitative PCR. RESULTS &
CONCLUSIONS: Se reduced extracellular and intracellular verotoxin concentration
by 40-60% and 80-90%, respectively (p < 0.05), and downregulated verotoxin genes
(p < 0.05). Se reduced Gb3 receptor synthesis in lymphoma cells, and real-time
quantitative PCR data revealed a significant downregulation of LacCer synthase
gene (GalT2) involved in Gb3 synthesis. Further studies are warranted to validate
these results in an appropriate animal model.
PMID- 27191974
TI - After geneticization.
AB - The concept of geneticization belongs to a style of thinking within the social
sciences that refers to wide-ranging processes and consequences of genetic
knowledge. Lippman's original use of the term was political, anticipating the
onerous consequences of genetic reductionism and determinism, while more recent
engagements emphasise the productivity and heterogeneity of genetic concepts,
practices and technologies. This paper reconstructs the geneticization concept,
tracing it back to early political critiques of medicine. The argument is made
that geneticization belongs to a style of constructionist thinking that obscures
and exaggerates the essentializing effects of genetic knowledge. Following
Hacking's advice, we need a more literal sense of construction in terms of
'assembly' to give a clearer account of the relationship between processes and
products. Using the 'assemblage' concept to explore the social ontology of
genetics, the paper reviews three areas of the empirical literature on
geneticization - disease classification, clinical practice and biosociality - to
show that a new style of thinking has appeared within the social sciences. In the
final assessment, the conditions that gave rise to geneticization are now
obsolete. While it may serve as a useful ritual of debate, conceptually
geneticization offers a limited account of the heterogeneity of socio-technical
change.
PMID- 27191973
TI - Bursicon-alpha subunit modulates dLGR2 activity in the adult Drosophila
melanogaster midgut independently to Bursicon-beta.
AB - Bursicon is the main regulator of post molting and post eclosion processes during
arthropod development. The active Bursicon hormone is a heterodimer of Burs-alpha
and Burs-beta. However, adult midguts express Burs-alpha to regulate the
intestinal stem cell niche. Here, we examined the potential expression and
function of its heterodimeric partner, Burs-beta in the adult midgut.
Unexpectedly, our evidence suggests that Burs-beta is not significantly expressed
in the adult midgut. burs-beta mutants displayed the characteristic developmental
defects but showed wild type-like adult midguts, thus uncoupling the
developmental and adult phenotypes seen in burs-alpha mutants. Gain of function
data and ex vivo experiments using a cAMP biosensor, demonstrated that Burs-alpha
is sufficient to drive stem cell quiescence and to activate dLGR2 in the adult
midgut. Our evidence suggests that the post developmental transactivation of
dLGR2 in the adult midgut is mediated by Burs-alpha and that the beta subunit of
Bursicon is dispensable for these activities.
PMID- 27191975
TI - Extracellular histones identified in crocodile blood inhibit in-vitro HIV-1
infection.
AB - OBJECTIVE: It has been reported that crocodile blood contains potent
antibacterial and antiviral properties. However, its effects on HIV-1 infection
remain unknown. DESIGN: We obtained blood from saltwater crocodiles to examine
whether serum or plasma could inhibit HIV-1 infection. We purified plasma
fractions then used liquid chromatography-mass spectrometry to identify the
inhibitory protein factor(s). We then analyzed the ability of recombinant
proteins to recapitulate HIV-1 inhibition and determine their mechanism of
action. METHODS: Crocodylus porosus plasma was tested for inhibition of Jurkat T
cell HIV-1 infection. Inhibitor(s) were purified by reverse-phase chromatography
then identified by protein liquid chromatography-mass spectrometry. Anti-HIV-1
activity of purified plasma or recombinant proteins were measured by p24 enzyme
linked immunosorbent assay and luciferase readouts, and mechanism of action was
determined by measuring HIV-1 RNA, cDNA and transcription (using 1G5 cells).
RESULTS: Crocodile plasma contains potent inhibitors of HIV-1IIIB infection,
which were identified as histones. Recombinant human histones H1 and H2A
significantly reduced HIV-1JR-FL infection (IC50 of 0.79 and 0.45 MUmol/l,
respectively), whereas H4 enhanced JR-FL luciferase activity. The inhibitory
effects of crocodile plasma, recombinant H1 or recombinant H2A on HIV-1 infection
were during or post-viral transcription. CONCLUSION: Circulating histones in
crocodile blood, possibly released by neutrophil extracellular traps, are
significant inhibitors of HIV-1 infection in-vitro. Extracellular recombinant
histones have different effects on HIV-1 transcription and protein expression and
are downregulated in HIV-1 patients. Circulating histones may be a novel
resistance factor during HIV-1 infection, and peptide versions should be explored
as future HIV-1 therapeutics that modulate viral transcription.
PMID- 27191976
TI - Accelerated CD4+ cell count decline in untreated HIV-1 patients points toward
increasing virulence over the course of the epidemic.
AB - Based on the assumption that the rate of CD4 cell count loss in treatment-naive
patients is correlated with the virulence of HIV-1, we evaluated 4616 patients.
Patients who entered a German national database between 1985 and 1995 had a
median annual CD4 cell count loss of 48 cells/MUl, whereas those registered
between 1999 and 2009 had a median annual CD4 cell count loss of 68 cells/MUl (P
< 0.001). This suggests that HIV-1 virulence has increased over the course of the
epidemic.
PMID- 27191977
TI - Plasma microRNA profiling predicts HIV-associated neurocognitive disorder.
AB - OBJECTIVE: HIV-associated neurocognitive disorder (HAND) is a common neurological
disorder among HIV-infected patients despite the availability of combination
antiretroviral therapy. Host-encoded microRNAs (miRNA) regulate both host and
viral gene expression contributing to HAND pathogenesis and can also serve as
disease biomarkers. Herein, plasma miRNA profiles were investigated in HIV/AIDS
patients with HAND. METHODS: Discovery and Validation Cohorts comprising HIV/AIDS
patients were studied that included patients with and without HAND (non-HAND).
Plasma miRNA levels were measured by array hybridization and verified by
quantitative real-time reverse transcriptase PCR (qRT-PCR). Multiple
bioinformatic and biostatistical analyses were applied to the data from each
cohort. RESULTS: Expression analyses identified nine miRNAs in the Discovery
Cohort (HAND, n = 22; non-HAND, n = 25) with increased levels (>=two-fold) in the
HAND group compared with the non-HAND group (P < 0.05). In the Validation Cohort
(HAND, n = 12; non-HAND, n = 12) upregulation (>=two-fold) of three miRNAs (miR
3665, miR-4516 and miR-4707-5p) was observed in the HAND group that were also
increased in the Discovery Cohort's HAND patients, which were verified
subsequently by qRT-PCR. Receiver-operating characteristic curve analyses for the
three miRNAs also pointed to the diagnosis of HAND (area under curve, 0.87, P <
0.005). Bioinformatics tools predicted that all three miRNAs targeted sequences
of genes implicated in neural development, cell death, inflammation, cell
signalling and cytokine functions. CONCLUSION: Differentially expressed plasma
derived miRNAs were detected in HIV/AIDS patients with HAND that were conserved
across different patient cohorts and laboratory methods. Plasma-derived miRNAs
might represent biomarkers for HAND and also provide insights into disease
mechanisms.
PMID- 27191978
TI - Responsiveness to IL-7 but not to IFN-alpha is diminished in CD4+ T cells from
treated HIV infected patients who experience poor CD4+ T-cell recovery.
AB - OBJECTIVE: To assess CD4 T-cell responsiveness to IL-7 and IFN-alpha in HIV
infected patients who experience poor recovery of CD4 T-cell counts during
therapy (immune failure patients). DESIGN: Responses to IL-7 and IFN-alpha were
compared between HIV-infected immune failure (CD4 cell counts <379 cells/MUl)
patients and immune success (CD4 cell counts >500 cells/MUl) as well as healthy
control patients. METHODS: Flow cytometry was used to assess peripheral blood
mononuclear cells for IL-7-induced proliferation, CD25 expression, and signaling
(signal transducer and activator of transcription 5 phosphorylation and Akt
phosphorylation) in CD4 T cells. Freshly isolated cells were characterized by
expression of IL-7Ralpha (CD127) among CD4 T-cell maturation subsets by flow
cytometry and sorted CD3 T cells were assessed for expression of IFN-alpha and
interferon stimulated genes (2'-5'-oligoadenylate synthetase-1 and myxovirus
resistance A protein) by quantitative real-time PCR. Responses to IFN-alpha were
assessed by induction of signal transducer and activator of transcription 1
phosphorylation and inhibition of IL-7-induced CD4 T-cell proliferation. RESULTS:
IL-7-induced proliferation and CD25 expression were decreased in CD4 T cells from
immune failure patients. CD127 expressing CD4 T cells were decreased, whereas
expression of 2'-5'-oligoadenylate synthetase-1, myxovirus resistance A protein,
and IFN-alpha mRNA were increased in total CD3 T cells from immune failure
patients. CD127 expression correlated with CD25 induction but not proliferation,
whereas T-cell IFN-alpha mRNA was associated with reduced proliferation in CD4 T
cells from immune failure patients. IFN-alpha-mediated induction of signal
transducer and activator of transcription 1 phosphorylation and inhibition of
proliferation were not diminished in CD4 T cells from immune failure patients.
CONCLUSION: IL-7 responsiveness is impaired in immune failure patients and may be
related to expression of CD127 and IFN-alpha.
PMID- 27191979
TI - Association of chronic obstructive pulmonary disease with frailty measurements in
HIV-infected and uninfected Veterans.
AB - OBJECTIVE: Chronic obstructive pulmonary disease (COPD) prevalence is increasing
among aging HIV-infected individuals. We determined the association between COPD
and self-reported measures of frailty [adapted frailty-related phenotype (aFRP)]
and physical limitation, and a clinical biomarker of physiologic frailty
[Veterans Aging Cohort Study (VACS) Index] in HIV-infected compared with
uninfected individuals. DESIGN: Cross-sectional study of VACS participants
between 2002 and 2012. METHODS: Prefrail/aFRP was obtained from self-reported
surveys. Prefrail was defined as 1-2 domains of physical shrinking, exhaustion,
slowness and low physical activity; aFRP was defined as at least 3 domains.
Physical limitation scale was determined from 12 self-reported survey items
assessing limitations performing physical activities. VACS index includes age and
laboratory measurements. We used regression models to test for associations
between COPD and outcomes in models stratified by HIV status. RESULTS: The sample
included 3538 HIV-infected and 3606 uninfected participants; 67 and 63% were
black (P = 0.0003), 97 and 92% were men (P < 0.0001) and 4 and 5% had COPD (P =
0.2). In unadjusted analyses, COPD was associated with all three outcomes (P <
0.0001). In adjusted analyses, COPD was associated with increased prefrail and
aFRP in HIV-infected and uninfected participants (P <= 0.01 for all comparisons).
COPD was associated with physical limitation in both groups (P < 0.0001). There
was an interaction between COPD and physical limitation by HIV status with
increased physical limitation among HIV-infected participants (P = 0.04). COPD
was not associated with VACS index. CONCLUSION: COPD was strongly associated with
aFRP and physical limitations. COPD management may mediate frailty through
functional limitations rather than physiologic biomarkers, especially in HIV
infected individuals.
PMID- 27191980
TI - An oxygen-sensitive luminescent Dy(iii) complex.
AB - This paper presents the first dysprosium(iii) complex,
[{((MeMe)ArO)3tacn}Dy(III)(THF)] (1(Dy)), that shows oxygen-sensitive
luminescence. The synthesis, structure and oxygen-sensitive luminescence
properties of 1(Dy) are reported (Phi = 0.050 and tau = 17.7 MUs under N2, Phi =
0.011 and tau = 4.1 MUs under O2 and KSV = 305 M(-1) in THF; KSV = 0.0077%(-1) in
polystyrene film). The oxygen sensitive mechanism of 1(Dy) is discussed based on
the photophysical properties of the corresponding gadolinium(iii) complex,
[{((MeMe)ArO)3tacn}Gd(III)(THF)].
PMID- 27191981
TI - Crosstalk between the HIF-1 and Toll-like receptor/nuclear factor-kappaB pathways
in the oral squamous cell carcinoma microenvironment.
AB - Hypoxia is a prominent feature of the microenvironment of solid tumors and may
contribute to tumor progression through the oxygen-sensitive transcriptional
regulator hypoxia-inducible factor-1 (HIF-1). Chronic inflammation is another
typical feature. Inflammatory mediators, including Toll-like receptors (TLRs) and
nuclear factor-kappaB (NF-kappaB), play an important role in cancer development.
Recent studies have revealed extensive cross-talk between hypoxia and
inflammation signaling, though the mechanisms remain unclear. Our results confirm
that TLR3 and TLR4 are highly expressed in oral squamous cell carcinoma (OSCC).
Activation of TLR3 and TLR4 stimulated the expression of HIF-1 through NF-kappaB.
In addition, HIF-1 increased the expression of TLR3 and TLR4 through direct
promoter binding. Thus, the TLR/NF-kappaB pathway forms a positive feedback loop
with HIF-1. These results indicate a novel cross-talk between the TLR/NF-kappaB
and HIF-1 signaling, which may contribute to OSCC initiation and progression.
With the elucidation of this novel mechanism, it might serve as a basis for
future microenvironment targeted cancer therapy.
PMID- 27191982
TI - The signaling involved in autophagy machinery in keratinocytes and therapeutic
approaches for skin diseases.
AB - Autophagy is responsible for the lysosomal degradation of proteins, organelles,
microorganisms and exogenous particles. Epidermis primarily consists of
keratinocytes which functions as an extremely important barrier. Investigation on
autophagy in keratinocytes has been continuously renewing, but is not so
systematic due to the complexity of the autophagy machinery. Here we reviewed
recent studies on the autophagy in keratinocyte with a focus on interplay between
autophagy machinery and keratinocytes biology, and novel autophagy regulators
identified in keratinocytes. In this review, we discussed the roles of autophagy
in apoptosis, differentiation, immune response, survival and melanin metabolism,
trying to reveal the possible involvement of autophagy in skin aging, skin
disorders and skin color formation. Since autophagy routinely plays a double
edged sword role in various conditions, its functions in skin homeostasis and
potential application as a therapeutic target for skin diseases remains to be
clarified. Furthermore, more investigations are needed on optimizing designed
strategies to inhibit or enhance autophagy for clinical efficacy.
PMID- 27191985
TI - Gene-expression analysis of gleason grade 3 tumor glands embedded in low- and
high-risk prostate cancer.
AB - The Gleason score (GS) of prostate cancer on diagnostic biopsies is an important
parameter for therapeutic decision-making. Biopsy GS under-estimates the actual
GS at radical prostatectomy in a significant number of patients due to
samplingartifact. The aim of this study was to identify markers that are
differentially expressed in Gleason grade 3 (GG3) tumor glands embedded in GS 4 +
3 = 7 and GS 3 + 3 = 6 prostate cancer using laser capture microdissection and
RNA sequencing.GG3 tumor glands embedded in nine GS 3 + 3 = 6 and nine GS 4 + 3 =
7 prostate cancers were isolated by laser capture microdissection of frozen
radical prostatectomy specimens. After RNA amplification and RNA sequencing,
differentially expressed genes in both GG3 components were identified by a 2log
fold change > 1.0 and p-value < 0.05. We applied immunohistochemistry on a tissue
micro-array representing 481 radical prostatectomy samples for further validation
on protein level.A total of 501 genes were up-regulated and 421 down-regulated in
GG3 glands embedded in GS 4 + 3 = 7 as compared to GS 3 + 3 = 6 prostate cancer.
We selected HELLS, ZIC2 and ZIC5 genes for further validation. ZIC5 mRNA was up
regulated 17 fold (p = 8.4E-07), ZIC2 8 fold (p = 1.3E-05) and HELLS 2 fold (p =
0.006) in GG3 glands derived from GS 4 + 3 = 7. HELLS expression of >= 1%
occurred in 10% GS < 7, 17% GS 7 and 43% GS >7 prostate cancer (p < 0.001). Using
a cut-off of >= 1%, protein expression of ZIC5 was present in 28% GS < 7, 43% GS
7 and 57% GS > 7 cancer (p < 0.001). ZIC2 was neither associated with GS nor
outcome in our validation set. HELLS was independently predictive for biochemical
recurrence after radical prostatectomy (HR 2.3; CI 1.5-3.6; p < 0.01).In
conclusion, HELLS and ZIC5 might be promising candidate markers for selection of
biopsy GS 6 prostate cancer being at risk for up-grading at prostatectomy.
PMID- 27191984
TI - Altered methylation of glucosylceramide synthase promoter regulates its
expression and associates with acquired multidrug resistance in invasive ductal
breast cancer.
AB - Overexpression of glucosylceramide synthase (GCS) increases multidrug resistance
(MDR) in many cancer cells. However, its mechanism is unknown. The aim of the
present study is to detect the association of methylation at the GCS gene
promoter with its expression and MDR in invasive ductal breast cancer. 40 cases
GCS-positive and 40 cases GCS-negative primary breast carcinoma samples, three
drug-sensitive breast cancer cell lines and one multidrug-resistant breast cancer
cell line were used. Immunohistochemistry, methylation-specific PCR (MSP),
quantitative real-time (qPCR), westernblot and cytotoxicity assay techniques were
employed. Thwe results revealed that there was a statistically negative
correlation between GCS CpG islands methylation and GCSphenotype in patients with
breast cancer. GCS CpG islands methylation was negatively associated with high
ER, meanwhile positively with high HER-2 status. Similar results were obtained
from the analysis of breast cancer cell lines. Treatment with the demethylating
agent 5-aza-2'-deoxycytidine (5-Aza-dc) changed the GCS promoter methylation
pattern in three sensitive cells and also caused increased drug resistance of
them. These results suggested that the changes of DNA methylation status of the
GCS promoter correlates with multidrug resistance in breast cancer.
PMID- 27191983
TI - The emerging roles of exosomes in leukemogeneis.
AB - Communication between leukemia cells and their environment is essential for the
development and progression of leukemia. Exosomes are microvesicles secreted by
many types of cells that contain protein and RNA and mediate intercellular
communication. The involvement of exosomes has been demonstrated in the crosstalk
between leukemic cells, stromal cells and endothelial cells, consequently
promoting the survival of leukemic cells, protection of leukemic cells from the
cytotoxic effects of chemotherapeutic drugs, angiogenesis and cell migration. At
the same time, exosomes can be used for the detection and monitoring of leukemia,
with some advantage over current methods of detection and surveillance. As they
are involved in immune response towards leukemic cells, exosomes can also
potentially be exploited to augment immunotherapy in leukemia. In this review, we
first describe the general characteristics of exosomes and biogenesis of
exosomes. We then highlight the emerging role of exosomes in different types of
leukemia. Finally, the clinical value of exosomes as biomarkers, in vivo drug
carriers and novel exosome-based immunotherapy are discussed.
PMID- 27191986
TI - GRK3 is a direct target of CREB activation and regulates neuroendocrine
differentiation of prostate cancer cells.
AB - Neuroendocrine prostate cancer (NEPC) is an aggressive subtype of prostate cancer
that commonly arises through neuroendocrine differentiation (NED) of prostate
adenocarcinoma (PAC) after therapy, such as radiation therapy and androgen
deprivation treatment (ADT). No effective therapeutic is available for NEPC and
its molecular mechanisms remain poorly understood. We have reported that G
protein-coupled receptor kinase 3 (GRK3, also called ADRBK2) promotes prostate
cancer progression. In this study, we demonstrate that the ADT-activated cAMP
response element binding protein (CREB) directly targets and induces GRK3. We
show GRK3 expression is higher in NEPC than in PAC cells and mouse models, and it
positively correlates with the expression and activity of CREB in human prostate
cancers. Notably, overexpression of GRK3 in PAC cells increased the expression of
NE markers in a kinase activity dependent manner. Conversely, silencing GRK3
blocked CREB-induced NED in PAC cells, reversed NE phenotypes and inhibited
proliferation of NEPC cells. Taken together, these results indicate that GRK3 is
a new critical activator of NE phenotypes and mediator of CREB activation in
promoting NED of prostate cancer cells.
PMID- 27191987
TI - Ginsenoside Rg1 and platelet-rich fibrin enhance human breast adipose-derived
stem cell function for soft tissue regeneration.
AB - Adipose-derived stem cells (ASCs) can be used to repair soft tissue defects,
wounds, burns, and scars and to regenerate various damaged tissues. The cell
differentiation capacity of ASCs is crucial for engineered adipose tissue
regeneration in reconstructive and plastic surgery. We previously reported that
ginsenoside Rg1 (G-Rg1 or Rg1) promotes proliferation and differentiation of ASCs
in vitro and in vivio. Here we show that both G-Rg1 and platelet-rich fibrin
(PRF) improve the proliferation, differentiation, and soft tissue regeneration
capacity of human breast adipose-derived stem cells (HBASCs) on collagen type I
sponge scaffolds in vitro and in vivo. Three months after transplantation, tissue
wet weight, adipocyte number, intracellular lipid, microvessel density, and gene
and protein expression of VEGF, HIF-1alpha, and PPARgamma were higher in both G
Rg1- and PRF-treated HBASCs than in control grafts. More extensive new adipose
tissue formation was evident after treatment with G-Rg1 or PRF. In summary, G-Rg1
and/or PRF co-administration improves the function of HBASCs for soft tissue
regeneration engineering.
PMID- 27191988
TI - Elevated ZC3H15 increases HCC growth and predicts poor survival after surgical
resection.
AB - Zinc finger CCCH-type containing 15 (ZC3H15), also known as DRG family regulatory
protein 1 (DFRP1), is a highly conserved eukaryotic protein that associates with
active translation machinery. The aim of our study was to explore the clinical
relevance and intrinsic functions of ZC3H15 in hepatocellular carcinoma (HCC). We
constructed a cohort with 261 tumor and matched normal tissues from HCC patients.
ZC3H15 protein and mRNA levels were determined using immunohistochemistry,
western blot analysis, and quantitative polymerase chain reaction. ZC3H15 was
highly expressed in the majority of HCC cases, and high ZC3H15 levels were
significantly associated with high serum a-fetoprotein (AFP) levels (>20 ng/mL)
and vascular invasion. Kaplan-Meier and Cox regression data indicated that
elevated ZC3H15 was an independent predictor for HCC-specific disease-free
survival (hazards ratio [HR], 1.789; 95% confidence interval [95% CI], 1.298
2.466 [P=0.0004]) and overall survival (HR, 1.613; 95% CI, 1.120-2.322
[P=0.0101]). Interaction of ZC3H15 with TRAF2 increased activation of NFkappaB
signaling. These results suggest ZC3H15 is an independent prognostic marker in
HCC patients that is clinicopathologically associated with tumor invasion and
serum AFP levels.
PMID- 27191990
TI - A unique set of 6 circulating microRNAs for early detection of non-small cell
lung cancer.
AB - INTRODUCTION: Circulating microRNAs are promising biomarkers for diagnosis,
predication and prognostication of diseases. Lung cancer is the cancer disease
accountable for most cancer deaths, largely due to being diagnosed at late
stages. Therefore, diagnosing lung cancer patients at an early stage is crucial
for improving the outcome. The purpose of this study was to identify circulating
microRNAs for detection of early stage lung cancer, capable of discriminating
lung cancer patients from those with chronic obstructive pulmonary disease (COPD)
and healthy volunteers. RESULTS: We identified 7 microRNAs separating lung cancer
patients from controls. By using RT-qPCR, we validated 6 microRNAs (miR-429, miR
205, miR-200b, miR-203, miR-125b and miR-34b) with a significantly higher
abundance in serum from NSCLC patients. Furthermore, the 6 miRNAs were validated
in a different dataset, revealing an area under the receiver operating
characteristic curve of 0.89 for stage I-IV and 0.88 for stage I/II. MATERIALS
AND METHODS: We profiled the expression of 754 unique microRNAs by TaqMan Low
Density Arrays, and analyzed serum from 38 patients with NSCLC, 16 patients
suffering from COPD and 16 healthy volunteers from Norway, to explore their
potential as diagnostic biomarkers. For validation, we analyzed serum collected
from high-risk individuals enrolled in the Valencia branch of the International
Early Lung Cancer Action Program screening trial (n=107) in addition to 51 lung
cancer patients. CONCLUSIONS: Considering the accessibility and stability of
circulating miRNAs, these 6 microRNAs are promising biomarkers as a supplement in
future screening studies.
PMID- 27191991
TI - Pathological complete response as a surrogate for relapse-free survival in
patients with triple negative breast cancer after neoadjuvant chemotherapy.
AB - We retrospective analyzed triple negative breast cancer (TNBC) patients who
received either taxane-based or anthracycline-based neoadjuvant chemotherapy,
evaluated whether pathological complete response (pCR) is a surrogate endpoint
for relapse free survival (RFS) in TNBC and explored which subgroup of patients
benefits more from superior treatment regimen. 186 patients received taxane-based
(Group A) or anthracycline-based (Group B) neoadjuvant chemotherapy, median
follow-up was 48.1 months. 42 patients received total pCR (ypT0/is ypN0), 34 in
Group A and 8 in Group B, p < 0.001. Patients who achieved pCR had an increased
RFS when compared with non-pCR patients, p = 0.043. Patients in Group A had a
better RFS, p = 0.025, after adjusting for tumor size and clinical lymph node
status before neoadjuvant therapy. Only patients sensitive to neoadjuvant
chemotherapy exhibited RFS benefit from taxane-based treatment, and those who
were treatment insensitive had similar RFS between both groups. Our analysis
showed Taxane-based regimen had higher pCR rate and could predict improved RFS in
TNBC, and the prognostic value was greater in treatment sensitive patients. This
retrospective analysis supports the use of pCR as a surrogate endpoint for RFS in
TNBC.
PMID- 27191989
TI - Proteomic analysis of stromal proteins in different stages of colorectal cancer
establishes Tenascin-C as a stromal biomarker for colorectal cancer metastasis.
AB - Tumor microenvironment is crucial to tumor development and metastasis. Little is
known about the roles of stromal proteins in colorectal carcinogenesis. In this
study, we used a combination of laser capture microdissection (LCM), iTRAQ
labeling and two-dimensional liquid chromatography-tandem mass spectrometry (2D
LC-MS/MS) to compare stromal proteomes in different stages of colorectal cancer.
A total of 1966 proteins were identified, and 222 proteins presenting a
significant fold change were quantified in different stages. Differentially
expressed proteins (DEPs) were subjected to cluster and pathway analyses. We
confirmed the differential expression of Tenascin-C and S100A9 using
immunohistochemical analysis, and found that the expression levels of S100A9 and
Tenascin-C were correlated with TNM stages and metastasis. In addition, our
results showed that Tenascin-C was abundantly secreted by the colon cancer cells
with high metastatic potential, and highly expressed in lymph nodes with
metastasis. Our studies not only shed light on the mechanism by which stromal
proteins contributed to colorectal carcinogenesis, but also identified Tenascin-C
as a potential stromal biomarker for colorectal cancer metastasis.
PMID- 27191994
TI - Methylation-mediated repression of microRNA-129-2 suppresses cell aggressiveness
by inhibiting high mobility group box 1 in human hepatocellular carcinoma.
AB - Aberrant expression of microRNAs (miRNAs) and its dysfunction have been revealed
as crucial modulators of cancer initiation and progression. MiR-129-2 has been
reported to play a tumor suppressive role in different human malignancies. Here,
we demonstrated that miR-129-2 was significantly decreased in hepatocellular
carcinoma (HCC) tissues and cell lines. Furthermore, miR-129-2 was expressed at
significant lower levels in aggressive and recurrent tumor tissues. Clinical
analysis indicated that miR-129-2 expression was inversely correlated with venous
infiltration, high Edmondson-Steiner grading and advanced tumor-node-metastasis
(TNM) stage in HCC. Notably, miR-129-2 was an independent prognostic factor for
indicating overall survival (OS) and disease-free survival (DFS) of HCC patients.
Ectopic expression of miR-129-2 inhibited cell migration and invasion in vitro
and in vivo. Furthermore, we confirmed that high mobility group box 1 (HMGB1) was
a direct target of miR-129-2, and it abrogated the function of miR-129-2 in HCC.
Mechanistic investigations showed that miR-129-2 overexpression inhibited AKT
phosphorylation at Ser473 and decreased the expression of matrix
metalloproteinase2/9 (MMP2/9). Upregulation of p-AKT abolished the decreased cell
migration and invasion induced by miR-129-2 in HCC. Whereas inhibition of Akt
phosphorylation significantly decreased HMGB1-enhanced HCC cell migration and
invasion. Moreover, we found that miR-129-2 was downregulated by DNA methylation,
and demethylation of miR-129-2 increased miR-129-2 expression in HCC cells and
resulted in significant inhibitory effects on cell migration and invasion. In
conclusion, miR-129-2 may serve as a prognostic indicator for HCC patients and
exerts tumor suppressive role, at least in part, by inhibiting HMGB1.
PMID- 27191993
TI - The histone methyltransferase EZH2 as a novel prosurvival factor in clinically
aggressive chronic lymphocytic leukemia.
AB - The histone methyltransferase EZH2 induces gene repression through trimethylation
of histone H3 at lysine 27 (H3K27me3). EZH2 overexpression has been reported in
many types of cancer and associated with poor prognosis. Here we investigated the
expression and functionality of EZH2 in chronic lymphocytic leukemia (CLL).
Aggressive cases with unmutated IGHV genes (U-CLL) displayed significantly higher
EZH2 expression compared to indolent CLL cases with mutated IGHV genes (M-CLL);
furthermore, in U-CLL EZH2 expression was upregulated with disease progression.
Within U-CLL, EZH2high cases harbored significantly fewer (p = 0.033) TP53 gene
abnormalities compared to EZH2low cases. EZH2high cases displayed high H3K27me3
levels and increased viability suggesting that EZH2 is functional and likely
confers a survival advantage to CLL cells. This argument was further supported by
siRNA-mediated downmodulation of EZH2 which resulted in increased apoptosis.
Notably, at the intraclonal level, cell proliferation was significantly
associated with EZH2 expression. Treatment of primary CLL cells with EZH2
inhibitors induced downregulation of H3K27me3 levels leading to increased cell
apoptosis. In conclusion, EZH2 is overexpressed in adverse-prognosis CLL and
associated with increased cell survival and proliferation. Pharmacologic
inhibition of EZH2 catalytic activity promotes apoptosis, highlighting EZH2 as a
novel potential therapeutic target for specific subgroups of patients with CLL.
PMID- 27191996
TI - Programmed death-ligand-1 expression in advanced gastric cancer detected with RNA
in situ hybridization and its clinical significance.
AB - PD-L1 expression may be a predictive marker for anti-PD-1 therapeutic efficacy.
No standard detection method of PD-L1 expression was available for advanced
gastric cancer (AGC), which would be investigated in this study using RNA in situ
hybridization and immunohistochemistry. Patients (N = 165) with AGC treated at
Peking University Cancer Hospital from October 2008 to February 2013 were
retrospectively studied. Tissue samples prior to chemotherapy were assessed for
PD-L1 expression using RNA in situ hybridization (an RNAscope assay) and
immunohistochemistry (IHC). The correlations of PD-L1 expression to patient
characteristics and clinical outcomes were statistically analyzed. PD-L1 mRNA
signals were located in tumor compartments or the mesenchyme in a brown dotted or
clustered pattern, and PD-L1 mRNA expression in gastric cancer was heterogeneous.
PD-L1-positive expressions were observed in 33.9% (56/165) and 35.1% (46/131)
patients in mRNA level and protein level, respectively. A positive relationship
was found between PD-L1 mRNA and PD-L1 protein, and compared to IHC, RNAscope
assay could provide an intuitional and quantitative data with potential clinical
application. No statistically significant differences occurred between PD-L1
expression and clinical response to chemotherapy, or survival. However, we found
that PD-L1 expression was higher in intestinal type than in diffuse type. These
findings suggested that the RNAscope assay may be a promising method for patient
assessment in gastric cancer clinical trials, which would be illustrated in
further study.
PMID- 27191992
TI - Practical aspects of NGS-based pathways analysis for personalized cancer science
and medicine.
AB - Nowadays, the personalized approach to health care and cancer care in particular
is becoming more and more popular and is taking an important place in the
translational medicine paradigm. In some cases, detection of the patient-specific
individual mutations that point to a targeted therapy has already become a
routine practice for clinical oncologists. Wider panels of genetic markers are
also on the market which cover a greater number of possible oncogenes including
those with lower reliability of resulting medical conclusions. In light of the
large availability of high-throughput technologies, it is very tempting to use
complete patient-specific New Generation Sequencing (NGS) or other "omics" data
for cancer treatment guidance. However, there are still no gold standard methods
and protocols to evaluate them. Here we will discuss the clinical utility of each
of the data types and describe a systems biology approach adapted for single
patient measurements. We will try to summarize the current state of the field
focusing on the clinically relevant case-studies and practical aspects of data
processing.
PMID- 27191998
TI - Can new treatment developments combat resistance in malaria?
PMID- 27191995
TI - Strategies to improve treatment outcome in gastric cancer: a retrospective
analysis of patients from two high-volume hospitals in Korea and China.
AB - China has high incidence of gastric cancer (GC). However, the treatment outcomes
of China were unsatisfactory compared to those of Korea. We performed this study
to compare tumour characteristics, treatment parameters, and survival outcomes of
GC patients between Korea and China based on the databases of two high-volume
hospitals, with the aim of identifying indicators of GC prognosis. Data of
patients undergoing gastrectomy for GC from 2006 to 2010 were analysed
retrospectively. Subgroup survival analyses, stratified by clinicopathologic
factors and multivariable analyses, were performed. The interactive roles of
chemotherapy and D2 lymphadenectomy for overall survival were also investigated.
Among 1365 Chinese and 4981 Korean patients, the proportion of early cancer
detection in Chinese patients was much lower relative to that of Korean patients.
There were no significant differences between countries in terms of surgical
morbidity and mortality. The overall 5-year survival rates were 54.3% and 81.4%;
when stratified by clinicopathologic factors, the survival were generally
statistically higher in Korean patients. Gender, age, T stage, N stage, extent of
lymphadenectomy, radicality of surgery, resection type, and chemotherapy were
independently associated with survival in patients without metastasis. Survival
rates for stage II and III GC differed significantly between the two countries,
but this difference was eliminated among patients who underwent D2
lymphadenectomy or received chemotherapy. These treatments were given to patients
with advanced-stage diagnoses (approximately 20% and 80% of patients,
respectively). Treatment type was selected as independent prognostic factors in
stage I-III and D2/D2+, with chemotherapy resulting in the best prognosis. Many
differences in GC tumour characteristics exist between two countries. Early
cancer detection and standardized treatment in Korea contribute to superior
survival rates. Promotion of an early screening program, training and
dissemination of standard D2 lymphadenectomy, and appropriate applications of
chemotherapy would improve survival outcomes.
PMID- 27192006
TI - Doctor to Doctor.
PMID- 27192005
TI - Get a Medical Student.
PMID- 27192007
TI - The Medical Bookshelf.
PMID- 27191997
TI - A novel microfluidic model can mimic organ-specific metastasis of circulating
tumor cells.
AB - A biomimetic microsystem might compensate costly and time-consuming animal
metastatic models. Herein we developed a biomimetic microfluidic model to study
cancer metastasis. Primary cells isolated from different organs were cultured on
the microlfuidic model to represent individual organs. Breast and salivary gland
cancer cells were driven to flow over primary cell culture chambers, mimicking
dynamic adhesion of circulating tumor cells (CTCs) to endothelium in vivo. These
flowing artificial CTCs showed different metastatic potentials to lung on the
microfluidic model. The traditional nude mouse model of lung metastasis was
performed to investigate the physiological similarity of the microfluidic model
to animal models. It was found that the metastatic potential of different cancer
cells assessed by the microfluidic model was in agreement with that assessed by
the nude mouse model. Furthermore, it was demonstrated that the metastatic
inhibitor AMD3100 inhibited lung metastasis effectively in both the microfluidic
model and the nude mouse model. Then the microfluidic model was used to mimick
liver and bone metastasis of CTCs and confirm the potential for research of
multiple-organ metastasis. Thus, the metastasis of CTCs to different organs was
reconstituted on the microfluidic model. It may expand the capabilities of
traditional cell culture models, providing a low-cost, time-saving, and rapid
alternative to animal models.
PMID- 27192009
TI - A special prescription for Mrs Rowbottom.
PMID- 27192008
TI - Bowel disorders.
PMID- 27192010
TI - Cme Credit QUIZ.
PMID- 27192011
TI - Primary Care Digest.
PMID- 27192013
TI - Diverticular Disease.
PMID- 27192014
TI - Acyl-homoserine Lactone from Saccharum * officinarum with Stereochemistry
Dependent Growth Regulatory Activity.
AB - Acyl-homoserine lactones (AHLs) are a class of compounds produced by Gram
negative bacteria that are used in a process of chemical communication called
quorum sensing. Much is known about how bacteria use these chemical compounds to
control the expression of important factors; however, there have been few reports
about the presence and effects of AHLs in plants. In this study, the
phytochemical study of leaves and culms of sugar cane (Saccharum * officinarum)
led to the identification of N-(3-oxo-octanoyl)homoserine lactone. Since the
absolute configuration of the natural product could not be determined, both R and
S enantiomers of N-(3-oxo-octanoyl)homoserine lactone were synthesized and tested
in sugar cane culms. The enantiomers caused changes in the mass and length of
buds and roots when used at micromolar concentrations. Using the sugar cane RB96
6928 variety, the S enantiomer increased sprouting of roots more effectively than
the R enantiomer. Furthermore, scanning electron microscopy showed that both the
R and S enantiomers led to more stretched root cells compared with the control.
PMID- 27192015
TI - Active insecticides for Diaphania hyalinata selective for the natural enemy
Solenopsis saevissima.
AB - The objective of this study was to determine the toxicity of the nine synthetic
dienamides against the insect pest Diaphania hyalinata (melonworm) and the
selectivity of these substances for the predator Solenopsis saevissima (fire
ant). Four bioassays were conducted. To begin with, the dienamides that caused
high mortality of D. hyalinata have been selected. In the second bioassay the
dose-mortality curves of the selected dienamides have been constructed. In the
third bioassay, the survival curves for D. hyalinata and the elapsed time to kill
50% of their population have been determined. In the fourth biological test, the
selectivity of the substances to the predator S. saevissima has been evaluated.
The most active (2E,4E)-N-butylhexa-2,4-dienamide 3d has killed 95% of the
melonworm, D. hyalinata, and less than 10% of the natural enemy S. saevissima.
The results presented by this compound are superior to the outcome displayed by
the commercial insecticide Malathion(r). Three of the dienamides prepared in this
manuscript have proven to be selective in killing the pest, but not the
beneficial insect.
PMID- 27192017
TI - Comparative Molecular Dynamics Study on Tri-n-butyl Phosphate in Organic and
Aqueous Environments and Its Relevance to Nuclear Extraction Processes.
AB - A refined model for tri-n-butyl phosphate (TBP), which uses a new set of partial
charges generated from our ab initio density functional theory calculations, has
been proposed in this study. Molecular dynamics simulations are conducted to
determine the thermodynamic properties, transport properties, and the microscopic
structures of liquid TBP, TBP/water mixtures, and TBP/n-alkane mixtures. These
results are compared with those obtained from four other TBP models, previously
described in the literature. We conclude that our refined TBP model appears to be
the only TBP model from this set that, with reasonable accuracy, can
simultaneously predict the properties of TBP in bulk TBP, in organic diluents,
and in aqueous solution. The other models only work well for two of the three
systems mentioned above. This new TBP model is thus appropriate for the
simulation of liquid-liquid extraction systems in the nuclear extraction process,
where one needs to simultaneously model TBP in both aqueous and organic phases.
It is also promising for the investigation of the microscopic structure of the
organic phase in these processes and for the characterization of third-phase
formation, where TBP again interacts simultaneously with both polar and nonpolar
molecules. Because the proposed TBP model uses OPLS-2005 Lennard-Jones
parameters, it may be used with confidence to model mixtures of TBP with other
species whose parameters are given by the OPLS-2005 force field.
PMID- 27192016
TI - Circulating microRNAs predict future fatal myocardial infarction in healthy
individuals - The HUNT study.
AB - Coronary heart disease is the most common cause of death, and the number of
individuals at risk is increasing. To better manage this pandemic, improved tool
for risk prediction, including more accurate biomarkers are needed. The objective
of this study was to assess the utility of circulating microRNAs (miRs) to
predict future fatal acute myocardial infarction (AMI) in healthy participants.
We performed a prospective nested case-control study with 10-year observation
period and fatal AMI as endpoint. In total, 179 miRs were quantified by real-time
polymerase chain reaction in serum of 112 healthy participants (40-70years) that
either (1) suffered from fatal AMI within 10years [n=56], or (2) remained healthy
[n=56, risk factor-matched controls]. Candidate miRs were validated in a separate
cohort of healthy individuals (n=100). Twelve miRs were differently expressed in
cases and controls in the derivation cohort (p<0.05). Among these, 10 miRs
differed significantly between cases and controls in the validation cohort
(p<0.05). We identified gender dimorphisms, as miR-424-5p and miR-26a-5p were
associated exclusively with risk in men and women, respectively. The best model
for predicting future AMI consisted of miR-106a-5p, miR-424-5p, let-7g-5p, miR
144-3p and miR-660-5p, providing 77.6% correct classification for both genders,
and 74.1% and 81.8% for men and women, respectively. Adding these 5 miRs to the
Framingham Risk Score, increased the AUC from 0.72 to 0.91 (p<0.001). In
conclusion, we identified several miRs associated with future AMI, revealed
gender-specific associations, and proposed a panel of 5 miRs to enhance AMI risk
prediction in healthy individuals.
PMID- 27192018
TI - Self-assembly of polymeric micelles into complex but regular superstructures
based on highly controllable core-core fusion between the micelles.
AB - Herein, we report a facile but highly controllable method to induce core-core
fusion for not only spherical but also worm-like polymeric micelles, leading to
various complex but regular superstructures including "random worm-like co
micelles", "block worm-like co-micelles" and octopus-like superparticles.
PMID- 27192019
TI - AFM Studies on Liquid Superlubricity between Silica Surfaces Achieved with
Surfactant Micelles.
AB - By using atomic force microscopy (AFM), we showed that the liquid superlubricity
with a superlow friction coefficient of 0.0007 can be achieved between two silica
surfaces lubricated by hexadecyltrimethylammonium bromide (C16TAB) solution.
There exists a critical load that the lubrication state translates from superlow
friction to high friction reversibly. To analyze the superlow friction mechanism
and the factors influencing the critical load, we used AFM to measure the
structure of adsorbed C16TAB molecules and the normal force between two silica
surfaces. Experimental results indicate that the C16TAB molecules are firmly
adsorbed on the two silica surfaces by electrostatic interaction, forming
cylinder-like micelles. Meanwhile, the positively charged headgroups exposed to
solution produce the hydration and double layer repulsion to bear the applied
load. By controlling the concentration of C16TAB solution, it is confirmed that
the critical load of superlow friction is determined by the maximal normal force
produced by the hydration layer. Finally, the superlow friction mechanism was
proposed that the adsorbed micellar layer forms the hydration layer, making the
two friction surfaces be in the repulsive region and meanwhile providing
excellent fluidity without adhesion between micelles.
PMID- 27192020
TI - Neutrophil-related and serum biomarkers in granulomatosis with polyangiitis
support extracellular traps mechanism of the disease.
AB - OBJECTIVES: Granulomatosis with polyangiitis (GPA) is an autoimmune disease with
still unknown etiology. Recent studies indicate that neutrophils extra-cellular
traps participate in the pathophysiology of GPA. This study investigates the
levels of circulating NET formation markers and neutrophil-platelet interaction
in patients with GPA. METHODS: We enrolled 40 GPA patients (20 in the active
stage of the disease and 20 in remission). Twenty sex- and age-matched healthy
subjects served as a control group. Serum/plasma levels of serine proteases, and
histone-, myeloperoxidase-, proteinase-3 DNA complexes and sP-selectin were
measured using ELISA or Luminex assays. Circulating platelet-neutrophil
aggregates and neutrophils activation markers expression was measured by flow
cytometry. RESULTS: Patients in active stage of GPA had higher circulating levels
of serine proteases, DNA-histone and myeloperoxidase -DNA complexes. In addition,
platelet-neutrophil aggregates and sP-selectin were also elevated in this group.
Platelet-neutrophil aggregates and myeloperoxidase -DNA complexes correlated
positively with the disease activity score (BVAS). CONCLUSIONS: NETs production
and activation of platelets in GPA is supported by elevated myeloperoxidase-DNA
complexes and platelet-neutrophil aggregates correlating positively with the
disease activity score. This mechanism justifies laboratory measurements of
myeloperoxidase-DNA complexes and plasma sP-selectin as biomarkers for studying
GPA activity.
PMID- 27192021
TI - Chiral Sensor for Enantiodiscrimination of Varied Acids.
AB - A chiral thiophosphoroamide 4 derived from (1R,2R)-1,2-diaminocyclohexane is used
as a highly effective chiral sensor for the chiral recognition of varied acids
via ion-pairing and hydrogen-bonding interactions using (1)H, (19)F and (31)P
NMR.
PMID- 27192022
TI - A system for evaluating the impact of noise pollution on the population's health.
AB - The aim of this study was to develop a support system for the evaluation of noise
pollution, applied to the central urban area of Rio Claro, Sao Paulo State,
Brazil. Data were obtained from noise measurements and interviews with the
population, generating the following indicators: equivalent sound level (Leq ),
traffic noise index (LTNI ), and a participatory diagnosis (Dp ), integrated
through a fuzzy inference system (FIS). The proposed system allowed classifying
the measurement points according to the degree of impact of noise pollution on
the population's health (IPS ) in the study area. Impact was considered
significant in 31.4% of the measurement points and very significant in 62.9%. The
FIS can be adjusted to local conditions, allowing generalization and thus also
supporting noise pollution evaluation and respective environmental noise
management in other geographic areas.
PMID- 27192023
TI - In the choice between health and money, health comes first: an analysis of
happiness among rural Chilean elderly.
AB - We studied the relationship between happiness and individual socio-demographic
context and health and dietary variables by interviewing 389 elderly individuals
(age 60-90 years) living in rural areas in the Maule Region of Central Chile. The
Lyubomirsky & Lepper (1999) subjective happiness scale was used. Ordinal logistic
regression models were estimated. The discrete dependent variable was level of
happiness. The following variables were significantly associated with happiness:
(1) individual socio-demographic variables like age and satisfaction with the
economic situation; (2) health variables like independence in activities of daily
living, common activities, and self-rated health; and (3) dietary variables such
as life satisfaction related to food and the frequency with which the elders
shared dinner with others. The study results suggest more efficient efforts at
healthy eating for the elderly in rural areas.
PMID- 27192024
TI - Zika virus and women.
PMID- 27192025
TI - Eating patterns in the Brazilian Longitudinal Study of Adult Health (ELSA
Brasil): an exploratory analysis.
AB - The food consumption of 15,071 public employees was analyzed in six Brazilian
cities participating in the baseline for Brazilian Longitudinal Study of Adult
Health (ELSA-Brasil, 2008-2010) with the aim of identifying eating patterns and
their relationship to socio-demographic variables. Multiple correspondence and
cluster analysis were applied. Four patterns were identified, with their
respective frequencies: "traditional" (48%); "fruits and vegetables" (25%);
"pastry shop" (24%); and "diet/light" (5%) The "traditional" and "pastry shop"
patterns were more frequent among men, younger individuals, and those with less
schooling. "Fruits and vegetables" and "diet/light" were more frequent in women,
older individuals, and those with more schooling. Our findings show the inclusion
of new items in the "traditional" pattern and the appearance of the "low
sugar/low fat" pattern among the eating habits of Brazilian workers, and signal
socio-demographic and regional differences.
PMID- 27192026
TI - The debate on abortion and Zika: lessons from the AIDS epidemic.
PMID- 27192027
TI - [A comprehensive and critical view of conceptual models for access to health
services, 1970-2013].
AB - The aim of this study was to critically analyze various conceptual models on
access to health services described in the literature from 1970 to 2013. A
systematic review was conducted on applied and theoretical research publications
that explicitly conceptualized access to health services. The review included 25
articles that met the study's objectives. The analysis used a matrix containing
the conceptual model's logic and its description. Access to health services was
classified in five categories: (i) decent minimums, (ii) market-driven, (iii)
factors and multicausality, (iv) needs-based, and (v) social justice and the
right to health. The study concludes that the predominant concept of access in
the literature has been the market logic of medical care services, linked to the
logic of factors and multicausality. Meanwhile, no conceptual model was found for
access to health services based explicitly on social justice and the right to
health.
PMID- 27192028
TI - Is cognitive decline in the elderly associated with contextual income? Results of
a population-based study in southern Brazil.
AB - The aim of this study was to estimate the association between contextual income
and cognitive decline in the elderly in Florianopolis, a medium-sized city in
southern Brazil. A nested cross-sectional study was performed in a cohort of
elderly >= 60 years (n = 1,197), interviewed in the second wave (2013/2014) of
the EpiFloripa cohort. Cognitive decline was assessed with the Mini Mental State
Examination (MMSE) and contextual income was measured as the mean monthly income
of the heads of households. Individual adjustment variables were sex, age, skin
color, per capita household income, years of schooling, and time living in the
neighborhood. The data were analyzed using multilevel logistic regression. The
odds of cognitive decline were twice as high (OR = 1.99; 95%CI: 1.03; 3.87) in
elderly living in census tracts with the lowest income quintile compared to those
in the highest quintile, independently of individual characteristics. In
conclusion, the socioeconomic environment is related to cognitive decline and
should be considered in public policies with a focus on health of the elderly.
PMID- 27192029
TI - [Where Descartes got it right: the implications for science, biomedicine, and
public health].
AB - The "received view" of Descartes has shaped the image of a dualist thinker who
radically separated mind and body and thus laid the foundations for a "divided
modernity". Numerous epithets have been applied to Cartesian thinking, all of
which now sound depreciative: mechanicism, determinism, and reductionism, among
others. This article contends that Descartes was not the type of dualist that is
normally assumed. Based on a rereading of two essential works (Discourse on
Method and Metaphysical Meditations) and a dialogue with the new literature on
the theme, we contend that overcoming the "received view" of Descartes can shed
new light on discussions in (and of) the collective health field and highlight
the so-called expanded health paradigm (including aspects beyond the biological
or physiological, such as the psychological, social, economic, cultural, and
political).
PMID- 27192031
TI - Zika in Cadernos de Saude Publica / Reports in Public Health: again?
PMID- 27192032
TI - Barriers and Facilitators to the Use of Mental Health Services by Women With
Elevated Symptoms of Depression and Their Partners.
AB - This qualitative descriptive study explored the barriers and facilitators to the
use of mental health services reported by women with elevated symptoms of
depression in the postpartum period and their partners. Data were collected
through individual semi-structured interviews of 30 heterosexual couples. Content
analysis revealed five principal barriers and facilitators: (a) accessibility and
proximity, (b) appropriateness and fit, (d) stigma, (e) encouraged to seek help,
and (f) personal characteristics. The study highlights the importance of barriers
and facilitators to be taken into consideration in order to promote the use of
mental health services for women with elevated symptoms of depression in the
postpartum period.
PMID- 27192034
TI - The Mediterranean diet and age-related cognitive functioning: A systematic review
of study findings and neuropsychological assessment methodology.
AB - OBJECTIVES: The primary aims of this review were to identify studies
investigating the association between the MedDiet pattern and age-related
cognitive function, to determine the current status of knowledge, and to
ascertain whether a lack of standardization with the operationalization of age
related cognitive function and differences in the chosen neuropsychological
assessment methodology impacted on the results and findings. METHODS: The
systematic review protocol for this paper was carried out following the statement
and general principles of PRISMA and the UK Centre for Reviews and Dissemination
(CRD). RESULTS: A systematic search of electronic databases yielded two cross
sectional studies, two cross-sectional/prospective studies, and 11 prospective
studies for inclusion. Among this group of studies, conflicting results and
conclusions regarding the efficacy of the MedDiet as a therapeutic approach for
age-related cognitive function were found. Of importance, clear differences among
studies in relation to neuropsychological assessment methodology were identified.
Such disparity appeared to be one plausible factor contributing to the lack of
consensus among study findings. DISCUSSION: One of the important challenges for
future research will be to aim toward some kind of standardized
neuropsychological assessment criteria. This type of endeavor will enable the
ability to validate with greater confidence, whether or not adherence to a
MedDiet does promote benefit for age-related cognitive function.
PMID- 27192035
TI - Optimization of electrospinning parameters for polyacrylonitrile-MgO nanofibers
applied in air filtration.
AB - The present study aimed to optimize the electrospinning parameters for
polyacrylonitrile (PAN) nanofibers containing MgO nanoparticle to obtain the
appropriate fiber diameter and mat porosity to be applied in air filtration.
Optimization of applied voltage, solution concentration, and spinning distance
was performed using response surface methodology. In total, 15 trials were done
according to the prepared study design. Fiber diameter and porosity were measured
using scanning electron microscopic (SEM) image analysis. For air filtration
testing, the nanofiber mat was produced based on the suggested optimum conditions
for electrospinning. According to the results, the lower solution concentration
favored the thinner fiber. The larger diameter gave a higher porosity. At a given
spinning distance, there was a negative correlation between fiber diameter and
applied voltage. Moreover, there were curvilinear relationships between porosity
and both spinning distance and applied voltage at any concentration. It was also
concluded that the developed filter medium could be comparable to the high
efficiency particulate air (HEPA) filter in terms of collection efficiency and
pressure drop. The empirical models presented in this study can provide an
orientation to the subsequent experiments to form uniform and continuous
nanofibers for future application in air purification. IMPLICATIONS: High
efficiency filtration is becoming more important, due to decreasing trends air
quality. Effective filter media are increasingly needed in industries applying
clean-air technologies, and the necessity for developing the high-performance air
filters has been more and more felt. Nanofibrous filter media that are mostly
fabricated via electrospinning technique have attracted considerable attention in
the last decade. The present study aimed to develop the electrospun PAN
containing MgO nanoparticle (using the special functionalities such as absorption
and adsorption characteristics, antibacterial functionality, and as a pore
forming agent) filter medium through experimental investigations for application
in high-performance air filters.
PMID- 27192033
TI - Functional characterization of SlitPBP3 in Spodoptera litura by CRISPR/Cas9
mediated genome editing.
AB - Functional gene analysis by using genome editing techniques is limited only in
few model insects. Here, we reported an efficient and heritable gene mutagenesis
analysis in an important lepidopteran pest, Spodoptera litura, using the
CRISPR/Cas9 system. By using this system, we successfully obtained the homozygous
S. litura strain by targeting the pheromone binding protein 3 gene (SlitPBP3),
which allowed us to elucidate the role of this gene in the olfaction of the
female sex pheromones. By co-injection of Cas9 mRNA and sgRNA into S. litura
eggs, highly efficient chimera mutation in SlitPBP3 loci was detected both in
injected eggs (39.1%) and in the resulting individual moths (87.5%). We used the
mutant moths as parents to obtain the G1 offspring and the homozygous mutant
strain in G2. The function of SlitPBP3 was explored by Electroantennogram (EAG)
recordings with a homozygous mutant strain. The result showed that the EAG
responses were significantly decreased in mutant males than in control males when
treated with the major sex pheromone component (Z9,E11-14:Ac) and a minor
component (Z9-14:Ac) at higher dosages. The results demonstrate that s SlitPBP3
gene plays a minor role in the perception of the female sex pheromones.
Furthermore, our study provides a useful methodology with the CRISPR/Cas9 system
for gene in vivo functional study, particular for lepidopteran species in which
the RNAi approach is not efficient.
PMID- 27192036
TI - X-ray Crystallographic Structure and Solution Behavior of an Antiparallel Coiled
Coil Hexamer Formed by de Novo Peptides.
AB - The self-assembly of peptides and proteins into higher-ordered structures is
encoded in the amino acid sequence of each peptide or protein. Understanding the
relationship among the amino acid sequence, the assembly dynamics, and the
structure of well-defined peptide oligomers expands the synthetic toolbox for
these structures. Here, we present the X-ray crystallographic structure and
solution behavior of de novo peptides that form antiparallel coiled-coil hexamers
(ACC-Hex) by an interaction motif neither found in nature nor predicted by
existing peptide design software. The 1.70 A X-ray crystallographic structure of
peptide 1a shows six alpha-helices associating in an antiparallel arrangement
around a central axis comprising hydrophobic and aromatic residues. Size
exclusion chromatography studies suggest that peptides 1 form stable oligomers in
solution, and circular dichroism experiments show that peptides 1 are stable to
relatively high temperatures. Small-angle X-ray scattering studies of the
solution behavior of peptide 1a indicate an equilibrium of dimers, hexamers, and
larger aggregates in solution. The structures presented here represent a new
motif of biomolecular self-assembly not previously observed for de novo peptides
and suggest supramolecular design principles for material scaffolds based on
coiled-coil motifs containing aromatic residues.
PMID- 27192038
TI - Periorbital cellulitis in children: Analysis of outcome of intravenous antibiotic
therapy.
AB - Periorbital cellulitis is a relatively common ocular disease in the pediatric
population. Early diagnosis of this disease with a prompt intervention is
critical to avoid vision and life-threatening complications. In the last years,
medical therapy has been expanding for the treatment of orbital cellulitis,
instead of the standard surgical approach. The purpose of this study was to
describe the outcome of treatment with intravenous antibiotic of periorbital
cellulitis in children. A retrospective review of all children admitted with
periorbital cellulitis in our hospital between January 2002 and July 2013 was
conducted. Cases were divided in two subgroups, pre-septal and post-septal
infection. The demographics, clinical findings, treatment and outcomes were
analyzed. In total 110 children were included, 93 with pre-septal and 17 with
post-septal cellulitis. The mean age was 3.5 years in children with pre-septal
cellulitis and 5.5 years in those with post-septal cellulitis (p = 0.149). For
both subgroups the most common predisposing factor was sinusitis. Intravenous
antibiotic therapy was successful in all except one patient with an orbital
abscess who required surgical intervention. In our study complete recovery was
achieve in all (except for one) children with periorbital cellulitis treated with
intravenous antibiotics only.
PMID- 27192037
TI - Compaction and segregation of sister chromatids via active loop extrusion.
AB - The mechanism by which chromatids and chromosomes are segregated during mitosis
and meiosis is a major puzzle of biology and biophysics. Using polymer
simulations of chromosome dynamics, we show that a single mechanism of loop
extrusion by condensins can robustly compact, segregate and disentangle
chromosomes, arriving at individualized chromatids with morphology observed in
vivo. Our model resolves the paradox of topological simplification concomitant
with chromosome 'condensation', and explains how enzymes a few nanometers in size
are able to control chromosome geometry and topology at micron length scales. We
suggest that loop extrusion is a universal mechanism of genome folding that
mediates functional interactions during interphase and compacts chromosomes
during mitosis.
PMID- 27192039
TI - Natural or replacer sources of milk in lambs during feeding adaptation:
influences on performance, metabolism of protein and lipid and
oxidative/antioxidant status.
AB - This study aimed to evaluate the effect of milk feeding using milk replacer (MR)
or natural ewe's milk (NEM) for Lacaune lambs on performance, protein and lipid
metabolism and oxidative/antioxidant status. These parameters were evaluated
during the period of feeding adaptation, which corresponded to 12 days after
ewe's separation. Fifteen lambs were selected and divided into two groups: Group
A (n = 7) with lambs receiving natural milk; Group B (n = 8) with lambs receiving
a milk replacer. Liquid food supply, for both groups, was set according to their
body weight, given in two daily periods. Feed intake was also obtained by
individual body weight and adjusted according leftovers. Blood samples were
collected on three different days (0, 6 and 12) to perform the serum analysis of
total protein, albumin, cholesterol, triglycerides, alanine aminotransferase
(ALT) and urea. Additionally, the oxidative profile was analysed in sera samples
through the assessment of TBARS (reactive substances thiobarbituric acid) and ROS
(reactive oxygen species) which aims to identify lipid peroxidation and free
radical levels, respectively, as well as the antioxidant profile evaluating
glutathione S-transferase (GST). There was no statistical difference (p > 0.05)
between groups on levels of total protein, albumin and globulin. However,
cholesterol (day 6), triglycerides (days 6 and 12), urea (day 6) and ALT (day 12)
levels differed (p < 0.05) between groups. Values of total protein, globulin, ALT
and TBARS differed (p < 0.05) along the time. The average weight gain and body
weight differed (p < 0.05) only on day 12, showing that weight gain was higher
for lambs fed with NEM. The performance of lambs fed with MR was impaired.
Biochemical parameters had a slight variation between groups and along the time,
as well as oxidative/antioxidant status did not change in the different liquid
diets.
PMID- 27192040
TI - Optimized approach to cine MRI of uterine peristalsis.
AB - PURPOSE: To determine the optimal slice thickness, playback rate, and scan time
for uterine peristalsis with 3.0T magnetic resonance imaging (MRI). MATERIALS AND
METHODS: In all, 23 young female volunteers underwent a 3.0T MRI scan with
different slice thicknesses of 3 mm (Cine3mm ), 5 mm (Cine5mm ), and 7 mm
(Cine7mm ) for 6 minutes. Subjective image quality score, signal-to-noise ratios
(SNRs), and contrast-to-noise ratios (CNRs) of those MR images were evaluated by
two radiologists independently. The number, intensity, and direction of uterine
peristalsis with different thickness were compared at various playback rates.
Also, the peristalsis frequency was counted and compared in different acquisition
durations (1-6 minutes). RESULTS: The subjective image quality score, peristalsis
number, and intensity were significantly higher in Cine7mm and Cine5mm than
Cine3mm (P < 0.05), while the SNRs and CNRs of Cine7mm were significantly higher
than Cine3mm (P < 0.05). Peristalsis numbers did not differ significantly at
different playback rates with the same slice thickness (P = 0.548-0.962).
However, peristalsis intensity at 12*, and 15* was significantly greater than
that at 8* the actual speed for Cine7mm and Cine5mm (P < 0.05). The peristalsis
frequency at 3, 4, 5, 6 minutes was significantly higher than that at 1 minute
and 2 minutes (P < 0.05). CONCLUSION: We recommend a slice thickness of 5 mm or 7
mm and a scan time of 3 minutes for uterine peristalsis with 3.0T MRI, and a
playback rate of 12* or 15* the actual speed for peristalsis observation. J.
Magn. Reson. Imaging 2016;44:1397-1404.
PMID- 27192041
TI - Advanced Nursing Process quality: Comparing the International Classification for
Nursing Practice (ICNP) with the NANDA-International (NANDA-I) and Nursing
Interventions Classification (NIC).
AB - AIMS AND OBJECTIVES: To assess the quality of the advanced nursing process in
nursing documentation in two hospitals. BACKGROUND: Various standardised
terminologies are employed by nurses worldwide, whether for teaching, research or
patient care. These systems can improve the quality of nursing records, enable
care continuity, consistency in written communication and enhance safety for
patients and providers alike. DESIGN: Cross-sectional study. METHODS: A total of
138 records from two facilities (69 records from each facility) were analysed,
one using the NANDA-International and Nursing Interventions Classification
terminology (Centre 1) and one the International Classification for Nursing
Practice (Centre 2), by means of the Quality of Diagnoses, Interventions, and
Outcomes instrument. Quality of Diagnoses, Interventions, and Outcomes scores
range from 0-58 points. Nursing records were dated 2012-2013 for Centre 1 and
2010-2011 for Centre 2. RESULTS: Centre 1 had a Quality of Diagnoses,
Interventions, and Outcomes score of 35.46 (+/-6.45), whereas Centre 2 had a
Quality of Diagnoses, Interventions, and Outcomes score of 31.72 (+/-4.62) (p <
0.001). Centre 2 had higher scores in the 'Nursing Diagnoses as Process'
dimension, whereas in the 'Nursing Diagnoses as Product', 'Nursing Interventions'
and 'Nursing Outcomes' dimensions, Centre 1 exhibited superior performance;
acceptable reliability values were obtained for both centres, except for the
'Nursing Interventions' domain in Centre 1 and the 'Nursing Diagnoses as Process'
and 'Nursing Diagnoses as Product' domains in Centre 2. CONCLUSION: The quality
of nursing documentation was superior at Centre 1, although both facilities
demonstrated moderate scores considering the maximum potential score of 58
points. Reliability analyses showed satisfactory results for both standardised
terminologies. RELEVANCE TO CLINICAL PRACTICE: Nursing leaders should use a
validated instrument to investigate the quality of nursing records after
implementation of standardised terminologies.
PMID- 27192042
TI - Discrepancies between direct and indirect measures of interpersonal and
neurocognitive skills in autism spectrum disorder children.
AB - BACKGROUND: Subjects with autistic spectrum disorders (ASD) show persistent
deficits in social interaction. In order to explore the scope of their deficits,
it is of great interest to compare the different interpersonal skills and
executive functions of ASD subjects to those of children with typical
development. Assessing these skills usually involves a large variety of
informants (parents, teachers, other family members) and of measures, with
frequent discrepancies between direct performance measures and indirect measures
(third-party report). Different explanations of this mismatch between measures
have been addressed in previous studies. OBJECTIVES: We wish to analyze whether
there are differences between children with ASD and children with typical
development on several direct performance measures of interpersonal and
neurocognitive skills and one third-party report on real-life performance of
interpersonal skills; we also want to look at whether discrepancies appear
between the two types of measurements in the two groups of participants. METHOD:
A total of 68 Spanish children between the ages of 7 and 12 years participated;
34 were children with ASD, and 34 showed typical development. All participants
were tested for recognition of emotions, solving interpersonal conflicts, and
executive function. RESULTS: Significant differences between the two groups were
not found on most of the direct performance measures, but they did appear in the
report by third parties, in favor of the group with typical development. There
was also a significant association between neurocognitive and social variables in
the latter group. CONCLUSIONS: There were intergroup differences and
discrepancies between the direct performance and indirect measures in children
with ASD, and these must be explained. For this reason, future studies could seek
to explain the cause of these discrepancies with a greater number of measures for
each of the skills.
PMID- 27192043
TI - Integration of Genomic and Other Epidemiologic Data to Investigate and Control a
Cross-Institutional Outbreak of Streptococcus pyogenes.
AB - Single-strain outbreaks of Streptococcus pyogenes infections are common and often
go undetected. In 2013, two clusters of invasive group A Streptococcus (iGAS)
infection were identified in independent but closely located care homes in
Oxfordshire, United Kingdom. Investigation included visits to each home, chart
review, staff survey, microbiologic sampling, and genome sequencing. S. pyogenes
emm type 1.0, the most common circulating type nationally, was identified from
all cases yielding GAS isolates. A tailored whole-genome reference population
comprising epidemiologically relevant contemporaneous isolates and published
isolates was assembled. Data were analyzed independently using whole-genome
multilocus sequencing and single-nucleotide polymorphism analyses. Six isolates
from staff and residents of the homes formed a single cluster that was separated
from the reference population by both analytical approaches. No further cases
occurred after mass chemoprophylaxis and enhanced infection control. Our findings
demonstrate the ability of 2 independent analytical approaches to enable robust
conclusions from nonstandardized whole-genome analysis to support public health
practice.
PMID- 27192045
TI - Access to and characteristics of palliative care-related hospitalization in the
management of end-stage renal disease patients on renal replacement therapy in
France.
AB - AIM: Palliative care is seldom proposed to patients with end-stage renal disease
(ESRD) despite a mortality rate and disease burden as high as among cancer
patients. The aim of this study is to analyze the access of palliative care
related hospitalization in the management of patients on dialysis in France, by
describing the characteristics of these hospitalizations, the clinical status of
the concerned patients, and the use of palliative care in those stopping
dialysis. METHODS: The French Renal Epidemiology and Information Network (REIN)
registry includes data about 51 834 patients aged 20 years and older who began
dialysis from 1 January 2008 to 31 December 2013, and were followed
longitudinally until that date. Linkage to the anonymized national hospital
discharge database allowed us to analyse hospitalizations associated with
palliative care. RESULTS: During the follow-up period, 1865 patients (3.6%) had a
palliative care-related hospitalization corresponding to a total of 3382
hospitalizations. Lower levels of serum albumin, active cancer, and impaired
mobility were each independently associated with the probability of at least one
such hospitalization. During the same period 4540 patients withdrew from dialysis
(9% of the patients), 10% of them had a palliative care-related hospitalization.
CONCLUSION: This study suggests that among ESRD patients, only a few resorted to
palliative care-related hospitalization, even those withdrawing from dialysis.
Cooperation between nephrologists and physicians trained in palliative care
should be improved at least to the extent necessary to identify patients who
should be referred to palliative care. Our study also highlights the need for
more information on the current access to any kind of supportive care for
dialysis patients.
PMID- 27192044
TI - Gestation related karyotype, QF-PCR and CGH-array failure rates in diagnostic
amniocentesis.
AB - BACKGROUND: Few data exist describing laboratory related failure rates in
prenatal diagnosis. The aim of this study is to assess the laboratory associated
failure rate for karyotype, QF-PCR and CGH-array following amniocentesis in
relation to gestation. METHODS: Retrospective database study of amniocenteses
performed 2004-2014 comparing laboratory failure rate for karyotype, QF-PCR and
CGH-array between 16 + 0 and 40 + 0 weeks' gestation. RESULTS: A total of 10 484
amniotic fluid test results were collected in three databases. Karyotype failed
in 41/1797 (2.3%) tests; failure rate was significantly greater with advancing
gestation reaching 43% at 36-40 weeks. QF-PCR failed in 132/5715 tests (2.3%) and
was significantly greater with advancing gestation reaching 7% at 36-40 weeks.
For CGH-array, 10/298 tests (3.4%) failed analysis. In one case, no result was
obtainable by any technique. CONCLUSIONS: These data provide gestation specific
laboratory failure rates for amniocentesis enabling informed decisions about the
timing and laboratory technique most applicable to the clinical situation. Before
20 weeks, karyotype is least likely to fail of the three techniques. However, in
the late third trimester, QF-PCR and, in particular, karyotyping are more likely
to fail than CGH-array. Although there is some overlap between the three
different tests, they may be preferentially offered in different clinical
scenarios. (c) 2016 John Wiley & Sons, Ltd.
PMID- 27192046
TI - Ophthalmic diagnostic testing and examination findings in a colony of captive
brown pelicans (Pelecanus occidentalis).
AB - OBJECTIVE: To establish reference values and report ophthalmic examination
findings in a colony of captive brown pelicans (Pelecanus occidentalis). DESIGN:
Descriptive study. ANIMALS STUDIED: Sixty-three captive brown pelicans in Florida
were examined. PROCEDURES: A complete ophthalmic examination including Schirmer
tear test (STT), applanation tonometry, fluorescein stain, biomicroscopy, and
direct and indirect ophthalmoscopy was performed. A-scan ultrasonography was
performed to measure axial globe length (AGL), anterior chamber depth (ACD), lens
thickness, and vitreal chamber length. Fifty-two adults and 11 juvenile pelicans
with an age range of 4 months to 38 years were evaluated. RESULTS: Twenty-nine
pelicans (46%) had a normal ocular examination. Mean STT in normal pelicans was
5.45 +/- 1.88 mm/min. Mean intraocular pressure (IOP) in normal pelicans was
10.86 +/- 1.61 mmHg. One pelican was fluorescein positive unilaterally. Mean AGL
was 20.70 +/- 0.62 mm. Mean ACD was 3.38 +/- 0.19 mm. Mean axial lens diameter
(ALD) was 5.19 +/- 0.23 mm. Mean vitreal chamber depth (VCD) was 12.15 +/- 0.53
mm. Twenty-three pelicans (36.51%) had cataracts, 17 pelicans (26.98%) had
vitreal degeneration, 18 pelicans (28.57%) had corneal disease, and seven
pelicans (11.11%) had evidence of significant ocular trauma, which included
collapsed anterior chamber or lens luxation. CONCLUSIONS AND CLINICAL RELEVANCE:
To the author's knowledge, this is the first report of normal ophthalmic
parameters and the incidence and type of ocular disease in a captive flock of
brown pelicans. This information may aid in the diagnosis and treatment of brown
pelicans with ocular disease.
PMID- 27192047
TI - Exercise intolerance in pulmonary hypertension: mechanism, evaluation and
clinical implications.
AB - INTRODUCTION: Exercise intolerance in pulmonary hypertension (PH) is a major
factor affecting activities of daily living and quality of life. Evaluation
strategies (i.e., non-invasive and invasive tests) are integral to providing a
comprehensive assessment of clinical and functional status. Despite a growing
body of literature on the clinical consequences of PH, there are limited studies
discussing the contribution of various physiological systems to exercise
intolerance in this patient population. AREAS COVERED: This review, through a
search of various databases, describes the physiological basis for exercise
intolerance across the various PH etiologies, highlights the various exercise
evaluation methods and discusses the rationale for exercise training amongst
those diagnosed with PH. Expert commentary: With the growing importance of
evaluating exercise capacity in PH (class 1, Level C recommendation),
understanding why exercise performance is altered in PH is crucial. Thus, the
further study is required for better quality evidence in this area.
PMID- 27192048
TI - Cinnamomum verum ingredient 2-methoxycinnamaldehyde: a new antiproliferative drug
targeting topoisomerase I and II in human lung squamous cell carcinoma NCI-H520
cells.
AB - Cinnamomum verum has been used as a Chinese herbal medication. We investigated
the antiproliferative effect of 2-methoxycinnamaldehyde (2-MCA), a constituent of
the cortex of the plant, and the molecular biomarkers associated with
tumorigenesis in human lung squamous cell carcinoma NCI-H520 cells. The effects
of 2-MCA on cell growth, cytotoxicity, apoptosis, and topoisomerase I and II
activities in human lung squamous cell carcinoma NCI-H520 cells were evaluated in
vitro and in vivo. The results showed that 2-MCA inhibited proliferation and
induced apoptosis as implicated by mitochondrial membrane potential (DeltaPsim)
loss, activation of both caspase 3 and caspase 9, as well as morphological
characteristics of apoptosis. Furthermore, 2-MCA also induced lysosomal
vacuolation with elevated volume of acidic compartment and cytotoxicity, and
inhibited topoisomerase I as well as II activities. Additional study showed the
antiproliferative effect of 2-MCA in a nude mice model. In short, our data imply
that the antiproliferative activity of 2-MCA in vitro involved downregulation of
cell growth markers, both topoisomerase I and II, and upregulation of
proapoptotic molecules, associated with increased lysosomal vacuolation. In vivo,
2-MCA reduced the tumor size, which could have had a significant clinical impact.
Our data imply that 2-MCA may be a potential agent for chemoprevention as well as
anticancer therapy.
PMID- 27192049
TI - Correction: Morphogenesis of the C. elegans Intestine Involves Axon Guidance
Genes.
AB - [This corrects the article DOI: 10.1371/journal.pgen.1005950.].
PMID- 27192050
TI - Attention-Deficit/Hyperactivity Disorder Trajectories From Childhood to Young
Adulthood: Evidence From a Birth Cohort Supporting a Late-Onset Syndrome.
AB - IMPORTANCE: The requirement of a childhood onset has always been a key criterion
for the diagnosis of attention-deficit/hyperactivity disorder (ADHD) in adults,
but recently this requirement has become surrounded by controversy. OBJECTIVE: To
investigate whether impaired young adults with ADHD symptoms always have a
childhood-onset disorder in a population-based longitudinal study. DESIGN,
SETTING, AND PARTICIPANTS: Participants belonged to the 1993 Pelotas Birth Cohort
Study, including 5249 individuals born in Pelotas, Brazil, in 1993. They were
followed up to 18 to 19 years of age, with 81.3% retention. The data analysis was
performed between August 8, 2015, and February 5, 2016. MAIN OUTCOMES AND
MEASURES: The ADHD status was first ascertained at 11 years of age using a
screening instrument (hyperactivity subscale of the Strength and Difficulties
Questionnaire) calibrated for a DSM-IV ADHD diagnosis based on clinical
interviews with parents using the Development and Well-Being Assessment. At 18 to
19 years of age, ADHD diagnosis was derived using DSM-5 criteria, except age at
onset. We estimated the overlap between these groups assessed at 11 and 18 to 19
years of age and the rates of markers of impairment in these 2 groups compared
with those without ADHD. RESULTS: At 11 years of age, childhood ADHD (C-ADHD) was
present in 393 individuals (8.9%). At 18 to 19 years of age, 492 individuals
(12.2%) fulfilled all DSM-5 criteria for young adult ADHD (YA-ADHD), except age
at onset. After comorbidities were excluded, the prevalence of YA-ADHD without
comorbidities decreased to 256 individuals (6.3%). Children with C-ADHD had a
male preponderance not observed among children without ADHD (251 [63.9%] vs 1930
[47.9%] male, P < .001), whereas the YA-ADHD group had a female preponderance
(192 [39.0%] vs 1786 [50.4%] male, P < .001). Both groups had increased levels of
impairment in adulthood, as measured by traffic incidents, criminal behavior,
incarceration, suicide attempts, and comorbidities. However, only 60 children
(17.2%) with ADHD continued to have ADHD as young adults, and only 60 young
adults (12.6%) with ADHD had the disorder in childhood. CONCLUSIONS AND
RELEVANCE: The findings of this study do not support the assumption that
adulthood ADHD is necessarily a continuation of childhood ADHD. Rather, they
suggest the existence of 2 syndromes that have distinct developmental
trajectories.
PMID- 27192051
TI - Cost-Effectiveness Analysis of Screening for and Managing Identified Hypertension
for Cardiovascular Disease Prevention in Vietnam.
AB - OBJECTIVE: To inform development of guidelines for hypertension management in
Vietnam, we evaluated the cost-effectiveness of different strategies on screening
for hypertension in preventing cardiovascular disease (CVD). METHODS: A decision
tree was combined with a Markov model to measure incremental cost-effectiveness
of different approaches to hypertension screening. Values used as input
parameters for the model were taken from different sources. Various screening
intervals (one-off, annually, biannually) and starting ages to screen (35, 45 or
55 years) and coverage of treatment were analysed. We ran both a ten-year and a
lifetime horizon. Input parameters for the models were extracted from local and
regional data. Probabilistic sensitivity analysis was used to evaluate parameter
uncertainty. A threshold of three times GDP per capita was applied. RESULTS: Cost
per quality adjusted life year (QALY) gained varied in different screening
scenarios. In a ten-year horizon, the cost-effectiveness of screening for
hypertension ranged from cost saving to Int$ 758,695 per QALY gained. For
screening of men starting at 55 years, all screening scenarios gave a high
probability of being cost-effective. For screening of females starting at 55
years, the probability of favourable cost-effectiveness was 90% with one-off
screening. In a lifetime horizon, cost per QALY gained was lower than the
threshold of Int$ 15,883 in all screening scenarios among males. Similar results
were found in females when starting screening at 55 years. Starting screening in
females at 45 years had a high probability of being cost-effective if screening
biannually was combined with increasing coverage of treatment by 20% or even if
sole biannual screening was considered. CONCLUSION: From a health economic
perspective, integrating screening for hypertension into routine medical
examination and related coverage by health insurance could be recommended.
Screening for hypertension has a high probability of being cost-effective in
preventing CVD. An adequate screening strategy can best be selected based on age,
sex and screening interval.
PMID- 27192053
TI - Correction: Two-Level Scheduling for Video Transmission over Downlink OFDMA
Networks.
AB - [This corrects the article DOI: 10.1371/journal.pone.0148625.].
PMID- 27192052
TI - Detectable HIV Viral Load in Kenya: Data from a Population-Based Survey.
AB - INTRODUCTION: At the individual level, there is clear evidence that Human
Immunodeficiency Virus (HIV) transmission can be substantially reduced by
lowering viral load. However there are few data describing population-level HIV
viremia especially in high-burden settings with substantial under-diagnosis of
HIV infection. The 2nd Kenya AIDS Indicator Survey (KAIS 2012) provided a unique
opportunity to evaluate the impact of antiretroviral therapy (ART) coverage on
viremia and to examine the risks for failure to suppress viral replication. We
report population-level HIV viral load suppression using data from KAIS 2012.
METHODS: Between October 2012 to February 2013, KAIS 2012 surveyed household
members, administered questionnaires and drew serum samples to test for HIV and,
for those found to be infected with HIV, plasma viral load (PVL) was measured.
Our principal outcome was unsuppressed HIV viremia, defined as a PVL >= 550
copies/mL. The exposure variables included current treatment with ART, prior
history of an HIV diagnosis, and engagement in HIV care. All point estimates were
adjusted to account for the KAIS 2012 cluster sampling design and survey non
response. RESULTS: Overall, 61.2% (95% CI: 56.4-66.1) of HIV-infected Kenyans
aged 15-64 years had not achieved virological suppression. The base10 median
(interquartile range [IQR]) and mean (95% CI) VL was 4,633 copies/mL (0-51,596)
and 81,750 copies/mL (59,366-104,134), respectively. Among 266 persons taking
ART, 26.1% (95% CI: 20.0-32.1) had detectable viremia. Non-ART use, younger age,
and lack of awareness of HIV status were independently associated with
significantly higher odds of detectable viral load. In multivariate analysis for
the sub-sample of patients on ART, detectable viremia was independently
associated with younger age and sub-optimal adherence to ART. DISCUSSION: This
report adds to the limited data of nationally-representative surveys to report
population- level virological suppression. We established heterogeneity across
the ten administrative and HIV programmatic regions on levels of detectable viral
load. Timely initiation of ART and retention in care are crucial for the
elimination of transmission of HIV through sex, needle and syringe use or from
mother to child. Further refinement of geospatial mapping of populations with
highest risk of transmission is necessary.
PMID- 27192054
TI - A Survey of N'-Nitrosonornicotine (NNN) and Total Water Content in Select
Smokeless Tobacco Products Purchased in the United States in 2015.
AB - This investigation provides an updated survey measuring the levels of N'
nitrosonornicotine (NNN) and water content of a select number of smokeless
tobacco products sold in the United States in 2015. A total of 34 smokeless
tobacco products were collected and analyzed for NNN and water content using LC
MS/MS and GC-TCD, respectively. Smokeless tobacco products were chosen to obtain
a representative sample of the different types of products on the U.S. market.
These smokeless products represent 12 of the 25 top-selling smokeless tobacco
products according to 2013 Nielsen net sales data while five of the smokeless
tobacco products are of lower selling smokeless tobacco products. The NNN levels
and the water content of the smokeless tobacco products were determined and
compared to previous studies. Although the range of NNN levels found was broad
for the examined smokeless tobacco products (0.64-12.0 MUg/g dry weight), dry
snuff had the highest levels of NNN observed (>5 MUg/g dry weight). We observed a
general decrease in NNN levels for the same six moist snuff products that were
analyzed in 2004 compared to our current 2015 study. The water content of the
smokeless tobacco products surveyed ranged from 3.92 to 54.8%.
PMID- 27192056
TI - Correction: Effects of a Closed Space Environment on Gene Expression in Hair
Follicles of Astronauts in the International Space Station.
AB - [This corrects the article DOI: 10.1371/journal.pone.0150801.].
PMID- 27192055
TI - Open-label, multicenter, phase 1 study of alisertib (MLN8237), an aurora A kinase
inhibitor, with docetaxel in patients with solid tumors.
AB - BACKGROUND: This study was designed to determine the safety, tolerability, and
pharmacokinetics (PK) of alisertib (MLN8237) in combination with docetaxel and to
identify a recommended dose for the combination. METHODS: Adults with metastatic
cancer were treated on 21-day cycles with alisertib (10, 20, 30, or 40 mg) twice
daily on days 1 to 7 or days 1 to 5 and with docetaxel (75 or 60 mg/m(2) ) on day
1. The primary objectives were to assess the safety and tolerability of the
combination and to determine the recommended phase 2 dose (RP2D) for future
studies. Secondary objectives included an efficacy assessment and PK analyses of
docetaxel and alisertib. RESULTS: Forty-one patients participated. Eight dose
levels were explored with various doses of alisertib and docetaxel. The dose
limiting toxicities were neutropenic fever, neutropenia without fever,
stomatitis, and urinary tract infection. The RP2D of this combination was 20 mg
of alisertib twice daily on days 1 to 7 and intravenous docetaxel at 75 mg/m(2)
on day 1 in 21-day cycles. Eight of the 28 patients (29%) who were efficacy
evaluable had objective responses. These included 1 complete response in a
patient with bladder cancer, 6 partial responses in patients with castration
resistant prostate cancer, and 1 partial response in a patient with angiosarcoma.
Concomitant administration of alisertib did not produce any clinically meaningful
change in docetaxel PK. CONCLUSIONS: Alisertib at 20 mg twice daily on days 1 to
7 with intravenous docetaxel at 75 mg/m(2) on day 1 in a 21-day cycle was well
tolerated, and the combination demonstrated antitumor activity. Cancer
2016;122:2524-33. (c) 2016 American Cancer Society.
PMID- 27192058
TI - Low quality of dying and death in patients with septic shock as perceived by
nurses and resident physicians.
AB - Septic shock is a disease with both high prevalence and mortality. Few studies
have evaluated the quality of dying and death (QODD) in patients with septic
shock. The authors compared the QODD of patients who died of septic shock versus
other causes. They prospectively collected QODD surveys from nurses and residents
caring for 196 patients who died in the medical intensive care unit (ICU) at an
urban, university hospital. Patients were included in the analysis if either a
nurse or resident returned a survey. Chart review established cause of death. The
authors compared total QODD scores (on a scale of 0-100) and a single-item score
(QODD-1; on a scale of 0-10) of patients who died of septic shock versus other
causes. Survey response rates were 59% (n = 155) for residents and 49% (n = 129)
for nurses. Nurses rated patients as having lower total QODD and QODD-1 scores
for septic (Delta 7.5 points, p = 0.03, and 0.9 points, p = 0.05, respectively).
Residents rated septic patients with lower QODD-1 scores than nonseptic patients
(Delta 0.8 points, p = 0.03). This study shows that nurses rate patients with
septic shock as having lower QODD than patients dying of other causes. These
findings are important for clinicians who counsel families of patients dying of
septic shock.
PMID- 27192059
TI - DEFENDER: Detecting and Forecasting Epidemics Using Novel Data-Analytics for
Enhanced Response.
AB - In recent years social and news media have increasingly been used to explain
patterns in disease activity and progression. Social media data, principally from
the Twitter network, has been shown to correlate well with official disease case
counts. This fact has been exploited to provide advance warning of outbreak
detection, forecasting of disease levels and the ability to predict the
likelihood of individuals developing symptoms. In this paper we introduce
DEFENDER, a software system that integrates data from social and news media and
incorporates algorithms for outbreak detection, situational awareness and
forecasting. As part of this system we have developed a technique for creating a
location network for any country or region based purely on Twitter data. We also
present a disease nowcasting (forecasting the current but still unknown level)
approach which leverages counts from multiple symptoms, which was found to
improve the nowcasting accuracy by 37 percent over a model that used only
previous case data. Finally we attempt to forecast future levels of symptom
activity based on observed user movement on Twitter, finding a moderate gain of 5
percent over a time series forecasting model.
PMID- 27192057
TI - Molecular Characterization and Function Analysis of the Vitellogenin Receptor
from the Cotton Bollworm, Helicoverpa armigera (Hubner) (Lepidoptera, Noctuidae).
AB - Developing oocytes accumulate plentiful yolk protein during oogenesis through
receptor-mediated endocytosis. The vitellogenin receptor (VgR), belonging to the
low-density lipoprotein receptor (LDLR) family, regulates the absorption of yolk
protein. In this work, the full-length vitellogenin receptor (HaVgR) in the
cotton bollworm Helicoverpa armigera was identified, encoding a 1817 residue
protein. Sequence alignment revealed that the sequence of HaVgR contained all of
the conservative structural motifs of LDLR family members, and phylogenetic
analysis indicated that HaVgR had a high identity among Lepidoptera and was
distinct from that of other insects. Consistent with other insects, HaVgR was
specifically expressed in ovarian tissue. The developmental expression pattern
showed that HaVgR was first transcribed in the newly metamorphosed female adults,
reached a peak in 2-day-old adults and then declined. Western blot analysis also
revealed an ovarian-specific and developing expression pattern, which was
consistent with the HaVgR mRNA transcription. Moreover, RNAi-mediated HaVgR
knockdown strongly reduced the VgR expression in both the mRNA and protein
levels, which inhibited the yolk protein deposition in the ovaries, led to the
dramatic accumulation of vitellogenin and the up-regulation of HaVg expression in
hemolymph, and eventually resulted in a declined fecundity. Together, all of
these findings demonstrate that HaVgR is a specific receptor in uptake and
transportation of yolk protein for the maturation of oocytes and that it plays a
critical role in female reproduction.
PMID- 27192060
TI - Taking Perspective: Personal Pronouns Affect Experiential Aspects of Literary
Reading.
AB - Personal pronouns have been shown to influence cognitive perspective taking
during comprehension. Studies using single sentences found that 3rd person
pronouns facilitate the construction of a mental model from an observer's
perspective, whereas 2nd person pronouns support an actor's perspective. The
direction of the effect for 1st person pronouns seems to depend on the
situational context. In the present study, we investigated how personal pronouns
influence discourse comprehension when people read fiction stories and if this
has consequences for affective components like emotion during reading or
appreciation of the story. We wanted to find out if personal pronouns affect
immersion and arousal, as well as appreciation of fiction. In a natural reading
paradigm, we measured electrodermal activity and story immersion, while
participants read literary stories with 1st and 3rd person pronouns referring to
the protagonist. In addition, participants rated and ranked the stories for
appreciation. Our results show that stories with 1st person pronouns lead to
higher immersion. Two factors-transportation into the story world and mental
imagery during reading-in particular showed higher scores for 1st person as
compared to 3rd person pronoun stories. In contrast, arousal as measured by
electrodermal activity seemed tentatively higher for 3rd person pronoun stories.
The two measures of appreciation were not affected by the pronoun manipulation.
Our findings underscore the importance of perspective for language processing,
and additionally show which aspects of the narrative experience are influenced by
a change in perspective.
PMID- 27192061
TI - Female Zebra Finches Smell Their Eggs.
AB - Parental investment in unrelated offspring seems maladaptive from an evolutionary
perspective, due to the costs of energy and resources that cannot be invested in
related offspring at the same time. Therefore selection should favour mechanisms
to discriminate between own and foreign offspring. In birds, much emphasis has
been placed on understanding the visual mechanisms underlying egg recognition.
However, olfactory egg recognition has almost been completely ignored. Here, we
investigated whether female zebra finches (Taeniopygia guttata) are able to
discriminate between their own and a conspecific egg based on olfactory cues
alone. Zebra finches are colonial-breeding songbirds. Eggs are monomorphic, i.e.
without any spotting pattern, and intraspecific brood parasitism frequently
occurs. In a binary choice experiment, female zebra finches were given the choice
between the scent of their own and a conspecific egg. After the onset of
incubation, females chose randomly and showed no sign of discrimination. However,
shortly before hatching, females preferred significantly the odour of their own
egg. The finding that females are capable to smell their own egg may inspire more
research on the potential of olfaction involved in egg recognition, especially in
cases where visual cues might be limited.
PMID- 27192062
TI - Inference for binomial probability based on dependent Bernoulli random variables
with applications to meta-analysis and group level studies.
AB - We study bias arising as a result of nonlinear transformations of random
variables in random or mixed effects models and its effect on inference in group
level studies or in meta-analysis. The findings are illustrated on the example of
overdispersed binomial distributions, where we demonstrate considerable biases
arising from standard log-odds and arcsine transformations of the estimated
probability p, both for single-group studies and in combining results from
several groups or studies in meta-analysis. Our simulations confirm that these
biases are linear in rho, for small values of rho, the intracluster correlation
coefficient. These biases do not depend on the sample sizes or the number of
studies K in a meta-analysis and result in abysmal coverage of the combined
effect for large K. We also propose bias-correction for the arcsine
transformation. Our simulations demonstrate that this bias-correction works well
for small values of the intraclass correlation. The methods are applied to two
examples of meta-analyses of prevalence.
PMID- 27192063
TI - ECDYSTEROID AND CHITINASE FLUCTUATIONS IN THE WESTERN TARNISHED PLANT BUG (Lygus
hesperus) PRIOR TO MOLT INDICATE ROLES IN DEVELOPMENT.
AB - Vital physiological processes that drive the insect molt represent areas of
interest for the development of alternative control strategies. The western
tarnished plant bug (Lygus hesperus Knight) is a pest of numerous agronomic and
horticultural crops but the development of novel control approaches is impeded by
limited knowledge of the mechanisms regulating its molt. To address this
deficiency, we examined the fundamental relationship underlying the hormonal and
molecular components of ecdysis. At 27 degrees C L. hesperus exhibits a
temporally controlled nymph-adult molt that occurs about 4 days after the final
nymph-nymph molt with ecdysteroid levels peaking 2 days prior to the final molt.
Application of exogenous ecdysteroids when endogenous levels had decreased
disrupted the nymphal-adult molt, with treated animals exhibiting an inability to
escape the old exoskeleton and resulting in mortality compared to controls. Using
accessible transcriptomic data, we identified 10 chitinase-like sequences
(LhCht), eight of which had protein motifs consistent with chitinases.
Phylogenetic analyses revealed orthologous relationships to chitinases critical
to molting in other insects. RT-PCR based transcript profiling revealed that
expression changes to four of the LhChts was coordinated with the molt period and
ecdysteroid levels. Collectively, our results support a role for ecdysteroid
regulation of the L. hesperus molt and suggest that cuticle clearance is mediated
by LhCht orthologs of chitinases that are essential to the molt process. These
results provide the initial hormonal and molecular basis for future studies to
investigate the specific roles of these components in molting.
PMID- 27192066
TI - Integrating supportive and palliative care for young adults with serious
illnesses.
PMID- 27192064
TI - Polarized trafficking of the sorting receptor SorLA in neurons and MDCK cells.
AB - The sorting receptor SorLA is highly expressed in neurons and is also found in
other polarized cells. The receptor has been reported to participate in the
trafficking of several ligands, some of which are linked to human diseases,
including the amyloid precursor protein, TrkB, and Lipoprotein Lipase (LpL).
Despite this, only the trafficking in nonpolarized cells has been described so
far. Due to the many differences between polarized and nonpolarized cells, we
examined the localization and trafficking of SorLA in epithelial Madin-Darby
canine kidney (MDCK) cells and rat hippocampal neurons. We show that SorLA is
mainly found in sorting endosomes and on the basolateral surface of MDCK cells
and in the somatodendritic domain of neurons. This polarized distribution of
SorLA respectively depends on an acidic cluster and an extended version of this
cluster and involves the cellular adaptor complex AP-1. Furthermore, we show that
SorLA can mediate transcytosis across a tight cell layer.
PMID- 27192068
TI - Lateral violence: How educators can help break the cycle.
PMID- 27192070
TI - Acute kidney injury: Limiting the damage.
PMID- 27192071
TI - Looking into oropharyngeal dysphagia in older adults.
PMID- 27192072
TI - The man in the yellow slicker: A clinical chaplain's reflection on nursing and
patient care.
PMID- 27192073
TI - Using social media to increase engagement in nursing organizations.
PMID- 27192074
TI - Update: Pediatric Basic Life Support and Advanced Life Support guidelines.
PMID- 27192075
TI - Robotic pet therapy in long-term care.
PMID- 27192076
TI - Substance abuse and the law: A case study.
PMID- 27192077
TI - Listen up for safe ear irrigation.
PMID- 27192079
TI - Online resources for HIV/AIDS.
PMID- 27192078
TI - Evaluating pain management in older adults.
PMID- 27192080
TI - Vaccines and immune globulins.
PMID- 27192083
TI - Electrocatalytic (Bio)Nanostructures Based on Polymer-Grafted Platinum
Nanoparticles for Analytical Purpose.
AB - Functionalized platinum nanoparticles (PtNPs) possess electrocatalytic properties
toward H2O2 oxidation, which are of great interest for the construction of
electrochemical oxidoreductase-based sensors. In this context, we have shown that
polymer-grafted PtNPs could efficiently be used as building bricks for
electroactive structures. In the present work, we prepared different 2D
nanostructures based on these elementary bricks, followed by the subsequent
grafting of enzymes. The aim was to provide well-defined architectures to
establish a correlation between their electrocatalytic properties and the
arrangement of building bricks. Two different nanostructures have been elaborated
via the smart combination of surface initiated-atom transfer radical
polymerization (SI-ATRP), functionalized PtNPs (Br-PtNPs) and Langmuir-Blodgett
(LB) technique. The first nanostructure (A) has been elaborated from LB films of
poly(methacrylic acid)-grafted PtNPs (PMAA-PtNPs). The second nanostructure (B)
consisted in the elaboration of polymer brushes (PMAA brushes) from Br-PtNPs LB
films. In both systems, grafting of the glucose oxidase (GOx) has been performed
directly to nanostructures, via peptide bonding. Structural features of
nanostructures have been carefully characterized (compression isotherms, neutron
reflectivity, and profilometry) and correlated to their electrocatalytic
properties toward H2O2 oxidation or glucose sensing.
PMID- 27192084
TI - Exercise Ameliorates Endocrine Pancreas Damage Induced by Chronic Cola Drinking
in Rats.
AB - PURPOSE: This study evaluates whether the daily practice of an exercise routine
might protect from endocrine pancreas damage in cola drinking rats. METHODS:
Forty-eight Wistar rats were randomly assigned to 4 groups depending on a)
beverage consumption ad libitum, water (W) or cola beverage (C), and b) physical
activity, sedentary (S) or treadmill running (R). Accordingly, 4 groups were
studied: WS (water sedentary), WR (water runner), CS (cola sedentary) and CR
(cola runner). Body weight, nutritional data, plasma levels of glucose,
creatinine, total cholesterol and cholesterol fractions, and triglycerides
(enzymocolorimetry), and systolic blood pressure (plethysmography) were measured.
After 6 months, euthanasia was performed (overdose sodium thiopental). Pancreatic
tissue was immediately excised and conventionally processed for morphometrical
and immunohistochemical determinations. RESULTS: The effects of running and
chronic cola drinking on pancreas morphology showed interaction (p<0.001) rather
than simple summation. Cola drinking (CS vs WS) reduced median pancreatic islet
area (-30%, 1.8 10(4) MUm2 vs 2.58 10(4) MUm2, p<0.0001) and median beta-cell
mass (-43%, 3.81 mg vs 6.73 mg, p<0.0001), and increased median alpha/beta ratio
(+49%, 0.64 vs 0.43, p< 0.001). In water drinking rats (WR vs WS), running
reduced median alpha-cell mass (-48%, 1.48 mg vs 2.82 mg, p<0.001) and alpha/beta
ratio (-56%, 0.19 vs 0.43, p<0.0001). Differently, in cola drinking rats (CR vs
CS), running partially restored median islet area (+15%, 2.06 10(4) MUm2 vs 1.79
10(4) MUm2, p<0.05), increased median beta-cell mass (+47%, 5.59 mg vs 3.81 mg, p
<0.0001) and reduced median alpha/beta ratio (-6%, 0.60 vs 0.64, p<0.05).
CONCLUSION: This study is likely the first reporting experimental evidence of the
beneficial effect of exercise on pancreatic morphology in cola-drinking rats.
Presently, the increase of nearly 50% in beta cells mass by running in cola
drinking rats is by far the most relevant finding. Moderate running, advisably
indicated in cola consumers and patients at risk of diabetes, finds here
experimental support.
PMID- 27192085
TI - Elevational Distribution of Flightless Ground Beetles in the Tropical Rainforests
of North-Eastern Australia.
AB - Understanding how the environment influences patterns of diversity is vital for
effective conservation management, especially in a changing global climate. While
assemblage structure and species richness patterns are often correlated with
current environmental factors, historical influences may also be considerable,
especially for taxa with poor dispersal abilities. Mountain-top regions
throughout tropical rainforests can act as important refugia for taxa
characterised by low dispersal capacities such as flightless ground beetles
(Carabidae), an ecologically significant predatory group. We surveyed flightless
ground beetles along elevational gradients in five different subregions within
the Australian Wet Tropics World Heritage Area to investigate (1) whether the
diversity and composition of flightless ground beetles are elevationally
stratified, and, if so, (2) what environmental factors (other than elevation per
se) are associated with these patterns. Generalised linear models and model
averaging techniques were used to relate patterns of diversity to environmental
factors. Unlike most taxonomic groups, flightless ground beetles increased in
species richness and abundance with elevation. Additionally, each subregion
consisted of relatively distinct assemblages containing a high level of regional
endemic species. Species richness was most strongly and positively associated
with historical and current climatic stabilities and negatively associated with
severity of recent disturbance (treefalls). Assemblage composition was associated
with latitude and historical and current climatic conditions. Although the
results need to be interpreted carefully due to inter-correlation between
historical and current climatic variables, our study is in agreement with the
hypothesis that upland refugia provided stable climatic conditions since the last
glacial maximum, and supported a diverse fauna of flightless beetle species.
These findings are important for conservation management as upland habitats
become increasingly threatened by climate change.
PMID- 27192082
TI - Task and Resting-State fMRI Reveal Altered Salience Responses to Positive Stimuli
in Patients with Major Depressive Disorder.
AB - Altered brain function in patients with major depressive disorder (MDD) has been
repeatedly demonstrated by task-based and resting-state studies, respectively.
However, less is known concerning whether overlapped abnormalities in functional
activities across modalities exist in MDD patients. To find out the answer, we
implemented an fMRI experiment and collected both task and resting-state data
from 19 MDD patients and 19 matched, healthy, controls. A distraction paradigm
involving emotionally valenced pictures was applied to induce affective responses
in subjects. As a result, concurrent deficits were found in arousing activation
during a positive task in both the reward circuit and salience network (SN) that
is composed of the dorsal part of anterior cingulate cortex (dACC) and bilateral
anterior insulae (AI) in only the MDD group. Subsequent amplitude of low
frequency fluctuations (ALFF) and functional connectivity analyses based on
resting-state data exhibited consistent alterations in the bilateral AI of MDD
patients, and indicated patients' difficulties in regulating the balance between
central executive network (CEN) and default mode network (DMN) due to altered
connectivity among the CEN, DMN, and SN. Our findings provide new evidence
demonstrating impaired salience processing and resulting alterations in responses
to positive stimuli in MDD patients. Furthermore, brain abnormalities
synchronized across functional states in MDD patients can be evidenced by a
combination of task and resting-state fMRI analyses.
PMID- 27192087
TI - Issue highlights - May 2016.
PMID- 27192089
TI - Aqueous Photochemistry of Glyoxylic Acid.
AB - Aerosols affect climate change, the energy balance of the atmosphere, and public
health due to their variable chemical composition, size, and shape. While the
formation of secondary organic aerosols (SOA) from gas phase precursors is
relatively well understood, studying aqueous chemical reactions contributing to
the total SOA budget is the current focus of major attention. Field measurements
have revealed that mono-, di-, and oxo-carboxylic acids are abundant species
present in SOA and atmospheric waters. This work explores the fate of one of
these 2-oxocarboxylic acids, glyoxylic acid, which can photogenerate reactive
species under solar irradiation. Additionally, the dark thermal aging of
photoproducts is studied by UV-visible and fluorescence spectroscopies to reveal
that the optical properties are altered by the glyoxal produced. The optical
properties display periodicity in the time domain of the UV-visible spectrum of
chromophores with absorption enhancement (thermochromism) or loss
(photobleaching) during nighttime and daytime cycles, respectively. During
irradiation, excited state glyoxylic acid can undergo alpha-cleavage or
participate in hydrogen abstractions. The use of (13)C nuclear magnetic resonance
spectroscopy (NMR) analysis shows that glyoxal is an important intermediate
produced during direct photolysis. Glyoxal quickly reaches a quasi-steady state
as confirmed by UHPLC-MS analysis of its corresponding (E) and (Z) 2,4
dinitrophenylhydrazones. The homolytic cleavage of glyoxylic acid is proposed as
a fundamental step for the production of glyoxal. Both carbon oxides, CO2(g) and
CO(g) evolving to the gas-phase, are quantified by FTIR spectroscopy. Finally,
formic acid, oxalic acid, and tartaric acid photoproducts are identified by ion
chromatography (IC) with conductivity and electrospray (ESI) mass spectrometry
(MS) detection and (1)H NMR spectroscopy. A reaction mechanism is proposed based
on all experimental observations.
PMID- 27192088
TI - The phenomenon of fluorescence in immunosensors.
AB - The phenomenon of fluorescence in immunosensors is described in this paper. Both
structure and characteristics of biosensors and immunosensors are presented.
Types of immunosensors and the response of bioreceptor layers to the reaction
with analytes as well as measurements of electrochemical, piezoelectric and
optical parameters in immunosensors are also presented. In addition, detection
techniques used in studies of optical immunosensors based on light-matter
interactions (absorbance, reflectance, dispersion, emission) such as: UV/VIS
spectroscopy, reflectometric interference spectroscopy (RIfs), surface plasmon
resonance (SPR), optical waveguide light-mode spectroscopy (OWLS), fluorescence
spectroscopy. The phenomenon of fluorescence in immunosensors and standard
configurations of immunoreactions between an antigen and an antibody (direct,
competitive, sandwich, displacement) is described. Fluorescence parameters taken
into account in analyses and fluorescence detection techniques used in research
of immunosensors are presented. Examples of immunosensor applications are given.
PMID- 27192090
TI - The impact of supervised weight loss and intentional weight regain on sex hormone
binding globulin and testosterone in premenopausal women.
AB - What is the impact of intentional weight loss and regain on serum androgens in
women? We conducted an ancillary analysis of prospectively collected samples from
a randomized controlled trial. The trial involved supervised 10% weight loss (8.5
kg on average) with diet and exercise over 4-6 months followed by supervised
intentional regain of 50% of the lost weight (4.6 kg on average) over 4-6 months.
Participants were randomized prior to the partial weight regain component to
either continuation or cessation of endurance exercise. Analytic sample included
30 obese premenopausal women (mean age of 40 +/- 5.9 years, mean baseline body
mass index (BMI) of 32.9 +/- 4.2 kg/m(2)) with metabolic syndrome. We evaluated
sex hormone binding globulin (SHBG), total testosterone (T), free androgen index
(FAI), and high molecular weight adiponectin (HMWAdp). Insulin, homeostasis model
assessment (HOMA), and quantitative insulin sensitivity check index (QUICKI), and
visceral adipose tissue (VAT) measured in the original trial were reanalyzed for
the current analytic sample. Insulin, HOMA, and QUICKI improved with weight loss
and were maintained despite weight regain. Log-transformed SHBG significantly
increased from baseline to weight loss, and then significantly decreased with
weight regain. LogFAI and logVAT decreased similarly and increased with weight
loss followed by weight regain. No changes were found in logT and LogHMWAdp.
There was no significant difference in any tested parameters by exercise between
the groups. SHBG showed prominent sensitivity to body mass fluctuations, as
reduction with controlled intentional weight regain showed an inverse
relationship to VAT and occurred despite stable HMWAdp and sustained improvements
with insulin resistance. FAI showed opposite changes to SHBG, while T did not
change significantly with weight. Continued exercise during weight regain did not
appear to impact these findings.
PMID- 27192091
TI - False Balance in Public Health Reporting? Michele Bachmann, the HPV Vaccine, and
"Mental Retardation".
AB - This content analysis of media coverage of Michele Bachmann's erroneous comments
that the HPV vaccine causes mental retardation explores the relationship between
truth-telling (the presentation of accurate information) and balance (presenting
opposing perspectives of an issue equally and legitimately) in public health
reporting. Of 200 articles analyzed, about 50% provided correction and about 40%
provided a counterpoint. We also found that health reporters tended to engage in
truth-telling and balance more than political reporters. Implications for theory
and practice are discussed.
PMID- 27192086
TI - Susceptibility-weighted imaging: current status and future directions.
AB - Susceptibility-weighted imaging (SWI) is a method that uses the intrinsic nature
of local magnetic fields to enhance image contrast in order to improve the
visibility of various susceptibility sources and to facilitate diagnostic
interpretation. It is also the precursor to the concept of the use of phase for
quantitative susceptibility mapping (QSM). Nowadays, SWI has become a widely used
clinical tool to image deoxyhemoglobin in veins, iron deposition in the brain,
hemorrhages, microbleeds and calcification. In this article, we review the basics
of SWI, including data acquisition, data reconstruction and post-processing. In
particular, the source of cusp artifacts in phase images is investigated in
detail and an improved multi-channel phase data combination algorithm is
provided. In addition, we show a few clinical applications of SWI for the imaging
of stroke, traumatic brain injury, carotid vessel wall, siderotic nodules in
cirrhotic liver, prostate cancer, prostatic calcification, spinal cord injury and
intervertebral disc degeneration. As the clinical applications of SWI continue to
expand both in and outside the brain, the improvement of SWI in conjunction with
QSM is an important future direction of this technology. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27192092
TI - Azathioprine and 6-mercaptopurine for maintenance of remission in ulcerative
colitis.
AB - BACKGROUND: Maintenance of remission is a major issue in inflammatory bowel
disease. In ulcerative colitis, the evidence for the effectiveness of
azathioprine and 6-mercaptopurine for the maintenance of remission is still
controversial. OBJECTIVES: To assess the effectiveness and safety of azathioprine
and 6-mercaptopurine for maintaining remission of ulcerative colitis. SEARCH
METHODS: The MEDLINE, EMBASE and Cochrane Library databases were searched from
inception to 30 July 2015. Both full randomized controlled trials and associated
abstracts were included. SELECTION CRITERIA: Randomized controlled trials of at
least 12 months duration that compared azathioprine or 6-mercaptopurine with
placebo or standard maintenance therapy (e.g. mesalazine) were included. DATA
COLLECTION AND ANALYSIS: Two authors independently extracted data using standard
forms. Disagreements were solved by consensus including a third author. Study
quality was assessed using the Cochrane risk of bias tool. The primary outcome
was failure to maintain clinical or endoscopic remission. Secondary outcomes
included adverse events and withdrawal due to adverse events. Analyses were
performed separately by type of control (placebo, or active comparator). Pooled
risk ratios were calculated based on the fixed-effect model unless heterogeneity
was shown. The GRADE approach was used to assess the overall quality of evidence
for pooled outcomes. MAIN RESULTS: Seven studies including 302 patients with
ulcerative colitis were included in the review. The risk of bias was high in
three of the studies due to lack of blinding. Azathioprine was shown to be
significantly superior to placebo for maintenance of remission. Fourty-four per
cent (51/115) of azathioprine patients failed to maintain remission compared to
65% (76/117) of placebo patients (4 studies, 232 patients; RR 0.68, 95% CI 0.54
to 0.86). A GRADE analysis rated the overall quality of the evidence for this
outcome as low due to risk of bias and imprecision (sparse data). Two trials that
compared 6-mercaptopurine to mesalazine, or azathioprine to sulfasalazine showed
significant heterogeneity and thus were not pooled. Fifty per cent (7/14) of 6
mercaptopurine patients failed to maintain remission compared to 100% (8/8) of
mesalazine patients (1 study, 22 patients; RR 0.53, 95% CI 0.31 to 0.90). Fifty
eight per cent (7/12) of azathioprine patients failed to maintain remission
compared to 38% (5/13) of sulfasalazine patients (1 study, 25 patients; RR 1.52,
95% CI 0.66 to 3.50). One small study found that 6-mercaptopurine was superior to
methotrexate for maintenance of remission. In the study, 50% (7/14) of 6
mercaptopurine patients and 92% (11/12) of methotrexate patients failed to
maintain remission (1 study, 26 patients; RR 0.55, 95% CI 0.31 to 0.95). One very
small study compared azathioprine with cyclosporin and found that there was no
significant difference between patients failing remission on azathioprine (50%,
4/8) or cyclosporin (62.5%, 5/8) (1 study, 16 patients, RR 0.80 95% CI 0.33 to
1.92). When placebo-controlled studies were pooled with aminosalicylate
comparator studies to assess adverse events, there was no statistically
significant difference between azathioprine and control in the incidence of
adverse events. Nine per cent (11/127) of azathioprine patients experienced at
least one adverse event compared to 2% (3/130) of placebo patients (5 studies,
257 patients; RR 2.82, 95% CI 0.99 to 8.01). Patients receiving azathioprine were
at significantly increased risk of withdrawing due to adverse events. Eight per
cent (8/101) of azathioprine patients withdrew due to adverse events compared to
0% (0/98) of control patients (5 studies, 199 patients; RR 5.43, 95% CI 1.02 to
28.75). Adverse events related to study medication included acute pancreatitis (3
cases, plus 1 case on cyclosporin) and significant bone marrow suppression (5
cases). Deaths, opportunistic infection or neoplasia were not reported. AUTHORS'
CONCLUSIONS: Azathioprine therapy appears to be more effective than placebo for
maintenance of remission in ulcerative colitis. Azathioprine or 6-mercaptopurine
may be effective as maintenance therapy for patients who have failed or cannot
tolerate mesalazine or sulfasalazine and for patients who require repeated
courses of steroids. More research is needed to evaluate superiority over
standard maintenance therapy, especially in the light of a potential for adverse
events from azathioprine. This review updates the existing review of azathioprine
and 6-mercaptopurine for maintenance of remission in ulcerative colitis which was
published in the Cochrane Library (September 2012).
PMID- 27192093
TI - Validation of calculation algorithms for organ doses in CT by measurements on a 5
year old paediatric phantom.
AB - Many organ dose calculation tools for computed tomography (CT) scans rely on the
assumptions: (1) organ doses estimated for one CT scanner can be converted into
organ doses for another CT scanner using the ratio of the Computed Tomography
Dose Index (CTDI) between two CT scanners; and (2) helical scans can be
approximated as the summation of axial slices covering the same scan range. The
current study aims to validate experimentally these two assumptions. We performed
organ dose measurements in a 5 year-old physical anthropomorphic phantom for five
different CT scanners from four manufacturers. Absorbed doses to 22 organs were
measured using thermoluminescent dosimeters for head-to-torso scans. We then
compared the measured organ doses with the values calculated from the National
Cancer Institute dosimetry system for CT (NCICT) computer program, developed at
the National Cancer Institute. Whereas the measured organ doses showed
significant variability (coefficient of variation (CoV) up to 53% at 80 kV)
across different scanner models, the CoV of organ doses normalised to CTDIvol
substantially decreased (12% CoV on average at 80 kV). For most organs, the
difference between measured and simulated organ doses was within +/-20% except
for the bone marrow, breasts and ovaries. The discrepancies were further
explained by additional Monte Carlo calculations of organ doses using a voxel
phantom developed from CT images of the physical phantom. The results demonstrate
that organ doses calculated for one CT scanner can be used to assess organ doses
from other CT scanners with 20% uncertainty (k = 1), for the scan settings
considered in the study.
PMID- 27192094
TI - Addiction and crime: The importance of asymmetry in offending and the life
course.
AB - The intersection of addiction and crime is pervasive. Not only is there a high
prevalence of substance use and substance use disorders among criminal justice
populations that is substantially higher than in the general population, but also
offenders with substance use disorders are more likely to be rearrested. The
authors believe there are several broad points that addiction researchers and
criminologists have come to understand that are important to bring together. In
this commentary, the asymmetrical nature of crime (i.e., a small subset account
for the lion's share of offending) and the value of a developmentally sensitive
life-course approach to inform criminal behavior are elucidated and discussed.
These 2 themes are intertwined. High-impact offenders have extensive drug use
histories and need intervention (policy or individual-level), but the form and
content and ultimately the effectiveness of the intervention may depend on what
period in the life-course the intervention is to be implemented.
PMID- 27192095
TI - A Case of Immunoglobulin G4-associated Anterior Uveitis and Remitting
Seronegative Symmetrical Synovitis with Pitting Edema Syndrome.
PMID- 27192098
TI - Novel Avian Influenza A(H5N8) Viruses in Migratory Birds, China, 2013-2014.
PMID- 27192097
TI - High MICs for Vancomycin and Daptomycin and Complicated Catheter-Related
Bloodstream Infections with Methicillin-Sensitive Staphylococcus aureus.
AB - We investigated the prognostic role of high MICs for antistaphylococcal agents in
patients with methicillin-sensitive Staphylococcus aureus catheter-related
bloodstream infection (MSSA CRBSI). We prospectively reviewed 83 episodes from 5
centers in Spain during April 2011-June 2014 that had optimized clinical
management and analyzed the relationship between E-test MICs for vancomycin,
daptomycin, oxacillin, and linezolid and development of complicated bacteremia by
using multivariate analysis. Complicated MSSA CRBSI occurred in 26 (31.3%)
patients; MICs for vancomycin and daptomycin were higher in these patients
(optimal cutoff values for predictive accuracy = 1.5 MUg/mL and 0.5 MUg/mL). High
MICs for vancomycin (hazard ratio 2.4, 95% CI 1.2-5.5) and daptomycin (hazard
ratio 2.4, 95% CI 1.1-5.9) were independent risk factors for development of
complicated MSSA CRBSI. Our data suggest that patients with MSSA CRBSI caused by
strains that have high MICs for vancomycin or daptomycin are at increased risk
for complications.
PMID- 27192096
TI - Disc cell senescence in intervertebral disc degeneration: Causes and molecular
pathways.
AB - The accumulation of senescent disc cells in degenerative intervertebral disc
(IVD) suggests the detrimental roles of cell senescence in the pathogenesis of
intervertebral disc degeneration (IDD). Disc cell senescence decreased the number
of functional cells in IVD. Moreover, the senescent disc cells were supposed to
accelerate the process of IDD via their aberrant paracrine effects by which
senescent cells cause the senescence of neighboring cells and enhance the matrix
catabolism and inflammation in IVD. Thus, anti-senescence has been proposed as a
novel therapeutic target for IDD. However, the development of anti-senescence
therapy is based on our understanding of the molecular mechanism of disc cell
senescence. In this review, we focused on the molecular mechanism of disc cell
senescence, including the causes and various molecular pathways. We found that,
during the process of IDD, age-related damages together with degenerative
external stimuli activated both p53-p21-Rb and p16-Rb pathways to induce disc
cell senescence. Meanwhile, disc cell senescence was regulated by multiple
signaling pathways, suggesting the complex regulating network of disc cell
senescence. To understand the mechanism of disc cell senescence better
contributes to developing the anti-senescence-based therapies for IDD.
PMID- 27192099
TI - Passive Anti-Icing and Active Deicing Films.
AB - Anti-icing and deicing are the two major pathways for suppressing adhesion of ice
on surfaces, yet materials with dual capabilities are rare. In this work, we have
designed a perfluorododecylated graphene nanoribbon (FDO-GNR) film that takes
advantage of both the low polarizability of perfluorinated carbons and the
intrinsic conductive nature of graphene nanoribbons. The FDO-GNR films are
superhydrophobic with a sheet resistance below 8 kOmega.sq(-1) and then exhibit
an anti-icing property that prevents freezing of incoming ice-cold water down to
14 degrees C. After that point, voltage can be applied to the films to
resistively heat and deice the surface. Further a lubricating liquid can be
employed to create a slippery surface to improve the film's deicing performance.
The FDO-GNR films can be easily switched between the superhydrophobic anti-icing
mode and the slippery deicing mode by applying the lubricant. A spray-coating
method makes it suitable for large-scale applications. The anti-icing and deicing
properties render the FDO-GNR films with promise for use in extreme environments.
PMID- 27192101
TI - Surgical Management of Double-Head Pterygium Using a Modified Split-Conjunctival
Autograft Technique.
AB - AIM: To describe a modified split-conjuctival autograft technique for double-head
pterygium and evaluate the postoperative outcomes. METHODS: A retrospective
analysis of all patients who underwent split-conjunctival autograft surgery for
double-head pterygium from November 2012 to March 2014. Conjunctival autograft
was split vertically, in order to obtain limbal sides of cojunctival autograft
for both sides. No adjunctive agent was used. Records of included patients were
reviewed and outcomes and recurrence rates were noted. RESULTS: Eight cases of
double-head pterygia were noted in 158 total cases of pterygia evaluated (5%).
The baseline characteristics included 2 female and 6 male with an age between 26
and 71 (average 42.63) years. All eyes had 12-month follow-ups in average (6-21
months). No intraoperative or postoperative complications were noted. No
recurrence was observed. CONCLUSION: A modified, vertical-split conjunctival
autograft without any adjunctive agents is a successful and safe technique in
management of double-head pterygium.
PMID- 27192100
TI - Comprehensive Assessment of Factors Associated With In-Hospital Mortality After
Elective Abdominal Aortic Aneurysm Repair.
AB - IMPORTANCE: Patient- and hospital-level factors affecting outcomes after open and
endovascular abdominal aortic aneurysm (AAA) repair are each well described
separately, but not together. OBJECTIVE: To describe the association of patient-
and hospital-level factors with in-hospital mortality after elective AAA repair.
DESIGN, SETTING, AND PARTICIPANTS: Retrospective review of the Nationwide
Inpatient Sample database (January 2007-December 2011). The review included all
patients undergoing elective open AAA repair (OAR) or endovascular AAA repair
(EVAR) and was conducted between December 2014 and January 2015. MAIN OUTCOMES
AND MEASURES: Factors associated with in-hospital mortality were analyzed for OAR
and EVAR using multivariable analyses, adjusting for previously defined patient-
and hospital-level risk factors. RESULTS: Of the 166 443 surgeries (131 908 EVARs
and 34 535 OARs) that were performed at 1207 hospitals, 133 407 patients (80.2%)
were men, 123 522 patients (89.6%) were white, and the mean (SD) age was 73
(0.04) years. Overall in-hospital mortality was 0.7% for EVAR and 3.8% for OAR.
Mortality after EVAR was significantly higher among hospitals with high general
surgery mortality (mortality quartile >= 50%; odds ratio [OR], 1.37; 95% CI, 1.01
1.86; P = .04) and there was no difference in mortality among hospitals meeting
the Leapfrog criteria for AAA repair (OR, 0.64; 95% CI, 0.38-1.09; P = .09).
Mortality after OAR was significantly lower among hospitals performing at least
25% of AAA repairs using open techniques (OR, 0.68; 95% CI, 0.52-0.88; P = .004).
Neither hospital bed size nor teaching status was significantly associated with
mortality after either EVAR or OAR. Overall, OAR (OR, 6.07; 95% CI, 4.92-7.49)
and intrinsic patient risk (Medicare score; OR, 4.81; 95% CI, 3.45-6.72) were
most likely associated with in-hospital mortality after AAA repair, although
hospitals with poor general surgery performance (OR, 1.31; 95% CI, 1.06-1.63) and
those with at least a 25% proportion of open cases (OR, 1.39; 95% CI, 1.10-1.75)
were also significantly associated with mortality (all P < .002). Notably, the
proportion of institutions performing at least 25% open cases fell from 41% in
2007 to 18% in 2011 (P < .001). CONCLUSIONS AND RELEVANCE: Patient-level factors
were associated with in-hospital mortality outcomes after elective AAA repair.
Hospital case volume and practice patterns were also associated. This
demonstrates the importance of adequate institutional experience with OAR
techniques, which appear to be critically declining. Based on these data,
appropriate patient selection and medical optimization appear to be the most
important means by which we can improve outcomes following elective AAA repair,
although patient referral to high-volume aortic centers of excellence should be a
secondary consideration.
PMID- 27192102
TI - A role for private sector laboratories in public health surveillance of
antimicrobial resistance.
PMID- 27192103
TI - Evaluation of flow injection analysis method with spectrophotometric detection
for the determination of atrazine in soil extracts.
AB - A method for determining atrazine in soil extracts was evaluated by flow
injection analysis with spectrophotometric detection. The method is based on the
reaction of atrazine with pyridine in an acid medium followed by the reaction
with NaOH and sulfanilic acid. Several analytical conditions were previously
studied and optimized. Under the best conditions of analysis, the limits of
detection and quantification were 0.15 and 0.45 mg L(-1), respectively, for a
linear response between 0.50 and 2.50 mg L(-1), and a sampling throughput of 21
determinations per hour. Using the standard addition method, the maximum relative
standard deviation of 17% and recovery values between 80 and 100% were observed
for three extracts from soil samples with different composition. The proposed
method is simple, low-cost and easy to use, and can be employed for studies
involving atrazine in soil samples or for screening of atrazine in soils.
PMID- 27192104
TI - Naphthotetrathiophene-Based Helicene-Like Molecules: Synthesis and Photophysical
Properties.
AB - Two novel helicene-like molecules based on naphthotetrathiophene are successfully
synthesized. All target molecules and intermediates are characterized by (1)H
NMR, (13)C NMR, IR, and HRMS. Their electrochemical and photophysical properties
are studied. The configurations of the molecules are optimized by DFT quantum
calculations and UV-vis behaviors are also predicted to further understand the
origin of different absorption bands. We believe the current work illustrated an
efficient way for the design and synthesis of sophisticated structures with
naphthotetrathiophene as building blocks.
PMID- 27192107
TI - Utilite De La gamma Glutamyl Transpeptidase De La 5'Nucleotidase Et Des Isozymes
De La Phosphatase Alcaline Pour Le Diagnostic Differentiel Des Affectations
Hepatiques.
AB - The use of the determination of the gamma-glutamyl-transpeptidase (GT), the
5'nucleotidase and of the isoenzymes of the alkaline phosphatase for the
differential diagnoses of liver disease is discussed. It is concluded that the GT
is a useful test to diagnose diseases of liver, common bile duct or pancreas, but
without distinction between these illnessess. An affection of these organs is not
very probable if the GT is normal, it is very probable if the level of activity
exceeds 500 units. In cancer patients without jaundice, a normal level of this
enzyme suggests the absence of metastases of the liver. The enzymatic activity is
normal in case of bone affection but can be elevated in case of some neurological
diseases and 3 to 4 days after the beginning of a myocardial infarction. An
abnormally elevated 5'nucleotidase accompanied with an elevated alkaline
phosphatase suggests strongly the presence of an hepatobiliary damage, except
when the alkaline phosphatase is very elevated, which may cause an "apparent"
increase of the 5'nucleotidase and except when the elevation is due to a bone
damage. The rise in case of bone damage seems however not to take place by more
than 10 % of the cases. This enzyme is also useful for the diagnoses of active
chronic liver damages. The determination of the isoenzymes of the alkaline
phosphatase seems especially useful in case of an increase of the phosphatase
alkaline whilst the 5'nucleotidase and the liver tests are normal. It often gives
the possibility to determine whether the increase of the alkaline phosphatase in
that case can be ascribed to a bone or to a liver damage. The technical details
are important. The electrophoresis on acrylamide and the inactivation by heat
seem to be adequate methods. To the autors it appears that the determination of
the GT and of the 5'nucleotidase can find place in the classical liver tests,
from one could eliminate other tests such as the Hanger. As far as the
determination of the isoenzymes of the alkaline phosphatase is concerned, their
application could be reserved for selected cases.
PMID- 27192106
TI - Avant Propos.
PMID- 27192105
TI - Aerobic Copper-Mediated Domino Three-Component Approach to 2-Aminobenzothiazole
Derivatives.
AB - An unprecedented three-component reaction involving a 2,2'-diaminodiaryl
disulfide, copper cyanide, and an electrophile is described. This transformation
is based on an oxidative copper-mediated S-cyanation as a key step and involves a
cyanation/cyclization/acylation domino sequence enabling a rapid and efficient
synthesis of diversely substituted 2-aminobenzothiazole derivatives. Notably,
this reaction proceeds via an original mechanism involving an intermolecular
migration of the acyl group.
PMID- 27192108
TI - Electroforese Van Lactaatdehydrogenase-Isoenzymen Op Hypergelcelluloseacetaat.
AB - Hypergel cellulose acetate is an excellent medium for LDH-isoenzymes
electrophoresis because of its high degree of gellation. The cellulose acetate is
brought on a polyester sheet which makes it much easier to handle throughout the
electrophoresis and coloration procedures. For coloration of the isoenzymes, the
generally used techniques are applicable.
PMID- 27192109
TI - Which Way In The Labyrinth Of The Newer Penicillins ?
PMID- 27192110
TI - Selected Summaries.
PMID- 27192112
TI - Protein-RNA Dynamics in the Central Junction Control 30S Ribosome Assembly.
AB - Interactions between ribosomal proteins (rproteins) and ribosomal RNA (rRNA)
facilitate the formation of functional ribosomes. S15 is a central domain primary
binding protein that has been shown to trigger a cascade of conformational
changes in 16S rRNA, forming the functional structure of the central domain.
Previous biochemical and structural studies in vitro have revealed that S15 binds
a three-way junction of helices 20, 21, and 22, including nucleotides 652-654 and
752-754. All junction nucleotides except 653 are highly conserved among the
Bacteria. To identify functionally important motifs within the junction, we
subjected nucleotides 652-654 and 752-754 to saturation mutagenesis and selected
and analyzed functional mutants. Only 64 mutants with greater than 10% ribosome
function in vivo were isolated. S15 overexpression complemented mutations in the
junction loop in each of the partially active mutants, although mutations that
produced inactive ribosomes were not complemented by overexpression of S15.
Single-molecule Forster or fluorescence resonance energy transfer (smFRET) was
used to study the Mg(2+)- and S15-induced conformational dynamics of selected
junction mutants. Comparison of the structural dynamics of these mutants with the
wild type in the presence and absence of S15 revealed specific sequence and
structural motifs in the central junction that are important in ribosome
function.
PMID- 27192113
TI - Adult Children of Parents with Mental Illness: Losing Oneself. Who am I?
AB - There is a limited body of research that focuses on experiences of families of
people with mental illness. While the body of knowledge concerning children of
parents with mental illness is increasing, there remains limited discourse
surrounding the experiences of adults who have lived with childhood parental
mental illness. This paper examined one major theme of a study focusing on
parenting narratives of adults who had experienced childhood parental mental
illness. The narrative study from a metropolitan area of Australia reflects adult
children's experiences of being overwhelmed with parental mental illness. They
felt unsure of their own emotions and felt they had lost a sense of who they were
as individual people. Adult children felt confused about their sense of reality,
particularly for those whose parent had a diagnosis of schizophrenia or
psychosis. Their experiences of loss were closely associated with changing self
identity. Furthermore, many of the narratives demonstrated experiences of grief
for adult children. Greater understanding of adult children's perceptions of
being parented by a person with mental illness, alongside their experiences of
loss, has the potential to help health and social care professionals to
facilitate greater resilience for families who are living with parental mental
illness.
PMID- 27192114
TI - Management of cardiovascular disease in patients with psoriasis.
AB - INTRODUCTION: Patients with psoriasis have an increased incidence and prevalence
of cardiovascular (CV) risk factors, and CV undertreatment in these patients is a
well-established problem. The link between psoriasis and CV disease is present on
a pathogenic level, as well as due to modifiable lifestyle factors such as
smoking and alcohol abuse. AREAS COVERED: In this manuscript we describe the
evidence associating psoriasis with CV disease, as well as the pharmacological
and non-pharmacological treatment of CV risk factors including the CV effects of
anti-psoriatic therapy and vice versa. EXPERT OPINION: Current guidelines
recommend that patients with psoriasis are screened for CV risk factors, and
recommend smoking cessation, reduced alcohol consumption, altering of lifestyle
to move to a normal-weight body-mass index, exercising 3 times a week for 30
minutes, and monitoring and modifying cholesterol levels, respectively. While the
current sum of evidence is not sufficient to recommend specific therapies for
psoriasis solely based on their potential CV impact, some guidelines have
suggested a 1.5 multiplication factor, in patients with severe psoriasis, to the
Framingham risk score. Indeed, the importance of screening for CV risk factors
and strict adherence to established primary and secondary preventive measures in
these patients should be emphasized.
PMID- 27192115
TI - WDR5 high expression and its effect on tumorigenesis in leukemia.
AB - WD repeat domain 5 (WDR5) plays an important role in various biological functions
through the epigenetic regulation of gene transcription. However, the oncogenic
effect of WDR5 in leukemia remains largely unknown. Here, we found WDR5
expression is increased in leukemia patients. High expression of WDR5 is
associated with high risk leukemia; Patients with WDR5 and MLL1 high expression
have poor complete remission rate. We further identified the global genomic
binding of WDR5 in leukemic cells and found the genomic co-localization of WDR5
binding with H3K4me3 enrichment. Moreover, WDR5 knockdown by shRNA suppresses
cell proliferation, induces apoptosis, inhibits the expression of WDR5 targets,
and blocks the H3K4me3 enrichment on the promoter of its targets. We also
observed the positive correlation of WDR5 expression with these targets in the
cohort study of leukemia patients. Our data reveal that WDR5 may have oncogenic
effect and WDR5-mediated H3K4 methylation plays an important role in
leukemogenesis.
PMID- 27192117
TI - Differential DNA methylation patterns of polycystic ovarian syndrome in whole
blood of Chinese women.
AB - As a universally common endocrinopathy in women of reproductive age, the
polycystic ovarian syndrome is characterized by composite clinical phenotypes
reflecting the contributions of reproductive impact of ovarian dysfunction and
metabolic abnormalities with widely varying symptoms resulting from interference
of the genome with the environment through integrative biological mechanisms
including epigenetics. We have performed a genome-wide DNA methylation analysis
on polycystic ovarian syndrome and identified a substantial number of genomic
sites differentially methylated in the whole blood of PCOS patients and healthy
controls (52 sites, false discovery rate < 0.05 and corresponding p value < 5.68e
06), highly consistently replicating biological pathways extensively implicated
in immunity and immunity-related inflammatory disorders (false discovery rate <
0.05) that were reportedly regulated in the DNA methylome from ovarian tissue
under PCOS condition. Most importantly, our genome-wide profiling focusing on
PCOS patients revealed a large number of DNA methylation sites and their enriched
functional pathways significantly associated with diverse clinical features
(levels of prolactin, estradiol, progesterone and menstrual cycle) that could
serve as novel molecular basis of the clinical heterogeneity observed in PCOS
women.
PMID- 27192116
TI - The IDO1 selective inhibitor epacadostat enhances dendritic cell immunogenicity
and lytic ability of tumor antigen-specific T cells.
AB - Epacadostat is a novel inhibitor of indoleamine-2,3-dioxygenase-1 (IDO1) that
suppresses systemic tryptophan catabolism and is currently being evaluated in
ongoing clinical trials. We investigated the effects of epacadostat on (a) human
dendritic cells (DCs) with respect to maturation and ability to activate human
tumor antigen-specific cytotoxic T-cell (CTL) lines, and subsequent T-cell lysis
of tumor cells, (b) human regulatory T cells (Tregs), and (c) human peripheral
blood mononuclear cells (PBMCs) in vitro. Simultaneous treatment with epacadostat
and IFN-gamma plus lipopolysaccharide (LPS) did not change the phenotype of
matured human DCs, and as expected decreased the tryptophan breakdown and
kynurenine production. Peptide-specific T-cell lines stimulated with DCs pulsed
with peptide produced significantly more IFN-gamma, TNFalpha, GM-CSF and IL-8 if
the DCs were treated with epacadostat. These T cells also displayed higher levels
of tumor cell lysis on a per cell basis. Epacadostat also significantly decreased
Treg proliferation induced by IDO production from IFN-gamma plus LPS matured
human DCs, although the Treg phenotype did not change. Multicolor flow cytometry
was performed on human PBMCs treated with epacadostat; analysis of 123 discrete
immune cell subsets revealed no changes in major immune cell types, an increase
in activated CD83+ conventional DCs, and a decrease in immature activated Tim3+
NK cells. These studies show for the first time several effects of epacadostat on
human DCs, and subsequent effects on CTL and Tregs, and provide a rationale as to
how epacadostat could potentially increase the efficacy of immunotherapeutics,
including cancer vaccines.
PMID- 27192119
TI - dbCPG: A web resource for cancer predisposition genes.
AB - Cancer predisposition genes (CPGs) are genes in which inherited mutations confer
highly or moderately increased risks of developing cancer. Identification of
these genes and understanding the biological mechanisms that underlie them is
crucial for the prevention, early diagnosis, and optimized management of cancer.
Over the past decades, great efforts have been made to identify CPGs through
multiple strategies. However, information on these CPGs and their molecular
functions is scattered. To address this issue and provide a comprehensive
resource for researchers, we developed the Cancer Predisposition Gene Database
(dbCPG, Database URL: http://bioinfo.ahu.edu.cn:8080/dbCPG/index.jsp), the first
literature-based gene resource for exploring human CPGs. It contains 827 human
(724 protein-coding, 23 non-coding, and 80 unknown type genes), 637 rats, and 658
mouse CPGs. Furthermore, data mining was performed to gain insights into the
understanding of the CPGs data, including functional annotation, gene
prioritization, network analysis of prioritized genes and overlap analysis across
multiple cancer types. A user-friendly web interface with multiple browse,
search, and upload functions was also developed to facilitate access to the
latest information on CPGs. Taken together, the dbCPG database provides a
comprehensive data resource for further studies of cancer predisposition genes.
PMID- 27192118
TI - Fibroblast growth factor receptor 4 (FGFR4) and fibroblast growth factor 19
(FGF19) autocrine enhance breast cancer cells survival.
AB - Basal-like breast cancer is an aggressive tumor subtype with poor prognosis. The
discovery of underlying mechanisms mediating tumor cell survival, and the
development of novel agents to target these pathways, is a priority for patients
with basal-like breast cancer. From a functional screen to identify key drivers
of basal-like breast cancer cell growth, we identified fibroblast growth factor
receptor 4 (FGFR4) as a potential mediator of cell survival. We found that FGFR4
mediates cancer cell survival predominantly via activation of PI3K/AKT.
Importantly, a subset of basal-like breast cancer cells also secrete fibroblast
growth factor 19 (FGF19), a canonical ligand specific for FGFR4. siRNA-mediated
silencing of FGF19 or neutralization of extracellular FGF19 by anti-FGF19
antibody (1A6) decreases AKT phosphorylation, suppresses cancer cell growth and
enhances doxorubicin sensitivity only in the FGFR4+/FGF19+ breast cancer cells.
Consistently, FGFR4/FGF19 co-expression was also observed in 82 out of 287
(28.6%) primary breast tumors, and their expression is strongly associated with
AKT phosphorylation, Ki-67 staining, higher tumor stage and basal-like phenotype.
In summary, our results demonstrated the presence of an FGFR4/FGF19 autocrine
signaling that mediates the survival of a subset of basal-like breast cancer
cells and suggest that inactivation of this autocrine loop may potentially serve
as a novel therapeutic intervention for future treatment of breast cancers.
PMID- 27192122
TI - Visible/near-IR-light-driven TNFePc/BiOCl organic-inorganic heterostructures with
enhanced photocatalytic activity.
AB - Although semiconductor photocatalysis has been reported for more than 40 years,
the spectral response is still focused on the region of UV-Visible and it is
seldom extended to more than 600 nm. In this work, visible/near-IR-light-driven
2,9,16,23-tetranitrophthalocyanine iron (FeTNPc)/bismuth oxychloride (BiOCl)
organic-inorganic heterostructures have been synthesized by a two-step
solvothermal method. The obtained products were characterized by X-ray
diffraction, Fourier transform infrared spectra, scanning electron and
transmission microscopy, energy dispersive X-ray spectrometer, UV-vis diffuse
reflectance spectroscopy, nitrogen adsorption-desorption, and electrochemical
measurements. The photocatalytic activity for the decomposition of methyl orange
and bisphenol A solution can be significantly improved under visible/near-IR
light irradiation. Through detecting the main oxidative species by trapping
experiments, the results show holes and O2(-) radicals are majorly and minorly
responsible for photodegradation respectively. What's more, the FeTNPc/BiOCl
composite photocatalyst still retained the photocatalytic activity after three
cycle measurements.
PMID- 27192120
TI - Telomerase inhibitor imetelstat has preclinical activity across the spectrum of
non-small cell lung cancer oncogenotypes in a telomere length dependent manner.
AB - Telomerase was evaluated as a therapeutic oncotarget by studying the efficacy of
the telomerase inhibitor imetelstat in non-small cell lung cancer (NSCLC) cell
lines to determine the range of response phenotypes and identify potential
biomarkers of response. A panel of 63 NSCLC cell lines was studied for telomere
length and imetelstat efficacy in inhibiting colony formation and no correlation
was found with patient characteristics, tumor histology, and oncogenotypes. While
there was no overall correlation between imetelstat efficacy with initial
telomere length (ranging from 1.5 to 20 kb), the quartile of NSCLC lines with the
shortest telomeres was more sensitive than the quartile with the longest
telomeres. Continuous long-term treatment with imetelstat resulted in sustained
telomerase inhibition, progressive telomere shortening and eventual growth
inhibition in a telomere-length dependent manner. Cessation of imetelstat therapy
before growth inhibition was followed by telomere regrowth. Likewise, in vivo
imetelstat treatment caused tumor xenograft growth inhibition in a telomere
length dependent manner. We conclude from these preclinical studies of telomerase
as an oncotarget tested by imetelstat response that imetelstat has efficacy
across the entire oncogenotype spectrum of NSCLC, continuous therapy is necessary
to prevent telomere regrowth, and short telomeres appears to be the best
treatment biomarker.
PMID- 27192121
TI - LncRNA-RMRP promotes carcinogenesis by acting as a miR-206 sponge and is used as
a novel biomarker for gastric cancer.
AB - Long noncoding RNAs (lncRNAs) play crucial roles in tumorigenesis. However, the
mechanisms of most lncRNAs in cancers are largely unknown. Because the RNA
component of mitochondrial RNA processing endoribonuclease (RMRP) is one of the
dysregulated lncRNAs in gastric cancer, this study explored its molecular
mechanisms in carcinogenesis. RMRP levels in 792 tissues, plasma and gastric
juices from patients with various stages of gastric tumorigenesis were analyzed
by quantitative reverse transcription-polymerase chain reaction. Overexpression
and RNA interference were used to manipulate RMRP expression by RMRP expression
vector and small interfering RNAs, respectively. Its mechanisms were evaluated by
flow cytometry, real-time cell analysis, plate colony formation assays, and
xenograft models. RMRP levels in tissue, plasma and gastric juices from patients
with gastric cancer were significantly different from those from controls. Its
levels were significantly associated with Borrmann type and metastasis. Plasma
and gastric juice RMRP had higher sensitivity and specificity than commonly used
markers (such as carcinoembryonic antigen and carbohydrate antigen 19-9).
Knockdown of RMRP significantly inhibited cell proliferation in vitro and in
vivo, whereas overexpression of RMRP promoted cell growth. Acting as a miR-206
sponge, RMRP modulated cell cycle by regulating Cyclin D2 expression. RMRP plays
a crucial role in gastric cancer occurrence and can be used as a novel biomarker
for gastric cancer.
PMID- 27192123
TI - African American race associated with body image dissatisfaction among patients
with systemic sclerosis.
AB - OBJECTIVES: Studies have shown a high degree of body image dissatisfaction among
patients with systemic sclerosis (SSc). We aimed to identify demographic and
phenotypic characteristics that correlate with body image dissatisfaction.
METHODS: Ninety-eight patients with SSc were recruited from Georgetown University
Medical Center 2003-2004. Anonymous surveys collected demographic information
(age, race, gender, duration/type of SSc) and assessed degree of body image
dissatisfaction on a scale of 0-3 in relation to phenotypic features of SSc (hand
contractures, finger ulcers, pigmentation changes, lip wrinkling/thinning,
telangiectasias). A composite total distress score was derived. Parametric and
nonparametric T tests were used to compare groups. RESULTS: Of 98 patients, 86
were female and 12 male. The majority of patients were 30-60 years old. The
sample was 62% Caucasian, 27% African American, and the rest identified as
"other". Twenty-seven percent had limited SSc, 48% diffuse, and 25% "other".
African American patients had greater total body image dissatisfaction (p=0.002),
specifically with respect to digital ulcers, pruritus, and pigmentation changes,
than Caucasian participants. Patients with diffuse SSc had greater body image
dissatisfaction than those with limited disease (p=0.002). CONCLUSIONS: Our
results suggest that African American patients with SSc and those with diffuse
subtype suffer a higher degree of body image dissatisfaction. Screening for and
addressing this issue in SSc patients is prudent. Further study is needed to
understand racial differences in body image dissatisfaction among patients with
SSc.
PMID- 27192126
TI - A Comparative Analysis of the Legal and Bioethical Frameworks Governing the
Secondary Use of Data for Research Purposes.
AB - The secondary use of research and health data for purposes that differ from the
original purpose of the collection is becoming a major trend in research, since
it allows for the optimal use of already available resources, and reduces the
costs of research activities. However, the consent provided at the time of the
initial data collection might not have foreseen these new uses of the data. This
is especially true for biobanks having collected data under a restricted or a
disease-specific consent, and for data linkage, which allows researchers to
combine research data with information from the medical record of participants.
To protect the participants' privacy, confidentiality, and autonomy, the use of
identifiable research and clinical data for secondary research purposes is
governed by a rather complex legal and ethical framework. This article aims to:
(1) provide a comprehensive analysis of the legal and bioethical framework
governing the secondary use of data at the international level, and; (2) identify
points of convergence and divergence with regard to the secondary use of data for
research purposes, in five countries (Australia, Canada, France, United Kingdom,
and United States). While the secondary use of already collected data carries
benefits and drawbacks, the international and national legal framework provide
guidance to promote a wider (although limited) secondary use of data, while
protecting research participants' rights and interests. Despite some differences,
the similarities between international and national regulations and norms reveal
the emergence of a common set of criteria for the secondary use of data in
international research.
PMID- 27192127
TI - Oncogenetics service and the Brazilian public health system: the experience of a
reference Cancer Hospital.
AB - The identification of families at-risk for hereditary cancer is extremely
important due to the prevention potential in those families. However, the number
of Brazilian genetic services providing oncogenetic care is extremely low for the
continental dimension of the country and its population. Therefore, at-risk
patients do not receive appropriate assistance. This report describes the
creation, structure and management of a cancer genetics service in a reference
center for cancer prevention and treatment, the Barretos Cancer Hospital (BCH).
The Oncogenetics Department (OD) of BCH offers, free of charge, to all
patients/relatives with clinical criteria, the possibility to perform i) genetic
counseling, ii) preventive examinations and iii) genetic testing with the best
quality standards. The OD has a multidisciplinary team and is integrated with all
specialties. The genetic counseling process consists (mostly) of two visits. In
2014, 614 individuals (371 families) were seen by the OD. To date, over 800
families were referred by the OD for genetic testing. The support provided by the
Oncogenetics team is crucial to identify at-risk individuals and to develop
preventive and personalized behaviors for each situation, not only to the upper
middle class population, but also to the people whose only possibility is the
public health system.
PMID- 27192128
TI - The lipidome, genotoxicity, hematotoxicity and antioxidant properties of andiroba
oil from the Brazilian Amazon.
AB - Andirobeira is an Amazonian tree, the seeds of which produce a commercially
valuable oil that is used in folk medicine and in the cosmetic industry. Andiroba
oil contains components with anti-inflammatory, cicatrizing and insect-repellant
actions. However, virtually nothing is known of the safety of this oil for
humans. The aim of this work was therefore to investigate the hematotoxicity,
genotoxicity and mutagenicity of andiroba oil using the comet and micronucleus
assays, and to assess its antioxidant properties and lipidome as a means of
addressing safety issues. For the experiments, andiroba oil was administered by
gavage for 14 consecutive days in nulliparous female Swiss mice randomly
distributed in four groups: negative control and three doses of oil (500, 1000
and 2000 mg/kg/day). These doses were chosen based on recommendations of the OECD
guideline no. 474 (1997). GC/MS was used to investigate the free fatty acid,
cholesterol and triterpene content of andiroba oil in a lipidomic analysis. No
clinical or behavioral alterations were observed throughout the period of
treatment, and exposure to andiroba oil at the doses and conditions used here did
not result in hematotoxic, genotoxic or mutagenic effects. Tests in vitro showed
that oil sample 3 from southwestern of Brazilian Amazon had a high antioxidant
capacity that may protect biological systems from oxidative stress, although this
activity remains to be demonstrated in vivo.
PMID- 27192129
TI - CDH1 mutations in gastric cancer patients from northern Brazil identified by Next
Generation Sequencing (NGS).
AB - Gastric cancer is considered to be the fifth highest incident tumor worldwide and
the third leading cause of cancer deaths. Developing regions report a higher
number of sporadic cases, but there are only a few local studies related to
hereditary cases of gastric cancer in Brazil to confirm this fact. CDH1 germline
mutations have been described both in familial and sporadic cases, but there is
only one recent molecular description of individuals from Brazil. In this study
we performed Next Generation Sequencing (NGS) to assess CDH1 germline mutations
in individuals who match the clinical criteria for Hereditary Diffuse Gastric
Cancer (HDGC), or who exhibit very early diagnosis of gastric cancer. Among five
probands we detected CDH1 germline mutations in two cases (40%). The mutation
c.1023T > G was found in a HDGC family and the mutation c.1849G > A, which is
nearly exclusive to African populations, was found in an early-onset case of
gastric adenocarcinoma. The mutations described highlight the existence of
gastric cancer cases caused by CDH1 germline mutations in northern Brazil,
although such information is frequently ignored due to the existence of a large
number of environmental factors locally. Our report represent the first CDH1
mutations in HDGC described from Brazil by an NGS platform.
PMID- 27192130
TI - Hereditary cancer risk assessment: insights and perspectives for the Next
Generation Sequencing era.
AB - Hereditary cancer risk assessment is a multidisciplinary and dynamic process,
with the purpose of estimating probabilities of germline mutations in cancer
susceptibility genes and assessing empiric risks of cancer based on personal and
family histories, in order to offer clinical and molecular diagnoses and clinical
management based on these risks. Genetic tests are available and most of them are
reimbursed by insurance companies, although they are generally not covered by the
public health systems of developing countries. More recently, molecular diagnosis
of hereditary cancer is feasible through next-generation sequencing (NGS) panels.
Here we review the benefits and limitations of NGS technologies in the clinical
practice.
PMID- 27192131
TI - Proteomic analysis of halotolerant proteins under high and low salt stress in
Dunaliella salina using two-dimensional differential in-gel electrophoresis.
AB - Dunaliella salina, a single-celled marine alga with extreme salt tolerance, is an
important model organism for studying fundamental extremophile survival
mechanisms and their potential practical applications. In this study, two
dimensional differential in-gel electrophoresis (2D-DIGE) was used to investigate
the expression of halotolerant proteins under high (3 M NaCl) and low (0.75 M
NaCl) salt concentrations. Matrix-assisted laser desorption ionization time-of
flight mass spectrometry (MALDI-TOF/TOF MS) and bioinformatics were used to
identify and characterize the differences among proteins. 2D-DIGE analysis
revealed 141 protein spots that were significantly differentially expressed
between the two salinities. Twenty-four differentially expressed protein spots
were successfully identified by MALDI-TOF/TOF MS, including proteins in the
following important categories: molecular chaperones, proteins involved in
photosynthesis, proteins involved in respiration and proteins involved in amino
acid synthesis. Expression levels of these proteins changed in response to the
stress conditions, which suggests that they may be involved in the maintenance of
intracellular osmotic pressure, cellular stress responses, physiological changes
in metabolism, continuation of photosynthetic activity and other aspects of salt
stress. The findings of this study enhance our understanding of the function and
mechanisms of various proteins in salt stress.
PMID- 27192132
TI - Physiological and perceptual effects of precooling in wheelchair basketball
athletes.
AB - OBJECTIVE: To investigate the physiological and perceptual effects of three
precooling strategies during pre-exercise rest in athletes with a spinal cord
injury (SCI). DESIGN: Randomized, counterbalanced. Participants were precooled,
then rested for 60 minutes (22.7 +/- 0.2 degrees C, 64.2 +/- 2.6%RH). SETTING:
National Wheelchair Basketball Training Centre, Australia. PARTICIPANTS: Sixteen
wheelchair basketball athletes with a SCI. INTERVENTIONS: Participants were
precooled through; 1) 10 minutes of 15.8 degrees C cold water immersion (CWI), 2)
ingestion of 6.8 g/kg-1 of slushie (S) from sports drink; 3) ingestion of 6.8
g/kg-1 of slushie with application of iced towels to the legs, torso and
back/arms (ST); or 4) ingestion of 6.8 g/kg-1 of room temperature (22.3 degrees
C) sports drink (CON). OUTCOME MEASURES: Core temperature (Tgi), skin temperature
(Tsk), heart rate (HR), and thermal and gastrointestinal comfort. RESULTS:
Following CWI, a significant reduction in Tgi was observed compared to CON, with
a greatest reduction of 1.58 degrees C occurring 40 minutes post-cooling (95% CI
[1.07, 2.10]). A significant reduction in Tgi following ST compared to CON was
also observed at 20 minutes (0.56 degrees C; [0.03, 1.09]) and 30 minutes (0.56
degrees C; [0.04, 1.09]) post-cooling. Additionally, a significant interaction
between impairment level and time was observed for Tgi and HR, demonstrating
athletes with a higher level of impairment experienced a greater reduction in HR
and significant decrease in rate of decline in Tgi, compared to lesser impaired
athletes. CONCLUSION: CWI and ST can effectively lower body temperature in
athletes with a SCI, and may assist in tolerating warm conditions.
PMID- 27192135
TI - Doctor to Doctor.
PMID- 27192136
TI - Winter emergencies.
PMID- 27192137
TI - The spirit of Christmas in a doctor's bag.
PMID- 27192134
TI - Local Structure in Terms of Nearest-Neighbor Approach in 1-Butyl-3
methylimidazolium-Based Ionic Liquids: MD Simulations.
AB - Description of the local microscopic structure in ionic liquids (ILs) is a
prerequisite to obtain a comprehensive understanding of the influence of the
nature of ions on the properties of ILs. The local structure is mainly determined
by the spatial arrangement of the nearest neighboring ions. Therefore, the main
interaction patterns in ILs, such as cation-anion H-bond-like motifs, cation
cation alkyl tail aggregation, and ring stacking, were considered within the
framework of the nearest-neighbor approach with respect to each particular
interaction site. We employed classical molecular dynamics (MD) simulations to
study in detail the spatial, radial, and orientational relative distribution of
ions in a set of imidazolium-based ILs, in which the 1-butyl-3-methylimidazolium
(C4mim(+)) cation is coupled with the acetate (OAc(-)), chloride (Cl(-)),
tetrafluoroborate (BF4(-)), hexafluorophosphate (PF6(-)),
trifluoromethanesulfonate (TfO(-)), or bis(trifluoromethanesulfonyl)amide (TFSA(
)) anion. It was established that several structural properties are strongly
anion-specific, while some can be treated as universally applicable to ILs,
regardless of the nature of the anion. Namely, strongly basic anions, such as
OAc(-) and Cl(-), prefer to be located in the imidazolium ring plane next to the
C-H(2/4-5) sites. By contrast, the other four bulky and weakly coordinating
anions tend to occupy positions above/below the plane. Similarly, the H-bond-like
interactions involving the H(2) site are found to be particularly enhanced in
comparison with the ones at H(4-5) in the case of asymmetric and/or more basic
anions (C4mimOAc, C4mimCl, C4mimTfO, and C4mimTFSA), in accordance with recent
spectroscopic and theoretical findings. Other IL-specific details related to the
multiple H-bond-like binding and cation stacking issues are also discussed in
this paper. The secondary H-bonding of anions with the alkyl hydrogen atoms of
cations as well as the cation-cation alkyl chain aggregation turned out to be
poorly sensitive to the nature of the anion.
PMID- 27192133
TI - Exploring Relationships Among Experience of Regret, Delay Discounting, and
Worries About Future Effects of Smoking Among Current Smokers.
AB - BACKGROUND: Smoking regret differs by smoker characteristics (dependence) and may
be linked to delay discounting (DD), where smaller immediate rewards are
preferred to larger delayed rewards. It is hypothesized that those who exhibit
stronger tendencies to delay rewards also express fewer future health concerns
and possibly less regret about smoking. OBJECTIVES: The objective is to determine
the interrelationships of regret, dependence, and delay discounting among current
smokers. METHODS: In July 2010, 1062 smokers completed a 45-minute at-home web
based survey and answered questions including regret, dependence (Fagerstrom Test
for Cigarette Dependence), and delay discounting (Monetary Choice Questionnaire).
Relationships among these variables and perceived future health effects were
analyzed using correlations, repeated measures analysis of variance, and
generalized linear models. RESULTS: Most smokers (85%) regretted smoking. FTCD
and log-k (DD) scores were positively associated (rs = 0.15, p < .001). Though a
strong decline in log-k was shown as reward increased (p's < .001), there were no
differences in discounting by regret. Regret was positively associated with
worries concerning health effects, quality of life, and finances (p's < .001).
Little association was found between DD and future health concerns.
CONCLUSIONS/IMPORTANCE: Though this study confirms that regret for smoking is
associated with perceived future risks as well as supports previous findings
between FTCD and DD, it shows little association between DD and perceived future
risks.
PMID- 27192139
TI - Cme Credit QUIZ.
PMID- 27192141
TI - Frostbite.
PMID- 27192142
TI - South Philadelphia passive sampler and sensor study.
AB - From June 2013 to March 2015, in total 41 passive sampler deployments of 2 wk
duration each were conducted at 17 sites in South Philadelphia, PA, with results
for benzene discussed here. Complementary time-resolved measurements with lower
cost prototype fenceline sensors and an open-path ultraviolet differential
optical absorption spectrometer were also conducted. Minimum passive sampler
benzene concentrations for each sampling period ranged from 0.08 ppbv to 0.65
ppbv, with a mean of 0.25 ppbv, and were negatively correlated with ambient
temperature (-0.01 ppbv/ degrees C, R(2) = 0.68). Co-deployed duplicate passive
sampler pairs (N = 609) demonstrated good precision with an average and maximum
percent difference of 1.5% and 34%, respectively. A group of passive samplers
located within 50 m of a refinery fenceline had a study mean benzene
concentration of 1.22 ppbv, whereas a group of samplers located in communities >1
km distant from facilities had a mean of 0.29 ppbv. The difference in the means
of these groups was statistically significant at the 95% confidence level (p <
0.001). A decreasing gradient in benzene concentrations moving away from the
facilities was observed, as was a significant period-to-period variation. The
highest recorded 2-wk average benzene concentration for the fenceline group was
3.11 ppbv. During this period, time-resolved data from the prototype sensors and
the open-path spectrometer detected a benzene signal from the west on one day in
particular, with the highest 5-min path-averaged benzene concentration measured
at 24 ppbv. IMPLICATIONS: Using a variation of EPA's passive sampler refinery
fenceline monitoring method, coupled with time-resolved measurements, a multiyear
study in South Philadelphia informed benzene concentrations near facilities and
in communities. The combination of measurement strategies can assist facilities
in identification and mitigation of emissions from fugitive sources and improve
information on air quality complex air sheds.
PMID- 27192143
TI - Embodying health identities: A study of young people with asthma.
AB - The embodiment of health identities is a growing area of interest. Questions
posed in this literature include: how important is the body in our
understandings/experiences of health, how are everyday definitions of health and
self embodied despite chronic illness, and how do social relations influence
these interpretations? Mindful of such questions, this paper draws on a
qualitative study of mild to moderate asthma among young people in Ireland. In
depth interviews were undertaken with 31 respondents aged between 5 and 17,
including boys (n = 15) and girls (n = 16) from different class and ethnic
backgrounds. Core themes included: the importance of play, physical activity and
sport; diet/nutrition; and physical appearance. Asthma sometimes presented
challenges in relation to specific domains, notably strenuous physical activity,
though in many other respects its potential impact was discursively minimised.
Attentive to various modalities of the lived body, we illustrate how health
identities are negotiated among young people diagnosed with a chronic illness.
Connections are also made with the sociology of childhood and (ill) health, which
views young people as active agents.
PMID- 27192144
TI - Cell Permeating Nano-Complexes of Amphiphilic Polyelectrolytes Enhance
Solubility, Stability, and Anti-Cancer Efficacy of Curcumin.
AB - Many hydrophobic drugs encounter severe bioavailability issues owing to their low
aqueous solubility and limited cellular uptake. We have designed a series of
amphiphilic polyaspartamide polyelectrolytes (PEs) that solubilize such
hydrophobic drugs in aqueous medium and enhance their cellular uptake. These PEs
were synthesized through controlled (~20 mol %) derivatization of polysuccinimide
(PSI) precursor polymer with hydrophobic amines (of varying alkyl chain lengths,
viz. hexyl, octyl, dodecyl, and oleyl), while the remaining succinimide residues
of PSI were opened using a protonable and hydrophilic amine, 2-(2-amino-ethyl
amino) ethanol (AE). Curcumin (Cur) was employed as a representative hydrophobic
drug to explore the drug-delivery potential of the resulting PEs. Unprecedented
enhancement in the aqueous solubility of Cur was achieved by employing these PEs
through a rather simple protocol. In the case of PEs containing oleyl/dodecyl
residues, up to >65000* increment in the solubility of Cur in aqueous medium
could be achieved without requiring any organic solvent at all. The resulting
suspensions were physically and chemically stable for at least 2 weeks. Stable
nanosized polyelectrolyte complexes (PECs) with average hydrodynamic diameters
(DH) of 150-170 nm (without Cur) and 220-270 nm (after Cur loading) were obtained
by using submolar sodium polyaspartate (SPA) counter polyelectrolyte. The zeta
potential of these PECs ranged from +36 to +43 mV. The PEC-formation
significantly improved the cytocompatibility of the PEs while affording
reconstitutable nanoformulations having up to 40 wt % drug-loading. The Cur
loaded PECs were readily internalized by mammalian cells (HEK-293T, MDA-MB-231,
and U2OS), majorly through clathrin-mediated endocytosis (CME). Cellular uptake
of Cur was directly correlated with the length of the alkyl chain present in the
PECs. Further, the PECs significantly improved nuclear transport of Cur in cancer
cells, resulting in their death by apoptosis. Noncancerous cells were completely
unaffected under this treatment.
PMID- 27192145
TI - A prebiotic role of Ecklonia cava improves the mortality of Edwardsiella tarda
infected zebrafish models via regulating the growth of lactic acid bacteria and
pathogen bacteria.
AB - In this study, the beneficial prebiotic roles of Ecklonia cava (E. cava, EC) were
evaluated on the growth of lactic acid bacteria (LAB) and pathogen bacteria and
the mortality of pathogen-bacteria infected zebrafish model. The result showed
that the original E. cava (EC) led to the highest growth effects on three LABs
(Lactobacillus brevis, L. brevis; Lactobacillus pentosus, L. pentosus;
Lactobacillus plantarum; L. plantarum) and it was dose-dependent manners. Also,
EC, its Celluclast enzymatic (ECC) and 100% ethanol extracts (ECE) showed the
anti-bacterial activities on the fish pathogenic bacteria such as (Edwardsiella
tarda; E. tarda, Streptococcus iniae; S. iniae, and Vibrio harveyi; V. harveyi).
Interestingly, EC induced the higher production of the secondary metabolites from
L. plantarum in MRS medium. The secondary metabolites produced by EC
significantly inhibited the growth of pathogen bacteria. In further in vivo
study, the co-treatment of EC and L. plantarum improved the growth and mortality
of E. tarda-infected zebrafish as regulating the expression of inflammatory
molecules such as iNOS and COX2. Taken together, our present study suggests that
the EC plays an important role as a potential prebiotic and has a protective
effect against the infection caused by E. tarda injection in zebrafish. Also, our
conclusion from this evidence is that EC can be used and applied as a useful
prebiotic.
PMID- 27192146
TI - Differentially proteomic analysis of the Chinese shrimp at WSSV latent and acute
infection stages by iTRAQ approach.
AB - As the direct executors of biological function, the expression level of proteins
will reveal the molecular mechanisms regulating WSSV acute infection more
directly. In the present study, the iTRAQ approach was applied to identifying
differentially expressed proteins in Chinese shrimp during WSSV latent infection
and acute infection. A total of 4051 unique peptides corresponding to 1286
proteins were identified. 118 unique proteins showed differential up-regulation
and 122 proteins were down-regulated in shrimp during WSSV acute infection
compared with those in WSSV latent infection stage. A number of proteins related
to actin-myosin cytoskeleton process, including myosin, actin, tubulin, clathrin,
and tropomyosin were found up-regulated in shrimp at WSSV AI stage, indicating
that the phagocytosis process was involved in WSSV AI stage. The apoptosis
process in shrimp during WSSV AI seemed to be inhibited because some proteins
suppressive on apoptosis were up-regulated, such as ALG-2 interacting protein x,
Hsp90, 14-3-3-like protein, peroxiredoxin 5, peroxiredoxin 6 and adenine
nucleotide translocase 2. Association analysis between the proteomic data and the
previous transcriptome data was performed. Quantitative real-time PCR and western
blot were carried out to verify the reliability of the proteomics data. The
present study provided a comprehensive view of molecular mechanisms regulating
WSSV acute infection at the protein level.
PMID- 27192148
TI - A New Centrosaurine Ceratopsid, Machairoceratops cronusi gen et sp. nov., from
the Upper Sand Member of the Wahweap Formation (Middle Campanian), Southern Utah.
AB - The Upper Cretaceous (middle-late Campanian) Wahweap Formation of southern Utah
contains the oldest diagnostic evidence of ceratopsids (to date, all
centrosaurines) in North America, with a number of specimens recovered from
throughout a unit that spans between 81 and 77 Ma. Only a single specimen has
been formally named, Diabloceratops eatoni, from the lower middle member of the
formation. Machairoceratops cronusi gen. et sp. nov., a new centrosaurine
ceratopsid from the upper member of the Wahweap Formation, is here described
based on cranial material representing a single individual recovered from a
calcareous mudstone. The specimen consists of two curved and elongate orbital
horncores, a left jugal, a nearly complete, slightly deformed braincase, the left
squamosal, and a mostly complete parietal ornamented by posteriorly projected,
anterodorsally curved, elongate spikes on either side of a midline embayment. The
fan-shaped, stepped-squamosal is diagnostic of Centrosaurinae, however, this
element differs from the rectangular squamosal in Diabloceratops.
Machairoceratops also differs in the possession of two anterodorsally (rather
than laterally) curved epiparietal ornamentations on either side of a midline
embayment that are distinguished by a posteromedially-oriented sulcus along the
entire length of the epiparietal. Additionally, the parietosquamosal frill is
lacking any other epiossifications along its periphery. Machairoceratops shares a
triangular (rather than round) frill and spike-like epiparietal loci (p1)
ornamentation with the stratigraphically lower Diabloceratops. Both parsimony and
Bayesian phylogenetic analyses place Machairoceratops as an early-branching
centrosaurine. However, the parsimony-based analysis provides little resolution
for the position of the new taxon, placing it in an unresolved polytomy with
Diabloceratops. The resultant Bayesian topology yielded better resolution,
aligning Machairoceratops as the definitive sister taxon to a clade formed by
Diabloceratops and Albertaceratops. Considered together, both phylogenetic
methods unequivocally place Machairoceratops as an early-branching centrosaurine,
and given the biostratigraphic position of Machairoceratops, these details
increase the known ceratopsid diversity from both the Wahweap Formation and the
southern portion of Laramidia. Finally, the unique morphology of the parietal
ornamentation highlights the evolutionary disparity of frill ornamentation near
the base of Centrosaurinae.
PMID- 27192147
TI - Host Immune Responses Differ between M. africanum- and M. tuberculosis-Infected
Patients following Standard Anti-tuberculosis Treatment.
AB - Epidemiological differences exist between Mycobacterium africanum (Maf)- and
Mycobacterium tuberculosis (Mtb)-infected patients, but to date, contributing
host factors have not been characterised. We analysed clinical outcomes, as well
as soluble markers and gene expression profiles in unstimulated, and ESAT6/CFP-10
, whole-Maf- and Mtb-stimulated blood samples of 26 Maf- and 49 Mtb-HIV-negative
tuberculosis patients before, and after 2 and 6 months of anti-tuberculosis
therapy. Before treatment, both groups had similar clinical parameters, but
differed in few cytokines concentration and gene expression profiles. Following
treatment the body mass index, skinfold thickness and chest X-ray scores showed
greater improvement in the Mtb- compared to Maf-infected patients, after
adjusting for age, sex and ethnicity (p = 0.02; 0.04 and 0.007, respectively). In
addition, in unstimulated blood, IL-12p70, IL12A and TLR9 were significantly
higher in Maf-infected patients, while IL-15, IL-8 and MIP-1alpha were higher in
Mtb-infected patients. Overnight stimulation with ESAT-6/CFP-10 induced
significantly higher levels of IFN-gamma and TNF-alpha production, as well as
gene expression of CCL4, IL1B and TLR4 in Mtb- compared to Maf-infected patients.
Our study confirms differences in clinical features and immune genes expression
and concentration of proteins associated with inflammatory processes between Mtb-
and Maf-infected patients following anti-tuberculosis treatment These findings
have public health implications for treatment regimens, and biomarkers for
tuberculosis diagnosis and susceptibility.
PMID- 27192149
TI - The Relationships between Workaholism and Symptoms of Psychiatric Disorders: A
Large-Scale Cross-Sectional Study.
AB - Despite the many number of studies examining workaholism, large-scale studies
have been lacking. The present study utilized an open web-based cross-sectional
survey assessing symptoms of psychiatric disorders and workaholism among 16,426
workers (Mage = 37.3 years, SD = 11.4, range = 16-75 years). Participants were
administered the Adult ADHD Self-Report Scale, the Obsession-Compulsive Inventory
Revised, the Hospital Anxiety and Depression Scale, and the Bergen Work Addiction
Scale, along with additional questions examining demographic and work-related
variables. Correlations between workaholism and all psychiatric disorder symptoms
were positive and significant. Workaholism comprised the dependent variable in a
three-step linear multiple hierarchical regression analysis. Basic demographics
(age, gender, relationship status, and education) explained 1.2% of the variance
in workaholism, whereas work demographics (work status, position, sector, and
annual income) explained an additional 5.4% of the variance. Age (inversely) and
managerial positions (positively) were of most importance. The psychiatric
symptoms (ADHD, OCD, anxiety, and depression) explained 17.0% of the variance.
ADHD and anxiety contributed considerably. The prevalence rate of workaholism
status was 7.8% of the present sample. In an adjusted logistic regression
analysis, all psychiatric symptoms were positively associated with being a
workaholic. The independent variables explained between 6.1% and 14.4% in total
of the variance in workaholism cases. Although most effect sizes were relatively
small, the study's findings expand our understanding of possible psychiatric
predictors of workaholism, and particularly shed new insight into the reality of
adult ADHD in work life. The study's implications, strengths, and shortcomings
are also discussed.
PMID- 27192150
TI - Coping styles used by sexual minority men who experience intimate partner
violence.
AB - AIMS AND OBJECTIVES: This study examined the coping styles used by sexual
minority men who have experienced intimate partner violence, including sexual,
emotional and physical victimisation, as well as physical injury. BACKGROUND:
Although sexual minority men experience intimate partner violence at least as
often as do heterosexuals, there is currently limited knowledge of intimate
partner violence in this community or resources for sexual minority men who
experience intimate partner violence. DESIGN: Cross-sectional design. METHOD:
Sexual minority men (N = 89) were recruited as part of a national online survey
and completed questionnaires assessing lifetime experiences of intimate partner
violence as well as various coping strategies. In terms of intimate partner
violence, 34.8% of participants reported having been targets of sexual abuse,
38.2% targets of physical abuse, 69.7% targets of psychological abuse and 28.1%
had experienced an injury as a result of intimate partner violence during their
lifetime. RESULTS: Canonical correlation analyses found that intimate partner
violence victimisation explained 32.5% of the variance in adaptive and 31.4% of
the variance in maladaptive coping behaviours. In the adaptive coping canonical
correlation, standardised loadings suggested that sexual minority men who
experienced intimate partner violence resulting in injury were more likely to use
religious coping, but less likely to use planning coping. In the maladaptive
coping canonical correlation, sexual minority men who had been targets of
intimate partner sexual victimisation and intimate partner violence resulting in
injury tended to engage in increased behavioural disengagement coping.
CONCLUSION: This study revealed several coping behaviours that are more or less
likely as the severity of different forms of intimate partner violence increases.
RELEVANCE TO CLINICAL PRACTICE: The identification of these coping styles could
be applied to the development and modification of evidence-based interventions to
foster effective and discourage ineffective coping styles, thereby improving
outcomes for sexual minority men who experience intimate partner violence.
PMID- 27192152
TI - Correction: Investigating the Role of RIO Protein Kinases in Caenorhabditis
elegans.
AB - [This corrects the article DOI: 10.1371/journal.pone.0117444.].
PMID- 27192154
TI - Consultations by Asylum Seekers: Recent Trends in the Emergency Department of a
Swiss University Hospital.
AB - BACKGROUND: Large-scale war-related migration to Switzerland and other European
countries is currently challenging European health systems. Little is known about
recent patterns and trends in Emergency Department (ED) consultations by Asylum
Seekers (AS). METHODS: A retrospective single-centre analysis was performed of
the data from all adult patients with the official status of "Asylum Seeker" or
"Refugee" who consulted the ED of Bern University Hospital, Switzerland, between
June 2012 and June 2015. Patient characteristics and clinical information, such
as triage category, type of referral and discharge, violence-related injury and
diagnostic group on discharge, were extracted from the computerised database or
determined from the medical reports. Changes in categorical variables between the
three studied years were described. RESULTS: A total of 1,653 eligible adult
patients were identified in the 3-year period. Between the first (06/12-06/13)
and third periods (06/14-06/15), the number of presentations per year increased
by about 45%. The AS came from 62 different nations, the most common countries
being Eritrea (13%), Somalia (13%) and Syria (11%). The mean age was 33.3 years
(SD 12.3) and two thirds (65.7%) were male. The proportion of women increased
over time. Moreover the relative proportions shifted from patients between 20 and
50 years to patients of under 20 or over 60 years. Nearly two thirds of the
patients were walk-in emergencies and this proportion increased over time. The
mean triage score was 2.9 (SD 0.7), with more than 90% presenting as "urgent
consultation". About half of the patients were treated for trauma (17.2%),
infections (16.8%) or psychiatric problems (14.2%). Trauma was seen in a higher
proportion of male than female patients. About 25% of the patients were admitted
for in-hospital treatment. CONCLUSIONS: The recent rise in AS in the population
has lead to an increase in AS presenting to EDs. This changes the composition of
ED patients and should raise awareness that changes in procedures may be needed.
Infectious diseases and psychiatric problems remain a heavy burden for AS
presenting in the ED. A trend towards an increasing proportion of walk-in
patients to the ED could not be explained by this study. Further studies and
surveillance are needed to investigate this trend.
PMID- 27192155
TI - Case Report: Coexistence of SUNCT and Hypnic Headache in the Same Patient.
AB - BACKGROUND: Short-lasting unilateral neuralgiform headache attacks with
conjunctival injection and tearing (SUNCT) and hypnic headache (HH) are two
exceedingly rare and distinctly classified primary headaches. The hypothalamus
seems to be a crucial region involved in the pathophysiology of both conditions,
but no cases of SUNCT and HH co-occurrence have been described so far. CASE
RESULTS: A 49-year-old woman who has been suffering from SUNCT for years, with
alternation of symptomatic periods and remissions, developed a new headache with
different clinical features, presenting exclusively during sleep and with a
dramatic responsiveness to caffeine, that met the diagnostic criteria for HH.
CONCLUSIONS: The available literature suggests that SUNCT and HH are different
conditions but the association in the same patient that we describe supports the
concept that they are not mutually exclusive. Further studies are needed to
establish if they share a common pathophysiological mechanism.
PMID- 27192151
TI - Boosting with Subtype C CN54rgp140 Protein Adjuvanted with Glucopyranosyl Lipid
Adjuvant after Priming with HIV-DNA and HIV-MVA Is Safe and Enhances Immune
Responses: A Phase I Trial.
AB - BACKGROUND: A vaccine against HIV is widely considered the most effective and
sustainable way of reducing new infections. We evaluated the safety and impact of
boosting with subtype C CN54rgp140 envelope protein adjuvanted in glucopyranosyl
lipid adjuvant (GLA-AF) in Tanzanian volunteers previously given three
immunizations with HIV-DNA followed by two immunizations with recombinant
modified vaccinia virus Ankara (HIV-MVA). METHODS: Forty volunteers (35 vaccinees
and five placebo recipients) were given two CN54rgp140/GLA-AF immunizations 30-71
weeks after the last HIV-MVA vaccination. These immunizations were delivered
intramuscularly four weeks apart. RESULTS: The vaccine was safe and well
tolerated except for one episode of asymptomatic hypoglycaemia that was
classified as severe adverse event. Two weeks after the second HIV-MVA
vaccination 34 (97%) of the 35 previously vaccinated developed Env-specific
binding antibodies, and 79% and 84% displayed IFN-gamma ELISpot responses to Gag
and Env, respectively. Binding antibodies to subtype C Env (included in HIV-DNA
and protein boost), subtype B Env (included only in HIV-DNA) and CRF01_AE Env
(included only in HIV-MVA) were significantly boosted by the CN54rgp140/GLA-AF
immunizations. Functional antibodies detected using an infectious molecular clone
virus/peripheral blood mononuclear cell neutralization assay, a pseudovirus/TZM
bl neutralization assay or by assays for antibody-dependent cellular cytotoxicity
(ADCC) were not significantly boosted. In contrast, T-cell proliferative
responses to subtype B MN antigen and IFN-gamma ELISpot responses to Env peptides
were significantly enhanced. Four volunteers not primed with HIV-DNA and HIV-MVA
before the CN54rgp140/GLA-AF immunizations mounted an antibody response, while
cell-mediated responses were rare. After the two Env subtype C protein
immunizations, a trend towards higher median subtype C Env binding antibody
titers was found in vaccinees who had received HIV-DNA and HIV-MVA prior to the
two Env protein immunizations as compared to unprimed vaccinees (p = 0.07).
CONCLUSION: We report excellent tolerability, enhanced binding antibody responses
and Env-specific cell-mediated immune responses but no ADCC antibody increase
after two immunizations with a subtype C rgp140 protein adjuvanted in GLA-AF in
healthy volunteers previously immunized with HIV-DNA and HIV-MVA. TRIAL
REGISTRATION: International Clinical Trials Registry PACTR2010050002122368.
PMID- 27192153
TI - Efficient method for volumetric assessment of peak blood flow velocity using 4D
flow MRI.
AB - PURPOSE: To test the feasibility and effectiveness of using maximum intensity
plots (MIPs) based on 4D flow magnetic resonance imaging (MRI) velocity data to
assess systolic peak velocities in a cohort of bicuspid aortic valve (BAV)
patients. MATERIALS AND METHODS: 4D flow MRI at 1.5T was performed on 51 BAV
patients. MIPs were generated from the 4D flow MRI velocity data and used by two
users to determine peak velocities in three regions of interest (ROIs): ascending
aorta (AAo), aortic arch, and descending aorta. 4D flow MRI peak velocities in
the AAo were compared to peak velocities recorded by 2D phase contrast MRI (2D
PCMRI) in a subcohort of 36 patients and by Doppler echocardiography in a
subcohort of 34 patients. 4D flow MRI peak velocities recorded by each observer
were compared for all ROIs to test for interobserver variability. RESULTS: 4D
flow MRI recorded significantly higher velocities compared to 2D PCMRI (2.04 +/-
0.71 m/s vs. 1.69 +/- 0.79 m/s, 17.2% difference, P < 0.001) and similar
velocities compared to Doppler echocardiography. There was excellent agreement
between the observers, with a mean difference of 0.005 m/s and an intraclass
correlation coefficient of 0.98. CONCLUSION: 4D flow MRI velocity MIPs allow for
efficient measurement of peak velocities in BAV patients with higher accuracy
than 2D PCMRI and similar accuracy to Doppler echocardiography. J. Magn. Reson.
Imaging 2016;44:1673-1682.
PMID- 27192156
TI - Prognostic impact of perineural invasion in hypopharyngeal squamous cell
carcinoma.
AB - OBJECTIVES: The aim of this study was to evaluate the role of PNI in HPSCC.
METHODS: The medical records of 105 patients who underwent surgery-based
treatment for HPSCC were reviewed. Clinicopathologic parameters including disease
specific survival were correlated with PNI. RESULTS: PNI was identified in 27 of
the 105 (25.7%) cases of HPSCC. Correlation analysis demonstrated that PNI in
HPSCC was significantly correlated with pN classification (10.3% in N0/N1 vs
34.8% in N2/N3, p = 0.006). Patients with PNI had decreased 5-year disease
specific survival with borderline significance (p = 0.065). In a sub-set of 31
patients who did not receive post-operative radiotherapy, PNI was determined to
be a significant prognostic predictor (p = 0.033). In multivariate analysis,
extracapsular invasion was the only independent prognostic factor for disease
specific survival (p = 0.001). CONCLUSION: Perineural invasion (PNI) should be
considered an independent predictor for cervical lymph node involvement. PNI
status in primary hypopharyngeal squamous cell carcinoma (HPSCC) specimens should
be considered in decisions concerning adjuvant radiotherapy.
PMID- 27192159
TI - Predictive Factors of Spontaneous Reporting of Adverse Drug Reactions among
Community Pharmacists.
AB - PURPOSE: To evaluate the association between spontaneous reporting (SR) and the
knowledge, attitude, and needs of community pharmacists (CPs), using a
questionnaire following a conceptual model known as the mixed model of knowledge
attitude-practices and the satisfaction of needs. METHODS: Self-administered
questionnaires were used with a nationwide convenience sample of CPs between
September 1, 2014 and November 25, 2014 in Korea. The association between SR and
the predictive factors was evaluated using multivariate logistic regression
analysis. RESULTS: In total, 1,001 questionnaires were analyzed. The mean age of
the respondents and the number of years spent in community pharmacy practice were
45.6 years and 15.3 years, respectively. CPs with experience of SR was 29.4%.
Being older than 60 (ORadj, 0.16; 95% CI, 0.06-0.42), having prior experience
with adverse drug reactions (ADR) (ORadj, 6.46; 95% CI, 2.46-16.98), having
higher specific knowledge of SR (ORadj, 3.58; 95% CI, 1.96-6.56), and having less
concern about the obstacles to SR (ORadj, 0.36; 95% CI, 0.23-0.57) were
significant contributing factors to SR. The main obstacles to SR included
perception of ADRs as 'not serious ADR' (77.9%), 'already well known ADR'
(81.5%), and 'uncertain about causality' (73.3%). CPs without reporting
experience had greater concerns related to the reporting method and the liability
of the pharmacy than those with reporting experience (p<0.05). CONCLUSIONS:
Findings from our study showed around one in three CPs had ADR reporting
experience in Korea, while 87.1% had prior experience with ADR cases. The
knowledge of SR, prior experience of ADR, and less concern about the obstacles to
SR were contributing factors for reporting levels.
PMID- 27192160
TI - Serum C-reactive protein in food protein-induced enterocolitis syndrome versus
food protein-induced proctocolitis in Japan.
AB - BACKGROUND: Some infants with food protein-induced enterocolitis syndrome (FPIES)
have increased serum C-reactive protein (CRP) and fever in Japan. The aim of this
study was therefore to clarify and compare the incidence of this in patients with
FPIES versus patients with food protein-induced proctocolitis (FPIP). METHODS:
One hundred and sixteen infants with non-IgE-mediated gastrointestinal food
allergies were enrolled in this study and classified into three phenotypes: FPIES
presenting with vomiting and/or diarrhea (n = 47); FPIP with bloody stool alone
(n =19); and the mixed phenotype (MP), bloody stool with vomiting and/or diarrhea
(n = 50). RESULTS: Serum CRP was increased in 55.3% of the FPIES group, similar
to that in the MP group (54.0%), and significantly higher than in the FPIP group
(15.8%; P < 0.01). Fever was observed in 29.8% of the FPIES group, significantly
higher than in the MP group (8.0%; P < 0.01) and in the FPIP group (0%; P <
0.05). Patients with fever had significantly higher serum CRP than patients
without fever (median, 12.8 vs <0.2 mg/dL, P < 0.00001). CONCLUSIONS: Serum CRP
was significantly higher in the FPIES group than in the FPIP group. This suggests
that serum CRP is a useful marker for differentiating the pathogenesis of FPIES
from FPIP. From the perspective of serum CRP, the pathology of the intestinal
inflammation in MP subjects is suggested to be similar to that of FPIES.
PMID- 27192161
TI - Organolead Halide Perovskites for Low Operating Voltage Multilevel Resistive
Switching.
AB - Organolead halide perovskites are used for low-operating-voltage multilevel
resistive switching. Ag/CH3 NH3 PbI3 /Pt cells exhibit electroforming-free
resistive switching at an electric field of 3.25 * 10(3) V cm(-1) for four
distinguishable ON-state resistance levels. The migration of iodine interstitials
and vacancies with low activation energies is responsible for the low-electric
field resistive switching via filament formation and annihilation.
PMID- 27192162
TI - A systematic review of the effectiveness of smartphone applications that
encourage dietary self-regulatory strategies for weight loss in overweight and
obese adults.
AB - The aim of this paper is to systematically review the evidence to explore whether
smartphone applications that use self-regulatory strategies are beneficial for
weight loss in overweight and obese adults over the age of 18 years. Sixteen
electronic databases were searched for articles published up to April 2015
including MEDLINE, OVID, Ingenta, PSYCARTICLES and PSYCINFO, CINAHL, Sportdiscus,
Science Direct, Web of Knowledge, Cochrane Library, JSTOR, EBSCO, Proquest, Wiley
and Google Scholar. Twenty nine eligible studies were retrieved of which six
studies met the inclusion criteria. Studies that recruited participants under the
age of 18 years, adults with a chronic condition or did not report weight loss
outcomes were excluded. Study findings were combined using a narrative synthesis.
Overall, evidence suggests that smartphone applications may be a useful tool for
self-regulating diet for weight loss as participants in the smartphone
application group in all studies lost at least some bodyweight. However, when
compared to other self-monitoring methods, there was no significant difference in
the amount of weight lost. Findings should be interpreted with caution based on
the design of the studies and the comparator groups used. Future research needs
to be more methodologically rigorous and incorporate measures of whether eating
habits become healthier in addition to measuring weight and BMI.
PMID- 27192165
TI - The Sialylation Pathway and Coronary Artery Disease.
PMID- 27192166
TI - College and the grieving student: A mixed-methods analysis.
AB - The current mixed-methods study examines how college students negotiate the grief
process with the competing demands of college. Data were collected from 950
students at a regional comprehensive university and a research intensive
institution. Quantitative findings revealed closeness to the deceased as a key
positive predictor of mental health and academic difficulties and positive
associations between changes in peer relationships and mental health
difficulties. Qualitative findings showed that closeness to the deceased was
associated with a greater sense of purpose in the college experience and findings
suggested that institutions and their faculty encourage and exhibit more
sensitivity about grief issues.
PMID- 27192163
TI - Infectious Disease Risk Associated with Contaminated Propofol Anesthesia, 1989
2014(1).
AB - Administration of propofol, the most frequently used intravenous anesthetic
worldwide, has been associated with several iatrogenic infections despite its
relative safety. Little is known regarding the global epidemiology of propofol
related outbreaks and the effectiveness of existing preventive strategies. In
this overview of the evidence of propofol as a source of infection and appraisal
of preventive strategies, we identified 58 studies through a literature search in
PubMed, Embase, and Lilacs for propofol-related infections during 1989-2014.
Twenty propofol-related outbreaks have been reported, affecting 144 patients and
resulting in 10 deaths. Related factors included reuse of syringes for multiple
patients and prolonged exposure to the environment when vials were left open. The
addition of antimicrobial drugs to the emulsion has been instituted in some
countries, but outbreaks have still occurred. There remains a lack of
comprehensive information on the effectiveness of measures to prevent future
outbreaks.
PMID- 27192164
TI - Characterization of ESBL- and AmpC-Producing and Fluoroquinolone-Resistant
Enterobacteriaceae Isolated from Mouflons (Ovis orientalis musimon) in Austria
and Germany.
AB - The aim of this study was to investigate the presence of beta-lactamase producing
or fluoroquinolone-resistant members of the family Enterobacteriaceae in European
mouflons (Ovis orientalis musimon). The mouflon samples originated from nasal and
perineal swabs and/or organ samples in cases of a suspected infection. Only one
of the 32 mouflons was tested positive for the presence of Enterobacteriaceae
that displayed either an ESBL/AmpC phenotype or were resistant to ciprofloxacin.
The positively tested swab originated from a sample of the jejunal mucosa of a
four-year old female mouflon. Two different colony morphotypes were identified as
Escherichia coli and Klebsiella pneumoniae. These isolates were phenotypically
and genotypically characterized in detail by a polyphasic approach. Both isolates
were multi-drug resistant. The E. coli isolate belonged to the phylogenetic group
B1 and sequence type (ST) 744 and harboured the beta-lactamase genes blaCTX-M-15
and blaOXA-1. The K. pneumoniae, identified as ST11, harboured the beta-lactamase
genes blaSHV-11, blaOXA-1, and blaDHA-1 as well as the plasmid-mediated quinolone
resistance (PMQR) gene qnrB55. The present study demonstrates that wild animals
can acquire human-derived resistance determinants and such findings may indicate
environmental pollution with resistance determinants from other sources.
PMID- 27192168
TI - Conjunctival malignant melanoma in Denmark: epidemiology, treatment and prognosis
with special emphasis on tumorigenesis and genetic profile.
AB - Conjunctival malignant melanoma is a rare disease associated with considerable
mortality. Most published data have been based on case reports or series of
referred patients. In addition, very little is known about the genetic and
epigenetic profile of conjunctival melanoma and the resemblance to uveal,
cutaneous and mucosal melanoma. The aim was to determine the incidence rate of
conjunctival melanoma, and to relate clinicopathological features and treatment
to prognosis. A further aim was to determine the prevalence of BRAF mutations in
conjunctival melanoma, to determine whether BRAF mutations are early events in
pathogenesis, and relate clinicopathological features and prognosis to BRAF
mutation status. Finally, we wanted to identify tumour-specific and prognostic
microRNAs in conjunctival melanoma, and to compare these with the microRNA
expression of other melanoma subtypes. In order to investigate these rare
tumours, we studied all the conjunctival melanomas that had been surgically
removed in Denmark over a period of 52 years (1960-2012). Tissue samples,
clinical files, pathology reports and follow-up data were collected and re
evaluated. Using droplet digital polymerase chain reaction and
immunohistochemistry, we investigated BRAF mutations; and using microRNA
expression profiling, we investigated differentially expressed microRNAs. The
overall incidence of conjunctival melanoma was 0.5/1 000 000/year, and it
increased in Denmark over 52 years. The increase was mainly caused by an increase
in older patients (>65 years) and bulbar lesions. Clinicopathological features
significantly associated with a poor prognosis were extrabulbar location,
involvement of adjacent tissue structures, tumour thickness exceeding 2 mm and
local tumour recurrence. Patients undergoing incisional biopsy and/or treatment
involving excision without adjuvant therapy fared worse than patients treated
with excision and any type of adjuvant treatment. We found that 35% (39/110) of
conjunctival melanomas were BRAF-mutated, and the incidence of BRAF mutations was
constant over time. BRAF-mutation status corresponded in conjunctival melanoma
and paired premalignant lesions. BRAF mutations were more frequent in males, in
young patients, and in tumours with a sun-exposed tumour location (bulbar
conjunctiva or caruncle), with a mixed or non-pigmented colour, with absence of
primary acquired melanosis, and with origin in a nevus. Immunohistochemistry was
able to accurately detect BRAF V600E mutations. In univariate analysis, distant
metastatic disease was associated with BRAF mutations. No prognostic associations
with BRAF mutations were identified in multivariate analyses. MicroRNA expression
analysis revealed 25 tumour-specific microRNAs in conjunctival melanoma. Five
possibly oncogenic miRNAs (miR-20b-5p, miR-146b-5p, miR-146a-5p, miR-506-3p and
miR-509-3p) were up-regulated. Seven microRNAs (miR-30d-5p, miR-138-5p, miR-146a
5p, miR-500a-5p, miR-501-3p, miR-501-5p and miR-502-3p) were significantly and
simultaneously up-regulated in both stage T1 and stage T2 tumours, and were
associated with increased tumour thickness. The expression of the 25 tumour
specific microRNAs did not differ significantly between conjunctival melanoma and
oral or nasal mucosal melanoma. In conclusion, the incidence of conjunctival
melanoma increased in the Danish population from 1960 to 2012. From our findings
of a distinct pattern of BRAF mutations and differentially expressed microRNAs,
it is evident that conjunctival melanoma is closely related to cutaneous and
other mucosal melanomas and bears less resemblance to uveal melanomas. This means
that conjunctival melanoma patients may benefit from therapies that are effective
for cutaneous and mucosal melanoma. Additionally, the identification of several
up-regulated microRNAs may prove to be useful as prognostic or therapeutic
targets in conjunctival melanoma.
PMID- 27192167
TI - Longitudinal Changes in the Motor Learning-Related Brain Activation Response in
Presymptomatic Huntington's Disease.
AB - Neurocognitive decline, including deficits in motor learning, occurs in the
presymptomatic phase of Huntington's disease (HD) and precedes the onset of motor
symptoms. Findings from recent neuroimaging studies have linked these deficits to
alterations in fronto-striatal and fronto-parietal brain networks. However,
little is known about the temporal dynamics of these networks when subjects
approach phenoconversion. Here, 10 subjects with presymptomatic HD were scanned
with 15O-labeled water at baseline and again 1.5 years later while performing a
motor sequence learning task and a kinematically matched control task. Spatial
covariance analysis was utilized to characterize patterns of change in learning
related neural activation occurring over time in these individuals. Pattern
expression was compared to corresponding values in 10 age-matched healthy control
subjects. Spatial covariance analysis revealed significant longitudinal changes
in the expression of a specific learning-related activation pattern characterized
by increasing activity in the right orbitofrontal cortex, with concurrent
reductions in the right medial prefrontal and posterior cingulate regions, the
left insula, left precuneus, and left cerebellum. Changes in the expression of
this pattern over time correlated with baseline measurements of disease burden
and learning performance. The network changes were accompanied by modest
improvement in learning performance that took place concurrently in the gene
carriers. The presence of increased network activity in the setting of stable
task performance is consistent with a discrete compensatory mechanism. The
findings suggest that this effect is most pronounced in the late presymptomatic
phase of HD, as subjects approach clinical onset.
PMID- 27192169
TI - The role of air pollution in COPD and implications for therapy.
AB - INTRODUCTION: Exposure to many environmental conditions, apart from tobacco, as a
risk factor for developing chronic obstructive pulmonary disease (COPD) has been
comprehensively studied. AREAS COVERED: Global estimates of air pollution depict
a scenario of a considerable burden on respiratory health. Exposure to biomass
smoke and occupational inhaled agents have been described as potentially related
to causing or aggravating COPD. A number of emerging natural or accidental
disasters have been suggested as a new source of exposure. Management of COPD
patients exposed to different substances should include avoidance, especially in
the more susceptible populations and proper pharmacological treatment considering
potential association with particular clinical presentations. Expert commentary:
Altogether, the available information indicates that appraisal of different
environmental pollution exposures is clinically relevant, and should be
systematically evaluated in COPD.
PMID- 27192171
TI - Do Childhood Adversities Predict Suicidality? Findings from the General
Population of the Metropolitan Area of Sao Paulo, Brazil.
AB - BACKGROUND: Childhood adversities have been associated with a number of medical
and psychiatric outcomes. However, the reported effects that specific childhood
adversities have on suicidality vary across studies. METHOD: This was a cross
sectional, stratified, multistage area probability investigation of a general
population in Brazil, designated the Sao Paulo Megacity Mental Health Survey. The
World Mental Health Composite International Diagnostic Interview was applied in
5037 individuals >= 18 years of age, in order to assess 12 different adversities
occurring during childhood and/or adolescence, as well as to look for
associations between those adversities and subsequent suicidality in different
age strata. RESULTS: Over half of the respondents reported at least one childhood
adversity. Only physical abuse was consistently associated with suicide attempts
in all subsequent life stages (OR = 2.1). Among adults 20-29 years of age, the
likelihood of a suicide attempt was correlated with parental divorce, whereas
suicidal ideation was associated with prior sexual abuse. Among adults over 30
years of age, physical illness and economic adversity emerged as relevant
childhood adversities associated with suicide attempts, whereas sexual abuse,
family violence, and economic adversity were associated with suicidal ideation.
CONCLUSION: Childhood adversities, especially physical abuse, are likely
associated with unfavorable consequences in subsequent years. For suicidality
across a lifespan, the role of different childhood adversities must be examined
independently.
PMID- 27192172
TI - Targeting In-Stent-Stenosis with RGD- and CXCL1-Coated Mini-Stents in Mice.
AB - Atherosclerotic lesions that critically narrow the artery can necessitate an
angioplasty and stent implantation. Long-term therapeutic effects, however, are
limited by excessive arterial remodeling. We here employed a miniaturized nitinol
stent coated with star-shaped polyethylenglycole (star-PEG), and evaluated its
bio-functionalization with RGD and CXCL1 for improving in-stent stenosis after
implantation into carotid arteries of mice. Nitinol foils or stents (bare metal)
were coated with star-PEG, and bio-functionalized with RGD, or RGD/CXCL1. Cell
adhesion to star-PEG-coated nitinol foils was unaltered or reduced, whereas bio
functionalization with RGD but foremost RGD/CXCL1 increased adhesion of early
angiogenic outgrowth cells (EOCs) and endothelial cells but not smooth muscle
cells when compared with bare metal foils. Stimulation of cells with RGD/CXCL1
furthermore increased the proliferation of EOCs. In vivo, bio-functionalization
with RGD/CXCL1 significantly reduced neointima formation and thrombus formation,
and increased re-endothelialization in apoE-/- carotid arteries compared with
bare-metal nitinol stents, star-PEG-coated stents, and stents bio-functionalized
with RGD only. Bio-functionalization of star-PEG-coated nitinol-stents with
RGD/CXCL1 reduced in-stent neointima formation. By supporting the adhesion and
proliferation of endothelial progenitor cells, RGD/CXCL1 coating of stents may
help to accelerate endothelial repair after stent implantation, and thus may
harbor the potential to limit the complication of in-stent restenosis in clinical
approaches.
PMID- 27192170
TI - Low Mutation Burden in Ovarian Cancer May Limit the Utility of Neoantigen
Targeted Vaccines.
AB - Due to advances in sequencing technology, somatically mutated cancer antigens, or
neoantigens, are now readily identifiable and have become compelling targets for
immunotherapy. In particular, neoantigen-targeted vaccines have shown promise in
several pre-clinical and clinical studies. However, to date, neoantigen-targeted
vaccine studies have involved tumors with exceptionally high mutation burdens. It
remains unclear whether neoantigen-targeted vaccines will be broadly applicable
to cancers with intermediate to low mutation burdens, such as ovarian cancer. To
address this, we assessed whether a derivative of the murine ovarian tumor model
ID8 could be targeted with neoantigen vaccines. We performed whole exome and
transcriptome sequencing on ID8-G7 cells. We identified 92 somatic mutations, 39
of which were transcribed, missense mutations. For the 17 top predicted MHC class
I binding mutations, we immunized mice subcutaneously with synthetic long peptide
vaccines encoding the relevant mutation. Seven of 17 vaccines induced robust
mutation-specific CD4 and/or CD8 T cell responses. However, none of the vaccines
prolonged survival of tumor-bearing mice in either the prophylactic or
therapeutic setting. Moreover, none of the neoantigen-specific T cell lines
recognized ID8-G7 tumor cells in vitro, indicating that the corresponding
mutations did not give rise to bonafide MHC-presented epitopes. Additionally,
bioinformatic analysis of The Cancer Genome Atlas data revealed that only 12%
(26/220) of HGSC cases had a >=90% likelihood of harboring at least one
authentic, naturally processed and presented neoantigen versus 51% (80/158) of
lung cancers. Our findings highlight the limitations of applying neoantigen
targeted vaccines to tumor types with intermediate/low mutation burdens.
PMID- 27192173
TI - LiFE | Literature for ENYGO.
PMID- 27192174
TI - Evaluation of the Persistence, Remission, and Emergence of Attention
Deficit/Hyperactivity Disorder in Young Adulthood.
AB - IMPORTANCE: Attention-deficit/hyperactivity disorder (ADHD) is now recognized to
occur in adulthood and is associated with a range of negative outcomes. However,
less is known about the prospective course of ADHD into adulthood, the risk
factors for its persistence, and the possibility of its emergence in young
adulthood in nonclinical populations. OBJECTIVE: To investigate childhood risk
factors and young adult functioning of individuals with persistent, remitted, and
late-onset young adult ADHD. DESIGN, SETTING, AND PARTICIPANTS: The study sample
was the Environmental Risk (E-Risk) Longitudinal Twin Study, a nationally
representative birth cohort of 2232 twins born in England and Wales from January
1, 1994, to December 4, 1995. Evaluation of childhood ADHD (ages 5, 7, 10, and 12
years) included prenatal and perinatal factors, clinical characteristics, and
aspects of the family environment. Among participants aged 18 years, ADHD
symptoms and associated impairment, overall functioning, and other mental health
disorders were examined. Data analysis was conducted from February 19 to
September 10, 2015. MAIN OUTCOMES AND MEASURES: Attention-deficit/hyperactivity
disorder according to DSM-IV diagnostic criteria in childhood and DSM-5
diagnostic criteria in young adulthood. RESULTS: Of 2232 participants in the E
Risk Study, 2040 were included in the present analysis. In total, 247 individuals
met diagnostic criteria for childhood ADHD; of these, 54 (21.9%) also met
diagnostic criteria for the disorder at age 18 years. Persistence was associated
with more symptoms (odds ratio [OR], 1.11 [95% CI, 1.04-1.19]) and lower IQ (OR,
0.98 [95% CI, 0.95-1.00]). At age 18 years, individuals with persistent ADHD had
more functional impairment (school/work: OR, 3.30 [95% CI, 2.18-5.00], home/with
friends: OR, 6.26 [95% CI, 3.07-12.76]), generalized anxiety disorder (OR, 5.19
[95% CI, 2.01-13.38]), conduct disorder (OR, 2.03 [95% CI, 1.03-3.99]), and
marijuana dependence (OR, 2.88 [95% CI, 1.07-7.71]) compared with those whose
ADHD remitted. Among 166 individuals with adult ADHD, 112 (67.5%) did not meet
criteria for ADHD at any assessment in childhood. Results from logistic
regressions indicated that individuals with late-onset ADHD showed fewer
externalizing problems (OR, 0.93 [95% CI, 0.91-0.96]) and higher IQ (OR, 1.04
[95% CI, 1.02-1.07]) in childhood compared with the persistent group. However, at
age 18 years, those with late-onset ADHD demonstrated comparable ADHD symptoms
and impairment as well as similarly elevated rates of mental health disorders.
CONCLUSIONS AND RELEVANCE: We identified heterogeneity in the DSM-5 young adult
ADHD population such that this group consisted of a large, late-onset ADHD group
with no childhood diagnosis, and a smaller group with persistent ADHD. The extent
to which childhood-onset and late-onset adult ADHD may reflect different causes
has implications for genetic studies and treatment of ADHD.
PMID- 27192175
TI - PERIPHERAL RETINOPATHY ASSOCIATED WITH APLASTIC ANEMIA.
AB - PURPOSE: To report a case of severe, bilateral, rapidly progressing peripheral
retinal nonperfusion associated with underlying aplastic anemia. METHODS: An
interventional case report. RESULTS: A 4-year-old girl presented with decreased
visual acuity. On clinical examination, she was found to have a RAPD, elevated
intraocular pressure, 360 degrees rubeosis, vitreous hemorrhage, severe
exudative retinal detachment, and telangiectasia with severe peripheral retinal
nonperfusion. Laboratory workup was significant for pancytopenia, and a bone
marrow biopsy showed extreme hypocellularity with no malignant cells. The patient
was diagnosed with primary aplastic anemia. She developed dramatic progression of
retinal nonperfusion in the left eye, as well as in the fellow right eye. This
bilateral retinopathy was poorly responsive to aggressive management, which
included laser photocoagulation and intravitreal injections of anti-vascular
endothelial growth factor medications. CONCLUSION: Asymmetric, bilateral quickly
progressing peripheral retinal ischemia, in conjunction with pancytopenia and
otherwise negative workup may be related to underlying bone marrow failure and
aplastic anemia.
PMID- 27192176
TI - Prevalence, distribution, and risk factor for colonic neoplasia in 1133 subjects
aged 40-49 undergoing screening colonoscopy.
AB - BACKGROUND AND AIM: Colorectal cancer (CRC) incidence is rising among <50-year
olds. The objective of this study was to determine screening colonoscopy outcomes
among 40- to 49-year olds, which are currently limited. METHODS: Asymptomatic 40-
to 49-year olds underwent one time CRC screening colonoscopy at The Chinese
University of Hong Kong between 2007 and 2011. Screening outcomes, including
prevalence, distribution, and predictive factors for overall and specifically
proximal colorectal neoplasia were determined. RESULTS: Among 1133 ethnic
Chinese, colorectal neoplasia prevalence was 20.5%. In men, distal adenomas were
associated with proximal colorectal neoplasia. Men, advancing age, a first degree
relative (FDR) with CRC, and diabetes mellitus were independently associated with
colorectal neoplasia. A colorectal neoplasia was three times more likely to be
found in a 45- to 49-year-old man with FDR of CRC compared with a 40- to 44-year
old woman without a FDR of CRC. The numbers needed to screen one colorectal
neoplasia, and one advanced neoplasm in the highest risk group of 45- to 49-year
old men with FDR with CRC were 2.8 (95% CI: 2.2-4.4) and 18.5 (95% CI: 8.9-39.2),
respectively. CONCLUSIONS: Colorectal neoplasia prevalence in this 40- to 49-year
old Chinese cohort was higher than previous studies. Men, advancing age, FDR with
CRC, and diabetes mellitus, can be used to risk stratify for neoplasia
development. Men 45-49 years old with FDR with CRC represented the highest risk
subgroup, with the lowest number needed to screen.
PMID- 27192178
TI - Minimally adaptive BH: A tiny but uniform improvement of the procedure of
Benjamini and Hochberg.
AB - We define an adaptive procedure for control of the false discovery rate that is
uniformly more powerful than the procedure of Benjamini and Hochberg. The power
gain is tiny, however, and only appreciable for small numbers of hypotheses. We
illustrate the new method with the case of two hypotheses, for which so far no
procedure was known that controls false discovery rate but not also familywise
error rate under positive dependence.
PMID- 27192179
TI - Current densities and total contact currents during forest clearing tasks under
400 kV power lines.
AB - The aim of the study was to analyze all values of electric currents from measured
periods while performing tasks in forest clearing. The objective was also to
choose and analyze measurement cases, where current measurements successfully
lasted the entire work period (about 30 min). Two forestry workers volunteered to
perform four forest clearing tasks under 400 kV power lines. The sampling
frequency of the current measurements was 1 sample/s. The maximum values of the
current densities were 1.0-1.2 mA/m(2) (calculated internal EFs 5.0-12.0 mV/m),
and the average values were 0.2-0.4 mA/m(2) . The highest contact current was
167.4 MUA. All measured values during forest clearing tasks were lower than basic
restrictions (0.1 V/m and 0.8 V/m) of the International Commission on Non
Ionizing Radiation Protection. Bioelectromagnetics. 37:423-428, 2016. (c) 2016
The Authors. Bioelectromagnetics published by Wiley Periodicals, Inc.
PMID- 27192180
TI - Fabrication of SnO2 Asymmetric Membranes for High Performance Lithium Battery
Anode.
AB - Alloy electrode material like tin dioxide (SnO2) possesses much higher specific
capacity as compared to commercial graphite anode in lithium ion battery (783 vs
372 mAh g(-1)). However, the huge volume change (260%) of SnO2-based anode during
the alloying and dealloying process can cause significant electrode pulverization
and rapid capacity loss. Herein we report the synthesis of SnO2 asymmetric
membranes via a unique combination of phase inversion and sol-gel chemistry to
overcome this big challenge. The SnO2 asymmetric membrane electrode demonstrates
a specific capacity of 500 mAh g(-1) based on the overall electrode mass at a
current density of 280 mA g(-1) (~0.5C) with >96% capacity retention after 400
cycles. When the current density is increased from 28 to 560 mA g(-1), its
overall capacity is only reduced by 36%. Such an outstanding rate and cycling
performance is attributed to the existence of networking porous structure in the
membrane that can provide high electrical conductivity, multiple diffusion
channels, and free volumes for electrode expansion. The carbonization temperature
has a dramatic impact on the electrode performance. Membranes carbonized at 500
degrees C show an excellent cycling performance, whereas the capacity of the
membrane carbonized at 800 degrees C decreases by 51% in 100 cycles. Such a
drastic difference in cycle life is caused by the reduction of small SnO2 NPs
(~3.9 nm) into large metallic tin spheres (~40 nm) at 800 degrees C. This is the
first original report on using asymmetric membrane structure to stabilize an SnO2
based lithium ion battery anode with an excellent electrochemical performance.
PMID- 27192177
TI - Dentate nucleus iron deposition is a potential biomarker for tremor-dominant
Parkinson's disease.
AB - Parkinson's disease (PD) is a heterogeneous neurodegenerative disorder with
variable clinicopathologic phenotypes and underlying neuropathologic mechanisms.
Each clinical phenotype has a unique set of motor symptoms. Tremor is the most
frequent initial motor symptom of PD and is the most difficult symptom to treat.
The dentate nucleus (DN) is a deep iron-rich nucleus in the cerebellum and may be
involved in PD tremor. In this study, we test the hypothesis that DN iron may be
elevated in tremor-dominant PD patients using quantitative susceptibility
mapping. Forty-three patients with PD [19 tremor dominant (TD)/24 akinetic
rigidity (AR) dominant] and 48 healthy gender- and age-matched controls were
recruited. Multi-echo gradient echo data were collected for each subject on a 3.0
T MR system. Inter-group susceptibility differences in the bilateral DN were
investigated and correlations of clinical features with susceptibility were also
examined. In contrast with the AR-dominant group, the TD group was found to have
increased susceptibility in the bilateral DN when compared with healthy controls.
In addition, susceptibility was positively correlated with tremor score in drug
naive PD patients. These findings indicate that iron load within the DN may make
an important contribution to motor phenotypes in PD. Moreover, our results
suggest that TD and AR-dominant phenotypes of PD can be differentiated on the
basis of the susceptibility of the DN, at least at the group level. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27192182
TI - Quantum Mechanical Investigation of Mode-Specific Tunneling upon Fundamental
Excitation in Malonaldehyde.
AB - We present a quantum mechanical study of mode-specific tunneling upon fundamental
excitation in malonaldehyde with a multidimensional theory that utilizes the
saddle-point normal coordinates. We find that a ring-deformation normal mode is
as essential as the well-known imaginary-frequency normal mode in the
multidimensional investigation. The changes in tunneling splittings upon
fundamental excitation are calculated. The results are competitive with those
from a recently developed mixed classical-quantum method. Moreover, the results
are qualitatively consistent with experiment for about half of all the modes.
PMID- 27192181
TI - Genetic variation in Tunisia in the context of human diversity worldwide.
AB - OBJECTIVES: North Africa has a complex demographic history of migrations from
within Africa, Europe, and the Middle East. However, population genetic studies,
especially for autosomal genetic markers, are few relative to other world
regions. We examined autosomal markers for eight Tunisian and Libyan populations
in order to place them in a global context. MATERIALS AND METHODS: Data were
collected by TaqMan on 399 autosomal single nucleotide polymorphisms on 331
individuals from Tunisia and Libya. These data were combined with data on the
same SNPs previously typed on 2585 individuals from 57 populations from around
the world. Where meaningful, close by SNPs were combined into multiallelic
haplotypes. Data were evaluated by clustering, principal components, and
population tree analyses. For a subset of 102 SNPs, data from the literature on
seven additional North African populations were included in analyses. RESULTS:
Average heterozygosity of the North African populations is high relative to our
global samples, consistent with a complex demographic history. The Tunisian and
Libyan samples form a discrete cluster in the global and regional views and can
be separated from sub-Sahara, Middle East, and Europe. Within Tunisia the Nebeur
and Smar are outlier groups. Across North Africa, pervasive East-West
geographical patterns were not found. DISCUSSION: Known historical migrations and
invasions did not displace or homogenize the genetic variation in the region but
rather enriched it. Even a small region like Tunisia contains considerable
genetic diversity. Future studies across North Africa have the potential to
increase our understanding of the historical demographic factors influencing the
region. Am J Phys Anthropol 161:62-71, 2016. (c) 2016 The Authors American
Journal of Physical Anthropology Published by Wiley Periodicals, Inc.
PMID- 27192183
TI - Applied Grant Writing Training for Future Health Communication Researchers: The
Health Communication Scholars Program.
AB - Health communication faculty face increasing expectations regarding their
academic productivity, including the expectation to seek and secure external
funding. Doctoral training in health communication that does not fully prepare
students for the challenges of securing external funding is doing them a
disservice that will make them less competitive for academic positions and less
likely to succeed in the academic positions they assume. The purpose of this
study is to share the evaluation of a program, the Health Communication Scholars
Program (HCSP), designed to train future health communication researchers in the
pursuit of external funding. The HCSP includes a grant-writing workshop, requires
interdisciplinary graduate student teams to submit applications, and awards
funding to top proposals. HCSP participants responding to an evaluation survey (N
= 25) had overwhelmingly positive experiences; respondents felt the program
provided great value, improved their writing skills, gave them skills to pursue
funding in the future, and helped them secure tenure-track faculty positions. The
results of this formal evaluation suggest the HCSP is an experience that builds
crucial skills and prepares graduate students for the demands they will face as
faculty. It is a relatively low-cost, replicable model that merits consideration
and adoption at other institutions that hope to provide professional development
for doctoral students interested in health communication.
PMID- 27192184
TI - Immunohistochemical localization of irisin in skin, eye, and thyroid and pineal
glands of the crested porcupine (Hystrix cristata).
AB - Irisin was first identified in muscle cells. We detected irisin immunoreactivity
in various organs of the crested porcupine (Hystrix cristata). In the epidermis,
irisin immunoreactivity was localized mainly in stratum basale, stratum spinosum
and stratum granulosum layers; immunoreactivity was not observed in the stratum
corneum. In the dermis, irisin was found in the external and internal root
sheath, cortex and medulla of hair follicles, and in sebaceous glands. Irisin
immunoreactivity was found in the neural retina and skeletal muscle fibers
associated with the eye. The pineal and thyroid glands also exhibited irisin
immunoreactivity.
PMID- 27192186
TI - Topical Olopatadine in the Treatment of Allergic Conjunctivitis: A Systematic
Review and Meta-analysis.
AB - PURPOSE: To assess the safety and efficacy of topical olopatadine versus placebo
and other topical anti-allergic medications in treating allergic conjunctivitis.
METHODS: We systematically searched the literature for randomized-controlled
trials that included patients with allergic conjunctivitis, compared olopatadine
versus placebo or alternative anti-allergic medications, and examined itch,
conjunctival hyperemia, composite symptom or sign scores, and/or occurrence of
adverse events. We assessed the safety and efficacy of topical olopatadine when
compared with placebo or alternative anti-allergic medications using meta
analysis. RESULTS: When compared with placebo, topical olopatadine is associated
with a pooled-mean difference (MD) in ocular itch of -1.33 (p < 0.00001) and
ocular hyperemia of -0.92 (p < 0.00001). When compared with other agents,
olopatadine was inferior to alcaftadine on ocular itch (pooled-MD = 0.39; p <
0.00001) but comparable with epinastine and ketotifen. CONCLUSIONS: Topical
olopatadine is a safe and effective treatment modality for allergic
conjunctivitis, whereas alcaftadine appears to be superior to olopatadine in
reducing ocular itch.
PMID- 27192185
TI - Aurora-A regulates MCRS1 function during mitosis.
AB - The mitotic spindle is made of microtubules (MTs) nucleated through different
pathways involving the centrosomes, the chromosomes or the walls of pre-existing
MTs. MCRS1 is a RanGTP target that specifically associates with the chromosome
driven MTs protecting them from MT depolymerases. MCRS1 is also needed for the
control of kinetochore fiber (K-fiber) MT minus-ends dynamics in metaphase. Here,
we investigated the regulation of MCRS1 activity in M-phase. We show that MCRS1
is phosphorylated by the Aurora-A kinase in mitosis on Ser35/36. Although this
phosphorylation has no role on MCRS1 localization to chromosomal MTs and K-fiber
minus-ends, we show that it regulates MCRS1 activity in mitosis. We conclude that
Aurora-A activity is particularly important in the tuning of K-fiber minus-ends
dynamics in mitosis.
PMID- 27192187
TI - Population-Level Effect of Cholera Vaccine on Displaced Populations, South Sudan,
2014.
AB - Following mass population displacements in South Sudan, preventive cholera
vaccination campaigns were conducted in displaced persons camps before a 2014
cholera outbreak. We compare cholera transmission in vaccinated and unvaccinated
areas and show vaccination likely halted transmission within vaccinated areas,
illustrating the potential for oral cholera vaccine to stop cholera transmission
in vulnerable populations.
PMID- 27192188
TI - Increased Tear Film Osmolarity in Systemic Lupus Erythematosus.
AB - PURPOSE: To evaluate tear film osmolarity in patients with systemic lupus
erythematosus (SLE). METHODS: A total of 55 eyes from 55 patients with SLE and 47
eyes from 47 age- and gender-matched healthy individuals were included in this
study. Tear film osmolarity was evaluated with a lab-on-a-chip technique
(TearLab; TearLab Corporation, San Diego, CA) in SLE patients in comparison with
healthy individuals, and results were correlated to clinically available
diagnostic tests for dry eye, such as tear film break-up time (BUT), Schirmer's
test, and Ocular Surface Disease Index (OSDI). RESULTS: The mean tear film
osmolarity in the SLE patients and healthy individuals was 306.02 +/- 13.27
mOsm/L and 300.74 +/- 9.11 mOsm/L, respectively, which made for a statistically
significant difference (p = 0.020). In the SLE group, tear film osmolarity was
negatively correlated with the Schirmer's test score and the BUT value (r =
0.295 p = 0.029 and r = 0.347 p = 0.009, respectively), whereas tear film
osmolarity was not correlated with OSDI score (r = -0.182 p = 0.183).
CONCLUSIONS: This study revealed that tear film hyperosmolarity and abnormal tear
film function are associated with SLE.
PMID- 27192189
TI - Association of Model for End-Stage Liver Disease Score With Mortality in
Emergency General Surgery Patients.
AB - IMPORTANCE: Emergency general surgery (EGS) patients have a disproportionate
burden of death and complications. Chronic liver disease (CLD) increases the risk
of complications following elective surgery. For EGS patients with CLD, long-term
outcomes are unknown and risk stratification models do not reflect severity of
CLD. OBJECTIVE: To determine whether the Model for End-Stage Liver Disease (MELD)
score is associated with increased risk of 90-day mortality following intensive
care unit (ICU) admission in EGS patients. DESIGN, SETTING, AND PARTICIPANTS: We
performed a retrospective cohort study of patients with CLD who underwent an EGS
procedure based on International Classification of Diseases, Ninth Revision (ICD
9) procedure codes and were admitted to a medical or surgical ICU within 48 hours
of surgery between January 1, 1998, and September 20, 2012, at 2 academic medical
centers. Chronic liver disease was identified using ICD-9 codes. Multivariable
logistic regression was performed. The analysis was conducted from July 1, 2015,
to January 1, 2016. MAIN OUTCOMES AND MEASURES: The primary outcome was all-cause
90-day mortality. RESULTS: A total of 13 552 EGS patients received critical care;
of these, 707 (5%) (mean [SD] age at hospital admission, 56.6 [14.2] years; 64%
male; 79% white) had CLD and data to determine MELD score at ICU admission. The
median MELD score was 14 (interquartile range, 10-20). Overall 90-day mortality
was 30.1%. The adjusted odds ratio of 90-day mortality for each 10-point increase
in MELD score was 1.63 (95% CI, 1.34-1.98). A decrease in MELD score of more than
3 in the 48 hours following ICU admission was associated with a 2.2-fold decrease
in 90-day mortality (odds ratio = 0.46; 95% CI, 0.22-0.98). CONCLUSIONS AND
RELEVANCE: In this study, MELD score was associated with 90-day mortality
following EGS in patients with CLD. The MELD score can be used as a prognostic
factor in this patient population and should be used in preoperative risk
prediction models and when counseling EGS patients on the risks and benefits of
operative intervention.
PMID- 27192190
TI - An edge-on charge-transfer design for energy-resolved x-ray detection.
AB - As an x-ray beam goes through the human body, it will collect important
information via interaction with tissues. Since this interaction is energy
sensitive, the state-of-the-art spectral CT technologies provide higher quality
images of biological tissues with x-ray energy information (or spectral
information). With existing energy-integrating technologies, a large fraction of
energy information is ignored in the x-ray detection process. Although the
recently proposed photon-counting technology promises to achieve higher image
quality at a lower radiation dose, it suffers from limitations in counting rate,
performance uniformity, and fabrication cost. In this paper, we focus on an
alternative approach to resolve the energy distribution of transmitted x-ray
photons. First, we analyze the x-ray attenuation in a silicon substrate and
describe a linear approximation model for x-ray detection. Then, we design an
edge-on architecture based on the proposed energy-resolving model. In our design,
the x-ray-photon-induced charges are transferred sequentially resembling the
working process of a CCD camera. Finally, we numerically evaluate the linear
approximation of x-ray attenuation and derive the energy distribution of x-ray
photons. Our simulation results show that the proposed energy-sensing approach is
feasible and has the potential to complement the photon-counting technology.
PMID- 27192191
TI - Living Cell Multilifetime Encoding Based on Lifetime-Tunable Lattice-Strained
Quantum Dots.
AB - A series of functional quantum dots (QDs) with widely tunable near-infrared
fluorescence emission (620-750 nm) and lifetime (30-160 ns) were synthesized via
lattice strain and showed excellent photo, colloid, pH, and lifetime stabilities.
The well-defined targeting QDs were first developed for a living cell
multilifetime encoding strategy to track and recognize specified tumor cell
clusters dependent on lifetime distribution using fluorescence lifetime imaging
microscopy.
PMID- 27192192
TI - Seasonality of reproduction of epiphytic bryophytes in flooded forests from the
Caxiuana National Forest, Eastern Amazon.
AB - This work aimed to recognize the reproductive biology of the epiphytic bryoflora
of phorophytes of Virola surinamensis (Rol. ex. Rottb.) Warb. in varzea and igapo
forests in the Caxiuana National Forest, to answer the following question: The
reproductive period of the bryophyte species is influenced by the environment due
the climatic seasonality present in flooded forests, being higher the occurrence
of the sexual and asexual reproduction in the rainiest months? The bryophytes
were identified and analyzed for the type of reproduction, sexual system and
reproductive structures. In total, 502 samples of bryophytes were analyzed,
resulting in 54 species, of which 34 were fertile. The comparison of the
fertility of the species in different environmental conditions (dry or rainy, and
igapo or varzea forest) was assessed using the chi-square test. The fertility of
the seven studied species could not be defined by a pattern, considering the
forest type and the seasonality. However, two species were associated to the
forest type and two further species to the seasonality, showing that, for some
bryophyte species, invest in constant fertility may be favoring the maintenance
of their populations in tropical forests.
PMID- 27192193
TI - Extraction of bioactive compounds and free radical scavenging activity of purple
basil (Ocimum basilicum L.) leaf extracts as affected by temperature and time.
AB - In the current study, response surface methodology (RSM) was used to assess the
effects of extraction time and temperature on the content of bioactive compounds
and antioxidant activity of purple basil leaf (Ocimum basilicum L.) extracts. The
stability of anthocyanins in relation to temperature, light and copigmentation
was also studied. The highest anthocyanin content was 67.40 mg/100 g extracted at
30 degrees C and 60 min. The degradation of anthocyanins with varying
temperatures and in the presence of light followed a first-order kinetics and the
activation energy was 44.95 kJ/mol. All the extracts exposed to light showed
similar half-lives. The extracts protected from light, in the presence of
copigments, showed an increase in half-life from 152.67 h for the control to
856.49 and 923.17 h for extract in the presence of gallic acid and phytic acid,
respectively. These results clearly indicate that purple basil is a potential
source of stable bioactive compounds.
PMID- 27192194
TI - Palynological analysis of Dennstaedtiaceae taxa from the Paranaense
Phytogeographic Province that produce Trilete spores II: Microlepia speluncae and
Pteridium arachnoideum.
AB - The spore morphology and wall ultrastructure of Microlepia speluncae and
Pteridium arachnoideum from the Paranaense Province were analyzed with LM, SEM
and TEM and a comparative analysis was carried out. In both species the spores
are covered by a three-dimensional network of threads branched and fused,
tangentially arranged to the surface, and some free-end threads are also seen.
The species were differentiated by morphology and the frequency of threads fusion
and the networks distribution on the surface of the spores. In both species the
exospore is two-layered in section, both layers are traversed by single or
branched channels. The perispore is three-layered in section: the inner layer is
adhered to the exospore, the middle layer is formed of a three-dimensional
network of threads and the outer layer is discontinuous. The perispore
ultrastructure of Microlepia speluncae was interpreted as formed of helical
subunits displayed around a central channel. The spore morphology and perispore
ultrastructure allow differentiating Microlepia from Pteridium but also to the
other Dennstaedtiaceae genera that grow in the Paranaense Province. The results
obtained allow establishing relationships that let us recognize different groups
and gave a new reference to get a better knowledge of the family.
PMID- 27192195
TI - The influence of fire on the assemblage structure of foraging birds in grasslands
of the Serra da Canastra National Park, Brazil.
AB - Grasslands are the most threatened physiognomies of the Cerrado biome (Brazilian
savanna), a biodiversity hotspot with conservation as a priority. The Serra da
Canastra National Park protects the most important remnants of the Cerrado's
southern grasslands, which are under strong anthropogenic pressure. The present
study describes the structure of bird assemblages that directly use food
resources in burned areas, comparing areas affected by natural fire to the areas
where controlled fires were set (a management strategy to combat arson). The
tested null hypothesis was that different bird assemblages are structured in a
similar manner, regardless of the post-fire period or assessed area. Between
December/2012 and January/2015, 92 species were recorded foraging in the study
areas. The results indicate that both types of burnings triggered profound and
immediate changes in bird assemblages, increasing the number of species and
individuals. Natural fires exhibited a more significant influence on the
structure (diversity and dominance) than prescribed burnings. Nevertheless, all
the differences were no longer noticeable after a relatively short time interval
of 2-3 months after prescribed burnings and 3-4 after natural fires. The findings
may help the understanding of prescribed burnings as a management strategy for
bird conservation in grasslands.
PMID- 27192196
TI - The effects of coconut oil supplementation on the body composition and lipid
profile of rats submitted to physical exercise.
AB - This study aims to verify the effects of coconut oil supplementation (COS) in the
body composition and lipid profile of rats submitted to physical exercise. The
animals (n=6 per group) were randomly assigned to: G1=Sedentary and Non
supplemented (Control Group), G2=Sedentary and Supplemented, G3=Exercised and Non
supplemented and G4=Exercised and Supplemented. The COS protocol used was 3 mL/Kg
of body mass by gavage for 28 days. The physical exercise was the vertical
jumping training for 28 days. It was determined the body mass parameters, Lee
Index, blood glucose and lipid profile. The COS did not interfere with body mass,
but the lean body mass was lower in G3 compared to G2. The final Lee Index
classified G1 and G2 as obese (>30g/cm). The lipid profile showed total
cholesterol was decreased in G3, LDL-c concentration was decreased in G2,
triglycerides, VLDL-c and HDL-c concentrations were increased in G2 and G4 in
relation to G1 and G3. The COS decreased LDL-c/HDL-c ratio. In conclusion, the
COS associated or not to physical exercise worsen others lipid parameters, like
triglycerides and VLDL-c level, showing the care with the use of lipid
supplements.
PMID- 27192197
TI - Application of modified simplex on the development of a preconcentration system
for cadmium determination in sediments, food and cigarettes.
AB - A modified simplex algorithm was used to optimize a system of preconcentration
for cadmium determination in samples of sediments, cigarettes and food using
flame atomic absorption spectrometry. The preconcentration system is based on the
sorption of cadmium in a minicolumn packed with Amberlite XAD-2 resin
functionalized with 3,4-dihydroxybenzoic acid (DHB). The optimized variables were
pH and sampling flow rate and the optimum conditions found for these variables
were, respectively 8.7 and 8.8 mL min-1. The developed system showed a
preconcentration factor of 15.3, detection limit of 0.49 ug L-1, quantification
limit of 1.65 ug L-1 and precision expressed as relative standard deviation (%
RSD, n=10) of 5.9. The accuracy of the method was checked by analysis of estuary
sediment certified reference material (NIST 1646-1). The cadmium concentrations
found in sediment samples ranged from 1.73 and 1.90 ug g-1. In cigarette samples
the results were 0.085 and 0.193 ug g-1, and in food samples (coriander and
lettuce) the concentrations found of this metal were, respectively, 0.33 and 0.12
ug g-1.
PMID- 27192198
TI - A contribution to the identification of charcoal origin in Brazil II -
Macroscopic characterization of Cerrado species.
AB - The Brazilian Cerrado is the richest savanna in the world. It is also one of the
biomes more threatened in the country and a hotspot for conservation priorities.
The main causes of deforestation in Cerrado are agricultural practices, livestock
and charcoal production. Although charcoal has a minor impact, its consumption
represents the deforestation of 16.000 Km2 of the Cerrado. To contribute for the
biomes's conservation it is very important to improve forestry supervision. Thus,
in this work we present the macroscopic characterization of charcoal from 25
Cerrado's species. We simulate the real conditions of forest controllers by using
the magnifications of 10x, 25x and 65x. Likewise, the charcoals micrographs are
all of transverse sections due to the larger amount of anatomical information. We
also analyzed texture, brightness, vitrification, ruptures and some special
features. The species present several differences in their anatomical structure.
Although some of them are very unique, this work does not intent to identify
charcoals only by macroscopic analyses. But it might give directions to future
identification of genera or species. It also provides knowledge for government
agents to verify the documents of forestry origin by fast analyzing a sample of
charcoal itself.
PMID- 27192199
TI - Anti-PGL-1 Positivity as a Risk Marker for the Development of Leprosy among
Contacts of Leprosy Cases: Systematic Review and Meta-analysis.
AB - BACKGROUND: There is no point of care diagnostic test for infection with M.
Leprae or for leprosy, although ELISA anti PGL-1 has been considered and
sometimes used as a means to identify infection. METHODS: A systematic review of
all cohort studies, which classified healthy leprosy contacts, at entry,
according to anti-PGL1 positivity, and had at least one year follow up. The
outcome was clinical diagnosis of leprosy by an experienced physician. The meta
analysis used a fixed model to estimated OR for the association of PGL-1
positivity and clinical leprosy. A fixed model also estimated the sensibility of
PGL-1 positivity and positive predictive value. RESULTS: Contacts who were anti
PGL-1 positive at baseline were 3 times as likely to develop leprosy; the
proportion of cases of leprosy that were PGL-1 positive at baseline varied but
was always under 50%. CONCLUSIONS: Although there is a clear and consistent
association between positivity to anti PGL-1 and development of leprosy in
healthy contacts, selection of contacts for prophylaxis based on anti PGL1
response would miss more than half future leprosy cases. Should chemoprophylaxis
of controls be incorporated into leprosy control programmes, PGL1 appears not to
be a useful test in the decision of which contacts should receive
chemoprophylaxis.
PMID- 27192200
TI - Time-Based Measurement of Personal Mite Allergen Bioaerosol Exposure over 24 Hour
Periods.
AB - Allergic diseases such as asthma and rhinitis are common in many countries.
Globally the most common allergen associated with symptoms is produced by house
dust mites. Although the bed has often been cited as the main site of exposure to
mite allergens, surprisingly this has not yet been directly established by
measurement due to a lack of suitable methods. Here we report on the development
of novel methods to determine the pattern of personal exposure to mite allergen
bioaerosols over 24-hour periods and applied this in a small field study using 10
normal adults. Air was sampled using a miniature time-based air-sampler of in
house design located close to the breathing zone of the participants, co-located
with a miniature time-lapse camera. Airborne particles, drawn into the sampler at
2L/min via a narrow slot, were impacted onto the peripheral surface of a disk
mounted on the hour-hand of either a 12 or 24 hour clock motor. The impaction
surface was either an electret cloth, or an adhesive film; both novel for these
purposes. Following a review of the time-lapse images, disks were post-hoc cut
into subsamples corresponding to eight predetermined categories of indoor or
outdoor location, extracted and analysed for mite allergen Der p 1 by an
amplified ELISA. Allergen was detected in 57.2% of the total of 353 subsamples
collected during 20 days of sampling. Exposure patterns varied over time. Higher
concentrations of airborne mite allergen were typically measured in samples
collected from domestic locations in the day and evening. Indoor domestic Der p 1
exposures accounted for 59.5% of total exposure, whereas total in-bed-asleep
exposure, which varied 80 fold between individuals, accounted overall for 9.85%
of total exposure, suggesting beds are not often the main site of exposure. This
study establishes the feasibility of novel methods for determining the time
geography of personal exposure to many bioaerosols and identifies new areas for
future technical development and clinical applications.
PMID- 27192202
TI - Correction: Two Independent Mutations in ADAMTS17 Are Associated with Primary
Open Angle Glaucoma in the Basset Hound and Basset Fauve de Bretagne Breeds of
Dog.
AB - [This corrects the article DOI: 10.1371/journal.pone.0140436.].
PMID- 27192201
TI - Positive Contrast MRI Techniques for Visualization of Iron-Loaded Hernia Mesh
Implants in Patients.
AB - OBJECT: In MRI, implants and devices can be delineated via susceptibility
artefacts. To discriminate susceptibility voids from proton-free structures,
different positive contrast techniques were implemented. The purpose of this
study was to evaluate a pulse sequence-based positive contrast technique (PCSI)
and a post-processing susceptibility gradient mapping algorithm (SGM) for
visualization of iron loaded mesh implants in patients. MATERIAL AND METHODS:
Five patients with iron-loaded MR-visible inguinal hernia mesh implants were
examined at 1.5 Tesla. A gradient echo sequence (GRE; parameters: TR: 8.3ms; TE:
4.3ms; NSA:2; FA:20 degrees ; FOV:350mm2) and a PCSI sequence (parameters: TR:
25ms; TE: 4.6ms; NSA:4; FA:20 degrees ; FOV:350mm2) with on-resonant proton
suppression were performed. SGM maps were calculated using two algorithms. Image
quality and mesh delineation were independently evaluated by three radiologists.
RESULTS: On GRE, the iron-loaded meshes generated distinct susceptibility-induced
signal voids. PCSI exhibited susceptibility differences including the meshes as
hyperintense signals. SGM exhibited susceptibility differences with positive
contrast. Visually, the different algorithms presented no significant
differences. Overall, the diagnostic value was rated best in GRE whereas PCSI and
SGM were barely "sufficient". CONCLUSION: Both "positive contrast" techniques
depicted implanted meshes with hyperintense signal. SGM comes without additional
acquisition time and can therefore be utilized in every patient.
PMID- 27192203
TI - Refill Adherence in Relation to Substitution and the Use of Multiple Medications:
A Nationwide Population Based Study on New ACE-Inhibitor Users.
AB - OBJECTIVE: Generic substitution has contributed to economic savings but switching
products may affect patient adherence, particularly among those using multiple
medications. The aim was to analyse if use of multiple medications influenced the
association between switching products and refill adherence to angiotensin
converting-enzyme (ACE) inhibitors in Sweden. STUDY DESIGN AND SETTING: New users
of ACE-inhibitors, starting between 1 July 2006 and 30 June 2007, were identified
in the Swedish Prescribed Drug Register. Refill adherence was assessed using the
continuous measure of medication acquisition (CMA) and analysed with linear
regression and analysis of covariance. RESULTS: The study population included
42735 individuals whereof 51.2% were exposed to switching ACE-inhibitor and 39.6%
used multiple medications. Refill adherence was higher among those exposed to
switching products than those not, but did not vary depending on the use of
multiple medications or among those not. Refill adherence varied with age,
educational level, household income, country of birth, previous hospitalisation
and previous cardiovascular diagnosis. CONCLUSION: The results indicate a
positive association between refill adherence and switching products, mainly due
to generic substitution, among new users of ACE-inhibitors in Sweden. This
association was independent of use of multiple medications.
PMID- 27192205
TI - Vitamin C status of Canadian adults: Findings from the 2012/2013 Canadian Health
Measures Survey.
AB - BACKGROUND: Although vitamin C is not produced in the body, it is important for
many biochemical and physiological functions. Little is known about the current
vitamin C status of Canadians. This study describes the correlates of vitamin C
status in a nationally representative sample of adults. DATA AND METHODS: Data
are from the 2012/2013 Canadian Health Measures Survey. Plasma vitamin C (L
ascorbic acid) concentrations were measured among a fasting subsample of
respondents aged 20 to 79 (n = 1,615). Vitamin C status, prevalence of deficiency
(plasma vitamin C < 11 MUmol/L), and use of vitamin C-containing supplements were
estimated. Multivariate regression models were used to examine associations
between vitamin C status and sociodemographic characteristics, smoking, body mass
index, supplement use, and consumption of fruit juice and citrus fruit. RESULTS:
The mean plasma vitamin C concentration of adults aged 20 to 79 was 53 MUmol/L;
fewer than 3% were vitamin C-deficient. Almost 22% took a vitamin C-containing
supplement. Concentrations were lower among smokers and people who were obese,
and higher among vitamin C supplement users and fruit juice and citrus fruit
consumers. Multivariate models showed that supplement use was the strongest and
most consistent predictor of vitamin C status; fruit juice and citrus fruit
consumption were predictors only among populations with lower vitamin C
concentrations (for example, smokers, obese). INTERPRETATION: Few Canadians were
vitamin C-deficient. Smokers and people with a higher BMI were most at risk of
lower vitamin C concentrations; concentrations were higher among supplement users
and consumers of fruit juice and citrus fruit.
PMID- 27192206
TI - Alzheimer's disease and other dementias in Canada.
AB - This article provides information on Alzheimer's disease and other dementias,
using the 2010/2011 Canadian Community Health Survey, the 2011/2012 Survey of
Neurological Conditions in Institutions in Canada, and the 2011 Survey on Living
with Neurological Conditions in Canada. Among Canadians aged 45 or older, an
estimated 0.8% in private households and 45% in long-term residential care
facilities had a diagnosis of dementia. Prevalence rose with age. The vast
majority of people with dementia in private households received assistance with
medical care (81%), housework and home maintenance (83%), meal preparation (88%),
emotional support (90%), transportation (92%), and managing care (92%). Among
those receiving assistance, 85% relied, at least in part, on family, friends or
neighbours. The primary caregiver tended to be a spouse (46%) or an adult child
(44%), most of whom were daughters (71%). The majority of primary caregivers
lived in the same household (83%) and provided daily care (86%).
PMID- 27192207
TI - Mortality from Alzheimer's disease in Canada: A multiple-cause-of-death analysis,
2004 to 2011.
AB - Using multiple-cause-of-death data, this study investigates mortality from
Alzheimer's disease. From 2004 through 2011, Alzheimer's disease was coded as a
cause of 80,868 deaths-more often the underlying than a contributing cause. The
rate of Alzheimer's disease deaths was higher for women and older individuals
than for men and younger people, and varied considerably by province/territory.
Regardless of whether Alzheimer's disease was coded as the underlying or a
contributing cause, on average, two other causes were also listed on the death
certificate. When Alzheimer's disease was the underlying cause, cardiovascular
diseases were most often listed as a contributing cause; when Alzheimer's disease
was a contributing cause, cardiovascular diseases were most often listed as the
underlying cause.
PMID- 27192204
TI - Interferon-gamma Autoantibodies as Predisposing Factor for Nontuberculous
Mycobacterial Infection.
PMID- 27192208
TI - Optimizing Sampling Strategies for Riverine Nitrate Using High-Frequency Data in
Agricultural Watersheds.
AB - Understanding linked hydrologic and biogeochemical processes such as nitrate
loading to agricultural streams requires that the sampling bias and precision of
monitoring strategies be known. An existing spatially distributed, high-frequency
nitrate monitoring network covering ~40% of Iowa provided direct observations of
in situ nitrate concentrations at a temporal resolution of 15 min. Systematic
subsampling of nitrate records allowed for quantification of uncertainties (bias
and precision) associated with estimates of various nitrate parameters,
including: mean nitrate concentration, proportion of samples exceeding the
nitrate drinking water standard (DWS), peak (>90th quantile) nitrate
concentration, and nitrate flux. We subsampled continuous records for 47 site
year combinations mimicking common, but labor-intensive, water-sampling regimes
(e.g., time-interval, stage-triggered, and dynamic-discharge storm sampling). Our
results suggest that time-interval sampling most efficiently characterized all
nitrate parameters, except at coarse frequencies for nitrate flux. Stage
triggered storm sampling most precisely captured nitrate flux when less than
0.19% of possible 15 min observations for a site-year were used. The time
interval strategy had the greatest return on sampling investment by most
precisely and accurately quantifying nitrate parameters per sampling effort.
These uncertainty estimates can aid in designing sampling strategies focused on
nitrate monitoring in the tile-drained Midwest or similar agricultural regions.
PMID- 27192211
TI - Comparison of methods for quantitating Salmonella enterica Typhimurium and
Heidelberg strain attachment to reusable plastic shipping container coupons and
preliminary assessment of sanitizer efficacy.
AB - Salmonella serovars, one of the leading contributors to foodborne illness and are
especially problematic for foods that are not cooked before consumption, such as
fresh produce. The shipping containers that are used to transport and store fresh
produce may play a role in cross contamination and subsequent illnesses. However,
methods for quantitatively attached cells are somewhat variable. The overall goal
of this study was to compare conventional plating with molecular methods for
quantitating attached representative strains for Salmonella Typhimurium and
Heidelberg on reusable plastic containers (RPC) coupons, respectively. We
attached Salmonella enterica serovar Typhimurium ATCC 14028 and serovar
Heidelberg SL486 (parent and an antibiotic resistant marker strain) to plastic
coupons (2.54 cm(2)) derived from previously used shipping containers by growing
for 72 h in tryptic soy broth. The impact of the concentration of sanitizer on
log reductions between unsanitized and sanitized coupons was evaluated by
exposing attached S. Typhimurium cells to 200 ppm and 200,000 ppm sodium
hypochlorite (NaClO). Differences in sanitizer effectiveness between serovars
were also evaluated with attached S. Typhimurium compared to attached S.
Heidelberg populations after being exposed to 200 ppm peracetic acid (PAA).
Treatment with NaClO caused an average of 2.73 +/- 0.23 log CFU of S. Typhimurium
per coupon removed with treatment at 200 ppm while 3.36 +/- 0.54 log CFU were
removed at 200,000 ppm. Treatment with PAA caused an average of 2.62 +/- 0.15 log
CFU removed for S. Typhimurium and 1.41 +/- 0.17 log CFU for S. Heidelberg
(parent) and 1.61 +/- 0.08 log CFU (marker). Lastly, scanning electron microscopy
(SEM) was used to visualize cell attachment and coupon surface topography. SEM
images showed that remaining attached cell populations were visible even after
sanitizer application. Conventional plating and qPCR yielded similar levels of
enumerated bacterial populations indicating a high concordance between the two
methods. Therefore, qPCR could be used for the rapid quantification of Salmonella
attached on RPC.
PMID- 27192210
TI - An Event-Related Potential Study of Social Information Processing in Adolescents.
AB - Increased social awareness is a hallmark of adolescence. The primary aim of this
event-related potential study was to investigate whether adolescents, in
comparison to adults, would show relatively enhanced early neural processing of
complex pictures containing socially-relevant information. A secondary aim was to
investigate whether there are also gender and age differences in the ways
adolescents and adults process social and nonsocial information. We recorded EEGs
from 12-17 year-olds and 25-37 year-olds (N = 59) while they viewed pleasant
pictures from the International Affective Picture System. We found age-related
amplitude differences in the N1 and the LPP, and gender-related differences in
the N2 region for socially-relevant stimuli. Social pictures (featuring mostly
young children and adults) elicited larger N1s than nonsocial stimuli in
adolescents, but not adults, whereas larger LPPs to social stimuli were seen in
adults, but not adolescents. Furthermore, in general, males (regardless of age)
showed larger N2s to nonsocial than to social images, but females did not. Our
results imply that compared to adults, adolescents show relatively greater
initial orientation toward social than toward nonsocial stimuli.
PMID- 27192209
TI - Evaluation of the Interaction between the Poincianella pyramidalis (Tul.) LP
Queiroz Extract and Antimicrobials Using Biological and Analytical Models.
AB - Poincianella pyramidalis (Tul.) LP Queiroz (Fabaceae) is an endemic tree of
northeastern Brazil, occurring mainly in the Caatinga. Its medicinal use is
widespread and is an important therapeutic option against diarrhea, dysentery,
and respiratory and urinary infections, among other diseases. In this study we
determined the chemical marker and evaluated the interaction between P.
pyramidalis extract and a commercial antimicrobial through the use of biological
and analytical models. To obtain the extract, an ethanol-water mixture (50:50
v/v) was used as solvent. It was nebulized in a spray dryer using colloidal
silicon dioxide as a drying adjuvant. The extract (ENPp) was subjected to HPLC
analysis to verify the presence of certain secondary metabolites. The Minimum
Inhibitory Concentration (MIC) of the extract against Gram-negative bacteria was
determined by broth microdilution and the MIC of synthetic antimicrobial drugs in
the presence and absence of the extract. The antioxidant activity of ENPp was
evaluated by the DPPH method. The compatibility between the antimicrobial and the
extract was evaluated by thermal analysis (TG/DTA). The acute toxicity of the
extract was evaluated in vivo in rodents. The results indicate significant
additive action of the extract on synthetic antibiotics, considerable antioxidant
activity and absence of toxicity. This extract shows high potential for the
development of formulations for antimicrobial therapy when used with a vegetable
active ingredient.
PMID- 27192212
TI - A Real-Time PCR Method to Detect the Population Level of Halovirus SNJ1.
AB - Although viruses of haloarchaea are the predominant predator in hypersaline
ecosystem, the culture studies about halovirus-host systems are infancy. The main
reason is the tradition methodology (plaque assay) for virus-host interaction
depends on culturable and susceptible host. Actually, more than 90% of
haloarchaea are unculturable. Therefore, it is necessary to establish an approach
for detecting the dynamics of virus in hypersaline environment without culture.
In this study, we report a convenient method to determine the dynamics of
halovirus SNJ1 based on quantitative real-time PCR (qPCR). All findings showed
that the qPCR method was specific (single peak in melt curves), accurate (a good
linear relationship between the log of the PFU and the Ct values, R2 = 0.99),
reproducible (low coefficient of variations, below 1%). Additionally, the
physicochemical characteristics of the samples tested did not influence the
stability of qPCR. Therefore, the qPCR method has the potential value in
quantifying and surveying haloviruses in halophilic ecological system.
PMID- 27192213
TI - The crosstalk between gut microbiota and obesity and related metabolic disorders.
AB - Obesity and related metabolic diseases are currently a threat to global public
health. The occurrence and development of these conditions result from the
combined effects of multiple factors. The human gut is a diverse and vibrant
microecosystem, and its composition and function are a focus of research in the
fields of life science and medicine. An increasing amount of evidence indicates
that interactions between the gut microbiota and their genetic predispositions or
dietary changes may be key factors that contribute to obesity and other metabolic
diseases. Defining the mechanisms by which the gut microbiota influence obesity
and related chronic metabolic diseases will bring about revolutionary changes
that will enable practitioners to prevent and control metabolic diseases by
targeting the gut microbiota.
PMID- 27192214
TI - Sensory processing patterns, coping strategies, and quality of life among
patients with unipolar and bipolar disorders.
AB - OBJECTIVE: To compare sensory processing, coping strategies, and quality of life
(QoL) in unipolar and bipolar patients; to examine correlations between sensory
processing and QoL; and to investigate the relative contribution of
sociodemographic characteristics, sensory processing, and coping strategies to
the prediction of QoL. METHODS: Two hundred sixty-seven participants, aged 16-85
years (53.6+/-15.7), of whom 157 had a diagnosis of unipolar major depressive
disorder and 110 had bipolar disorder type I and type II, completed the
Adolescent/Adult Sensory Profile, Coping Orientations to Problems Experienced,
and 12-item Short-Form Health Survey version 2. The two groups were compared with
multivariate analyses. RESULTS: The unipolar and bipolar groups did not differ
concerning sensory processing, coping strategies, or QoL. Sensory processing
patterns correlated with QoL independently of mediation by coping strategies.
Correlations between low registration, sensory sensitivity, sensation avoidance,
and reduced QoL were found more frequently in unipolar patients than bipolar
patients. Higher physical QoL was mainly predicted by lower age and lower sensory
sensitivity, whereas higher mental QoL was mainly predicted by coping strategies.
CONCLUSION: While age may predict physical QoL, coping strategies predict mental
QoL. Future studies should further investigate the impact of sensory processing
and coping strategies on patients' QoL in order to enhance adaptive and
functional behaviors related to affective disturbances.
PMID- 27192215
TI - Awareness of memory deficits is useful to distinguish between depression and mild
cognitive impairment in the elderly.
AB - OBJECTIVE: To investigate whether the level of awareness of memory deficits is
useful for discriminating between major depressive disorder (MDD) and mild
cognitive impairment (MCI) in the elderly. METHODS: Sixty-three consecutively
referred patients (38 women and 25 men) with memory concerns comprising three
groups (clinical control, MDD and MCI) underwent a memory test (Rey Auditory
Verbal Learning Test [RAVLT]) and completed the Memory Assessment Complaints
Questionnaire (MAC-Q). Level of awareness was estimated by the difference between
the MAC-Q score and the score on the fifth presentation of the RAVLT. Memory
performance, Mini-Mental State Examination (MMSE) and depressive symptoms
(Geriatric Depression Scale [GDS]) were also assessed. RESULTS: The control
(n=25), MDD (n=16), and MCI (n=22) groups were similar in age, educational level,
and MMSE (p > 0.05). Among the groups, the MDD group had the most memory
complaints, whereas the MCI group had the worst objective memory performance.
Level of awareness was capable of discriminating between MDD and MCI (p < 0.05),
but not between MDD and clinical controls (p > 0.05). MDD subjects tended to
underestimate their memory functioning as compared to controls (p < 0.05).
CONCLUSION: Level of awareness of memory deficits was significantly useful to
discriminate between MCI and MDD, which is a common difficulty faced by
clinicians. Future studies with larger samples are needed to confirm these
findings.
PMID- 27192216
TI - Mental health in medical residents: relationship with personal, work-related, and
sociodemographic variables.
AB - Objective:: To examine association of sociodemographic characteristics,
personality traits, social skills, and work variables with anxiety, depression,
and alcohol dependence in medical residents. Methods:: A total of 270 medical
residents completed the following self-report instruments: sociodemographic and
work questionnaire, Patient Health Questionnaire-4 (PHQ-4), Alcohol Use Disorders
Identification Test-3 (AUDIT-3), Revised NEO-Five Factor Inventory (NEO-FFI-R),
and Social Skills Inventory (SSI-Del-Prette). Data were analyzed using
descriptive statistics and univariate and multivariate logistic regression
analyses. Results:: Multivariate analysis showed an association of neuroticism
(odds ratio [OR] 2.60, p < 0.001), social skills (OR 0.41, p < 0.01), and number
of shifts (OR 1.91, p = 0.03) with anxiety or depression, and of male sex (OR
3.14, p = 0.01), surgical residency (OR 4.40, p = 0.001), extraversion (OR 1.80,
p < 0.01), and number of shifts (OR 2.32, p = 0.04) with alcohol dependence.
Conclusion:: The findings support a multidetermined nature of mental health
problems in medical residents, in addition to providing data that may assist in
the design of preventive measures to protect the mental health of this group.
PMID- 27192217
TI - A Comprehensive Evaluation of NIPAM Polymer Gel Dosimeters on Three Orthogonal
Planes and Temporal Stability Analysis.
AB - Polymer gel dosimeters have been proven useful for dose evaluation in
radiotherapy treatments. Previous studies have demonstrated that using a polymer
gel dosimeter requires a 24 h reaction time to stabilize and further evaluate the
measured dose distribution in two-dimensional dosimetry. In this study, the short
term stability within 24 h and feasibility of N-isopropylacrylamide (NIPAM)
polymer gel dosimeters for use in three-dimensional dosimetry were evaluated
using magnetic resonance imaging (MRI). NIPAM gels were used to measure the dose
volume in a clinical case of intensity-modulated radiation therapy (IMRT). For
dose readouts, MR images of irradiated NIPAM gel phantoms were acquired at 2, 5,
12, and 24 h after dose delivery. The mean standard errors of dose conversion
from using dose calibration curves (DRC) were calculated. The measured dose
volumes at the four time points were compared with those calculated using a
treatment planning system (TPS). The mean standard errors of the dose conversion
from using the DRCs were lower than 1 Gy. Mean pass rates of 2, 5, 12, and 24 h
axial dose maps calculated using gamma evaluation with 3% dose difference and 3
mm distance-to-agreement criteria were 83.5% +/- 0.9%, 85.9% +/- 0.6%, 98.7% +/-
0.3%, and 98.5% +/- 0.9%, respectively. Compared with the dose volume histogram
of the TPS, the absolute mean relative volume differences of the 2, 5, 12, and 24
h measured dose volumes were lower than 1% for the irradiated region with an
absorbed dose higher than 2.8 Gy. It was concluded that a 12 h reaction time was
sufficient to acquire accurate dose volume using the NIPAM gels with MR readouts.
PMID- 27192218
TI - Regulation of Heat Exchange across the Hornbill Beak: Functional Similarities
with Toucans?
AB - Beaks are increasingly recognised as important contributors to avian
thermoregulation. Several studies supporting Allen's rule demonstrate how beak
size is under strong selection related to latitude and/or air temperature (Ta).
Moreover, active regulation of heat transfer from the beak has recently been
demonstrated in a toucan (Ramphastos toco, Ramphastidae), with the large beak
acting as an important contributor to heat dissipation. We hypothesised that
hornbills (Bucerotidae) likewise use their large beaks for non-evaporative heat
dissipation, and used thermal imaging to quantify heat exchange over a range of
air temperatures in eighteen desert-living Southern Yellow-billed Hornbills
(Tockus leucomelas). We found that hornbills dissipate heat via the beak at air
temperatures between 30.7 degrees C and 41.4 degrees C. The difference between
beak surface and environmental temperatures abruptly increased when air
temperature was within ~10 degrees C below body temperature, indicating active
regulation of heat loss. Maximum observed heat loss via the beak was 19.9% of
total non-evaporative heat loss across the body surface. Heat loss per unit
surface area via the beak more than doubled at Ta > 30.7 degrees C compared to Ta
< 30.7 degrees C and at its peak dissipated 25.1 W m(-2). Maximum heat flux rate
across the beak of toucans under comparable convective conditions was calculated
to be as high as 61.4 W m(-2). The threshold air temperature at which toucans
vasodilated their beak was lower than that of the hornbills, and thus had a
larger potential for heat loss at lower air temperatures. Respiratory cooling
(panting) thresholds were also lower in toucans compared to hornbills. Both beak
vasodilation and panting threshold temperatures are potentially explained by
differences in acclimation to environmental conditions and in the efficiency of
evaporative cooling under differing environmental conditions. We speculate that
non-evaporative heat dissipation may be a particularly important mechanism for
animals inhabiting humid regions, such as toucans, and less critical for animals
residing in more arid conditions, such as Southern Yellow-billed Hornbills.
Alternatively, differences in beak morphology and hardness enforced by different
diets may affect the capacity of birds to use the beak for non-evaporative heat
loss.
PMID- 27192219
TI - Sequential Differentiation of Embryonic Stem Cells into Neural Epithelial-Like
Stem Cells and Oligodendrocyte Progenitor Cells.
AB - BACKGROUND: Recent advances in stem cell technology afford an unlimited source of
neural progenitors and glial cells for cell based therapy in central nervous
system (CNS) disorders. However, current differentiation strategies still need to
be improved due to time-consuming processes, poorly defined culture conditions,
and low yield of target cell populations. METHODOLOGY/PRINCIPLE FINDINGS: This
study aimed to provide a precise sequential differentiation to capture two
transient stages: neural epithelia-like stem cells (NESCs) and oligodendrocytes
progenitor cells (OPCs) derived from mouse embryonic stem cells (ESCs).
CHIR99021, a glycogen synthase kinase 3 (GSK-3) inhibitor, in combination with
dual SMAD inhibitors, could induce ESCs to rapidly differentiate into neural
rosette-like colonies, which facilitated robust generation of NESCs that had a
high self-renewal capability and stable neuronal and glial differentiation
potentials. Furthermore, SHH combined with FGF-2 and PDGF-AA could induce NESCs
to differentiate into highly expandable OPCs. These OPCs not only robustly
differentiated into oligodendrocytes, but also displayed an increased migratory
activity in vitro. CONCLUSIONS/SIGNIFICANCE: We developed a precise and reliable
strategy for sequential differentiation to capture NESCs and OPCs derived from
ESCs, thus providing unlimited cell source for cell transplantation and drug
screening towards CNS repair.
PMID- 27192223
TI - Microfiber interferometer with surface plasmon-polariton involvement.
AB - We fabricated a microfiber interferometer with surface plasmon-polaritons (SPPs)
involvement. Commonly, the SPPs are not involved in interference due to the
mismatch momentum and ultrashort propagation distance. In this Letter, an
absorber-doped microfiber is utilized for increasing the matched momentum (i.e.,
their modal projection), and as a result, an SPP is coherent with an end-fire
method-stimulated hybrid SPP. A mathematical model is proposed for investigating
the modal-projection-caused interference, and its results show that the proposed
interferometer is very dependent on the polarization. Confirmation experiments
were carried out, and a good agreement between theoretical predictions and
experimental results was found. The proposed interferometer will potentially
facilitate many SPP studies in directly related fields.
PMID- 27192220
TI - PEGylation of Truncated Streptokinase Leads to Formulation of a Useful Drug with
Ameliorated Attributes.
AB - Streptokinase (SK) remains a favored thrombolytic agent in the developing world
as compared to the nearly 10-fold more expensive human tissue-plasminogen
activator (tPA) for the dissolution of pathological fibrin clots in myocardial
infarction. However, unlike the latter, SK induces systemic activation of plasmin
which results in a greater risk of hemorrhage. Being of bacterial origin, it
elicits generation of unwanted antibody and has a relatively short half-life in
vivo that needs to be addressed to make it more efficacious clinically. In order
to address these lacunae, in the present study we have incorporated cysteine
residues specifically at the N- and C-termini of partially truncated SK and these
were then PEGylated successfully. Some of the obtained derivatives displayed
enhanced plasmin resistance, longer half-life (upto several hours), improved
fibrin clot-specificity and reduced immune-reactivity as compared to the native
SK (nSK). This paves the way for devising next-generation SK-based thrombolytic
agent/s that besides being fibrin clot-specific are endowed with an improved
efficacy by virtue of an extended in vivo half-life.
PMID- 27192224
TI - High-dynamic-range microscope imaging based on exposure bracketing in full-field
optical coherence tomography.
AB - By applying the proposed high-dynamic-range (HDR) technique based on exposure
bracketing, we demonstrate a meaningful reduction in the spatial noise in image
frames acquired with a CCD camera so as to improve the fringe contrast in full
field optical coherence tomography (FF-OCT). This new signal processing method
thus allows improved probing within transparent or semitransparent samples. The
proposed method is demonstrated on 3 MUm thick transparent polymer films of
Mylar, which, due to their transparency, produce low contrast fringe patterns in
white-light interference microscopy. High-resolution tomographic analysis is
performed using the technique. After performing appropriate signal processing,
resulting XZ sections are observed. Submicrometer-sized defects can be lost in
the noise that is present in the CCD images. With the proposed method, we show
that by increasing the signal-to-noise ratio of the images, submicrometer-sized
defect structures can thus be detected.
PMID- 27192221
TI - Subclinical ultrasound synovitis in a particular joint is associated with
ultrasound evidence of bone erosions in that same joint in rheumatoid patients in
clinical remission.
AB - OBJECTIVES: The main aim of this study was to investigate the relationship
between ultrasound (US) findings indicative of joint inflammation and US features
characterising bone erosions at joint level in patients with rheumatoid arthritis
(RA) in clinical remission. METHODS: Twenty-four consecutive patients with RA in
clinical remission according to EULAR criteria (DAS28<2.6) underwent a complete
clinical assessment. An experienced sonographer blind to the clinical data
performed the US examinations to detect and score signs of joint inflammation and
bone erosions from second to fifth metacarpophalangeal (MCP) joints of both
hands. All joints were scanned both on dorsal and volar aspects. The second and
fifth MCP joints were scanned also in lateral aspects. RESULTS: The patients were
mainly female (79.2%), with a mean age of 63.2 years +/-12.3 standard deviation
(SD) and a mean disease duration of 114.5 months +/-53.9 SD. Half of the patients
were rheumatoid factor positive and 45.8% were anti-citrullinated protein
antibody positive. A total of 192 MCP joints and 480 aspects were assessed. Of
these joints, 105 (54.7%) were found inflamed by grey-scale US, 57 (29.7%) were
power Doppler (PD) positive, and bone erosions were detected in 42 (21.7%)
joints. PD signal was found in 30 (53.6%) of the 56 eroded aspects and in only 41
(9.7%) out of the 424 aspects without bone erosions. Both the GS and PD mean
scores were statistically higher in the joints with US bone erosions compared to
those without erosions. CONCLUSIONS: A higher prevalence of PD signal was found
in the joints where bone erosions were detected. This is the first study
providing evidence supporting the association between US bone erosions and the
persistence of subclinical inflammation in RA patients in clinical remission.
PMID- 27192222
TI - Emergence of representations through repeated training on pronouncing novel
letter combinations leads to efficient reading.
AB - Printed text can be decoded by utilizing different processing routes depending on
the familiarity of the script. A predominant use of word-level decoding
strategies can be expected in the case of a familiar script, and an almost
exclusive use of letter-level decoding strategies for unfamiliar scripts.
Behavioural studies have revealed that frequently occurring words are read more
efficiently, suggesting that these words are read in a more holistic way at the
word-level, than infrequent and unfamiliar words. To test whether repeated
exposure to specific letter combinations leads to holistic reading, we monitored
both behavioural and neural responses during novel script decoding and examined
changes related to repeated exposure. We trained a group of Dutch university
students to decode pseudowords written in an unfamiliar script, i.e., Korean
Hangul characters. We compared behavioural and neural responses to pronouncing
trained versus untrained two-character pseudowords (equivalent to two-syllable
pseudowords). We tested once shortly after the initial training and again after a
four days' delay that included another training session. We found that trained
pseudowords were pronounced faster and more accurately than novel combinations of
radicals (equivalent to letters). Imaging data revealed that pronunciation of
trained pseudowords engaged the posterior temporo-parietal region, and engagement
of this network was predictive of reading efficiency a month later. The results
imply that repeated exposure to specific combinations of graphemes can lead to
emergence of holistic representations that result in efficient reading.
Furthermore, inter-individual differences revealed that good learners retained
efficiency more than bad learners one month later.
PMID- 27192225
TI - Wide-field broadband extreme ultraviolet transmission ptychography using a high
harmonic source.
AB - High-harmonic generation (HHG) provides a laboratory-scale source of coherent
radiation ideally suited to lensless coherent diffractive imaging (CDI) in the
EUV and x-ray spectral region. Here we demonstrate transmission extreme
ultraviolet (EUV) ptychography, a scanning variant of CDI, using radiation at a
wavelength around 29 nm from an HHG source. Image resolution is diffraction
limited at 54 nm and fields of view up to ~100 MUm are demonstrated. These
results demonstrate the potential for wide-field, high-resolution, laboratory
scale EUV imaging using HHG-based sources with potential application in
biological imaging or EUV lithography pellicle inspection.
PMID- 27192227
TI - Additional peak appearing in the one-photon luminescence of single gold nanorods.
AB - We used a confocal laser microscope to investigate the one-photon
photoluminescence (PL) of gold antennas. The PL spectra can be precisely fitted
to a plasmon-enhanced PL model. For increasing the antenna length, the energy
peak position decreases continuously until it reaches a value of 1.7-1.8 eV. For
longer antennas and smaller plasmon energies, we observe an additional,
persistent shoulder in the PL spectra, which we explain by a Gaussian-shaped peak
at DeltaX~1.78-1.79 eV. We attribute this behavior to the opening of
an additional decay path for electrons at the gold interband transition edge,
which we observe only for long antennas.
PMID- 27192226
TI - Bit-efficient, sub-millisecond wavefront measurement using a lock-in camera for
time-reversal based optical focusing inside scattering media.
AB - Time-reversed ultrasonically encoded optical focusing measures the wavefront of
ultrasonically tagged light, and then phase conjugates the tagged light back to
the ultrasonic focus, thus focusing light deep inside the scattering media. In
previous works, the speed of wavefront measurement was limited by the low frame
rates of conventional cameras. In addition, these cameras used most of their bits
to represent an informationless background when the signal-to-background ratio
was low, resulting in extremely low efficiencies in the use of bits. Here, using
a lock-in camera, we increase the bit efficiency and reduce the data transfer
load by digitizing only the signal after rejecting the background. With this
camera, we obtained the wavefront of ultrasonically tagged light after a single
frame of measurement taken within 0.3 ms, and focused light in between two
diffusers. The phase sensitivity has reached 0.51 rad even when the SBR is
6*10-4.
PMID- 27192228
TI - Broadband and wide-angle light harvesting by ultra-thin silicon solar cells with
partially embedded dielectric spheres.
AB - We propose a design of crystalline silicon thin-film solar cells (c-Si TFSCs, 2
MUm-thick) configured with partially embedded dielectric spheres on the light
injecting side. The intrinsic light trapping and photoconversion are simulated by
the complete optoelectronic simulation. It shows that the embedding depth of the
spheres provides an effective way to modulate and significantly enhance the
optical absorption. Compared to the conventional planar and front sphere systems,
the optimized partially embedded sphere design enables a broadband, wide-angle,
and strong optical absorption and efficient carrier transportation.
Optoelectronic simulation predicts that a 2 MUm-thick c-Si TFSC with half
embedded spheres shows an increment of more than 10 mA/cm2 in short
circuit current density and an enhancement ratio of more than 56% in light
conversion efficiency, compared to the conventional planar counterparts.
PMID- 27192229
TI - Broad-bandwidth near-shot-noise-limited intensity noise suppression of a single
frequency fiber laser.
AB - A significant broad-bandwidth near-shot-noise-limited intensity noise suppression
of a single-frequency fiber laser is demonstrated based on a semiconductor
optical amplifier (SOA) with optoelectronic feedback. By exploiting the gain
saturation effect of the SOA and the intensity feedback loop, a maximum noise
suppression of over 50 dB around the relaxation oscillation frequencies and a
suppression bandwidth of up to 50 MHz are obtained. The relative intensity noise
of -150 dB/Hz in the frequency range from 0.8 kHz to 50 MHz is achieved, which
approaches the shot-noise limit. The obtained optical signal-to-noise ratio is
more than 70 dB. This near-shot-noise-limited laser source shows important
implications for the advanced fields of high-precision frequency stabilization,
quantum key distribution, and gravitational wave detection.
PMID- 27192230
TI - Photonic nanojet of cylindrical metalens assembled by hexagonally arranged
nanofibers for breaking the diffraction limit.
AB - We designed a novel cylindrical metalens assembled by hexagonally arranged close
contact nanofibers. A near-field focusing nanojet with a full-width at half
maximum (FWHM) waist, 26.7% smaller than the Abbe diffraction limit for 532 nm
wavelength light, is observed at the bottom of a 1600 nm diameter cylindrical
metalens assembled by 160 nm diameter nanofibers irradiated by a plane wave from
the top. Using differently sized nanofibers as building blocks to assemble the
metalens, the waist size of the produced photonic nanojet in the near-field zone
and the lateral resolution of the focus can be flexibly adjusted, simultaneously
breaking the diffraction limit.
PMID- 27192231
TI - Thermal degradation of ultrabroad bismuth NIR luminescence in bismuth-doped
tantalum germanate laser glasses.
AB - Because of ultra-broadband luminescence in 1000-1700 nm and consequent
applications in fiber amplifier and lasers in the new spectral range where
traditional rare earth cannot work, bismuth-doped laser glasses have received
rising interest recently. For long-term practical application, thermal
degradation must be considered for the glasses. This, however, has seldom been
investigated. Here we report the thermal degradation of bismuth-doped germanate
glass. Heating and cooling cycle experiments at high temperature reveal strong
dependence of the thermal degradation on glass compositions. Bismuth and tantalum
lead to the reversible degradation, while lithium can produce permanent
irreversible degradation. The degradation becomes worse as lithium content
increases in the glass. Absorption spectra show this is due to partial oxidation
of bismuth near-infrared emission center. Surprisingly, we notice the emission of
bismuth exhibits blueshift, rather than redshift at a higher temperature, and the
blueshift can be suppressed by increasing the lithium content.
PMID- 27192232
TI - Phase-shift detection in a Fourier-transform method for temperature sensing using
a tapered fiber microknot resonator.
AB - Phase-shift detection in a fast-Fourier-transform (FFT)-based spectrum analysis
technique for temperature sensing using a tapered fiber microknot resonator is
proposed and demonstrated. Multiple transmission peaks in the FFT spectrum of the
device were identified as optical modes having completed different amounts of
round trips within the ring structure. Temperature variation induced phase shifts
for each set of peaks were characterized, and experimental results show that
different peaks have distinct temperature sensitivities reaching values up to
0.542 rad/ degrees C, which is about 10 times greater than that of a regular
adiabatic taper Mach-Zehnder interferometer when using similar phase-tracking
schemes.
PMID- 27192233
TI - Efficient nonlinear generation of high power, higher order, ultrafast "perfect"
vortices in green.
AB - We report on efficient nonlinear generation of ultrafast, higher order "perfect"
vortices at the green wavelength. Based on Fourier transformation of the higher
order Bessel-Gauss (BG) beam generated through the combination of the spiral
phase plate and axicon, we have transformed the Gaussian beam of the ultrafast Yb
fiber laser at 1060 nm into perfect vortices of power 4.4 W and order up to 6.
Using single-pass second-harmonic generation (SHG) of such vortices in 5 mm long
chirped MgO-doped, periodically poled congruent LiNbO3 crystal, we
have generated perfect vortices at green wavelength (530 nm) with output power of
1.2 W and vortex order up to 12 at a single-pass conversion efficiency of 27%,
independent of the orders. This is the highest single-pass SHG efficiency of any
optical beams other than Gaussian beams. Unlike the disintegration of higher
order vortices due to spatial walk-off effect in birefringent crystals, here, the
use of the quasi-phase-matching process enables generation of high-quality
vortices, even at higher orders. The green perfect vortices of all orders have
temporal and spectral widths of 507 fs and 1.9 nm, respectively, corresponding to
a time-bandwidth product of 1.02.
PMID- 27192234
TI - Optimal estimator for tomographic fluorescence lifetime multiplexing.
AB - We use the model resolution matrix to analytically derive an optimal Bayesian
estimator for multiparameter inverse problems that simultaneously minimizes inter
parameter cross talk and the total reconstruction error. Application of this
estimator to time-domain diffuse fluorescence imaging shows that the optimal
estimator for lifetime multiplexing is identical to a previously developed
asymptotic time-domain (ATD) approach, except for the inclusion of a diagonal
regularization term containing decay amplitude uncertainties. We show that, while
the optimal estimator and ATD provide zero cross talk, the optimal estimator
provides lower reconstruction error, while ATD results in superior relative
quantitation. The framework presented here is generally applicable to other
multiplexing problems where the simultaneous and accurate relative quantitation
of multiple parameters is of interest.
PMID- 27192235
TI - Single frequency MOPA based on Nd:YAG single crystal fiber and rods.
AB - We demonstrate a single frequency 1064 nm master oscillator power amplifier
(MOPA) system operating in macro-micro pulse scheme. The repetition rate for the
macro pulses was 300 Hz with pulse duration of 300 MUs. Micro pulses operated at
25 kHz. The master laser was a single-longitudinal-mode electro-optically Q
switched Nd:YAG laser with an output power of 250 mW and pulse duration of 33 ns.
Three stages of power amplifiers based on Nd:YAG single crystal fiber and rods
were designed. The final output power reached 31.3 W with pulse duration of 30 ns
and linewidth of less than 130 MHz. Micro pulse energy of 13.9 mJ was obtained
with a peak power of up to 464 kW. The beam quality factors (M2) were
measured to be 1.56 and 1.76 in horizontal and vertical directions, respectively.
PMID- 27192237
TI - Infrared dielectric properties of low-stress silicon oxide.
AB - Silicon oxide thin films play an important role in the realization of optical
coatings and high-performance electrical circuits. Estimates of the dielectric
function in the far- and mid-infrared regime are derived from the observed
transmittance spectrum for a commonly employed low-stress silicon oxide
formulation. The experimental, modeling, and numerical methods used to extract
the dielectric function are presented.
PMID- 27192236
TI - Tapered polysilicon core fibers for nonlinear photonics.
AB - We propose and demonstrate a novel approach to obtaining small-core polysilicon
waveguides from the silicon fiber platform. The fibers were fabricated via a
conventional drawing tower method and, subsequently, tapered down to achieve
silicon core diameters of ~1 MUm, the smallest optical cores for this class of
fiber to date. Characterization of the material properties have shown that the
taper process helps to improve the local crystallinity of the silicon core,
resulting in a significant reduction in the material loss. By exploiting the
combination of small cores and low losses, these tapered fibers have enabled the
first observation of nonlinear transmission within a polycrystalline silicon
waveguide of any type. As the fiber drawing method is highly scalable, it opens a
route for the development of low-cost and flexible nonlinear silicon photonic
systems.
PMID- 27192238
TI - Nonlinear absorption and nonlinear refraction in a chemical vapor deposition
grown, ultrathin hexagonal boron nitride film.
AB - An ultrathin hexagonal boron nitride film is synthesized by a method of chemical
vapor deposition. Irradiated by femtosecond laser pulses in the visible spectrum
of 400-800 nm, it exhibits multiphoton absorption and positive nonlinear
refraction properties. The two-photon and three-photon absorption coefficients
are of the order of 10-5 cm W-1 and 10-14
cm3 W-2, respectively. The nonlinear refraction coefficient
is as large as ~10-8 cm2 W-1. These nonlinear
coefficients lead to figures of merit that meet the material requirements for all
optical switching devices.
PMID- 27192239
TI - Enabling transistor-like action in photonic crystal waveguides using optical
event horizons.
AB - We show that dispersion and loss-engineered photonic crystal waveguides can
support optical event horizons enabling the manipulation of a strong soliton
pulse by a weaker control pulse within a 3 mm waveguide. Depending on the
launching frequency of the weak control pulse, both blue and red soliton shifts
are observed, while the soliton appears to be delayed by several pulse widths.
PMID- 27192240
TI - Simultaneous three-dimensional velocimetry and thermometry in gaseous flows using
the stereoscopic vibrationally excited nitric oxide monitoring technique.
AB - We present a demonstration of the simultaneous measurement of spatially resolved
three-component velocity and temperature in gaseous flow fields using a variant
of the vibrationally excited nitric oxide monitoring (VENOM) technique, based on
planar laser induced fluorescence and molecular tagging velocimetry methods.
Three-component velocity determinations were derived from two-dimensional
molecular tagging velocity measurements employing sequential fluorescence image
pairs obtained simultaneously by two cameras in stereoscopic configuration.
Probing two different rotational states of nitric oxide (X2?,
upsilon''=1), produced via fluorescence and collisional quenching from
initial excitation to the A Sigma+2 state, for the sequential
velocimetry images allows simultaneous determination of the temperature field.
Experimental measurements of velocity and temperature across an oblique shock
result in mean values within 21 m/s for the three components of velocity and 20 K
for planar temperature when compared to oblique shock calculations.
PMID- 27192241
TI - Rapid spectrum measurement at 3 MUm over 100 nm wavelength range using mid
infrared difference frequency generation source.
AB - We demonstrate a broadband rapid scanning light source in the 3-MUm region by
using difference frequency generation (DFG). The DFG source consists of a module
with quasi-phase-matched LiNbO3 ridge waveguides, a 1-MUm-band wide
swept range laser for the pump source, and a 1.5-MUm continuous wave laser for
the signal source. The sweep rate and the tuning bandwidth of this source are 20
kHz and 100 nm, respectively. This source enables us to evaluate the temperature
dependence of absorbance of methane gas.
PMID- 27192242
TI - Sub-80 femtosecond pulses generation from a diode-pumped mode-locked
Nd:Ca3La2(BO3)4 disordered crystal
laser.
AB - We experimentally demonstrated a diode-pumped sub-80 fs
Nd:Ca3La2(BO3)4 disordered crystal
laser. Pumping by an 808 nm fiber coupled laser diode, stable continuous-wave
mode-locked pulses as short as 79 fs were achieved with a semiconductor saturable
absorber mirror (SESAM). The ultrashort pulses had a repetition rate of ~98.9
MHz at the central wavelength of about 1068 nm. To the best of our knowledge,
this is the first demonstration of sub-100 fs pulses and the shortest mode-locked
pulses generated from the Nd3+-doped crystal lasers.
PMID- 27192243
TI - Coherence properties of a 2.6-7.5 MUm frequency comb produced as a subharmonic
of a Tm-fiber laser.
AB - We study the temporal coherence of an ultrabroadband frequency comb produced in a
degenerate GaAs optical parametric oscillator (OPO) pumped by a stabilized Tm
fiber comb, by observing multiheterodyne beats in the RF domain. We infer that in
such a regime the OPO automatically produces a stable frequency comb that is
phase and frequency locked to the pump. By varying intracavity dispersion, we
achieve a comb spanning 2.6-7.5 MUm at a -20 dB level. Low pump threshold (down
to 7 mW), high average power (up to 73 mW), broad spectral coverage, flat
spectrum, and high coherence make this comb a source suitable for various
applications, foremost dual-comb molecular spectroscopy.
PMID- 27192244
TI - Femtosecond laser direct writing of metal microstructure in a stretchable
poly(ethylene glycol) diacrylate (PEGDA) hydrogel.
AB - The fabrication of three-dimensional (3D) metal microstructures in a synthetic
polymer-based hydrogel is demonstrated by femtosecond laser-induced
photoreduction. The linear-shaped silver structure of approximately 2 micrometers
in diameter is fabricated inside a biocompatible poly(ethylene glycol) diacrylate
(PEGDA) hydrogel. The silver structure is observed and confirmed by scanning
electron microscopy (SEM) and elemental analysis using energy-dispersive X-ray
spectroscopy (EDX). Shrinking and swelling of the fabricated structure is also
demonstrated experimentally, which shows the potential of the present method for
realizing 3D flexible electronic and optical devices, as well as for fabricating
highly integrated devices at submicron scales.
PMID- 27192245
TI - Fast method of calculating a photorealistic hologram based on orthographic ray
wavefront conversion.
AB - A computer-generated hologram based on ray-wavefront conversion can reconstruct
photorealistic three-dimensional (3D) images containing deep virtual objects and
complicated physical phenomena; however, the required computational cost has been
a problem that needs to be solved. In this Letter, we introduce the concept of an
orthographic projection in the ray-wavefront conversion technique for reducing
the computational cost without degrading the image quality. In the proposed
method, plane waves with angular spectra of the object are obtained via
orthographic ray sampling and Fourier transformation, and only the plane waves
incident on the hologram plane are numerically propagated. We verified this
accelerated computational method theoretically and experimentally, and
demonstrated optical reconstruction of a deep 3D image in which the effects of
occlusions, transmission, refraction, and reflection were faithfully reproduced.
PMID- 27192246
TI - High-resolution plasmonic structural colors from nanohole arrays with bottom
metal disks.
AB - We present transmissive plasmonic structural colors from subwavelength nanohole
arrays with bottom metal disks for scaled-up manufacturing by nanoimprint
lithography (NIL). Comprehensive theoretical and experimental studies are carried
out to understand the specific extraordinary optical transmission behavior of the
structures with such bottom metal disks. Distinctive colors covering the entire
visible spectrum can be generated by changing the structural dimensions of hole
arrays in Ag covered by the metal disks. The plasmonic energy hybridization
theory is applied to explain the unstable color output with shallow holes so that
a large processing window during NIL could be achieved for mass production. A
high-resolution of 127,000 dots per inch is demonstrated with potential
applications, including color filters and displays, high-resolution color
printing, CMOS color imaging, and anti-counterfeiting.
PMID- 27192247
TI - Visualization of acceleration in multiphase fluid interactions.
AB - Probing the dynamics of structures in turbid media is important for understanding
the internal forces that drive the time evolution of many fluid systems; the
breakup of fuel injection sprays is a prime example. We demonstrate a three-pulse
configuration for time-gated ballistic imaging, applied to a turbulent, steady
spray allowing the acquisition of time-correlated image data. Coupled with
targeted region-matching analysis, the detected image triplets are used to
generate time-resolved velocity and acceleration vectors representing motion and
forces involved in spray development.
PMID- 27192248
TI - Linear mode conversion of terahertz radiation into terahertz surface
magnetoplasmons on a rippled surface of magnetized n-InSb.
AB - A new mechanics of linear mode conversion of terahertz (THz) radiation into THz
surface magnetoplasmons on a rippled surface of magnetized n-InSb is proposed.
The normally incident THz radiation, polarized in the direction of a ripple wave
vector, imparts oscillatory velocity to electrons in the ripple layer. This
velocity beats with surface ripple to produce a nonlinear current that resonantly
drives the THz surface magnetoplasmons. In the presence of an applied magnetic
field, the surface plasmon (SP) mode splits into two modes-an upper mode and a
lower mode. The amplitude of the SP for the upper branch mode is higher than that
for the lower mode.
PMID- 27192249
TI - Solitonization of a dispersive wave.
AB - We report the observation of a nonlinear propagation scenario in which a
dispersive wave is transformed into a fundamental soliton in an axially varying
optical fiber. The dispersive wave is initially emitted in the normal dispersion
region and the fiber properties change longitudinally so that the dispersion
becomes anomalous at the dispersive wave wavelength, which allows it to be
transformed into a soliton. The solitonic nature of the field is demonstrated by
solving the direct Zakharov-Shabat scattering problem. Experimental
characterization performed in spectral and temporal domains show evidence of the
solitonization process in an axially varying photonic crystal fiber.
PMID- 27192250
TI - Phase-shifting by means of an electronically tunable lens: quantitative phase
imaging of biological specimens with digital holographic microscopy.
AB - The use of an electronically tunable lens (ETL) to produce controlled phase
shifts in interferometric arrangements is shown. The performance of the ETL as a
phase-shifting device is experimentally validated in phase-shifting digital
holographic microscopy. Quantitative phase maps of a section of the thorax of a
Drosophila melanogaster fly and of human red blood cells have been obtained using
our proposal. The experimental results validate the possibility of using the ETL
as a reliable phase-shifter device.
PMID- 27192251
TI - Fiber Bragg grating laser sensor with direct radio-frequency readout.
AB - A fiber Bragg grating (FBG)-coupled ring laser sensor is demonstrated. In the
proposed configuration the interrogating source, the sensing head and the readout
instrument are integrated in a single fiber-optic device. An FBG inserted within
a bidirectional fiber ring couples the two counterpropagating modes of the
cavity, generating a splitting of the resonant wavelengths proportional to the
FBG reflectivity. When the cavity gain is brought beyond threshold, the two peaks
of the split resonances simultaneously lase, leading to a beat note in the
emission spectrum whose frequency tracks any small shift of the FBG reflectivity
spectrum. Such a beat note can be simply monitored by a frequency counter,
without the need for an optical spectrometer, allowing to significantly reduce
size and costs of the sensor setup. The sensing performance compares well to the
state-of-the-art thermo-mechanical fiber sensors.
PMID- 27192252
TI - Nonlinear laser pulse response in a crystalline lens.
AB - The propagation characteristics of a spatial Gaussian laser pulse have been
studied inside a gradient-index structured crystalline lens with constant-density
plasma generated by the laser-tissue interaction. The propagation of the laser
pulse is affected by the nonlinearities introduced by the generated plasma inside
the crystalline lens. Owing to the movement of plasma species from a higher- to a
lower-temperature region, an increase in the refractive index occurs that causes
the focusing of the laser pulse. In this study, extended paraxial approximation
has been applied to take into account the evolution of the radial profile of the
Gaussian laser pulse. To examine the propagation characteristics, variation of
the beam width parameter has been observed as a function of the laser power and
initial beam radius. The cavitation bubble formation, which plays an important
role in the restoration of the elasticity of the crystalline lens, has been
investigated.
PMID- 27192253
TI - Real-time quantitative phase imaging based on transport of intensity equation
with dual simultaneously recorded field of view.
AB - Since quantitative phase distribution reflects both cellular shapes and
conditions from another view, compared to traditional intensity observation,
different quantitative phase microscopic methods are proposed for cellular
detections. However, the transport of intensity equation-based approach not only
presents phase, but also intensity, which attracts much attention. While
classical transport of intensity equation needs multi-focal images which often
cannot realize simultaneous phase measurement, in this Letter, to break through
the limitation, a real-time quantitative phase imaging method using transport of
intensity equation is proposed. Two identical CCD cameras are set at the
binocular tubes to capture the same field of view but at different focal planes.
With a double-frame algorithm assuming that the on-focal image is the average of
over- and under-focal information, the proposed method is capable of calculating
quantitative phase distributions of samples accurately and simultaneously
indicating its potentialities in cellular real-time monitoring.
PMID- 27192254
TI - Enhanced spectral sensitivity of a chip-scale photonic-crystal slow-light
interferometer.
AB - We experimentally demonstrate that the spectral sensitivity of a Mach-Zehnder
(MZ) interferometer can be enhanced through structural slow light. We observe a
20-fold resolution enhancement by placing a dispersion-engineered, slow-light,
photonic-crystal waveguide in one arm of a fiber-based MZ interferometer. The
spectral sensitivity of the interferometer increases roughly linearly with the
group index, and we have quantified the resolution in terms of the spectral
density of interference fringes. These results show promise for the use of slow
light methods for developing novel tools for optical metrology and, specifically,
for compact high-resolution spectrometers.
PMID- 27192255
TI - Demonstration of flat-band image transmission in optically induced Lieb photonic
lattices.
AB - We present a simple, yet effective, approach for optical induction of Lieb
photonic lattices, which typically rely on the femtosecond laser writing
technique. Such lattices are established by judiciously overlapping two
sublattices (an "egg-crate" lattice and a square lattice) with different
periodicities through a self-defocusing photorefractive medium. Furthermore,
taking advantage of the superposition of localized flat-band states inherent in
the Lieb lattices, we demonstrate distortion-free image transmission in such two
dimensional perovskite-like photonic structures. Our experimental observations
find good agreement with numerical simulations.
PMID- 27192256
TI - Frequency doubling of Raman fiber lasers with random distributed feedback.
AB - This Letter presents what we believe is the first experimental study of frequency
doubling of a Raman fiber laser (RFL) with random distributed feedback (RDFB) in
an MgO:PPLN crystal. We compared two laser configurations, each with a half-open
cavity. The cavity contained either a broadband Sagnac mirror or a narrowband
fiber Bragg grating (FBG). We found that spectral broadening in the studied
configurations of the RDFB RFLs differed from that found in a conventional RFL
with a linear cavity, as well as from each other. We also compared the second
harmonic generation (SHG) efficiency for these three types of lasers. The highest
SHG efficiency was obtained for the RDFB RFL with the FBG delivering >100 mW
power at 654 nm.
PMID- 27192257
TI - Effect of induced inner curvature on refractive index sensitivity in internally
tilted long-period gratings.
AB - A new complete analysis of the effect of induced inner curvature on refractive
index (RI) sensitivity in internally tilted long-period gratings (ITLPGs) is
presented. The responses in terms of RI sensitivity of a standard LPG and
different ITLPGs with curvature values between 15 and 19 m-1 were
compared. The analysis suggests first, that the larger the induced curvature, the
greater the RI sensitivity; and second, that the RI sensitivity exponentially
increases with both the curvature and cladding mode order. RI sensitivity greater
than 100 nm RIU-1 can be attained with curvature greater than 25
m-1 for LP06 mode. Conversely, the temperature sensitivity
of ITLPGs is comparable to standard LPGs for the considered cladding mode order.
PMID- 27192258
TI - Self-referenced waveguide grating sensor.
AB - Like any other sensor system, performances of waveguide grating couplers are
affected by adverse effects such as noise and drift, mainly limiting the devices'
resolution and long-term stability. It is therefore often required to reference
the measurement with a secondary, parallel sensor to decrease these undesired
influences. Here we present a simple but effective method to self-reference a
label-free waveguide grating coupler by partially coating and thereby passivating
the sensitive area with an inert layer. The presented waveguide grating chip
design offers the advantage of internal self-referencing for adverse effects,
such as inherent system instabilities, mechanical disturbance, or temperature
drift, without the need of a sacrificial reference channel.
PMID- 27192259
TI - Ultrafast axial scanning for two-photon microscopy via a digital micromirror
device and binary holography.
AB - In this Letter, we present an ultrafast nonmechanical axial scanning method for
two-photon excitation (TPE) microscopy based on binary holography using a digital
micromirror device (DMD), achieving a scanning rate of 4.2 kHz, scanning range of
~180 MUm, and scanning resolution (minimum step size) of ~270 nm. Axial
scanning is achieved by projecting the femtosecond laser to a DMD programmed with
binary holograms of spherical wavefronts of increasing/decreasing radii. To guide
the scanner design, we have derived the parametric relationships between the DMD
parameters (i.e., aperture and pixel size), and the axial scanning
characteristics, including (1) maximum optical power, (2) minimum step size, and
(3) scan range. To verify the results, the DMD scanner is integrated with a
custom-built TPE microscope that operates at 60 frames per second. In the
experiment, we scanned a pollen sample via both the DMD scanner and a precision z
stage. The results show the DMD scanner generates images of equal quality
throughout the scanning range. The overall efficiency of the TPE system was
measured to be ~3%. With the high scanning rate, the DMD scanner may find
important applications in random-access imaging or high-speed volumetric imaging
that enables visualization of highly dynamic biological processes in 3D with
submillisecond temporal resolution.
PMID- 27192260
TI - Effects of bump/pit on the radiative properties of small particles.
AB - We use the particle superposition model to create bumps or pits on the surface of
small particles for the purpose of simulating the roughness of the particles.
Four different models are introduced to show the bump/pit effect on the radiative
properties of the host particle. The results show that surface roughness plays an
important role in the light scattering properties of small particles. Different
roughened models behave differently.
PMID- 27192261
TI - Optical thermometry based on the red upconversion fluorescence of Er3+
in CaWO4:Yb3+/Er3+ polycrystalline powder.
AB - Based on the fluorescence intensity ratio method, the temperature-sensing
behavior through thermally coupled levels (TCL) of the H11/22 and
S3/24 states as well as the sub-levels of the F9/24 state
of Er3+ has been studied. The thermometry is observed to be dependent
on the pump power for the H11/22 and S3/24 states, leading
to an error of more than 20 K at 478 K. By utilizing the sub-levels of the
F9/24 state, such a problem could be solved. The maximum sensitivity
is about 0.15% K-1 at 298 K. This will provide guidance on selecting
appropriate and practical TCL for precisely sensing the temperature.
PMID- 27192262
TI - Structured beam diffraction.
AB - We report on the observation of a modulated pattern induced by a single laser
beam in a polymeric film. In spite of the simple geometrical configuration, the
analysis of the far field diffraction pattern allows a sensitive retrieving of
the wavelength of the recording beam and of its incidence angle, pointing out the
high information content of the recorded spot. A theoretical model is presented
which satisfactorily explains the observed behavior. It takes into account the
interaction of structured light with structured matter with the same symmetries
and spatial modulation frequencies close to each other. This result shows a
feature of the interaction between structured light and structured matter which
has not been explored yet.
PMID- 27192263
TI - Enhanced photoluminescence properties of bismuth sulfide nanocrystals with core
shell Ag@SiO2.
AB - A novel self-assembled hybrid nanocompound consisting of bismuth sulfide
nanocrystals (Bi2S3 NCs) and Ag@SiO2
nanoparticles (NPs) is used to study the enhancement of photoluminescence by
localized surface plasmon resonance (LSPR). Ag@SiO2 core-shell NPs
were prepared by deposition of silica onto the surface of Ag NPs through the sol
gel method and followed by surface modification via 3-aminopropyltriethoxysilane
for the coming conjugation with Bi2S3 NCs. We propose the
photoluminescence enhancement by the LSPR effect through adjusting the thickness
of silica shell and the Ag@SiO2 NP concentration. By modulating the
thickness of the silica shell and the concentration of Ag NPs, the maximum
enhancement of a 5.7 fold can be reached with the thickness of an SiO2
shell at 22.5 nm. A clear red shift of the emission peaks in the
Bi2S3 NCs-Ag@SiO2 NPs hybrid structures is
observed. Such a metal-enhanced Bi2S3 quantum dot (QD)
fluorescence system may have promising applications in optoelectronic device.
PMID- 27192264
TI - Design of a variable-line-spacing grating pattern for spectrometers based on a
grating Fresnel device.
AB - In this Letter, we propose a variable-line-spacing (VLS) grating pattern for a
hybrid diffractive device termed a grating Fresnel (G-Fresnel) lens, which is
used in spectrometers to improve spectral resolution over a wide spectral range.
The VLS grating pattern disperses light of specific wavelengths with a different
angle and position such that the aberration caused by the Fresnel surface can be
compensated for. In this manner, high resolution can be achieved over a
relatively wide spectral range. The VLS grating pattern is designed based on the
least wave-change principle and simulated by ZEMAX. Results reveal that the VLS G
Fresnel device allows a subnanometer resolution over a spectral range of 200 nm.
PMID- 27192265
TI - Microstructures fabricated by dynamically controlled femtosecond patterned vector
optical fields.
AB - We have presented and demonstrated a method for the fabrication of various
complicated microstructures based on dynamically controlled patterned vector
optical fields (PVOFs). We design and generate dynamic PVOFs by loading patterned
holograms displayed on the spatial light modulator and moving traces of focuses
with different patterns. We experimentally fabricate the various microstructures
in z-cut lithium niobate plates. The method we present has some benefits such as
no motion of the fabricated samples and high efficiency due to its parallel
feature. Moreover, our approach is able to fabricate three-dimensional
microstructures.
PMID- 27192266
TI - Optical lattice induced by angular momentum and polygonal plasmonic mode.
AB - A series of plasmonic devices are proposed to generate multipatterned and two
dimensional optical lattices with or without helicity. Both the spin and orbital
angular momentum of incident beam together with the excited polygonal plasmonic
mode contribute to the formation of optical lattices due to the spin-orbit
coupling. The impact of the mode property of incident beams on lattice pattern
deforming is also discussed. Due to the compactness and flexible tunability, we
believe that this work would facilitate the utilization of optical lattices in
various on-chip applications.
PMID- 27192267
TI - Compensation of a distorted N-fold orbital angular momentum multicasting link
using adaptive optics.
AB - By using an adaptive feedback correction technique, we experimentally demonstrate
turbulence compensation for free-space four-fold and eight-fold 16-ary quadrature
amplitude modulation (16-QAM) carrying orbital angular momentum (OAM)
multicasting links. The performance of multicasted OAM beams through emulated
atmospheric turbulence and adaptive optics assisted compensation loop is
investigated. The experimental results show that the scheme can efficiently
compensate for the atmospheric turbulence induced distortions, i.e., reducing
power fluctuation of multicasted OAM channels, suppressing inter-channel
crosstalk, and improving the bit-error rate (BER) performance.
PMID- 27192268
TI - Continuous multigram nanoparticle synthesis by high-power, high-repetition-rate
ultrafast laser ablation in liquids.
AB - Utilizing a novel laser system consisting of a 500 W, 10 MHz, 3 ps laser source
which is fully synchronized with a polygon scanner reaching scanning speeds up to
500 m/s, we explore the possibilities to increase the productivity of
nanoparticle synthesis by laser ablation in liquids. By exploiting the high
scanning speed, laser-induced cavitation bubbles are spatially bypassed at high
repetition rates and continuous multigram ablation rates up to 4 g/h are
demonstrated for platinum, gold, silver, aluminum, copper, and titanium.
Furthermore, the applicable, ablation-effective repetition rate is increased by
two orders of magnitude. The ultrafast ablation mechanisms are investigated for
different laser fluences, repetition rates, interpulse distances, and ablation
times, while the resulting trends are successfully described by validating a
model developed for ultrafast laser ablation in air to hold in liquids as well.
PMID- 27192269
TI - Coding/decoding two-dimensional images with orbital angular momentum of light.
AB - We investigate encoding and decoding of two-dimensional information using the
orbital angular momentum (OAM) of light. Spiral phase plates and phase-only
spatial light modulators are used in encoding and decoding of OAM states,
respectively. We show that off-axis points and spatial variables encoded with a
given OAM state can be recovered through decoding with the corresponding
complimentary OAM state.
PMID- 27192270
TI - Connection between modeled blackbody radiation and dipole emission in large-area
nanostructures.
AB - When modeling the emission of light from nanostructures, we typically study
either (1) blackbody radiation or (2) dipole emission. For effective analysis, it
is important to know how results from these two types of modeling are related.
Here, we use Kirchoff's reciprocity to study how interference affects the
emissivity and number of emitted blackbody photons from a thin film for varying
thickness. Next, we use Lorentz's reciprocity to study how interference modifies
the emission rate of a dipole placed within the same film. Finally, to find the
connection between these two emission types, we use Kirchoff's and Lorentz's
reciprocity simultaneously for an arbitrary three-dimensional large-area
nanostructure. We show analytically how the blackbody radiation can be
represented as the integrated emission from homogeneously distributed dipoles in
the nanostructure. In this case, the dipole moment density is determined by the
refractive index of the nanostructure.
PMID- 27192271
TI - Probing with randomly interleaved pulse train bridges the gap between ultrafast
pump-probe and nanosecond flash photolysis.
AB - Despite the long-standing importance of transient absorption (TA) spectroscopy,
many researchers remain frustrated by the difficulty of measuring the nanosecond
range in a wide spectral range. To address this shortcoming, we propose a TA
spectrophotometer in which there is no synchronization between a pump pulse and a
train of multiple probe pulses from a picosecond supercontinuum light source,
termed the randomly-interleaved-pulse-train (RIPT) method. For each pump pulse,
many monochromatized probe pulses impinge upon the sample, and the associated
pump-probe time delays are determined passively shot by shot with subnanosecond
accuracy. By repeatedly pumping with automatically varying time delays, a TA
temporal profile that covers a wide dynamic range from subnanosecond to
milliseconds is simultaneously obtained. By scanning wavelength, this single,
simple apparatus acquires not only wide time range TA profiles, but also
broadband TA spectra from the visible through the near-infrared regions.
Furthermore, we present a typical result to demonstrate how the RIPT method may
be used to correct for fluorescence, which often pollutes TA curves.
PMID- 27192272
TI - Few-photon heterodyne spectroscopy.
AB - We perform a high-resolution Fourier transform spectroscopy of optical sources in
the few-photon regime based on the phenomenon of two-photon interference in a
beam splitter. From the heterodyne interferogram, between test and reference
sources, it is possible to obtain the spectrum of the test source relative to
that of the reference. The method proves to be a useful asset for spectral
characterization of faint optical sources below the range covered by classical
heterodyne beating techniques.
PMID- 27192273
TI - Observation of localized surface plasmons and hybridized surface plasmon
polaritons on self-assembled two-dimensional nanocavities.
AB - Large-area patterning of periodic nanostructures using self-assembled nanospheres
is of interest for fabricating low-cost plasmonic substrates, such as two
dimensional (2D) metallic gratings. Surface plasmon polaritons (SPPs) excited on
metallic gratings have applications in biosensors, thin-film photovoltaics,
photoelectrochemical cells, and photodetectors. Here we fabricated large-area
metallic gratings using nanosphere lithography, and the geometry of gratings was
controlled by the sphere size and distance between nanospheres. Both forward and
backward propagating SPPs were observed using the grating coupling geometry.
Furthermore, we reported the first observation of localized surface plasmons
(LSPs) on this large-area metallic grating by both simulation and experimental
studies. Such an LSP mode was confined in the 2D nanocavities and was not
supported by dielectric gratings with the same 2D geometry.
PMID- 27192274
TI - Thermo-optical properties of terbium-aluminum garnet ceramics doped with silicon
and titanium.
AB - The Verdet constant and thermo-optical characteristics of a Si-doped and Ti-doped
terbium aluminum garnet ceramics have been investigated. It is shown that the
Verdet constant of the samples is ~40% higher than that of TGG ceramics at 1064
nm. The best samples of Si:TAG have magneto-optical figures of merit more than
1.5 times greater than those of TGG ceramics. Si:TAG is better than TGG ceramics
as a medium for high-power Faraday isolators.
PMID- 27192275
TI - Few-mode fiber based distributed curvature sensor through quasi-single-mode
Brillouin frequency shift.
AB - We proposed and demonstrated a few-mode fiber (FMF) based optical-fiber sensor
for distributed curvature measurement through quasi-single-mode Brillouin
frequency shift (BFS). By central-alignment splicing FMF and single-mode fiber
(SMF) with a fusion taper, a SMF-components-compatible distributed curvature
sensor based on FMF is realized using the conventional Brillouin optical time
domain analysis system. The distributed BFS change induced by bending in FMF has
been theoretically and experimentally investigated. The precise BFS response to
the curvature along the fiber link has been calibrated. A proof-of-concept
experiment is implemented to validate its effectiveness in distributed curvature
measurement.
PMID- 27192276
TI - Bi-doped fiber amplifier with a flat gain of 25 dB operating in the wavelength
band 1320-1360 nm.
AB - Bismuth (Bi)-doped phosphosilicate fibers have been fabricated by the modified
chemical vapor deposition (MCVD)-solution doping technique under different
process conditions. The influence of fabrication conditions on unsaturable loss
in fibers has been investigated. Pump wavelength dependent Bi gain has been
studied to obtain a flat gain over a wide bandwidth. A diode pumped all-fiber Bi
doped amplifier with a flat gain of 25+/-1 dB from 1320-1360 nm (40 nm) has been
demonstrated for -10 dBm of input signal power with a noise figure (NF) ranging
from 4-6 dB. Moreover, a small signal gain of 29 dB and a NF of 4.5 dB at 1340 nm
has been achieved for an input signal power of -30 dBm.
PMID- 27192278
TI - Inversion of the topological charge of optical vortices in a coil fiber
resonator.
AB - In this Letter, we study the propagation of optical vortices (OVs) in a two-coil
multimode fiber resonator. We suggest an analytical scheme of solving this
problem based on its reduction to one of propagation of OVs in parallel
evanescently coupled optical fibers. In the framework of the scalar
approximation, we derive expressions for normal modes of the system and study
evolution of an OV with an arbitrary topological charge excited at the input end
of the resonator. We show that such a coil resonator is able to invert the
topological charge of the incoming OV, provided the resonance condition is met.
PMID- 27192277
TI - Experimental demonstration of quenched transmission effect of an ultrathin
metallic grating.
AB - We report on the experimental study of an anomalous transmission effect in
ultrathin metallic gratings, where the metal thickness is much thinner than the
skin depth. In particular, incident transverse magnetic polarized waves are
reflected while incident transverse electric polarized waves are transmitted.
This anomalous effect is strongly dependent on the metal thickness and metal
width. We systematically investigate and demonstrate the anomalous effect and
find the optimized nanostrip thickness and width by introducing a shadow-mask
fabrication approach. Our results demonstrate the possibility of developing
ultrathin nanostrip based planar metasurfaces with low loss.
PMID- 27192279
TI - Watt-level second-harmonic generation at 589 nm with a PPMgO:LN ridge waveguide
crystal pumped by a DBR tapered diode laser.
AB - A DBR tapered diode laser in continuous wave operation was used to generate
second-harmonic radiation at 589 nm in a PPMgO:LN ridge waveguide crystal. An
optical output power of 0.86 W at an optical-to-optical and an electrical-to
optical efficiency of 42% and 11%, respectively, was achieved. The visible
radiation was characterized by a spectral bandwidth DeltanuFWHM of 230
MHz and a beam propagation parameter M1/e22 better than 1.1. The
integration of such a system into a housing of a small footprint will enable a
portable and highly efficient module featuring a visible output power in the watt
level range.
PMID- 27192280
TI - Laser damage dependence on the size and concentration of precursor defects in KDP
crystals: view through differently sized filter pores.
AB - We investigate the laser-induced damage performance at 1064 nm of potassium
dihydrogen phosphate (KDP) crystals grown using filters of different pore sizes.
The aim is to explore a novel method for understanding laser-matter interactions
with regard to physical parameters affecting the ability of damage precursors to
initiate damage. By reducing the pore size of filters in continuous filtration
growth, we can improve laser damage resistance. Furthermore, we develop a model
based on a Gaussian distribution of precursor thresholds and heat transfer to
obtain a size distribution of the precursor defects. Smaller size and/or lower
concentration of precursor defects could lead to better damage resistance.
PMID- 27192282
TI - Improved nonlinear slot waveguides using dielectric buffer layers: properties of
TM waves.
AB - We propose an improved version of the symmetric metal slot waveguides with a Kerr
type nonlinear dielectric core adding linear dielectric buffer layers between the
metal regions and the core. Using a finite element method to compute the
stationary nonlinear modes, we provide the full phase diagrams of its main
transverse magnetic modes as a function of the total power, buffer layer, and
core thicknesses that are more complex than the ones of the simple nonlinear
metal slot. We show that these modes can exhibit spatial transitions toward
specific modes of the new structure as a function of power. We also demonstrate
that, for the main modes, the losses are reduced compared to the previous
structures, and that they can now decrease with power. Finally, we describe the
stability properties of the main stationary solutions using nonlinear FDTD
simulations.
PMID- 27192281
TI - Effects of backscattering in high-Q, large-area silicon-on-insulator ring
resonators.
AB - We demonstrate large-area silicon-on-insulator ring resonators with Q values of
about 2*106 at critical coupling and 3.6*106 for heavily
undercoupled conditions. A model has been developed to understand the impact of
waveguide backscattering and subcomponent imperfections on the spectral response
of our devices. The model predicts the appearance of signals at ports that would
not have them under backscattering-free, ideal-power-splitting conditions. The
predictions of our model are shown to match the phenomena observed in our
measurements.
PMID- 27192283
TI - Convolution approach for beam propagation in random media.
AB - A simple formula is derived for predicting transverse intensity distribution of
coherent and partially coherent (Schell-model) scalar beams propagating in
extended linear isotropic, homogeneous media with given power spectra of
refractive index. The examples illustrate how the formula can be applied to
different beams propagating in atmospheric and oceanic turbulence. Our result
provides deep insight into the light-media interaction process and serves as a
convenient analytical and/or numerical tool for analyzing beam propagation
problems without performing lengthy evaluations.
PMID- 27192284
TI - Extending resolution of scanning optical microscopy beyond the Abbe limit through
the assistance of InSb thin layers.
AB - The resolution of light imaging is required to extend beyond the Abbe limit to
the subdiffraction, or even nanoscale. In this Letter, we propose to extend the
resolution of scanning optical microscopy (SOM) beyond the Abbe limit as a kind
of subdiffraction imaging technology through the assistance of InSb thin layers
due to obvious nonlinear saturation absorption and reversible formation of an
optical pinhole channel. The results show that the imaging resolution is greatly
improved compared with the SOM itself. This work provides a way to improve the
resolution of SOM without changing the SOM itself, but through the assistance of
InSb thin layers. This is also a simple and practical way to extend the
resolution of SOM beyond the Abbe limit.
PMID- 27192285
TI - Quantitative phase imaging of biological cells using spatially low and temporally
high coherent light source.
AB - In this Letter, we demonstrate quantitative phase imaging of biological samples,
such as human red blood cells (RBCs) and onion cells using narrow temporal
frequency and wide angular frequency spectrum light source. This type of light
source was synthesized by the combined effect of spatial, angular, and temporal
diversity of speckle reduction technique. The importance of using low spatial and
high temporal coherence light source over the broad band and narrow band light
source is that it does not require any dispersion compensation mechanism for
biological samples. Further, it avoids the formation of speckle or spurious
fringes which arises while using narrow band light source.
PMID- 27192286
TI - Enhanced super resolution using Fresnel incoherent correlation holography with
structured illumination.
AB - The structured illumination (SI) technique has already been well established as a
resolution enhancer in many studies and well demonstrated in many optical imaging
systems during the past decade. The ability to use the SI in incoherent imaging
systems was also introduced, especially in fluorescence microscopy. In this
Letter, we propose and demonstrate a new approach to combine the SI technique
with the recently innovated motionless incoherent holographic system, called
Fresnel incoherent correlation holography (FINCH), in order to enhance the
resolution beyond the limits achieved in regular imaging with SI. The results
obtained by use of SI-FINCH were compared against regular imaging, regular FINCH
and SI-imaging.
PMID- 27192287
TI - Compact and stable temporally magnified tomography using a phase-locked broadband
source.
AB - The temporally magnified tomography system is further improved in terms of
resolution and imaging stability. We simplify the system configuration and
improve the axial resolution simultaneously by utilizing a stabilized all-fiber
broadband source. The highly stable spectrum of the source assisted by a phase
locked loop guarantees an improved imaging quality. In addition, the impact of
the repetition-rate fluctuation of the source to the system stability is
analyzed, which also applies to other temporal imaging systems. Achieving a 90
MUm in-air resolution at 89-MHz A-scan rate and improved stability, we are taking
one major step toward the practical application of this new optical tomographic
modality.
PMID- 27192288
TI - Varying polarization and spin angular momentum flux of radially polarized beams
by anisotropic Kerr media.
AB - Light fields with structured polarization distribution interacting with
structured media will result in many novel optical effects in both the linear and
nonlinear regimes. In this work, we report a theoretical investigation of both
vectorial self-diffraction behaviors and polarization evolution characteristics
of a radially polarized beam induced by anisotropic Kerr nonlinearity. By taking
the polarization-orientation dependence of the third-order refractive
nonlinearity, we study the far-field vectorial self-diffraction patterns of the
radially polarized beam using the vectorial Rayleigh-Sommerfeld formulas.
Numerical results reveal that the self-diffraction patterns with a four-fold
rotational symmetry exhibit hybrid states of polarization. Moreover, the
interaction of radially polarized beams with the anisotropic nonlinear Kerr media
leads to the redistribution of the spin angular momentum (SAM) flux in the far
field plane. The presented work opens up new avenues for varying polarization and
SAM through anisotropic optical nonlinearity.
PMID- 27192289
TI - High-repetition-rate laser ignition of fuel-air mixtures.
AB - A laser-ignition (LI) method is presented that utilizes a high-repetition-rate
(HRR) nanosecond laser to reduce minimal ignition energies of individual pulses
by ~10 times while maintaining comparable total energies. The most common LI
employs a single nanosecond-laser pulse with energies on the order of tens of
millijoules to ignite combustible gaseous mixtures. Because of the requirements
of high energy per pulse, fiber coupling of traditional LI systems is difficult
to implement in real-world systems with limited optical access. The HRR LI method
demonstrated here has an order of magnitude lower per-pulse energy requirement
than the traditional single-pulse LI technique, potentially allowing delivery
through standard commercial optical fibers. Additionally, the HRR LI approach
significantly increases the ignition probability of lean combustible mixtures in
high-speed flows while maintaining low individual pulse energies.
PMID- 27192290
TI - Non-destructive identification of twisted light.
AB - The non-destructive identification of the orbital angular momentum (OAM) is
essential to various applications in the optical information processing. Here, we
propose and demonstrate experimentally an efficient method to identify non
destructively the OAM by using a modified Mach-Zehnder interferometer. Our
schemes are applicable not only to the case with integer charges, but also to
optical vortices with noninteger charges. Our Letter presents the first
experimental demonstration of the non-destructive identification of twisted light
with integer or noninteger topological charges, which has potential applications
in the OAM-based data transmission for optical communications.
PMID- 27192291
TI - Three-dimensional grating nanowires for enhanced light trapping.
AB - We propose rationally designed 3D grating nanowires for boosting light-matter
interactions. Full-vectorial simulations show that grating nanowires sustain high
amplitude waveguide modes and induce a strong optical antenna effect, which leads
to an enhancement in nanowire absorption at specific or broadband wavelengths.
Analyses of mode profiles and scattering spectra verify that periodic shells
convert a normal plane wave into trapped waveguide modes, thus giving rise to
scattering dips. A 200 nm diameter crystalline Si nanowire with designed periodic
shells yields an enormously large current density of ~28 mA/cm2
together with an absorption efficiency exceeding unity at infrared wavelengths.
The grating nanowires studied herein will provide an extremely efficient
absorption platform for photovoltaic devices and color-sensitive photodetectors.
PMID- 27192292
TI - Waveguide-coupled surface phonon resonance sensors with super-resolution in the
mid-infrared region.
AB - A waveguide-coupled surface phonon resonance (SPhR) sensor with super-resolution
based on Fano resonance (FR) by using a multilayer system within the Kretschmann
configuration in the mid-infrared wavelength region is proposed. Due to the
coherent interference of the waveguide and the surface phonon polariton modes,
the calculated reflectivity spectrum possesses sharp asymmetric FR dips. An ultra
small linewidth is formed because of the Fano coupling, and the physical features
contribute to a highly efficient nano-sensor for refractive index sensing. The
bulk and surface sensitivity by intensities are greatly enhanced relative to
those of conventional SPhR sensors.
PMID- 27192293
TI - Suppression of backreflection error in resonator integrated optic gyro by the
phase difference traversal method.
AB - The phase difference traversal (PDT) method is proposed to suppress the
backreflection-induced error in resonator integrated optic gyro (RIOG).
Theoretical analysis shows that the backreflection-induced zero-bias fluctuation
is periodical and sine/cosine-like. By forcing the phase difference between the
CW and CCW incident light to traverse the interval [0, 2pi] repeatedly and
rapidly enough, the fluctuation can be low-pass filtered and, hence, the
backreflection-induced error can be effectively suppressed. A RIOG apparatus is
built up, with multi-wave hybrid phase modulation to traverse the phase
difference and in-phase modulation to set the operation point. A short-term bias
stability of 0.0055 deg/s and a long-term bias stability of 0.013 deg/s are
successfully demonstrated which, to the best of our knowledge, are the best
results reported to date for the buried-type silica waveguide ring resonator
based RIOG.
PMID- 27192294
TI - Highly improved reliability of amber light emitting diode with Ca -alpha-SiAlON
phosphor in glass formed by gas pressure sintering for automotive applications.
AB - Phosphor in glass (PiG) with 40 wt% of Ca-alpha-SiAlON phosphor and 60 wt% of Pb
free silicate glass was synthesized and mounted on a high-power blue LED to make
an amber LED for automotive applications. Gas pressure sintering was applied
after the conventional sintering process was used to achieve fully dense PiG
plates. Changes in photoluminescence spectra and color coordination were
inspected by varying the thickness of the plates that were mounted after optical
polishing and machining. A trade-off between luminous flux and color purity was
observed. The commercial feasibility of amber PiG packaged LED, which can satisfy
international regulations for automotive components, was successfully
demonstrated by examining the practical reliability under 85% humidity at an 85
degrees C condition.
PMID- 27192295
TI - Sagnac interferometer hydrogen sensor based on panda fiber with Pt-loaded
WO3/SiO2 coating.
AB - A highly sensitive optical fiber Sagnac interferometer hydrogen sensor is
proposed and demonstrated. The device is fabricated by inserting a segment of
panda fiber coated with Pt-loaded WO3/SiO2 into a Sagnac
interferometer loop. When Pt/WO3 film is exposed to hydrogen, the
exothermic reaction raises the temperature of the panda fiber, resulting in the
resonant wavelength shift of the interferometer, and the resonant dip obtained
has a large extinction ratio of ~25 dB and a narrow linewidth of 2.5 nm. Such a
device responds fast to hydrogen, exhibits a high sensitivity of -7.877 nm/%
(vol. %) within the range of 0%-1.0% and is robust, low cost, and easy to
fabricate.
PMID- 27192296
TI - 266 nm ultraviolet light generation in Ga-doped BaAlBO3F2
crystals.
AB - BaAlBO3F2 (BABF) crystals are a recently developed and
promising nonlinear optical material, notably for the third harmonic generation
of ultraviolet (UV) light at 355 nm. However, the fourth harmonic generation of
UV light at 266 nm has never been obtained by using a BABF crystal due to its
relatively small birefringence. We demonstrate that the birefringence of BABF can
be effectively increased by doping it with Ga3+. The fourth harmonic
generation of UV light at 266 nm was achieved for the first time in a Ga-doped
BABF crystal.
PMID- 27192297
TI - High-power continuous-wave dual-wavelength operation of a diode-pumped Yb:KGW
laser.
AB - High-power dual-wavelength diode-pumped Yb:KGW laser using a single birefringent
filter plate was demonstrated. Two oscillating wavelengths maintained the same
polarization and stable dual-wavelength operation at 1014.6 and 1041.3 nm (7.57
THz of frequency offset) with 3.4 W of average output power and a diffraction
limited beam profile was obtained. Dual-wavelength laser operation at shorter- or
longer-wavelength pairs with lower average output power could also be realized
for other output-coupling transmissions.
PMID- 27192298
TI - Ultrafast rotating dipole or propeller-shaped patterns: subwavelength shaping of
a beam of light on a femtosecond time scale.
AB - We report on a remarkable property of azimuthally (radially) polarized light
beams containing a vortex or an orbital angular momentum: upon tight focusing of
a first-order vortex beam, the subwavelength spot has a shape of an electric
(magnetic) dipole rotating at an optical frequency. For beams with a vortex of
order m, the generated pattern is propeller-shaped and rotates at a 1/m fraction
of the optical frequency. The applications include petahertz control of
electrical or optical conductance between two electrodes or waveguides of two
terminal junctions.
PMID- 27192299
TI - 110 W 1678 nm laser based on high-efficiency optical parametric interactions
pumped by high-power slab laser.
AB - This Letter presents a high-efficiency optical parametric amplifier pumped by a
high-power slab laser with approximate uniform rectangular distribution. By
optimizing the overlapping, spectrum matching, and pulse synchronization for the
pump and signal lasers, output power of 110.8 W at 1678 nm with corresponding
conversion efficiency of 32.3% was achieved in addition to sufficient usage of
the effective area in MgO doped periodically poled lithium niobate crystal. It
could also provide a designable and tunable wavelength of the amplified laser in
a wide infrared region.
PMID- 27192300
TI - Ultra-sensitive cavity ring-down spectroscopy in the mid-infrared spectral
region.
AB - We describe an ultra-sensitive cavity ring-down spectrometer which operates in
the mid-infrared spectral region near 4.5 MUm. With this instrument a noise
equivalent absorption coefficient of 2.6*10-11 cm-1
Hz-1/2 was demonstrated with less than 150 nW of optical power
incident on the photodetector. Quantum noise was observed in the individual ring
down decay events, leading to quantum-noise-limited short-time performance. We
believe that this spectrometer's combination of high sensitivity and robustness
make it well suited for measurements of ultra-trace gas species as well as
applications in optics and fundamental physics.
PMID- 27192301
TI - Phase retrieval by using the transport-of-intensity equation with Hilbert
transform.
AB - Phase recovery by solving the transport-of-intensity equation (TIE) is a non
iterative and non-interferometric phase retrieval technique. From solving the TIE
with conventional, one partial derivative and Hilbert transform methods for both
the periodic and aperiodic samples, we demonstrate that the Hilbert transform
method can provide the smoother phase images with edge enhancement and fine
structures. Furthermore, compared with the images measured by optical and atomic
force microscopy, the Hilbert transform method has the ability to quantitatively
map out the phase images for both the periodic and aperiodic structures.
PMID- 27192302
TI - Transmission of three-polarization-multiplexed 25-Gb/s DPSK signals over 300-km
fiber link.
AB - Polarization is one of the key parameters to be utilized for large capacity and
high spectral-efficient optical communication systems, especially the widely
deployed polarization-division-multiplexing (PDM) scheme. To break the limitation
of only two orthogonal polarization states that could be used for carrying data
signals over the same wavelength, we experimentally demonstrate the first
transmission of three-polarization-division-multiplexed DPSK signals at a rate up
to 3*25 Gb/s over 300-km fiber link by using a single-carrier.
PMID- 27192303
TI - Majorization applied to diffraction.
AB - We apply the statistical tools of majorization to characterize and compare the
amount of diffraction caused by different apertures, where variance cannot be
applied.
PMID- 27192304
TI - Yb:YAG single-crystal fiber amplifiers for picosecond lasers using the divided
pulse amplification technique.
AB - A two-stage master-oscillator power-amplifier (MOPA) system based on Yb:YAG
single-crystal-fiber (SCF) technology and designed for high peak power is studied
to significantly increase the pulse energy of a low-power picosecond laser. The
first SCF amplifier has been designed for high gain. Using a gain medium
optimized in terms of doping concentration and length, an optical gain of 32 dB
has been demonstrated. The second amplifier stage designed for high energy using
the divided pulse technique allows us to generate a recombined output pulse
energy of 2 mJ at 12.5 kHz with a pulse duration of 6 ps corresponding to a peak
power of 320 MW. Average powers ranging from 25 to 55 W with repetition rates
varying from 12.5 to 500 kHz have been demonstrated.
PMID- 27192305
TI - Enhancement of radiative processes in nanofibers with embedded plasmonic
nanoparticles.
AB - Efficient manipulation and long-distance transport of single photons is a key
component in nanoscale quantum optics. In this Letter, we study the emission
properties of an individual light emitter placed into a nanofiber and coupled to
a metallic nanoparticle. We find that plasmonic field enhancement together with
nanofiber optical confinement uniquely and synergistically contribute to an
overall increase of emission rates as well as quantum yields. We predict a
quantum yield enhancement up to a factor of 2.5 with respect to free space for an
averaged dipole orientation.
PMID- 27192306
TI - Optically integrated trimodality imaging system: combined all-optical
photoacoustic microscopy, optical coherence tomography, and fluorescence imaging.
AB - We have developed a trimodality imaging system by optically integrating all
optical photoacoustic microscopy (AOPAM), optical coherence tomography (OCT), and
fluorescence microscopy (FLM) to provide complementary information including
optical absorption, optical back-scattering, and fluorescence contrast of
biological tissue. By sharing the same low-coherence Michelson interferometer,
AOPAM and OCT could be organically optically combined. Also, owing to using the
same laser source and objective lens, intrinsically registered photoacoustic and
fluorescence signals are obtained in a single pulse. Simultaneously photoacoustic
angiography, tissue structure, and fluorescence molecular in vivo images of mouse
ear were acquired to demonstrate the capabilities of the optically integrated
trimodality imaging system, which can present more information to study tumor
angiogenesis, vasculature, anatomical structure, and microenvironments in vivo.
PMID- 27192307
TI - High-temperature flow field's electron number density measurement by two
wavelength moire tomography.
AB - In this Letter, a direct method is proposed to measure the electron number
density distribution for high-temperature complex flow fields. The experimental
system of two-wavelength moire tomography is established, while four key issues
are solved and well clarified. The argon arc plasma is adopted as an example for
experiment, while 532 and 808 nm are chosen as the two probe wavelengths. The
results indicate that the electron number density's distribution of the measured
argon arc plasma can be directly obtained by two-wavelength moire tomography,
which can avoid the imprecision of the indirect methods. This Letter can provide
some reference for various high-temperature and high-density gradient flow field
optical measurement and diagnosis.
PMID- 27192308
TI - Simultaneous multi-frequency topological edge modes between one-dimensional
photonic crystals.
AB - We show theoretically that, in the limit of weak dispersion, one-dimensional
binary centrosymmetric photonic crystals can support topological edge modes in
all photonic bandgaps. By analyzing their bulk band topology, these "harmonic"
topological edge modes can be designed in a way that they exist at all photonic
bandgaps opened at the center of the Brillouin zone, at all gaps opened at the
zone boundaries, or both. The results may suggest a new approach to achieve
robust multi-frequency coupled modes for applications in nonlinear photonics,
such as frequency upconversion.
PMID- 27192309
TI - Subwavelength-grating-assisted broadband polarization-independent directional
coupler.
AB - This Letter presents both numerical and experimental results of a polarization
independent directional coupler based on slot waveguides with a subwavelength
grating. The measured coupling efficiency is 97.4% for TE and 96.7% for TM
polarization at a wavelength of 1550 nm. Further analysis shows that the proposed
subwavelength grating directional coupler has a fabrication tolerance of +/-20
nm for the grating structure and that the coupling efficiencies for the two
polarizations are both higher than -0.5 dB (~89%), exceeding the entire C-band
(1525-1570 nm) experimentally.
PMID- 27192310
TI - Trapping and manipulating nanoparticles in photonic nanojets.
AB - A novel optical manipulation system based on photonic nanojets (PNJs) is
numerically investigated based on the finite element method. It is found that
nanoscale particles can be trapped stably in a standing-wave PNJ generated by the
constructive interference between two coherent PNJs. In particular, we show that
the elongated standing-wave PNJs generated by using two-layer microcylinders or
microspheres can provide larger manipulation platforms and stronger optical
forces. To assess the trapping stability of the particle under the Brownian
motion in the elongated PNJ, the relationship between the stability number and
the particle size is studied. The simulation results show that the proposed
elongated standing-wave PNJs can provide the stable and tunable manipulation for
dielectric nanoparticles that are smaller than 100 nm.
PMID- 27192311
TI - Pushing phase and amplitude sensitivity limits in interferometric microscopy.
AB - Sensitivity of the amplitude and phase measurements in interferometric microscopy
is influenced by factors such as instrument design and environmental
interferences. Through development of a theoretical framework followed by
experimental validation, we show photon shot noise is often the limiting factor
in interferometric microscopy measurements. Thereafter, we demonstrate how a
state-of-the-art camera with million-level electrons full well capacity can
significantly reduce shot noise contribution resulting in a stability of optical
path length down to a few picometers even in a near-common-path interferometer.
PMID- 27192312
TI - Sum frequency generation of UV laser radiation at 266 nm in LBO crystal.
AB - We report experimental results of generation at 266 nm in LBO crystal by
frequency mixing of the fundamental (1064 nm) and third harmonic (355 nm) of
ytterbium pulsed fiber laser radiation. Deep ultraviolet (DUV) output power of
3.3 W at 266 nm was achieved with 14% IR-to-DUV conversion efficiency. UV-induced
bulk degradation of LBO crystals was observed and visualized by the dark field
method.
PMID- 27192313
TI - Optically pumped 1.3 MUm room-temperature InAs quantum-dot micro-disk lasers
directly grown on (001) silicon.
AB - Direct integration of high-performance laser diodes on silicon will dramatically
transform the world of photonics, expediting the progress toward low-cost and
compact photonic integrated circuits (PICs) on the mainstream silicon platform.
Here, we report, to the best of our knowledge, the first 1.3 MUm room-temperature
continuous-wave InAs quantum-dot micro-disk lasers epitaxially grown on
industrial-compatible Si (001) substrates without offcut. The lasing threshold is
as low as hundreds of microwatts, similar to the thresholds of identical lasers
grown on a GaAs substrate. The heteroepitaxial structure employed here does not
require the use of an absorptive germanium buffer and/or dislocation filter
layers, both of which impede the efficient coupling of light from the laser
active regions to silicon waveguides. This allows for full compatibility with the
extensive silicon-on-insulator (SOI) technology. The large-area virtual GaAs (on
Si) substrates can be directly adopted in various mature in-plane laser
configurations, both optically and electrically. Thus, this demonstration
represents a major advancement toward the commercial success of fully integrated
silicon photonics.
PMID- 27192314
TI - Spontaneous generation of singularities in paraxial optical fields.
AB - In nonrelativistic quantum mechanics, the spontaneous generation of singularities
in smooth and finite wave functions is a well understood phenomenon also
occurring for free particles. We use the familiar analogy between the two
dimensional Schrodinger equation and the optical paraxial wave equation to define
a new class of square-integrable paraxial optical fields that develop a spatial
singularity in the focal point of a weakly focusing thin lens. These fields are
characterized by a single real parameter whose value determines the nature of the
singularity. This novel field enhancement mechanism may stimulate fruitful
research for diverse technological and scientific applications.
PMID- 27192315
TI - Current sensing using circularly birefringent twisted solid-core photonic crystal
fiber.
AB - Continuously twisted solid-core photonic crystal fiber (PCF) exhibits pure
circular birefringence (optical activity), making it ideal for current sensors
based on the Faraday effect. By numerical analysis, we identify the PCF geometry
for which the circular birefringence (which scales linearly with twist rate) is a
maximum. For silica-air PCF, this occurs at a shape parameter (diameter-to
spacing ratio of the hollow channels) of 0.37 and a scale parameter (spacing-to
wavelength) of 1.51. This result is confirmed experimentally by testing a range
of different structures. To demonstrate the effectiveness of twisted PCF as a
current sensor, a length of fiber is placed on the axis of a 7.6 cm long
solenoid, and the Faraday rotation is measured at different values of dc current.
The system is then used to chart the wavelength dependence of the Verdet
constant.
PMID- 27192316
TI - Versatile and widely tunable mid-infrared erbium doped ZBLAN fiber laser.
AB - We report on a long wavelength emitting rare earth doped fiber laser with the
emission centered at 3.5 MUm and tunable across 450 nm. The longest wavelength
emission was 3.78 MUm which is the longest emission from a fiber laser operating
at room temperature. In a simple optical arrangement employing dielectric mirrors
for feedback, the laser was capable of emitting 1.45 W of near diffraction
limited output power at 3.47 MUm. These emission characteristics complement the
emissions from quantum cascade lasers and demonstrate how all infrared dual
wavelength pumping can be used to access high lying rare earth ion transitions
that have previously relied on visible wavelength pumping.
PMID- 27192317
TI - Novel thin-disk oscillator concept for the generation of radially polarized
femtosecond laser pulses.
AB - We report on the first demonstration of a radially polarized passively mode
locked thin-disk oscillator. Radial polarization was achieved by the use of a
novel circular grating waveguide output coupler. We showed mode-locked operation
up to a maximum average output power of 13.3 W with an optical efficiency of
21.8%. The degree of radial polarization of the emitted beam was measured to be
97+/-1%. The laser system generated pulses with a duration of 907 fs and an
energy of 316 nJ corresponding to a peak power of 0.35 MW. To the best of our
knowledge, these values exceed the performance of previously reported radially
polarized mode-locked oscillator systems.
PMID- 27192318
TI - Focusing surface plasmon polaritons and detecting Stokes parameters utilizing
nanoslits distributed plasmonic lenses.
AB - A method to detect the full Stokes parameters utilizing a double-ring and
Archimedes-curves distributed nanoslits plasmonic lenses is proposed. We
demonstrate theoretically and numerically that both of these two plasmonic lenses
can focus surface plasmon polaritons to centrally symmetric fields with
subwavelength-sized focal spots under linear, elliptical, and circular
polarization incidence. The intensity at the focal spots is modulated by the
polarization state of incident light. Utilizing this intensity polarization
sensitivity, the full Stokes parameters of incident light are detected by
recoding only four intensities at the focal spots of these two plasmonic lenses.
PMID- 27192319
TI - Measuring chromatic aberrations in imaging systems using plasmonic nanoparticles.
AB - We demonstrate a method to measure chromatic aberrations of microscope objectives
with metallic nanoparticles using white light. Extinction spectra are recorded
while scanning a single nanoparticle through a lens's focal plane. We show a
direct correlation between the focal wavelength and the longitudinal chromatic
focal shift through our analysis of the variations between the scanned extinction
spectra at each scan position and the peak extinction over the entire scan. The
method has been tested on achromat and apochromat objectives using aluminum disks
varying in size from 260-520 nm. Our method is straightforward, robust, low cost,
and broadband with a sensitivity suitable for assessing longitudinal chromatic
aberrations in high-numerical-aperture apochromatic corrected lenses.
PMID- 27192320
TI - Reflection-induced linear polarization rotation and phase modulation between
orthogonal waves for refractive index variation measurement.
AB - An optical phase interrogation is proposed to study reflection-induced linear
polarization rotation in a common-path homodyne interferometer. This optical
methodology can also be applied to the measurement of the refractive index
variation of a liquid solution. The performance of the refractive index sensing
structure is discussed theoretically, and the experimental results demonstrated a
very good ability based on the proposed schemes. Compared with a conventional
common-path heterodyne interferometer, the proposed homodyne interferometer with
only a single channel reduced the usage of optic elements.
PMID- 27192321
TI - Synthesis of Polysubstituted Benzoic Esters from 1,2-Dihydropyridines and Its
Application to the Synthesis of Fluorenones.
AB - A convenient, instrumentally simple, and efficient methodology to transform 1,2
dihydropyridines into benzoic esters is described. The generated multisubstituted
benzoic esters feature different topologies spanning from simple aromatic rings
to fused benzocycloalkane systems. As an extension of this methodology, these
benzoic esters are efficiently transformed into an array of fluorenone frameworks
featuring interesting and novel topological patterns.
PMID- 27192322
TI - Drug therapies for HIV-related metabolic disorders.
AB - INTRODUCTION: Human immunodeficiency virus (HIV) has become a chronic disease
often associated with dyslipidaemia and insulin resistance. Combination
antiretroviral therapy (cART) may contribute to metabolic disturbances,
eventually leading to increased cardiovascular disease (CVR) in this population.
Escalating interventions to decrease CVR include promoting a healthy lifestyle,
such as quitting smoking, diet and regular exercise. If they do not achieve the
goals, a change of cART should be considered, followed by or used concomitantly
with the use of chemical therapies. AREAS COVERED: The aim of this article is to
review the available drug therapies for the treatment of metabolic disorders in
HIV-infected patients and to examine their safety and effectiveness in this
population. A review of the literature was conducted, highlighting the most
relevant articles. EXPERT OPINION: Switching strategies can be useful but its
expected benefit is not high. Therefore, chemical intervention is often needed.
Statins have been proven to reduce CVR in the general population and in HIV
infected patients. Simvastatin is contraindicated in patients treated with
boosted PI due to interactions; atorvastatin is safe at submaximal dose and needs
close monitoring, while pravastatin lacks lipid-lowering potency, and
rosuvastatin and pitavastatin are safe. Ezetimibe and fibrates are also safe and
effective in HIV-infected patients and can be used in combination with statins.
The management of glucose homeostatic disorders in HIV-infected patients follows
the same guidelines as in the general population. However, there are specific
considerations with respect to the interactions of particular medications with
cART. When drug therapy is needed, metformin is the first-line drug. Decisions
regarding second- and third-line drugs should be carefully individualized.
PMID- 27192324
TI - Size-Selective Nanoparticle Assembly on Substrates by DNA Density Patterning.
AB - The vision of nanoscale self-assembly research is the programmable synthesis of
macroscale structures with controlled long and short-range order that exhibit a
desired set of properties and functionality. However, strategies to reliably
isolate and manipulate the nanoscale building blocks based on their size, shape,
or chemistry are still in their infancy. Among the promising candidates, DNA
mediated self-assembly has enabled the programmable assembly of nanoparticles
into complex architectures. In particular, two-dimensional assembly on substrates
has potential for the development of integrated functional devices and analytical
systems. Here, we combine the high-resolution patterning capabilities afforded by
electron-beam lithography with the DNA-mediated assembly process to enable direct
write grayscale DNA density patterning. This method allows modulation of the
functionally active DNA surface density to control the thermodynamics of
interactions between nanoparticles and the substrate. We demonstrate that size
selective directed assembly of nanoparticle films from solutions containing a
bimodal distribution of particles can be realized by exploiting the cooperativity
of DNA binding in this system. To support this result, we study the temperature
dependence of nanoparticle assembly, analyze the DNA damage by X-ray
photoelectron spectroscopy and fluorescence microscopy, and employ molecular
dynamics simulations to explore the size-selection behavior.
PMID- 27192325
TI - Electron Transfer Facilitated by Dendrimer-Encapsulated Pt Nanoparticles Across
Ultrathin, Insulating Oxide Films.
AB - Electrocatalytic oxygen reduction at carbon electrodes fully passivated by Al2O3
is reported. Specifically, pyrolyzed polymer film (PPF) electrodes were prepared
and then coated with pinhole-free Al2O3 layers ranging in thickness from 2.5 to
5.7 nm. All of these ultrathin oxide film thicknesses completely passivated the
PPF electrodes, resulting in no faradaic current for either inner-sphere or outer
sphere electrochemical reactions. The electrodes could, however, be reactivated
by immobilizing Pt dendrimer-encapsulated nanoparticles (DENs), containing an
average of 55 atoms each, on the oxide surface. These PPF/Al2O3/Pt DEN electrodes
were completely stable under a variety of electrochemical and solution
conditions, and they are active for simple electron-transfer reactions and for
more complex electrocatalytic processes. This approach for preparing well-defined
oxide electrodes opens the door to a better understanding of the effect of oxide
supports on reactions electrocatalyzed by metal nanoparticles.
PMID- 27192323
TI - Ratiometric Tension Probes for Mapping Receptor Forces and Clustering at
Intermembrane Junctions.
AB - Short-range communication between cells is required for the survival of
multicellular organisms. One mechanism of chemical signaling between adjacent
cells employs surface displayed ligands and receptors that only bind when two
cells make physical contact. Ligand-receptor complexes that form at the cell-cell
junction and physically bridge two cells likely experience mechanical forces. A
fundamental challenge in this area pertains to mapping the mechanical forces
experienced by ligand-receptor complexes within such a fluid intermembrane
junction. Herein, we describe the development of ratiometric tension probes for
direct imaging of receptor tension, clustering, and lateral transport within a
model cell-cell junction. These probes employ two fluorescent reporters that
quantify both the ligand density and the ligand tension and thus generate a
tension signal independent of clustering. As a proof-of-concept, we applied the
ratiometric tension probes to map the forces experienced by the T-cell receptor
(TCR) during activation and showed the first direct evidence that the TCR-ligand
complex experiences sustained pN forces within a fluid membrane junction. We
envision that the ratiometric tension probes will be broadly useful for
investigating mechanotransduction in juxtacrine signaling pathways.
PMID- 27192326
TI - Virulence and toxigenicity of coagulase-negative staphylococci in Nigerian
traditional fermented foods.
AB - The incidence of coagulase-negative staphylococci (CoNS) may render food unsafe,
as the clinical isolates have been reported to exude virulent traits. A total of
255 CoNS isolates from 6 traditional fermented foods (nono, kunu, wara, iru, ogi,
and kindirmo) from North Central Nigeria, identified as Staphylococcus
epidermidis, Staphylococcus simulans, Staphylococcus xylosus, Staphylococcus
kloosii, and Staphylococcus caprae, were investigated for virulence traits. The
strains were examined for biofilm formation and production of hyaluronidase,
DNase, TNase, haemolysins, and superantigenic toxins (SEA, SEB, SEC, SED, and
TSST-1) using standard and genotypic methods. The analysis of virulence factors
revealed the production of slime in 200 isolates (78.4%); alpha-haemolysin in 136
(53.3%); beta-haemolysin in 43 (16.9%); DNase in 199 (78.0%); TNase in 29
(11.4%); hyaluronidase in 125 (49.0%); TSST-1 in 119 (46.7%); and enterotoxin
producing isolates SEA, SEB, SEC, and SED in 61 (23.9%), 19 (7.5%), 9 (3.5%), and
8 (3.1%), respectively. PCR analysis detected tsst-1, sea, seb, and sec genes.
The ability of these microorganisms to exhibit virulence evokes the potential to
cause disease especially under determinate conditions or in immune-compromised
patients. The occurrence of CoNS in food should not be ignored nor their
pathogenic potential considered as insignificant, rather safety measures should
be taken to reduce or totally eliminate their occurrence in foods.
PMID- 27192328
TI - Mercury in dental amalgam: Are our health care workers at risk?
AB - : Dental amalgam in fillings exposes workers to mercury. The exposure to mercury
was investigated among 1871 dental health care workers. The aim of the study was
to evaluate the risk of mercury exposure among dental compared to nondental
health care workers and to determine other risk factors for mercury exposure.
Respondents answered questionnaires to obtain demographic, personal,
professional, and workplace information and were examined for their own amalgam
fillings. Chronic mercury exposure was assessed through urinary mercury levels.
In total, 1409 dental and 462 nondental health care workers participated in the
study. Median urine mercury levels for dental and nondental health care workers
were 2.75 MUg/L (interquartile range [IQR] = 3.0175) and 2.66 MUg/L (IQR = 3.04)
respectively. For mercury exposure, there were no significant risk factor found
among the workers involved within the dental care. The Mann-Whitney test showed
that urine mercury levels were significantly different between respondents who
eat seafood more than 5 times per week compared to those who eat it less
frequently or not at all (p = 0.003). The urinary mercury levels indicated
significant difference between dental workers in their practice using squeeze
cloths (Mann-Whitney test, p = 0.03). Multiple logistic regression showed that
only the usage of cosmetic products that might contain mercury was found to be
significantly associated with the urinary mercury levels (odds ratio [OR] =
15.237; CI: 3.612-64.276). Therefore, mean urinary mercury levels of health care
workers were low. Exposure to dental amalgam is not associated with high mercury
exposure. However, usage of cosmetic products containing mercury and high seafood
consumption may lead to the increase of exposure to mercury. IMPLICATIONS:
Exposure to the high levels of mercury from dental amalgam can lead to serious
health effects among the dental health care workers. Nationwide chronic mercury
exposure among dental personnel was assessed through urinary mercury levels.
Findings suggest low urinary mercury levels of these health care workers.
Exposure to dental amalgam is not associated with high mercury exposure. However,
the usage of cosmetic products containing mercury and high seafood consumption
may lead to the increase of exposure to mercury.
PMID- 27192329
TI - Chromosome Mapping of 18S Ribosomal RNA Genes in Eleven Hypostomus Species
(Siluriformes, Loricariidae): Diversity Analysis of the Sites.
AB - We investigated the chromosomal distribution of 18S ribosomal DNA (rDNA) in
different populations of 11 species of Hypostomus collected in important
Brazilian basins, namely South Atlantic, Upper Parana, and Paraguay applying the
fluorescence in situ hybridization (FISH). Hypostomus cochliodon, Hypostomus
commersoni, Hypostomus hermanni, Hypostomus regani, Hypostomus albopunctatus,
Hypostomus paulinus, Hypostomus aff. paulinus, Hypostomus iheringii, and
Hypostomus mutucae presented multiple 18S rDNA sites while Hypostomus
strigaticeps and Hypostomus nigromaculatus exhibited a single pair of chromosomes
with 18S rDNA sites. The studied species presented variations in the number and
position of these sites. The results accomplished were similar to those obtained
by the analysis of AgNORs, revealing the same interspecific variability. Each
species exhibited distinctive patterns of AgNOR and 18S rDNA distribution, which
can be considered cytogenetic markers in each species of the genus and help
improve the discussions on the phylogeny of the group.
PMID- 27192333
TI - Doctor to Doctor.
PMID- 27192331
TI - Students' knowledge of, and attitudes towards biotechnology revisited, 1995-2014:
Changes in agriculture biotechnology but not in medical biotechnology.
AB - Modern biotechnology is one of the most important scientific and technological
revolutions in the 21st century, with an increasing and measurable impact on
society. Development of biotechnology curriculum has become important to high
school bioscience classrooms. This study has monitored high school students in
Taiwan on their knowledge of and attitudes towards biotechnology for nearly two
decades. Not surprisingly, knowledge of biotechnology of current students has
increased significantly (p < 0.001) and most students have learned some
definitions and examples of biotechnology. There was a positive correlation
between biotechnology knowledge and attitudes toward biotechnology for current
students who study Advanced Biology (AB). However, for current students who did
not study AB, there was a negative correlation.The attitude results showed that
students today expressed less favorable opinions toward agricultural
biotechnology (p < 0.001) despite studying AB or not. However, there is no
significant difference between students today and 18 years ago in opinions
towards medical biotechnology. In addition, current students showed a greater
concern involving environmental risks than former students. Interestingly, the
high school curriculum did affect students' attitudes toward genetically
engineered (GE) plants but not GE animals. Our current study also found that the
students' attitude towards GE animals was influenced more by their limited
knowledge than by their moral belief. On the basis of findings from this study,
we suggest that more materials of emerging animal biotechnology should be
included in high school curriculum and recommend that high school teachers and
university faculty establish a collaborative framework in the near future. (c)
2016 by The International Union of Biochemistry and Molecular Biology, 44(5):475
491, 2016.
PMID- 27192330
TI - Gender differences in treatment and clinical characteristics among patients
receiving extended release naltrexone.
AB - Further research is needed to investigate real-world acceptability of extended
release naltrexone for alcohol and opioid use disorders, and potential gender
differences. This study examines treatment and clinical characteristics among men
and women receiving extended-release naltrexone in a large, publicly funded
substance use disorder treatment system (N = 465; 52% female). Patient
demographics, treatment characteristics, and the number of extended-release
naltrexone doses received were collected from administrative data and treatment
program staff. Additionally, patients provided information on experiences with
extended-release naltrexone in an open-ended format at 1, 2, and 3 weeks
following their first injection. For a subsample of patients (N = 220),
alcohol/opioid cravings and specific adverse effects were also assessed. Compared
to men, women reported experiencing a higher rate and mean number of adverse
effects. Overall, craving scores showed substantial reductions over time.
However, among patients taking extended-release naltrexone for alcohol use, women
showed a significantly greater reduction in craving scores compared to men. No
gender differences were observed in the number of extended-release naltrexone
doses received. Although women may have a greater need for additional support in
managing early adverse effects, extended-release naltrexone as an adjunct to
psychosocial treatment may be an acceptable and promising treatment approach for
both men and women, and particularly for women prescribed extended-release
naltrexone for alcohol use. This study contributes further information on
patients' experiences during the early course of extended-release naltrexone
treatment in real-world settings. Understanding these experiences may assist
policy makers and treatment providers in addressing challenges of implementing
this treatment into wider practice.
PMID- 27192335
TI - Readers' Forum.
PMID- 27192334
TI - The Medical Bookshelf.
PMID- 27192337
TI - Pearls.
PMID- 27192336
TI - My Little Red Book.
PMID- 27192338
TI - Interstate Postgraduate Medicine Association: Scottsdale Seminar.
PMID- 27192339
TI - cme credit QUIZ.
PMID- 27192340
TI - patient notes index.
AB - Patient Notes are indexed alphabetically and by subject. The number immediately
following the title is the number of the Patient Note, and the number in
parentheses is the date of publication. This index includes all 82 Patient Notes
published so far, from February 1, 1988, through this issue.
PMID- 27192342
TI - Yo-yo dieting.
PMID- 27192343
TI - Influence of Dosage and Type of Music Therapy in Symptom Management and
Rehabilitation for Individuals with Schizophrenia.
AB - The purpose of this systematic review was to investigate the influence of dosage,
type (active, receptive, or combined), and format (individual or group) of music
therapy for individuals with schizophrenia. With the terms "music*" and
"schizophreni*," six research databases were searched: CINAHL, EMBASE, Music
Index, PsycInfo, Pubmed, and RILM. The search was limited to studies written in
English, peer-reviewed, and published between 1991 and 2015. Seventeen articles
met the stated criteria. Dosage of music therapy ranged from 20 to 9,720 minutes.
Three types of music therapy were delivered: active, receptive, or combined, and
therapy was implemented via individual or group format. Depending on the dosage,
type, and format, music therapy improved psychotic symptom management, depression
and anxiety management, social and cognitive functioning, behavior, and quality
of life of the participants. Dosage had a greater impact on the effects of music
therapy compared to type and format. Studies that implemented a combination of
active and receptive music therapy were more likely to produce significant
improvements in outcomes compared to the studies that implemented the other types
of music therapy. However, studies using combined type provided higher dosage of
the intervention (e.g., more minutes of intervention exposure). This systematic
review can be used to guide future research on and clinical applications for
music therapy in this population. Future studies might also investigate the
interaction of demographic characteristics or severity of illness with dosage and
type on effects of music therapy.
PMID- 27192346
TI - Contrasting photophysical properties of rhenium(i) tricarbonyl complexes having
carbazole groups attached to the polypyridine ligand.
AB - In the present work, new rhenium(i) polypyridyl compounds, fac
[Re(L)(CO)3(cbz2phen)](+/0) where cbz2phen = 4,7-di(9H-carbazol-9-yl)-1,10
phenanthroline and L = Cl(-), pyridine (py) or 2-aminomethylpyridine (ampy) were
synthesized and characterized by (1)H NMR, UV-Vis and IR spectroscopy combined
with theoretical calculations using time-dependent density functional theory (TD
DFT). Their photophysical properties were investigated by steady state and time
resolved emission spectroscopy. These compounds show a strong and broad
absorption band around 350-500 nm that, also by TD-DFT, corresponds to the
carbazol -> phenanthroline intraligand charge transfer transition,
(1)ILCTcbz2phen, with some contribution of the Re(i) -> phenanthroline metal-to
ligand charge transfer transition, (1)MLCTRe->cbz2phen. In contrast to typical
Re(i) polypyridyl complexes, cbz2phen-based Re(i) compounds exhibit two emission
maxima in CH3CN solution and relatively low emission quantum yields, 10(-3)-10(
2). Solution phase time-resolved photoluminescence and excited state quenching
experiments provided meaningful information on the presence of multiple emitter
states after light excitation, which were identified as an (1)ILCTcbz2phen
excited state deactivation at higher energies and a long-lived phosphorescence
attributed to the (3)MLCTRe->cbz2phen excited state. When embedded into a PMMA
matrix, the radiative decay from the singlet state is inhibited and the
contribution of both (3)MLCT and (3)ILCTcbz2phen to the luminescence is observed.
The photophysics of these Re(i) compounds reported herein provide new insights
into the understanding of substitutional groups on the polypyridyl ligands that
are relevant to practical and fundamental development of photo-induced molecular
devices.
PMID- 27192347
TI - Impact of a Risk Calculator on Risk Perception and Surgical Decision Making: A
Randomized Trial.
AB - OBJECTIVE: The aim of this study was to determine whether exposure to data from a
risk calculator influences surgeons' assessments of risk and in turn, their
decisions to operate. BACKGROUND: Little is known about how risk calculators
inform clinical judgment and decision-making. METHODS: We asked a national sample
of surgeons to assess the risks (probability of serious complications or death)
and benefits (recovery) of operative and nonoperative management and to rate
their likelihood of recommending an operation (5-point scale) for 4 detailed
clinical vignettes wherein the best treatment strategy was uncertain. Surgeons
were randomized to the clinical vignettes alone (control group; n = 384) or
supplemented by data from a risk calculator (risk calculator group; n = 395). We
compared surgeons' judgments and decisions between the groups. RESULTS: Surgeons
exposed to the risk calculator judged levels of operative risk that more closely
approximated the risk calculator value (RCV) compared with surgeons in the
control group [mesenteric ischemia: 43.7% vs 64.6%, P < 0.001 (RCV = 25%);
gastrointestinal bleed: 47.7% vs 53.4%, P < 0.001 (RCV = 38%); small bowel
obstruction: 13.6% vs 17.5%, P < 0.001 (RCV = 14%); appendicitis: 13.4% vs 24.4%,
P < 0.001 (RCV = 5%)]. Surgeons exposed to the risk calculator also varied less
in their assessment of operative risk (standard deviations: mesenteric ischemia
20.2% vs 23.2%, P = 0.01; gastrointestinal bleed 17.4% vs 24.1%, P < 0.001; small
bowel obstruction 10.6% vs 14.9%, P < 0.001; appendicitis 15.2% vs 21.8%, P <
0.001). However, averaged across the 4 vignettes, the 2 groups did not differ in
their reported likelihood of recommending an operation (mean 3.7 vs 3.7, P =
0.76). CONCLUSIONS: Exposure to risk calculator data leads to less varied and
more accurate judgments of operative risk among surgeons, and thus may help
inform discussions of treatment options between surgeons and patients.
Interestingly, it did not alter their reported likelihood of recommending an
operation.
PMID- 27192348
TI - Surgeon Perception of Risk and Benefit in the Decision to Operate.
AB - OBJECTIVE: To determine how surgeons' perceptions of treatment risks and benefits
influence their decisions to operate. BACKGROUND: Little is known about what
makes one surgeon choose to operate on a patient and another chooses not to
operate. METHODS: Using an online study, we presented a national sample of
surgeons (N = 767) with four detailed clinical vignettes (mesenteric ischemia,
gastrointestinal bleed, bowel obstruction, appendicitis) where the best treatment
option was uncertain and asked them to: (1) judge the risks (probability of
serious complications) and benefits (probability of recovery) for operative and
nonoperative management and (2) decide whether or not they would recommend an
operation. RESULTS: Across all clinical vignettes, surgeons varied markedly in
both their assessments of the risks and benefits of operative and nonoperative
management (narrowest range 4%-100% for all four predictions across vignettes)
and in their decisions to operate (49%-85%). Surgeons were less likely to operate
as their perceptions of operative risk increased [absolute difference (AD) =
29.6% from 1.0 standard deviation below to 1.0 standard deviation above mean (95%
confidence interval, CI: -31.6, -23.8)] and their perceptions of nonoperative
benefit increased [AD = -32.6% (95% CI: -32.8,--28.9)]. Surgeons were more likely
to operate as their perceptions of operative benefit increased [AD = 18.7% (95%
CI: 12.6, 21.5)] and their perceptions of nonoperative risk increased [AD = 32.7%
(95% CI: 28.7, 34.0)]. Differences in risk/benefit perceptions explained 39% of
the observed variation in decisions to operate across the four vignettes.
CONCLUSIONS: Given the same clinical scenarios, surgeons' perceptions of
treatment risks and benefits vary and are highly predictive of their decisions to
operate.
PMID- 27192349
TI - Dual-graft Adult Living Donor Liver Transplantation: An Innovative Surgical
Procedure for Live Liver Donor Pool Expansion.
AB - OBJECTIVE: To detail the surgical technique and outcomes of dual-graft (DG) adult
living donor liver transplantation (ALDLT). BACKGROUND: DG ALDLT has a great
potential for expanding the living donor pool without increasing donor risk.
However, little is known about DG ALDLT because it has been performed by a
limited number of institutions due to its technical complexity. METHODS: The
clinical data of patients who underwent DG ALDLT at a single institute between
March 2000 and December 2014 were retrospectively reviewed. RESULTS: In total,
400 DG ALDLTs, which accounted for 11.7% of all ALDLTs (n = 3387), were performed
at our institute. The 1, 5, and 10-year patient survival rates of DG ALDLT were
89.2%, 85.5%, and 80.2%, respectively. In a propensity-matched cohort, there were
no significant differences in the survival outcomes between DG and single-graft
ALDLT (P = 0.163). Donor age, body mass index, and steatosis were significantly
higher in the DG group. Additionally, the male predominance in the sex ratio of
donors was lower in the DG group. In the DG group, the mean operative time was
longer (18.7 vs 13.9 h; P < 0.001) and there was greater requirement for
intraoperative transfusion of red blood cells (18.2 vs 11.4 units; P < 0.001).
Additionally, the surgical complication rate per patient was significantly higher
(53.7 vs 28.5%; P < 0.001). CONCLUSIONS: DG ALDLT enables us to achieve an
acceptable survival outcome with 2 suboptimal grafts. However, technical
complexity and longer operative time limit is its drawback.
PMID- 27192350
TI - Investigating Adverse Event Free Admissions in Medicare Inpatients as a Patient
Safety Indicator.
AB - OBJECTIVE: To investigate adverse event free admissions as a potential, patient
centered indicator aligned directly with the goal of patient safety-freedom from
harm. BACKGROUND: Preventable adverse event rates in healthcare could be further
reduced. These are generally measured separately, one adverse event at a time.
However, this does not reveal whether different patients are affected or the same
patients are experiencing multiple events. METHODS: We examined Medicare
inpatient hospital administrative datasets for 2009 to 2011, processed using
standard criteria. Events were (i) death within 30 days, (ii) unplanned
readmissions within 30 days, (iii) long length of stay, (iv) healthcare acquired
infections, and (v) established patient safety indicators not present on
admission. We defined adverse event free admissions as those without record of
any of these events. National rates were calculated by diagnosis group. Risk
adjusted hospital-specific rates of adverse event free admissions were calculated
using colorectal procedures as an example. RESULTS: There were 23,991,193
admissions after exclusions. Approximately, 64% went through the acute inpatient
Medicare system without record of anything untoward. Multiple events were
recorded in 22.7% admissions; 15% of these experienced more than 2 adverse
events. Risk-adjusted hospital-specific rates of adverse event free admissions
for colorectal procedures showed 131 out of 3786 hospitals below the 99.8% lower
control limit of the national upper quartile. CONCLUSIONS: Overall, only 60% of
admissions were recorded as adverse event free. Multiple adverse events were
common. Even if events are under recorded, this measure could provide an easily
understandable and useful baseline for clinicians and managers.
PMID- 27192351
TI - An 18-Year Nationwide Cohort Study on The Association Between Diverticulitis and
Colon Cancer.
AB - OBJECTIVE: To investigate the association between diverticulitis and colon cancer
in a large, nationwide cohort study. BACKGROUND: Diverticulitis is a common
disease, especially in the Western world. Previous articles have investigated the
association between diverticulitis and colon cancer with inconclusive results.
METHODS: We conducted a population-based cohort study based on longitudinal
Danish national registers with data from the period 1995 to 2012. Data were
extracted from comprehensive Danish national registers containing information
from both public and private hospitals. Patients with diverticulitis were
identified from the registers and matched by sex and age (+/- 1 year) with a
ratio of 1:10 to people who did not have a registration of diverticulitis or
diverticulosis. Main outcome was the event of colon cancer. Subgroup analyses
were performed to investigate the effect of colonoscopies and treatment on the
colon cancer rate after diverticulitis. RESULTS: A total of 445,456 people were
included, of whom 40,496 had a diagnosis of diverticulitis. The incidence of
colon cancer in the group with diverticulitis (4.3%) and the group without
diverticulitis (2.3%) differed significantly (P < 0.001) with an incidence rate
ratio of 1.86 (95% confidence interval, CI, 1.77-1.96). When adjusted for
possible confounders, the association between diverticulitis and cancer remained
significant with an odds ratio (OR) of 2.20 (95% CI 2.08-2.32) (P < 0.001). Those
with diverticulitis, who had no colonoscopy, had an increased risk of colon
cancer compared with those without both diverticulitis and colonoscopy with an OR
of 2.72 (95% CI 2.64-2.94) (P < 0.001). CONCLUSIONS: We found a strong
association between development of diverticulitis and colon cancer. This raises
several questions regarding the possible causal association and warrants further
studies. Patients with diverticulitis should undergo endoscopic surveillance for
colon cancer.
PMID- 27192353
TI - Secondary Structures of Ubiquitin Ions Soft-Landed onto Self-Assembled Monolayer
Surfaces.
AB - The secondary structures of multiply charged ubiquitin ions soft-landed onto self
assembled monolayer (SAM) surfaces were studied using in situ infrared reflection
absorption spectroscopy (IRRAS). Two charge states of ubiquitin, 5+ and 13+, were
mass selected separately from a mixture of different charge states produced by
electrospray ionization (ESI). The low 5+ charge state represents a nativelike
folded state of ubiquitin, while the high 13+ charge state assumes an extended,
almost linear conformation. Each of the two charge states was soft-landed onto a
CH3- and COOH-terminated SAM of alkanethiols on gold (HSAM and COOH-SAM). HSAM is
a hydrophobic surface known to stabilize helical conformations of soft-landed
protonated peptides, whereas COOH-SAM is a hydrophilic surface that
preferentially stabilizes beta-sheet conformations. IRRAS spectra of the soft
landed ubiquitin ions were acquired as a function of time during and after ion
soft-landing. Similar to smaller peptide ions, helical conformations of ubiquitin
are found to be more abundant on HSAM, while the relative abundance of beta-sheet
conformations increases on COOH-SAM. The initial charge state of ubiquitin also
has a pronounced effect on its conformation on the surface. Specifically, on both
surfaces, a higher relative abundance of helical conformations and a lower
relative abundance of beta-sheet conformations are observed for the 13+ charge
state compared to the 5+ charge state. Time-resolved experiments indicate that
the alpha-helical band in the spectrum of the 13+ charge state slowly increases
with time on the HSAM surface and decreases in the spectrum of the 13+ charge
state on COOH-SAM. These results further support the preference of the
hydrophobic HSAM surface toward helical conformations and demonstrate that soft
landed protein ions may undergo slow conformational changes during and after
deposition.
PMID- 27192352
TI - Economic Impact of Routine Cavity Margins Versus Standard Partial Mastectomy in
Breast Cancer Patients: Results of a Randomized Controlled Trial.
AB - OBJECTIVE: The aim of the study was to compare costs associated with excision of
routine cavity shave margins (CSM) versus standard partial mastectomy (PM) in
patients with breast cancer. BACKGROUND: Excision of CSM reduces re-excision
rates by more than 50%. The economic implications of this is, however, unclear.
METHODS: Between October 21, 2011 and November 25, 2013, 235 women undergoing PM
for Stage 0-III breast cancer were randomized to undergo either standard PM ("no
shave", n = 116) or have additional CSM taken ("shave", n = 119). Costs from both
a payer and a hospital perspective were measured for index surgery and breast
cancer surgery-related care through subsequent 90 days. RESULTS: The 2 groups
were well-matched in terms of baseline characteristics. Those in the "shave"
group had a longer operative time at the initial surgery (median 76 vs 66 min, P
< 0.01), but a lower re-excision rate for positive margins (13/119 = 10.9% vs
32/116 = 27.6%, P < 0.01). Actual direct hospital costs associated with operating
room time ($1315 vs. $1137, P = 0.03) and pathology costs ($1195 vs $795, P <
0.01) were greater for the initial surgery in patients in the "shave" group.
Taking into account the index surgery and the subsequent 90 days, there was no
significant difference in cost from either the payer ($10,476 vs $11,219, P =
0.40) or hospital perspective ($5090 vs $5116, P = 0.37) between the "shave" and
"no shave" groups. CONCLUSIONS: Overall costs were not significantly different
between the "shave" and "no shave" groups due to significantly fewer reoperative
surgeries in the former.
PMID- 27192354
TI - Electro-dewatering of activated sludge: Electrical resistance analysis.
AB - The significant risk of ohmic heating and the high electric energy consumption at
terminal stages of the dewatering are two problems that hamper the development of
the electro-dewatering (EDW) technology. In the future prospect of studying these
two issues, it is important to provide and analyse quantitative data relative to
the behavior of the electric resistance in EDW. It was the main goal of this
study. It showed that the electric resistance of the complete system (cake +
filter cloth) depended on the cake dryness. It increased sharply when the solids
content exceeded around 45%.The solids loading also influenced the apparent
resistance at the beginning of the process. The electric resistance of the filter
cloth represented about 20% of the total resistance. It remained relatively
constant over the process except at the terminal stage where it generally
increased sharply. The use of conductive filter, such as metallic cloth, enabled
to decrease the electric resistance and reduce the energy consumption of the
process. The electric resistance decreased across the cake from the anode to the
cathode. This behavior may be explained by several phenomena such as the ions
migration and their interaction with the solid, the decrease of dry solids
content from the anode to the cathode and the gas presence at the anode (due to
electrolysis reaction).
PMID- 27192355
TI - Toward a better understanding of coagulation for dissolved organic nitrogen using
polymeric zinc-iron-phosphate coagulant.
AB - The increase of agricultural related activities and the lack of effective waste
control has led to an increase of organic nitrogen in water. The development of
coagulants to effectively remove dissolved organic nitrogen (DON) is a high
priority in the water treatment industry. We developed a polymeric zinc-iron
phosphate (ZnFeP) coagulant and investigated its coagulation effect on DON
removal. Optimum coagulant for coagulation for DON and TDN removals was
characterized by the dense convex-concave packing structure differing from other
zinc-based coagulant, polycrystalline structure and high content colloidal
species, which could account up to 87% of the total colloidal species.
Coagulation experiments showed the DON removal rate to vary greatly depending on
principal components and their interaction with metals, phosphate and hydroxyl.
DON removal efficiency increased with the increase of colloidal species. The
coagulation was also dependent on coagulant dosage and water quality parameters:
Coagulation efficiency increased with coagulant dosage in the investigated range
of 1-16 mg/l, and a pH of 6 was found to be superior for the coagulation. DON
removal efficiency was also higher than and linearly correlated with total
dissolved nitrogen (TDN) removal, which implies that an effective coagulation for
TDN is also effective for DON. The findings in this study indicate that
coagulation of DON is largely influenced by coagulant composition and species. We
also found the removal of DON by our newly developed polymeric ZnFeP coagulant to
be effective.
PMID- 27192356
TI - Inflow rate-driven changes in the composition and dynamics of chromophoric
dissolved organic matter in a large drinking water lake.
AB - Drinking water lakes are threatened globally and therefore in need of protection.
To date, few studies have been carried out to investigate how the composition and
dynamics of chromophoric dissolved organic matter (CDOM) in drinking water lakes
are influenced by inflow rate. Such CDOM can lead to unpleasant taste and odor of
the water and produce undesirable disinfection byproducts during drinking water
treatment. We studied the drinking water Lake Qiandao, China, and found that the
concentrations of suspended particulate matter (SPM) in the lake increased
significantly with inflow rate (p < 0.001). Similarly, close relationships
between inflow rate and the CDOM absorption coefficient at 350 nm a(350) and with
terrestrial humic-like fluorescence C3 and a negative relationship between inflow
rate and the first principal component (PC1) scores, which, in turn, were
negatively related to the concentrations and relative molecular size of CDOM (p <
0.001), i.e. the concentration and molecular size of CDOM entering the lake
increased proportionately with inflow rate. Furthermore, stable isotopes (deltaD
and delta(18)O) were depleted in the upstream river mouth relative to downstream
remaining lake regions, substantiating that riverine CDOM entering the lake was
probably driven by inflow rate. This was further underpinned by remarkably higher
mean chlorophyll-a and in situ measured terrestrial CDOM fluorescence (365/480
nm) and apparent oxygen utilization (AOU), and notably lower mean PC1 and CDOM
spectral slope (S275-295) recorded in the upstream river mouth than in the
downstream main lake area. Strong negative correlations between inflow rate and
a(250):a(365), S275-295, and the spectral slope ratio (SR) implied that CDOM
input to the lake in rainy period was dominated by larger organic molecules with
a more humic-like character. Rainy period, especially rainstorm events, therefore
poses a risk to drinking water safety and requires higher removal efficiency of
CDOM during drinking water treatment processes.
PMID- 27192357
TI - Molecular diversity of riverine alkaline-extractable sediment organic matter and
its linkages with spectral indicators and molecular size distributions.
AB - Few studies have been conducted to examine the spatial heterogeneity of riverine
sediment organic matter (SOM) at the molecular level. The present study explored
the chemical and molecular heterogeneity of alkaline-extractable SOM from
riverine sediments via multiple analytical tools including molecular composition,
absorption and fluorescence spectra, and molecular size distributions. The
riverine SOM revealed complex and diverse characteristics, exhibiting a great
number of non-redundant formulas and high spatial variations. The molecular
diversity was more pronounced for the sediments affected by a higher degree of
anthropogenic activities. Unlike the cases of aquatic dissolved organic matter,
highly-unsaturated structures with oxygen (HUSO) of SOM were more associated with
the spectral and size features of humic-like (or terrestrial) substances than
aromatic molecules were, cautioning the interpretation of the SOM molecules
responsible for apparent indicators. Noting that a higher detection rate (DR)
produces fewer common molecules, the common molecules of 23 different SOMs were
determined at a reasonable DR value of 0.35, which accounted for a small portion
(5.8%) of all detected molecules. They were mainly CHO compounds (>98%), which
positively correlated with spectral indicators of biological production. Despite
the low abundance, however, the ratios of aromatic to aliphatic substances could
be indexed to classify the common molecules into several geochemical molecular
groups with different degrees of the associations with the apparent spectral and
size indicators.
PMID- 27192358
TI - Human fecal and pathogen exposure pathways in rural Indian villages and the
effect of increased latrine coverage.
AB - Efforts to eradicate open defecation and improve sanitation access are unlikely
to achieve health benefits unless interventions reduce microbial exposures. This
study assessed human fecal contamination and pathogen exposures in rural India,
and the effect of increased sanitation coverage on contamination and exposure
rates. In a cross-sectional study of 60 villages of a cluster-randomized
controlled sanitation trial in Odisha, India, human and domestic animal fecal
contamination was measured in community tubewells and ponds (n = 301) and via
exposure pathways in homes (n = 354), using Bacteroidales microbial source
tracking fecal markers validated in India. Community water sources were further
tested for diarrheal pathogens (rotavirus, adenovirus and Vibrio cholerae by
quantitative PCR; pathogenic Escherichia coli by multiplex PCR; Cryptosporidium
and Giardia by immunomagnetic separation and direct fluorescent antibody
microscopy). Exposure pathways in intervention and control villages were compared
and relationships with child diarrhea examined. Human fecal markers were rarely
detected in tubewells (2.4%, 95%CI: 0.3-4.5%) and ponds (5.6%, 95%CI: 0.8-10.3%),
compared to homes (35.4%, 95%CI: 30.4-40.4%). In tubewells, V. cholerae was the
most frequently detected pathogen (19.8%, 95%CI: 14.4-25.2%), followed by Giardia
(14.8%, 95%CI: 10.0-19.7%). In ponds, Giardia was most often detected (74.5%,
95%CI: 65.7-83.3%), followed by pathogenic E. coli (48.1%, 95%CI: 34.8-61.5%) and
rotavirus (44.4%, 95%CI: 34.2-54.7%). At village-level, prevalence of fecal
pathogen detection in community drinking water sources was associated with
elevated prevalence of child diarrhea within 6 weeks of testing (RR 2.13, 95%CI:
1.25-3.63) while within homes, higher levels of human and animal fecal marker
detection were associated with increased risks of subsequent child diarrhea (P =
0.044 and 0.013, respectively). There was no evidence that the intervention,
which increased functional latrine coverage and use by 27 percentage points,
reduced human fecal contamination in any tested pathway, nor the prevalence of
pathogens in water sources. In conclusion, the study demonstrates that (1)
improved sanitation alone may be insufficient and further interventions needed in
the domestic domain to reduce widespread human and animal fecal contamination
observed in homes, (2) pathogens detected in tubewells indicate these sources are
microbiologically unsafe for drinking and were associated with child diarrhea,
(3) domestic use of ponds heavily contaminated with multiple pathogens presents
an under-recognized health risk, and (4) a 27 percentage point increase in
improved sanitation access at village-level did not reduce detectable human fecal
and pathogen contamination in this setting.
PMID- 27192359
TI - The Effects of Tonsillectomy Education Using Smartphone Text Message for Mothers
and Children Undergoing Tonsillectomy: A Randomized Controlled Trial.
AB - BACKGROUND: Tonsillectomy is the most common type of surgical procedure performed
in preschool children. Due to short period of hospitalization, mothers are
expected to manage their children's care at home. However, they are rarely
provided with sufficient information about postoperative management. This study
aims to determine the effectiveness of providing caregivers with information on
tonsillectomy care by smartphone text messaging in increasing their mothers'
knowledge, reducing the anxiety, and improving the sick-role behavior of
pediatric tonsillectomy patients. MATERIALS AND METHODS: A sample of 61 pediatric
patients and their mothers was recruited. Participants were randomly assigned
into either the experimental group (n = 27) or the control group (n = 34). The
control group was given information about the tonsillectomy by conventional
textual and verbal means, whereas the experimental group received the same
information in the form of 10 text messages during the period from
hospitalization to their first follow-up visits. RESULTS: Results of mixed
design, two-way analysis of variance indicated significant interaction effects
between time points and groups for mothers' knowledge (F = 4.26, p = 0.043) and
children's anxiety (F = 3.32; p = 0.037). Thus, the results do support the
effectiveness of tonsillectomy education using smartphone text messaging in
increasing mothers' knowledge and reducing children's anxiety. CONCLUSIONS: These
results can be applied to preoperative and postoperative interventions for
children not only for tonsillectomy but also for many other operations. The
development of various educational programs using smartphone text messaging for
postoperative patient management would also be valuable.
PMID- 27192361
TI - Basophilic differentiation in transient abnormal myelopoiesis.
PMID- 27192363
TI - Editorial.
PMID- 27192362
TI - Ultrahigh-Performance Liquid Chromatography-High-Resolution Quadrupole Time-of
Flight Mass Spectrometry Based Metabolomics Reveals Key Differences between
Brachiaria decumbens and B. brizantha, Two Similar Pastures with Different
Toxicities.
AB - Several species of Brachiaria (Poaceae) currently cover extensive grazing areas
in Brazil, providing valuable source of feed for a large cattle population.
However, numerous cases of toxicity outbreaks in livestock have raised concerns
on safety of using these plants, especially B. decumbens. In this study,
chemometric analysis of ultrahigh-performance liquid chromatography-high
resolution quadrupole time-of-flight mass spectrometry (UHPLC-HR-QTOF-MS) data
has for the first time uncovered qualitative and quantitative differences between
metabolomes of toxic B. decumbens and nontoxic B. brizantha. The steroidal
saponin protoneodioscin was established as the main biomarker for B. decumbens
when compared to B. brizantha, and therefore the key explanation for their
phytochemical differentiation. Quantification of protodioscin in both plants
showed no significant differences; consequently, the idea that this compound is
solely responsible for toxicity outbreaks must be discarded. Instead, we propose
that the added occurrence of its stereoisomer, protoneodioscin, in B. decumbens,
can be considered as the probable cause of these events. Interestingly, the
greatest concentrations of saponins for both species were reached during winter
(B. decumbens = 53.6 +/- 5.1 mg.g(-1) dry weight (D.W.); B. brizantha = 25.0 +/-
1.9 mg.g(-1) D.W.) and spring (B. decumbens = 49.4 +/- 5.0 mg.g(-1) D.W.; B.
brizantha = 27.9 +/- 1.4 mg.g(-1) D.W.), although in the case of B. decumbens
these values do not vary significantly among seasons.
PMID- 27192365
TI - Myers-Briggs typology and Jungian individuation.
AB - Myers-Briggs typology is widely seen as equivalent to and representative of
Jungian theory by the users of the Myers-Briggs Type Indicator (MBTI) and similar
questionnaires. However, the omission of the transcendent function from the
theory, and the use of typological functions as its foundation, has resulted in
an inadvertent reframing of the process of individuation. This is despite some
attempts to integrate individuation and typology, and reintroduce the
transcendent function into Myers-Briggs theory. This paper examines the differing
views of individuation in Myers-Briggs and Jungian theory, and some of the
challenges of reconciling those differences, particularly in the context of
normality. It proposes eight principles, drawn mainly from Jungian and classical
post-Jungian work, that show how individuation as a process can be integrated
with contemporary Myers-Briggs typology. These principles show individuation as
being a natural process that can be encouraged outside of the analytic process.
They make use of a wide range of opposites as well as typological functions,
whilst being centred on the transcendent function. Central to the process is the
alchemical image of the caduceus and a practical interpretation of the axiom of
Maria, both of which Jung used to illustrate the process of individuation.
PMID- 27192364
TI - Boundary and analytic attitude: reflections on a summer holiday break.
AB - The effect of a boundary in analytic work at the summer holiday break is
discussed in relation to archetypal experiences of exclusion, loss and
limitation. Some attempts by patients to mitigate an analyst's act of separation
are reviewed as enactments, and in particular the meanings of a gift made by one
patient. Analytic attitude towards enactment from within different schools of
practice is sketched, with reference to the effect on the analyst of departing
from the received practice of their own allegiance. A theory is adumbrated that
the discomfort of 'contravening the rules' has a useful effect in sparking the
analyst into consciousness, with greater attention to salient features in an
individual case. Interpretation as an enactment is briefly considered, along with
the possible effects of containing the discomfort of a patient's enactment in
contrast to confronting it with interpretation.
PMID- 27192360
TI - Prospective Study of Acute HIV-1 Infection in Adults in East Africa and Thailand.
AB - BACKGROUND: Acute human immunodeficiency virus type 1 (HIV-1) infection is a
major contributor to transmission of HIV-1. An understanding of acute HIV-1
infection may be important in the development of treatment strategies to
eradicate HIV-1 or achieve a functional cure. METHODS: We performed twice-weekly
qualitative plasma HIV-1 RNA nucleic acid testing in 2276 volunteers who were at
high risk for HIV-1 infection. For participants in whom acute HIV-1 infection was
detected, clinical observations, quantitative measurements of plasma HIV-1 RNA
levels (to assess viremia) and HIV antibodies, and results of immunophenotyping
of lymphocytes were obtained twice weekly. RESULTS: Fifty of 112 volunteers with
acute HIV-1 infection had two or more blood samples collected before HIV-1
antibodies were detected. The median peak viremia (6.7 log10 copies per
milliliter) occurred 13 days after the first sample showed reactivity on nucleic
acid testing. Reactivity on an enzyme immunoassay occurred at a median of 14
days. The nadir of viremia (4.3 log10 copies per milliliter) occurred at a median
of 31 days and was nearly equivalent to the viral-load set point, the steady
state viremia that persists durably after resolution of acute viremia (median
plasma HIV-1 RNA level, 4.4 log10 copies per milliliter). The peak viremia and
downslope were correlated with the viral-load set point. Clinical manifestations
of acute HIV-1 infection were most common just before and at the time of peak
viremia. A median of one symptom of acute HIV-1 infection was recorded at a
median of two study visits, and a median of one sign of acute HIV-1 infection was
recorded at a median of three visits. CONCLUSIONS: The viral-load set point
occurred at a median of 31 days after the first detection of plasma viremia and
correlated with peak viremia. Few symptoms and signs were observed during acute
HIV-1 infection, and they were most common before peak viremia. (Funded by the
Department of Defense and the National Institute of Allergy and Infectious
Diseases.).
PMID- 27192366
TI - The use of Skype in analysis and training: a research and literature review.
AB - Of recent years there has not only been an increasing use of Skype in analytic
treatment, supervision and teaching, but also a number of writers have been
endeavouring to assess its effectiveness. Whilst it is generally agreed that
Skype can facilitate an analytic encounter where distance prohibits a face-to
face process, where continuity needs to be maintained and where analysands are in
areas far from specialized centres, there is divergence in the literature as to
whether analysis, as opposed to psychotherapy, can be successful using Skype.
This paper reviews the literature and concludes that the essentials of a genuine
analytic process are not necessarily precluded by Skype. One central reason is
because there exists a cross-modal communication channel between the human senses
(underpinned by audiovisual mirror neurons) in addition to the recently
discovered instinct for communication and interpersonal understanding, and these
can override the need for physical proximity of the participants. The essentials
of an analytic frame can thus be maintained, and the continuity that Skype
enables means that containment is also facilitated and this counters the negative
aspects of shuttle analysis. The critical issues for the profession then become
the professional development for practitioners in the use of Skype and
suggestions are listed.
PMID- 27192367
TI - Jung, Winnicott and the divided psyche.
AB - In his review of Memories Dreams Reflections, Winnicott diagnosed Jung as
suffering from a psychic split, and characterized the content and the structure
of analytical psychology as primarily moulded and conditioned by Jung's own
defensive quest for a 'self that he could call his own'. This pathologizing
analysis continues to be endorsed by contemporary Jungian writers. In this paper
I attempt to show that Winnicott's critique is fundamentally misguided because it
derives from a psychoanalytic model of the psyche, a model that regards all
dissociation as necessarily pathological. I argue that Jung's understanding of
the psyche differs radically from this model, and further, that it conforms by
and large to the kind of dissociative model that we find in the writings of
Frederic Myers, William James and Theodor Flournoy. I conclude that a fruitful
relationship between psychoanalysis and analytical psychology must depend upon an
awareness of these important differences between the two psychic models.
PMID- 27192368
TI - Winnicott redux: a reply to Saban.
PMID- 27192369
TI - A response to William Meredith-Owen.
PMID- 27192370
TI - Jung in education: a review of historical and contemporary contributions from
analytical psychology to the field of education.
AB - The available literature on the influence of Jungian thought on the theory and
practice of education leaves the impression that although the work of Carl Jung
and analytical psychology have much to offer the field of education, the Jungian
influence has so far been slight. While this has certainly been true, the last
decade or so has nevertheless witnessed an increased scholarly interest in
exploring how analytical psychology may inform and inspire the field of
education. As an explanation for this burgeoning interest in Jung, several of the
contemporary contributors mention that analytical psychology has the potential of
functioning as a counterbalance to the tendencies in Western societies to focus
on measurable learning targets and increasingly standardized measures of teaching
and assessment. It seems pertinent then to gain an overview of how analytical
psychology has so far inspired the field of education and how it may fruitfully
continue do so in the future. To this end this paper is structured
chronologically, starting with the different phases of Jung's own engagement with
the field of education and ending with later post-Jungian applications of his
concepts and ideas to education.
PMID- 27192371
TI - William Willeford (1929-2015).
PMID- 27192375
TI - The 2015 Most Influential Bioelectromagnetics Journal Paper by Citation Award.
PMID- 27192374
TI - Advancing our understanding of infant bronchiolitis through phenotyping and
endotyping: clinical and molecular approaches.
AB - INTRODUCTION: Bronchiolitis is a major public health problem worldwide. However,
no effective treatment strategies are available, other than supportive care.
AREAS COVERED: Although bronchiolitis has been considered a single disease
diagnosed based on clinical characteristics, emerging evidence supports both
clinical and pathobiological heterogeneity. The characterization of this
heterogeneity supports the concept that bronchiolitis consists of multiple
phenotypes or consistent grouping of characteristics. Expert commentary: Using
unbiased statistical approaches, multidimentional clinical characteristics will
derive bronchiolitis phenotypes. Furthermore, molecular and systems biology
approaches will, by linking pathobiology to phenotype, identify endotypes. Large
cohort studies of bronchiolitis with comprehensive clinical characterization and
system-wide profiling of the '-omics' data (e.g., host genome, transcriptome,
epigenome, viral genome, microbiome, metabolome) should enhance our ability to
molecularly understand these phenotypes and lead to more targeted and
personalized approaches to bronchiolitis treatment.
PMID- 27192376
TI - Opinion Leaders in Online Cancer Support Groups: An Investigation of Their
Antecedents and Consequences.
AB - With a focus on the nature and dynamic process of social interactions among
breast cancer patients, this study argues that the notion of opinion leaders can
be another crucial factor in explaining positive psychosocial health outcomes
within computer-mediated social support (CMSS) groups. This study investigates
the relationship between opinion leaders and their psychosocial health benefits
by considering two overarching questions: (a) Who are the opinion leaders? (b)
What role do these opinion leaders play in explaining health outcomes? The data
analyzed in this study resulted from merging human-coded content analysis of
discussion group messages, action log data analysis of interactive health system
usage, and longitudinal survey data. Surveys were administered to 221 women with
breast cancer; participants were provided free access to and training for the
CMSS groups developed by the Comprehensive Health Support System (CHESS) project.
The findings suggest that opinion leaders obtained psychosocial health benefits,
such as higher levels of cancer information competence, breast cancer knowledge,
and better problem-focused coping strategies. Those who had a higher baseline
level of breast cancer knowledge and optimism in coping with challenges in their
life were more likely to act as opinion leaders. Implications for research and
improving psychosocial interventions for people with health concerns are
discussed.
PMID- 27192377
TI - Effects of Well-Controlled HIV Infection on Complement Activation and Function.
AB - INTRODUCTION: Uncontrolled HIV infection is known to activate the complement
system, leading to an increase in chronic inflammation. Whether or not this
activation of complement persists and contributes to chronic inflammation in
subjects with HIV infection that is well controlled through use of antiretroviral
therapy has not been studied. METHODS: We conducted an observational, cross
sectional study using sera from 305 adults with well-controlled HIV infection and
30 healthy controls. Sera was tested for markers of complement activation (C3a
and C5a levels), complement function (CH50 assay), and immunoglobulin levels
(IgG1-IgG4) as IgG can activate complement. We evaluated the association of well
controlled HIV infection with C3a, C5a, CH50, IgG1-IgG4, and total IgG levels
using both univariate and multivariate analyses, controlling for factors such as
age, sex, race, comorbidities (including hepatitis C coinfection), smoking
status, and statin use. RESULTS: Well-controlled HIV infection was associated
with a 54% increase in complement activation as measured by C3a levels compared
with healthy controls (P < 0.0001). Hepatitis C coinfection was associated with a
further 52% increase in complement activation, as measured by C3a levels, over
HIV alone (P = 0.003). CONCLUSION: These results suggest that complement
activation may contribute to a proinflammatory state even in well-controlled HIV
infection. Furthermore, hepatitis C virus coinfection may be even more
proinflammatory, in complement activation, compared with HIV infection alone.
PMID- 27192378
TI - Grafted Vitamin-E UHMWPE may increase the durability of posterior stabilized and
constrained condylar total knee replacements.
AB - The suitability of grafted vitamin-E highly crosslinked polyethylene (VE-HXPE)
for use in posterior stabilized (PS) and constrained condylar knee (CCK)
applications has not been explored. We hypothesized that VE-HXPE performs better
than conventional and crosslinked polyethylene under clinically relevant
conditions. PS tibial post fracture resistance under adverse shear loading
conditions, CCK tibial post resistance to torsional fatigue, delamination
resistance under high stress, and wear resistance were evaluated. Grafted VE-HXPE
exhibits (1) 10% and 57% improved PS post fatigue strength compared to
conventional PE (CPE) and remelted HXPE; (2) 45% improved CCK post fatigue
strength compared to CPE; (3) Greater than 36* the delamination resistance of
CPE; and (4) 96% and 73% wear reduction compared to CPE and HXPE. VE-HXPE
performed well under clinically relevant in vitro conditions. (c) 2016 Wiley
Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 1789-1798,
2017.
PMID- 27192380
TI - Selected Summaries.
PMID- 27192382
TI - Erratum.
PMID- 27192379
TI - Quantifying the impact of underlying measurement error on cervical spinal cord
diffusion tensor imaging at 3T.
AB - PURPOSE: To empirically characterize and quantify the impact of gradient
weighting schemes on the appearance and fidelity of diffusion tensor imaging of
the human spinal cord in vivo in clinically relevant scan time equivalents (STE).
MATERIALS AND METHODS: In five healthy controls at 3T, we evaluated test-retest
reproducibility and performed voxelwise analysis of diffusion tensor imaging
(DTI)-derived indices (fractional anisotropy [FA], mean [MD], axial [AD], and
radial [RD] diffusivity) in the cervical spinal cord to assess spatial
dependencies of measurement error and differences across three different sampling
schemes (6, 15, and 32 directions) at STE of 4.5, 9, and 18 minutes. A subjective
assessment was also performed. RESULTS: With six directions, column-specific
errors are highest (effect size = 2.9%, 4.4%, 7.2% for FA in dorsal column,
lateral column, and gray matter) and different than the 15-direction scheme (P <
0.05). STE sequences with 15 and 32 directions exhibited small differences in
error (P > 0.05). For FA and AD, measurement errors are prevalent in gray matter,
while partial volume effects with cerebrospinal fluid heavily influence RD.
Measurement errors decreased with increasing scan time (P < 0.01), albeit with
diminishing returns at scan times longer than 9 minutes (P < 0.05). CONCLUSION: A
15-direction scheme of 9 minutes yields measurements of the cervical spinal cord
with low error. J. Magn. Reson. Imaging 2016;44:1608-1618.
PMID- 27192383
TI - Transcatheter Aortic Valve Implantation and Morbidity and Mortality-Related
Factors: a 5-Year Experience in Brazil.
AB - BACKGROUND: Transcatheter aortic valve implantation has become an option for high
surgical-risk patients with aortic valve disease. OBJECTIVE: To evaluate the in
hospital and one-year follow-up outcomes of transcatheter aortic valve
implantation. METHODS: Prospective cohort study of transcatheter aortic valve
implantation cases from July 2009 to February 2015. Analysis of clinical and
procedural variables, correlating them with in-hospital and one-year mortality.
RESULTS: A total of 136 patients with a mean age of 83 years (80-87) underwent
heart valve implantation; of these, 49% were women, 131 (96.3%) had aortic
stenosis, one (0.7%) had aortic regurgitation and four (2.9%) had prosthetic
valve dysfunction. NYHA functional class was III or IV in 129 cases (94.8%). The
baseline orifice area was 0.67 +/- 0.17 cm2 and the mean left ventricular-aortic
pressure gradient was 47.3+/-18.2 mmHg, with an STS score of 9.3% (4.8%-22.3%).
The prostheses implanted were self-expanding in 97% of cases. Perioperative
mortality was 1.5%; 30-day mortality, 5.9%; in-hospital mortality, 8.1%; and one
year mortality, 15.5%. Blood transfusion (relative risk of 54; p = 0.0003) and
pulmonary arterial hypertension (relative risk of 5.3; p = 0.036) were predictive
of in-hospital mortality. Peak C-reactive protein (relative risk of 1.8; p =
0.013) and blood transfusion (relative risk of 8.3; p = 0.0009) were predictive
of 1-year mortality. At 30 days, 97% of patients were in NYHA functional class
I/II; at one year, this figure reached 96%. CONCLUSION: Transcatheter aortic
valve implantation was performed with a high success rate and low mortality.
Blood transfusion was associated with higher in-hospital and one-year mortality.
Peak C-reactive protein was associated with one-year mortality.
PMID- 27192384
TI - Mortality from Circulatory System Diseases and Malformations in Children in the
State of Rio de Janeiro.
AB - BACKGROUND: The epidemiological profile of mortality in a population is important
for the institution of measures to improve health care and reduce mortality
Objective: To estimate mortality rates and the proportional mortality from
cardiovascular diseases and malformations of the circulatory system in children
and adolescents. METHODS: This is a descriptive study of mortality from
cardiovascular diseases, malformations of the circulatory system, from all
causes, ill-defined causes and external causes in children and adolescents in the
state of Rio de Janeiro from 1996 to 2012. Populations were obtained from the
Brazilian Institute of Geography and Statistics (Instituto Brasileiro de
Geografia e Estatistica - IBGE) and deaths obtained from the Department of
Informatics of the Unified Health System (DATASUS)/Ministry of Health. RESULTS:
There were 115,728 deaths from all causes, 69,757 in males. The annual mortality
from cardiovascular diseases was 2.7/100,000 in men and 2.6/100,000 in women. The
annual mortality from malformations of the circulatory system was 7.5/100,000 in
men and 6.6/100,000 in women. Among the specific causes of circulatory diseases,
cardiomyopathies had the highest rates of annual proportional mortality, and from
malformations of the circulatory system, it occurred due to unspecified
malformations of the circulatory system, at all ages and in both genders.
CONCLUSION: Mortality from malformations of the circulatory system was most
striking in the first years of life, while cardiovascular diseases were more
relevant in adolescents. Low access to prenatal diagnosis or at birth probably
prevented the proper treatment of malformations of the circulatory system.
PMID- 27192385
TI - Association between Spirituality and Adherence to Management in Outpatients with
Heart Failure.
AB - BACKGROUND: Spirituality may influence how patients cope with their illness.
OBJECTIVES: We assessed whether spirituality may influence adherence to
management of outpatients with heart failure. METHODS: Cross sectional study
enrolling consecutive ambulatory heart failure patients in whom adherence to
multidisciplinary treatment was evaluated. Patients were assessed for quality of
life, depression, religiosity and spirituality utilizing validated
questionnaires. Correlations between adherence and psychosocial variables of
interest were obtained. Logistic regression models explored independent
predictors of adherence. RESULTS: One hundred and thirty patients (age 60 +/- 13
years; 67% male) were interviewed. Adequate adherence score was observed in 38.5%
of the patients. Neither depression nor religiosity was correlated to adherence,
when assessed separately. Interestingly, spirituality, when assessed by both
total score sum (r = 0.26; p = 0.003) and by all specific domains, was positively
correlated to adherence. Finally, the combination of spirituality, religiosity
and personal beliefs was an independent predictor of adherence when adjusted for
demographics, clinical characteristics and psychosocial instruments. CONCLUSION:
Spirituality, religiosity and personal beliefs were the only variables
consistently associated with compliance to medication in a cohort of outpatients
with heart failure. Our data suggest that adequately addressing these aspects on
patient's care may lead to an improvement in adherence patterns in the complex
heart failure management.
PMID- 27192386
TI - Comparison of pollutant concentrations from weekly discrete versus composite
samples for residential dry-weather runoff.
AB - As urbanization has increased, so has degradation of urban streams. Urban water
quality monitoring has focused on storm runoff sampling, but in arid climates,
dry-weather runoff is a significant contributor of pollutants to aquatic systems.
The majority of dry-weather runoff studies sample a small window of the entire
dry-season. For this study constituent concentrations were compared using two
sampling protocols. The first protocol repeatedly collected samples on the same
day of the week at the same time of day. The second protocol collected samples
during two week-long intensive sampling events consisting of sample collection
every hour for 24 h for seven consecutive days. The two protocols were compared
to determine if sampling at the same time of the week was representative of the
entire week. For nitrate, total phosphorous, fipronil, permethrin, total organic
carbon, and total suspended solids, sampling at the same time was not
representative of the weekly mean; however, variability was observed between
sites and constituents. For those constituent concentrations with significant
differences seen between the two protocols, load adjustment factors (LAF) were
determined, using a ratio of treatment means, and employed to adjust dry-season
load estimates. Future work should include determining if LAF values can be used
at similar sites excluded from the study or for similar constituents from the
current sampling sites.
PMID- 27192387
TI - Comparative study of ground water treatment plants sludges to remove phosphorous
from wastewater.
AB - Alum- and iron-based sludge obtained from water treatment plant produced during a
unit treatment process (coagulation and flocculation) have been widely tested as
a low-cost adsorbent to remove phosphorous (P) from wastewater. However, the
effectiveness of iron-based sludge generated from the oxidation of iron which
naturally occurs in the ground water has not been investigated. Moreover,
influences of dominant metals ions comprised in the treatment plants sludges on P
adsorption capacity and rate from wastewater are not yet known. This study,
therefore, employed four different groundwater treatment plants sludges iron
based (from the oxidation of iron) and alum-based (from coagulation and
flocculation process) to determine their P adsorption capacities and adsorption
rates from the synthetic wastewater (SWW) and secondary effluent wastewater
(SEWW). Although metals ions concentrations were the highest in the iron-based
sludge amongst the sludge used in this study, it appeared to have the lowest P
adsorption capacity and adsorption rate. A good correlation between aluminium to
iron mass ratio and adsorption capacity for both types of waters were noted.
However, a poor relation between aluminium to iron mass ratio and adsorption
rates for the SEWW was observed. Further, the tested sludges were found to have a
better P removal efficiency and adsorption capacity from the SEWW than from the
SWW. Thus, this study demonstrates the ground water treatment plants sludges
could be a low cost and effective adsorbent in removing P from wastewater.
PMID- 27192388
TI - Sex- and Site-Specific Normative Data Curves for HR-pQCT.
AB - The purpose of this study was to develop age-, site-, and sex-specific centile
curves for common high-resolution peripheral quantitative computed tomography (HR
pQCT) and finite-element (FE) parameters for males and females older than 16
years. Participants (n = 866) from the Calgary cohort of the Canadian Multicentre
Osteoporosis Study (CaMos) between the ages of 16 and 98 years were included in
this study. Participants' nondominant radius and left tibia were scanned using HR
pQCT. Standard and automated segmentation methods were performed and FE analysis
estimated apparent bone strength. Centile curves were generated for males and
females at the tibia and radius using the generalized additive models for
location, scale, and shape (GAMLSS) package in R. After GAMLSS analysis, age-,
sex-, and site-specific centiles (10th, 25th, 50th, 75th, 90th) for total bone
mineral density and trabecular number as well as failure load have been
calculated. Clinicians and researchers can use these reference curves as a tool
to assess bone health and changes in bone quality. (c) 2016 American Society for
Bone and Mineral Research.
PMID- 27192389
TI - Management of Different Subtypes of Primary Angle Closure with
Phacoemulsification and Viscogoniosynechialysis.
AB - PURPOSE: To retrospectively assess the effectiveness of combined
phacoemulsification with viscogoniosynechialysis for patients with different
subtypes of primary angle closure (PAC). METHODS: Forty-three eyes of 37 patients
diagnosed with PAC (12 eyes), PAC with glaucoma (PACG, 20 eyes), and acute PAC
(11 eyes) were treated with phacoemulsification and viscogoniosynechialysis
between November 2010 and October 2012. Main outcome measures were anterior
chamber depth (ACD), intraocular pressure (IOP), the number of IOP-lowering
medication, extent of peripheral anterior synechia (PAS), and visual acuity
preoperatively and one month postoperatively. RESULTS: All operations were
successfully accomplished and no intra-operative complications were observed. The
ACD, IOP, PAS, and visual acuity were all significantly improved postoperatively,
especially in the acute PAC group, which resulted in few glaucoma drugs being
needed. The IOP was decreased into equal or less than 21 mmHg in most patients
postoperatively, except four patients had IOP slightly higher than 21 mmHg.
However, they were restored to normal spontaneously or by medication at last
follow-up. The extent of PAS was all reduced to less than 90 or 180 degrees ,
with the visual acuity restored to more than 0.1. CONCLUSIONS: Combined
phacoemulsification with viscogoniosynechialysis seems to be an effective
surgical procedure in the treatment of various subtypes of PAC.
PMID- 27192390
TI - Hearing impairment, cochlear morphology, and peroxynitrite (ONOO(-)) formation in
adult and aging NOS II knockout mice.
AB - CONCLUSION: Nitric oxide synthase (NOS) II induction is a protective mechanism
against age-related degeneration of the cochlea. OBJECTIVES: An induction of NOS
II has been described in different inner ear pathologies. The objective was to
examine the role of NOS II in age-related degeneration of the cochlea. METHODS:
The hearing ability in adult and aging NOS II knockout mice (KO) and their
wildtype (WT) littermates was explored via auditory brainstem response (ABR)
measurements. Inner ear morphological differences were studied with scanning
electron microscopy (SEM). Immunohistochemistry was used to examine the induction
of NOS II in the inner ear of aging WT mice. Expression of nitrotyrosin, a marker
protein for the reactive oxygen species peroxynitrite, was compared between KO
and WT mice using immunohistochemistry. RESULTS: Adult KO mice exhibited a mild
hearing impairment. WT mice showed an induction of NOS II after 6 months of age.
Age-related hearing deterioration was accelerated in KO mice, which was
accompanied by increased nitrotyrosin formation and outer hair cell loss.
PMID- 27192391
TI - MRI of cerebral blood flow under hyperbaric conditions in rats.
AB - Hyperbaric oxygen (HBO) therapy has a number of clinical applications. However,
the effects of acute HBO on basal cerebral blood flow (CBF) and neurovascular
coupling are not well understood. This study explored the use of arterial spin
labeling MRI to evaluate changes in baseline and forepaw stimulus-evoked CBF
responses in rats (n = 8) during normobaric air (NB), normobaric oxygen (NBO)
(100% O2 ), 3 atm absolute (ATA) hyperbaric air (HB) and 3 ATA HBO conditions. T1
was also measured, and the effects of changes in T1 caused by increasing oxygen
on the CBF calculation were investigated. The major findings were as follows: (i)
increased inhaled oxygen concentrations led to a reduced respiration rate; (ii)
increased dissolved paramagnetic oxygen had significant effects on blood and
tissue T1 , which affected the CBF calculation using the arterial spin labeling
method; (iii) the differences in blood T1 had a larger effect than the
differences in tissue T1 on CBF calculation; (iv) if oxygen-induced changes in
blood and tissue T1 were not taken into account, CBF was underestimated by 33% at
3 ATA HBO, 10% at NBO and <5% at HB; (v) with correction, CBF values under HBO,
HB and NBO were similar (p > 0.05) and all were higher than CBF under NB by ~40%
(p < 0.05), indicating that hypercapnia from the reduced respiration rate masks
oxygen-induced vasoconstriction, although blood gas was not measured; and (vi)
substantial stimulus-evoked CBF increases were detected under HBO, similar to NB,
supporting the notion that activation-induced CBF regulation in the brain does
not operate through an oxygen-sensing mechanism. CBF MRI provides valuable
insights into the effects of oxygen on basal CBF and neurovascular coupling under
hyperbaric conditions. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27192392
TI - A Symmetric Eczematous Eruption Harboring Thousands of Melanocytic Lesions.
AB - IMPORTANCE: The abrupt appearance of melanocytic lesions is a unique phenomenon
that can occur in the setting of eruptive nevi or epidermotropic melanoma
metastases. OBJECTIVE: To examine the immunohistochemical and genetic mutative
features of a novel case of an eczematous reaction followed by the abrupt
appearance of melanocytic lesions. DESIGN, SETTING, AND PARTICIPANT: Case report
of a 48-year-old woman with no significant medical history who first presented
with an eczematous dermatitis on her torso, extremities, and buttocks and who
subsequently developed thousands of pinpoint, histologically atypical melanocytic
tumors and invasive melanoma within the areas of inflammation. MAIN OUTCOMES AND
MEASURES: Immunohistochemical and mutational analyses of the patient's
melanocytic tumors were conducted. RESULTS: Mutational analysis of the pigmented
lesions did not identify any activating mutations in BRAF, PTEN, NRAS, KRAS, and
HRAS. Immunohistochemical analyses of 9 biopsied pigmented lesions all showed
normal expression of the tumor suppressors p16 and PTEN and no expression of
mutated BRAF V600E protein. CONCLUSIONS AND RELEVANCE: To our knowledge, this is
a previously unreported eruption comprising 2 distinct components: an eczematous
reaction and a wave of melanocytic proliferations within the inflammatory
regions. Possible explanations for this patient's condition, include immune
stimulation leading to nevogenesis, benign "nevic" metastases, eruptive nevi, and
epidermotropic metastatic melanoma.
PMID- 27192393
TI - Scarlet Fever Upsurge in England and Molecular-Genetic Analysis in North-West
London, 2014.
AB - Scarlet fever notifications surged across the United Kingdom in spring 2014.
Molecular epidemiologic investigation of Streptococcus pyogenes infections in
North-West London highlighted increased emm4 and emm3 infections coincident with
the upsurge. Unlike outbreaks in other countries, antimicrobial resistance was
uncommon, highlighting an urgent need to better understand the drivers of scarlet
fever activity.
PMID- 27192394
TI - National survey on concepts for congenital hypothyroidism screening in neonatal
intensive care units in Japan.
AB - BACKGROUND: Recently, a revised version of the Guidelines for Mass Screening of
Congenital Hypothyroidism (CH) was published in Japan, but it is not known
whether the guidelines are used routinely in neonatal intensive care units
(NICU). METHODS: To clarify the current status and issues of newborn screening
(NBS) for CH in Japanese NICU, we sent a questionnaire by mail or email to
neonatologists responsible for 100 tertiary NICU in Japan, and analyzed their
responses. RESULTS: The response rate was 92% (92/100). Among respondents, 65%
(59/91) performed thyroid function testing separately from NBS for CH. Within
this group, 63% (37/59) applied criteria, mostly for very low-birthweight (VLBW)
infants (25/37). Only 29% of respondents (26/90), however, used criteria to
select VLBW infants for treatment. Furthermore, criteria differed in each NICU.
Fifty-seven percent of respondents (50/87) reported issues regarding NBS for CH
in NICU, which fell into three main areas: establishment of a clear method for
the management of VLBW infants; necessity of simultaneous measurement of thyroid
stimulating hormone and free thyroxine; and overlapping blood collection for NBS
and thyroid function tests. CONCLUSIONS: Two-thirds of tertiary NICU performed
thyroid function testing separately from NBS for CH. Approximately 60% of
neonatologists responded that current NBS for CH had ongoing issues. Further
research is needed to establish the optimal NBS protocol for CH in NICU in Japan.
PMID- 27192395
TI - Improved Global Capacity for Influenza Surveillance.
AB - During 2004-2009, the Centers for Disease Control and Prevention (CDC) partnered
with 39 national governments to strengthen global influenza surveillance. Using
World Health Organization data and program evaluation indicators collected by CDC
in 2013, we retrospectively evaluated progress made 4-9 years after the start of
influenza surveillance capacity strengthening in the countries. Our results
showed substantial increases in laboratory and sentinel surveillance capacities,
which are essential for knowing which influenza strains circulate globally,
detecting emergence of novel influenza, identifying viruses for vaccine
selection, and determining the epidemiology of respiratory illness. Twenty-eight
of 35 countries responding to a 2013 questionnaire indicated that they have
leveraged routine influenza surveillance platforms to detect other pathogens.
This additional surveillance illustrates increased health-system strengthening.
Furthermore, 34 countries reported an increased ability to use data in decision
making; data-driven decisions are critical for improving local prevention and
control of influenza around the world.
PMID- 27192397
TI - Comment on: "The Prevalence of Dry Eye and Sjogren Syndrome in Patients with
Migraine".
PMID- 27192396
TI - Absolute dosimetry on a dynamically scanned sample for synchrotron radiotherapy
using graphite calorimetry and ionization chambers.
AB - The absolute dose delivered to a dynamically scanned sample in the Imaging and
Medical Beamline (IMBL) on the Australian Synchrotron was measured with a
graphite calorimeter anticipated to be established as a primary standard for
synchrotron dosimetry. The calorimetry was compared to measurements using a free
air chamber (FAC), a PTW 31 014 Pinpoint ionization chamber, and a PTW 34 001
Roos ionization chamber. The IMBL beam height is limited to approximately 2 mm.
To produce clinically useful beams of a few centimetres the beam must be scanned
in the vertical direction. In practice it is the patient/detector that is scanned
and the scanning velocity defines the dose that is delivered. The calorimeter,
FAC, and Roos chamber measure the dose area product which is then converted to
central axis dose with the scanned beam area derived from Monte Carlo (MC)
simulations and film measurements. The Pinpoint chamber measures the central axis
dose directly and does not require beam area measurements. The calorimeter and
FAC measure dose from first principles. The calorimetry requires conversion of
the measured absorbed dose to graphite to absorbed dose to water using MC
calculations with the EGSnrc code. Air kerma measurements from the free air
chamber were converted to absorbed dose to water using the AAPM TG-61 protocol.
The two ionization chambers are secondary standards requiring calibration with
kilovoltage x-ray tubes. The Roos and Pinpoint chambers were calibrated against
the Australian primary standard for air kerma at the Australian Radiation
Protection and Nuclear Safety Agency (ARPANSA). Agreement of order 2% or better
was obtained between the calorimetry and ionization chambers. The FAC measured a
dose 3-5% higher than the calorimetry, within the stated uncertainties.
PMID- 27192398
TI - Cationic Polyphosphazene Vesicles for Cancer Immunotherapy by Efficient in Vivo
Cytokine IL-12 Plasmid Delivery.
AB - To circumvent the severe toxicity of the systemic delivery of IL-12 protein and
the limits of local administration of IL-12 gene, we constructed a polymersome
system for systemic delivery of recombinant murine IL-12 plasmid (pmIL-12) based
on amphiphilic polyphosphazenes containing weakly cationic N,N
diisopropylethylenediamine (DPA) as hydrophobic groups and monomethoxy
poly(ethylene glycol) (mPEG) as hydrophilic tails. By simple dialysis method,
pmIL-12 was successfully loaded into polymersomes due to the combination effect
of physical encapsulation and electrostatic interaction. This pmIL-12 polymersome
delivery system was validated with good biocompatibility and stability despite of
serum protein and DNase challenging. The results of in vivo antitumor experiments
showed that intravenous injection of pmIL-12 polymersomes achieved significant
suppression of tumor growth in BALB/c mice bearing CT-26 colon carcinoma. The
analysis revealed that the mechanism was related to the antitumor immune response
induced by efficient transfection of pmIL-12 polymersomes, which maybe involved
lymphocytes infiltration and angiogenic inhibition at the tumor site.
PMID- 27192399
TI - Facile Fabrication of MoS2-Modified SnO2 Hybrid Nanocomposite for Ultrasensitive
Humidity Sensing.
AB - An ultrasensitive humidity sensor based on molybdenum-disulfide- (MoS2)-modified
tin oxide (SnO2) nanocomposite has been demonstrated in this work. The
nanostructural, morphological, and compositional properties of an as-prepared
MoS2/SnO2 nanocomposite were characterized by scanning electron microscopy (SEM),
transmission electron microscopy (TEM), X-ray diffraction (XRD), energy
dispersive spectrometry (EDS), nitrogen sorption analysis, and Raman
spectroscopy, which confirmed its successful preparation and rationality. The
sensing characteristics of the MoS2/SnO2 hybrid film device against relative
humidity (RH) were investigated at room temperature. The RH sensing results
revealed an unprecedented response, ultrafast response/recovery behaviors, and
outstanding repeatability. To our knowledge, the sensor response yielded in this
work was tens of times higher than that of the existing humidity sensors.
Moreover, the MoS2/SnO2 hybrid nanocomposite film sensor exhibited great
enhancement in humidity sensing performances as compared to the pure MoS2, SnO2,
and graphene counterparts. Furthermore, complex impedance spectroscopy and bode
plots were employed to understand the underlying sensing mechanisms of the
MoS2/SnO2 nanocomposite toward humidity. The synthesized MoS2/SnO2 hybrid
composite was proved to be an excellent candidate for constructing ultrahigh
performance humidity sensor toward various applications.
PMID- 27192400
TI - Substance Use Patterns Among Adolescents in Europe: A Latent Class Analysis.
AB - BACKGROUND: Several researchers have investigated substance use patterns using a
latent class analysis; however, hardly no studies exist on substance use patterns
across countries. OBJECTIVES: Adolescent substance use patterns, demographic
factors, and international differences in the prevalence of substance use
patterns were explored. METHODS: Data from 25 European countries were used to
identify patterns of adolescent (12-16 years, 50.6% female) substance use (N =
33,566). RESULTS: Latent class analysis revealed four substance use classes:
nonusers (68%), low-alcohol users (recent use of beer, wine, and alcopops;
16.1%), alcohol users (recent use of alcohol and lifetime use of marijuana;
11.2%), and polysubstance users (recent use of alcohol, marijuana, and other
illicit drugs; 4.7%). Results support a general pattern of adolescent substance
use across all countries; however, the prevalence rates of use patterns vary for
each country. CONCLUSIONS/IMPORTANCE: The present research provides insight into
substance use patterns across Europe by using a large international adolescent
sample, multidimensional indicators and a variety of substances. Substance use
patterns are helpful when targeting policy and prevention strategies.
PMID- 27192401
TI - Risk Minimization and a Late Holocene Increase in Mobility at Roonka Flat, South
Australia: An Analysis of Lower Limb Bone Diaphyseal Shape.
AB - OBJECTIVES: The strengthening of the El Nino Southern Oscillation (ENSO) in the
mid-Holocene caused significant changes in climate, vegetation, and faunal
assemblages in South Australia. The appearance of a light, flexible backed
artifact toolkit ~4 kya has been interpreted as evidence for changes in foraging
behavior in response to this event. Optimal foraging theory supports a risk
minimization strategy for South Australian hunter-gatherers in which increased
mobility was used to cope with effects of a dryer, unstable environment in the
late Holocene. Whether this event caused changes in foraging mobility will be
tested by examining lower limb external diaphyseal shape between pre-ENSO and
post-ENSO skeletons from Roonka Flat, South Australia. MATERIALS AND METHODS:
Anteroposterior and mediolateral diameters were used to construct diaphyseal
shape indices for Roonka Flat femora and tibiae. If populations living in South
Australia became more mobile over time, then post-ENSO skeletons should exhibit
higher shape indices. RESULTS: The pooled-sex post-ENSO sample has significantly
higher femoral shape indices than the pre-ENSO sample. Males do not show
significant diaphyseal shape differences over time, but females significantly
increase. DISCUSSION: These data are consistent with the risk minimization model,
indicating that South Australians became more mobile post-ENSO to better exploit
a less productive environment by expanding their foraging radii. The temporal
shift toward more elliptical diaphyses is more notable in females than males,
which is consistent with Aboriginal ethnographies that show both sexes being
intensely involved in hunting and capturing game animals. Am J Phys Anthropol
161:94-103, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27192402
TI - Cyc17, a meiosis-specific cyclin, is essential for anaphase initiation and
chromosome segregation in Tetrahymena thermophila.
AB - Although the role of cyclins in controlling nuclear division is well established,
their function in ciliate meiosis remains unknown. In ciliates, the cyclin family
has undergone massive expansion which suggests that diverse cell cycle systems
exist, and this warrants further investigation. A screen for cyclins in the model
ciliate Tetrahymena thermophila showed that there are 34 cyclins in this
organism. Only 1 cyclin, Cyc17, contains the complete cyclin core and is
specifically expressed during meiosis. Deletion of CYC17 led to meiotic arrest at
the diakinesis-like metaphase I stage. Expression of genes involved in DNA
metabolism and chromosome organization (chromatin remodeling and basic
chromosomal structure) was repressed in cyc17 knockout matings. Further
investigation suggested that Cyc17 is involved in regulating spindle pole
attachment, and is thus essential for chromosome segregation at meiosis. These
findings suggest a simple model in which chromosome segregation is influenced by
Cyc17.
PMID- 27192404
TI - Perfluoroalkyl Acids (PFAAs) and Selected Precursors in the Baltic Sea
Environment: Do Precursors Play a Role in Food Web Accumulation of PFAAs?
AB - The present study examined the presence of perfluoroalkyl acids (PFAAs) and
selected precursors in the Baltic Sea abiotic environment and guillemot food web,
and investigated the relative importance of precursors in food web accumulation
of PFAAs. Sediment, water, zooplankton, herring, sprat, and guillemot eggs were
analyzed for perfluoroalkane sulfonic acids (PFSAs; C4,6,8,10) and perfluoroalkyl
carboxylic acids (PFCAs; C6-15) along with six perfluoro-octane sulfonic acid
(PFOS) precursors and 11 polyfluoroalkyl phosphoric acid diesters (diPAPs). FOSA,
FOSAA and its methyl and ethyl derivatives (Me- and EtFOSAA), and 6:2/6:2 diPAP
were detected in sediment and water. While FOSA and the three FOSAAs were
detected in all biota, a total of nine diPAPs were only detected in zooplankton.
Concentrations of PFOS precursors and diPAPs exceeded PFOS and PFCA
concentrations, respectively, in zooplankton, but not in fish and guillemot eggs.
Although PFOS precursors were present at all trophic levels, they appear to play
a minor role in food web accumulation of PFOS based on PFOS precursor/PFOS ratios
and PFOS and FOSA isomer patterns. The PFCA pattern in fish could not be
explained by the intake pattern based on PFCAs and analyzed precursors, that is,
diPAPs. Exposure to additional precursors might therefore be a dominant exposure
pathway compared to direct PFCA exposure for fish.
PMID- 27192403
TI - Titanium-Silver Alloy Miniplates for Mandibular Fixation: In Vitro and In Vivo
Study.
AB - PURPOSE: Titanium (Ti) alloys have received considerable attention as materials
for oral and maxillofacial surgery, which require high mechanical strength,
osteosynthesis, and biocompatibility. The objective was to implant miniplates
fabricated from commercially pure Ti (CP Ti) and newly developed Ti-silver (Ag)
alloy in fractured mandibles of adult dogs after preliminary mechanical and
biological characterization. MATERIALS AND METHODS: The surface characteristics,
biocompatibility, and pre-osteoblast adhesion and proliferation of CP Ti (grade
3) and Ti-Ag (2 at% Ag) alloys were evaluated. Next, the bending strength of 6-
and 8-hole miniplates fabricated from CP Ti and Ti-Ag was compared according to
ISO (International Organization for Standardization) 9585. Six-hole miniplates
were implanted for 12 weeks in fractured mandibles of adult dogs. The Ag ion
concentration in each alloy and implanted bone block with soft tissue was
measured by inductively coupled plasma mass spectroscopy after euthanasia
according to ISO 10993-12. RESULTS: Precipitated Ag was detected in Ti-Ag by
alpha- and beta-phase Ti in x-ray powder diffraction. The biocompatibility with
pre-osteoblasts of Ti-Ag and CP Ti was comparable in terms of cytotoxicity, cell
adhesion, and proliferation (P > .05). Ti-Ag miniplates had up to 3-fold greater
bending strength than CP Ti miniplates (P < .05). An in vivo study showed that CP
Ti and Ti-Ag miniplates had comparable soft and hard tissue regeneration ability
(P > .05). Ag ions were detected in Ti-Ag alloys and applied mandible blocks.
CONCLUSIONS: The results of this study suggest that Ti-Ag alloys can be used to
produce miniplates with high mechanical properties, as well as considerable
biocompatibility, osteosynthesis ability, and Ag ion-release properties. Further
studies, including preclinical investigations, are required to enable clinical
use of Ti-Ag bone plates.
PMID- 27192406
TI - Concentration and dissipation of chlorantraniliprole and thiamethoxam residues in
maize straw, maize, and soil.
AB - To study the dissipation rates and final residual levels of chlorantraniliprole
and thiamethoxam in maize straw, maize, and soil, two independent field trials
were conducted during the 2014 cropping season in Beijing and Anhui Provinces of
China. A 40% wettable powder (20% chlorantraniliprole + 20% thiamethoxam) was
sprayed onto maize straw and soil at an application rate of 118 g of active
ingredient per hectare (g a.i.ha(-1)). The residual concentrations were
determined by ultra-high-performance liquid chromatography-tandem mass
spectrometry. The chlorantraniliprole half-lives in maize straw and soil were 9.0
10.8 and 9.5-21.7 days, respectively. The thiamethoxam half-lives in maize straw
and soil were 8.4-9.8 and 4.3-11.7 days, respectively. The final residues of
chlorantraniliprole and thiamethoxam in maize straw, maize, and soil were
measured after the pesticides had been sprayed two and three times with an
interval of 7 days using 1 and 1.5 times the recommended rate (72 g a.i. ha(-1)
and 108 g a.i. ha(-1), respectively). Representative maize straw, maize, and soil
samples were collected after the last treatment at pre-harvest intervals of 7,
14, and 28 days. The chlorantraniliprole residue was below 0.01 mg kg(-1) in
maize, between 0.01 and 0.31 mg kg(-1) in maize straw, and between 0.03 and 1.91
mg kg(-1) in soil. The thiamethoxam residue concentrations in maize, maize straw,
and soil were <0.01, <0.01, and 0.01-0.03 mg kg(-1), respectively. The final
pesticide residues on maize were lower than the maximum residue limit (MRL) of
0.02 mg kg(-1) after a 14-day pre-harvest interval. Therefore, a dosage of 72 g
a.i. ha(-1) was recommended, as it can be considered safe to human beings and
animals.
PMID- 27192407
TI - Diet and Macronutrient Optimization in Wild Ursids: A Comparison of Grizzly Bears
with Sympatric and Allopatric Black Bears.
AB - When fed ad libitum, ursids can maximize mass gain by selecting mixed diets
wherein protein provides 17 +/- 4% of digestible energy, relative to
carbohydrates or lipids. In the wild, this ability is likely constrained by
seasonal food availability, limits of intake rate as body size increases, and
competition. By visiting locations of 37 individuals during 274 bear-days, we
documented foods consumed by grizzly (Ursus arctos) and black bears (Ursus
americanus) in Grand Teton National Park during 2004-2006. Based on published
nutritional data, we estimated foods and macronutrients as percentages of daily
energy intake. Using principal components and cluster analyses, we identified 14
daily diet types. Only 4 diets, accounting for 21% of days, provided protein
levels within the optimal range. Nine diets (75% of days) led to over-consumption
of protein, and 1 diet (3% of days) led to under-consumption. Highest protein
levels were associated with animal matter (i.e., insects, vertebrates), which
accounted for 46-47% of daily energy for both species. As predicted: 1) daily
diets dominated by high-energy vertebrates were positively associated with
grizzly bears and mean percent protein intake was positively associated with body
mass; 2) diets dominated by low-protein fruits were positively associated with
smaller-bodied black bears; and 3) mean protein was highest during spring, when
high-energy plant foods were scarce, however it was also higher than optimal
during summer and fall. Contrary to our prediction: 4) allopatric black bears did
not exhibit food selection for high-energy foods similar to grizzly bears.
Although optimal gain of body mass was typically constrained, bears usually opted
for the energetically superior trade-off of consuming high-energy, high-protein
foods. Given protein digestion efficiency similar to obligate carnivores, this
choice likely supported mass gain, consistent with studies showing monthly
increases in percent body fat among bears in this region.
PMID- 27192405
TI - Sensitivity of the Dengue Surveillance System in Brazil for Detecting
Hospitalized Cases.
AB - We evaluated the sensitivity of the dengue surveillance system in detecting
hospitalized cases in ten capital cities in Brazil from 2008 to 2013 using a
probabilistic record linkage of two independent information systems
hospitalization (SIH-SUS) adopted as the gold standard and surveillance (SINAN).
Sensitivity was defined as the proportion of cases reported to the surveillance
system amid the suspected hospitalized cases registered in SIH-SUS. Of the 48,174
hospitalizations registered in SIH-SUS, 24,469 (50.7%) were reported and
registered in SINAN, indicating an overall sensitivity of 50.8% (95%CI 50.3
51.2). The observed sensitivity for each of the municipalities included in the
study ranged from 22.0% to 99.1%. The combination of the two data sources
identified 71,161 hospitalizations, an increase of 97.0% over SINAN itself. Our
results allowed establishing the proportion of underreported dengue
hospitalizations in the public health system in Brazil, highlighting the use of
probabilistic record linkage as a valuable tool for evaluating surveillance
systems.
PMID- 27192408
TI - Statolith Morphometrics Can Discriminate among Taxa of Cubozoan Jellyfishes.
AB - Identification of potentially harmful cubomedusae is difficult due to their
gelatinous nature. The only hard structure of medusae, the statolith, has the
potential to provide robust measurements for morphometric analysis. Traditional
morphometric length to width ratios (L: W) and modern morphometric Elliptical
Fourier Analysis (EFA) were applied to proximal, oral and lateral statolith faces
of 12 cubozoan species. EFA outperformed L: W as L: W did not account for the
curvature of the statolith. Best discrimination was achieved with Canonical
Discriminant Analysis (CDA) when analysing proximal + oral + lateral statolith
faces in combination. Normalised Elliptical Fourier (NEF) coefficients classified
98% of samples to their correct species and 94% to family group. Statolith shape
agreed with currently accepted cubozoan taxonomy. This has potential to assist in
identifying levels of risk and stock structure of populations in areas where box
jellyfish envenomations are a concern as the severity of envenomation is family
dependent. We have only studied 12 (27%) of the 45 currently accepted
cubomedusae, but analyses demonstrated that statolith shape is an effective
taxonomic discriminator within the Class.
PMID- 27192409
TI - Access to Interdental Brushing in Periodontal Healthy Young Adults: A Cross
Sectional Study.
AB - PURPOSE: Interdental diameter space is largely undefined in adults, which
compromises the decision support for daily interdental cleaning during routine
practice in individual oral prophylaxis. This study assesses the distribution of
diameter access of interdental spaces in an 18- to 25-year-old adult population
free of periodontal disease. METHODS: In March-April 2015, a cross-sectional
study using random sampling was performed at the University Lyon 1, France. The
interproximal dental spaces of 99 individuals were examined using a colorimetric
calibrated probe associated with the corresponding calibrated interdental brush
(IDB). RESULTS: Of the 2,408 out of 2,608 sites, the overall accessibility
prevalence of any interdental brushing was 92.3%. In total, 80.6% of the sites
required interdental brushes with smaller diameters (0.6-0.7 mm). In anterior
sites, the diameter of the interdental brushes used was smaller (55.8% of IDB
with 0.6 mm) than the diameter of the interdental brushes used in posterior sites
(26.1% of IDB with 0.6 mm) (p < 0.01). The adjusted ORs indicate a significant
association with the location of the sites (approximately doubling the risk of
bleeding, i.e., OR = 1.9, in posterior sites). CONCLUSIONS: Most interdental
sites can be cleaned using interdental brushes. Even in healthy people,
interdental hygiene requirements are very high. Strengthening the oral hygiene
capacity by specifically using interdental brushes can have an effect on the
health of the entire population. Screening of the accessibility of the
interdental space should be a component of a routine examination for all
patients.
PMID- 27192410
TI - A Centrosymmetric Hexagonal Magnet with Superstable Biskyrmion Magnetic
Nanodomains in a Wide Temperature Range of 100-340 K.
AB - Superstable biskyrmion magnetic nanodomains are experimentally observed for the
first time in a hexagonal MnNiGa, a common and easily produced centrosymmetric
material. The biskyrmion states in MnNiGa thin plates, as determined by the
combination of in situ Lorentz transmission electron microscopy images,
magnetoresistivity, and topological Hall effect measurements, are surprisingly
stable over a broad temperature range of 100-340 K.
PMID- 27192411
TI - Correction: Single Sustained Inflation followed by Ventilation Leads to Rapid
Cardiorespiratory Recovery but Causes Cerebral Vascular Leakage in Asphyxiated
Near-Term Lambs.
AB - [This corrects the article DOI: 10.1371/journal.pone.0146574.].
PMID- 27192412
TI - Participants' perceptions of an intervention implemented in an Action Research
Nursing Documentation Project.
AB - AIMS AND OBJECTIVES: The aim of this study is to describe healthcare
professionals' experiences and perceptions of an intervention implemented in an
action research project conducted to improve nursing documentation practices in
four municipalities in Norway. BACKGROUND: Documentation of individualized
patient care is a continuing concern in healthcare services and could impacts the
quality and safety of healthcare. Use of electronic systems has made some aspects
of documentation more comprehensive, but creation of an individualized care plan
remains a pressing issue. DESIGN: A qualitative descriptive design was used.
METHODS: An action research project was conducted between 2010-2012 to improve
the content and quality of nursing documentation in community healthcare services
in four municipalities. One year after the project was completed four focus group
interviews were conducted with healthcare professionals, one for each involved
municipality. Two unit managers were interviewed individually. Qualitative
content analysis was used. RESULTS: Three themes emerged: healthcare
professionals perceived competing interest; they experienced that they had to
manage complexity and changes; and they highlighted a clear and visible leader as
important for success. CONCLUSIONS: Quality improvement activities are essential.
Healthcare professionals experience a complicated situation when electronic
health record systems do not support workflow. Further research is recommended to
focus on the functionality and user interface of electronic health record
systems, and on the role of leadership when implementing changes in clinical
practice. RELEVANCE TO CLINICAL PRACTICE: Stronger cooperation among
policymakers, electronic health record system vendors, and healthcare
professionals is essential for improving electronic health record systems and
documentation practices. Involvement of end-users in these improvements can make
a difference in the way the systems are perceived in the clinical workflow.
PMID- 27192413
TI - Health-related quality of life as a predictor of mortality in patients on
peritoneal dialysis.
AB - OBJECTIVE: to characterize deaths that occurred, and the association between
socio-demographic, clinical, laboratory variables and health-related quality of
life and the outcome of death in patients on peritoneal dialysis, over a two year
period after an initial assessment. METHOD: observational, prospective population
study with 82 patients on peritoneal dialysis. The instruments used for the first
stage of data collection were the mini-mental state examination, a
sociodemographic, economic, clinical and laboratory questionnaire and the Kidney
Disease and Quality of Life-Short Form. After two years, data for
characterization and occurrence of death in the period were collected. The
relative risk of death outcome was calculated through statistical analysis; the
risk of death was estimated by the survival Kaplan-Meier curve, and determined
predictors of death by the Cox Proportional Hazards Model. RESULTS: of the 82
original participants, 23 had as an outcome death within two years. The increased
risk for the outcome of death was associated with a lower mean score of health
related quality of life in the physical functioning domain. CONCLUSION: the worst
health-related quality of life in the physical functioning domain, could be
considered a predictor of death.
PMID- 27192414
TI - Quality of life of Brazilian and Spanish cancer patients undergoing chemotherapy:
an integrative literature review.
AB - OBJECTIVE: characterize the scientific production of Brazil and Spain in regard
to methodological aspects and aspects of health-related quality of life
experienced by cancer patients receiving chemotherapy in both countries. METHOD:
integrative literature review was conducted using the following databases:
CINAHL, MEDLINE, SCOPUS and CUIDEN and the electronic libraries PubMed and
SciELO, conducted in September 2013. RESULTS: a total of 28 papers met the
inclusion criteria. The synthesis of knowledge was presented in three categories
of analysis: assessment of quality of life in different types of cancer;
sociodemographic factors that influenced quality of life; and type of cancer and
interventions that improve quality of life. Chemotherapy affects health-related
quality of life and the most important factors were: age, sex, chemotherapy
protocol, type of surgery, stage of the disease, educational level, and emotional
intelligence. Complementary therapies such as acupuncture, guided visualization,
prayers and exercise were positive and reduced side effects. CONCLUSION: the
results showed a poor level of evidence, since 86% of the studies were cross
sectional descriptive studies; the instrument most frequently used to measure
health-related quality of life was EORTC QLQ C-30 and more studies were conducted
in Brazil than in Spain.
PMID- 27192415
TI - Prediction of risk and incidence of dry eye in critical patients.
AB - OBJECTIVES: to estimate the incidence of dry eye, to identify risk factors and to
establish a risk prediction model for its development in adult patients admitted
to the intensive care unit of a public hospital. METHOD: concurrent cohort,
conducted between March and June, 2014, with 230 patients admitted to an
intensive care unit. Data were analyzed by bivariate descriptive statistics, with
multivariate survival analysis and Cox regression. RESULTS: 53% out of 230
patients have developed dry eye, with onset mean time of 3.5 days. Independent
variables that significantly and concurrently impacted the time for dry eye to
occur were: O2 in room air, blinking more than five times per minute (lower risk
factors) and presence of vascular disease (higher risk factor). CONCLUSION: dry
eye is a common finding in patients admitted to adults intensive care units, and
care for its prevention should be established.
PMID- 27192417
TI - Adaptation and evaluation of the measurement properties of the Brazilian version
of the Self-efficacy for Appropriate Medication Adherence Scale.
AB - OBJECTIVES: to undertake the cultural adaptation of, and to evaluate the
measurement properties of, the Brazilian version of the Self-efficacy for
Appropriate Medication Adherence Scale in coronary heart disease (CHD) patients,
with outpatient monitoring at a teaching hospital. METHOD: the process of
cultural adaptation was undertaken in accordance with the international
literature. The data were obtained from 147 CHD patients, through the application
of the sociodemographic/clinical characterization instrument, and of the
Brazilian versions of the Morisky Self-Reported Measure of Medication Adherence
Scale, the General Perceived Self-Efficacy Scale, and the Self-efficacy for
Appropriate Medication Adherence Scale. RESULTS: the Brazilian version of the
Self-efficacy for Appropriate Medication Adherence Scale presented evidence of
semantic-idiomatic, conceptual and cultural equivalencies, with high
acceptability and practicality. The floor effect was evidenced for the total
score and for the domains of the scale studied. The findings evidenced the
measure's reliability. The domains of the Brazilian version of the Self-efficacy
for Appropriate Medication Adherence Scale presented significant inverse
correlations of moderate to strong magnitude between the scores of the Morisky
scale, indicating convergent validity, although correlations with the measure of
general self-efficacy were not evidenced. The validity of known groups was
supported, as the scale discriminated between "adherents" and "non-adherents" to
the medications, as well as to "sufficient dose" and "insufficient dose".
CONCLUSION: the Brazilian version of the Self-efficacy for Appropriate Medication
Adherence Scale presented evidence of reliability and validity in coronary heart
disease outpatients.
PMID- 27192416
TI - Adherence to antiretrovirals in people coinfected with the human immunodeficiency
virus and tuberculosis.
AB - OBJECTIVE: assess the adherence levels to antiretroviral therapy in people
coinfected with HIV/tuberculosis and correlate these levels with the
sociodemographic and clinical variables of the study population. METHOD: cross
sectional study involving 74 male and female adults coinfected with
HIV/tuberculosis. For the data collection, a sociodemographic and clinical
assessment form and the Antiretroviral Treatment Adherence Assessment
Questionnaire were used. For the data analysis, the software STATA version 11 was
used, through descriptive statistics, Fisher's chi-square exact test and the
probability test. RESULTS: men were predominant (79.7%), between 30 and 39 years
of age (35.1%), low income (75.7%) and pulmonary tuberculosis (71.6%). Adherence
to antiretroviral therapy was inappropriate in 78.1% of the men; 61.0% of single
people; 47.0% unemployed and 76.5% among people gaining less than one minimum
wage. A significant difference was observed between compliance and length of use
of antiretrovirals (p=0.018), sexual orientation (p=0.024) and number of children
(p=0.029). CONCLUSION: the coinfected patients presented inappropriate adherence
to the antiretrovirals, a fact that negatively affects the health conditions of
the people living with HIV/tuberculosis coinfection. A statistically significant
correlation was found between the levels of adherence and some sociodemographic
and clinical characteristics.
PMID- 27192418
TI - Guidelines for a Comprehensive Care Program to Ostomized Patients and Families: a
Nursing proposal.
AB - OBJECTIVES: describe care needs and demands that mark the discursive practices of
ostomized clients and family members and discuss guidelines for a comprehensive
care program to ostomized clients and their families, organized by
macrosociological categories. METHOD: Creative and Sensitive, involving 17
ostomized subjects and family members at a municipal outpatient clinic. The
ethical aspects were complied with. A characterization form was used, as well as
Creativity and Sensitivity Dynamics: "speaking map", "body-knowledge" and
"calendar". Critical Discourse Analysis was applied. RESULTS: the health needs
and care demands of the ostomized patients and their family members, in their
multiple dimensions, were constituted in the home and community, outpatient and
social context, implying new orientations for nursing care. The unveiling of the
data brought elements that constituted guidelines, in a macrosociological
approach, to achieve the expanded integrality of nursing care. CONCLUSION: the
ostomized clients are unique in their genre/peculiar from Latin sui generis,
calling for strategies that respond to and distinguish their specificities.
Elaborating a Public Health Policy that improves and reorganizes the care
demands, taking into account these individual biopsychosocial and spiritual
aspects, is a possible and irrevocable target in the attempt to achieve better
conditions of health and wellbeing.
PMID- 27192419
TI - Paramagnetic Gd(3+) labeled red blood cells for magnetic resonance angiography.
AB - Despite significant advances in contrast enhanced-magnetic resonance angiography,
the lack of truly blood-pool agents with long circulating property is limiting
the clinical impact of this imaging technique. The terminal half-life for blood
elimination of most small molecular weight gadolinium (Gd) based extracellular
fluid agents is about 1.5 h when administered intravenously to subjects with
normal renal function. The small size of these extracellular fluid agents does
not prevent them from extravasating, especially from damaged vessels which are
generally hyperpermeable. Therefore, the development of novel, clinically
relevant blood pool contrast agents is critically needed to improve outcomes in
the prevention, detection, and treatment of vascular diseases. We have
demonstrated the fusion strategies in which the Gd-liposome without any stealth
property radically fuses with red blood cells (RBCs) forming MR glowing Gd-RBC
with the order of magnitude enhancements in circulation half-life (t1/2 = 50 h)
and r1 relaxivity (r1 = 19.0 mM(-1) s(-1)) of Gd. The in vivo contrast
enhancement of Gd-RBC was studied by using 3T clinical MR scanner for extended
period of time, which clearly visualized the abdominal aorta. In summary, the
vascular delivery of blood pool agents may benefit from carriage by RBCs because
it naturally stays within the vascular lumen.
PMID- 27192420
TI - Targeting dendritic cells in lymph node with an antigen peptide-based nanovaccine
for cancer immunotherapy.
AB - The design of peptide-based subunit vaccine formulations for the direct delivery
of tumor antigen peptides (Aps) to dendritic cells (DCs) localized within
draining lymph nodes (DLNs) is challenging. Mature DCs (mDCs) are abundantly
distributed within DLNs but have dramatically reduced endocytic uptake and
antigen-processing abilities, so their role as potential vaccine targets has been
largely overlooked. Here we report an ultra-small biocompatible nanovaccine
(alpha-Ap-FNP) functionalized by avidly targeting delivery of Ap via the
scavenger receptor class B1 (SR-B1) pathway to mDCs. The self-assembly, small
size (~30 nm), SR-B1-targeting and optical properties of alpha-Ap-FNP resulted in
its efficient Ap loading, substantial LN accumulation, targeting of mDCs and
enhanced Ap presentation, and fluorescence trafficking, respectively. We also
demonstrate that the alpha-Ap-FNP can be either used alone or encapsulated with
CpG oligodeoxynucleotide as a prophylactic and therapeutic vaccine. Thus, the
excellent properties of alpha-Ap-FNP provide it potential for clinical
applications as a potent nanovaccine for cancer immunotherapy.
PMID- 27192421
TI - MMP-9 triggered self-assembly of doxorubicin nanofiber depots halts tumor growth.
AB - A central challenge in cancer care is to ensure that therapeutic compounds reach
their targets. One approach is to use enzyme-responsive biomaterials, which
reconfigure in response to endogenous enzymes that are overexpressed in diseased
tissues, as potential site-specific anti-tumoral therapies. Here we report
peptide micelles that upon MMP-9 catalyzed hydrolysis reconfigure to form
fibrillar nanostructures. These structures slowly release a doxorubicin payload
at the site of action. Using both in vitro and in vivo models, we demonstrate
that the fibrillar depots are formed at the sites of MMP-9 overexpression giving
rise to enhanced efficacy of doxorubicin, resulting in inhibition of tumor growth
in an animal model.
PMID- 27192422
TI - The rites of spring, Take 2.
AB - Recruiting PhD students can be a frustrating process, but Eve Marder looks
forward to welcoming the latest crop in the autumn.
PMID- 27192423
TI - New Insights on Different Response of MDMA-Elicited Serotonin Syndrome to
Systemic and Intracranial Administrations in the Rat Brain.
AB - In spite of the fact that systemic administration of MDMA elicits serotonin
syndrome, direct intracranial administration fails to reproduce the effect. To
reconcile these findings, it has been suggested that the cause of serotonin
syndrome is attributed mainly to MDMA hepatic metabolites, and less likely to
MDMA itself. Recently, however, this explanation has been challenged, and
alternative hypotheses need to be explored. Here, we tested the hypothesis that
serotonin syndrome is the result of excessive 5HT simultaneously in many brain
areas, while MDMA administered intracranially fails to cause serotonin syndrome
because it produces only a localized effect at the delivery site and not to other
parts of the brain. This hypothesis was examined using adult male Sprague Dawley
rats by comparing 5HT responses in the right and left hemispheric frontal
cortices, right and left hemispheric diencephalons, and medullar raphe nucleus.
Occurrence of serotonin syndrome was confirmed by measuring change in body
temperature. Administration routes included intraperitoneal (IP),
intracerebroventricular (ICV) and reverse microdialysis. First, we found that IP
administration caused excessive 5HT in all five sites investigated and induced
hypothermia, suggesting the development of the serotonin syndrome. In contrast,
ICV and reverse microdialysis caused excessive 5HT only in regions of delivery
sites without changes in body-core temperature, suggesting the absence of the
syndrome. Next, chemical dyes were used to trace differences in distribution and
diffusion patterns between administration routes. After systemic administration,
the dyes were found to be evenly distributed in the brain. However, the dyes
administered through ICV or reverse microdialysis injection still remained in the
delivery sites, poorly diffusing to the brain. In conclusion, intracranial MDMA
administration in one area has no or little effect on other areas, which must be
considered a plausible reason for the difference in MDMA-elicited serotonin
syndrome between systemic and intracranial administrations.
PMID- 27192425
TI - Clinical, autoimmune, and psychiatric parameters correlate with sleep disturbance
in patients with systemic sclerosis and rheumatoid arthritis.
AB - OBJECTIVES: Sleep disturbance is an important contributor to poor quality of life
in rheumatic disorders. This study aims to test whether clinical, autoimmune and
psychological factors are associated with sleep disturbance in systemic sclerosis
(SSc) compared to rheumatoid arthritis (RA) patients and controls. METHODS: 101
female subjects (SSc=33, RA=34, healthy controls=34) participated in this
observational, cross-sectional, parallel group study. Sleep disturbance was
assessed with the Pittsburgh Sleep Quality Index (PSQI). Other assessments
included the visual analogue scale (VAS) for pain, 36-item Short-Form Health
Survey (SF-36), Beck Depression Inventory (BDI) and the State-Trait Anxiety
Inventory (STAI). Clinical parameters, therapeutic regimen, and serologic status
were recorded. RESULTS: In SSc patients, PSQI scores were higher than in RA
patients and controls. Linear regression analysis showed that in SSc patients
PSQI scores was associated with BDI, disease duration, modified Rodnan skin score
and VAS, while DAS28 and BDI were associated with PSQI scores in RA patients.
Anti-Scl70 and ANA positive SSc patients showed higher PSQI scores compared to
those ANA positive only, while no differences were observed in RA patients
classified according to rheumatoid factor positivity. SSc patients treated with
immunosuppressants had lower PSQI scores compared to those not on therapy,
whereas only corticosteroid treatment was significantly associated with higher
PSQI scores in RA patients. RA patients with disease activity higher than
moderate (DAS28>=3.2) had higher PSQI scores than those with lower than moderate
(DAS28<3.2). CONCLUSIONS: Longitudinal studies are needed to identify disease
specific patterns associated with sleep disturbances and the influence on sleep
function induced by immunosuppressive therapy among rheumatic patients.
PMID- 27192426
TI - Synthesis and biological evaluation of 3beta-androsta-5,8(14),15-trien-17-one
derivatives as potential anticancer agents.
AB - A novel and operationally simple method for highly efficient synthesis of
promising anti-cancer 3beta-hydroxy-16-arylandrosta-5,8(14),15-trien-17-ones was
reported. Compounds were tested for their cytotoxic activities against A549,
SKOV3, MKN-45 and MDA-MB-435 cancer cell lines. The preliminary results showed
that compounds 5e, g were the most active especially against cancer cell lines
tested.
PMID- 27192424
TI - Spatial and Temporal Dynamics of a Mortality Event among Central African Great
Apes.
AB - In 2006-2007 we observed an unusual mortality event among apes in northern
Republic of Congo that, although not diagnostically confirmed, we believe to have
been a disease outbreak. In 2007-2011 we conducted ape nest surveys in the
region, recording 11,835 G. g. gorilla nests (2,262 groups) and 5,548 P. t.
troglodytes nests (2,139 groups). We developed a statistical model to determine
likely points of origin of the outbreak to help identify variables associated
with disease emergence and spread. We modeled disease spread across the study
area, using suitable habitat conditions for apes as proxy for local ape
densities. Infectious status outputs from that spread model were then used
alongside vegetation, temperature, precipitation and human impact factors as
explanatory variables in a Generalized Linear Model framework to explain observed
2007-2011 ape nest trends in the region. The best models predicted emergence in
the western region of Odzala-Kokoua National Park and north of the last confirmed
Ebola virus disease epizootics. Roads were consistently associated with
attenuation of modeled virus spread. As disease is amongst the leading threats to
great apes, gaining a better understanding of disease transmission dynamics in
these species is imperative. Identifying ecological drivers underpinning a
disease emergence event and transmission dynamics in apes is critical to creating
better predictive models to guide wildlife management, develop potential
protective measures for wildlife and to reduce potential zoonotic transmission to
humans. The results of our model represent an important step in understanding
variables related to great ape disease ecology in Central Africa.
PMID- 27192427
TI - Synthesis of 3alpha-deuterated 7alpha-hydroxy-DHEA and 7-oxo-DHEA and application
in LC-MS/MS plasma analysis.
AB - 7-Oxygenated metabolites of dehydroepiandrosterone (DHEA) are known for their
neuroprotective and immunomodulatory properties. These neuroactive steroids are
currently predominately analysed by mass spectrometry, for which the use of
internal deuterated standards is necessary. The aim of this study was to
synthesize the deuterated derivatives of 7alpha-hydroxy-DHEA and 7-oxo-DHEA and
test them in liquid chromatography-tandem mass spectrometry (LC-MS/MS) in order
to enhance the performance characteristics of this method. Here we report the
synthesis of 3alpha deuterium-labelled 7alpha-hydroxy-DHEA and 7-oxo-DHEA.
Deuterium was introduced into the 3alpha position by reduction of the
corresponding 3-ketone with a protected 17-carbonyl group using NaBD4. Our new
procedure allows the easier synthesis of deuterated steroid labelled compounds.
The use of these deuterated steroids enabled us to improve the human plasma LC
MS/MS analysis of 7alpha-hydroxy-DHEA and 7-oxo-DHEA in terms of sensitivity,
precision and recovery.
PMID- 27192429
TI - Lacking of estradiol reduces insulin exocytosis from pancreatic beta-cells and
increases hepatic insulin degradation.
AB - Low levels of plasma estrogens are associated with weight-gain, android fat
distribution, and a high prevalence of obesity-related comorbidities such as
glucose intolerance and type II diabetes. The mechanisms underlying the
association between low levels of estrogens and impaired glucose homeostasis are
not completely understood. To begin to test this, we used three-month-old female
C57BL/6J mice that either underwent ovariectomy (OVX) or received a sham surgery
(Sham), and we characterized glucose homeostasis. In a subsequent series of
experiments, OVX mice received estradiol treatment (OVX+E2) or vehicle (OVX) for
6 consecutive days. As has been previously reported, lack of ovarian hormones
resulted in dysregulated glucose homeostasis. To begin to explore the mechanisms
by which this occurs, we characterized the impact of estrogens on insulin
secretion and degradation in these mice. Insulin secretion and plasma insulin
levels were lower in OVX mice. OVX mice had lower levels of pancreatic Syntaxin 1
A (Synt-1A) protein, which is involved in insulin extrusion from the pancreas. In
the liver, OVX mice had higher levels of insulin-degrading enzyme (IDE) and this
was associated with higher insulin clearance. Estradiol treatment improved
glucose intolerance in OVX mice and restored insulin secretion, as well as
normalized the protein content of pancreatic Synt-1A. The addition of estrogens
to OVX mice reduced IDE protein to that of Sham mice. Our data suggest loss of
ovarian estradiol following OVX led to impaired glucose homeostasis due to
pancreatic beta-cell dysfunction in the exocytosis of insulin, and upregulation
of hepatic IDE protein content resulting in lower insulinemia, which was
normalized by estradiol replacement.
PMID- 27192428
TI - There goes the neighborhood: Assembly of transcriptional complexes during the
regulation of metabolism and inflammation by the glucocorticoid receptor.
AB - Glucocorticoids (GCs), as ligands for the glucocorticoid receptor (GR), represent
one of the most effective and frequently used classes of drugs for anti
inflammatory and immunosuppressive therapy. In addition, its role in
physiological and pathophysiological processes makes the GR an important research
target. The past decades have yielded a wealth of insight into the physiological
and pharmacological effects of GCs. Today's era of next generation sequencing
techniques is now beginning to elucidate the molecular and genomic circuits
underlying GR's cell type-specific actions. This review focuses on the concepts
and insights gained from recent studies in two of the most important tissues for
GC action: the liver (mediating GR's metabolic effects) and macrophages (as the
main target of anti-inflammatory GC therapy). We summarize results obtained from
transgenic mouse models, molecular and genome-wide studies to illustrate GR's
complex interactions with DNA, chromatin, co-regulators and other transcription
factors. Characterizing the cell type-specific transcriptional complexes
assembled around GR will pave the road for the development of new anti
inflammatory and metabolic therapies in the future.
PMID- 27192430
TI - Co-Prescription of QT-Interval Prolonging Drugs: An Analysis in a Large Cohort of
Geriatric Patients.
AB - BACKGROUND: Drug-induced QT-interval prolongation is associated with occurrence
of potentially fatal Torsades de Pointes arrhythmias (TdP). So far, data
regarding the overall burden of QT-interval prolonging drugs (QT-drugs) in
geriatric patients are limited. OBJECTIVE: This study was performed to assess the
individual burden of QT-interval prolonging drugs (QT-drugs) in geriatric
polymedicated patients and to identify the most frequent and risky combinations
of QT-drugs. METHODS: In the discharge medication of geriatric patients between
July 2009 and June 2013 from the Geriatrics in Bavaria-Database (GiB-DAT) (co)
prescriptions of QT-drugs were investigated. QT-drugs were classified according
to a publicly available reference site (CredibleMeds(r)) as ALL-QT-drugs
(associated with any QT-risk) or High-risk-QT-drugs (corresponding to QT-drugs
with known risk of Torsades de Pointes according to CredibleMeds(r)) and in
addition as SmPC-high-risk-QT-drugs (according to the German prescribing
information (SmPC) contraindicated co-prescription with other QT-drugs). RESULTS:
Of a cohort of 130,434 geriatric patients (mean age 81 years, 67% women),
prescribed a median of 8 drugs, 76,594 patients (58.7%) received at least one ALL
QT-drug. Co-prescriptions of two or more ALL-QT-drugs were observed in 28,768
(22.1%) patients. Particularly risky co-prescriptions of High-risk-QT-drugs or
SmPC-high-risk-QT-drugs with at least on further QT-drug occurred in 55.9% (N =
12,633) and 54.2% (N = 12,429) of these patients, respectively. Consideration of
SmPCs (SmPC-high-risk-QT-drugs) allowed the identification of an additional 15%
(N = 3,999) patients taking a risky combination that was not covered by the
commonly used CredibleMeds(r) classification. Only 20 drug-drug combinations
accounted for more than 90% of these potentially most dangerous co-prescriptions.
CONCLUSION: In a geriatric study population co-prescriptions of two and more QT
drugs were common. A considerable proportion of QT-drugs with higher risk only
could be detected by using more than one classification-system. Local adaption of
international classifications can improve identification of patients at risk.
PMID- 27192431
TI - Riparian shading controls instream spring phytoplankton and benthic algal growth.
AB - Dissolved oxygen (DO) concentrations showed a striking pattern in a multi-year
study of the River Enborne, a small river in SE England. In each of three years
(2010-2012), maximum DO concentrations were attained in mid-April, preceded by a
period of steadily increasing diurnal amplitudes, followed by a steady reduction
in both amplitude and concentration. Flow events during the reduction period
reduce DO to low concentrations until the following spring. Evidence is presented
that this pattern is mainly due to benthic algal growth which is eventually
suppressed by the growth of the riparian tree canopy. Nitrate and silicate
concentrations are too high to inhibit the growth of either benthic algae or
phytoplankton, but phosphate concentrations might have started to reduce growth
if the tree canopy development had been delayed. This interpretation is supported
by evidence from weekly flow cytometry measurements and analysis of the diurnal,
seasonal and annual patterns of nutrient concentrations. As the tree canopy
develops, the river switches from an autotrophic to a heterotrophic state. The
results support the use of riparian shading to help control algal growth, and
highlight the risks of reducing riparian shade.
PMID- 27192433
TI - A Twin Protection Effect? Explaining Twin Survival Advantages with a Two-Process
Mortality Model.
AB - Twin studies that focus on the correlation in age-at-death between twin pairs
have yielded important insights into the heritability and role of genetic factors
in determining lifespan, but less attention is paid to the biological and social
role of zygosity itself in determining survival across the entire life course.
Using data from the Danish Twin Registry and the Human Mortality Database, we
show that monozygotic twins have greater cumulative survival proportions at
nearly every age compared to dizygotic twins and the Danish general population.
We examine this survival advantage by fitting these data with a two-process
mortality model that partitions survivorship patterns into extrinsic and
intrinsic mortality processes roughly corresponding to acute, environmental and
chronic, biological origins. We find intrinsic processes confer a survival
advantage at older ages for males, while at younger ages, all monozygotic twins
show a health protection effect against extrinsic death akin to a marriage
protection effect. While existing research suggests an increasingly important
role for genetic factors at very advanced ages, we conclude that the social
closeness of monozygotic twins is a plausible driver of the survival advantage at
ages <65.
PMID- 27192432
TI - Genetic Deletion of the Clathrin Adaptor GGA3 Reduces Anxiety and Alters
GABAergic Transmission.
AB - Golgi-localized gamma-ear-containing ARF binding protein 3 (GGA3) is a monomeric
clathrin adaptor that has been shown to regulate the trafficking of the Beta-site
APP-cleaving enzyme (BACE1), which is required for production of the Alzheimer's
disease (AD)-associated amyloid betapeptide. Our previous studies have shown that
BACE1 is degraded via the lysosomal pathway and that depletion of GGA3 results in
increased BACE1 levels and activity owing to impaired lysosomal trafficking and
degradation. We further demonstrated the role of GGA3 in the regulation of BACE1
in vivo by showing that BACE1 levels are increased in the brain of GGA3 null
mice. We report here that GGA3 deletion results in novelty-induced hyperactivity
and decreased anxiety-like behaviors. Given the pivotal role of GABAergic
transmission in the regulation of anxiety-like behaviors, we performed
electrophysiological recordings in hippocampal slices and found increased phasic
and decreased tonic inhibition in the dentate gyrus granule cells (DGGC).
Moreover, we found that the number of inhibitory synapses is increased in the
dentate gyrus of GGA3 null mice in further support of the electrophysiological
data. Thus, the increased GABAergic transmission is a leading candidate mechanism
underlying the reduced anxiety-like behaviors observed in GGA3 null mice. All
together these findings suggest that GGA3 plays a key role in GABAergic
transmission. Since BACE1 levels are elevated in the brain of GGA3 null mice, it
is possible that at least some of these phenotypes are a consequence of increased
processing of BACE1 substrates.
PMID- 27192434
TI - Podocyte Depletion in Thin GBM and Alport Syndrome.
AB - The proximate genetic cause of both Thin GBM and Alport Syndrome (AS) is abnormal
alpha3, 4 and 5 collagen IV chains resulting in abnormal glomerular basement
membrane (GBM) structure/function. We previously reported that podocyte
detachment rate measured in urine is increased in AS, suggesting that podocyte
depletion could play a role in causing progressive loss of kidney function. To
test this hypothesis podometric parameters were measured in 26 kidney biopsies
from 21 patients aged 2-17 years with a clinic-pathologic diagnosis including
both classic Alport Syndrome with thin and thick GBM segments and lamellated
lamina densa [n = 15] and Thin GBM cases [n = 6]. Protocol biopsies from deceased
donor kidneys were used as age-matched controls. Podocyte depletion was present
in AS biopsies prior to detectable histologic abnormalities. No abnormality was
detected by light microscopy at <30% podocyte depletion, minor pathologic changes
(mesangial expansion and adhesions to Bowman's capsule) were present at 30-50%
podocyte depletion, and FSGS was progressively present above 50% podocyte
depletion. eGFR did not change measurably until >70% podocyte depletion. Low
level proteinuria was an early event at about 25% podocyte depletion and
increased in proportion to podocyte depletion. These quantitative data parallel
those from model systems where podocyte depletion is the causative event. This
result supports a hypothesis that in AS podocyte adherence to the GBM is
defective resulting in accelerated podocyte detachment causing progressive
podocyte depletion leading to FSGS-like pathologic changes and eventual End Stage
Kidney Disease. Early intervention to reduce podocyte depletion is projected to
prolong kidney survival in AS.
PMID- 27192436
TI - Porous Au-Ag Nanospheres with High-Density and Highly Accessible Hotspots for
SERS Analysis.
AB - Colloidal plasmonic metal nanoparticles have enabled surface-enhanced Raman
scattering (SERS) for a variety of analytical applications. While great efforts
have been made to create hotspots for amplifying Raman signals, it remains a
great challenge to ensure their high density and accessibility for improved
sensitivity of the analysis. Here we report a dealloying process for the
fabrication of porous Au-Ag alloy nanoparticles containing abundant inherent
hotspots, which were encased in ultrathin hollow silica shells so that the need
of conventional organic capping ligands for stabilization is eliminated,
producing colloidal plasmonic nanoparticles with clean surface and thus high
accessibility of the hotspots. As a result, these novel nanostructures show
excellent SERS activity with an enhancement factor of ~1.3 * 10(7) on a single
particle basis (off-resonant condition), promising high applicability in many
SERS-based analytical and biomedical applications.
PMID- 27192437
TI - Group-wise parcellation of the cortex through multi-scale spectral clustering.
AB - The delineation of functionally and structurally distinct regions as well as
their connectivity can provide key knowledge towards understanding the brain's
behaviour and function. Cytoarchitecture has long been the gold standard for such
parcellation tasks, but has poor scalability and cannot be mapped in vivo.
Functional and diffusion magnetic resonance imaging allow in vivo mapping of
brain's connectivity and the parcellation of the brain based on local
connectivity information. Several methods have been developed for single subject
connectivity driven parcellation, but very few have tackled the task of group
wise parcellation, which is essential for uncovering group specific behaviours.
In this paper, we propose a group-wise connectivity-driven parcellation method
based on spectral clustering that captures local connectivity information at
multiple scales and directly enforces correspondences between subjects. The
method is applied to diffusion Magnetic Resonance Imaging driven parcellation on
two independent groups of 50 subjects from the Human Connectome Project.
Promising quantitative and qualitative results in terms of information loss,
modality comparisons, group consistency and inter-group similarities demonstrate
the potential of the method.
PMID- 27192439
TI - Investigating motivating factors for sound hospital waste management.
AB - Sustainable management of hospital waste requires an active involvement of all
key players. This study aims to test the hypothesis that three motivating
factors, namely, Reputation, Liability, and Expense, influence hospital waste
management. The survey for this study was conducted in two phases, with the pilot
study used for exploratory factor analysis and the subsequent main survey used
for cross-validation using confirmatory factor analysis. The hypotheses were
validated through one-sample t tests. Correlations were established between the
three motivating factors and organizational characteristics of hospital type,
location, category, and size. The hypotheses were validated, and it was found
that the factors of Liability and Expense varied considerably with respect to
location and size of a hospital. The factor of Reputation, however, did not
exhibit significant variation. In conclusion, concerns about the reputation of a
facility and an apprehension of liability act as incentives for sound hospital
waste management, whereas concerns about financial costs and perceived overburden
on staff act as disincentives. IMPLICATIONS: This paper identifies the non
economic motivating factors that can be used to encourage behavioral changes
regarding waste management at hospitals in resource constrained environments.
This study discovered that organizational characteristics such as hospital size
and location cause the responses to vary among the subjects. Hence a policy maker
must take into account the institutional setting before introducing a change
geared towards better waste management outcomes across hospitals. This study
covers a topic that has hitherto been neglected in resource constrained
countries. Thus it can be used as one of the first steps to highlight and tackle
the issue.
PMID- 27192438
TI - Remission of Severe Opioid Use Disorder with Ibogaine: A Case Report.
AB - BACKGROUND: Opioid use disorders (OUD) translate into major health, social, and
economic consequences. Opioid agonist medications, which generally require long
term administration, are the mainstay pharmacological treatment of OUD. However,
a large proportion of individuals with OUD either refuse or fail to respond to
these therapies. Ibogaine, a naturally occurring substance found in the
Tabernanthe iboga plant, has shown potential to bring about transformative or
spiritual experiences that have reportedly been associated with long-term
abstinece. Although research on ibogaine is limited, an ibogaine subculture
persists, offering unregulated ibogaine preparations for the treatment of
addiction. CASE PRESENTATION: We describe the case of a 37-year-old female with a
19-year history of severe OUD achieving an ongoing 18-month period of abstinence
following a four-day ibogaine treatment. Her previous longest period of
continuous abstinence from opioids was two months while on methadone. No safety
issues associated with ibogaine were observed. CONCLUSIONS: A four-day treatment
with ibogaine was succesful in achieving long-term remission of a previously
treatment-refractory patient with severe OUD. While rigorous trials are required
to establish safety and efficacy, future studies should seek to delineate the
potential role of ibogaine or other molecules that may produce transformative
experiences for individuals with substance use disorder.
PMID- 27192435
TI - Wnt Signaling Alteration in the Spinal Cord of Amyotrophic Lateral Sclerosis
Transgenic Mice: Special Focus on Frizzled-5 Cellular Expression Pattern.
AB - BACKGROUND: Amyotrophic lateral sclerosis is a chronic neurodegenerative disease
characterized by progressive paralysis due to degeneration of motor neurons by
unknown causes. Recent evidence shows that Wnt signaling is involved in
neurodegenerative processes, including Amyotrophic Lateral Sclerosis. However, to
date, little is known regarding the expression of Wnt signaling components in
this fatal condition. In the present study we used transgenic SOD1G93A mice to
evaluate the expression of several Wnt signaling components, with special focus
on Frizzled-5 cellular expression alteration along disease progression. FINDINGS:
Based on previous studies demonstrating the expression of Wnts and their
transcriptional regulation during Amyotrophic lateral sclerosis development, we
have analyzed the mRNA expression of several Wnt signaling components in the
spinal cord of SOD1G93A transgenic mice at different stages of the disease by
using real time quantitative PCR analysis. Strikingly, one of the molecules that
seemed not to be altered at mRNA level, Frizzled-5, showed a clear up-regulation
at late stages in neurons, as evidenced by immunofluorescence assays. Moreover,
increased Frizzled-5 appears to correlate with a decrease in NeuN signal in these
cells, suggesting a correlation between neuronal affectation and the increased
expression of this receptor. CONCLUSIONS: Our data suggest the involvement of Wnt
signaling pathways in the pathophysiology of Amyotrophic Lateral Sclerosis and,
more specifically, the implication of Frizzled-5 receptor in the response of
neuronal cells against neurodegeneration. Nevertheless, further experimental
studies are needed to shed light on the specific role of Frizzled-5 and the
emerging but increasing Wnt family of proteins research field as a potential
target for this neuropathology.
PMID- 27192440
TI - Efficient production of Aschersonia placenta protoplasts for transformation using
optimization algorithms.
AB - The insect pathogenic fungus Aschersonia placenta is a highly effective pathogen
of whiteflies and scale insects. However, few genetic tools are currently
available for studying this organism. Here we report on the conditions for the
production of transformable A. placenta protoplasts using an optimized protocol
based on the response surface method (RSM). Critical parameters for protoplast
production were modelled by using a Box-Behnken design (BBD) involving 3 levels
of 3 variables that was subsequently tested to verify its ability to predict
protoplast production (R(2) = 0.9465). The optimized conditions resulted in the
highest yield of protoplasts ((4.41 +/- 0.02) * 10(7) cells/mL of culture, mean
+/- SE) when fungal cells were treated with 26.1 mg/mL of lywallzyme for 4 h of
digestion, and subsequently allowed to recover for 64.6 h in 0.7 mol/L NaCl-Tris
buffer. The latter was used as an osmotic stabilizer. The yield of protoplasts
was approximately 10-fold higher than that of the nonoptimized conditions.
Generated protoplasts were transformed with vector PbarGPE containing the bar
gene as the selection marker. Transformation efficiency was 300 colonies/(MUg
DNA.10(7) protoplasts), and integration of the vector DNA was confirmed by PCR.
The results show that rational design strategies (RSM and BBD methods) are useful
to increase the production of fungal protoplasts for a variety of downstream
applications.
PMID- 27192442
TI - Laboratory course on Streptomyces genetics and secondary metabolism.
AB - The "Streptomyces genetics and secondary metabolism" laboratory course gives an
introduction to the versatile soil dwelling Gram-positive bacteria Streptomyces
and their secondary metabolism. The course combines genetic modification of
Streptomyces; growing of the strain and protoplast preparation, plasmid isolation
by alkaline lysis and phenol precipitation, digestions, and ligations prior to
protoplast transformation, as well as investigating the secondary metabolites
produced by the strains. Thus, the course is a combination of microbiology,
molecular biology, and chemistry. After the course the students should understand
the relationship between genes, proteins, and the produced metabolites. (c) 2016
by The International Union of Biochemistry and Molecular Biology, 44(5):492-499,
2016.
PMID- 27192443
TI - Gay Men and Lesbian Women Who Become Parents in the Context of a Former
Heterosexual Relationship: An Explorative Study in Italy.
AB - In Italy, homosexual people are not allowed to perform donor
insemination/surrogacy or adoption, thus they become parents mainly in the
context of previous heterosexual relationships. The current study examines the
experiences of 34 gay fathers and 32 lesbian mothers with children from a
heterosexual relationship. Data on homosexuality awareness, reasons for marriage
and parenthood, and the coming-out process to children were collected. Most
participants reported not being aware of their homosexuality when they married
and became parents. The most common reasons for marriage were "love" and "social
expectancy," whereas parenthood was motivated mainly by the "desire for children
and family." Most participants came out to at least one child and reported a
positive reaction. The most cited benefit of coming out was "openness/not hiding
anymore." The results suggest that the lives of gay and lesbian parents are
shaped by their sexual minority status as well as by societal heterosexism.
PMID- 27192441
TI - Release of GTP Exchange Factor Mediated Down-Regulation of Abscisic Acid Signal
Transduction through ABA-Induced Rapid Degradation of RopGEFs.
AB - The phytohormone abscisic acid (ABA) is critical to plant development and stress
responses. Abiotic stress triggers an ABA signal transduction cascade, which is
comprised of the core components PYL/RCAR ABA receptors, PP2C-type protein
phosphatases, and protein kinases. Small GTPases of the ROP/RAC family act as
negative regulators of ABA signal transduction. However, the mechanisms by which
ABA controls the behavior of ROP/RACs have remained unclear. Here, we show that
an Arabidopsis guanine nucleotide exchange factor protein RopGEF1 is rapidly
sequestered to intracellular particles in response to ABA. GFP-RopGEF1 is
sequestered via the endosome-prevacuolar compartment pathway and is degraded.
RopGEF1 directly interacts with several clade A PP2C protein phosphatases,
including ABI1. Interestingly, RopGEF1 undergoes constitutive degradation in pp2c
quadruple abi1/abi2/hab1/pp2ca mutant plants, revealing that active PP2C protein
phosphatases protect and stabilize RopGEF1 from ABA-mediated degradation.
Interestingly, ABA-mediated degradation of RopGEF1 also plays an important role
in ABA-mediated inhibition of lateral root growth. The presented findings point
to a PP2C-RopGEF-ROP/RAC control loop model that is proposed to aid in shutting
off ABA signal transduction, to counteract leaky ABA signal transduction caused
by "monomeric" PYL/RCAR ABA receptors in the absence of stress, and facilitate
signaling in response to ABA.
PMID- 27192444
TI - Loss of 89K Pathogenicity Island in Epidemic Streptococcus suis, China.
PMID- 27192445
TI - Elucidating Quantum Confinement in Graphene Oxide Dots Based On Excitation
Wavelength-Independent Photoluminescence.
AB - Investigating quantum confinement in graphene under ambient conditions remains a
challenge. In this study, we present graphene oxide quantum dots (GOQDs) that
show excitation-wavelength-independent photoluminescence. The luminescence color
varies from orange-red to blue as the GOQD size is reduced from 8 to 1 nm. The
photoluminescence of each GOQD specimen is associated with electron transitions
from the antibonding pi (pi*) to oxygen nonbonding (n-state) orbitals. The
observed quantum confinement is ascribed to a size change in the sp(2) domains,
which leads to a change in the pi*-pi gap; the n-state levels remain unaffected
by the size change. The electronic properties and mechanisms involved in quantum
confined photoluminescence can serve as the foundation for the application of
oxygenated graphene in electronics, photonics, and biology.
PMID- 27192446
TI - Not What the Textbooks Describe: Challenging Clinical Conventions About
Psychosis.
PMID- 27192447
TI - SnAP-eX Reagents for the Synthesis of Exocyclic 3-Amino- and 3-Alkoxypyrrolidines
and Piperidines from Aldehydes.
AB - SnAP-eX (tin amine protocol, exocyclic heteroatoms) reagents allow the single
step transformation of aldehydes and ketones into 2,3-disubstituted pyrrolidines
and piperidines containing exocyclic amine or alkoxy groups. These saturated N
heterocycles are of importance in modern drug discovery approaches and are
prepared in moderate yields using an operationally simple protocol that is
compatible with a range of functional groups and heterocyclic aldehydes.
PMID- 27192451
TI - Doctor to Doctor.
PMID- 27192452
TI - Conference Call.
PMID- 27192449
TI - RESAMA: A Network for Monitoring Health and Husbandry Practices in Aquatic
Research Facilities.
AB - Health monitoring is a crucial aspect of the management of any research animal
house. RESAMA is a network strong of 60 academic and private partners acting in
France since the end of 2012. The network aims to increase awareness of animal
caretakers and researchers on health management issues in facilities holding
aquatic model species (zebrafish, Xenopus, medaka, Mexican tetra). To do so, each
partner research facility will be visited at least once. The visiting team is
composed at least of one veterinarian and one zootechnician specialized in
aquatic species. The visit results in a health-monitoring assessment of the
facility, which includes a sampling for histo-pathological, bacteriological, and
molecular pathogen detection. During the visit, rearing practices are also
reviewed through an interview of animal caretakers. However, the present report
essentially focuses on the health-monitoring aspect. The ultimate goal of the
project is to provide a network-wide picture of health issues in aquatic
facilities. Performed in parallel, the rearing practice assessment will
ultimately help to establish rational relationship between handling practices and
animal health in aquatic facilities. The study is still in progress. Here, we
describe the results to be drawn from an analysis of the 23 facilities that had
been visited so far. We sampled 720 fish and 127 amphibians and performed a
little less than 1400 individual tests.
PMID- 27192448
TI - Controlled Sculpture of Black Phosphorus Nanoribbons.
AB - Black phosphorus (BP) is a highly anisotropic allotrope of phosphorus with great
promise for fast functional electronics and optoelectronics. We demonstrate the
controlled structural modification of few-layer BP along arbitrary crystal
directions with sub-nanometer precision for the formation of few-nanometer-wide
armchair and zigzag BP nanoribbons. Nanoribbons are fabricated, along with
nanopores and nanogaps, using a combination of mechanical-liquid exfoliation and
in situ transmission electron microscopy (TEM) and scanning TEM nanosculpting. We
predict that the few-nanometer-wide BP nanoribbons realized experimentally
possess clear one-dimensional quantum confinement, even when the systems are made
up of a few layers. The demonstration of this procedure is key for the
development of BP-based electronics, optoelectronics, thermoelectrics, and other
applications in reduced dimensions.
PMID- 27192454
TI - Literature Scan.
PMID- 27192453
TI - Pearls.
PMID- 27192455
TI - cme credit Quiz.
PMID- 27192457
TI - Combating acne.
PMID- 27192458
TI - "Chasing a Ghost": Factors that Influence Primary Care Physicians to Follow Up on
Incidental Imaging Findings.
AB - Purpose To explore provider and patient characteristics that influence how
primary care providers (PCPs) communicate and manage incidental imaging findings.
Materials and Methods This HIPAA-compliant study was approved by the
institutional review board. Through semistructured interviews, researchers
explored concerns and perspectives of 30 PCPs on receiving and acting on
incidental imaging findings. Open-ended questions were designed to elicit a range
of responses rather than quantifiable data. Thematic codes were developed and
explicitly defined. Three research assistants independently coded all 30
deidentified transcripts and resolved discrepancies (kappa = 0.85). Codes
pertaining to PCP and patient characteristics were organized into an explanatory
model. Results Some PCPs felt compelled but frustrated to pursue costly follow-up
for incidental imaging findings of limited clinical importance. Other PCPs did
not act on findings that were unfamiliar or occurred in an unusual clinical
context when follow-up recommendations were not given; the challenges of
researching the clinical importance of these findings or seeking specialist
consultation led to inaction. Some PCPs reported using a uniform approach to
communicate and manage incidental findings, while others adapted their approach
to the patient and the finding. Sometimes PCP characteristics such as follow-up
style superseded patient characteristics. At other times patient characteristics
such as health literacy superseded PCP characteristics. Conclusion PCPs cited a
variety of objective and subjective factors that influence how they communicate
and manage incidental imaging findings. These results suggest that some patients
may receive inappropriate follow-up of incidental imaging findings and present an
opportunity for radiologists to help PCPs and patients to best use the
information conveyed in imaging reports. (c) RSNA, 2016 Online supplemental
material is available for this article.
PMID- 27192459
TI - Focused Ultrasound Enhances Central Nervous System Delivery of Bevacizumab for
Malignant Glioma Treatment.
AB - Purpose To demonstrate that magnetic resonance (MR) imaging-monitored
transcranial focused ultrasound can enhance the delivery of the antiangiogenic
monoclonal antibody bevacizumab into the central nervous system (CNS) for
glioblastoma multiforme (GBM) treatment. Materials and Methods All animal
experiments were approved by the animal committee and adhered to experimental
animal care guidelines. Transcranial focused ultrasound exposure in the presence
of microbubbles was used to open the blood-brain barrier (BBB) to enhance
bevacizumab penetration into the CNS in healthy and glioma-bearing mice.
Bevacizumab concentration was quantitated with high-performance liquid
chromatography, and Western blot testing was performed to confirm the specific
biologic form in the CNS. Penetration of bevacizumab into brain tissue was
estimated in vivo by means of contrast material-enhanced MR imaging and
quantitative gallium 68 ((68)Ga)-bevacizumab micro-positron emission tomography,
and glioma progression was longitudinally followed with T2-weighted MR imaging.
Hematoxylin-eosin staining and cluster of differentiation 31 immunostaining were
used to assess morphologic changes and vascular inhibition at histologic
examination. The two-tailed Student t test and the Mantel-Cox log-rank test were
used for statistical analyses, with a significance level of .05. Results Focused
ultrasound significantly enhanced bevacizumab penetration into the CNS by 5.7- to
56.7-fold compared with that in nonexposed brain (both P < .0001). Contrast
enhanced MR imaging indexes correlated with bevacizumab concentration (r = 0.748
0.857) in vivo. Focused ultrasound-enhanced bevacizumab delivery significantly
retarded glioma progression, with a significantly increased median survival
(median increase in survival time = 135% in the group treated with bevacizumab
and focused ultrasound, P < .0001; as compared with 48% in the group treated with
bevacizumab alone, P = .0002). Conclusion Focused ultrasound-enhanced bevacizumab
delivery can provide an antivascularization normalization effect to suppress
glioma. ((c)) RSNA, 2016 Online supplemental material is available for this
article.
PMID- 27192460
TI - Time-Intensity Curves Obtained after Microbubble Injection Can Be Used to
Differentiate Responders from Nonresponders among Patients with Clinically Active
Crohn Disease after 6 Weeks of Pharmacologic Treatment.
AB - Purpose To assess whether contrast material-enhanced ultrasonography (US) can be
used to differentiate responders from nonresponders among patients with
clinically active Crohn disease after 6 weeks of pharmacologic treatment.
Materials and Methods This prospective study was approved by our ethics
committee, and written informed consent was obtained from all patients. Fifty
consecutive patients (26 men and 24 women; mean age, 34.76 years +/- 9) with a
proved diagnosis of active Crohn disease who were scheduled to begin therapy with
biologics (infliximab or adalimumab) were included, with enrollment from June 1,
2013, to June 1, 2015. In each patient, the terminal ileal loop was imaged with
contrast-enhanced US before the beginning and at the end of week 6 of
pharmacologic treatment. Time-intensity curves obtained in responders (those with
a decrease in the Crohn disease endoscopic index of severity score of 25-44
before treatment to 10-15 after treatment, an inflammatory score <7, and/or a
decrease >=70 in the Crohn disease activity index score compared with baseline)
and nonresponders were compared with Mann-Whitney test. Results Responders (n =
31) and nonresponders (n = 19) differed (P < .05) in the percent change of peak
enhancement (-40.78 +/- 62.85 vs 53.21 +/- 72.5; P = .0001), wash-in (-34.8 +/-
67.72 vs 89.44 +/- 145.32; P = .001) and washout (-5.64 +/- 130.71 vs 166.83 +/-
204.44; P = .002) rate, wash-in perfusion index (-42.29 +/- 59.21 vs 50.96 +/-
71.13; P = .001), area under the time-intensity curve (AUC; -46.17 +/- 48.42 vs
41.78 +/- 87.64; P = .001), AUC during wash-in (-43.93 +/- 54.29 vs 39.79 +/-
70.85; P = .001), and AUC during washout (-49.36 +/- 47.42 vs 42.65 +/- 97.09; P
= .001). Responders and nonresponders did not differ in the percent change of
rise time (5.09 +/- 49.13 vs 6.24 +/- 48.06; P = .93) and time to peak
enhancement (8.82 +/- 54.5 vs 10.21 +/- 43.25; P = .3). Conclusion Analysis of
time-intensity curves obtained after injection of microbubble contrast material 6
weeks after beginning pharmacologic treatment can be used to differentiate
responders from nonresponders among patients with clinically active Crohn
disease. (c) RSNA, 2016.
PMID- 27192461
TI - Diagnostic Performance of Self-navigated Whole-Heart Contrast-enhanced Coronary 3
T MR Angiography.
AB - Purpose To evaluate the diagnostic performance of self-navigated whole-heart
coronary 3-T magnetic resonance (MR) angiography by using conventional invasive
coronary angiography (ICA) as the reference gold standard. Materials and Methods
This study was approved by the local ethics committee. Written informed consent
was obtained from each patient before the study. Thirty-nine consecutive patients
underwent coronary MR angiography and later underwent ICA. Coronary MR
angiography was performed with a 3-T imager with contrast agent enhancement
during free breathing with self-navigated affine motion correction
reconstruction. Coronary segments with reference diameters larger than 1.5 mm
were included in the comparison between coronary MR angiography and ICA. The
coronary MR angiography images were evaluated by two experienced readers blinded
to the ICA results to identify significant luminal narrowing (>50% diameter
reduction in reference ICA). Sensitivity, specificity, positive predictive value,
negative predictive value, and accuracy were performed to detect significant
coronary artery stenosis. Results Coronary MR angiography examinations were
successfully performed in all 39 patients. A total of 327 coronary segments had
reference luminal diameter larger than 1.5 mm. Of these 327 coronary segments,
303 (92.7%) segments had a quality score greater than 1 at coronary MR
angiography and were included in the analysis. The sensitivity, specificity,
positive predictive value, negative predictive value, and accuracy were 78.2%,
75.0%, 81.8%, 70.6%, and 76.9%, respectively, on a per-patient basis. Conclusion
Contrast-enhanced self-navigated coronary 3-T MR angiography is a promising
technique for the noninvasive detection of clinically significant coronary
stenosis. (c) RSNA, 2016.
PMID- 27192463
TI - A case of trapped lung by a fractured rib.
PMID- 27192464
TI - Managing missed lower extremity compartment syndrome in the physiologically
stable patient: A systematic review and lessons from a Level I trauma center.
AB - BACKGROUND: Decompressing an acute lower extremity compartment syndrome salvages
muscle and nerve and preserves limb function. However, reperfusion of ischemic
tissue causes a systemic insult that can be life threatening. Hence, the
management of missed acute lower limb compartment syndrome remains controversial.
The aim of this study was to evaluate the literature and, together with our own
experience from a Level 1 trauma center, clarify the management of missed
compartment syndrome in the physiologically stable patient. METHODS: Pubmed,
EMBASE, MEDLINE, the Cochrane database of systematic reviews and the Cochrane
central register of controlled trials were searched. Studies were evaluated using
the GRADE methodology. In addition, our trauma database was searched (2005 to May
2015) for additional cases, and a multidisciplinary case note review was
conducted for all cases identified. This study was registered prospectively on
the PROSPERO database (CRD42015026098). RESULTS: Our systematic review yielded 9
studies, including one case-controlled study, 3 case series, and 5 case reports
with a total of 57 patients and 64 limbs. Overall, study quality was "very low"
with the exception of the case-controlled study, which was "low." Delayed
compartment decompression (6-120 hours) resulted in amputation rates of 5 of 24,
8 of 19, 4 of 5, and 2 of 3 limbs. Two patients died of complications associated
with late compartment decompression. One compartment syndrome of the buttock was
managed nonoperatively. Most surviving limbs exhibited functional
deficits.Additionally, our experience comprised 10 cases. Of the six who
underwent compartment decompression, the burden of subsequent morbidity included
three amputations (one above knee), two complete foot drops, and one episode of
severe sepsis. As this experience mirrored the poor outcomes reported in the
literature, we managed the four most recent cases nonoperatively. All remain
ambulant with incomplete foot drops or limb weakness. CONCLUSION: Surgical
decompression of missed acute lower limb compartment syndrome yields an early
physiological insult and a high late-amputation rate. Managing selected cases
nonoperatively may result in less early morbidity and yield superior long-term
results, but the evidence remains sparse and of poor quality. LEVEL OF EVIDENCE:
Systematic review, level III.
PMID- 27192465
TI - Repainting the ceiling: Do patient safety and satisfaction initiatives make
things safer or more satisfying?
PMID- 27192466
TI - Emergent non-image-guided resuscitative endovascular balloon occlusion of the
aorta (REBOA) catheter placement: A cadaver-based study.
AB - BACKGROUND: Emergent resuscitative endovascular balloon occlusion of the aorta
(REBOA) insertion for critically injured patients in hemorrhagic shock is
performed blindly with fluoroscopic imaging confirmation. The aim of this study
was to determine a reliable method for initial REBOA catheter insertion with
balloon deployment between the left subclavian artery takeoff and the celiac
trunk (CT). METHODS: Human cadaver study. External surface (sternal notch, mid
sternum, xiphoid) and intravascular (left subclavian artery [LSA], and CT)
landmarks were measured from standardized left and right common femoral artery
puncture sites. The landing zone (LZ, distance between LSA and CT) and margins of
safety (distance from distal balloon edge to LSA and proximal balloon edge to CT)
were calculated using intravascular landmarks. The probability of balloon
deployment in the LZ using external landmarks was compared in univariate analysis
using the Fisher exact test. RESULTS: Ten cadavers were analyzed (seven males;
mean body mass index, 19.4 kg/m). Mean (SD) intravascular distances from femoral
puncture sites to the LSA and CT were 54.8 (1.9) cm and 32.9 (1.9) cm. The mean
(SD) LZ was 21.8 (3.8) cm. Mean (SD) surface distances from femoral puncture
sites to the xiphoid, mid-sternum, and sternal notch were 31.8 (3.9) cm, 41.8
(3.3) cm, and 51.8 (3.2) cm. Inserting the catheter to a distance approximated by
surface distance from the femoral puncture site to mid-sternum resulted in a 100%
likelihood balloon deployment in the LZ for both sides. This was superior to the
xiphoid and sternal notch (left site, p = 0.005; right site, p = 0.036; mean of
both sites, p = 0.083). Using the mid-sternum landmark, the mean (SD) margins of
safety to the LSA and CT were 10.7 (4.3) cm and 3.1 (3.4) cm. CONCLUSION: When
using the use of the mid-sternum landmark for REBOA balloon placement, the
likelihood of balloon deployment in the LZ was 100% with an acceptable margin of
safety.
PMID- 27192467
TI - Correlation between laboratory coagulation testing and thromboelastometry is
modified during management of trauma patients.
AB - BACKGROUND: Thromboelastometry (ROTEM, Pentapharm GmbH, Munich, Germany) is
increasingly being used to make a diagnosis of coagulopathy and to guide
hemostatic therapy (HT). Although ROTEM parameters and standard laboratory test
(SLT) correlated well before administration of HT, it is not known if this
correlation persists after hemostatic resuscitation. METHODS: A retrospective
analysis of prospectively collected data from a trauma registry (2011-2014) was
performed. All patients having a ROTEM analysis were included. ROTEM parameters
(clotting time and clot amplitude at 5 minutes) were determined after activation
with tissue factor (EXTEM) or platelet inhibition with cytochalasin D (FIBTEM).
Spearman rank correlation coefficient was calculated for the correlation between
SLT and thromboelastometry parameters, and thresholds were determined with
receiver operating characteristic (ROC) curve analysis for the diagnosis of an
international normalized ratio (INR) greater than 1.5, fibrinogen 1.5 g/L or
less, and platelet count of less than 100.10/L. RESULTS: Of the 358 patients
included, 533 thromboelastometry results were obtained (335 at admission, 198
during care). Correlation between INR and EXTEM-clotting time was good at
admission (r = 0.617) in the whole cohort but decreased in the subgroup of
patients having an Injury Severity Score of less than 25 (r = 0.399) or a base
excess of less than 6 mmol/L (r = 0.489). During care, correlation was impaired
after the administration of fibrinogen concentrates in the whole cohort (r =
0.430), as well as in the subgroup of patients having an Injury Severity Score
greater than 24 (r = 0.465). As well, for the diagnosis of increased INR,
sensitivity and the area under the ROC curve decreased from 75% and 0.894 (no
treatment) to 20% and 0.653 (fibrinogen concentrate). Areas under the ROC curve
for the prediction of a fibrinogen or platelet decrease were not significantly
altered regardless of the treatment group. CONCLUSIONS: A decrease in the
correlation between SLTs and ROTEM parameters was observed at admission or during
care, which could be in relation with injury severity, base deficit, or the
administration of blood products, particularly fibrinogen concentrate. Further
work will be necessary to better understand which tool is the most suitable for
guiding HT. LEVEL OF EVIDENCE: Therapeutic study, level IV; diagnostic study,
level IV.
PMID- 27192468
TI - Delayed presentation of pulmonary hernia following surgical stabilization of
severe rib fractures.
PMID- 27192470
TI - Contemporary management of civilian penetrating cervicothoracic arterial
injuries.
AB - BACKGROUND: The management of arterial injury at the thoracic outlet has long
hinged on the fundamental principles of extensile exposure and vascular
anastomosis. Nonetheless, treatment options for such injuries have evolved to
include both endovascular stent placement and temporary vascular shunts. The
purpose of this study was to evaluate our recent experience with penetrating
cervicothoracic arterial injuries in light of these developments in trauma care.
METHODS: Patients with penetrating injuries to the innominate, carotid,
subclavian, or axillary arteries managed at a single civilian trauma center
between 2000 and 2013 were categorized as the modern era (ME) cohort. The
management strategies and outcomes pertaining to the ME group were compared to
those of previously reported experience (PE) concerning injuries to the
innominate, carotid, subclavian, or axillary arteries at the same institution
from 1974 to 1988. RESULTS: Over the two eras, there were 202 patients: 110 in
the ME group and 92 in the PE group. Most of the injuries in both groups were
managed with primary repair (45% vs. 46%; p = 0.89). A similar proportion of
injuries in each group was managed with anticoagulation alone (14% vs. 10%; p =
0.40). In the ME group, two cases were managed with temporary shunt placement,
and endovascular stent placement was performed in 12 patients. Outcomes were
similar between the groups (bivariate comparison): mortality (ME, 15% vs. PE,
14%; p = 0.76), amputation following subclavian or axillary artery injury (ME, 5%
vs. PE, 4%; p = 0.58), and posttreatment stroke following carotid injury (ME, 2%
vs. PE, 6%; p = 0.57). CONCLUSIONS: Experience with penetrating arterial
cervicothoracic injuries at a high-volume urban trauma center remained remarkably
similar with respect to both anatomic distribution of injury and treatment.
Conventional operative exposure and repair remain the cornerstone of treatment
for most civilian cervicothoracic arterial injuries. LEVEL OF EVIDENCE:
Therapeutic study, level V.
PMID- 27192472
TI - Limiting chest computed tomography in the evaluation of pediatric thoracic
trauma.
AB - BACKGROUND: Computed tomography (CT) of the chest (chest CT) is overused in blunt
pediatric thoracic trauma. Chest CT adds to the diagnosis of thoracic injury but
rarely changes patient management. We sought to identify a subset of blunt
pediatric trauma patients who would benefit from a screening chest CT based on
their admission chest x-ray (CXR) findings. We hypothesize that limiting chest CT
to patients with an abnormal mediastinal silhouette identifies intrathoracic
vascular injuries not otherwise seen on CXR. METHODS: All blunt trauma
activations that underwent an admission CXR at our Level 1 pediatric trauma
center from 2005 to 2013 were retrospectively reviewed. Patients who had a chest
CT were evaluated for added diagnoses and change in management after CT. RESULTS:
An admission CXR was performed in 1,035 patients. One hundred thirty-nine
patients had a CT, and the diagnosis of intra-thoracic injury was added in 42% of
patients. Chest CT significantly increased the diagnosis of contusion or
atelectasis (30.3% vs 60.4%; p < 0.05), pneumothorax (7.2% vs 18.7%; p < 0.05),
and other fractures (4.3% vs 10.8%; p < 0.05) on CXR compared to chest CT. Chest
CT changed the management of only 4 patients (2.9%). Two patients underwent
further radiologic evaluation that was negative for injury, one had a chest tube
placed for an occult pneumothorax before exploratory laparotomy, and one patient
had a thoracotomy for repair of aortic injury. Chest CT for select patients with
an abnormal mediastinal silhouette on CXR would have decreased CT scans by 80%
yet still identified patients with an intrathoracic vascular injury. CONCLUSIONS:
The use of chest CT should be limited to the identification of intrathoracic
vascular injuries in the setting of an abnormal mediastinal silhouette on CXR.
LEVEL OF EVIDENCE: Therapeutic study, level IV; diagnostic study, level III.
PMID- 27192471
TI - Reducing acute kidney injury due to vancomycin in trauma patients.
AB - BACKGROUND: Supratherapeutic vancomycin trough levels are common after trauma and
associated with both increased acute kidney injury (AKI) and mortality. We sought
to limit the adverse effects of vancomycin in trauma patients through more
frequent trough monitoring. METHODS: Beginning in January 2011, trauma patients
treated with vancomycin had trough levels (VT) monitored daily until steady state
was reached. Trauma patients admitted from January 2011 to May 2015 (POST) were
compared with those admitted from January 2006 to December 2010 (PRE). Inclusion
criteria required administration of intravenous vancomycin, admission serum
creatinine (SCr), and SCr within 72 hours of highest VT. Acute kidney injury was
defined as an increase in SCr of at least 0.3 mg/dL or 50% from admission to post
vancomycin administration. Those in the POST group were prospectively followed up
until discharge or death. RESULTS: Two hundred sixty-three patients met inclusion
criteria in the PRE-phase and 115 in the POST-phase. The two groups were similar
in age, gender, race, body mass index, pre-existing comorbidities, admission
systolic blood pressure, Glasgow Coma Scale, and head Abbreviated Injury Scale.
Injury Severity Score was higher in the POST cohort (18 PRE vs. 25 POST, p <
0.001). Compared with PRE, the POST cohort had lower rates of supratherapeutic VT
(>20 mg/L) (34.6% PRE vs. 22.6% POST, p = 0.02) and AKI (30.4% PRE vs. 19.1%
POST, p = 0.026). After adjusting for confounders, the POST group had a
significantly lower risk of AKI with an adjusted odds ratio of 0.457 (p = 0.027).
There was a trend toward decreased mortality in the POST cohort, but this did not
reach significance (10% PRE vs. 5.2% POST, p = 0.162). CONCLUSIONS: A reduction
in AKI was observed in trauma patients with daily vancomycin trough levels
monitored until steady state. Increased awareness regarding closer surveillance
of VT in trauma patients may limit the incidence of vancomycin-related
nephrotoxicity. LEVEL OF EVIDENCE: Therapeutic study, level IV.
PMID- 27192473
TI - Smartkuber: A Serious Game for Cognitive Health Screening of Elderly Players.
AB - OBJECTIVE: The goal of this study was to design and develop a serious game for
cognitive health screening of the elderly, namely Smartkuber, and evaluate its
construct, criteria (concurrent and predictive), and content validity, assessing
its relationship with the Montreal Cognitive Assessment (MoCA) test. Furthermore,
the study aims to evaluate the elderly players' game experience with Smartkuber.
SUBJECTS AND METHODS: Thirteen older adults were enrolled in the study. The game
was designed and developed by a multidisciplinary team. The study follows a mixed
methodological approach, utilizing the In-Game Experience Questionnaire to assess
the players' game experience and a correlational study, to examine the
relationship between the Smartkuber and MoCA scores. The learning effect is also
examined by comparing the mean game scores of the first and last game sessions of
each player (Delta scores). RESULTS: All 13 participants (mean age: 68.69, SD:
7.24) successfully completed the study. Smartkuber demonstrated high concurrent
validity with the MoCA test (r = 0.81, P = 0.001) and satisfying levels of
predictive and content validity. The Delta scores showed no statistically
significant differences in scoring, thus indicating no learning effects during
the Smartkuber game sessions. CONCLUSIONS: The study shows that Smartkuber is a
promising tool for cognitive health screening, providing an entertaining and
motivating gaming experience to elderly players. Limitations of the study and
future directions are discussed.
PMID- 27192474
TI - The Enigma of Postoperative Troponin Elevation.
PMID- 27192475
TI - Predicting the Best Fit: A Comparison of Response Surface Models for Midazolam
and Alfentanil Sedation in Procedures With Varying Stimulation.
AB - BACKGROUND: Selecting an effective dose of sedative drugs in combined upper and
lower gastrointestinal endoscopy is complicated by varying degrees of pain
stimulation. We tested the ability of 5 response surface models to predict depth
of sedation after administration of midazolam and alfentanil in this complex
model. The procedure was divided into 3 phases: esophagogastroduodenoscopy (EGD),
colonoscopy, and the time interval between the 2 (intersession). METHODS: The
depth of sedation in 33 adult patients was monitored by Observer Assessment of
Alertness/Scores. A total of 218 combinations of midazolam and alfentanil effect
site concentrations derived from pharmacokinetic models were used to test 5
response surface models in each of the 3 phases of endoscopy. Model fit was
evaluated with objective function value, corrected Akaike Information Criterion
(AICc), and Spearman ranked correlation. A model was arbitrarily defined as
accurate if the predicted probability is <0.5 from the observed response.
RESULTS: The effect-site concentrations tested ranged from 1 to 76 ng/mL and from
5 to 80 ng/mL for midazolam and alfentanil, respectively. Midazolam and
alfentanil had synergistic effects in colonoscopy and EGD, but additivity was
observed in the intersession group. Adequate prediction rates were 84% to 85% in
the intersession group, 84% to 88% during colonoscopy, and 82% to 87% during EGD.
The reduced Greco and Fixed alfentanil concentration required for 50% of the
patients to achieve targeted response Hierarchy models performed better with
comparable predictive strength. The reduced Greco model had the lowest AICc with
strong correlation in all 3 phases of endoscopy. Dynamic, rather than fixed,
gamma and gammaalf in the Hierarchy model improved model fit. CONCLUSIONS: The
reduced Greco model had the lowest objective function value and AICc and thus the
best fit. This model was reliable with acceptable predictive ability based on
adequate clinical correlation. We suggest that this model has practical clinical
value for patients undergoing procedures with varying degrees of stimulation.
PMID- 27192476
TI - Hemostatic Therapy Using Tranexamic Acid and Coagulation Factor Concentrates in a
Model of Traumatic Liver Injury.
AB - BACKGROUND: The potential clinical benefits of targeted therapy with coagulation
factor concentrates (e.g., fibrinogen) and antifibrinolytic agents (e.g.,
tranexamic acid [TXA]) for the treatment of trauma-induced coagulopathy are
increasingly recognized. We hypothesized that human fibrinogen concentrate (FC)
and prothrombin complex concentrate (PCC), administered as combined therapy with
TXA, would provide additive effects for reducing blood loss in an animal trauma
model. METHODS: Thirty-six pigs were subjected to 2 consecutive blunt liver
injuries, resulting in severe hemorrhagic shock and coagulopathy. Intervention
comprised saline (control group); TXA (15 mg kg, TXA group); TXA and FC (90 mg
kg, TXA-FC); or TXA, FC, and PCC (20 U kg, TXA-FC-PCC). Blood loss,
thromboelastometry (ROTEM), measures of thrombin generation, platelet activation,
and global coagulation variables were monitored for 4 hours. Tissue sections were
examined to determine the occurrence of thromboembolic events. RESULTS: Total
blood loss was similar in the TXA-FC and TXA-FC-PCC groups (mean +/- SD: 1012 +/-
86 mL and 1037 +/- 118 mL, respectively; P = 1.000). These values were both lower
(P < 0.001) than the TXA group (1579 +/- 306 mL). Blood loss in all 3
intervention groups was lower (P < 0.001) than in the control group (2376 +/- 478
mL). After trauma and resuscitation, but before study intervention, plasma
fibrinogen levels were severely depleted (median for the whole study population:
66 mg dL; interquartile range: 51-108 mg dL) and clot strength was decreased
(EXTEM whole-blood maximum clot firmness [MCF]: 53 +/- 5 mm). Compared with
controls, TXA inhibited fibrinolysis and stabilized MCF and clotting time. The
addition of FC restored and stabilized hemostasis to a greater extent than TXA
alone; the addition of PCC had no statistically significant impact on blood loss,
clot strength (MCF), or clotting time, but it increased thrombin generation.
There were no significant differences among the study groups regarding platelet
activation. No thrombi or microthrombi were observed in any group at necropsy.
CONCLUSIONS: The early use of TXA and FC reduced blood loss and improved
coagulation measurements in a porcine model of blunt liver injury and hemorrhagic
shock. FC, administered in addition to TXA, was highly effective in reducing
blood loss. The lack of statistically significant reduction in blood loss when
PCC was added to TXA and FC may be attributable to the absence of thrombin
generation impairment in this model.
PMID- 27192477
TI - Ergonomic analysis of working postures using OWAS in semi-trailer assembly,
applying an individual sampling strategy.
AB - In semi-trailer assembly, workers are exposed to several physical risk factors.
Awkward working postures have not yet been investigated in semi-trailer assembly,
although they are known to be a major risk factor for musculoskeletal disorders.
We therefore conducted a comprehensive ergonomic analysis of working postures
using the Ovako working posture analysing system (OWAS), with an individual
sampling strategy. The postural load in semi-trailer assembly was assessed on the
basis of 20,601 observations of 63 workers executing a representative set of nine
work tasks. According to the OWAS, the postural load of various working postures
and body part positions may have a harmful effect on the musculoskeletal system.
We therefore give examples of corrective measures that could improve awkward
working postures. Applying an individual sampling strategy was revealed to have
advantages over a collective strategy, so this is recommended for future
ergonomic analyses.
PMID- 27192478
TI - Can chlorination co-select antibiotic-resistance genes?
AB - Selective pressures, such as chemical or heavy metal pollution, may co-select for
bacterial antibiotic resistance in the environment. However, whether chlorination
in water treatment can co-select antibiotic-resistant bacteria is controversial.
In this study, high capacity quantitative polymerase chain reaction (qPCR)
analysis was applied to target almost all known antibiotic-resistance genes
(ARGs) (282 types) and 13 mobile genetic elements (MGEs) in bacteria detected in
secondary effluents from a municipal wastewater treatment plant after
chlorination. The results revealed that 125 unique ARGs were detected in non
chlorinated samples, and the number decreased (79-91 types) as the chlorine
concentration was increased. Moreover, 7.49 * 10(4)-3.92 * 10(7) copies/100 ml
water reduction of ARGs occurred with 4 mg Cl2/l. Considering the relative
abundance of ARGs (i.e., ARG copies normalized to 16S rRNA gene copies), 119 ARGs
decreased in response to chlorination, whereas only six ARGs, such as dfrA1,
tetPB-03, tetPA, ampC-04, tetA-02, and erm(36), were potentially enriched by
10.90-, 10.06-, 8.63-, 6.86-, 3.77-, and 1.09-fold, respectively. Furthermore,
the relative abundance of 12 detected MGEs was lower after chlorination.
Therefore, chlorination was effective in reducing ARGs and MGEs rather than co
selecting them.
PMID- 27192479
TI - Bioaccumulation of (63)Ni in the scleractinian coral Stylophora pistillata and
isolated Symbiodinium using radiotracer techniques.
AB - Development of nickel mining activities along the New Caledonia coasts threatens
the biodiversity of coral reefs. Although the validation of tropical marine
organisms as bioindicators of metal mining contamination has received much
attention in the literature over the last decade, few studies have examined the
potential of corals, the fundamental organisms of coral reefs, to monitor nickel
(Ni) contamination in tropical marine ecosystems. In an effort to bridge this
gap, the present work investigated the bioaccumulation of (63)Ni in the
scleractinian coral Stylophora pistillata and in its isolated zooxanthellae
Symbiodinium, using radiotracer techniques. Results highlight the high capacities
of coral tissues (zooxanthellae and host tissues) to efficiently bioconcentrate
(63)Ni compared to skeleton (Concentration Factors CF at 14 days of exposure are
3 orders of magnitude higher in tissues than in skeleton). When non-contaminated
conditions were restored, (63)Ni was more efficiently retained in skeleton than
in coral tissues, with biological half-lives (Tb1/2) of 44.3 and 6.5 days,
respectively. In addition, our work showed that Symbiodinium bioconcentrated
(63)Ni exponentially, with a vol/vol concentration factor at steady state (VCFSS)
reaching 14,056. However, compilation of our results highlighted that despite
efficient bioconcentration of (63)Ni in Symbiodinium, their contribution to the
whole (63)Ni accumulation in coral nubbins represents less than 7%, suggesting
that other biologically controlled processes occur in coral host allowing such
efficient bioconcentration in coral tissues.
PMID- 27192480
TI - In vitro exposure of Ulva lactuca Linnaeus (Chlorophyta) to gasoline -
Biochemical and morphological alterations.
AB - Refined fuels have considerable share of pollution of marine ecosystems. Gasoline
is one of the most consumed fuel worldwide, but its effects on marine benthic
primary producers are poorly investigated. In this study, Ulva lactuca was chosen
as a biological model due to its cosmopolitan nature and tolerance to high levels
and wide range of xenobiotics and our goal was to evaluate the effects of
gasoline on ultrastructure and metabolism of that seaweed. The experimental
design consisted of in vitro exposure of U. lactuca to four concentrations of
gasoline (0.001%, 0.01%, 0.1%, and 1.0%, v/v) over 30 min, 1 h, 12 h, and 24 h,
followed by cytochemical, SEM, and biochemical analysis. Increase in the number
of cytoplasmic granules, loss of cell turgor, cytoplasmic shrinkage, and
alterations in the mucilage were some of the ultrastructural alterations observed
in thalli exposed to gasoline. Decrease in carotenoid and polyphenol contents, as
well as increase of soluble sugars and starch contents were associated with the
time of exposure to the xenobiotic. In combination, the results revealed
important morphological and biochemical alterations in the phenotype of U.
lactuca upon acute exposure to gasoline. This seaweed contain certain metabolites
assigned as candidates to biomarkers of the environmental stress investigated and
it is thought to be a promise species for usage in coastal ecosystems
perturbation monitoring system. In addition, the findings suggest that U. lactuca
is able to metabolize gasoline hydrocarbons and use them as energy source, acting
as bioremediator of marine waters contaminated by petroleum derivatives.
PMID- 27192481
TI - Characterization of dissolved organic nitrogen in wet deposition from Lake Erhai
basin by using ultrahigh resolution FT-ICR mass spectrometry.
AB - Dissolved Organic Nitrogen (DON) of wet deposition in Erhai basin (EWD) was
characterized at the molecular level by using electrospray ionization Fourier
transform ion cyclotron resonance mass spectrometry (ESI FT-ICR MS). The
structure and composition of DON were investigated by the combined ESI FT-ICR MS,
UV-Vis absorbance and fluorescence techniques. The FT-ICR MS measurements
indicate that a large (~790) number of organic species present in the wet
deposition, in which DON account for 18.3%, with most of DON containing a single
nitrogen atom. The typical relative molecular mass of the DON species was found
to be in the range of 200-400 Da. Approximately 57.2% of DON species are highly
unsaturated (DBE (Double Bond Equivalent) > 5) with the nitrogen- and sulfur
containing species, which are probably represented mainly by active nitrooxy
organosulfates, accounting for ~ 19.3% of the total DON. The low average SUVA254
and A253/A203 values (0.02 and 0.06, respectively), indicates that the
aromaticity of the EWD samples is particularly weak. The average values of E2/E3
and E4/E6 in the EWD samples were 6.84 and 1.84, respectively. This is a clear
indication of the low degree of humification of EWD samples, in agreement with
ESI FT-ICR MS measurements. Our study demonstrates that multiple experimental
techniques combined with FT-ICR MS, UV-Vis absorbance and fluorescence can be
efficiently used for in-depth studying the DON at the molecular level. Thus it
allows us to achieve a deep and insightful understanding of the DON structure and
composition.
PMID- 27192487
TI - Unexpected Metabolic Reactions and Secondary Targets of Pesticide Action.
AB - Pesticides provide a fascinating combination of substituents not present in other
environmental chemicals, leading to unexpected metabolites and toxicological
effects in pests, mammals, and other organisms. The parent compound and/or
metabolites of some pesticides have multiple targets, requiring identification of
the causal agents and their modes of action. This review considers a few of the
author's observations in the past six decades, some solved and others still
puzzling. It illustrates that a new substituent combination not only confers
specific chemical and physical properties to a class of compounds but often
yields metabolites with a surprising variety of biological activities. Examples
considered include proinsecticides, procyclic phosphates, CYP inhibitors as
synergists, thiocarbamate sulfoxides, promutagens, carcinogens, and hepatotoxins,
and stress tolerance inducers in plants. Although the discoveries considered are
based on pesticide toxicology, they are broadly applicable to environmental
toxicology and xenobiotics in animals, plants, and microorganisms.
PMID- 27192486
TI - Effects of Sex and Notch Signaling on the Osteocyte Cell Pool.
AB - Osteocytes play a fundamental role in mechanotransduction and skeletal
remodeling. Sex is a determinant of skeletal structure, and female C57BL/6J mice
have increased osteoblast number in cancellous bone when compared to male mice.
Activation of Notch in the skeleton causes profound cell-context dependent
changes in skeletal physiology. To determine the impact of sex and of Notch
signaling on the osteocyte cell pool, we analyzed cancellous and cortical bone of
1-6-month-old C57BL/6J or 129SvJ/C57BL/6J mice and determined the osteocyte
number/area. There was an age-dependent decline in osteocyte number in cancellous
bone of male but not female mice, so that 6-month-old female mice had a greater
number of osteocytes than male littermates. Although differences between male and
female mice were modest, female mice had ~10-15% greater number of
osteocytes/area. RNA sequence analysis of osteocyte-rich preparations did not
reveal differences between sexes in the expression of genes known to influence
bone homeostasis. Neither the activation of Notch1 nor the concomitant
inactivation of Notch1 and Notch2 in Osterix (Sp7) or Dentin matrix protein 1
(Dmp1) expressing cells had a pronounced and consistent effect on cancellous or
cortical bone osteocyte number in either sex. Moreover, inactivation of Notch1
and Notch2 in Dmp1 expressing cells did not influence the bone loss in a muscle
immobilization model of skeletal unloading. In conclusion, cancellous bone
osteocytes decline with age in male mice, cortical osteocytes are influenced by
sex in younger mice, but osteocyte cell density is not affected substantially by
Notch signaling. J. Cell. Physiol. 232: 363-370, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27192488
TI - Resistance to 3-HTMC-Induced Apoptosis Through Activation of PI3K/Akt, MEK/ERK,
and p38/COX-2/PGE2 Pathways in Human HT-29 and HCT116 Colorectal Cancer Cells.
AB - Increasing incidence and mortality of colorectal cancer brings the necessity to
uncover new possibilities in its prevention and treatment. Chalcones have been
identified as interesting compounds having chemopreventive and antitumor
properties. In this study, we investigated the effects of the synthetic chalcone
derivative 3-hydroxy-3',4,4',5'-tetra-methoxy-chalcone (3-HTMC) on proliferation,
cell cycle distribution, apoptosis, and its mechanism of action in human
colorectal HT-29 (COX-2 sufficient) and HCT116 (COX-2 deficient) cancer cells. We
showed that 3-HTMC decreased cell viability in a dose-dependent manner with a
more potent antiproliferative effect on HCT116 than HT-29 cells. Flow cytometric
analysis revealed G2 /M cell cycle accumulation in HT-29 cells and significant G2
/M arrest in HCT116 cells with a subsequent apoptosis shown by appearance of Sub
G1 peak. We demonstrated that 3-HTMC treatment on both cell lines induced
apoptotic process associated with overexpression of death receptor DR5,
activation of caspase-8 and -3, PARP cleavage, and DNA fragmentation. In
addition, 3-HTMC induced activation of PI3K/Akt and MEK/ERK principal survival
pathways which delay 3-HTMC-induced apoptosis in both cell lines. Furthermore,
COX-2 overexpression in HT-29 cells contributes to apoptosis resistance which
explains the difference of sensitivity between HT-29 and HCT116 cells to 3-HTMC
treatment. Even if resistance mechanisms to apoptosis reduced chalcone
antitumoral potential, our results suggest that 3-HTMC may be considered as an
interesting compound for colorectal cancer therapy or chemoprevention. J. Cell.
Biochem. 117: 2875-2885, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27192489
TI - The Potential Use of Forensic DNA Methods Applied to Sand Fly Blood Meal Analysis
to Identify the Infection Reservoirs of Anthroponotic Visceral Leishmaniasis.
AB - BACKGROUND: In the Indian sub-continent, visceral leishmaniasis (VL), also known
as kala azar, is a fatal form of leishmaniasis caused by the kinetoplastid
parasite Leishmania donovani and transmitted by the sand fly Phlebotomus
argentipes. VL is prevalent in northeast India where it is believed to have an
exclusive anthroponotic transmission cycle. There are four distinct cohorts of L.
donovani exposed individuals who can potentially serve as infection reservoirs:
patients with active disease, cured VL cases, patients with post kala azar dermal
leishmaniasis (PKDL), and asymptomatic individuals. The relative contribution of
each group to sustaining the transmission cycle of VL is not known.
METHODOLOGY/PRINCIPAL FINDINGS: To answer this critical epidemiological question,
we have addressed the feasibility of an approach that would use forensic DNA
methods to recover human DNA profiles from the blood meals of infected sand flies
that would then be matched to reference DNA sampled from individuals living or
working in the vicinity of the sand fly collections. We found that the ability to
obtain readable human DNA fingerprints from sand flies depended entirely on the
size of the blood meal and the kinetics of its digestion. Useable profiles were
obtained from most flies within the first 24 hours post blood meal (PBM), with a
sharp decline at 48 hours and no readable profiles at 72 hours. This early time
frame necessitated development of a sensitive, nested-PCR method compatible with
detecting L. donovani within a fresh, 24 hours blood meal in flies fed on
infected hamsters. CONCLUSION/SIGNIFICANCE: Our findings establish the
feasibility of the forensic DNA method to directly trace the human source of an
infected blood meal, with constraints imposed by the requirement that the flies
be recovered for analysis within 24 hours of their infective feed.
PMID- 27192490
TI - New Eocene Coleoid (Cephalopoda) Diversity from Statolith Remains: Taxonomic
Assignation, Fossil Record Analysis, and New Data for Calibrating Molecular
Phylogenies.
AB - New coleoid cephalopods are described from statolith remains from the Middle
Eocene (Middle Lutetian) of the Paris Basin. Fifteen fossil statoliths are
identified and assigned to the Sepiidae (Sepia boletzkyi sp. nov.,? Sepia pira
sp. nov.), Loliginidae (Loligo clarkei sp. nov.), and Ommastrephidae (genus
indet.) families. The sediments containing these fossils indicate permanent
aquatic settings in the infralittoral domain. These sediments range in age from
46 Mya to 43 Mya. Analysis of the fossil record of statoliths (from findings
described here, together with a review of previously published data) indicates
marked biases in our knowledge. Fossil statoliths are known from as far back as
the Early Jurassic (199.3 to 190.8 Mya) but surprisingly, to the best of our
knowledge, no record occurs in the Cretaceous. This is a "knowledge bias" and
clearly calls for further studies. Finally, we attempt to compare findings
described here with fossils previously used to constrain divergence and/or
diversification ages of some coleoid subclades in molecular phylogenies. This
comparison clearly indicates that the new records detailed here will challenge
some estimated divergence times of coleoid cephalopod subclades.
PMID- 27192492
TI - Risk Factors for Seabird Bycatch in a Pelagic Longline Tuna Fishery.
AB - Capture in global pelagic longline fisheries threatens the viability of some
seabird populations. The Hawaii longline tuna fishery annually catches hundreds
of seabirds, primarily Laysan (Phoebastria immutabilis) and black-footed (P.
nigripes) albatrosses. Since seabird regulations were introduced in 2001, the
seabird catch rate has declined 74%. However, over the past decade, seabird catch
levels significantly increased due to significant increasing trends in both
effort and nominal seabird catch rates. We modelled observer data using a spatio
temporal generalized additive mixed model with zero-inflated Poisson likelihood
to determine the significance of the effect of various risk factors on the
seabird catch rate. The seabird catch rate significantly increased as annual mean
multivariate ENSO index values increased, suggesting that decreasing ocean
productivity observed in recent years in the central north Pacific may have
contributed to the increasing trend in nominal seabird catch rate. A significant
increasing trend in number of albatrosses attending vessels, possibly linked to
declining regional ocean productivity and increasing absolute abundance of black
footed albatrosses, may also have contributed to the increasing nominal seabird
catch rate. Largest opportunities for reductions are through augmented efficacy
of seabird bycatch mitigation north of 23 degrees N where mitigation methods are
required and during setting instead of during hauling. Both side vs. stern
setting, and blue-dyed vs. untreated bait significantly reduced the seabird catch
rate. Of two options for meeting regulatory requirements, side setting had a
significantly lower seabird catch rate than blue-dyed bait. There was significant
spatio-temporal and seasonal variation in the risk of seabird capture with
highest catch rates in April and May and to the northwest of the main Hawaiian
Islands.
PMID- 27192491
TI - Prescribing of Antidiabetic Medicines before, during and after Pregnancy: A Study
in Seven European Regions.
AB - AIM: To explore antidiabetic medicine prescribing to women before, during and
after pregnancy in different regions of Europe. METHODS: A common protocol was
implemented across seven databases in Denmark, Norway, The Netherlands, Italy
(Emilia Romagna/Tuscany), Wales and the rest of the UK. Women with a pregnancy
starting and ending between 2004 and 2010, (Denmark, 2004-2009; Norway, 2005
2010; Emilia Romagna, 2008-2010), which ended in a live or stillbirth, were
identified. Prescriptions for antidiabetic medicines issued (UK) or dispensed
(non-UK) during pregnancy and/or the year before or year after pregnancy were
identified. Prescribing patterns were compared across databases and over calendar
time. RESULTS: 1,082,673 live/stillbirths were identified. Pregestational insulin
prescribing during the year before pregnancy ranged from 0.27% (CI95 0.25-0.30)
in Tuscany to 0.45% (CI95 0.43-0.47) in Norway, and increased between 2004 and
2009 in all countries. During pregnancy, insulin prescribing peaked during the
third trimester and increased over time; third trimester prescribing was highest
in Tuscany (2.2%) and lowest in Denmark (0.5%). Of those prescribed an insulin
during pregnancy, between 50.5% in Denmark and 88.8% in the Netherlands received
an insulin analogue alone or in combination with human insulin, this proportion
increasing over time. Oral products were mainly metformin and prescribing was
highest in the 3 months before pregnancy. Metformin use during pregnancy
increased in some countries. CONCLUSION: Pregestational diabetes is increasing in
many areas of Europe. There is considerable variation between and within
countries in the choice of medication for treating pregestational diabetes in
pregnancy, including choice of insulin analogues and oral antidiabetics, and very
large variation in the treatment of gestational diabetes despite international
guidelines.
PMID- 27192493
TI - Errors in Data.
PMID- 27192494
TI - Correction: Effect of Providing Multiple Micronutrients in Powder through Primary
Healthcare on Anemia in Young Brazilian Children: A Multicentre Pragmatic
Controlled Trial.
AB - [This corrects the article DOI: 10.1371/journal.pone.0151097.].
PMID- 27192495
TI - The Keap1-Nrf2-ARE Pathway As a Potential Preventive and Therapeutic Target: An
Update.
AB - The Keap1-Nrf2-ARE ((Kelch-like ECH-Associating protein 1) nuclear factor
erythroid 2 related factor 2-antioxidant response element) pathway is one of the
most important defense mechanisms against oxidative and/or electrophilic
stresses, and it is closely associated with inflammatory diseases, including
cancer, neurodegenerative diseases, cardiovascular diseases, and aging. In recent
years, progress has been made in strategies aimed at modulating the Keap1-Nrf2
ARE pathway. The Nrf2 activator DMF (Dimethylfumarates) has been approved by the
FDA as a new first-line oral drug to treat patients with relapsing forms of
multiple sclerosis, while a phase 3 study of another promising candidate, CDDO
Me, was terminated for safety reasons. Directly inhibiting Keap1-Nrf2 protein
protein interactions as a novel Nrf2-modulating strategy has many advantages over
using electrophilic Nrf2 activators. The development of Keap1-Nrf2 protein
protein interaction inhibitors has become a topic of intense research, and potent
inhibitors of this target have been identified. In addition, inhibiting Nrf2
activity has attracted an increasing amount of attention because it may provide
an alternative cancer therapy. This review summarizes the molecular mechanisms
and biological functions of the Keap1-Nrf2-ARE system. The main focus of this
review is on recent progress in studies of agents that target the Keap1-Nrf2-ARE
pathway and the therapeutic applications of such agents.
PMID- 27192496
TI - Metagenomic assessment of the microbial diversity in ground pork products from
markets in the North Central Region of South Korea.
AB - The purpose of this study was to characterize the microbial community in ground
pork using molecular approaches. Forty six ground pork products were purchased
from local stores in the north central area of South Korea. Aerobic plate counts
varied 4.23 +/- 5.14 * 10(5) CFU/g with the range between 5.00 * 10(3) and 1.85 *
10(6) CFU/g for ground pork samples. Four ground meat samples were further
processed for metagenomic analysis. Pseudomonas species was the most relative
abundant with a wide range occurring (1.72 to 77.7%) as part of the microbial
genera in ground pork. Bacteria such as Carnobacterium, Yersinia, Photobacterium
were also identified in ground pork. Despite the prominence of certain genera
across all samples there was still extensive microbial diversity among ground
pork products that originated from different slaughter houses and were processed
in different markets. Such diversity indicates that designing interventions to
extend shelf life may be hampered by the extensive variability in the microbial
consortia associated with pork products. However, this diversity may be useful
for developing microbial traceability signatures unique to a slaughter house or a
particular market.
PMID- 27192497
TI - Quantitative magnetic resonance arthrography in patients with femoroacetabular
impingement.
AB - PURPOSE: Quantitative MRI (QMRI) of the hip with sequences such as T1rho and T2
mapping has been utilized to detect early changes in cartilage matrix
composition. However, QMRI has not been performed in the presence of intra
articular contrast. Thus the purpose of this study was to evaluate the
feasibility and use of QMRI during MR-arthrography (MRA) in femoracetabular
impingement (FAI) patients. MATERIALS AND METHODS: Using a 3 Tesla MR-scanner, 10
FAI patients underwent a unilateral MRA and standard MRI of the hip joint. Global
and sub-regional T1rho and T2 relaxation times of the acetabular and femoral
articular cartilage were computed in the MRA and MRI assessments and agreement of
these values were assessed using the Krippendorff's alpha (alpha) coefficient and
linear regression (MU). T1rho and T2 relaxation times between the MRA and MRI
were compared using a repeated measures analysis of variance. RESULTS: Both
global and sub-regional T1rho and T2 relaxation times demonstrated strong
agreement (alpha > 0.83; MU > 0.85) independent of intra-articular contrast.
Also, global and sub-regional acetabular T1rho (P = 0.72) and T2 (P = 0.94), as
well as femoral T1rho , relaxation times were similar between MRA and MRI (P =
0.73) yet femoral T2 relaxation times decreased when using intra-articular
contrast (P = 0.04). CONCLUSION: This study demonstrated the feasibility of T1rho
and T2 mapping for use in hip MRA with FAI patients. The inclusion of QMRI in MRA
provides a quantitative assessment of the effects of FAI on hip joint articular
cartilage while allowing for detailed assessment of labral pathology with the use
of intra-articular contrast. J. Magn. Reson. Imaging 2016;44:1539-1545.
PMID- 27192498
TI - Possible Case of Novel Spotted Fever Group Rickettsiosis in Traveler Returning to
Japan from India.
AB - A 60-year-old woman experienced fever, headache, rash, and altered vision after
returning to Japan from India. Testing detected elevated antibody titers to
spotted fever group rickettsia; PCR on blood yielded positive results for the
rickettsial outer membrane protein A gene. We isolated a unique rickettsial agent
and performed a full-genome analysis.
PMID- 27192499
TI - Image Quality in Oncologic Chest Computerized Tomography With Iterative
Reconstruction: A Phantom Study.
AB - OBJECTIVE: The purpose of this study was to validate iterative reconstruction
technique in oncologic chest computed tomography (CT). METHODS: An
anthropomorphic thorax phantom with 4 simulated tumors was scanned on a 64-slice
CT scanner with 2 different iterative reconstruction techniques: one model based
(MBIR) and one hybrid (ASiR). Dose levels of 14.9, 11.1, 6.7, and 0.6 mGy were
used, and all images were reconstructed with filtered back projection (FBP) and
both iterative reconstruction algorithms. Hounsfield units (HU) and absolute
noise were measured in the tumors, lung, heart, diaphragm, and muscle. Contrast
to-noise ratios (CNRs) and signal-to-noise ratios (SNRs) were calculated.
RESULTS: Model-based iterative reconstruction (MBIR) increased CNRs of the tumors
(21.1-192.2) and SNRs in the lung (-49.0-165.6) and heart (3.1-8.5) at all dose
levels compared with FBP (CNR, 1.1-23.0; SNR, -7.5-31.6 and 0.2-1.1) and with
adaptive statistical iterative reconstruction (CNR, 1.2-33.2; SNR, -7.3-37.7 and
0.2-1.5). At the lowest dose level (0.6 mGy), MBIR reduced the cupping artifact
(HU range: 17.0 HU compared with 31.4-32.2). An HU shift in the negative
direction was seen with MBIR. CONCLUSIONS: Quantitative image quality parameters
in oncologic chest CT are improved with MBIR compared with FBP and simpler
iterative reconstruction algorithms. Artifacts at low doses are reduced. A shift
in HU values was shown; thus, absolute HU values should be used with care.
PMID- 27192500
TI - Role of Cardiac Computed Tomography in the Evaluation of Coronary Artery Stenosis
in Patients With Ascending Aorta Aneurysm Detected at Transthoracic
Echocardiography.
AB - OBJECTIVE: The aim of our study was to evaluate the diagnostic performance of
cardiac computed tomography (CCT) in the evaluation of coronary artery stenosis
in patients with ascending aorta aneurysm detected at transthoracic
echocardiography. METHODS: We conducted a retrospective analysis of patients with
an aneurysm 45 mm or greater at transthoracic echocardiography who underwent CCT
from 2012 to 2014 in our hospital. We calculated the sensitivity, specificity,
and positive and negative predictive values of CCT for the assessment of coronary
artery stenosis (<50% or >=50% stenosis) in patients who underwent conventional
coronary angiography. RESULTS: We included 104 patients (73 men, aged 64 [SD,
10.8] years) in our study. Obstructive coronary artery disease was found in 22.1%
of patients. Sensitivity, specificity, and positive and negative predictive
values of CCT for detecting significant stenoses were 100%, 98%, and 82% and 100%
on a segment-by-segment analysis and 100%, 83%, and 65% and 100% on a per-patient
analysis, respectively. CONCLUSIONS: Cardiac computed tomography provides a
comprehensive evaluation of ascending aorta aneurysms and coronary artery tree.
PMID- 27192501
TI - Diffusion-Weighted Breast Magnetic Resonance Imaging: A Semiautomated Voxel
Selection Technique Improves Interreader Reproducibility of Apparent Diffusion
Coefficient Measurements.
AB - OBJECTIVE: To determine whether a semiautomated voxel selection technique
improves interreader reproducibility for breast apparent diffusion coefficient
(ADC) measurements. METHODS: Three readers retrospectively performed ADC
measurements of 31 breast lesions (16 malignant, 15 benign) and contralateral
normal tissue in 26 women both unassisted (manual method) and assisted by a
semiautomated software tool that excludes voxels below a dynamically specified
signal intensity threshold. Reproducibility between readers for each technique
was assessed by Bland-Altman analysis and concordance correlation coefficients
(CCCs). RESULTS: Differences between readers' measured ADCs of lesions were
smaller with the semiautomated tool vs the manual method. Concordance correlation
coefficients for each reader pair were greater with the semiautomated tool for
lesions (mean CCC difference, 0.11; 95% confidence interval, 0.04-0.26). For
normal tissue, reader agreement was lower than for lesions and did not differ
based on software tools (mean CCC difference, 0.00; 95% confidence interval,
0.14 to 0.13). CONCLUSIONS: A semiautomated voxel selection tool can improve
interreader reproducibility of breast lesion ADC measures.
PMID- 27192502
TI - The Use of Breast Magnetic Resonance Imaging Parameters to Identify Possible
Signaling Pathways of a Serum Biomarker, HE4.
AB - OBJECTIVES: This study aimed to investigate the relationship between breast
magnetic resonance imaging (MRI) parameters; clinical features such as age, tumor
diameter, N, T, and TNM stages; and serum human epididymis protein 4 (HE4) levels
in patients with breast carcinoma and use this as a means of estimating possible
signaling pathways of the biomarker, HE4. METHODS: Thirty-seven patients with
breast cancer were evaluated by breast MRI and serum HE4 levels before therapy.
Correlations between parameters including age, tumor diameter T and N, dynamic
curve type, enhancement ratio (ER), slope washin (S-WI), time to peak (TTP),
slope washout (S-WO), and the serum level of HE4 were investigated statistically.
Human epididymis protein 4 levels of early and advanced stage of disease were
also compared statistically. RESULTS: Breast MRI parameters showed correlation to
serum HE4 levels and correlations were statistically significant. Of these MRI
parameters, S-WI had higher correlation coefficient than the others. Human
epididymis protein 4 levels were not statistically different in early and
advanced stage of disease. CONCLUSIONS: High correlation with MRI parameters
related to neoangiogenesis may indicate signaling pathway of HE4.
PMID- 27192503
TI - Correction of Motion Artifacts From Shuttle Mode Computed Tomography Acquisitions
for Body Perfusion Imaging Applications.
AB - OBJECTIVE: The aim of this study was to investigate the feasibility of shuttle
mode computed tomography (CT) technology for body perfusion applications by
quantitatively assessing and correcting motion artifacts. METHODS: Noncontrast
shuttle-mode CT scans (10 phases, 2 nonoverlapping bed locations) were acquired
from 4 patients on a GE 750HD CT scanner. Shuttling effects were quantified using
Euclidean distances (between-phase and between-bed locations) of corresponding
fiducial points on the shuttle and reference phase scans (prior to shuttle mode).
Motion correction with nonrigid registration was evaluated using sum-of-squares
differences and distances between centers of segmented volumes of interest on
shuttle and references images. RESULTS: Fiducial point analysis showed an average
shuttling motion of 0.85 +/- 1.05 mm (between-bed) and 1.18 +/- 1.46 mm (between
phase), respectively. The volume-of-interest analysis of the nonrigid
registration results showed improved sum-of-squares differences from 2950 to 597,
between-bed distance from 1.64 to 1.20 mm, and between-phase distance from 2.64
to 1.33 mm, respectively, averaged over all cases. CONCLUSIONS: Shuttling effects
introduced during shuttle-mode CT acquisitions can be computationally corrected
for body perfusion applications.
PMID- 27192504
TI - Adaptive prior weighting in generalized regression.
AB - The prior distribution is a key ingredient in Bayesian inference. Prior
information on regression coefficients may come from different sources and may or
may not be in conflict with the observed data. Various methods have been proposed
to quantify a potential prior-data conflict, such as Box's p-value. However,
there are no clear recommendations how to react to possible prior-data conflict
in generalized regression models. To address this deficiency, we propose to
adaptively weight a prespecified multivariate normal prior distribution on the
regression coefficients. To this end, we relate empirical Bayes estimates of
prior weight to Box's p-value and propose alternative fully Bayesian approaches.
Prior weighting can be done for the joint prior distribution of the regression
coefficients or-under prior independence-separately for prespecified blocks of
regression coefficients. We outline how the proposed methodology can be
implemented using integrated nested Laplace approximations (INLA) and illustrate
the applicability with a Bayesian logistic regression model for data from a cross
sectional study. We also provide a simulation study that shows excellent
performance of our approach in the case of prior misspecification in terms of
root mean squared error and coverage. Supplementary Materials give details on
software implementation and code and another application to binary longitudinal
data from a randomized clinical trial using a Bayesian generalized linear mixed
model.
PMID- 27192506
TI - [Cognitive Training in Parkinson's Disease - A New Therapy Option?].
AB - Cognitive impairment is a frequent and clinically relevant symptom in patients
with Parkinson's disease (PD). Given that pharmacological therapy options are
limited, non-pharmacological interventions for the prevention and treatment of
cognitive impairment in PD patients are attracting increasing interest. This
review summarizes the current state of research regarding cognition-based
interventions in PD patients. Eleven randomized controlled studies (RCT) were
found in a systematic literature search, all of which used cognitive training
(CT). These studies and a recently published meta-analysis demonstrate that
especially executive and memory functions can be enhanced by CT in PD patients.
Future studies examining CT or cognitive stimulation should include large PD
samples with well-defined cognitive inclusion criteria so that clear therapy
recommendations can be defined for PD patients without cognitive dysfunctions,
those with mild cognitive impairment, and those with dementia.
PMID- 27192505
TI - Use of a platelet-rich fibrin membrane to repair traumatic tympanic membrane
perforations: a comparative study.
AB - OBJECTIVES: (1) To evaluate the effects of a platelet-rich fibrin (PRF) membrane
in the repair of traumatic tympanic membrane (TM) perforations; and (2) to
compare the use of a PRF membrane with the paper patch technique with regard to
recovery rates, healing time, and correction of the mean air-bone gap. METHODS: A
randomized, prospective analysis was performed for 60 patients who were treated
for traumatic TM perforations using one of the two methods. Closure rate, speed
of healing, and hearing gain were compared between the PRF (Group 1) and paper
patch (Group 2) groups. RESULTS: Closure was obtained in 28 (93%) perforations in
Group 1 and 25 (83%) perforations in Group 2 (p > 0.05). On day 10, full closure
of the TM was observed in 24 (80%) patients in Group 1 and 16 (53%) patients in
Group 2 (p < 0.05). The improvement in the mean air-bone gap was 14.1 dB in Group
1 and 12.4 dB in Group 2 on post-operative day 45 (p < 0.05). CONCLUSIONS: In
comparison with the paper patch method, PRF, a new method, provided more rapid
healing with more successful audiological results, and with no requirement for a
second procedure.
PMID- 27192507
TI - Hydrophobic tail length plays a pivotal role in amyloid beta (25-35) fibril
surfactant interactions.
AB - The amyloid beta-peptide fragment comprising residues 25-35 (Abeta25-35 ) is
known to be the most toxic fragment of the full length Abeta peptide which
undergoes fibrillation very rapidly. In the present work, we have investigated
the effects of the micellar environment (cationic, anionic, and nonionic) on
preformed Abeta25-35 fibrils. The amyloid fibrils have been prepared and
characterized by several biophysical and microscopic techniques. Effects of
cationic dodecyl trimethyl ammonium bromide (DTAB), cetyl trimethylammonium
bromide (CTAB), anionic sodium dodecyl sulfate (SDS), and nonionic
polyoxyethyleneoctyl phenyl ether (Triton X-100 or TX) on fibrils have been
studied by Thioflavin T fluorescence, UV-vis spectroscopy based turbidity assay
and microscopic analyses. Interestingly, DTAB and SDS micelles were observed to
disintegrate prepared fibrils to some extent irrespective of their charges. CTAB
micelles were found to break down the fibrillar assembly to a greater extent. On
the other hand, the nonionic surfactant TX was found to trigger the fibrillation
process. The presence of a longer hydrophobic tail in case of CTAB is assumed to
be a reason for its higher fibril disaggregating efficacy, the premise of their
formation being largely attributed to hydrophobic interactions. Proteins 2016;
84:1213-1223. (c) 2016 Wiley Periodicals, Inc.
PMID- 27192508
TI - Changes in sight.
PMID- 27192509
TI - Benign skin disease with pustules in the newborn.
AB - The neonatal period comprises the first four weeks of life. It is a period of
adaptation where the skin often presents several changes: transient lesions,
resulting from a physiological response, others as a consequence of transient
diseases and some as markers of severe disorders. The presence of pustules in the
skin of the newborn is always a reason for the family and for the assisting
doctor to be worried, since the newborn is especially vulnerable to bacterial,
viral or fungal infection. However, the majority of neonatal skin pustules is not
infectious, comprising the benign neonatal pustulosis. Benign neonatal pustuloses
are a group of clinical disease characterized by pustular eruptions in which a
contagious agent is not responsible for its etiology. The most common ones are
erythema toxicum neonatorum, the transient neonatal pustular melanosis and the
benign cephalic pustulosis. These dermatoses are usually benign, asymptomatic and
self-limited. It is important that the dermatologist and the neonatologist can
identify benign and transient lesions, those caused by genodermatoses, and
especially differentiate between neonates with systemic involvement from those
with benign skin lesions, avoiding unnecessary diagnostic tests and worries.
PMID- 27192510
TI - Photodynamic action of protoporphyrin IX derivatives on Trichophyton rubrum.
AB - BACKGROUND: Dermatophytes are filamentous keratinophilic fungi. Trichophyton
rubrum is a prevalent infectious agent in tineas and other skin diseases. Drug
therapy is considered to be limited in the treatment of such infections, mainly
due to low accessibility of the drug to the tissue attacked and development of
antifungal resistance in these microorganisms. In this context, Photodynamic
Therapy is presented as an alternative. OBJECTIVE: Evaluate, in vitro, the
photodynamic activity of four derivatives of Protoporphyrin IX by irradiation
with LED 400 nm in T. rubrum. METHOD: Assays were subjected to irradiation by
twelve cycles of ten minutes at five minute intervals. RESULT: Photodynamic
action appeared as effective with total elimination of UFCs from the second
irradiation cycle. CONCLUSION: Studies show that the photodynamic activity on
Trichophyton rubrum relates to a suitable embodiment of the photosensitizer,
which can be maximized by functionalization of peripheral groups of the
porphyrinic ring.
PMID- 27192511
TI - Evaluation of dental material series from patients with dental prostheses and
suspicion of delayed hypersensitivity.
AB - BACKGROUND: Patients with oral sensitivity are common in our practice. Allergic
contact dermatitis is one of the most frequent etiologies. OBJECTIVES: Evaluate
oral contact dermatitis using the Brazilian standard series and complementary
dental series in patients using dental prostheses, with or without oral
complaints. Determine specific dental Brazilian series. METHODS: Patients using
dental prostheses with or without oral complaints realized patch tests. Brazilian
standard series and complementary dental series were used according to ICDRG
recommendations. The results were analysed according to age, sex, race, atopic
conditions and symptoms associated. RESULTS: From 54 patients tested, 34 (63%)
were positive at least to one substance. Nineteen had oral complaints, such as
burning mouth, itch or oral erythema. There was no association between atopic
condition and tests results. Without the oral series, just 23(42,6%) patients had
a positive result. Using the Brazilian standard series with the complementary
dental series we improved the positivity of the patch test to 47%. CONCLUSION: In
patients using prostheses and with oral complaints, patch tests with Brazilian
standard series with complementary dental series improve the tests positivity.
PMID- 27192513
TI - Are 2D:4D finger-length ratios an indicator of androgenetic alopecia in males?
AB - BACKGROUND: Although the pathogenesis of androgenetic alopecia is not completely
understood, the roles of genetic susceptibility and androgens are well-known. A
lower ratio of the second digit (index finger = 2D) to the fourth digit (ring
finger = 4D) length has been hypothesized to reflect prenatal androgen exposure
and/or higher sensitivity to androgens. OBJECTIVES: To determine the relationship
between the second to fourth digit length ratio and androgenetic alopecia.
METHODS: Finger length measurements were made by a digital vernier calliper.
Androgenetic alopecia severity was assessed using the Hamilton-Norwood scale.
Subjects with an androgenetic alopecia score of grade III or more were included
in the study. RESULTS: A total of 189 males with androgenetic alopecia and 171
healthy controls were enrolled in the study. The age range of participants was 19
65 years. The 2D:4D ratios in patients with androgenetic alopecia were
significantly lower than those of healthy controls for the right hand; however,
no significant difference was found for the left hand. Average 2D:4D ratios in
androgenetic alopecia patients were also lower than in controls. No significant
relationship was observed between androgenetic alopecia severity and 2D:4D
ratios. CONCLUSION: Our data support the anatomical evidence of in utero androgen
exposure and/or an individual's sensitivity to androgens in patients with
androgenetic alopecia. Furthermore, the right hand 2D:4D ratio might be an
indicator of androgenetic alopecia development.
PMID- 27192512
TI - Assessment of adiposity in psoriatic patients by dual energy X-ray absorptiometry
compared to conventional methods.
AB - BACKGROUND: Obesity is considered a chronic low-grade inflammatory disease that
shares mediators of inflammation with psoriasis, such as TNF-alpha and IL-6. The
relationship between these two conditions involves factors such as predisposition
and response to therapy, in addition to an association with cardiovascular
disease. OBJECTIVES: The aim of the present study was to investigate the
prevalence of adiposity as determined by body mass index (BMI), waist
circumference (WC), and dual energy X-ray absorptiometry (DXA) evaluation in
patients with psoriasis. METHODS: BMI, WC and body composition by DXA were
measured in 42 psoriatic patients without joint complaints and in 41 control
patients using standard procedures. In the comparison between cases and controls,
we used Pearson's Chi2 test or Fisher's exact test, and the nonparametric Mann
Whitney test. The difference between the diverse classification methods for
obesity was evaluated using McNemar's test. To test the level of agreement
between those variables, we used the weighted kappa coefficient. RESULTS: There
was no difference in the prevalence of obesity among cases and controls. Both BMI
and WC had low agreement with measures of body fat evaluated by DXA. With the use
of DXA scanning, prevalence of overweight and obesity in patients with psoriasis
was 83.3%, which constitutes a strong evidence of the need for intervention on
this metabolic parameter. CONCLUSION: Dual energy X-ray absorptiometry was more
capable of identifying obesity compared with BMI and WC both in psoriatic and
control patients.
PMID- 27192514
TI - Improvement of health-related quality of life and adherence to treatment with
calcipotriol-betamethasone dipropionate gel in patients with psoriasis vulgaris.
AB - BACKGROUND: Psoriasis is a common, chronic, recurrent, immune-mediated disorder
of the skin and joints. It can have a significant negative impact on the
physical, emotional and psychosocial wellbeing of affected patients. OBJECTIVES:
To measure improvement in health-related QoL (HRQoL) in Greek patients with
psoriasis vulgaris after a month of treatment with calcipotriol-betamethasone
dipropionate gel; and evaluate adherence to treatment parameters. METHODS: The
study included 394 psoriasis vulgaris patients from 16 private dermatological
practices in Greece, all treated with calcipotriol-betamethasone dipropionate
gel. They were evaluated at the first visit and after 4 weeks. Moreover, they
completed the Dermatology Life Quality Index (DLQI), while other data such as
disease severity, subjective symptoms and adherence, were collected. RESULTS: At
week 4, the DLQI median was reduced by 3.5 points from the baseline (p<0.001;
baseline and week 4 median: 4.5 and 1.0 respectively). Pruritus and sleep
disorders also improved (p<0.001). Furthermore, 90.1% of the subjects fully
adhered to treatment, with a 97.1% mean level of compliance. CONCLUSIONS: The
convincing clinical results, with a distinct improvement in HRQoL, plus the high
level of adherence due to its advantageous physical properties, make the
calcipotriol-betamethasone dipropionate gel formulation an important, effective
and well-tolerated topical therapy to treat psoriasis.
PMID- 27192515
TI - Incidence of allergic contact sensitization in central Chinese subjects with
chronic urticaria.
AB - BACKGROUND: Chronic urticaria (CU) can be provoked by a wide variety of causes.
Some studies suggest contact sensitization may play a role in the disease.
OBJECTIVE: To investigate the incidence and distribution characteristics of
allergic contact sensitization in central Chinese subjects with CU, and assess
contact allergen avoidance measures in managing CU. METHODS: Patch tests were
performed, following the recommended standard procedure, with 20 selected
allergens, in line with the European baseline series. All subjects with positive
results were prescribed appropriate avoidance measures for the sensitizing
substances, while subjects with negative results served as the control group. CU
severity was assessed daily from week1 to week4 and for each subject, applying
the Urticaria Activity Score. RESULTS: 42.9% (233/543) of subjects with CU showed
positive reactions to one or more contact allergen(s). Potassium dichromate,
benzene mix and carba mix were more common in male patients, while nickel sulfate
was more frequent in females. The positive rates for different allergens varied
with age and occupation. The median (interquartile range) severity scores at week
1 were 20 (14-21) and 15 (14-27) for the allergen avoidance group and control
group, respectively (P>0.05); and 12 (7-15) and 14 (12-17) at week 4 (P<0.001).
CONCLUSION: The incidence of allergic contact sensitization in CU patients was
high, and appropriate contact allergen avoidance measures benefitted CU
management. Contact allergens may play a role in the pathogenic mechanism of CU
and patch tests are an option for CU patients.
PMID- 27192516
TI - Trichosporon isolation from human ungueal infections: is there a pathogenic role?
AB - BACKGROUND: Although dermatophytes are considered the major cause of
onychomycosis, many reports have incriminated non-dermatophyte moulds and yeasts
in the disease's etiology. Successive Trichosporon isolation from onychomycosis
has led to the genus being suspected as a nail primary pathogen. OBJECTIVE: To
determine the prevalence of Trichosporon isolation in onychomycosis patients who
attended a mycology diagnostic service in Rio de Janeiro, Brazil, between January
2003 and December 2006. The study also includes a worldwide review on
Trichosporon isolation prevalence in ungueal disease, emphasizing T. ovoides.
METHODS: This retrospective study was conducted with the support of staff from
the Mycology Laboratory at the Dermatological Service of Rio de Janeiro's Santa
Casa da Misericordia (MLDS). RESULTS: Mycological analysis provided positive
results equaling 47/5036 (0.93%) for Trichosporon spp.; obtained mainly as a
single agent (72.35%), and from mixed cultures (27.65%; X2= 6.397; p= 0.018). The
great majority belongs to the T. ovoides species (91.5%; n=43), obtained as a
single isolate (74.41%; n= 32/43; X2 = 7.023; p= 0.014). CONCLUSIONS: Although T.
ovoides is classically associated as an etiologic agent of white piedra, this
study highlights its potential as a human nail disease pathogen. Our study opens
doors for future epidemiologic and virulence factors aimed at determining whether
T. ovoides is an important causative agent of onychomycosis in Brazil.
PMID- 27192517
TI - Translation, cultural adaptation and validation for Brazilian Portuguese of the
Cardiff Acne Disability Index instrument.
AB - BACKGROUND: The Cardiff Acne Disability Index was originally developed in English
for measuring quality of life of acne patients. Considering the psychosocial
impact of this disease, it is important to have instruments culturally and
linguistically validated for use in Brazilian adolescents. OBJECTIVE: To
translate the Cardiff Acne Disability Index into Brazilian Portuguese, culturally
adapt it, and verify its reliability and validity in adolescent patients with
acne. METHODS: In the first step, the Cardiff Acne Disability Index was
translated and validated linguistically to Brazilian Portuguese in accordance
with international guidelines published. In the second step, the validation of
the Cardiff Acne Disability Index into Brazilian Portuguese instrument was
performed, when patients aged from 12 to 20 years with acne were selected. The
participants were interviewed to collect demographic data, submitted to the
classification of acne by the Global Acne Grading System and invited to respond
the Cardiff Acne Disability Index into Brazilian Portuguese version and DLQI (>16
years) or CDLQI (<=16 years). The internal consistency of Cardiff Acne Disability
Index into Brazilian Portuguese was assessed by Cronbach's alpha coefficient and
concurrent validity was measured by the Spearman correlation coefficient and
Student 's t-test for paired samples. RESULTS: The study included 100
adolescents. The Cardiff Acne Disability Index into Brazilian Portuguese version
showed good reliability and internal consistency (Cronbach's alpha = 0.73). The
concurrent validity of the scale was supported by a strong and significant
correlation with CDLQI / DLQI instruments (rs=0.802;p<0.001). CONCLUSIONS:
Cardiff Acne Disability Index into Brazilian Portuguese version is a reliable,
valid and valuable tool to measure the impact of acne on quality of life in
adolescent patients.
PMID- 27192518
TI - Effectiveness and safety of topical tacrolimus monotherapy for repigmentation in
vitiligo: a comprehensive literature review.
AB - Thus far, several small studies and case reports on the use of topical
immunomodulators in vitiligo have been published. We undertook a comprehensive
literature review, searching for studies evaluating clinical response to
tacrolimus topical therapy for vitiligo. A search was performed on PubMed/Medline
using the term "vitiligo", combined with "topical" and "ointment". Our inclusion
criteria were: use of tacrolimus ointment as monotherapy to treat vitiligo. We
found 29 studies from 2002 to 2014. Overall, 709 patients were treated in 29
studies. Pooling the lesions, 50% repigmentation of vitiligo patches was never
achieved before 2 months of treatment, with a peak after 6 months of therapy. The
best results were obtained on lesions of the cephalic region, especially the
face, with tacrolimus 0.1% ointment two times daily. The percentage of non
responsive patients ranged from 0% to 14%. Treatment was generally well
tolerated; only localized adverse effects were reported. Our objective was to
verify the effectiveness and safety of tacrolimus ointment monotherapy. It has
good efficacy and tolerability. At present, only small trials and case series are
available in the literature. Further, standardized investigations on a larger
number of patients are needed.
PMID- 27192519
TI - Leprosy among children under 15 years of age: literature review.
AB - Leprosy is a chronic infectious disease caused by Mycobacterium leprae,
representing a public health issue in some countries. Though more prevalent in
adults, the detection of new cases in children under 15 years of age reveals an
active circulation of bacillus, continued transmission and lack of disease
control by the health system, as well as aiding in the monitoring of the endemic.
Among patients under 15 years of age, the most affected age group is children
between 10 and 14 years of age, although cases of patients of younger than 1 year
of age have also been reported. Household contacts are the primary source of
infection, given that caretakers, such as babysitters and others, must be
considered in this scenario. Paucibacillary forms of the disease prevailed,
especially borderline-tuberculoid leprosy, with a single lesion in exposed areas
of the body representing the main clinical manifestation. Reactional states:
Lepra reactions are rare, although some authors have reported high frequencies of
this phenomenon, the most frequent of which is Type 1 Lepra Reaction. Peripheral
nerve involvement has been described at alarming rates in some studies, which
increases the chance of deformities, a serious problem, especially if one
considers the age of these patients. The protective effect of BCG vaccination was
found in some studies, but no consensus has been reached among different authors.
Children must receive the same multidrug therapy regimen and the doses should,
ideally, be calculated based on the child's weight. Adverse reactions to this
therapy are rare within this age group. This article aims to review
epidemiological, clinical, and therapeutic aspects of leprosy in patients under
15 years of age.
PMID- 27192520
TI - Papulonodular secondary syphilis: a rare clinic presentation confirmed by
serologic and histologic exams.
AB - Syphilis is a sexually transmitted disease caused by Treponema pallidum and
divided into three stages according to the duration of the disease: primary,
secondary and tertiary. Secondary syphilis has diverse clinical presentations,
such as papular-nodular lesions. This presentation is rare, with 15 cases
reported in the literature over the past 20 years. We report a case of secondary
syphilis with papular-nodular lesions in a healthy 63-year-old patient, who has
presented treponema in immunohistochemical examination of the skin lesions.
PMID- 27192521
TI - Scleroderma en coup de sabre treated with polymethylmethacrylate - Case report.
AB - The scleroderma en coup de sabre is a variant of localized scleroderma that
occurs preferentially in children. The disease progresses with a proliferative
and inflammatory phase and later atrophy and residual deformity, which are
treated with surgical techniques such as injectable fillers, transplanted or
autologous fat grafting and resection of the lesion. Among the most widely used
fillers is hyaluronic acid. However, there are limitations that motivate the
search for alternatives, such as polymethylmethacrylate, a permanent filler that
is biocompatible, non-toxic, non-mutagenic and immunologically inert. In order to
illustrate its application, a case of scleroderma en coup de sabre in a 17-year
old patient, who was treated with polymethylmethacrylate with excellent aesthetic
results, is reported.
PMID- 27192522
TI - Infantile multiple large pyogenic granuloma on burned skin. Case report and
review of literature.
AB - Pyogenic granuloma (PG) is a benign vascular lesion of the skin and mucous
membranes, presenting as a solitary, red, pedunculated papule that bleeds easily
and which appears to be a reactive vascular proliferation to minor injury. These
lesions are more common in children and are mostly seen in exposed sites.
Multiple disseminated PG is a rare condition. This report describes an infant
with multiple, disseminated, pyogenic granulomas following burns from boiling
milk. We reviewed literature published in English and found 9 cases. Six cases
were provoked by hot milk, 2 by hot water, while the cause of the other case is
unknown. Angiogiogenetic activity in milk may explain PG development in these
patients.
PMID- 27192523
TI - Cutaneous neonatal herpes simplex virus infection type 2: a case report.
AB - Neonatal herpes is a serious condition. Newborns can be contaminated in utero via
transplacental hematogenic transmission, upon delivery (the most frequent route),
or during the postnatal period (indirect transmission). Optimal management
requires prompt and accurate recognition, particularly in newborns, in order to
prevent complications. Acyclovir is the treatment of choice, but its
implementation is often delayed while awaiting test results, such as PCR and
serology. Cytology for diagnostic purposes is rarely used in dermatology, despite
the quick and reliable results. We report a case of neonatal herpes caused by
type 2 herpes simplex virus diagnosed by cytology.
PMID- 27192524
TI - Multifocal primary cutaneous extranodal NK/T lymphoma nasal type.
AB - Nasal type extranodal NK/T-cell lymphoma is a distinct entity according to the
World Health Organization classification. Although 60% to 90% of patients with
this disease present with a destructive mass in the midline facial tissues, it
may also primarily or secondarily involve extranasal sites, like the skin. We
report the case of a 77-year-old patient that came to our department with
erythematous plaques of the right leg and eczematous lesions of the trunk. These
lesions were biopsied and the patient was diagnosed with extranodal NK/T-cell
lymphoma, nasal type. He was treated with multi-agent systemic chemotherapy but
died 5 months after diagnosis. This case highlights the rarity and variability of
cutaneous features of this disease and its aggressive course and poor prognosis.
PMID- 27192525
TI - Paronychia and granulation tissue formation during treatment with isotretinoin.
AB - This paper describes the association of two unusual side effects of treatment
with isotretinoin for severe acne: paronychia and excess granulation tissue in
the nails furrows. We report a case of male patient aged 19 years, who in the
course of the 36th week of treatment with isotretinoin for acne grade III showed
erythema, edema, excess granulation tissue and onychocryptosis in various nail
beds of hands and feet, with no history of trauma associated. A literature review
revealed few reports of these adverse events, and two clinical patterns of
exuberant granulation tissue has been described: one in periungual location and
other in lesions of previous acne. The rarity and lack of knowledge on the best
treatment for granuloma-like reactions make this theme a considerable challenge.
PMID- 27192526
TI - Hyaline fibromatosis syndrome: cutaneous manifestations.
AB - Hyaline fibromatosis syndrome is the current name for clinical manifestations of
diseases previously known as "infantile systemic hyalinosis" and "juvenile
hyaline fibromatosis". The authors report representative clinical cases of each
one of the above subtypes with emphasis on cutaneous manifestations and
difficulties for early diagnosis in this syndrome, essentially of
multidisciplinary approach.
PMID- 27192528
TI - Penile ulcer as a specific clinical manifestation of Waldenstrom's
macroglobulinemia.
AB - Waldenstrom's macroglobulinemia is considered a lymphoma by the World Health
Organization. Cutaneous lesions, particularly of a specific type, are rare
occurring in 5% of patients. What draws attention in this case is the unusual
cutaneous clinical manifestation and its location on the genitals, which has not
been described in researched literature, therefore imposing differential
diagnosis with other etiologies of genital ulcers.
PMID- 27192527
TI - Sarcoidosis and chronic hepatitis C: treatment with prednisone and colchicine.
AB - Sarcoidosis is a disease which still has uncertain etiology. Possible
environmental causes are cited in the literature, like organic and inorganic
particles and infectious agents. Recent studies have demonstrated the occurrence
of sarcoidosis in patients with chronic C hepatitis; however, this association
remains without statistical or causal evidence. In this report a case of
sarcoidosis associated with chronic hepatitis C will be described, with
subcutaneous lesions, considered rare, and good response to treatment with
colchicine and prednisone. The hepatitis C virus was isolated in sarcoid tissue
and the association between the two diseases will be discussed.
PMID- 27192529
TI - Isolated plexiform neurofibroma mimicking a vascular lesion.
AB - Plexiform neurofibromas are benign tumors originating from peripheral nerve
sheaths, generally associated with Neurofibromatosis Type 1 (NF1). They are
diffuse, painful and sometimes locally invasive, generating cosmetic problems.
This report discusses an adolescent patient who presented with an isolated, giant
plexiform neurofibroma on her leg that was confused with a vascular lesion due to
its clinical aspects. Once the diagnosis was confirmed by surgical biopsy,
excision of the lesion was performed with improvement of the symptoms.
PMID- 27192530
TI - Paracoccidioidomycosis and cryptococcosis with localized skin manifestations:
report of two cases in the elderly.
AB - Distinct cases of Paracoccidioidomycosis and Cryptococcosis with atypical and
localized skin manifestation on the upper limbs of two elderly patients are
reported. In the 2nd one, he presented asymptomatic pulmonary cancer; the blood
tests for fungal infection were negative, and the etiologic agents were seen in
skin biopsy samples. This report emphasizes the importance of the differential
diagnosis of infectious diseases in elderly patients.
PMID- 27192531
TI - Syndrome in question.
AB - Neurofibromatosis is extremely variable in its presentation. Segmental
neurofibromatosis (SNF), which corresponds to NF-type 5 in the Riccardi
classification, is a rare disorder. It may go unrecognized if few lesions are
observed. We present a case of segmental neurofibromatosis in a 28 year-old
patient who presented with multiple papules and nodules distributed in dermatomal
fashion on the trunk. The histopathological examination of the lesions revealed a
non-encapsulated, well-circumscribed spindle cell neoplasm, which was consistent
with neurofibromas.
PMID- 27192532
TI - Bullous leukemia cutis mimicking facial cellulitis.
AB - Bullous leukemia cutis is an uncommon clinical manifestation of cutaneous
infiltration by leukemic cells, from B-cell chronic lymphocytic leukemia. We
present the case of a 67-year-old, female, chronic lymphocytic leukemia patient.
She was taking chlorambucil and developed facial edema with erythema and warmth,
misjudged as facial cellulitis. Two days later, she developed bullous lesions in
the arms, legs, neck and face. The histopathology of facial and bullous lesions
confirmed leukemia cutis. All lesions disappeared following the administration of
rituximab combined with cycles of fludarabine and cyclophosphamide. Although soft
tissue infections are common complications in patients undergoing chemotherapy,
leukemia cutis can also resemble cellulitis.
PMID- 27192533
TI - Relationship between acne vulgaris and attention-deficit/hyperactivity disorder
symptoms in a clinical sample of women.
AB - Acne vulgaris has recently been reported to be associated with elevated rates of
attention deficit/hyperactivity disorder in epidemiological studies. This report
examines childhood and current attention-deficit/hyperactivity disorder symptoms
in a clinical sample of female adults. Ninety-one women with acne vulgaris and 53
controls were included in this study. The aforementioned symptoms were measured
in participants. No significant differences were found between patients and
controls in any of the measurements. Contrary to the findings of epidemiological
studies, this study did not uncover a link between acne vulgaris and attention
deficit/hyperactivity disorder.
PMID- 27192535
TI - Les Antigenes Des Membranes Basales Et Les Nephropathies.
PMID- 27192534
TI - Modest Rise in Caesarean Section from 2000-2010: The Dutch Experience.
AB - BACKGROUND: The caesarean delivery (CD) rate has risen in most countries over the
last decades, but it remains relatively low in the Netherlands. Our objective was
to analyse the trends of CD rates in various subgroups of women between 2000 and
2010, and identify the practice pattern that is attributable to the relative
stability of the Dutch CD rate. METHODS: A total of 1,935,959 women from the
nationwide Perinatal Registry of the Netherlands were included. Women were
categorized into ten groups based on the modified CD classification scheme.
Trends of CD rates in each group were described. RESULTS: The overall CD rate
increased slightly from 14.0% in 2000-2001 to 16.7% in 2010. Fetal, early and
late neonatal mortality rates decreased by 40-50% from 0.53%, 0.21%, 0.04% in
2000-2001 to 0.29%, 0.12%, 0.02% in 2010, respectively. During this period, the
prevalence of non-vertex presentation decreased from 6.7% to 5.3%, even though
the CD rate in this group was high. The nulliparous women with spontaneous onset
of labor at term and a singleton child in vertex presentation had a CD rate of
9.9%, and 64.7% of multiparous women with at least one previous uterine scar and
a singleton child in vertex presentation had a trial of labor and the success
rate of vaginal delivery was 45.9%. CONCLUSIONS: The Dutch experience indicates
that external cephalic version for breech presentation, keeping the CD rate low
in nulliparous women and encouraging a trial of labor in multiparous women with a
previous scar, could help to keep the overall CD rate steady.
PMID- 27192536
TI - Serotypage Des Pneumocoques (Hemocultures Et Ponctions Tracheales), Sensibilite
Aux Antibiotiques Et Considerations Sur L'Usage D'Un Vaccin Specifique.
AB - 114 strains isolated by blood cultures and transtracheal aspirations were typed:
serotypes 3 and 4 were largely predominant and a 14-valent vaccine (1, 2, 3, 4,
6, 8, 9, 12, 14, 19, 23, 25, 51, 56) should cover 67 % of these strains and
protect 53 % of our patients because the efficacy of the vaccine is evaluated at
80 %. A controlled clinical trial seems to be necessary. We did not isolate any
penicillin-resistant strain but 14 % of our strains were resistant to
tetracycline.
PMID- 27192537
TI - Evaluation Des Cephalosporins De La Deuxieme Generation: Cefamandole, Cefoxitine,
Cefuroxime.
PMID- 27192539
TI - Therapeutic augmentation of the growth hormone axis to improve outcomes following
peripheral nerve injury.
AB - INTRODUCTION: Peripheral nerve injuries often result in debilitating motor and
sensory deficits. There are currently no therapeutic agents that are clinically
available to enhance the regenerative process. Following surgical repair, axons
often must regenerate long distances to reach and reinnervate distal targets.
Progressive atrophy of denervated muscle and Schwann cells (SCs) prior to
reinnervation contributes to poor outcomes. Growth hormone (GH)-based therapies
have the potential to accelerate axonal regeneration while at the same time
limiting atrophy of muscle and the distal regenerative pathway prior to
reinnervation. AREAS COVERED: In this review, we discuss the potential mechanisms
by which GH-based therapies act on the multiple tissue types involved in
peripheral nerve regeneration to ultimately enhance outcomes, and review the
pertinent mechanistic and translational studies that have been performed. We also
address potential secondary benefits of GH-based therapies pertaining to improved
bone, tendon and wound healing in the setting of peripheral nerve injury. EXPERT
OPINION: GH-based therapies carry great promise for the treatment of peripheral
nerve injuries, given the multi-modal mechanism of action not seen with other
experimental therapies. A number of FDA-approved drugs that augment the GH axis
are currently available, which may facilitate clinical translation.
PMID- 27192540
TI - Role of Associated Defects in Oxygen Ion Conduction and Surface Exchange Reaction
for Epitaxial Samaria-Doped Ceria Thin Films as Catalytic Coatings.
AB - Samaria-doped ceria (SDC) thin films are particularly important for energy and
electronic applications such as microsolid oxide fuel cells, electrolyzers,
sensors, and memristors. In this paper, we report a comparative study
investigating ionic conductivity and surface reactions for well-grown epitaxial
SDC films varying the samaria doping concentration. With increasing doping above
20 mol % of samaria, an enhancement in the defect association is observed by
Raman spectroscopy. The role of such associated defects on the films oxygen ion
transport and exchange is investigated by electrochemical impedance spectroscopy
and electrochemical strain microscopy (ESM). The measurements reveal that the
ionic transport has a sharp maximum in ionic conductivity and drops in its
activation energy down to 0.6 eV for 20 mol % doping. Increasing the doping
concentration further up to 40 mol %, it raises the activation energy
substantially by a factor of 2. We ascribe the sluggish transport kinetics to the
"bulk" ionic-near ordering in case of the heavily doped epitaxial films. Analysis
of the ESM first-order reversal curve measurements indicates that these
associated defects may have a beneficial role by lowering the activation of the
oxygen exchange "surface" reaction for heavily doped 40 mol % of samaria. In a
model experiment, through a solid solution series of samaria doped ceria
epitaxial films, we reveal that the occurrence of associated defects in the bulk
affects the surface charging state of the SDC films to increase the exchange
rates. The implication of these findings is the design of coatings with tuned
oxygen surface exchange by controlling the bulk associated clusters for future
electrocatalytic applications.
PMID- 27192542
TI - Folliculocentric and Octagonal Scaly Papules.
PMID- 27192544
TI - Does Emergency Department Use for Alcohol and Other Drug Use Cluster
Geographically? A Population-Based Retrospective Cohort Study.
AB - PURPOSE: To identify geographic areas in Alberta, Canada with higher numbers of
adolescents with an emergency department (ED) presentation for a mental or
behavioral disorder secondary to alcohol and other drug use. METHODS: A
population-based cohort analysis of ED visits (n = 7787) by adolescents aged 15
17 years (n = 7238) during 2002-2011. We calculated sex-adjusted directly
standardized rates (DSRs) and identified space-time clusters in health zones
(North, Edmonton, Calgary, Central, and South). RESULTS: The North zone had
higher DSRs compared to other areas. Clusters were identified in: (1) North,
Edmonton, and northwest Central zones [relative risk (RR: 1.54; from 2004 to
2008); (2) western South and southern Calgary zones (RR: 1.58; from 2007 to
2011); and (3) northern South zone (RR: 2.38; from 2006 to 2007). CONCLUSIONS:
The spatial scan can identify geographic areas of high health care use for
specific health conditions. These results, in turn, can be used to inform health
resource planning.
PMID- 27192543
TI - Antibody Response and Disease Severity in Healthcare Worker MERS Survivors.
AB - We studied antibody response in 9 healthcare workers in Jeddah, Saudi Arabia, who
survived Middle East respiratory syndrome, by using serial ELISA and indirect
immunofluorescence assay testing. Among patients who had experienced severe
pneumonia, antibody was detected for >18 months after infection. Antibody
longevity was more variable in patients who had experienced milder disease.
PMID- 27192545
TI - Efficiency of Ozone Therapy in a Rat Model of Experimental Uveitis.
AB - PURPOSE: To investigate efficiency of ozone therapy in uveitis. METHODS: A total
of 24 albino Wistar rats were randomly assigned to four groups (n = 6); (a)
control group; (b) sham group; (c) infliximab treatment group; (d) ozone therapy
group. Vitreous haze scores of all groups were evaluated. Vitreous cytokine
levels (TNF-alpha, IL-1, IL-6) measured by ELISA and eyes were enucleated for
histopathologic examination. RESULTS: According to vitreous haze scores, there
was statistically significant inflammation in Group (b) compared with Group (a),
and there was less inflammation in infliximab and ozone groups compared with
Group (b) (p < 0.05). Cytokine levels in infliximab and ozone groups were lower
but not statistically significant when compared with Group (b) (p > 0.05). There
was significantly less inflammation in histopathologic examination in treatment
groups when compared with the sham group (p < 0.05). CONCLUSIONS: Clinical and
histopathologic examination results indicate that systemic application of ozone
may be efficient in the treatment of uveitis.
PMID- 27192547
TI - TBDQ: A Pragmatic Task-Based Method to Data Quality Assessment and Improvement.
AB - Organizations are increasingly accepting data quality (DQ) as a major key to
their success. In order to assess and improve DQ, methods have been devised. Many
of these methods attempt to raise DQ by directly manipulating low quality data.
Such methods operate reactively and are suitable for organizations with highly
developed integrated systems. However, there is a lack of a proactive DQ method
for businesses with weak IT infrastructure where data quality is largely affected
by tasks that are performed by human agents. This study aims to develop and
evaluate a new method for structured data, which is simple and practical so that
it can easily be applied to real world situations. The new method detects the
potentially risky tasks within a process, and adds new improving tasks to counter
them. To achieve continuous improvement, an award system is also developed to
help with the better selection of the proposed improving tasks. The task-based DQ
method (TBDQ) is most appropriate for small and medium organizations, and
simplicity in implementation is one of its most prominent features. TBDQ is case
studied in an international trade company. The case study shows that TBDQ is
effective in selecting optimal activities for DQ improvement in terms of cost and
improvement.
PMID- 27192546
TI - Ultrastructural Changes Associated with Reversible Stiffening in Catch Connective
Tissue of Sea Cucumbers.
AB - The dermis of sea cucumbers is a catch connective tissue or a mutable collagenous
tissue that shows rapid, large and reversible stiffness changes in response to
stimulation. The main component of the dermis is the extracellular material
composed of collagen fibrils embedded in a hydrogel of proteoglycans. The
stiffness of the extracellular material determines that of the dermis. The dermis
has three mechanical states: soft (Sa), standard (Sb) and stiff (Sc). We studied
the ultrastructural changes associated with the stiffness changes. Transverse
sections of collagen fibrils in the dermis showed irregular perimeters with
electron-dense protrusions or arms that cross-bridged between fibrils. The number
of cross-bridges increased in stiffer dermis. The distance between the fibrils
was shorter in Sc than that in other states, which was in accord with the
previous report that water exuded from the tissue in the transition Sb->Sc. The
ultrastructure of collagen fibrils that had been isolated from the dermis was
also studied. Fibrils aggregated by tensilin, which causes the transition Sa->Sb
possibly through an increase in cohesive forces between fibrils, had larger
diameter than those dispersed by softenin, which antagonizes the effect of
tensilin. No cross-bridges were found in isolated collagen fibrils. From the
present ultrastructural study we propose that three different mechanisms work
together to increase the dermal stiffness. 1.Tensilin makes collagen fibrils
stronger and stiffer in Sa->Sb through an increase in cohesive forces between
subfibrils that constituted fibrils; 2. Cross-bridging by arms caused the fibrils
to be a continuous network of bundles both in Sa->Sb and in Sb->Sc; 3. The matrix
embedding the fibril network became stiffer in Sb->Sc, which was produced by
bonding associated with water exudation.
PMID- 27192541
TI - Variant ASGR1 Associated with a Reduced Risk of Coronary Artery Disease.
AB - BACKGROUND: Several sequence variants are known to have effects on serum levels
of non-high-density lipoprotein (HDL) cholesterol that alter the risk of coronary
artery disease. METHODS: We sequenced the genomes of 2636 Icelanders and found
variants that we then imputed into the genomes of approximately 398,000
Icelanders. We tested for association between these imputed variants and non-HDL
cholesterol levels in 119,146 samples. We then performed replication testing in
two populations of European descent. We assessed the effects of an implicated
loss-of-function variant on the risk of coronary artery disease in 42,524 case
patients and 249,414 controls from five European ancestry populations. An
augmented set of genomes was screened for additional loss-of-function variants in
a target gene. We evaluated the effect of an implicated variant on protein
stability. RESULTS: We found a rare noncoding 12-base-pair (bp) deletion (del12)
in intron 4 of ASGR1, which encodes a subunit of the asialoglycoprotein receptor,
a lectin that plays a role in the homeostasis of circulating glycoproteins. The
del12 mutation activates a cryptic splice site, leading to a frameshift mutation
and a premature stop codon that renders a truncated protein prone to degradation.
Heterozygous carriers of the mutation (1 in 120 persons in our study population)
had a lower level of non-HDL cholesterol than noncarriers, a difference of 15.3
mg per deciliter (0.40 mmol per liter) (P=1.0*10(-16)), and a lower risk of
coronary artery disease (by 34%; 95% confidence interval, 21 to 45; P=4.0*10(
6)). In a larger set of sequenced samples from Icelanders, we found another loss
of-function ASGR1 variant (p.W158X, carried by 1 in 1850 persons) that was also
associated with lower levels of non-HDL cholesterol (P=1.8*10(-3)). CONCLUSIONS:
ASGR1 haploinsufficiency was associated with reduced levels of non-HDL
cholesterol and a reduced risk of coronary artery disease. (Funded by the
National Institutes of Health and others.).
PMID- 27192548
TI - In situ speciation of dissolved inorganic antimony in surface waters and sediment
porewaters: development of a thiol-based diffusive gradients in thin films
technique for Sb(III).
AB - Antimony is a priority environmental contaminant typically present as either the
trivalent (Sb(III)) or the pentavalent (Sb(V)) oxidation state in aquatic
systems. Both the toxicity and mobility of antimony are affected by its
speciation, and thus the accurate measurement of antimony speciation is essential
for investigating the behaviour of this contaminant in aquatic systems. Here we
present a diffusive gradients in thin films (DGT) technique, which utilises a
binding layer containing a thiol-based adsorbent (3-mercaptopropyl functionalised
silica gel), for the selective measurement of Sb(III) in surface waters and
sediment porewaters. We also evaluated the Metsorb DGT technique, which has been
previously reported to accurately measure Sb(V), for its ability to accumulate
Sb(III) and thus allow the measurement of total inorganic antimony. Both the
mercapto-silica and Metsorb DGT techniques showed a high affinity for Sb(III),
with uptake efficiencies >97%. Elution efficiencies of 86.9 +/- 2.6% and 88.1 +/-
1.2% were obtained for mercapto-silica and Metsorb, respectively, with 1 mol L(
1) H2O2 in 1 mol L(-1) NaOH. The accumulation of Sb(III) by these DGT techniques
was linear with time (R(2) > 0.99) and unaffected by pH (4.07-8.05), ionic
strength (0.001-1.0 mol L(-1) NaCl), bicarbonate (1-15 mmol L(-1)), and an
artificial seawater matrix (pH 8.34; salinity 34.8). Finally, the mercapto-silica
DGT technique was applied to measure porewater concentrations of Sb(III) and
As(III) in a contaminated freshwater sediment at high resolution.
PMID- 27192549
TI - Conformational States of HIV-1 Reverse Transcriptase for Nucleotide Incorporation
vs Pyrophosphorolysis-Binding of Foscarnet.
AB - HIV-1 reverse transcriptase (RT) catalytically incorporates individual
nucleotides into a viral DNA strand complementing an RNA or DNA template strand;
the polymerase active site of RT adopts multiple conformational and structural
states while performing this task. The states associated are dNTP binding at the
N site, catalytic incorporation of a nucleotide, release of a pyrophosphate, and
translocation of the primer 3'-end to the P site. Structural characterization of
each of these states may help in understanding the molecular mechanisms of drug
activity and resistance and in developing new RT inhibitors. Using a 38-mer DNA
template-primer aptamer as the substrate mimic, we crystallized an RT/dsDNA
complex that is catalytically active, yet translocation-incompetent in crystals.
The ability of RT to perform dNTP binding and incorporation in crystals permitted
obtaining a series of structures: (I) RT/DNA (P-site), (II) RT/DNA/AZTTP ternary,
(III) RT/AZT-terminated DNA (N-site), and (IV) RT/AZT-terminated DNA (N
site)/foscarnet complexes. The stable N-site complex permitted the binding of
foscarnet as a pyrophosphate mimic. The Mg(2+) ions dissociated after catalytic
addition of AZTMP in the pretranslocated structure III, whereas ions A and B had
re-entered the active site to bind foscarnet in structure IV. The binding of
foscarnet involves chelation with the Mg(2+) (B) ion and interactions with K65
and R72. The analysis of interactions of foscarnet and the recently discovered
nucleotide-competing RT inhibitor (NcRTI) alpha-T-CNP in two different
conformational states of the enzyme provides insights for developing new classes
of polymerase active site RT inhibitors.
PMID- 27192550
TI - Learning image based surrogate relevance criterion for atlas selection in
segmentation.
AB - Picking geometrically relevant atlases from the whole training set is crucial to
multi-atlas based image segmentation, especially with extensive data of
heterogeneous quality in the Big Data era. Unfortunately, there is very limited
understanding of how currently used image similarity criteria reveal geometric
relevance, let alone the optimization of them. This paper aims to develop a good
image based surrogate relevance criterion to best reflect the underlying
inaccessible geometric relevance in a learning context. We cast this surrogate
learning problem into an optimization framework, by encouraging the image based
surrogate to behave consistently with geometric relevance during training. In
particular, we desire a criterion to be small for image pairs with similar
geometry and large for those with significantly different segmentation geometry.
Validation experiments on corpus callosum segmentation demonstrate the improved
quality of the learned surrogate compared to benchmark surrogate candidates.
PMID- 27192551
TI - Drug release from liposome coated hydrogels for soft contact lenses: the blinking
and temperature effect.
AB - In this article, liposome-based coatings aiming to control drug release from
therapeutic soft contact lenses (SCLs) materials are analyzed. A PHEMA based
hydrogel material loaded with levofloxacin is used as model system for this
research. The coatings are formed by polyelectrolyte layers containing liposomes
of 1,2-dimyristoyl-sn-glycero-3-phosphocholine (DMPC) and DMPC + cholesterol
(DMPC + CHOL). The effect of friction and temperature on the drug release is
investigated. The aim of the friction tests is to simulate the blinking of the
eyelid in order to verify if the SCLs materials coated with liposomes are able to
keep their properties, in particular the drug release ability. It was observed
that under the study conditions, friction did not affect significantly the drug
release from the liposome coated PHEMA material. In contrast, increasing the
temperature of release leads to an increase of the drug diffusion rate through
the hydrogel. This phenomenon is recorded both in the control and in the coated
samples. (c) 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part B: Appl
Biomater, 105B: 1799-1807, 2017.
PMID- 27192552
TI - Decreased epithelial and plasma miR-181b-5p expression associates with airway
eosinophilic inflammation in asthma.
AB - BACKGROUND: Airway eosinophilic inflammation is a pivotal feature of asthma.
Epithelial cells play critical roles in airway eosinophilia. We hypothesized that
epithelial microRNAs (miRNAs) are involved in airway eosinophilia. OBJECTIVE:
This study investigated the associations between epithelial and plasma miR-181b
5p and airway eosinophilic inflammation, and the possible mechanism by which miR
181b-5p participates in eosinophilic inflammation. METHODS: Epithelial miRNAs
expression was profiled by miRNA array in eight subjects with asthma and four
healthy controls. Epithelial miR-181b-5p expression was confirmed by quantitative
PCR in the subjects for array experiment and another cohort including 21 subjects
with asthma and 10 controls. Plasma miR-181b-5p was determined by quantitative
PCR in 72 subjects with asthma and 35 controls. Correlation assays between
epithelial and plasma miR-181b-5p expression and airway eosinophilia were
performed. The target of miR-181b-5p, SPP1, was predicted by online algorithms
and verified in BEAS-2B cells. The role of miR-181b-5p in epithelial
proinflammatory cytokine expression was examined in an in vitro system. RESULTS:
Epithelial miR-181b-5p expression was decreased in subjects with asthma.
Epithelial miR-181b-5p levels were inversely correlated with sputum and bronchial
submucosal eosinophilia. Plasma miR-181b-5p was decreased and correlated with
epithelial miR-181b-5p in subjects with asthma. There was a strong inverse
correlation between plasma miR-181b-5p and airway eosinophilia in subjects with
asthma. Plasma miR-181b-5p was increased after inhaled corticosteroids treatment.
We verified that SPP1 is a target of miR-181b-5p. In human bronchial epithelial
cells, miR-181b-5p regulated IL-13-induced IL-1beta and CCL11 expression by
targeting SPP1. Dexamethasone restored IL-13-induced miR-181b-5p down-regulation
and suppressed IL-13-induced SPP1, IL-1beta and CCL11 expression. CONCLUSIONS AND
CLINICAL RELEVANCE: Epithelial and plasma miR-181b-5p are potential biomarkers
for airway eosinophilia in asthma. MiR-181b-5p may participate in eosinophilic
airway inflammation by regulating proinflammatory cytokines expression via
targeting SPP1.
PMID- 27192553
TI - Prediction of clinical progression after radical prostatectomy in a nationwide
population-based cohort.
AB - OBJECTIVE: The aim of this study was to create a model for predicting progression
free survival after radical prostatectomy for localized prostate cancer. MATERIAL
AND METHODS: The risk of biochemical recurrence (BCR) was modelled in a cohort of
3452 men aged 70 years or younger who were primarily treated with radical
prostatectomy after being diagnosed between 2003 and 2006 with localized prostate
cancer [clinical stage T1c-T2, Gleason score 5-10, N0/NX, M0/MX, prostate
specific antigen (PSA) < 20 ng/ml]. The cohort was split into two: one cohort for
model development (n = 3452) and one for validation (n = 1762). BCR was defined
as two increasing PSA values of at least 0.2 ng/ml, initiation of secondary
therapy, distant metastases or death from prostate cancer. Multivariable Cox
proportional hazard regression was applied, predictive performance was assessed
using the bootstrap resampling technique to calculate the c index, and
calibration of the model was evaluated by comparing predicted and observed Kaplan
Meier 1 year BCR. RESULTS: The overall 5 year progression-free survival was 83%
after a median follow-up time of 6.8 years in the development cohort and 7.3
years in the validation cohort. The final model included T stage, PSA level,
primary and secondary Gleason grade, and number of positive and negative
biopsies. The c index for discrimination between high and low risk of recurrence
was 0.68. The probability of progression-free survival ranged from 22% to 97%
over the range of risk scores in the study population. CONCLUSIONS: This model is
based on nationwide population-based data and can be used with a fair predictive
accuracy to guide decisions on clinical follow-up after prostatectomy. An online
calculator for convenient clinical use of the model is available at
www.npcr.se/nomogram.
PMID- 27192554
TI - Comparison between Timelines of Transcriptional Regulation in Mammals, Birds, and
Teleost Fish Somitogenesis.
AB - Metameric segmentation of the vertebrate body is established during
somitogenesis, when a cyclic spatial pattern of gene expression is created within
the mesoderm of the developing embryo. The process involves transcriptional
regulation of genes associated with the Wnt, Notch, and Fgf signaling pathways,
each gene is expressed at a specific time during the somite cycle. Comparative
genomics, including analysis of expression timelines may reveal the underlying
regulatory modules and their causal relations, explaining the nature and origin
of the segmentation mechanism. Using a deconvolution approach, we computationally
reconstruct and compare the precise timelines of expression during somitogenesis
in chicken and zebrafish. The result constitutes a resource that may be used for
inferring possible causal relations between genes and subsequent pathways. While
the sets of regulated genes and expression profiles vary between different
species, notable similarities exist between the temporal organization of the
pathways involved in the somite clock in chick and mouse, with certain aspects
(as the phase of expression of Notch genes) conserved also in the zebrafish. The
regulated genes have sequence motifs that are conserved in mouse and chicken but
not zebrafish. Promoter sequence analysis suggests involvement of several
transcription factors that may bind these regulatory elements, including E2F, EGR
and PLAG, as well as a possible role of G-quadruplex DNA structure in regulation
of the cyclic genes. Our research lays the groundwork for further studies that
will probe the evolution of the regulatory mechanism of segmentation across all
vertebrates.
PMID- 27192556
TI - The Chlamydia muridarum Organisms Fail to Auto-Inoculate the Mouse Genital Tract
after Colonization in the Gastrointestinal Tract for 70 days.
AB - Chlamydia muridarum is known to colonize in the gastrointestinal tract for long
periods of time, which has been hypothesized to serve as a reservoir for
spreading to the genital tract. To test this hypothesis, a luciferase-expressing
C. muridarum was used to establish a long-lasting infection in the mouse
gastrointestinal tract following either intragastric or intrarectal inoculations.
In vivo imaging revealed significant bioluminescent signals mainly in the mouse
abdominal area throughout the experiments. Ex vivo imaging localized the signals
to the mouse gastrointestinal tract, which was confirmed by monitoring the C.
muridarum organisms in the mouse organs/tissues. Despite the long-lasting
colonization in the gastrointestinal tract and active shedding of infectious
organisms in the rectal swabs, the organisms did not cause any significant
infection or pathology in the genital tract throughout the experiments, which was
reproduced in multiple strains of mice and with an increased inoculation dose to
the gastrointestinal tract. The above observations have demonstrated that the
long-lasting C. muridarum organisms from the gastrointestinal tract are
inefficient in auto-inoculating the genital tract, suggesting that the
gastrointestinal tract Chlamydia may utilize an indirect mechanism to affect its
pathogenicity in the genital tract.
PMID- 27192557
TI - Microsatellite allele sizes alone are insufficient to delineate species
boundaries in Symbiodinium.
AB - Symbiodinium are a diverse group of unicellular dinoflagellates that are
important nutritional symbionts of reef-building corals. Symbiodinium putative
species ('types') are commonly identified with genetic markers, mostly nuclear
and chloroplast encoded ribosomal DNA regions. Population genetic analyses using
microsatellite loci have provided insights into Symbiodinium biogeography,
connectivity and phenotypic plasticity, but are complicated by: (i) a lack of
consensus criteria used to delineate inter- vs. intragenomic variation within
species; and (ii) the high density of Symbiodinium in host tissues, which results
in single samples comprising thousands of individuals. To address this problem,
Wham & LaJeunesse (2016) present a method for identifying cryptic Symbiodinium
species from microsatellite data based on correlations between allele size
distributions and nongeographic genetic structure. Multilocus genotypes that
potentially do not recombine in sympatry are interpreted as secondary 'species'
to be discarded from downstream population genetic analyses. However,
Symbiodinium species delineations should ideally incorporate multiple
physiological, ecological and molecular criteria. This is because recombination
tests may be a poor indicator of species boundaries in Symbiodinium due to their
predominantly asexual mode of reproduction. Furthermore, discontinuous
microsatellite allele sizes in sympatry may be explained by secondary contact
between previously isolated populations and by mutations that occur in a
nonstepwise manner. Limitations of using microsatellites alone to delineate
species are highlighted in earlier studies that demonstrate occasional bimodal
distributions of allele sizes within Symbiodinium species and considerable allele
size sharing among Symbiodinium species. We outline these issues and discuss the
validity of reinterpretations of our previously published microsatellite data
from Symbiodinium populations on the Great Barrier Reef (Howells et al. 2013).
PMID- 27192558
TI - Promoting Physical Activity With Group Pictures. Affiliation-Based Visual
Communication for High-Risk Populations.
AB - Past research in social and health psychology has shown that affiliation
motivation is associated with health behavior, especially for high-risk
populations, suggesting that targeting this motivation could be a promising
strategy to promote physical activity. However, the effects that affiliation
appeals (e.g., pictures depicting companionship during physical activities) and
accompanying slogans have on motivating physical activity have been largely
unexplored. Hence, our two studies experimentally tested the effects of exposure
to affiliation-based pictures for overweight or less active people, as well as
the moderating effect of affiliation motivation. The results of these two studies
give some indication that group pictures (with or without an accompanying slogan)
can be an effective strategy to improve high-risk populations' attitudes, self
efficacy, and intentions to engage in physical activity. Affiliation motivation
as a personality trait did not interact with these effects, but was positively
associated with attitudes, independent of the group picture effect.
PMID- 27192555
TI - Development and evaluation of a host-targeted antiviral that abrogates herpes
simplex virus replication through modulation of arginine-associated metabolic
pathways.
AB - Since their inception five decades ago, most antivirals have been engineered to
disrupt a single viral protein or process that is essential for viral
replication. This approach has limited the overall therapeutic effectiveness and
applicability of current antivirals due to restricted viral specificity, a
propensity for development of drug resistance, and an inability to control
deleterious host-mediated inflammation. As obligate intracellular parasites,
viruses are reliant on host metabolism and macromolecular synthesis pathways. Of
these biosynthetic processes, many viruses, including Herpes simplex viruses
(HSV), are absolutely dependent on the bioavailability of arginine, a non
essential amino acid that is critical for many physiological and
pathophysiological processes associated with either facilitating viral
replication or progression of disease. To assess if targeting host arginine
associated metabolic pathways would inhibit HSV replication, a pegylated
recombinant human Arginase I (peg-ArgI) was generated and its in vitro anti
herpetic activity was evaluated. Cells continuously treated with peg-ArgI for
over 48 h exhibited no signs of cytotoxicity or loss of cell viability. The
antiviral activity of peg-ArgI displayed a classical dose-response curve with
IC50's in the sub-nanomolar range. peg-ArgI potently inhibited HSV-1 and HSV-2
viral replication, infectious virus production, cell-to-cell spread/transmission
and virus-mediated cytopathic effects. Not unexpectedly given its host-targeted
mechanism of action, peg-ArgI showed similar effectiveness at controlling
replication of single and multidrug resistant HSV-1 mutants. These findings
illustrate that targeting host arginine-associated metabolic pathways is an
effective means of controlling viral replicative processes. Further exploration
into the breadth of viruses inhibited by peg-ArgI, as well as the ability of peg
ArgI to suppress arginine-associated virus-mediated pathophysiological disease
processes is warranted.
PMID- 27192559
TI - Effects of dietary protein and energy levels on digestive enzyme activities and
electrolyte composition in the small intestinal fluid of geese.
AB - The present study was conducted to evaluate the effects of dietary protein and
energy levels on digestive enzymes and electrolyte composition in jejunum of
geese. A 3*3 factorial and completely randomized design was adopted with three
protein levels and three energy levels. The experiment included four replicates
for each treatment, and three geese for each replicate. Isovolumetric supernate
from centrifugal jejuna fluid were mixed in each replicate. Activities of
digestive enzymes and ions were analyzed. The results showed trypsin and
chymotrypsin activities were significantly increased with increasing of dietary
protein and energy levels (P<0.05). The concentrations of Ca2+ and pH value were
significantly decreased by increased dietary protein and energy levels. However,
no significant differences were found for the activities of amylase and
cellulase, as well as the concentration of Na+ among groups with different
protein and energy levels. In conclusion, digesta enzymes and electrolytes in the
small intestine adapted to the protein and energy levels. The activities of
protease, rather than amylase and cellulase were induced with increasing of
protein and energy levels. The imbalance of positive and negative ions was
possibly adjusted by the fluctuant concentrations of K+ , Cl- and Ca2+ for
maintaining normal physiological function.
PMID- 27192560
TI - Neurophysiological Correlates of Musical and Prosodic Phrasing: Shared Processing
Mechanisms and Effects of Musical Expertise.
AB - The processing of prosodic phrase boundaries in language is immediately reflected
by a specific event-related potential component called the Closure Positive Shift
(CPS). A component somewhat reminiscent of the CPS in language has also been
reported for musical phrases (i.e., the so-called 'music CPS'). However, in
previous studies the quantification of the music-CPS as well as its morphology
and timing differed substantially from the characteristics of the language-CPS.
Therefore, the degree of correspondence between cognitive mechanisms of phrasing
in music and in language has remained questionable. Here, we probed the shared
nature of mechanisms underlying musical and prosodic phrasing by (1)
investigating whether the music-CPS is present at phrase boundary positions where
the language-CPS has been originally reported (i.e., at the onset of the pause
between phrases), and (2) comparing the CPS in music and in language in non
musicians and professional musicians. For the first time, we report a positive
shift at the onset of musical phrase boundaries that strongly resembles the
language-CPS and argue that the post-boundary 'music-CPS' of previous studies may
be an entirely distinct ERP component. Moreover, the language-CPS in musicians
was found to be less prominent than in non-musicians, suggesting more efficient
processing of prosodic phrases in language as a result of higher musical
expertise.
PMID- 27192561
TI - Multipotent neurogenic fate of mesenchymal stem cell is determined by Cdk4
mediated hypophosphorylation of Smad-STAT3.
AB - Cyclin-dependent kinase (Cdk) in complex with a corresponding cyclin plays a
pivotal role in neurogenic differentiation. In particular, Cdk4 activity acts as
a signaling switch to direct human mesenchymal stem cells (MSCs) to neural
transdifferentiation. However, the molecular evidence of how Cdk4 activity
converts MSCs to neurogenic lineage remains unknown. Here, we found that Cdk4
inhibition in human MSCs enriches the populations of neural stem and progenitor
pools rather than differentiated glial and neuronal cell pools. Interestingly,
Cdk4 inhibition directly inactivates Smads and subsequently STAT3 signaling by
hypophosphorylation, and both Cdk4 and Smads levels are linked during the
processes of neural transdifferentiation and differentiation. In summary, our
results provide novel molecular evidence in which Cdk4 inhibition leads to
directing human MSCs to a multipotent neurogenic fate by inactivating Smads-STAT3
signaling.
PMID- 27192562
TI - Cellulose Structural Polymorphism in Plant Primary Cell Walls Investigated by
High-Field 2D Solid-State NMR Spectroscopy and Density Functional Theory
Calculations.
AB - The native cellulose of bacterial, algal, and animal origins has been well
studied structurally using X-ray and neutron diffraction and solid-state NMR
spectroscopy, and is known to consist of varying proportions of two allomorphs,
Ialpha and Ibeta, which differ in hydrogen bonding, chain packing, and local
conformation. In comparison, cellulose structure in plant primary cell walls is
much less understood because plant cellulose has lower crystallinity and
extensive interactions with matrix polysaccharides. Here we have combined two
dimensional magic-angle-spinning (MAS) solid-state nuclear magnetic resonance
(solid-state NMR) spectroscopy at high magnetic fields with density functional
theory (DFT) calculations to obtain detailed information about the structural
polymorphism and spatial distributions of plant primary-wall cellulose. 2D (13)C
(13)C correlation spectra of uniformly (13)C-labeled cell walls of several model
plants resolved seven sets of cellulose chemical shifts. Among these, five sets
(denoted a-e) belong to cellulose in the interior of the microfibril while two
sets (f and g) can be assigned to surface cellulose. Importantly, most of the
interior cellulose (13)C chemical shifts differ significantly from the (13)C
chemical shifts of the Ialpha and Ibeta allomorphs, indicating that plant primary
wall cellulose has different conformations, packing, and hydrogen bonding from
celluloses of other organisms. 2D (13)C-(13)C correlation experiments with long
mixing times and with water polarization transfer revealed the spatial
distributions and matrix-polysaccharide interactions of these cellulose
structures. Celluloses f and g are well mixed chains on the microfibril surface,
celluloses a and b are interior chains that are in molecular contact with the
surface chains, while cellulose c resides in the core of the microfibril, outside
spin diffusion contact with the surface. Interestingly, cellulose d, whose
chemical shifts differ most significantly from those of bacterial, algal, and
animal cellulose, interacts with hemicellulose, is poorly hydrated, and is
targeted by the protein expansin during wall loosening. To obtain information
about the C6 hydroxymethyl conformation of these plant celluloses, we carried out
DFT calculations of (13)C chemical shifts, using the Ialpha and Ibeta crystal
structures as templates and varying the C5-C6 torsion angle. Comparison with the
experimental chemical shifts suggests that all interior cellulose favor the tg
conformation, but cellulose d also has a similar propensity to adopt the gt
conformation. These results indicate that cellulose in plant primary cell walls,
due to their interactions with matrix polysaccharides, and has polymorphic
structures that are not a simple superposition of the Ialpha and Ibeta
allomorphs, thus distinguishing them from bacterial and animal celluloses.
PMID- 27192563
TI - Coinhibitory Pathways in the B7-CD28 Ligand-Receptor Family.
AB - Immune responses need to be controlled for optimal protective immunity and
tolerance. Coinhibitory pathways in the B7-CD28 family provide critical
inhibitory signals that regulate immune homeostasis and defense and protect
tissue integrity. These coinhibitory signals limit the strength and duration of
immune responses, thereby curbing immune-mediated tissue damage, regulating
resolution of inflammation, and maintaining tolerance to prevent autoimmunity.
Tumors and microbes that cause chronic infections can exploit these coinhibitory
pathways to establish an immunosuppressive microenvironment, hindering their
eradication. Advances in understanding T cell coinhibitory pathways have
stimulated a new era of immunotherapy with effective drugs to treat cancer,
autoimmune and infectious diseases, and transplant rejection. In this review we
discuss the current knowledge of the mechanisms underlying the coinhibitory
functions of pathways in the B7-CD28 family, the diverse functional consequences
of these inhibitory signals on immune responses, and the overlapping and unique
functions of these key immunoregulatory pathways.
PMID- 27192565
TI - Lag-3, Tim-3, and TIGIT: Co-inhibitory Receptors with Specialized Functions in
Immune Regulation.
AB - Co-inhibitory receptors, such as CTLA-4 and PD-1, have an important role in
regulating T cell responses and have proven to be effective targets in the
setting of chronic diseases where constitutive co-inhibitory receptor expression
on T cells dampens effector T cell responses. Unfortunately, many patients still
fail to respond to therapies that target CTLA-4 and PD-1. The next wave of co
inhibitory receptor targets that are being explored in clinical trials include
Lag-3, Tim-3, and TIGIT. These receptors, although they belong to the same class
of receptors as PD-1 and CTLA-4, exhibit unique functions, especially at tissue
sites where they regulate distinct aspects of immunity. Increased understanding
of the specialized functions of these receptors will inform the rational
application of therapies that target these receptors to the clinic.
PMID- 27192564
TI - CD28 Costimulation: From Mechanism to Therapy.
AB - Ligation of the CD28 receptor on T cells provides a critical second signal
alongside T cell receptor (TCR) ligation for naive T cell activation. Here, we
discuss the expression, structure, and biochemistry of CD28 and its ligands. CD28
signals play a key role in many T cell processes, including cytoskeletal
remodeling, production of cytokines, survival, and differentiation. CD28 ligation
leads to unique epigenetic, transcriptional, and post-translational changes in T
cells that cannot be recapitulated by TCR ligation alone. We discuss the function
of CD28 and its ligands in both effector and regulatory T cells. CD28 is critical
for regulatory T cell survival and the maintenance of immune homeostasis. We
outline the roles that CD28 and its family members play in human disease and we
review the clinical efficacy of drugs that block CD28 ligands. Despite the
centrality of CD28 and its family members and ligands to immune function, many
aspects of CD28 biology remain unclear. Translation of a basic understanding of
CD28 function into immunomodulatory therapeutics has been uneven, with both
successes and failures. Such real-world results might stem from multiple factors,
including complex receptor-ligand interactions among CD28 family members,
differences between the mouse and human CD28 families, and cell-type specific
roles of CD28 family members.
PMID- 27192567
TI - T Cell Cosignaling Molecules in Transplantation.
AB - The ultimate outcome of alloreactivity versus tolerance following transplantation
is potently influenced by the constellation of cosignaling molecules expressed by
immune cells during priming with alloantigen, and the net sum of costimulatory
and coinhibitory signals transmitted via ligation of these molecules. Intense
investigation over the last two decades has yielded a detailed understanding of
the kinetics, cellular distribution, and intracellular signaling networks of
cosignaling molecules such as the CD28, TNF, and TIM families of receptors in
alloimmunity. More recent work has better defined the cellular and molecular
mechanisms by which engagement of cosignaling networks serve to either dampen or
augment alloimmunity. These findings will likely aid in the rational development
of novel immunomodulatory strategies to prolong graft survival and improve
outcomes following transplantation.
PMID- 27192571
TI - Regulatory T Cells: A Crisis Averted.
AB - Although regulatory T cells protect people from autoimmunity, two recent papers
in Immunity (Malchow et al., 2016; Kieback et al., 2016) demonstrate that these
cells are also a crisis averted. Without the proper education in the thymus,
these cells will turn on their host and cause autoimmunity.
PMID- 27192570
TI - Targeting T Cell Co-receptors for Cancer Therapy.
AB - Checkpoint-blocking antibodies can generate potent anti-tumor responses by
encouraging the immune system to seek and destroy cancer cells. At this time, the
United States Food and Drug Administration has approved three checkpoint-blocking
antibodies in three disease indications, and additional approvals are expected to
broaden the clinical scope of immunotherapy. Herein, we review the clinical
development of CTLA-4-, PD-1-, and PD-L1-blocking antibodies across tumor types
and briefly discuss areas of active investigation of potential biomarkers.
PMID- 27192572
TI - Making Ends Meet: Myeloid Cells Catalyze Blood Vessel Repair in the Brain.
AB - Hemorrhagic stroke, primarily caused by rupture of blood vessels in the brain, is
a leading cause of death and disability in adults. In this issue of Immunity, Liu
et al. (2016) demonstrate that repair of cerebrovascular ruptures can be directly
mediated by myeloid cells.
PMID- 27192566
TI - The TNF Receptor Superfamily in Co-stimulating and Co-inhibitory Responses.
AB - Cytokines related to tumor necrosis factor (TNF) provide a communication network
essential for coordinating multiple cell types into an effective host defense
system against pathogens and malignant cells. The pathways controlled by the TNF
superfamily differentiate both innate and adaptive immune cells and modulate
stromal cells into microenvironments conducive to host defenses. Members of the
TNF receptor superfamily activate diverse cellular functions from the production
of type 1 interferons to the modulation of survival of antigen-activated T cells.
Here, we focus attention on the subset of TNF superfamily receptors encoded in
the immune response locus in chromosomal region 1p36. Recent studies have
revealed that these receptors use diverse mechanisms to either co-stimulate or
restrict immune responses. Translation of the fundamental mechanisms of TNF
superfamily is leading to the design of therapeutics that can alter pathogenic
processes in several autoimmune diseases or promote immunity to tumors.
PMID- 27192568
TI - Co-stimulatory and Co-inhibitory Pathways in Autoimmunity.
AB - The immune system is guided by a series of checks and balances, a major component
of which is a large array of co-stimulatory and co-inhibitory pathways that
modulate the host response. Although co-stimulation is essential for boosting and
shaping the initial response following signaling through the antigen receptor,
inhibitory pathways are also critical for modulating the immune response.
Excessive co-stimulation and/or insufficient co-inhibition can lead to a
breakdown of self-tolerance and thus to autoimmunity. In this review, we will
focus on the role of co-stimulatory and co-inhibitory pathways in two systemic
(systemic lupus erythematosus and rheumatoid arthritis) and two organ-specific
(multiple sclerosis and type 1 diabetes) emblematic autoimmune diseases. We will
also discuss how mechanistic analysis of these pathways has led to the
identification of potential therapeutic targets and initiation of clinical trials
for autoimmune diseases, as well as outline some of the challenges that lie
ahead.
PMID- 27192573
TI - Homing in on the Sweet Side of Immune Checkpoint Biology.
AB - P-selectin glycoprotein ligand-1 (PSGL-1) and its glycostructural determinants
facilitate responses to infection and cancer by promoting immune effector-cell
trafficking into inflamed tissue. In this issue of Immunity, Tinoco et al. (2016)
report homing-independent functions of PSGL-1 in immune checkpoint regulation and
T cell effector activity, in models of chronic viral infection and melanoma.
PMID- 27192569
TI - Costimulatory and Coinhibitory Receptor Pathways in Infectious Disease.
AB - Costimulatory and inhibitory receptors play a key role in regulating immune
responses to infections. Recent translation of knowledge about inhibitory
receptors such as CTLA-4 and PD-1 into the cancer clinic highlights the
opportunities to manipulate these pathways to treat human disease. Studies in
infectious disease have provided key insights into the specific roles of these
pathways and the effects of their manipulation. Here, recent studies are
discussed that have addressed how major inhibitory and costimulatory pathways
play a role in regulating immune responses during acute and chronic infections.
Mechanistic insights from studies of infectious disease provide opportunities to
further expand our toolkit to treat cancer and chronic infections in the clinic.
PMID- 27192574
TI - Offspring's Tolerance of Mother Goes Viral.
AB - Pregnancy uniquely allows genetically discordant tissues of the mother and child
to intimately coexist in harmony. In this issue of Immunity, Ou and colleagues
show that hepatitis B virus exploits these naturally occurring immune tolerance
pathways to establish persistent postnatal infection in offspring.
PMID- 27192575
TI - HIV Immunology Goes Out On a Limb.
AB - Vaccines against HIV most likely need to elicit broadly neutralizing antibodies.
In this issue of Immunity, Poignard and colleagues describe the co-evolution of a
broadly neutralizing antibody and the virus that triggered it, providing a
template for HIV vaccine design.
PMID- 27192576
TI - A Cholesterol-Based Allostery Model of T Cell Receptor Phosphorylation.
AB - Signaling through the T cell receptor (TCR) controls adaptive immune responses.
Antigen binding to TCRalphabeta transmits signals through the plasma membrane to
induce phosphorylation of the CD3 cytoplasmic tails by incompletely understood
mechanisms. Here we show that cholesterol bound to the TCRbeta transmembrane
region keeps the TCR in a resting, inactive conformation that cannot be
phosphorylated by active kinases. Only TCRs that spontaneously detached from
cholesterol could switch to the active conformation (termed primed TCRs) and then
be phosphorylated. Indeed, by modulating cholesterol binding genetically or
enzymatically, we could switch the TCR between the resting and primed states. The
active conformation was stabilized by binding to peptide-MHC, which thus
controlled TCR signaling. These data are explained by a model of reciprocal
allosteric regulation of TCR phosphorylation by cholesterol and ligand binding.
Our results provide both a molecular mechanism and a conceptual framework for how
lipid-receptor interactions regulate signal transduction.
PMID- 27192577
TI - Thymus-Derived Regulatory T Cells Are Positively Selected on Natural Self-Antigen
through Cognate Interactions of High Functional Avidity.
AB - Regulatory T (Treg) cells expressing Foxp3 transcripton factor are essential for
immune homeostasis. They arise in the thymus as a separate lineage from
conventional CD4(+)Foxp3(-) T (Tconv) cells. Here, we show that the thymic
development of Treg cells depends on the expression of their endogenous cognate
self-antigen. The formation of these cells was impaired in mice lacking this self
antigen, while Tconv cell development was not negatively affected. Thymus-derived
Treg cells were selected by self-antigens in a specific manner, while
autoreactive Tconv cells were produced through degenerate recognition of distinct
antigens. These distinct modes of development were associated with the expression
of T cell receptor of higher functional avidity for self-antigen by Treg cells
than Tconv cells, a difference subsequently essential for the control of
autoimmunity. Our study documents how self-antigens define the repertoire of
thymus-derived Treg cells to subsequently endow this cell type with the capacity
to undermine autoimmune attack.
PMID- 27192578
TI - PSGL-1 Is an Immune Checkpoint Regulator that Promotes T Cell Exhaustion.
AB - Chronic viruses and cancers thwart immune responses in humans by inducing T cell
dysfunction. Using a murine chronic virus that models human infections, we
investigated the function of the adhesion molecule, P-selectin glycoprotein
ligand-1 (PSGL-1), that is upregulated on responding T cells. PSGL-1-deficient
mice cleared the virus due to increased intrinsic survival of multifunctional
effector T cells that had downregulated PD-1 as well as other inhibitory
receptors. Notably, this response resulted in CD4(+)-T-cell-dependent
immunopathology. Mechanistically, PSGL-1 ligation on exhausted CD8(+) T cells
inhibited T cell receptor (TCR) and interleukin-2 (IL-2) signaling and
upregulated PD-1, leading to diminished survival with TCR stimulation. In models
of melanoma cancer in which T cell dysfunction occurs, PSGL-1 deficiency led to
PD-1 downregulation, improved T cell responses, and tumor control. Thus, PSGL-1
plays a fundamental role in balancing viral control and immunopathology and also
functions to regulate T cell responses in the tumor microenvironment.
PMID- 27192581
TI - Visceral leishmaniasis in Southern Rio de Janeiro State and the risk of
propagation to Sao Paulo State, Brazil.
PMID- 27192580
TI - A simple method for assessing occupational exposure via the one-way random
effects model.
AB - A one-way random effects model is postulated for the log-transformed shift-long
personal exposure measurements, where the random effect in the model represents
an effect due to the worker. Simple closed-form confidence intervals are proposed
for the relevant parameters of interest using the method of variance estimates
recovery (MOVER). The performance of the confidence bounds is evaluated and
compared with those based on the generalized confidence interval approach.
Comparison studies indicate that the proposed MOVER confidence bounds are better
than the generalized confidence bounds for the overall mean exposure and an upper
percentile of the exposure distribution. The proposed methods are illustrated
using a few examples involving industrial hygiene data.
PMID- 27192582
TI - Epstein-Barr virus-positive gastric cancer: a distinct molecular subtype of the
disease?
AB - Approximately 90% of the world population is infected by Epstein-Barr virus
(EBV). Usually, it infects B lymphocytes, predisposing them to malignant
transformation. Infection of epithelial cells occurs rarely, and it is estimated
that about to 10% of gastric cancer patients harbor EBV in their malignant cells.
Given that gastric cancer is the third leading cause of cancer-related mortality
worldwide, with a global annual incidence of over 950,000 cases, EBV-positive
gastric cancer is the largest group of EBV-associated malignancies. Based on gene
expression profile studies, gastric cancer was recently categorized into four
subtypes; EBV-positive, microsatellite unstable, genomically stable and
chromosomal instability. Together with previous studies, this report provided a
more detailed molecular characterization of gastric cancer, demonstrating that
EBV-positive gastric cancer is a distinct molecular subtype of the disease, with
unique genetic and epigenetic abnormalities, reflected in a specific phenotype.
The recognition of characteristic molecular alterations in gastric cancer allows
the identification of molecular pathways involved in cell proliferation and
survival, with the potential to identify therapeutic targets. These findings
highlight the enormous heterogeneity of gastric cancer, and the complex interplay
between genetic and epigenetic alterations in the disease, and provide a roadmap
to implementation of genome-guided personalized therapy in gastric cancer. The
present review discusses the initial studies describing EBV-positive gastric
cancer as a distinct clinical entity, presents recently described genetic and
epigenetic alterations, and considers potential therapeutic insights derived from
the recognition of this new molecular subtype of gastric adenocarcinoma.
PMID- 27192584
TI - Over expression of AdeABC and AcrAB-TolC efflux systems confers tigecycline
resistance in clinical isolates of Acinetobacter baumannii and Klebsiella
pneumoniae.
AB - INTRODUCTION: Due to the wide use of tigecycline in the treatment of severe
infections caused by multidrug-resistant (MDR) bacteria, clinical resistance to
tigecycline has increased in recent years. Here, we investigated the relationship
between tigecycline resistance and the expression of efflux pumps. METHODS:
Clinical isolates of Acinetobacter baumannii and Klebsiella pneumoniae were
consecutively collected from hospitalized patients in three hospitals. The
minimum inhibitory concentration (MIC) of tigecycline was determined using the
broth microdilution method. Expression levels of efflux pump genes and regulators
were examined by quantitative real-time reverse transcription polymerase chain
reaction. The correlations between tigecycline MICs and gene expression levels
were analyzed. RESULTS: Overall, 1,026 A. baumannii and 725 K. pneumoniae strains
were collected. Most strains were isolated from sputum. The tigecycline
resistance rate was 13.4% in A. baumannii isolates and 6.5% in K. pneumoniae
isolates. Overexpression of AdeABC and AcrAB-TolC efflux systems was observed
found in clinical tigecycline-resistant isolates. The tigecycline MIC had a
linear relationship with the adeB expression level in A. baumannii isolates, but
not with the acrB expression level in K. pneumoniae isolates. There were
significant linear trends in the overexpression of ramA as the tigecycline MIC
increased in K. pneumoniae isolates. CONCLUSIONS: Tigecycline resistance in A.
baumannii and K. pneumoniae was strongly associated with the overexpression of
efflux systems. More studies are needed to elucidate whether there are other
regulators that affect the expression of adeB in A. baumannii and how ramA
affects the expression of acrB in K. pneumoniae.
PMID- 27192583
TI - Integrative literature review of the reported uses of serological tests in
leprosy management.
AB - An integrative literature review was conducted to synthesize available
publications regarding the potential use of serological tests in leprosy
programs. We searched the databases Literatura Latino-Americana e do Caribe em
Ciencias da Saude, Indice Bibliografico Espanhol em Ciencias da Saude, Acervo da
Biblioteca da Organizacao Pan-Americana da Saude, Medical Literature Analysis and
Retrieval System Online, Hanseniase, National Library of Medicine, Scopus, Ovid,
Cinahl, and Web of Science for articles investigating the use of serological
tests for antibodies against phenolic glycolipid-I (PGL-I), ML0405, ML2331,
leprosy IDRI diagnostic-1 (LID-1), and natural disaccharide octyl-leprosy IDRI
diagnostic-1 (NDO-LID). From an initial pool of 3.514 articles, 40 full-length
articles fulfilled our inclusion criteria. Based on these papers, we concluded
that these antibodies can be used to assist in diagnosing leprosy, detecting
neuritis, monitoring therapeutic efficacy, and monitoring household contacts or
at-risk populations in leprosy-endemic areas. Thus, available data suggest that
serological tests could contribute substantially to leprosy management.
PMID- 27192585
TI - Monoterpene isolated from the essential oil of Trachyspermum ammi is cytotoxic to
multidrug-resistant Pseudomonas aeruginosa and Staphylococcus aureus strains.
AB - INTRODUCTION: The aim of this study was to determine whether an herbal extract
containing monoterpene exhibited activity against multidrug-resistant
Staphylococcus aureus and Pseudomonas aeruginosa isolated from clinical infection
samples. METHODS: The essential oil of Trachyspermum ammi (L.) Sprague ex Turrill
(Apiaceae) fruit was extracted by hydrodistillation. Fruit residues were treated
with hydrochloric acid and re-hydrodistilled to obtain volatile compounds.
Compounds in the distilled oil were identified using gas-chromatography (GC) and
GC-mass spectrometry (MS). The antibiotic susceptibility of all bacterial
isolates was analyzed using both the disc diffusion method and determination of
the minimum inhibitory concentration (MIC). The sensitivity of antibiotic
resistant isolates to essential oil was also determined by using the disc
diffusion method and MIC determination. RESULTS: Of 26 clinical isolates, 92%
were multidrug-resistant (MDR). Aromatic monoterpenes (thymol, paracymene, and
gamma-terpinene) were the major (90%) components of the oil. Growth of S. aureus
strains was successfully inhibited by the oil, with an inhibitory zone diameter
(IZD) between 30-60mm and MIC <0.02MUL/mL. The oil had no antimicrobial activity
against clinical isolates of P. aeruginosa; rather, it prevented pigment
production in these isolates. CONCLUSIONS: This study revealed that the essential
oil of Trachyspermum ammi, which contains monoterpene, has good antibacterial
potency. Monoterpenes could thus be incorporated into antimicrobial ointment
formulas in order to treat highly drug-resistant S. aureus infections. Our
findings also underscore the utility of research on natural products in order to
combat bacterial multidrug resistance.
PMID- 27192586
TI - Secular trends in Klebsiella pneumoniae isolated in a tertiary-care hospital:
increasing prevalence and accelerated decline in antimicrobial susceptibility.
AB - INTRODUCTION Klebsiella pneumoniae has become an increasingly important etiologic
agent of nosocomial infections in recent years. This is mainly due to the
expression of virulence factors and development of resistance to several
antimicrobial drugs. METHODS This retrospective study examines data obtained from
the microbiology laboratory of a Brazilian tertiary-care hospital. To assess
temporal trends in prevalence and antimicrobial susceptibility, K. pneumoniae
isolates were analyzed from 2000 to 2013. The relative frequencies of K.
pneumoniae isolation were calculated among all Gram-negative bacilli isolated in
each period analyzed. Susceptibility tests were performed using automated
systems. RESULTS: From 2000-2006, K. pneumonia isolates comprised 10.7% of
isolated Gram-negative bacilli (455/4260). From 2007-2013, this percentage was
18.1% (965/5331). Strictly considering isolates from bloodstream infections, the
relative annual prevalence of K. pneumoniae increased from 14-17% to 27-32%
during the same periods. A progressive decrease in K. pneumoniae susceptibility
to all antimicrobial agents assessed was detected. Partial resistance was also
observed to antimicrobial drugs that have been used more recently, such as
colistin and tigecycline. CONCLUSIONS Our study indicates that K. pneumoniae has
become a major pathogen among hospitalized patients and confirms its recent trend
of increasing antimicrobial resistance.
PMID- 27192579
TI - Early Antibody Lineage Diversification and Independent Limb Maturation Lead to
Broad HIV-1 Neutralization Targeting the Env High-Mannose Patch.
AB - The high-mannose patch on HIV Env is a preferred target for broadly neutralizing
antibodies (bnAbs), but to date, no vaccination regimen has elicited bnAbs
against this region. Here, we present the development of a bnAb lineage targeting
the high-mannose patch in an HIV-1 subtype-C-infected donor from sub-Saharan
Africa. The Abs first acquired autologous neutralization, then gradually matured
to achieve breadth. One Ab neutralized >47% of HIV-1 strains with only ~11%
somatic hypermutation and no insertions or deletions. By sequencing autologous
env, we determined key residues that triggered the lineage and participated in Ab
Env coevolution. Next-generation sequencing of the Ab repertoire showed an early
expansive diversification of the lineage followed by independent maturation of
individual limbs, several of them developing notable breadth and potency.
Overall, the findings are encouraging from a vaccine standpoint and suggest
immunization strategies mimicking the evolution of the entire high-mannose patch
and promoting maturation of multiple diverse Ab pathways.
PMID- 27192587
TI - Trypanosoma cruzi isolated from a triatomine found in one of the biggest
metropolitan areas of Latin America.
AB - INTRODUCTION: To characterize Trypanosoma cruzi (TcI) isolated from a
Panstrongylus megistus specimen found in one of the biggest metropolitan areas of
Latin America, the relationship between the TcI group of T. cruzi and the
transmission cycle in the urban environment was studied. METHODS: The T. cruzi
strain, Pm, was isolated in a culture medium from the evolutionary forms present
in the hindgut of a live male specimen of P. megistus found in the Jabaquara
subway in Sao Paulo City. The sample from the triatomine showed trypomastigote
forms of Trypanosomatidae, which were inoculated in the peritoneum of Balb/c
mice. The sample was then inoculated in Liver Infusion Tryptose medium and J774
cells for the molecular identification and characterization of the parasite. The
Pm strain of T. cruzi was identified by isolation in axenic culture medium, and
based on the morphology, cell infection, growth kinetics, and molecular
characterization. RESULTS: After isolation, the protozoan was identified as T.
cruzi. No parasites were detected in the peripheral blood of the animal, which
can be a characteristic inherent to the strain of T. cruzi that was isolated.
Cell invasion assays were performed in triplicate in the J774 cell line to
confirm the invasive ability of the Pm strain and revealed amastigote forms of
the parasite within macrophages. CONCLUSIONS: Our biological and molecular
characterizations helped understand parasite-host interactions and their
evolutionary history in context of the associations between vectors, ecotopes,
hosts, and groups of the parasite.
PMID- 27192588
TI - Extraction of Trypanosoma cruzi DNA from food: a contribution to the elucidation
of acute Chagas disease outbreaks.
AB - INTRODUCTION: Before 2004, the occurrence of acute Chagas disease (ACD) by oral
transmission associated with food was scarcely known or investigated. Originally
sporadic and circumstantial, ACD occurrences have now become frequent in the
Amazon region, with recently related outbreaks spreading to several Brazilian
states. These cases are associated with the consumption of acai juice by waste
reservoir animals or insect vectors infected with Trypanosoma cruzi in endemic
areas. Although guidelines for processing the fruit to minimize contamination
through microorganisms and parasites exist, acai-based products must be assessed
for quality, for which the demand for appropriate methodologies must be met.
METHODS: Dilutions ranging from 5 to 1,000 T. cruzi CL Brener cells were mixed
with 2mL of acai juice. Four Extraction of T. cruzi DNA methods were used on the
fruit, and the cetyltrimethyl ammonium bromide (CTAB) method was selected
according to JRC, 2005. RESULTS: DNA extraction by the CTAB method yielded
satisfactory results with regard to purity and concentration for use in PCR.
Overall, the methods employed proved that not only extraction efficiency but also
high sensitivity in amplification was important. CONCLUSIONS: The method for T.
cruzi detection in food is a powerful tool in the epidemiological investigation
of outbreaks as it turns epidemiological evidence into supporting data that serve
to confirm T. cruzi infection in the foods. It also facilitates food quality
control and assessment of good manufacturing practices involving acai-based
products.
PMID- 27192589
TI - Antimonial drugs entrapped into phosphatidylserine liposomes: physicochemical
evaluation and antileishmanial activity.
AB - INTRODUCTION: Leishmaniasis is a disease caused by the protozoan Leishmania that
resides mainly in mononuclear phagocytic system tissues. Pentavalent antimonials
are the main treatment option, although these drugs have toxic side effects and
high resistance rates. A potentially alternative and more effective therapeutic
strategy is to use liposomes as carriers of the antileishmanial agents. The aims
of this study were to develop antimonial drugs entrapped into phosphatidylserine
liposomes and to analyze their biological and physicochemical characteristics.
METHODS: Liposomes containing meglumine antimoniate (MA) or pentavalent antimony
salt (Sb) were obtained through filter extrusion (FEL) and characterized by
transmission electron microscopy. Promastigotes of Leishmania infantum were
incubated with the drugs and the viability was determined with a tetrazolium dye
(MTT assay). The effects of these drugs against intracellular amastigotes were
also evaluated by optical microscopy, and mammalian cytotoxicity was determined
by an MTT assay. RESULTS: Liposomes had an average diameter of 162nm. MA-FEL
showed inhibitory activity against intracellular L. infantum amastigotes, with a
50% inhibitory concentration (IC50) of 0.9MUg/mL, whereas that of MA was
60MUg/mL. Sb-FEL showed an IC50 value of 0.2MUg/mL, whereas that of free Sb was
9MUg/mL. MA-FEL and Sb-FEL had strong in vitro activity that was 63-fold and 39
fold more effective than their respective free drugs. MA-FEL tested at a ten
times higher concentration than Sb-FEL did not show cytotoxicity to mammalian
cells, resulting in a higher selectivity index. CONCLUSIONS: Antimonial drug
containing liposomes are more effective against Leishmania-infected macrophages
than the non-liposomal drugs.
PMID- 27192590
TI - Molecular characterization of Mycobacterium tuberculosis isolates from Tehran,
Iran by restriction fragment length polymorphism analysis and spoligotyping.
AB - INTRODUCTION Characterization of Mycobacterium tuberculosis (MTB) isolates by DNA
fingerprinting has contributed to tuberculosis (TB) control. The aim of this
study was to determine the genetic diversity of MTB isolates from Tehran province
in Iran. METHODS MTB isolates from 60 Iranian and 10 Afghan TB patients were
fingerprinted by standard IS6110-restriction fragment length polymorphism (RFLP)
analysis and spoligotyping. RESULTS The copy number of IS6110 ranged from 10-24
per isolate. The isolates were classified into 22 clusters showing >= 80%
similarity by RFLP analysis. Fourteen multidrug-resistant (MDR) isolates were
grouped into 4 IS6110-RFLP clusters, with 10 isolates [71% (95% CI: 45-89%)] in 1
cluster, suggesting a possible epidemiological linkage. Eighteen Iranian isolates
showed >= 80% similarity with Afghan isolates. There were no strains with
identical fingerprints. Spoligotyping of 70 isolates produced 23 distinct
patterns. Sixty (85.7%) isolates were grouped into 13 clusters, while the
remaining 10 isolates (14.2%) were not clustered. Ural (formerly Haarlem4) (n =
22, 31.4%) was the most common family followed by Central Asian strain (CAS) (n =
18, 25.7%) and T (n = 9, 12.8%) families. Only 1strain was characterized as
having the Beijing genotype. Among 60 Iranian and 10 Afghan MTB isolates, 25%
(95% CI: 16-37) and 70% (95% CI: 39-89) were categorized as Ural lineage,
respectively. CONCLUSIONS A higher prevalence of Ural family MTB isolates among
Afghan patients than among Iranian patients suggests the possible transmission of
this lineage following the immigration of Afghans to Iran.
PMID- 27192591
TI - Two decades of tuberculosis in a city in Northeastern Brazil: advances and
challenges in time and space.
AB - INTRODUCTION This study presents two decades of epidemiological data on
tuberculosis (TB), in order to understanding the disease profile and its
spatiotemporal dynamics. METHODS This descriptive study was performed in the City
of Olinda/Pernambuco, Brazil, from 1991-2010, and it analyzed new patients with
TB living in the city. We used the chi2-test with a p-value <0.05 to identify
differences in trends. Incidence and cluster distribution were identified using
spatial scan statistics. RESULTS In total, 6202 new cases were recorded during
the two decades. The highest incidence occurred in 1995 (110 cases/100,000
inhabitants), and the lowest occurred in 2009 (65 cases/100,000 inhabitants)
(beta=-1.44; R2=0.43; p=0.0018). The highest mortality occurred in 1998 (16
deaths/100,000 inhabitants), and the lowest occurred in 2008 (5 deaths/100,000
inhabitants) (beta=-0.19; R2=0.17; p=0.07). There was a male predominance (65%),
and ages ranged from 20-49 years (65%). There was a substantial increase in the
number of patients that were cured after treatment (60% to 67%; p<0.001) as well
as those tested for HIV (1.9% to 58.5%; p<0.001). During the first decade,
clusters with p-values <0.05 included 29% of the total notified cases, and in the
second decade, that percentage was 12%. CONCLUSIONS We observed a decreasing
trend in incidence, which was significant, and mortality rates, which was not
significant. The increased number of laboratory tests performed reflects advances
in surveillance, and a reduction in the proportion of cases in primary clusters
suggests, among other things, that the disease is spreading across the region.
PMID- 27192592
TI - Knowledge and practices related to dengue and its vector: a community-based study
from Southeast Brazil.
AB - INTRODUCTION: This study investigated the knowledge of users of primary
healthcare services living in Ribeirao Preto, Brazil, about dengue and its
vector. METHODS: A cross-sectional survey of 605 people was conducted following a
major dengue outbreak in 2013. RESULTS: Participants with higher levels of
education were more likely to identify correctly the vector of the disease.
CONCLUSIONS: The results emphasize the relevance of health education programs,
the continuous promotion of educational campaigns in the media, the role of the
television as a source of information, and the importance of motivating the
population to control the vector.
PMID- 27192593
TI - Spatial distribution of disseminated histoplasmosis and AIDS co-infection in an
endemic area of Northeastern Brazil.
AB - INTRODUCTION: The spatial distribution of disseminated histoplasmosis (DH) and
acquired immunodeficiency syndrome (AIDS) co-infection in adult residents of
Fortaleza, Ceara, Brazil was evaluated. METHODS: Socio-demographic data for the
DH/AIDS cases were obtained from a reference hospital, and socio-environmental
indicators were obtained from an official Brazilian institute. Kernel analysis
and local indicators of spatial autocorrelation (LISA) cluster maps were used to
estimate the case density within the city. RESULTS: DH/AIDS cases were
concentrated in the Northwestern and Southwestern peripheral areas of the city,
related with low human development indices, but different from AIDS cases
distribution. CONCLUSION: Risk factors other than AIDS infection must affect
histoplasmosis development in this area.
PMID- 27192594
TI - Evaluation of human T-lymphotropic virus prevalence/co-infection rates for a four
year period in a non-metropolitan blood center in Southeast Brazil.
AB - INTRODUCTION: Human T-lymphotropic virus types 1/2 (HTLV-1/2) are distributed
worldwide and are endemic in specific regions. METHODS: Serological evaluation of
the HTLV-1/2 prevalence and co-infection rate [human immunodeficiency virus
(HIV), hepatitis B virus (HBV), hepatitis C virus (HCV), Chagas disease, and
syphilis)] for 2011-2014 was performed with volunteer blood donors from the
western part of Sao Paulo State. RESULTS: Serrana and Aracatuba had higher HTLV
seroprevalence rates (0.1%); while Franca, Olimpia, and Bebedouro had lower
seroprevalences (0.04%). Co-infection (HBV and syphilis) was present in 12.3% of
HTLV-infected blood donors. CONCLUSIONS: Our findings provide data for the
prevalence of HTLV in Brazil and demonstrate the importance of regional and
global hemovigilance.
PMID- 27192595
TI - Prevalence and genotype distribution of human papillomavirus: implications for
cancer screening and vaccination in Henan province, China.
AB - INTRODUCTION: To provide information for cervical cancer screening and
vaccination in Henan province, China, the distribution of human papillomavirus
(HPV) was analyzed. METHODS: The HPV genotypes were detected using gene array and
flow-through hybridization. RESULTS: Overall, 38.1% (1,536/4,033) of the women
were human papillomavirus deoxyribonucleic acid (HPV DNA) positive. The
prevalence of high-risk HPV types was 32.4%. HPV 16 was the most prevalent
genotype (8.9%), followed by HPV 52 (5.8%) and HPV 58 (4.4%). CONCLUSIONS: The
data support close surveillance of women for cervical cancer screening, and HPV
prophylactic vaccines including HPV16, HPV 52, and HPV 58 might offer greater
protection in this area.
PMID- 27192596
TI - Acceptance and potential barriers to effective use of diagnostic tests for
visceral leishmaniasis in an urban area in Brazil.
AB - INTRODUCTION: Acceptance of the IT LEISH(r) and direct agglutination test- made
in the Laboratorio de Pesquisas Clinicas (DAT-LPC) by healthcare professionals
and patients suspected of visceral leishmaniasis (VL) in Ribeirao das Neves was
evaluated. METHODS: Ninety-two patients and 47 professionals completed three
questionnaires. RESULTS: Eighty-eight (96%) patients considered fingertip blood
collection a positive test feature, and 86% (37) and 91% of professionals
considered the IT LEISH(r) easy to perform and interpret, respectively. All
professionals classified the DAT-LPC as simple and easy. CONCLUSIONS: Patients
and healthcare professionals in Ribeirao das Neves demonstrated a high degree of
acceptance of the IT LEISH(r) and DAT-LPC.
PMID- 27192597
TI - New cases of Chagas disease in a rural area of Northeast Brazilian.
AB - INTRODUCTION: Chagas disease is considered one of the 17 most neglected tropical
diseases in the World, with the most common form of vector transmission. METHODS:
This structured cross-sectional study was conducted through an epidemiological
survey in the Tobias Barreto municipality of Sergipe. RESULTS: Of the 255
participants, 1 (0.4%) participant was positive for human Chagas disease.
Approximately 30.2% of the participants found the triatomine bugs in their houses
and outbuildings. CONCLUSIONS: The detection of a case indicated transmission,
which was also evidenced by the presence of triatomines and poor housing
conditions.
PMID- 27192598
TI - Factors associated with non-adherence to the treatment of vivax malaria in a
rural community from the Brazilian Amazon Basin.
AB - INTRODUCTION We investigated the association between demographic and behavioral
factors and non-adherence to antimalarial therapy. METHODS A demographic
questionnaire and 5-item self-reported questionnaire regarding non-adherence were
completed by 135 patients after treatment for Plasmodium vivax. RESULTS Treatment
interruption, but not demographic factors, was significantly associated with non
adherence to therapy. The likelihood of non-adherence was 5.16 times higher when
the patients felt better than when they felt worse. The relative risk of
parasitic resurgence was 3.04 times higher in non-adherent patients. CONCLUSIONS
Treatment interruption is significantly associated with treatment adherence.
PMID- 27192599
TI - Assessment of schistosomiasis in the semi-arid Northeast region of Brazil: the
Sao Francisco River large-scale water transposition project.
AB - INTRODUCTION Risk of schistosomiasis expansion to semi-arid northeastern Brazil
under the influence of the Integration Project of the Sao Francisco River (IPSFR)
was assessed. METHODS: Stool examinations of schoolchildren, epidemiological
investigation, and survey of the local host snail Biomphalaria straminea were
performed in five IPSFR municipalities. RESULTS Six of 4,770 examined
schoolchildren were egg-positive for Schistosoma mansoni. Biomphalaria straminea
was widespread, but not naturally infected with S. mansoni. Snails experimentally
exposed to two laboratory S. mansoni strains yielded infection indices of 1-4.5%.
CONCLUSIONS: There is evidence of active schistosomiasis transmission in the
area; thus, intensive surveillance actions are required.
PMID- 27192600
TI - Case report of vancomycin-induced pancytopenia.
AB - Vancomycin is the first-line agent for the treatment of bacteremia, endocarditis,
pneumonia, cellulitis, and osteomyelitis. Pancytopenia is an uncommon adverse
effect of vancomycin therapy, with only a few cases of vancomycin-related
neutropenia and pancytopenia described in the literature. We describe a case of a
56-year-old man who was diagnosed with chronic paraspinal abscess and started on
intravenous vancomycin. He was re-admitted two weeks later with new-onset
pancytopenia. Discontinuation of vancomycin resulted in improved cell counts.
Physicians should monitor cell counts in patients who are on long-term
intravenous vancomycin.
PMID- 27192601
TI - Secondary transmission of cryptosporidiosis associated with well water
consumption: two case studies.
AB - Cryptosporidiosis is a very prominent disease in the field of public health, and
usually causes diarrhea. We describe two immunocompetent patients who presented
with chronic diarrhea that was ultimately found to be caused by continuous
exposure to well water contaminated with the microbial cysts (oocysts) of the
Cryptosporidium spp parasite. We describe the patients' histories and possible
explanations for their prolonged symptoms.
PMID- 27192602
TI - Cysticerci located in the fourth ventricle causing obstructive hydrocephalus: a
radiological emergency requiring prompt diagnosis.
PMID- 27192603
TI - Reply.
PMID- 27192605
TI - Quantitative Identification of Biogenic Nonextractable Pesticide Residues in Soil
by (14)C-Analysis.
AB - Quantification of nonextractable residues (NER) of pesticides in soil is feasible
by use of radioactively labeled compounds, but structural information on these
long-term stabilized residues is usually lacking. Microorganisms incorporate
parts of the radiolabeled ((14)C-) carbon from contaminants into microbial
biomass, which after cell death enters soil organic matter, thus forming biogenic
nonextractable residues (bioNER). The formation of bioNER is not yet determinable
in environmental fate studies due to a lack of methodology. This paper focuses on
the development of a feasible analytical method to quantify proteinaceous carbon,
since proteins make up the largest mass portion of bacterial cells. The test
substance (14)C-bromoxynil after 56 days forms more than 70% of NER in soil. For
further characterization of NER the amino acids were extracted, purified, and
separated by two-dimensional thin-layer chromatography (TLC). Visualization of
the (14)C-amino acids was performed by bioimaging, unambiguous identification by
GC-MS and LC-MS/MS. Our analysis revealed that after 56 days of incubation about
14.5% of the (14)C-label of bromoxynil was incorporated in amino acids.
Extrapolating this content based on the amount of proteins in the biomass (55%),
in total about 26% of the NER is accounted for by bioNER and thus is not
environmentally relevant.
PMID- 27192604
TI - Shift of the Muscular Inhibition Latency during On-Line Acquisition of
Anticipatory Postural Adjustments.
AB - During action, Anticipatory Postural Adjustments (APAs) cancel the consequences
of a movement on postural stabilization. Their muscular expression is
characterized by early changes in the activity of the postural muscles, before
the movement begins. To explore the mechanisms enabling the acquisition of APAs,
a learning paradigm was designed in which the voluntary lifting of a load with
one hand triggered the unloading of another load suspended below the
contralateral forearm. The aim of this study was to investigate changes in the
muscular expression that uncovers the progressive learning of new APAs. A trial
by-trial analysis of kinematic and electromyographic signals recorded on the
right arm was conducted in twelve adults through six sessions of learning.
Kinematic results reported an enhancement of the postural stabilization across
learning. The main EMG pattern found during learning consisted of a flexor
inhibition, where latency was shifted towards an earlier occurrence in parallel
with the improvement of the postural performance. A linear regression analysis
conducted between the inhibition latency and the maximal amplitude of elbow
rotation showed that the earlier the inhibition onset, the better the postural
stabilization. This study revealed that the progressive shift of the postural
flexor inhibition latency could be considered as a reliable neurophysiological
marker of the progressive learning of new APAs. Importantly, this marker could be
used to track motor learning abnormalities in pathology. We relate our findings
to the update of a forward predictive model of action, defined as a system that
predicts beforehand the consequences of the action on posture.
PMID- 27192607
TI - Odor composition analysis and odor indicator selection during sewage sludge
composting.
AB - On the basis of total temperature increase, normal dehydration, and maturity, the
odor compositions of surface and internal piles in a well-run sewage sludge
compost plant were analyzed using gas chromatography-mass spectrometry with a
liquid nitrogen cooling system and a portable odor detector. Approximately 80
types of substances were detected, including 2 volatile inorganic compounds, 4
sulfur organic compounds, 16 benzenes, 27 alkanes, 15 alkenes, and 19 halogenated
compounds. Most pollutants were mainly produced in the mesophilic and pre
thermophilic periods. The sulfur volatile organic compounds contributed
significantly to odor and should be controlled primarily. Treatment strategies
should be based on the properties of sulfur organic compounds. Hydrogen sulfide,
methyl mercaptan, dimethyl disulfide, dimethyl sulfide, ammonia, and carbon
disulfide were selected as core indicators. Ammonia, hydrogen sulfide, carbon
disulfide, dimethyl disulfide, methyl mercaptan, dimethylbenzene, phenylpropane,
and isopentane were designated as concentration indicators. Benzene, m-xylene, p
xylene, dimethylbenzene, dichloromethane, toluene, chlorobenzene,
trichloromethane, carbon tetrachloride, and ethylbenzene were selected as health
indicators. According to the principle of odor pollution indicator selection,
dimethyl disulfide was selected as an odor pollution indicator of sewage sludge
composting. Monitoring dimethyl disulfide provides a highly scientific method for
modeling and evaluating odor pollution from sewage sludge composting facilities.
IMPLICATIONS: Composting is one of the most important methods for sewage sludge
treatment and improving the low organic matter content of many agricultural
soils. However, odors are inevitably produced during the composting process.
Understanding the production and emission patterns of odors is important for odor
control and treatment. Core indicators, concentration indicators, and health
indicators provide an index system to odor evaluation. An odor pollution
indicator provides theoretical support for further modelling and evaluating odor
pollution from sewage sludge composting facilities.
PMID- 27192606
TI - Diversity of fungi from the mound nests of Formica ulkei and adjacent non-nest
soils.
AB - Culture-based methods were employed to recover 3929 isolates of fungi from soils
collected in May and July 2014 from mound nests of Formica ulkei and adjacent non
nest sites. The abundance, diversity, and richness of species from nest mounds
exceeded those of non-mound soils, particularly in July. Communities of fungi
from mounds were more similar to those from mounds than non-mounds; this was also
the case for non-mound soils with the exception of one non-mound site in July.
Species of Aspergillus, Paecilomyces, and Penicillium were dominant in nest soils
and represented up to 81.8% of the taxa recovered. Members of the genus
Aspergillus accounted for the majority of Trichocomaceae from nests and were
represented almost exclusively by Aspergillus navahoensis and Aspergillus
pseudodeflectus. Dominant fungi from non-mound sites included Cladosporium
cladosporioides, Geomyces pannorum, and species of Acremonium, Fusarium,
Penicillium, and Phoma. Although mound nests were warmer than adjacent soils, the
dominance of xerotolerant Aspergillus in soils from mounds and the isolation of
the majority of Trichocomaceae at 25 and 35 degrees C suggests that both
temperature and water availability may be determinants of fungal community
structure in nests of F. ulkei.
PMID- 27192608
TI - Direct Mapping of Charge Distribution during Lithiation of Ge Nanowires Using Off
Axis Electron Holography.
AB - The successful operation of rechargeable batteries relies on reliable
insertion/extraction of ions into/from the electrodes. The battery performance
and the response of the electrodes to such ion insertion and extraction are
directly related to the spatial distribution of the charge and its dynamic
evolution. However, it remains unclear how charge is distributed in the
electrodes during normal battery operation. In this work, we have used off-axis
electron holography to measure charge distribution during lithium ion insertion
into a Ge nanowire (NW) under dynamic operating conditions. We discovered that
the surface region of the Ge core is negatively charged during the core-shell
lithiation of the Ge NW, which is counterbalanced by positive charge on the inner
surface of the lithiated LixGe shell. The remainder of the lithiated LixGe shell
is free from net charge, consistent with its metallic characteristics. The
present work provides a vivid picture of charge distribution and dynamic
evolution during Ge NW lithiation and should form the basis for tackling the
response of these and related materials under real electrochemical conditions.
PMID- 27192609
TI - Older Single Gay Men's Body Talk: Resisting and Rigidifying the Aging Discourse
in the Gay Community.
AB - Previous research saw older gay men as subject to structural marginalization of
ageism but yet possessing agency to interpret aging in diverse ways. I move
beyond this duality, drawing on the theory of defensive othering to understand
how older gay men live with the aging discourse in the gay community. Informed by
grounded theory, I analyzed interviews with 25 self-identified single gay men
aged 50 or above in England inductively. It emerged that many older gay men found
it difficult to escape the discourse that marginalizes the aging body. Even when
they argued they were the exception and "looked good," they were discursively
producing a two-tier system: they themselves as the "good older gay men," as
opposed to the other "bad older gay men," who "had given up." Such a defensive
othering tactic seemingly allowed them to resist age norms from applying to them
personally, but unintentionally reinforced an ageist discourse.
PMID- 27192610
TI - Stent implantation to relieve native obstructed left partial anomalous pulmonary
venous connections.
AB - Obstructed partial anomalous pulmonary venous connections (APVC) are rare but may
be associated with severe pulmonary hypertension (PHTN) and warrant urgent
relief. There are a number of case reports of successful catheter intervention
for obstructed total APVC. We present the first reported case of catheter
intervention to relieve obstructed, left sided PAPVC in a neonate with Turner
syndrome. (c) 2016 Wiley Periodicals, Inc.
PMID- 27192611
TI - Microfluidic Fabrication of Pluronic Vesicles with Controlled Permeability.
AB - Block copolymers with a low hydrophilic-to-lipophilic balance form membranes that
are highly permeable to hydrophilic molecules. Polymersomes with this type of
membrane enable the controllable release of molecules without membrane rupture.
However, these polymersomes are difficult to assemble because of their low
hydrophobicity. Here, we report a microfluidic approach to the production of
these polymersomes using double-emulsion drops with ultrathin shells as
templates. The small thickness of the middle oil phase enables the attraction of
the hydrophobic blocks of the polymers adsorbed at each of the oil/water
interfaces of the double emulsions; this results in the dewetting of the oil from
the surface of the innermost water drops of the double emulsions and the ultimate
formation of the polymersome. This approach to polymersome fabrication enables
control of the vesicle size and results in the efficient encapsulation of
hydrophilic ingredients that can be released through the polymer membrane without
membrane rupture. We apply our approach to the fabrication of Pluronic L121
vesicles and characterize the permeability of their membranes. Furthermore, we
show that membrane permeability can be tuned by blending different Pluronic
polymers. Our work thus describes a route to producing Pluronic vesicles that are
useful for the controlled release of hydrophilic ingredients.
PMID- 27192612
TI - Enhanced gas sorption and breathing properties of the new sulfone functionalized
COMOC-2 metal organic framework.
AB - A new sulfone functionalized vanadium metal-organic framework (MOF), denoted as
SO2-COMOC-2, has been synthesized solvothermally. Its structural and gas sorption
properties towards CO2 and CH4 have been evaluated and compared to those of the
pristine COMOC-2 material. The SO2-COMOC-2 shows a remarkable increase in CO2
capacity at ambient pressure (2.13 mmol g(-1) at 273 K vs. 1.23 mmol g(-1) for
the pristine COMOC-2). Additionally, the high pressure CO2 sorption isotherm
shows a distinctive two-step sorption behavior with a final capacity of 12.45
mmol g(-1) for SO2-COMOC-2 at 303 K, while for CH4 a typical Type I isotherm was
obtained with a capacity of 4.13 mmol g(-1). In situ synchrotron X-ray powder
diffraction measurements have been carried out to characterize the structural
flexibility of the materials, showing both the presence of large pore and narrow
pore form. Furthermore, synchrotron XANES and a variety of spectroscopic
techniques have been utilized to verify the presence of hydroxyl groups and the
existence of the mixed vanadium oxidation states in the titled MOF structure.
PMID- 27192613
TI - Power morcellation inside a secure endobag: a pilot study.
AB - INTRODUCTION: Unprotected power morcellation can lead to a spread of previously
undiagnosed malignancy. We present a new containment bag with two closable trocar
insertion sites to reduce this risk. This pilot study was designed to assess the
feasibility of this device under everyday conditions. MATERIAL AND METHODS: The
containment bag was used in ten laparoscopic supracervical hysterectomies. We
evaluated time requirement for bag insertion into the abdominal cavity and in-bag
morcellation. A 2000 ml polyurethane morcellation bag was used for all
interventions. All surgeries were carried out in a three-trocar setting. RESULTS:
We carried out ten supracervical hysterectomies. No intraoperative complications
and no bag ruptures occurred. The meantime requirement to insert the bag and
prepare the specimen for morcellation was 10.5 min (range, 7-19 min). The mean
specimen weight was 191.9 g (range, 32-710 g). Mean morcellation time was 10.5
min (range, 3-28 min), mean weight of remaining tissue and fluid in the bag after
morcellation was 12.1 g (range, 7-19 g). CONCLUSIONS: The presented data
demonstrate that the endobag can be successfully applied in the clinical routine.
Further studies are required to evaluate additional characteristics, such as
individual learning curve and time requirements.
PMID- 27192615
TI - Synthesis of [60]Fullerene-Fused Spiroindanes by Palladium-Catalyzed Oxidative
Annulation of [60]Fullerene with 2-Aryl Cyclic 1,3-Dicarbonyl Compounds.
AB - A convenient and facile palladium-catalyzed reaction of [60]fullerene (C60) with
2-aryl cyclic 1,3-dicarbonyl compounds via the enolate-directed sp(2) C-H
activation and sp(3) C-H functionalization has been exploited to synthesize the
novel and rare C60-fused spiroindanes for the first time. This reaction is easy
to perform with broad substrate scope and provides diversified products in 20-50%
yields. A plausible reaction mechanism involving the palladium-catalyzed enolate
directed C-H activation and subsequent cyclization has been proposed, and the
electrochemistry of the C60-fused spiroindanes has also been investigated.
PMID- 27192614
TI - Bayesian Top-Down Protein Sequence Alignment with Inferred Position-Specific Gap
Penalties.
AB - We describe a Bayesian Markov chain Monte Carlo (MCMC) sampler for protein
multiple sequence alignment (MSA) that, as implemented in the program GISMO and
applied to large numbers of diverse sequences, is more accurate than the popular
MSA programs MUSCLE, MAFFT, Clustal-Omega and Kalign. Features of GISMO central
to its performance are: (i) It employs a "top-down" strategy with a favorable
asymptotic time complexity that first identifies regions generally shared by all
the input sequences, and then realigns closely related subgroups in tandem. (ii)
It infers position-specific gap penalties that favor insertions or deletions
(indels) within each sequence at alignment positions in which indels are invoked
in other sequences. This favors the placement of insertions between conserved
blocks, which can be understood as making up the proteins' structural core. (iii)
It uses a Bayesian statistical measure of alignment quality based on the minimum
description length principle and on Dirichlet mixture priors. Consequently, GISMO
aligns sequence regions only when statistically justified. This is unlike methods
based on the ad hoc, but widely used, sum-of-the-pairs scoring system, which will
align random sequences. (iv) It defines a system for exploring alignment space
that provides natural avenues for further experimentation through the development
of new sampling strategies for more efficiently escaping from suboptimal traps.
GISMO's superior performance is illustrated using 408 protein sets containing, on
average, 235 sequences. These sets correspond to NCBI Conserved Domain Database
alignments, which have been manually curated in the light of available crystal
structures, and thus provide a means to assess alignment accuracy. GISMO fills a
different niche than other MSA programs, namely identifying and aligning a
conserved domain present within a large, diverse set of full length sequences.
The GISMO program is available at http://gismo.igs.umaryland.edu/.
PMID- 27192618
TI - Antimicrobial prophylaxis for surgery.
PMID- 27192616
TI - Synthetic and Receptor Signaling Explorations of the Mitragyna Alkaloids:
Mitragynine as an Atypical Molecular Framework for Opioid Receptor Modulators.
AB - Mu-opioid receptor agonists represent mainstays of pain management. However, the
therapeutic use of these agents is associated with serious side effects,
including potentially lethal respiratory depression. Accordingly, there is a
longstanding interest in the development of new opioid analgesics with improved
therapeutic profiles. The alkaloids of the Southeast Asian plant Mitragyna
speciosa, represented by the prototypical member mitragynine, are an unusual
class of opioid receptor modulators with distinct pharmacological properties.
Here we describe the first receptor-level functional characterization of
mitragynine and related natural alkaloids at the human mu-, kappa-, and delta
opioid receptors. These results show that mitragynine and the oxidized analogue 7
hydroxymitragynine, are partial agonists of the human mu-opioid receptor and
competitive antagonists at the kappa- and delta-opioid receptors. We also show
that mitragynine and 7-hydroxymitragynine are G-protein-biased agonists of the mu
opioid receptor, which do not recruit beta-arrestin following receptor
activation. Therefore, the Mitragyna alkaloid scaffold represents a novel
framework for the development of functionally biased opioid modulators, which may
exhibit improved therapeutic profiles. Also presented is an enantioselective
total synthesis of both (-)-mitragynine and its unnatural enantiomer, (+)
mitragynine, employing a proline-catalyzed Mannich-Michael reaction sequence as
the key transformation. Pharmacological evaluation of (+)-mitragynine revealed
its much weaker opioid activity. Likewise, the intermediates and chemical
transformations developed in the total synthesis allowed the elucidation of
previously unexplored structure-activity relationships (SAR) within the Mitragyna
scaffold. Molecular docking studies, in combination with the observed chemical
SAR, suggest that Mitragyna alkaloids adopt a binding pose at the mu-opioid
receptor that is distinct from that of classical opioids.
PMID- 27192619
TI - QuilliChew ER--extended-release chewable methylphenidate tablets.
PMID- 27192620
TI - Ciprofloxacin (Otiprio) for tympanostomy tube insertion.
PMID- 27192621
TI - Three new drugs for multiple myeloma.
PMID- 27192622
TI - Subaerial biofilms on granitic historic buildings: microbial diversity and
development of phototrophic multi-species cultures.
AB - Microbial communities of natural subaerial biofilms developed on granitic
historic buildings of a World Heritage Site (Santiago de Compostela, NW Spain)
were characterized and cultured in liquid BG11 medium. Environmental barcoding
through next-generation sequencing (Pacific Biosciences) revealed that the
biofilms were mainly composed of species of Chlorophyta (green algae) and
Ascomycota (fungi) commonly associated with rock substrata. Richness and
diversity were higher for the fungal than for the algal assemblages and fungi
showed higher heterogeneity among samples. Cultures derived from natural biofilms
showed the establishment of stable microbial communities mainly composed of
Chlorophyta and Cyanobacteria. Although most taxa found in these cultures were
not common in the original biofilms, they are likely common pioneer colonizers of
building stone surfaces, including granite. Stable phototrophic multi-species
cultures of known microbial diversity were thus obtained and their reliability to
emulate natural colonization on granite should be confirmed in further
experiments.
PMID- 27192623
TI - Flexor Digitorum Superficialis Tear in a Wakeboarder: An Unusual Clinical Case.
AB - Tears in the flexor digitorum superficialis muscle belly are rare injuries with
one single case reported in the literature. In this article, we describe the
first case of muscle tear of the flexor digitorum superficialis resulting from a
wakeboarding trauma. The wakeboarder presented with pain localized in the
anterior forearm, and early symptoms of carpal tunnel syndrome. Ultrasonography
demonstrated an intramuscular hematoma of the flexor digitorum superficialis
myotendinous junction, which compressed the median nerve. After conservative
treatment, the patient was asymptomatic and returned to his usual daily
activities and sports within 5 weeks of the injury. In patients with a wakeboard
trauma and similar presentations, a tear in the flexor digitorum superficialis
muscle belly should be suspected.
PMID- 27192627
TI - Toxicity assessment of boron (B) by Lemna minor L. and Lemna gibba L. and their
possible use as model plants for ecological risk assessment of aquatic ecosystems
with boron pollution.
AB - As many of the metalloid-based pollutants, the boron (B) toxicity issues have
aroused more and more global attentions, especially concerning drinking water
sources which flow through boron-rich areas. Therefore, feasible and innovative
approaches are required in order to assess B toxicity in aquatic ecosystems. In
this study, the toxic effects of B on Lemna minor L. and Lemna gibba L. were
investigated using various endpoints including number of fronds, growth rates,
dry biomass and antioxidants enzymatic activities. Lemna species were exposed to
B concentrations of 2 (control), 4, 8, 16, 32, 64 and 128 mg L(-1) for a test
period of 7 days. The results demonstrated that plant growth was significantly
reduced when the B concentration reached 16 mg L(-1). Furthermore, our results
also concluded that among the antioxidative enzymes, SOD, APX and GPX can serve
as important biomarkers for B-rich environment. The present results suggested
that L. minor and L. gibba are very useful model plants for phytoremediation of
low-B contaminated wastewater and they are also suitable options for B
biomonitoring due to high phototoxic sensitivity against B. In this respect, the
scientific insight of the present study is to fill the gaps in the research about
the use of L. minor and L. gibba in ecotoxicological research associated with B
toxicity.
PMID- 27192628
TI - MicroRNA-590-5p Stabilizes Runx2 by Targeting Smad7 During Osteoblast
Differentiation.
AB - Mesenchymal stem cells (MSCs) are multipotent cells and their differentiation
into the osteoblastic lineage is strictly controlled by several regulators,
including microRNAs (miRNAs). Runx2 is a bone transcription factor required for
osteoblast differentiation. Here, we used in silico analysis to identify a number
of miRNAs that putatively target Runx2 and its co-factors to mediate both
positive and negative regulation of osteoblast differentiation. Among these
miRNAs, miR-590-5p was selected and its expression was found to be increased
during osteoblast differentiation. When mouse MSCs (mMSCs) were transiently
transfected with a miR-590-5p mimic, we detected an increase in both calcium
deposition and the mRNA expression of osteoblast differentiation marker genes
such as alkaline phosphatase (ALP) and type I collagen genes. Smad7 was found to
be among the putative target genes of miR-590-5p and its mRNA and protein
expression decreased after miR-590-5p mimic transfection in human osteoblast-like
cells (MG63). Our analysis indicated that Runx2 was not a putative target of miR
590-5p. However, Runx2 protein, but not mRNA expression, increased after miR-590
5p mimic transfection in MG63 cells. Runx2 protein expression was increased with
knockdown of Smad7 expression by Smad7 siRNA in these cells. We further
identified that the 3'-untranslated region of Smad7 was directly targeted by miR
590-5p; this was done using the luciferase reporter gene system. It is known that
Smad7 inhibits osteoblast differentiation via Smurf2-mediated Runx2 degradation.
Hence, based on our results, we suggest that miR-590-5p promotes osteoblast
differentiation by indirectly protecting and stabilizing the Runx2 protein by
targeting Smad7 gene expression. J. Cell. Physiol. 232: 371-380, 2017. (c) 2016
Wiley Periodicals, Inc.
PMID- 27192629
TI - Manipulation of Rumen Microbial Fermentation by Polyphenol Rich Solvent Fractions
from Papaya Leaf to Reduce Green-House Gas Methane and Biohydrogenation of C18
PUFA.
AB - Different solvents (hexane, chloroform, ethyl acetate, butanol, and water) were
used to identify the effect of papaya leaf (PL) fractions (PLFs) on ruminal
biohydrogenation (BH) and ruminal methanogenesis in an in vitro study. PLFs at a
concentration of 0 (control, CON) and 15 mg/250 mg dry matter (DM) were mixed
with 30 mL of buffered rumen fluid and were incubated for 24 h. Methane (CH4)
production (mL/250 mg DM) was the highest (P < 0.05) for CON (7.65) and lowest
for the chloroform fraction (5.41) compared to those of other PLFs at 24 h of
incubation. Acetate to propionate ratio was the lowest for PLFs compared to that
of CON. Supplementation of the diet with PLFs significantly (P < 0.05) decreased
the rate of BH of C18:1n-9 (oleic acid; OA), C18:2n-6 (linoleic acid; LA), and
C18:3n-3 (alpha-linolenic acid; LNA) compared to that of CON after 24 h of
incubation. Real time PCR indicated that total protozoa and total methanogen
population in PLFs decreased (P < 0.05) compared to those of CON.
PMID- 27192630
TI - Cycloheximide Inhibits Actin Cytoskeletal Dynamics by Suppressing Signaling via
RhoA.
AB - Genome-wide screening of the yeast Saccharomyces cerevisiae knockout collection
was used to characterize chemical-genetic interactions of cycloheximide (CHX).
The results showed that while the act1Delta mutant was the only deletion mutant
in the heterozygous essential gene deletion collection that showed
hypersensitivity to sub-inhibitory concentrations of CHX, deletion of
nonessential genes that work in concert with either cytoplasmic or nuclear actin
in the homozygous deletion collection also highly sensitized yeast to CHX.
Fluorescence microscopy analysis revealed that CHX disrupts filamentous actin
structures and fluid phase endocytosis in the yeast cell. It also showed that CHX
disrupts transforming growth factor-beta1 (TGF-beta1)-induced actin
reorganization and polygonal architecture of microfilaments in mammalian cells.
This inhibitory effect is mediated, at least in part, through the actin dynamics
signaling pathway via suppression of activation of the small GTPase RhoA. J.
Cell. Biochem. 117: 2886-2898, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27192631
TI - Echocardiographic measures of atrial function may predict atrial fibrillation in
stroke patients.
AB - OBJECTIVE: In patients with a prior stroke or transient ischemic attack (TIA) and
atrial fibrillation anticoagulant treatment is indicated. This study's purpose
was to investigate if echocardiography can predict unknown AF in patients after
stroke. DESIGN: Prospectively, 174 stroke/TIA patients without diagnosed AF
underwent echocardiographic evaluation including tissue Doppler imaging (TDI)
focusing on functional parameters of the left atrium and left ventricular
diastolic function. AF screening was performed during 30 d. RESULTS: Fifteen
patients (8.6%) were diagnosed with AF. Echocardiography in the AF group compared
to those without AF, showed larger left atrial volume index (LAVI), (37.2 +/- 6.7
vs. 31.6 +/- 8.6 ml/m(2), p = 0.018), lower A' velocities in ventricular (5.9 +/-
2.2 vs. 7.2 +/- 1.6, p = 0.010) and atrial (4.8 +/- 1.4 vs. 5.9 +/- 1.4, p =
0.013) septa, higher LAVI/A' in ventricular septum (6.7 (5.0-8.7) vs. 4.2 (3.2
5.5), p = 0.001) and atrial septum (8.5 (5.9-11.0) vs. 5.1 (4.1-6.8), p = 0.003).
Receiver operating characteristic analyses to detect AF was performed, area under
the curve for LAVI was 0.71 (0.61-0.83), p = 0.008, and for LAVI/A' in
ventricular septum 0.76 (0.59-0.93), p = 0.006 and atrial septum 0.78 (0.63
0.93), p = 0.002, respectively. CONCLUSIONS: LAVI and measures of atrial
contraction as measured by TDI predict unknown AF in patients after an stroke/TIA
and may be used to detect silent AF.
PMID- 27192632
TI - Isolation and identification of biocontrol agent Streptomyces rimosus M527
against Fusarium oxysporum f. sp. cucumerinum.
AB - Actinomycetes have received considerable attention as biocontrol agents against
fungal plant pathogens and as plant growth promoters. In this study, a total of
320 actinomycetes were isolated from various habitats in China. Among which, 77
strains have been identified as antagonistic activities against Fusarium
oxysporum f. sp. cucumerinum which usually caused fusarium wilt of cucumber. Of
these, isolate actinomycete M527 not only displayed broad-spectrum antifungal
activity but also showed the strongest antagonistic activity against the spore
germination of F. oxysporum f. sp. cucumerinum. In pot experiments, the results
indicated that isolate M527 could promote the shoot growth and prevent the
development of the disease on cucumber caused by F. oxysporum f. sp. cucumerinum.
The control efficacy against seedling fusarium wilt of cucumber after M527
fermentation broth root-irrigation was up to 72.1% as compared to control. Based
on 16S rDNA sequence analysis, the isolate M527 was identified as Streptomyces
rimosus.
PMID- 27192634
TI - Historical Vignettes of Epicanthoplasty.
AB - The aim of this study is to summarize historical vignettes in regards to
epicanthoplasty, systematically.In a PubMed search and a Scopus search, the
search terms (epicanthal) AND (fold)and (epicanthoplasty) were used, which
resulted in 389 titles found. Among the 480 titles, 74 titles, which were
duplicated between PubMed and Scopus were excluded. Excluding 352 titles from the
remaining 406 titles, 54 abstracts discussing at least 1 surgical method
correcting epicanthal folds were reviewed. By excluding further 6 abstracts, 48
full articles, were reviewed. Finally, 6 mined articles were added, in which 4
studies were excluded resulting in 46 articles being analyzed.Forty-three
articles studied eliminating epicanthal folds (93.5%) and 3 discussed
reconstructing removed epicanthal folds (6.5%). The methods were categorized into
Z-plasty, advancement, skin redraping, excision, and others. Among the 48 methods
analyzed, the Z-plasty (21, 43.8%) was written most frequently followed by
advancement (14, 29.2%), and excision (7, 14.6%). Redraping was relatively rare
(4, 8.3%). The Z-plasty is employed frequently and is increasingly used. Excision
has decreased in use and no more articles have been written recently. Advancement
has continuously increased but has stabilized from 2010. Redraping has increased
recently apparently after Oh's 2007 article was published.Excessive performance
of epicanthoplasty causes unwanted results, including overcorrection.
Subsequently, reconstruction of the over-corrected cases was needed and
restoration methods are now being published. It is expected that new
modifications of Z-epicanthoplasty or skin redraping technique will appear.
Reconstruction methods will also be developed.
PMID- 27192635
TI - Analysis of Rib Cartilages Anomalies in Patients With Microtia.
AB - OBJECTIVE: The objective of this study was to identify the characteristics and
incidence of rib cartilages anomalies in patients with microtia and to
investigate the interaction between microtia and rib cartilages anomalies.
METHODS: A total of 214 consecutive patients received a preoperative 3
dimensional chest computed tomography. A retrospective study was performed with
the clinical and imaging data from November 2014 to July 2015. Pearson X2 test
and Spearman analysis were used to analyze the interaction between microtia and
rib cartilages anomalies. RESULTS: With the 3-dimensional chest computed
tomography images, a total of 62 patients (29.0%) were documented with rib
cartilages anomalies including 4 patients (1.9%) with numerical variations, 13
patients (6.1%) with structural changes, and 51 patients (23.8%) with abnormal
articulations. The incidence of rib anomalies (P = 0.035) varied with grades of
microtia. CONCLUSIONS: The incidence of rib cartilages anomalies was high in
patients with microtia. The authors observed a higher incidence of costal
cartilages anomalies in patients with a more serious grade of microtia. Microtia
with rib cartilages anomalies may involve a new syndrome previously undiscovered
or just another extension with the very wide spectrum of microtia.
PMID- 27192636
TI - Empowerment of Parents of Children With Craniosynostosis by Objective Scoring of
Patient Information Websites.
AB - INTRODUCTION: Parental anxiety may be caused by inappropriate information on the
world wide web regarding craniosynostosis. The aim of this study was to study the
correlation between the first 100 websites ranked on the Google search engine and
those ranked based on objective scoring with patient information scoring tool.
The results of these findings can then be used to recommend websites based on the
quality of information that may not initially appear first on a search engine.
METHODS: The DISCERN patient information scoring tool was chosen as a scientific
way of measuring the quality of patient information based on previous research by
the Picker Institute in Oxford. The word "craniosynostosis" was entered into the
Google internet search engine. Patient information provided by the first 100
websites was studied and scored using the DISCERN scoring tool. From this score,
each website was ranked with the highest scoring website at the top and worse
scoring website at the bottom. This ranking based on the DISCERN tool score was
correlated against the ranking of the website by Google. Positive correlation
between DISCERN and Google ranking would be demonstrated if a website high in the
ranking using DISCERN was also at the top of the first 100 websites on Google.
RESULTS: No correlation could be found between those websites ranked highly for
patient information on craniosynostosis using the DISCERN tool to the ranking
provided by Google. DISCERN scores ranged from 17 to 72 (the lowest possible
DISCERN tool score being 15 and the highest 85). The website ranking highest in
terms of quality appeared only 50th in the Google rank. CONCLUSION: High-quality
patient information on craniosynostosis does exist on the world wide web but may
be difficult to find due to the complexity of factors used to rank websites on
internet search engines. This results in some high-quality websites not appearing
at the top of an internet search. Therefore, parents risk missing useful
information relevant to their child's diagnosis. Healthcare professionals can use
objective scoring of patient information websites to empower their patients to
seek higher quality information.
PMID- 27192637
TI - A Novel Local Autologous Bone Graft Donor Site After Scalp Tissue Expansion in
Aplasia Cutis Congenita.
AB - Aplasia cutis congenita (ACC) is a rare condition often presenting as an absent
area of cutaneous scalp. The calvarium and dura may also be affected. Scalp
reconstruction with tissue expansion is often needed for large defects. Patients
involving deficient calvarial bone present a dilemma for the reconstructive
surgeon, because bone graft donor sites are limited in young children.A thick,
bony rim has been noted to form around the periphery of scalp tissue expanders.
The authors present a series of 3 patients with ACC for whom this bony
hyperostosis was used as donor particulate bone graft at the time of scalp tissue
expansion. There was 85 to 100% graft ossification on postoperative computed
tomography scan. There were no bone graft-related complications.In conclusion,
the hyperostotic rim that forms after scalp tissue expansion can be successfully
used as particulate bone graft, decreasing the number of procedures needed for
patient with ACC and obviating the need for other donor sites.
PMID- 27192633
TI - Great expectations: racial differences in outcome expectations for a weight
lifting intervention among black and white breast cancer survivors with or
without lymphedema.
AB - BACKGROUND: Black breast cancer survivors are less likely to engage in physical
activity than are White survivors. This is unfortunate because physical activity
may be especially beneficial given Black breast cancer survivors' higher rates of
obesity and adverse treatment effects related to obesity, such as breast cancer
related lymphedema (BCRL). The analysis explored outcome expectations for a
weight lifting intervention by sedentary Black or White female breast cancer
survivors and assessed the role of BCRL on outcome expectations for exercise.
METHODS: Chi-squared tests compared mean outcome expectation values for Black and
White breast cancer survivors who completed baseline surveys for the Physical
Activity and Lymphedema trial (n = 281). With race as the independent variable,
multivariable analysis compared results for women without BCRL with those with
BCRL, separately. RESULTS: Across the entire sample, Black survivors (n = 90) had
significantly higher (p < 0.05) outcome expectations than White survivors (n =
191) for improvements in sleep, appearance, mental health, affect, energy, and
eating habits, with small to moderate effect sizes. When stratified by BCRL
status, differences by race were robust only among those with BCRL. CONCLUSIONS:
Black cancer survivors had greater expectations than White cancer survivors for
how a weight lifting intervention would improve their physical and mental states;
these differences were most apparent among women with BCRL. Improving outcomes in
Black breast cancer survivors rests on the development of interventions that are
appropriately tailored to address the expectations of this population and account
for differences in persistent adverse effects of cancer such as BCRL. Copyright
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27192638
TI - Applying Craniofacial Principles to Neurosurgical Exposures in Cerebrovascular
Aneurysm Repair.
AB - The subspecialty of craniofacial surgery emphasizes skeletal exposure,
preservation of critical structures, and provision of a superior cosmetic result.
In recent decades, an emphasis on minimally invasive neurosurgical exposure has
paved the way for increased collaboration between neurosurgeons and craniofacial
surgeons.The 1990s saw the growing popularity of an eyebrow incision for orbital
roof craniotomies in neurosurgery to address lesions in the anterior skull base.
Disadvantages of this approach included conspicuous scarring above the brow skin,
risk of injury to the frontal branch of the facial nerve, and numbness from
supraorbital or supratrochlear nerve transection.A transpalpebral approach was
first described in 2008 in the neurosurgical literature. An approach familiar to
the craniofacial surgeon, transpalpebral exposure is used for zygomaticomaxillary
complex fractures as well as aesthetic brow and periorbital surgery.In
conjunction with neurosurgery, the authors have applied craniofacial principles
to address the major pitfalls of the transpalpebral craniotomy. The authors
present their patient series experience. Hopefully, in the future, other
institutions will have increased collaboration between craniofacial surgeons and
neurosurgeons.
PMID- 27192639
TI - Does Simultaneous Third Molar Extraction Increase Intraoperative and
Perioperative Complications in Orthognathic Surgery?
AB - BACKGROUND: Prior to orthognathic surgery, most surgeons recommend third molar
extraction. Espoused reasons include potential risk for infection, untoward
osteotomies, and worsened postoperative discomfort. However, in addition to being
another procedure for the patient, this may necessitate a longer preorthognathic
surgery phase. The purpose of this study is to compare the outcomes of
orthognathic surgery with staged versus simultaneous third molar extractions.
METHODS: This was a retrospective analysis of patients who underwent orthognathic
surgery from 2013 to 2014, with at least a 1-year follow-up period. Patients were
stratified into 2 groups: Extraction of third molars at the time of surgery and
prior extraction of third molars. Primary outcomes included the occurrence of
unfavorable splits, infection, bleeding, malocclusion, and hardware failure.
Secondary outcomes were procedure time, postoperative pain, and length of stay.
Pearson chi tests and 2-tailed unpaired t tests were performed to determine if
there was an association between the simultaneous removal of third molars and the
primary and secondary outcome measures, respectively. RESULTS: One hundred
patients were included in the study. Forty-nine patients had third molars
extracted at the time of surgery and fifty-one did not. Complications included
unfavorable split, postoperative infection, mild postoperative bleeding,
postoperative malocclusion, and hardware failure. There was no significant
difference in the incidence of complications in both groups. Procedure time was
not considerably increased with extractions. There was no significant difference
in postoperative pain or length of stay between both groups. CONCLUSIONS:
Removing third molars concurrently with orthognathic surgery does not increase
the risk of adverse outcomes, nor does it significantly influence hospital
course.
PMID- 27192641
TI - Surgeon-Friendly Anatomy.
PMID- 27192640
TI - Combined External-Endoscopic Endonasal Assisted Removal of a Nail Gun.
AB - Management of penetrating trauma to the paranasal sinuses with retained foreign
bodies represents a challenge due to the proximity to vital neurovascular
structures. The authors report the successful treatment of a patient with a work
related nail gun injury, carried out by means of a combined endoscopic endonasal
external assisted procedure.A transnasal endoscopic approach was planned to
minimize inadvertent movements of the nail during surgery and in case necessary
to repair the orbit or skull base. No major bleeding or neurovascular
complication was observed after surgery and a computed tomography scan was
performed after surgery confirming the complete removal of the nail and with no
damage of the orbit nor the skull base.
PMID- 27192642
TI - Reconstruction of Congenital Isolated Alar Defect Using Mutaf Triangular Closure
Technique in Pediatric Patients.
AB - Congenital isolated alar defects are extremely rare, occurring in approximately 1
in 20,000 to 40,000 live births. The patients are presented here of 2 pediatric
patients operated on for congenital isolated alar defect. The reconstruction of
congenital isolated alar defects was made in a 3-layered fashion. The skin
defects were covered using the Mutaf triangular closure technique in which 2
cutaneous local flaps are designed in an unequal Z-plasty manner. Conchal
cartilage graft was used between the skin and mucosal closure to replace the
missing part of the lower lateral cartilage in these patients. The early results
were promising in Patient 1, but sufficient improvement was detected in the alar
cartilage postoperative follow-up period in Patient 2. Hence, this patient
required revision 1 to 2 years postoperatively. This technique provides excellent
aesthetic and functional results, except for this problem in Tessier 2 cleft
patients. The use of the Stair step flap technique with Mutaf triangle closure
technique achieved cosmetically and functionally excellent results in the
reconstruction and repair of a large, irregular, narrow cleft, in the inadequate
rotation of the lateral part of the lower lateral cartilage. However, because of
this problem, evaluation of the long-term follow-up of patients is necessary.
PMID- 27192643
TI - Cranioplasty Enhanced by Three-Dimensional Printing: Custom-Made Three
Dimensional-Printed Titanium Implants for Skull Defects.
AB - The authors studied to demonstrate the efficacy of custom-made three-dimensional
(3D)-printed titanium implants for reconstructing skull defects. From 2013 to
2015, 21 patients (8-62 years old, mean = 28.6-year old; 11 females and 10 males)
with skull defects were treated. Total disease duration ranged from 6 to 168
months (mean = 33.6 months). The size of skull defects ranged from 84 * 104 to
154 * 193 mm. Custom-made implants were manufactured by Medyssey Co, Ltd
(Jecheon, South Korea) using 3D computed tomography data, Mimics software, and an
electron beam melting machine. The team reviewed several different designs and
simulated surgery using a 3D skull model. During the operation, the implant was
fit to the defect without dead space. Operation times ranged from 85 to 180
minutes (mean = 115.7 minutes). Operative sites healed without any complications
except for 1 patient who had red swelling with exudation at the skin defect,
which was a skin infection and defect at the center of the scalp flap reoccurring
since the initial head injury. This patient underwent reoperation for skin defect
revision and replacement of the implant. Twenty-one patients were followed for 6
to 24 months (mean = 14.1 months). The patients were satisfied and had no
recurrent wound problems. Head computed tomography after operation showed good
fixation of titanium implants and satisfactory skull-shape symmetry. For the
reconstruction of skull defects, the use of autologous bone grafts has been the
treatment of choice. However, bone use depends on availability, defect size, and
donor morbidity. As 3D printing techniques are further advanced, it is becoming
possible to manufacture custom-made 3D titanium implants for skull
reconstruction.
PMID- 27192644
TI - Utility of Cartilage Grafts Wrapped With Amniotic Membrane in Dorsal Nasal
Augmentation.
AB - OBJECTIVES: The success of rhinoplasty may be compromised with postoperative
problems like rough and rigid nasal dorsum. Biological grafts or alloplastic
materials are required to hurdle and correct nasal dorsal deformities and also
irregularities. The purpose of this experimental study was to compare pure
cartilage graft, cartilage graft wrapped in amniotic membrane, and diced
cartilage grafts wrapped in amniotic membrane for soft tissue augmentation.
METHODS: All grafts were transplanted through a subcutaneous tunnel created in
the nasal dorsum of 18 rats, 6 in each group. After 3 months follow-up, the
histopathological changes in all groups were evaluated by light microscopy and
volumetric measurements. RESULTS: With regard to cartilage viability, cartilage
wrapped in amniotic membrane had a higher success rate than pure cartilage graft.
Also, a further increased success rate was found in the diced group. CONCLUSIONS:
In the soft tissue augmentation after rhinoplasty surgery, especially diced
cartilage wrapped in amniotic membrane keeps the graft viable and adjoined.
PMID- 27192645
TI - Which Patients With Ossifying Fibroma of the Jaws Would Be Suitable for Radical
Ablative Surgery?
AB - OBJECTIVE: The aim of authors' study was to present their 10-year experience in
the treatment of giant ossifying fibroma (GOF), and to prove if GOF can be
totally excised preventing recurrence. The authors' secondary goal was to study
the aesthetic and functional outcomes after radical resection of GOF followed by
immediate reconstruction. METHODS: Eighteen patients who underwent radical
ablative surgery of GOF of the jaw followed by immediate reconstruction with
vascularized fibula flap or ilium flap between May 2003 and May 2013 were taken.
Recurrence rate was statistically observed and 2-year postoperative aesthetic and
functional outcomes were evaluated. RESULTS: The average length of follow-up was
4.5 years. There was no residual tumor or tumor recurrence observed in any
patient during the mean follow-up of 4.5 years, and good cosmesis and functional
outcome was noted after ablative surgery of GOF followed by computer-assisted
reconstruction. CONCLUSIONS: For giant OF, if it is mainly located in the jaws
without invasion of the skull base and/or pterygoid process, radical surgical
treatment should be performed for prevention of tumor recurrence. And good
aesthetic and functional results can be achieved by immediate computer-assisted
reconstruction and dental rehabilitation.
PMID- 27192646
TI - A New Technique for Posterior Distraction in Craniosynostosis: The Double-Door
Technique.
AB - BACKGROUND: Posterior cranial vault distraction osteogenesis is a common
treatment for syndromal patients of brachycephaly and oxycephaly. Although
posterior distraction can increase intracranial volume, the flattened head
phenotype is difficult to correct. The authors examined a new posterior
distraction technique termed double-door distraction for improvement of the
flattened head phenotype. METHODS: From 2010 to 2013, 6 patients with flattened
posterior craniums were operated on using the double-door distraction technique.
The calvarial segment was cut at the midline and divided into 2 pieces.
Distractors were then fixed in parallel to join the calvarial pieces. The
distraction was initiated at a rate of 1.0 mm/day and continued until proper
cranial form was confirmed by radiography and appearance. RESULTS: The average
surgery time was 2 hours 47 minutes and the amount of distraction ranged from 15
to 22 mm. An improved head shape and expanded cranial vault was achieved in all
patients without any complications. CONCLUSIONS: The double-door distraction
technique is a useful technique not only for calvarial expansion, but also for
correction of the flattened posterior cranium phenotype.
PMID- 27192648
TI - The Retromolar Trigone: Anatomy, Cancer Treatment Modalities, Reconstruction, and
a Classification System.
AB - BACKGROUND: Retromolar trigone (RMT) tumors are rare and aggressive malignancies,
spreading rapidly into surrounding structures. In reviewing the literature, there
is lack of information and quality evidence pertaining to their management and
high heterogeneity in treatment modalities. METHODS: A systematic Medline search
was performed to gather all reports of articles related to retromolar trigone in
tle last 10 years (2005-2015). Papers were excluded if they were related to oral
cavity cancer but not specific for the RMT. RESULTS: Results were divided into 5
sections: anatomy and lymphatic drainage; etiology and diagnosis; cancer
treatment modalities; reconstructive options; proposal of a classifications
system. A clinical patient exemplification was also included. Various treatment
modalities have been tried in the past including surgery, radiotherapy, and
combination therapy using chemoradiation. All these modalities have intrinsic
risks. There is also controversy regarding the extent of surgery. Reconstructive
options differ with the size of the defects. The ability of the propeller lingual
flap to rotate any angle up to 180 degrees allows it to reconstruct small-to
medium sized defects. If bulkier reconstructions are needed to separate oral and
nasal cavities, a free flap (radial forearm, anterolateral thigh, medial sural)
should be planned. CONCLUSIONS: In view of the rarity of the tumor and
heterogeneity of treatment, there is limited information available pertaining to
retromolar trigone tumors. A better understanding of RMT amatomy, cancer
treatment modalities, and reconstructive options can improve the surgeon decision
capacity and clinical results, when dealing with such uncommon and challenging
tumors.
PMID- 27192647
TI - Survival Without Neurological Impairment of a Patient With Rhino-Orbito-Cerebral
Zygomycosis.
AB - Rhinocerebral zygomycosis is a rare condition characterized by infection
initially in the nose and paranasal sinuses with atypical symptoms consistent
with sinusitis. Once established in the nasal sinuses, the infection can easily
spread to the orbital region and brain. In the localized form of the infection,
the mortality rate is approximately 10%, which increases in the occurrence of
orbital involvement and survival in patients of cerebral dissemination is
extremely rare. The present paper reports a patient of nasal zygomycosis with
orbital and cerebral dissemination in an otherwise healthy patient who survived
after 14 months of hospitalization with no neurological impairment. A review of
the literature addressing aspects related to diagnosis, treatment, and
complications of this fungal infection is also presented.
PMID- 27192649
TI - Delayed Onset Intracranial Subdural Hematoma Following Spinal Surgery.
AB - In this case-based review, the authors analyzed relevant literature with an
illustrative patient of theirs about subdural hematoma secondary to dural tear at
spinal surgery. Intracranial hypotension is a condition of decreased
cerebrospinal fluid volume and pressure. Even though intracranial hypotension is
temporary and can be managed conservatively, it may progress and result in
subdural fluid collections, hematoma formations, "brain sagging or slumping"
states, syringohydromyelia, encephalopathy, coma, and even death. The authors
present an 81-year-old man admitted with subdural hematoma 50 days following
previous spinal surgery for lumbar spinal stenosis. In his previous spinal
surgery he had had dural tear, which had been closed primarily. To the
literature, only 21 patients have been reported to develop subdural hematoma
following spinal surgery. In patients with subdural hematoma following spinal
surgery, the female:male ratio was 3:4 and the median age was 55 years. Surgical
diagnoses for previous spinal surgeries were intervertebral disc herniation (5),
spinal canal stenosis and spondylolisthesis (6), failed back syndrome (2),
tethered cord syndrome and myelodysplastic spine (2), spinal cord tumor, spinal
epidural hematoma, vertebral dislocation, vertebral fracture, vertebral tumor,
and inflammatory spine. Patients presented with signs and symptoms of subdural
hematoma within 6 hours to 50 days following the spinal surgery. Source of
cerebrospinal fluid leak was most commonly from lumbar region (13 patients, 62%).
Ten of 21 (48%) patients were treated conservatively. Late-onset neurological
findings should not prevent the evaluation of cranial vault with computed
tomography and magnetic resonance imaging. Spinal dural tear should be more
aggressively treated instead of suture alone approach, when recognized in older
patients during the spinal surgery.
PMID- 27192650
TI - A Patient With Aspiration Pneumonia After Mandibular Osteotomy With Genioplasty.
AB - Orthognathic surgery including maxillary osteotomy, mandibular osteotomy, and
genioplasty is a reliable treatment strategy for jaw deformity. However, there
are some complications associated with these surgeries, including neurovascular
damage and abnormal bleeding. The authors present here a patient of aspiration
pneumonia after mandibular osteotomy.An 18-year-old female patient underwent
sagittal split ramus osteotomy and genioplasty for mandibular prognathism. She
began choking and coughing immediately after surgery. She was diagnosed with
aspiration pneumonia based on chest radiography and computed tomography findings.
Her hyoid bone was shifted 23 mm inferiorly after surgery, and this movement may
have caused swallowing dysfunction. She was treated with intravenous antibiotics
and discharged on the 18th postoperative day.Although the hyoid bone is
transiently shifted inferiorly by mandibular setback with or without genioplasty,
this shift does not usually affect swallowing function. Damage to the suprahyoid
muscles during genioplasty may cause both an inferior shift and dysmobility of
the hyoid bone. Therefore, surgeons must be careful not to damage the suprahyoid
muscles at the lingual site osteotomy in genioplasty to avoid this complication.
PMID- 27192652
TI - Preservation of Facial Nerve With Adjuvant Radiotherapy for Recurrent Mammary
Analogue Secretory Carcinoma of Parotid Gland.
AB - Mammary analogue secretory carcinoma of salivary glands harbors the recurrent
ETV6-NTRK3 gene fusion because of the translocation t (12; 15) (p13; q25) and
resembles breast secretory carcinoma. This tumor composed of papillary, cystic,
solid, and cribriform patterns. Immunohistochemically, the tumors are positive
for mammaglobin, CK7, CK8, STAT5a, vimentin, and S100. In this report, the
authors presented a patient of recurrent parotid gland mammary analogue secretory
carcinoma in a 22-year-old woman. The patient received extended parotidectomy
with partial adhesive masseter surgery. The facial nerve was preserved during the
surgery and adjuvant radiotherapy was performed postoperation. The patient did
not suffer local recurrence and facial paralysis in the 18 months follow-up
period.
PMID- 27192651
TI - Considerations for Management of Head and Neck Lymphatic Malformations in
Children.
AB - OBJECTIVE: There exist inherent problems with previously described classification
schemes for head and neck lymphatic malformations in children and lack of
guidance for management. An organization scheme and management recommendations
are proposed to improve communication between health care providers. STUDY
DESIGN: Consecutive patient series with a chart review of children with head and
neck lymphatic malformations. SETTING: Tertiary-care, academic children's
hospital. METHODS: Children with lymphatic malformations of the head and neck
were included. A proposed organization system for head and neck lymphatic
malformations in children was developed and compared to 2 others currently
predominantly used, de Serres and Cologne Disease Score. RESULTS: Seventeen
patients were identified, 7 boys and 10 girls. The mean age was 64.4 months
(range 0.89-185.5). Nine patients (52.9%) were managed expectantly, 5 (29.4%)
with sclerotherapy with 1 awaiting treatment (5.9%), and 2 (11.8%) with surgical
excision. All children who underwent active treatment with surgery or
sclerotherapy were managed successfully. No treatment-related complications were
encountered, and no children managed with watchful waiting/expectant management
experienced failure. The proposed staging system differed from the de Serres
stage in 11 children (64.7%), with 9 (81.8%) being down staged and 2 (18.2%) up
staged. Cologne Disease Score ranged from 2 to 10, with only 1 (5.9%) patient
with a score of 3 or less (severe disease). CONCLUSIONS: Treatment
recommendations in children with head and neck lymphatic malformations should be
individualized. Weaknesses of currently used staging systems are discussed as
well as considerations for management decisions.
PMID- 27192653
TI - Extensive Chondrosarcoma of the Nasal Septum: Endoscopic Resection and Long-Term
Follow-Up.
AB - Chondrosarcoma of the nasal septum is extremely rare slow growing malignant tumor
of nonepithelial origin. Preoperative differentiation between low-grade
chondrosarcoma and benign cartilaginous tumors such as chondroma may be
difficult. Surgical excision is the treatment of choice. Radiotherapy is reserved
for residual or recurrent patients. Recent advance in endoscopic sinus surgery
has allowed successful, noninvasive excision of many sinonasal tumors with low
morbidity.The authors herein report, what we believe, the most extensive case of
posterior nasal septal chondrosarcoma with the longest follow-up period that was
completely excised endoscopically with no evidence of local or systemic
recurrence after 5 years. The authors also discuss its relevant clinical
presentation, diagnosis, and management.
PMID- 27192655
TI - Pathologic Patency Analysis of the Descending Branch of the Lateral Femoral
Circumflex Artery in Head and Neck Reconstruction.
AB - The pathophysiology of atherosclerotic lesion progression shows that the
composition of an atherosclerotic lesion is related to the clinical status of the
patient. In humans, certain artery types, such as the coronary artery, renal
artery, and internal carotid artery at the level of the carotid sinus and aorta,
are prone to develop clinically manifested atherosclerosis, whereas other artery
types remain free of atherosclerotic disease. In head and neck reconstruction,
various flaps are used. Especially, anterolateral thigh free flap is a good
option for reconstruction. The descending branch of the lateral femoral
circumflex artery (DLFCA) is useful as an alternative arterial graft and pedicle
for anterolateral thigh flaps. However, no pathophysiological study has
determined whether the DLFCA is atherosclerosis resistant. The authors studied
the morphological characteristics of the DLFCA and assessed the correlation with
the degree of atherosclerotic change. Seventeen perforators originating from the
DLFCA were selected. All sections were classified into 6 lesion types according
to the American Heart Association. Seven sections contained a stenotic area of at
least 25% and 1 section was > 50%. All sections were classified as type 1. In
conclusion, the DLFCA only has physiological adaptation in the intimal layer and
no relationship with atherosclerotic risk factors. Therefore, the DLFCA is
atherosclerosis resistant and surgeons should not hesitate to use the DLFCA.
PMID- 27192654
TI - Assessing the Cervical Range of Motion in Infants With Positional Plagiocephaly.
AB - PURPOSE: To determine if infants with positional plagiocephaly have limitations
of active and passive cervical range of motion measured with simple and reliable
methods. METHODS: The examiners assessed bilateral active and passive cervical
rotations and passive cervical lateral flexion. Cervical assessment was performed
twice by 2 different physicians to assess intertester reliability. To assess
intratester reliability the first investigator performed a second examination 48
hours after the first one. RESULTS: One-hundred nine subjects were analyzed;
70.7% of the sample had head positional preference on the right, while 29.3% had
head positional preference on the left (chi 35.52, P <0.001). Cervical rotations
and lateral flexion showed reliable levels of agreement for intra and intertester
reliability. CONCLUSIONS: The most limited range of motion in infants with
positional plagiocephaly was cervical active rotation which affected more than
90% of patients. Passive cervical rotations and lateral flexion were limited in
more than 60% of patients.
PMID- 27192656
TI - Parental Perceptions of Neurodevelopment in Toddlers Following Craniosynostosis
Repair.
AB - INTRODUCTION: The relationship between nonsyndromic craniosynostosis and
neurodevelopment remains controversial. Beyond standardized testing, little data
exist about parental perceptions of their child's development. METHODS: Parents
of children who underwent cranial vault remodeling for nonsyndromic
craniosynostosis from 2011 to 2015 were asked to complete an anonymous survey.
RESULTS: Twenty-two parents (31%) completed the survey. Patients included 52.4%
males and 47.6% females with a mean age at surgery of 9.2 months and mean follow
up time of 19.8 months. Craniosynostosis types were primarily metopic (45%) and
coronal (35%).The mean score for overall satisfaction with head shape with a
maximum score of 10 was 9.2 (range 5-10). When answering whether their child is
different for the better other than appearance with a 5 rating as "strongly
agree," the mean score was 3.8 (range 1-5). When asked whether their child's
motor, speech and behavior, or attention were different following surgery, the
majority responded "No" (74%, 83%, and 67%, respectively).All parents would have
their child undergo surgery again or recommend surgery to another family. There
were no statistically significant differences when stratifying by age at surgery
or length of follow-up. When stratifying by sex, parents of males gave higher
ratings for whether their children were better other than appearance compared
with females, 4.8 versus 3.1 (P = 0.031). DISCUSSION: Parents rated their child's
neurodevelopment as largely age-appropriate following cranial vault remodeling.
These findings are concordant with the majority of formal, standardized testing
for this age group. Assessing parental perceptions once children enter school age
may reveal increased developmental delays.
PMID- 27192657
TI - Sternal Wound Salvage in Post-Transplant Adolescents: Omental Flap Reconstruction
in Patients With Prior Abdominal Surgery.
AB - Sternal wound infections remain a significant cause of morbidity and mortality in
patients undergoing complex cardiothoracic surgery. Heart and lung transplant
patients presumably face additional risk secondary to their underlying morbidity,
postoperative immunosuppression, and difficulty with primary wound closure over
large graft size. These patients present a unique challenge to the reconstructive
surgeon, as many have a significant past surgical history, which can limit or
alter treatment options. This study reports 2 pediatric transplant patients who
underwent use of omental flap for sternal wound reconstruction in the context of
significant past abdominal surgery. One patient underwent prior heart
transplantation and the other patient underwent previous bilateral lung
transplantation. Both had significant abdominal surgery prior to transplantation
and suffered from sternal wound complications post-transplantation. Each patient
was successfully treated with omental flap reconstruction.
PMID- 27192658
TI - Multiple Human Papilloma Virus 16 Infection Presenting as Various Skin Lesions.
AB - The 53-year-old woman admitted with multiple persistent, progressive, slightly
raised, red, and crusted plague form masses that suddenly occurred on left thumb,
both upper and lower extremity about 10 years ago. There was no induration in the
lesion or in its surrounding skin. There was no unusual opinion on a radiologic
test and family history. And she had no history of working in the business
related to any chemical product such as arsenic or tar which was carcinogen. The
patient has had total hysterectomy to treat uterine myoma 10 years ago. The wide
excision and split thickness skin graft of 2 * 1.5 cm was performed around mass
in the size of 1.5 * 1.2 cm on the left thumb and wide excision and local
advancement flap was done on the other sites. As a result of biopsy, masses were
diagnosed as Bowen disease, actinic keratosis, and Seborrheic keratosis. These
specimens were obtained during surgery: broom-type cell sampling devices were
used to collect samples from the specimens, and they were placed into PreservCyt
solution (Cytyc Corp, Boxborough, MA). Then, the collected samples underwent the
Roche Linear Array HPV Genotyping Test (Roche Diagnostics, Branchburg, NJ) that
allows for the simultaneous identification of human papilloma virus (HPV) types
from liquid-based cell preparations. On histopathological examination of the
surgical specimen, atypical squamous cells proliferate through the whole
thickness of the epidermis. The entire tumor was confined to the epidermis and
did not invade into the dermis. The cells were often highly atypical. That were
the irregular shape which the resection margin of masses had a negative tumor
component. And HPV 16 genotyping test was positive although vaginal examination
of HPV 16 genotyping was negative.
PMID- 27192660
TI - Estimating the average treatment effect on survival based on observational data
and using partly conditional modeling.
AB - Treatments are frequently evaluated in terms of their effect on patient survival.
In settings where randomization of treatment is not feasible, observational data
are employed, necessitating correction for covariate imbalances. Treatments are
usually compared using a hazard ratio. Most existing methods which quantify the
treatment effect through the survival function are applicable to treatments
assigned at time 0. In the data structure of our interest, subjects typically
begin follow-up untreated; time-until-treatment, and the pretreatment death
hazard are both heavily influenced by longitudinal covariates; and subjects may
experience periods of treatment ineligibility. We propose semiparametric methods
for estimating the average difference in restricted mean survival time
attributable to a time-dependent treatment, the average effect of treatment among
the treated, under current treatment assignment patterns. The pre- and
posttreatment models are partly conditional, in that they use the covariate
history up to the time of treatment. The pre-treatment model is estimated through
recently developed landmark analysis methods. For each treated patient, fitted
pre- and posttreatment survival curves are projected out, then averaged in a
manner which accounts for the censoring of treatment times. Asymptotic properties
are derived and evaluated through simulation. The proposed methods are applied to
liver transplant data in order to estimate the effect of liver transplantation on
survival among transplant recipients under current practice patterns.
PMID- 27192659
TI - Clinical activity of sunitinib rechallenge in metastatic renal cell carcinoma
Results of the REchallenge with SUnitinib in MEtastatic RCC (RESUME) Study.
AB - AIM: To assess the efficacy and tolerability of sunitinib rechallenge in the
third-line or later setting in patients with metastatic renal cell carcinoma
(mRCC). PATIENTS AND METHODS: This observational study comprised 61 mRCC patients
at 19 centres in France who received sunitinib rechallenge between January 2006
and May 2013. Patients received first-line sunitinib, >=1 different targeted
therapies, and then sunitinib rechallenge. Patient/disease characteristics,
tolerability, treatment modalities, and outcomes of therapeutic lines were
recorded. The primary end-point was progression-free survival (PFS) in sunitinib
rechallenge. RESULTS: Analyses included 52 patients; median age was 59 years, 75%
were male, and 98% had clear-cell mRCC and prior nephrectomy. At sunitinib
rechallenge versus first-line, patients had poorer performance (Karnofsky
performance status 90-100: 30% versus 81%) and Memorial Sloan Kettering Cancer
Centre prognostic risk (poor risk: 18% versus 3%). Overall, 20%, 65%, 12%, and 4%
received sunitinib rechallenge as third-, fourth-, fifth-, and sixth-line
therapy, respectively, at 14.6 months (median) after stopping initial treatment.
With first-line sunitinib and rechallenge, median PFS was 18.4 and 7.9 months,
respectively; objective response rate was 54% and 15%. Two of eight rechallenge
responders had not achieved first-line response. Median overall survival was 55.9
months. The sunitinib rechallenge safety profile was as expected, with no new
adverse events reported. CONCLUSIONS: Sunitinib rechallenge is a feasible
treatment option with potential clinical benefit for mRCC patients. Disease
progression with first-line sunitinib may not be associated with complete or
irreversible resistance to therapy.
PMID- 27192661
TI - The Greek version of the Gagging Assessment Scale in children and adolescents:
psychometric properties, prevalence of gagging, and the association between
gagging and dental fear.
AB - BACKGROUND: No studies assessing the association between gagging and dental fear
are available in pediatric samples. AIM: To assess the psychometric properties of
the Greek version of the Gagging Assessment Scale (GAS), to explore the
prevalence of gagging, and to evaluate the relationship between gagging and
dental fear in a pediatric sample. DESIGN: A total of 849 8- and 14-year-old
children filled out a questionnaire consisting of demographic items, the Greek
version of the GAS, and the Greek Children's Fear Survey Schedule Dental Subscale
(CFSS-DS); the older children also completed the Greek version of the Modified
Dental Anxiety Scale (MDAS). The short form of dentist part of the Gagging
Problem Assessment (GPA-de-c/SF) was used to objectively assess gagging. RESULTS:
A total of 51 children (6.0%) demonstrated gagging on the GPA-de-c/SF. Children
rated as gaggers on the GPA-de-c/SF had significantly higher GAS scores. There
were no relationships between GPA-de-c/SF and the CFSS-DS or MDAS. The GAS
ratings were significantly correlated with the CFSS-DS (rho = 0.420, P < 0.001)
and MDAS (rho = 0.429, P < 0.001). The internal consistency was good (Cronbach's
alpha = 0.697). CONCLUSIONS: The GAS demonstrated good psychometric properties.
Dental fear was correlated with the self-report gagging assessment, but not with
the objective gagging assessment.
PMID- 27192662
TI - Effect of System-Wide Interventions on the Assessment and Treatment of Pain by
Emergency Medical Services Providers.
AB - BACKGROUND: An estimated 20% of patients arriving by ambulance to the emergency
department are in moderate to severe pain. However, the management of pain in the
prehospital setting has been shown to be inadequate. Untreated pain may have
negative physiologic and psychological consequences. The prehospital community
has acknowledged this inadequacy and made treatment of pain a priority.
OBJECTIVES: To determine if system-wide pain management improvement efforts (i.e.
education and protocol implementation) improve the assessment of pain and
treatment with opioid medications in the prehospital setting and to determine if
improvements are maintained over time. METHODS: This was a retrospective before
and after study of a countywide prehospital patient care database. The study
population included all adult patients transported by EMS between February 2004
and February 2012 with a working assessment of trauma or burn. EMS patient care
records were searched for documentation of pain scores and opioid administration.
Four time periods were examined: 1) before interventions, 2) after pediatric
specific pain management education, 3) after pain management protocol
implementation, and 4) maintenance phase. Frequencies and 95% confidence
intervals were calculated for all patients meeting the inclusion criteria in each
time period and Chi-square was used to compare frequencies between time periods.
RESULTS: 15,228 adult patients transported by EMS during the study period met the
inclusion criteria. Subject demographics were similar between the four time
periods. Pain score documentation improved between the time periods but was not
maintained over time (13% [95%CI 12-15%] to 32% [95%CI 31-34%] to 29% [95 CI 27
30%] to 19% [95%CI 18-21%]). Opioid administration also improved between the time
periods and was maintained over time (7% [95%CI 6-8%] to 18% [95%CI 16-19%] to
24% [95%CI 22-25%] to 23% [95% CI 22-24%]). CONCLUSIONS: In adult patients both
pediatric-focused education and pain protocol implementation improved the
administration of opioid pain medications. Documentation and assessment of pain
scores was less affected by specific pain management improvement efforts.
PMID- 27192663
TI - De Laboratoria Van Klinische Biologie in Belgie: Evolutie En Situatie Na
Organisatie Van De Verpl1Chte Externe KWaliteitscontrole.
AB - The evolution and the situation of the laboratories of clinical biology in
Belgium is described. This paper gives a point of view of the locality, the
activity and the employment in these laboratories.
PMID- 27192664
TI - The Epidemiology of Chronic Digestive Disease.
PMID- 27192665
TI - The effects of low voltage electrical stimulation on donkey meat.
PMID- 27192666
TI - Disparities in Academic Dermatology.
PMID- 27192667
TI - Crystal structure of a [NiFe] hydrogenase maturation protease HybD from
Thermococcus kodakarensis KOD1.
AB - A [NiFe] hydrogenase maturation protease HybD from Thermococcus kodakarensis KOD1
(TkHybD) is involved in the cleavage of the C-terminal residues of [NiFe]
hydrogenase large subunits by Ni recognition. Here, we report the crystal
structure of TkHybD at 1.82 A resolution to better understand this process.
TkHybD exhibits an alpha/beta/alpha sandwich fold with conserved residues
responsible for the Ni recognition. Comparisons of TkHybD with homologous
proteins also reveal that they share a common overall architecture, suggesting
that they have similar catalytic functions. Our results including metal binding
site prediction provide insight into the substrate recognition and catalysis
mechanism of TkHybD. Proteins 2016; 84:1321-1327. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27192668
TI - Matrix-assisted laser desorption ionization-time of flight Mass spectrometry can
detect Staphylococcus aureus clonal complex 398.
AB - Within the last decade methicillin-resistant Staphylococcus aureus belonging to
CC398 has become a worldwide threat associated with livestock. More recently,
methicillin-susceptible S. aureus (MSSA) belonging to CC398 have been
increasingly reported as a cause of invasive infections in patients without
livestock contact. It appears therefore necessary to implement a convenient tool
for the surveillance this emerging pathogen. We evaluated the MALDI-TOF MS as a
tool for rapid detection of S. aureus CC398. We used 626 S. aureus isolates
characterized by a CC398-specific PCR, to constitute independent training (300
isolates including 60 isolates CC398) and validation sets (326 isolates including
82 isolates CC398). Fifteen peak biomarkers of CC398 were identified from the
mass spectra of the training set. Ninety four % (307 of 326) of strains of the
validation set were well assigned with an overall sensitivity of 93% and a
specificity of 95%. Six CC398 and 13 non-CC398 isolates were misclassified. With
MALDI-TOF MS, clinical laboratories could rapidly detect S. aureus CC398
associated with a higher mortality in hospitalized patients.
PMID- 27192669
TI - Essential Medicines in the United States--Why Access Is Diminishing.
PMID- 27192670
TI - The Great War and Modern Health Care.
PMID- 27192673
TI - Coronary-Artery Bypass Grafting.
PMID- 27192671
TI - Danazol Treatment for Telomere Diseases.
AB - BACKGROUND: Genetic defects in telomere maintenance and repair cause bone marrow
failure, liver cirrhosis, and pulmonary fibrosis, and they increase
susceptibility to cancer. Historically, androgens have been useful as treatment
for marrow failure syndromes. In tissue culture and animal models, sex hormones
regulate expression of the telomerase gene. METHODS: In a phase 1-2 prospective
study involving patients with telomere diseases, we administered the synthetic
sex hormone danazol orally at a dose of 800 mg per day for a total of 24 months.
The goal of treatment was the attenuation of accelerated telomere attrition, and
the primary efficacy end point was a 20% reduction in the annual rate of telomere
attrition measured at 24 months. The occurrence of toxic effects of treatment was
the primary safety end point. Hematologic response to treatment at various time
points was the secondary efficacy end point. RESULTS: After 27 patients were
enrolled, the study was halted early, because telomere attrition was reduced in
all 12 patients who could be evaluated for the primary end point; in the
intention-to-treat analysis, 12 of 27 patients (44%; 95% confidence interval
[CI], 26 to 64) met the primary efficacy end point. Unexpectedly, almost all the
patients (11 of 12, 92%) had a gain in telomere length at 24 months as compared
with baseline (mean increase, 386 bp [95% CI, 178 to 593]); in exploratory
analyses, similar increases were observed at 6 months (16 of 21 patients; mean
increase, 175 bp [95% CI, 79 to 271]) and 12 months (16 of 18 patients; mean
increase, 360 bp [95% CI, 209 to 512]). Hematologic responses occurred in 19 of
24 patients (79%) who could be evaluated at 3 months and in 10 of 12 patients
(83%) who could be evaluated at 24 months. Known adverse effects of danazol-
elevated liver-enzyme levels and muscle cramps--of grade 2 or less occurred in
41% and 33% of the patients, respectively. CONCLUSIONS: In our study, treatment
with danazol led to telomere elongation in patients with telomere diseases.
(Funded by the National Institutes of Health; ClinicalTrials.gov number,
NCT01441037.).
PMID- 27192674
TI - IMAGES IN CLINICAL MEDICINE. Splenosis Mimicking Cancer.
PMID- 27192672
TI - Randomized Trial of a Lifestyle Program in Obese Infertile Women.
AB - BACKGROUND: Small lifestyle-intervention studies suggest that modest weight loss
increases the chance of conception and may improve perinatal outcomes, but large
randomized, controlled trials are lacking. METHODS: We randomly assigned
infertile women with a body-mass index (the weight in kilograms divided by the
square of the height in meters) of 29 or higher to a 6-month lifestyle
intervention preceding treatment for infertility or to prompt treatment for
infertility. The primary outcome was the vaginal birth of a healthy singleton at
term within 24 months after randomization. RESULTS: We assigned women who did not
conceive naturally to one of two treatment strategies: 290 women were assigned to
a 6-month lifestyle-intervention program preceding 18 months of infertility
treatment (intervention group) and 287 were assigned to prompt infertility
treatment for 24 months (control group). A total of 3 women withdrew consent, so
289 women in the intervention group and 285 women in the control group were
included in the analysis. The discontinuation rate in the intervention group was
21.8%. In intention-to-treat analyses, the mean weight loss was 4.4 kg in the
intervention group and 1.1 kg in the control group (P<0.001). The primary outcome
occurred in 27.1% of the women in the intervention group and 35.2% of those in
the control group (rate ratio in the intervention group, 0.77; 95% confidence
interval, 0.60 to 0.99). CONCLUSIONS: In obese infertile women, a lifestyle
intervention preceding infertility treatment, as compared with prompt infertility
treatment, did not result in higher rates of a vaginal birth of a healthy
singleton at term within 24 months after randomization. (Funded by the
Netherlands Organization for Health Research and Development; Netherlands Trial
Register number, NTR1530.).
PMID- 27192675
TI - CASE RECORDS of the MASSACHUSETTS GENERAL HOSPITAL. Case 15-2016. A 32-Year-Old
Man with Olfactory Hallucinations and Paresthesias.
PMID- 27192676
TI - Is Less More for the Treatment of Atrial Fibrillation after Cardiac Surgery?
PMID- 27192677
TI - Telomeres on Steroids--Turning Back the Mitotic Clock?
PMID- 27192678
TI - Weight Loss and Health Status after Bariatric Surgery in Adolescents.
PMID- 27192679
TI - Weight Loss and Health Status after Bariatric Surgery in Adolescents.
PMID- 27192680
TI - Weight Loss and Health Status after Bariatric Surgery in Adolescents.
PMID- 27192681
TI - Weight Loss and Health Status after Bariatric Surgery in Adolescents.
PMID- 27192682
TI - Papillary Renal-Cell Carcinoma.
PMID- 27192683
TI - Papillary Renal-Cell Carcinoma.
PMID- 27192684
TI - Surgery for Severe Ischemic Mitral Regurgitation.
PMID- 27192685
TI - Surgery for Severe Ischemic Mitral Regurgitation.
PMID- 27192686
TI - Surgery for Severe Ischemic Mitral Regurgitation.
PMID- 27192687
TI - Medical Taylorism, Lean, and Toyota.
PMID- 27192688
TI - Medical Taylorism, Lean, and Toyota.
PMID- 27192689
TI - Medical Taylorism, Lean, and Toyota.
PMID- 27192690
TI - A Mechanism for Cancer-Associated Membranous Nephropathy.
PMID- 27192691
TI - IMAGES IN CLINICAL MEDICINE. Loose Teeth and Excessive Thirst.
PMID- 27192692
TI - Concise Approach to (ent)-14 beta-Hydroxysteroids through Highly Diastereo
/Enantioselective Diels-Alder Reactions.
AB - 14beta-Hydroxysteroids, especially 14beta-hydroxyandrostane derivatives are
closely related to the cardenolide skeletons. The latter were readily available
through highly diastero/enantioselective Diels-Alder (DA) reactions requiring
high pressure or Lewis acid activation. Moreover, in the presence of (R)- or (S)
carvone as a chiral dienophile, the DA-reaction takes place under chemodivergent
parallel kinetic resolution control affording highly enantiomerically enriched
14beta-hydroxysteroid derivatives or the corresponding (ent)-14beta
hydroxysteroid derivatives.
PMID- 27192693
TI - Use of controlled internal drug releasing (CIDR) devices to control reproduction
in goats: A review.
AB - High reproductive rates are necessary in order to increase the productivity of
goat operations. Progesterone and its analogues are widely used in other species
to control the reproductive system to facilitate synchronized births, induce
fertile estrus or to facilitate the use of assisted reproductive techniques with
the goal of increasing productivity of livestock. Progesterone impregnated
controlled internal drug releasing (CIDR) devices are approved for delivery of
the natural hormone progesterone to synchronize and induce fertile estrus in
sheep. A few studies have reported a high estrous response and pregnancy rates
when CIDRs are used to induce estrus in goats. However, significant variation
exists in the duration of treatment (5-16 days) and in the use of exogenous
gonadotropins as part of the treatment protocol. As gonadotropins are not
currently approved for commercial use in small ruminants in the USA, studies are
needed to determine the necessity for exogenous gonadotropins and whether they
can be replaced by enhancing endogenous secretion through photoperiodic
manipulation of the doe and ? or increase stimulation through the 'buck-effect'.
Future studies must not only evaluate efficacy, but should consider the economic
feasibility of using CIDRs in commercial production systems.
PMID- 27192694
TI - Differences of brain electrical activity between moderate and severe obstructive
sleep apneic patients: a LORETA study.
AB - The effects of initiation of continuous positive airway pressure (CPAP) therapy
on electroencephalographic (EEG) background activity were investigated in
patients exhibiting both moderate (n = 13) and severe (n = 12) obstructive sleep
apnea syndromes in the testing of the potential differences of alterations of
brain electrical activity caused by chronic hypoxia between these two groups. A
normal control group (n = 14) was also examined. Two EEG examinations were
achieved in each group: before and after first-time CPAP therapy. Low-resolution
electromagnetic tomography (LORETA) was implemented towards localizing the
generators of EEG activity in separate frequency bands. Prior to CPAP treatment,
as a common direction of change, analysis with LORETA demonstrated increased
activity in comparison with the patient and control groups. In the moderate
group, significant changes were detected in the alpha2 band in the posterior
cingulate cortex as well as in the beta1 band in the right posterior parietal
cortex and the left supramarginal gyrus. In the severe group, significant changes
were found in theta and alpha1 bands in the posterior cingulate cortex. Following
CPAP treatment, these significant differences vanished in the severe group. In
the moderate group, significantly decreased activity was seen in the beta3 band
in the right fusiform gyrus. These findings potentially suggest a normalizing
effect of CPAP therapy on EEG background activity in both groups of obstructive
sleep apnea syndrome patients. Compensatory alterations of brain electrical
activity in regions associated with influencing successful memory retrieval,
emotional perception, default mode network, anorexia and fear network caused by
chronic intermittent hypoxia could possibly be reversed with the use of CPAP
therapy.
PMID- 27192695
TI - Increased F3-Isoprostanes in the Canadian Inuit Population Could Be
Cardioprotective by Limiting F2-Isoprostane Production.
AB - CONTEXT: F3-isoprostanes (F3-IsoPs), derived from peroxidation of
eicosapentaenoic acid (C20:5n-3), could be cardioprotective by limiting
production of F2-isoprostanes (F2-IsoPs), a cardiovascular disease risk factor.
OBJECTIVE: The objective of the study was to determine whether the n-3
polyunsaturated (PUFA)-rich Inuit diet is associated with a lower plasma ratio of
F2-IsoPs to F3-IsoPs. DESIGN: This was a cross-sectional observational study.
SETTING: The study was conducted in 36 Canadian Arctic Inuit communities.
PARTICIPANTS: Participants included a random subset (n = 233) of Inuit adults
taken from a population-based survey. MAIN OUTCOME MEASURES: Plasma F2-IsoPs and
F3-IsoPs, cardiometabolic risk factors (blood lipids, C-reactive protein, blood
pressure, fasting glucose) and markers of dietary exposure (erythrocyte n-3 and n
6 PUFA, blood levels of Se, mercury, polychlorinated biphenyls) were measured.
RESULTS: Inuit aged 40 years old and older vs younger Inuit showed higher
concentrations of plasma F3-IsoPs and erythrocyte n-3 PUFA and lower plasma F2
IsoPs concentrations despite having higher blood lipids, fasting glucose,
systolic blood pressure, and percentage body fat. Plasma F3-IsoPs were not
associated with any cardiometabolic measures. When subjects were categorized into
tertiles according to total n-3 PUFA erythrocyte concentrations, F3-IsoPs
increased with increasing tertiles, whereas the F2-IsoP to F3-IsoP ratio was
lowest at the highest n-3 tertile. The F2-IsoP to F3-IsoP ratio was significantly
predicted by C20:5n-3 (beta= -.365, P = .002); C20:4n-6:C20:5n-3 (beta = .056, P
= .006), blood mercury (beta = -.812, P =.015), blood Se (beta = -1.95, P =
.015), and smoking (beta = .745, P = .025). CONCLUSIONS: Plasma F3-IsoPs were not
associated with cardiometabolic risk factors previously seen with F2-IsoPs.
Higher n-3 fatty acid status was associated with lower plasma F2-IsoPs and higher
plasma F3-IsoPs, which provides partial explanation to the cardioprotective
effects of the n-3 PUFA-rich Inuit diet.
PMID- 27192697
TI - Calcium-regulated import of myosin IC into the nucleus.
AB - Myosin IC is a molecular motor involved in intracellular transport, cell
motility, and transcription. Its mechanical properties are regulated by calcium
via calmodulin binding, and its functions in the nucleus depend on import from
the cytoplasm. The import has recently been shown to be mediated by the nuclear
localization signal located within the calmodulin-binding domain. In the present
paper, it is demonstrated that mutations in the calmodulin-binding sequence shift
the intracellular distribution of myosin IC to the nucleus. The redistribution is
displayed by isoform B, described originally as the "nuclear myosin," but is
particularly pronounced with isoform C, the normally cytoplasmic isoform.
Furthermore, experimental elevation of the intracellular calcium concentration
induces a rapid import of myosin into the nucleus. The import is blocked by the
importin beta inhibitor importazole. These findings are consistent with a
mechanism whereby calmodulin binding prevents recognition of the nuclear
localization sequence by importin beta, and the steric inhibition of import is
released by cell signaling leading to the intracellular calcium elevation. The
results establish a mechanistic connection between the calcium regulation of the
motor function of myosin IC in the cytoplasm and the induction of its import into
the nucleus. (c) 2016 Wiley Periodicals, Inc.
PMID- 27192698
TI - Polq-Mediated End Joining Is Essential for Surviving DNA Double-Strand Breaks
during Early Zebrafish Development.
PMID- 27192696
TI - Effects of High-Dose Vitamin D2 Versus D3 on Total and Free 25-Hydroxyvitamin D
and Markers of Calcium Balance.
AB - CONTEXT: Controversy persists over: 1) how best to restore low serum 25
hydroxyvitamin D (25D) levels (vitamin D2 [D2] vs vitamin D3 [D3]); 2) how best
to define vitamin D status (total [protein-bound + free] vs free 25D); and 3) how
best to assess the bioactivity of free 25D. OBJECTIVE: To assess: 1) the effects
of D2 vs D3 on serum total and free 25D; and 2) whether change in intact PTH
(iPTH) is more strongly associated with change in total vs free 25D. DESIGN:
Participants previously enrolled in a D2 vs D3 trial were matched for age, body
mass index, and race/ethnicity. Participants received 50 000 IU of D2 or D3 twice
weekly for 5 weeks, followed by a 5-week equilibration period. Biochemical
assessment was performed at baseline and at 10 weeks. SETTING AND PARTICIPANTS:
Thirty-eight adults (19 D2 and 19 D3) >=18 years of age with baseline 25D levels
<30 ng/mL were recruited from an academic ambulatory osteoporosis clinic. OUTCOME
MEASURES: Serum measures were total 25D, free 25D (directly measured), 1,25
dihydroxyvitamin D, calcium, and iPTH. Urine measure was fasting
calcium:creatinine ratio. RESULTS: Baseline total (22.2 +/- 3.3 vs 23.3 +/- 7.2
ng/mL; P = .5) and free (5.4 +/- 0.8 vs 5.3 +/- 1.7 pg/mL; P = .8) 25D levels
were similar between D2 and D3 groups. Increases in total (+27.6 vs +12.2 ng/mL;
P = .001) and free (+3.6 vs +6.2 pg/mL; P = .02) 25D levels were greater with D3
vs D2. Percentage change in iPTH was significantly associated with change in free
(but not total) 25D, without and with adjustment for supplementation regimen,
change in 1,25-dihydroxyvitamin D, and change in calcium. CONCLUSIONS: D3
increased total and free 25D levels to a greater extent than D2. Free 25D may be
superior to total 25D as a marker of vitamin D bioactivity.
PMID- 27192699
TI - Enhanced removal of nitrate from water using amine-grafted agricultural wastes.
AB - Adsorption using low-cost adsorbents is a favourable water treatment method for
the removal of water contaminants. In this study the enhanced removal of nitrate,
a contaminant at elevated concentration affecting human health and causing
eutrophication of water, was tested using chemically modified agricultural wastes
as adsorbents. Batch and fixed-bed adsorption studies were performed on corn cob
and coconut copra that were surface modified by amine-grafting to increase the
surface positive charges. The Langmuir nitrate adsorption capacities (mgN/g) were
49.9 and 59.0 for the amine-grafted (AG) corn cob and coconut copra, respectively
at pH6.5 and ionic strength 1*10(-3)M NaCl. These values are higher than those of
many commercially available anion exchange resins. Fixed-bed (15-cm height)
adsorption capacities (mgN/g) calculated from the breakthrough curves were 15.3
and 18.6 for AG corn cob and AG coconut copra, respectively, for an influent
nitrate concentration 20mg N/L at a flow velocity 5m/h. Nitrate adsorption
decreased in the presence of sulphate, phosphate and chloride, with sulphate
being the most competitive anion. The Thomas model fitted well to the fixed-bed
adsorption data from four repeated adsorption/desorption cycles. Plug-flow model
fitted well to the data from only the first cycle.
PMID- 27192700
TI - An evaluation of the migration of antimony from polyethylene terephthalate (PET)
plastic used for bottled drinking water.
AB - The leaching of antimony (Sb) from polyethylene terephthalate (PET) bottling
material was assessed in twelve brands of bottled water purchased in Mexican
supermarkets by atomic fluorescence spectrometry with a hydride generation system
(HG-AFS). Dowex(r) 1X8-100 ion-exchange resin was used to preconcentrate trace
amounts of Sb in water samples. Migration experiments from the PET bottle
material were performed in water according to the following storage conditions:
1) temperature (25 and 75 degrees C), 2) pH (3 and 7) and 3) exposure time (5 and
15days), using ultrapure water as a simulant for liquid foods. The test
conditions were studied by a 2(3) factorial experimental design. The Sb
concentration measured in the PET packaging materials varied between 73.0 and
111.3mg/kg. The Sb concentration (0.28-2.30MUg/L) in all of the PET bottled
drinking water samples examined at the initial stage of the study was below the
maximum contaminant level of 5MUg/L prescribed by European Union (EU)
regulations. The parameters studied (pH, temperature, and storage time)
significantly affected the release of Sb, with temperature having the highest
positive significant effect within the studied experimental domain. The highest
Sb concentration leached from PET containers was in water samples at pH7 stored
at 75 degrees C for a period of 5days. The extent of Sb leaching from the PET
ingredients for different brands of drinking water can differ by as much as one
order of magnitude in experiments conducted under the worst-case conditions. The
chronic daily intake (CDI) caused by the release of Sb in one brand exceeded the
Environmental Protection Agency (USEPA) regulated CDI value of 400ng/kg/day, with
values of 514.3 and 566.2ng/kg/day for adults and children. Thus, the appropriate
selection of the polymer used for the production of PET bottles seems to ensure
low Sb levels in water samples.
PMID- 27192701
TI - Acute strongyloidiasis in a traveller returning from South East Asia.
AB - We report a case of acute strongyloidiasis, presenting with fever, pronounced
eosinophilia and a disseminated skin rash, in a traveller returning from South
East Asia.
PMID- 27192705
TI - Strengthening the taxonomic backbone of Thai orchid conservation: genetic
fingerprinting and morphometry applied to a species complex in Geodorum.
AB - BACKGROUND AND AIMS: A well-supported classification is crucial for conservation
planning, but intricate species complexes constitute a serious challenge to the
preparation of flora accounts. In preparation of the Flora of Thailand account on
Geodorum (Orchidaceae), it was decided to use multivariate morphometric analysis
and genetic fingerprinting to resolve the intricate G. pulchellum sensu
Seidenfaden/G. siamense species complex, with the specific aim of testing the
taxonomic soundness of the apparently rare and conservation-requiring G.
pulchellum sensu Seidenfaden. Geodorum densiflorum, universally considered
distinct from the above species complex, was included as reference. METHODS:
Morphometric data and tissue samples for amplified fragment length polymorphism
(AFLP) analysis were collected from 17 Geodorum populations in northern and north
eastern Thailand. Principal components analysis was employed to summarize the
patterns of phenetic variation. Hierarchical genetic differentiation between
populations was explored using Bayesian inference followed by cluster analysis.
KEY RESULTS: The taxonomic distinction of G. densiflorum was generally supported.
In contrast, G. siamense and G. pulchellum sensu Seidenfaden were poorly
separated, especially according to the estimated patterns of inter-population
genetic differentiation. CONCLUSIONS: The G. pulchellum sensu Seidenfaden/G.
siamense complex should be treated as one variable species (under the name G.
siamense), meaning that G. pulchellum sensu Seidenfaden should not be given high
independent conservation priority. This study demonstrates that flora accounts
can benefit from prior employment of multivariate and Bayesian methods for
exploring intricate species complexes, in turn leading to more solid decisions
and priorities in a conservation context.
PMID- 27192706
TI - Low-temperature leaf photosynthesis of a Miscanthus germplasm collection
correlates positively to shoot growth rate and specific leaf area.
AB - BACKGROUND AND AIMS: The C4 perennial grass miscanthus has been found to be less
sensitive to cold than most other C4 species, but still emerges later in spring
than C3 species. Genotypic differences in miscanthus were investigated to
identify genotypes with a high cold tolerance at low temperatures and quick
recovery upon rising temperatures to enable them to exploit the early growing
season in maritime cold climates. Suitable methods for field screening of cold
tolerance in miscanthus were also identified. METHODS: Fourteen genotypes of M.
sacchariflorus, M. sinensis, M. tinctorius and M. * giganteus were selected and
grown under warm (24 degrees C) and cold (14 degrees C) conditions in a
controlled environment. Dark-adapted chlorophyll fluorescence, specific leaf area
(SLA) and net photosynthetic rate at a photosynthetically active radiation (PAR)
of 1000 MUmol m(-2) s(-1) (A1000) were measured. Photosynthetic light and CO2
response curves were obtained from 11 of the genotypes, and shoot growth rate was
measured under field conditions. KEY RESULTS: A positive linear relationship was
found between SLA and light-saturated photosynthesis (Asat) across genotypes, and
also between shoot growth rate under cool field conditions and A1000 at 14
degrees C in a climate chamber. When lowering the temperature from 24 to 14
degrees C, one M. sacchariflorus exhibited significantly higher Asat and maximum
photosynthetic rate in the CO2 response curve (Vmax) than other genotypes at 14
degrees C, except M * giganteus 'Hornum'. Several genotypes returned to their pre
chilling A1000 values when the temperature was increased to 24 degrees C after
24 d growth at 14 degrees C. CONCLUSIONS: One M. sacchariflorus genotype had
similar or higher photosynthetic capacity than M * giganteus, and may be used for
cultivation together with M * giganteus or for breeding new interspecies hybrids
with improved traits for temperate climates. Two easily measured variables, SLA
and shoot growth rate, may be useful for genotype screening of productivity and
cold tolerance.
PMID- 27192707
TI - Plant-plant interactions mediate the plastic and genotypic response of Plantago
asiatica to CO2: an experiment with plant populations from naturally high CO2
areas.
AB - BACKGROUND AND AIMS: The rising atmospheric CO2 concentration ([CO2]) is a
ubiquitous selective force that may strongly impact species distribution and
vegetation functioning. Plant-plant interactions could mediate the trajectory of
vegetation responses to elevated [CO2], because some plants may benefit more from
[CO2] elevation than others. The relative contribution of plastic (within the
plant's lifetime) and genotypic (over several generations) responses to elevated
[CO2] on plant performance was investigated and how these patterns are modified
by plant-plant interactions was analysed. METHODS: Plantago asiatica seeds
originating from natural CO2 springs and from ambient [CO2] sites were grown in
mono stands of each one of the two origins as well as mixtures of both origins.
In total, 1944 plants were grown in [CO2]-controlled walk-in climate rooms, under
a [CO2] of 270, 450 and 750 ppm. A model was used for upscaling from leaf to
whole-plant photosynthesis and for quantifying the influence of plastic and
genotypic responses. KEY RESULTS: It was shown that changes in canopy
photosynthesis, specific leaf area (SLA) and stomatal conductance in response to
changes in growth [CO2] were mainly determined by plastic and not by genotypic
responses. We further found that plants originating from high [CO2] habitats
performed better in terms of whole-plant photosynthesis, biomass and leaf area,
than those from ambient [CO2] habitats at elevated [CO2] only when both genotypes
competed. Similarly, plants from ambient [CO2] habitats performed better at low
[CO2], also only when both genotypes competed. No difference in performance was
found in mono stands. CONCLUSION: The results indicate that natural selection
under increasing [CO2] will be mainly driven by competitive interactions. This
supports the notion that plant-plant interactions have an important influence on
future vegetation functioning and species distribution. Furthermore, plant
performance was mainly driven by plastic and not by genotypic responses to
changes in atmospheric [CO2].
PMID- 27192708
TI - Repeated gains in yellow and anthocyanin pigmentation in flower colour
transitions in the Antirrhineae.
AB - BACKGROUND AND AIMS: Angiosperms display remarkable diversity in flower colour,
implying that transitions between pigmentation phenotypes must have been common.
Despite progress in understanding transitions between anthocyanin (blue, purple,
pink or red) and unpigmented (white) flowers, little is known about the
evolutionary patterns of flower-colour transitions in lineages with both yellow
and anthocyanin-pigmented flowers. This study investigates the relative rates of
evolutionary transitions between different combinations of yellow- and
anthocyanin-pigmentation phenotypes in the tribe Antirrhineae. METHODS: We
surveyed taxonomic literature for data on anthocyanin and yellow floral
pigmentation for 369 species across the tribe. We then reconstructed the
phylogeny of 169 taxa and used phylogenetic comparative methods to estimate
transition rates among pigmentation phenotypes across the phylogeny. KEY RESULTS:
In contrast to previous studies we found a bias towards transitions involving a
gain in pigmentation, although transitions to phenotypes with both anthocyanin
and yellow taxa are nevertheless extremely rare. Despite the dominance of yellow
and anthocyanin-pigmented taxa, transitions between these phenotypes are
constrained to move through a white intermediate stage, whereas transitions to
double-pigmentation are very rare. The most abundant transitions are between
anthocyanin-pigmented and unpigmented flowers, and similarly the most abundant
polymorphic taxa were those with anthocyanin-pigmented and unpigmented flowers.
CONCLUSIONS: Our findings show that pigment evolution is limited by the presence
of other floral pigments. This interaction between anthocyanin and yellow
pigments constrains the breadth of potential floral diversity observed in nature.
In particular, they suggest that selection has repeatedly acted to promote the
spread of single-pigmented phenotypes across the Antirrhineae phylogeny.
Furthermore, the correlation between transition rates and polymorphism suggests
that the forces causing and maintaining variance in the short term reflect
evolutionary processes on longer time scales.
PMID- 27192709
TI - Plant root tortuosity: an indicator of root path formation in soil with different
composition and density.
AB - BACKGROUND AND AIMS: Root soil penetration and path optimization are fundamental
for root development in soil. We describe the influence of soil strength on root
elongation rate and diameter, response to gravity, and root-structure tortuosity,
estimated by average curvature of primary maize roots. METHODS: Soils with
different densities (1.5, 1.6, 1.7 g cm-3), particle sizes (sandy loam; coarse
sand mixed with sandy loam) and layering (monolayer, bilayer) were used. In
total, five treatments were performed: Mix_low with mixed sand low density (three
pots, 12 plants), Mix_medium - mixed sand medium density (three pots, 12 plants),
Mix_high - mixed sand high density (three pots, ten plants), Loam_low sandy loam
soil low density (four pots, 16 plants), and Bilayer with top layer of sandy loam
and bottom layer mixed sand both of low density (four pots, 16 plants). We used
non-invasive three-dimensional magnetic resonance imaging to quantify effects of
these treatments. KEY RESULTS: Roots grew more slowly [root growth rate (mm h-1);
decreased 50 %] with increased diameters [root diameter (mm); increased 15 %] in
denser soils (1.7 vs. 1.5 g cm-3). Root response to gravity decreased 23 % with
increased soil compaction, and tortuosity increased 10 % in mixed sand. Response
to gravity increased 39 % and tortuosity decreased 3 % in sandy loam. After
crossing a bilayered-soil interface, roots grew more slowly, similar to roots
grown in soil with a bulk density of 1.64 g cm-3, whereas the actual experimental
density was 1.48+/-0.02 g cm-3 Elongation rate and tortuosity were higher in
Mix_low than in Loam_low. CONCLUSIONS: The present study increases our existing
knowledge of the influence of physical soil properties on root growth and
presents new assays for studying root growth dynamics in non-transparent media.
We found that root tortuosity is indicative of root path selection, because it
could result from both mechanical deflection and active root growth in response
to touch stimulation and mechanical impedance.
PMID- 27192710
TI - Minimal temperature of pollen germination controls species distribution along a
temperature gradient.
AB - BACKGROUND AND AIMS: Although plant distribution patterns are well documented,
our understanding of the ecophysiological mechanisms that control the
geographical ranges of plant species remains poor. We used a largely ignored
method, the performance of the male gametophyte in vitro, to assess whether the
thermal range of pollen germination and tube growth controls species distribution
ranges, in this case along an elevational gradient. METHODS: Using in vitro
pollen germination experiments, we obtained cardinal temperatures (minimal,
optimal and maximal) of pollen germination and pollen tube growth for 25
herbaceous species along a mean annual temperature gradient of about 5 degrees
C. These temperatures were correlated with temperatures of the sites where the
species were collected. The presence of a phylogenetic signal in the data set as
well as an effect of species flowering phenology were also estimated. KEY RESULTS
AND CONCLUSIONS: We found a strong positive relationship between temperature
conditions at our collection sites and the minimum temperature for both pollen
germination and pollen tube growth. In addition, a significant correlation
between maximum temperature of pollen tube growth and temperature of flowering
month was apparent. We conclude that the restriction of pollen germination and
growth by low temperatures is an important contributor to the climatic
restriction of plant species distributions. Improved knowledge of this thermal
precursor to seed production could, from a functional perspective, enhance our
understanding of species distributions along climatic gradients and our ability
to predict how anthropogenic climate change might affect plant community
composition.
PMID- 27192711
TI - Ethylene is involved in root phosphorus remobilization in rice (Oryza sativa) by
regulating cell-wall pectin and enhancing phosphate translocation to shoots.
AB - BACKGROUND AND AIMS: Plants are able to grow under phosphorus (P)-deficient
conditions by coordinating Pi acquisition, translocation from roots to shoots and
remobilization within the plant. Previous reports have demonstrated that cell
wall pectin contributes greatly to rice cell-wall Pi re-utilization under P
deficient conditions, but whether other factors such as ethylene also affect the
pectin-remobilizing capacity remains unclear. METHODS: Two rice cultivars,
'Nipponbare' (Nip) and 'Kasalath' (Kas) were cultured in the +P (complete
nutrient solution), -P (withdrawing P from the complete nutrient solution),
+P+ACC (1-amino-cyclopropane-1-carboxylic acid, an ethylene precursor, adding 1
MUm ACC to the complete nutrient solution) and -P+ACC (adding 1 MUm ACC to -P
nutrient solution) nutrient solutions for 7 d. KEY RESULTS: After 7 d -P
treatment, there was clearly more soluble P in Nip root and shoot, accompanied by
additional production of ethylene in Nip root compared with Kas. Under P
deficient conditions, addition of ACC significantly increased the cell-wall
pectin content and decreased cell-wall retained P, and thus more soluble P was
released to the root and translocated to the shoot, which was mediated by the
expression of the P deficiency- responsive gene OsPT2, which also strongly
induced by ACC treatment under both P-sufficient and P-deficient conditions.
CONCLUSIONS: Ethylene positively regulates pectin content and expression of
OsPT2, which ultimately makes more P available by facilitating the solubilization
of P fixed in the cell wall and its translocation to the shoot.
PMID- 27192712
TI - Environmental and physiological effects on grouping of drought-tolerant and
susceptible rice varieties related to rice (Oryza sativa) root hydraulics under
drought.
AB - BACKGROUND AND AIMS: Root hydraulic limitations (i.e. intra-plant restrictions to
water movement) may be related to crop performance under drought, and groupings
in the hydraulic function of drought-tolerant and drought-susceptible rice (Oryza
sativa) varieties have been previously reported. This study aimed to better
understand the environmental and physiological relationships with rice root
hydraulics under drought. METHODS: Xylem sap bleeding rates in the field (gsap g
1shoot) were measured on seasonal and diurnal time frames, during which time
environmental conditions were monitored and physiological measurements were
conducted. Complementary experiments on the effects of vapour pressure deficit
(VPD) on root hydraulic conductivity and on transpiration rates of de-rooted
tillers were conducted in growth chambers. KEY RESULTS: The diurnal effects on
bleeding rate were more closely related to irradiance than VPD, and VPD effects
on root hydraulic conductivity measured on 21-day-old plants were due to effects
on plant growth including root surface area, maximum root depth and root:shoot
ratio. Leaf osmotic potential was related to the grouping of drought-tolerant and
drought-susceptible varieties in rice root hydraulics, and these groupings were
independent of differences in phenology. Low single-tiller bleeding rates were
observed under high evapo-transpirational demand, higher bleeding rates were
observed at more negative leaf osmotic potentials in drought-susceptible
varieties, and drought-tolerant and susceptible varieties differed in the VPD
induced increase in transpiration rates of de-rooted tillers. Low root suberin
amounts in some of the drought-susceptible varieties may have resulted in higher
ion transport, as evidenced by higher sap K+ concentration and higher bleeding
rates in those varieties. CONCLUSIONS: These results provide evidence of the
environmental effects on shoots that can influence root hydraulics. The
consistent groupings of drought-tolerant and susceptible varieties suggest that
traits affecting plant osmotic status may regulate root hydraulic response to
drought in rice.
PMID- 27192713
TI - Variable presence of the inverted repeat and plastome stability in Erodium.
AB - BACKGROUND AND AIMS: Several unrelated lineages such as plastids, viruses and
plasmids, have converged on quadripartite genomes of similar size with large and
small single copy regions and a large inverted repeat (IR). Except for Erodium
(Geraniaceae), saguaro cactus and some legumes, the plastomes of all
photosynthetic angiosperms display this structure. The functional significance of
the IR is not understood and Erodium provides a system to examine the role of the
IR in the long-term stability of these genomes. We compared the degree of genomic
rearrangement in plastomes of Erodium that differ in the presence and absence of
the IR. METHODS: We sequenced 17 new Erodium plastomes. Using 454, Illumina,
PacBio and Sanger sequences, 16 genomes were assembled and categorized along with
one incomplete and two previously published Erodium plastomes. We conducted
phylogenetic analyses among these species using a dataset of 19 protein-coding
genes and determined if significantly higher evolutionary rates had caused the
long branch seen previously in phylogenetic reconstructions within the genus.
Bioinformatic comparisons were also performed to evaluate plastome evolution
across the genus. KEY RESULTS: Erodium plastomes fell into four types (Type 1-4)
that differ in their substitution rates, short dispersed repeat content and
degree of genomic rearrangement, gene and intron content and GC content. Type 4
plastomes had significantly higher rates of synonymous substitutions (dS) for all
genes and for 14 of the 19 genes non-synonymous substitutions (dN) were
significantly accelerated. We evaluated the evidence for a single IR loss in
Erodium and in doing so discovered that Type 4 plastomes contain a novel IR.
CONCLUSIONS: The presence or absence of the IR does not affect plastome stability
in Erodium. Rather, the overall repeat content shows a negative correlation with
genome stability, a pattern in agreement with other angiosperm groups and recent
findings on genome stability in bacterial endosymbionts.
PMID- 27192714
TI - Emotional Intelligence: A Violence Strategy.
PMID- 27192715
TI - A Survey on Main Semen Parameters in Natural Pregnancy and Intrauterine
Insemination: Are There Any Significant Differences?
AB - Intrauterine insemination (IUI) is a treatment of choice compared with other
invasive and expensive techniques of assisted reproduction. Sperm quality is used
to predict its outcome and success. Establishing threshold levels for sperm
parameters is useful to avoid spending time and money to do other assisted
reproductive techniques. This study was carried out to compare various semen
parameters in a group of men eligible to participate in an IUI program with those
of fertile men whose wives were pregnant at the time of the study. Two hundred
and thirty-four semen samples were evaluated from subfertile men whose partners
were candidates for IUI and 234 semen samples were evaluated from fertile men
whose partners were pregnant less than 12 weeks. To assess the sensitivity and
specificity of the main semen parameters, receiver operating characteristic (ROC)
curves were used. Normal sperm morphology is more sensitive and specific compared
with its progressive motility and concentration. No significant differences in
various semen parameters of fertile men and those of the male partners of IUI
candidates were observed. ROC analysis identified that sperm normal morphology
using strict criteria may be a good indicator of fertility status in men. No
significant difference in various semen parameters between the male partners of
IUI candidates and the fertile men was seen. However, utilizing ROC curves, sperm
morphology using strict criteria could be a good predictor of fertility.
PMID- 27192716
TI - A Validation of the Group-Based Medical Mistrust Scale in Formerly Incarcerated
Black and Latino Men.
AB - While there is a growing body of literature on medical mistrust and its relevance
to public health, research on formerly incarcerated Black and Latino men and
their perception of mistrust toward medical providers and medical institutions
remains scant. Very little is known about whether formerly incarcerated Black and
Latino men mistrust medical and clinical providers given their previous
experiences with the criminal justice system. It is important to determine
whether medical mistrust play a key role in the health and health behaviors of
released Black and Latino men. The purpose of this study is to validate and
assess the psychometric properties of the Group-Based Medical Mistrust Scale for
use among formerly incarcerated Black and Latino men in New York City. The
findings of the exploratory and confirmatory factor analyses state that a two
factor structure fit the data best. Two dimensions emerged as important
subscales: discrimination and suspicion. The current findings suggest the two
factor Group-Based Medical Mistrust Scale is a valid and reliable assessment tool
to discern medical mistrust levels among formerly incarcerated Black and Latino
men.
PMID- 27192717
TI - A Descriptive Study Assessing Quality of Life for Adults With a Permanent Ostomy
and the Influence of Preoperative Stoma Site Marking.
AB - Diseases or anomalies of the genitourinary or gastrointestinal tract often
require removal of organs and creation of an artificial opening (stoma) to allow
for elimination of urine or stool. Preoperative stoma site marking can affect
quality of life (QoL). A descriptive study was conducted to assess the
relationship between QoL and preoperative stoma site marking in adults with a
permanent ostomy. Using convenience sampling methods, 230 eligible participants
attending a United Ostomy Association of America conference were invited to
complete a survey of demographics regarding age, gender, time since surgeries,
and ostomy type and the City of Hope National Medical Center Quality of Life
Ostomy Questionnaire. The latter contains 2 sections of 30 and 43 items each that
address life impact and quality of life, respectively. The researcher explained
the study and provided a study packet to volunteers who were interested in
participating. Volunteers were to complete the surveys over a 4-day period while
at the conference; the investigator collected all study materials. Inclusion
criteria stipulated study participants must be English writing/reading persons at
least 18 years of age with a colostomy, ileostomy, or urostomy. All descriptive
statistics (means, standard deviation, frequency, and percents) used to describe
demographic and surgical history and quantitative data (logistic regression,
cross-tabulation, Pearson product moment correlations, and analysis of
covariance) used to determine relationships among factors were entered and
analyzed using a computer software program. Of the 140 participants who met
inclusion criteria and provided data, the majority (85, 60.7%) had their stoma
site marked by a wound, ostomy, continence (WOC) nurse. WOC nurse marking was
more likely in recent years, and WOC nurse marking was 1.03 times more likely for
each year since stoma surgery (M = 13.44, SD = 13.48). Mean QoL was 7.56 (SD =
1.59, range 3.84-10.00) and was positively correlated with age (r [137] = 0.27, P
= 0.001), years since first surgery (r (138) = 0.25, P = 0.003), and years since
most recent surgery (r [137] =0.28, P = 0.001). The total number of surgeries was
unrelated to QoL. More than 75% of the participants received preoperative
marking, with a significant difference in QoL for persons marked by a surgeon (M
= 7.71, SE = 0.16) or a WOC nurse (M = 8.82, SE = 0.37) versus another
professional (M = 4.83, SE = 1.05) (F [3.118] = 3.44, P = 0.19). The increasing
prevalence of preoperative stoma site marking by a WOC nurse over time serves as
a benchmark for this centerpiece of WOC nursing practice. The findings confirm
the need for stoma site marking and validate the impact of stoma site marking by
the WOC nurse.
PMID- 27192718
TI - A Retrospective, Observational Study of the Adequacy of Elective Loop Stoma
Diversion.
AB - Diverting stomas are employed for various clinical indications and easier to
revert than end stomas. General, plastic, and colorectal surgeons continue to
debate whether a diverting loop stoma adequately diverts stool, preventing
spillage into the distal limb, which does not receive stool. A retrospective,
descriptive study was conducted involving all patients who underwent loop ostomy
surgery (colostomy or ileostomy) - defined by current procedural terminology
(CPT) codes 44187, 44188, 44153, and 44155-44158 - between April 1, 2002 and
October 12, 2010. The purpose of the study was to determine if a diverting loop
stoma is completely diverting with no efflux into the distal limb by examining
the rate of distal limb contamination. Two surgeons identified patients at the
University of Virginia who had loop ostomy surgery and subsequently underwent
computed tomography (CT) scan with oral contrast for clinical suspicion of
abnormal pathology. A radiologist reviewed these images to evaluate the presence
or absence of oral contrast in the ostomy distal limb. Distal progression of oral
contrast was deemed adequate if oral contrast was visualized within the ostomy
bag or if contrast was intraluminal distal to the loop ostomy. The loop ostomy
was considered diverting if oral contrast was only visualized in the ostomy bag
with no oral contrast distally. Of the 202 eligible patients, 26 (13%) underwent
41 postoperative CT scans of the abdomen/pelvis. Four (4) were excluded due to
inadequate exam or confounding contrast (rectal contrast, contrast retention from
preoperative scan). Of the remaining 22 patients with 35 CT scans (median age 54
[range 26-82] years, 10 men, 18 Caucasian, 18 having elective surgery), no
patient (0%) had evidence of distal contrast. In this and other studies, loop
stomas were found to provide adequate diversion without spillage into the
nonfunctional limb for the vast majority of patients and should strongly be
considered as the procedure of choice for temporary diversion.
PMID- 27192719
TI - Hyperbaric Oxygen Therapy for the Adjunctive Treatment of Pyoderma Gangrenosum: A
Case Report.
AB - Pyoderma gangrenosum (PG) is a neutrophilic dermatosis of unknown etiology
characterized by an ulcerative skin condition and confirmed through a diagnosis
of exclusion. Management usually consists of systemic drug therapy, such as
corticosteroids, sulfones, or immunosuppressants, either alone or in combination.
Long-term use of these medications often has untold side effects. Hyperbaric
oxygen therapy (HBOT) has been shown effective in the treatment of PG, reducing
pain and tempering the need for medication. A case is presented of a 54-year-old
woman with diabetes, hypertension, and a peptic ulcer who presented with painful,
purulent ulcers on her buttocks, hands, and lower extremities of 2 weeks'
duration. She was ultimately diagnosed with PG and provided 20 mg/day of oral
prednisone for 1 week, tapered to 10 mg/day in the next week and then stopped. In
addition, she received 12 sessions of HBOT - she breathed in 100% oxygen under
2.5 atmospheres absolute pressure for 90 minutes over 2 weeks. Her wounds healed
without scarring. This excellent outcome including good wound healing, decreased
pain, and reduced doses of systemic corticosteroids warrants additional study of
the adjunctive use of HBOT for PG.
PMID- 27192720
TI - Using the Literature to Understand Achilles' Fate.
AB - According to Greek mythology, Achilles was fatally wounded in his heel, bled out,
and died. Several unproven hypotheses mention poisoning, infection, allergy,
hemophilia, thyrotoxic storm (ie, pain and stress), and suicide. The author, a
plastic surgeon who often treats chronic wounds, proposes an additional scenario:
Although not mortally wounded, Achilles was considered dead, because in his time
a wounded hero was as good as a dead hero, so he lived out the remainder of his
life as former hero with a chronic wound far away from everyone. To determine
whether his injury was enough to cause fatal bleeding and quick death or if other
factors might have been in play, a search of the literature was conducted to
enhance what is known about Achilles, basically through the tale related in The
Iliad and the clinical impact of an Achilles' injury. Search terms utilized
included bleeding tibialis posterior artery (3 manuscripts were found) and
chronic wound, Achilles tendon (631 manuscripts were located). Although science
may not be able to explain how and why Achilles died, the literature supported
the conjecture that Achilles probably had a chronic wound with skin and paratenon
defect, de- vitalized tendon tissue, bleeding, granulation, and repeated
infections. It is interesting to consider the state of his injury and his mind in
the making of this legend.
PMID- 27192721
TI - Case law update. Keys v. Alta Bates Summit Medical Center, N. A140038 (Ct. App.
Cal. February 23, 2015).
PMID- 27192723
TI - Case law update. Mackey v. Sarroca, No. 3-13-0219 (Ct. App. Ill. April 27, 2015).
PMID- 27192722
TI - Case law update. Hammond v. Saini, 758 SE 2d 855 (N.C. 2014).
PMID- 27192724
TI - Case law update. Whitlow v. Rideout Memorial Hospital, No. C074810 (Ct. App. 3rd
Dist. Cal. June 9, 2015).
PMID- 27192726
TI - Preface.
PMID- 27192725
TI - Case law update. Baker v. Banner Health, Civil Action No. 12-cv-3029 (D. Colo.
May 28, 2015).
PMID- 27192727
TI - Standard Preparations, Limits of Potency, and Dating Period Limitations for
Biological Products. Direct final rule.
AB - The Food and Drug Administration (FDA or Agency or we) is amending the general
biological products standards relating to dating periods and also removing
certain standards relating to standard preparations and limits of potency. FDA is
taking this action to update outdated requirements, and accommodate new and
evolving technology and testing capabilities, without diminishing public health
protections. This action is part of FDA's retrospective review of its regulations
in response to an Executive order. FDA is issuing these amendments directly as a
final rule because the Agency believes they are noncontroversial and FDA
anticipates no significant adverse comments.
PMID- 27192728
TI - Medicare and Medicaid Programs; Fire Safety Requirements for Certain Health
Care Facilities. Final rule.
AB - This final rule will amend the fire safety standards for Medicare and Medicaid
participating hospitals, critical access hospitals (CAHs), long-term care
facilities, intermediate care facilities for individuals with intellectual
disabilities (ICF-IID), ambulatory surgery centers (ASCs), hospices which provide
inpatient services, religious non-medical health care institutions (RNHCIs), and
programs of all-inclusive care for the elderly (PACE) facilities. Further, this
final rule will adopt the 2012 edition of the Life Safety Code (LSC) and
eliminate references in our regulations to all earlier editions of the Life
Safety Code. It will also adopt the 2012 edition of the Health Care Facilities
Code, with some exceptions.
PMID- 27192729
TI - Medicaid and Children's Health Insurance Program (CHIP) Programs; Medicaid
Managed Care, CHIP Delivered in Managed Care, and Revisions Related to Third
Party Liability. Final rule.
AB - This final rule modernizes the Medicaid managed care regulations to reflect
changes in the usage of managed care delivery systems. The final rule aligns,
where feasible, many of the rules governing Medicaid managed care with those of
other major sources of coverage, including coverage through Qualified Health
Plans and Medicare Advantage plans; implements statutory provisions; strengthens
actuarial soundness payment provisions to promote the accountability of Medicaid
managed care program rates; and promotes the quality of care and strengthens
efforts to reform delivery systems that serve Medicaid and CHIP beneficiaries. It
also ensures appropriate beneficiary protections and enhances policies related to
program integrity. This final rule also implements provisions of the Children's
Health Insurance Program Reauthorization Act of 2009 (CHIPRA) and addresses third
party liability for trauma codes.
PMID- 27192730
TI - Deeming Tobacco Products To Be Subject to the Federal Food, Drug, and Cosmetic
Act, as Amended by the Family Smoking Prevention and Tobacco Control Act;
Restrictions on the Sale and Distribution of Tobacco Products and Required
Warning Statements for Tobacco Products. Final rule.
AB - The Food and Drug Administration (FDA) is issuing this final rule to deem
products meeting the statutory definition of "tobacco product,'' except
accessories of the newly deemed tobacco products, to be subject to the Federal
Food, Drug, and Cosmetic Act (the FD&C Act), as amended by the Family Smoking
Prevention and Tobacco Control Act (Tobacco Control Act). The Tobacco Control Act
provides FDA authority to regulate cigarettes, cigarette tobacco, roll-your-own
tobacco, smokeless tobacco, and any other tobacco products that the Agency by
regulation deems to be subject to the law. With this final rule, FDA is extending
the Agency's "tobacco product'' authorities in the FD&C Act to all other
categories of products that meet the statutory definition of "tobacco product" in
the FD&C Act, except accessories of such newly deemed tobacco products. This
final rule also prohibits the sale of "covered tobacco products" to individuals
under the age of 18 and requires the display of health warnings on cigarette
tobacco, roll-your own tobacco, and covered tobacco product packages and in
advertisements. FDA is taking this action to reduce the death and disease from
tobacco products. In accordance with the Tobacco Control Act, we consider and
intend the extension of our authorities over tobacco products and the various
requirements and prohibitions established by this rule to be severable.
PMID- 27192731
TI - Schedules of Controlled Substances: Placement of UR-144, XLR11, and AKB48 into
Schedule I. Final rule.
AB - With the issuance of this final rule, the Drug Enforcement Administration places
(1-pentyl-1H-indol-3-yl)(2,2,3,3-tetramethylcyclopropyl)methanone (UR-144), [1-(5
fluoro-pentyl)-1H-indol-3-yl](2,2,3,3-tetramethylcyclopropyl)methanone (5-fluoro
UR-144, XLR11), and N-(1-adamantyl)-1-pentyl-1H-indazole-3-carboxamide (APINACA,
AKB48), including their salts, isomers, and salts of isomers whenever the
existence of such salts, isomers, and salts of isomers is possible, into schedule
I of the Controlled Substances Act. This scheduling action is pursuant to the
Controlled Substances Act which requires that such actions be made on the record
after opportunity for a hearing through formal rulemaking. This action imposes
the regulatory controls and administrative, civil, and criminal sanctions
applicable to schedule I controlled substances on persons who handle
(manufacture, distribute, reverse distribute, import, export, engage in research,
conduct instructional activities or chemical analysis, or possess), or propose to
handle UR-144, XLR11, or AKB48.
PMID- 27192732
TI - Schedules of Controlled Substances: Placement of Brivaracetam Into Schedule V.
Interim final rule, with request for comments.
AB - The Drug Enforcement Administration is placing the substance brivaracetam ((2S)-2
[(4R)-2-oxo-4-propylpyrrolidin-1-yl] butanamide) (also referred to as BRV; UCB
34714; Briviact) (including its salts) into schedule V of the Controlled
Substances Act. This scheduling action is pursuant to the Controlled Substances
Act, as revised by the Improving Regulatory Transparency for New Medical
Therapies Act which was signed into law on November 25, 2015.
PMID- 27192733
TI - Schedules of Controlled Substances: Temporary Placement of Butyryl Fentanyl and
Beta-Hydroxythiofentanyl Into Schedule I. Final order.
AB - The Administrator of the Drug Enforcement Administration is issuing this final
order to temporarily schedule the synthetic opioids, N-(1-phenethylpiperidin-4
yl)-N-phenylbutyramide, also known as N-(1-phenethylpiperidin-4-yl)-N
phenylbutanamide, (butyryl fentanyl) and N-[1-[2-hydroxy-2-(thiophen-2
yl)ethyl]piperidin-4-yl]-N-phenylpropionamide, also known as N-[1-[2-hydroxy-2-(2
thienyl)ethyl]-4-piperidinyl]-N-phenylpropanamide, (beta-hydroxythiofentanyl),
and their isomers, esters, ethers, salts and salts of isomers, esters and ethers,
into schedule I pursuant to the temporary scheduling provisions of the Controlled
Substances Act. This action is based on a finding by the Administrator that the
placement of butyryl fentanyl and beta-hydroxythiofentanyl into schedule I of the
Controlled Substances Act is necessary to avoid an imminent hazard to the public
safety. As a result of this order, the regulatory controls and administrative,
civil, and criminal sanctions applicable to schedule I controlled substances will
be imposed on persons who handle (manufacture, distribute, reverse distribute,
import, export, engage in research, conduct instructional activities or chemical
analysis, or possess), or propose to handle, butyryl fentanyl and beta
hydroxythiofentanyl.
PMID- 27192734
TI - Improve Tracking of Workplace Injuries and Illnesses. Final rule.
AB - OSHA is issuing a final rule to revise its Recording and Reporting Occupational
Injuries and Illnesses regulation. The final rule requires employers in certain
industries to electronically submit to OSHA injury and illness data that
employers are already required to keep under existing OSHA regulations. The
frequency and content of these establishment-specific submissions is set out in
the final rule and is dependent on the size and industry of the employer. OSHA
intends to post the data from these submissions on a publicly accessible Web
site. OSHA does not intend to post any information on the Web site that could be
used to identify individual employees. The final rule also amends OSHA's
recordkeeping regulation to update requirements on how employers inform employees
to report work-related injuries and illnesses to their employer. The final rule
requires employers to inform employees of their right to report work-related
injuries and illnesses free from retaliation; clarifies the existing implicit
requirement that an employer's procedure for reporting work-related injuries and
illnesses must be reasonable and not deter or discourage employees from
reporting; and incorporates the existing statutory prohibition on retaliating
against employees for reporting work-related injuries or illnesses. The final
rule also amends OSHA's existing recordkeeping regulation to clarify the rights
of employees and their representatives to access the injury and illness records.
PMID- 27192735
TI - Medicare Program; Obtaining Final Medicare Secondary Payer Conditional Payment
Amounts via Web Portal. Final rule.
AB - This final rule specifies the process and timeline for expanding CMS' existing
Medicare Secondary Payer (MSP) Web portal to conform to section 201 of the
Medicare IVIG and Strengthening Medicare and Repaying Taxpayers Act of 2012 (the
SMART Act). The final rule specifies a timeline for developing a multifactor
authentication solution to securely permit authorized users other than the
beneficiary to access CMS' MSP conditional payment amounts and claims detail
information via the MSP Web portal. It also requires that we add functionality to
the existing MSP Web portal that permits users to: Notify us that the specified
case is approaching settlement; obtain time and date stamped final conditional
payment summary statements and amounts before reaching settlement; and ensure
that relatedness disputes and any other discrepancies are addressed within 11
business days of receipt of dispute documentation.
PMID- 27192736
TI - Regulations Under the Americans With Disabilities Act; Genetic Information
Nondiscrimination Act. Final rule.
AB - The Equal Employment Opportunity Commission (EEOC or Commission) is issuing its
final rule to amend the regulations and interpretive guidance implementing Title
I of the Americans with Disabilities Act (ADA) to provide guidance on the extent
to which employers may use incentives to encourage employees to participate in
wellness programs that ask them to respond to disability-related inquiries and/or
undergo medical examinations. This rule applies to all wellness programs that
include disability-related inquiries and/or medical examinations whether they are
offered only to employees enrolled in an employer-sponsored group health plan,
offered to all employees regardless of whether they are enrolled in such a plan,
or offered as a benefit of employment by employers that do not sponsor a group
health plan or group health insurance. Published elsewhere in this issue of the
Federal Register, the EEOC also issued a final rule to amend the regulations
implementing Title II of the Genetic Information Nondiscrimination Act (GINA)
that addresses the extent to which employers may offer incentives for an
employee's spouse to participate in a wellness program.
PMID- 27192737
TI - [Musculoskeletal tumor. Update therapeutic strategies for bone sarcomas of spine
and pelvis].
PMID- 27192738
TI - [I. Management of giant cell tumor of the spine and pelvis--current perspective].
PMID- 27192739
TI - [II. The evidence of local treatments for malignant bone tumors in pelvis and
spine].
PMID- 27192740
TI - [III. An update on drug therapy for chordoma].
PMID- 27192741
TI - Genetic Information Nondiscrimination Act. Final rule.
AB - The Equal Employment Opportunity Commission (EEOC or Commission) is issuing a
final rule to amend the regulations implementing Title II of the Genetic
Information Nondiscrimination Act of 2008 as they relate to employer-sponsored
wellness programs. This rule addresses the extent to which an employer may offer
an inducement to an employee for the employee's spouse to provide information
about the spouse's manifestation of disease or disorder as part of a health risk
assessment (HRA) administered in connection with an employer-sponsored wellness
program. Several technical changes to the existing regulations are included.
Published elsewhere in this issue of the Federal Register, the EEOC also issued a
final rule to amend the regulations and interpretive guidance implementing Title
I of the Americans with Disabilities Act (ADA) that addresses the extent to which
employers may use incentives to encourage employees to participate in wellness
programs that ask them to respond to disability-related inquiries and/or undergo
medical examinations.
PMID- 27192742
TI - Nondiscrimination in Health Programs and Activities. Final rule.
AB - This final rule implements Section 1557 of the Affordable Care Act (ACA) (Section
1557). Section 1557 prohibits discrimination on the basis of race, color,
national origin, sex, age, or disability in certain health programs and
activities. The final rule clarifies and codifies existing nondiscrimination
requirements and sets forth new standards to implement Section 1557, particularly
with respect to the prohibition of discrimination on the basis of sex in health
programs other than those provided by educational institutions and the
prohibition of various forms of discrimination in health programs administered by
the Department of Health and Human Services (HHS or the Department) and entities
established under Title I of the ACA. In addition, the Secretary is authorized to
prescribe the Department's governance, conduct, and performance of its business,
including, here, how HHS will apply the standards of Section 1557 to HHS
administered health programs and activities.
PMID- 27192744
TI - Teaching the Real World: The UNC-NCSU BME Design Symposium.
PMID- 27192743
TI - Is it Really Necessary to Keep My Pebble Smart Watch 2.5 cm from My Body?
PMID- 27192745
TI - The Hygiene Hypothesis.
PMID- 27192746
TI - Highlights in the History of the Fourier Transform.
PMID- 27192747
TI - 30 Years and Still Going Strong.
PMID- 27192749
TI - HOW Being a Home birth Midwife Enabled Me to Learn about SHOULDER DYSTOCIA.
PMID- 27192748
TI - Cesarean Prevention Gains Some New Allies.
PMID- 27192750
TI - The Fourth Stage: Sharing the Asian Way.
PMID- 27192751
TI - First Hours after Birth: Family Integration and Mutual Regulation.
PMID- 27192752
TI - Childbirth from a Bacteriological Perspective.
PMID- 27192753
TI - Managing First Stage Problems.
PMID- 27192754
TI - Opening the Pelvic Brim with Walcher's Position.
PMID- 27192755
TI - A Vision of Birth.
PMID- 27192756
TI - Waterbirth Basics: From Newborn Breathing to Hospital Protocols.
PMID- 27192757
TI - Global Midwifery and the Humanization of Birth.
PMID- 27192758
TI - The Endurinq Qualities in Midwifery.
PMID- 27192759
TI - A Difficult Breech Birth.
PMID- 27192760
TI - Midwifing Second Stage.
PMID- 27192761
TI - The International MotherBaby Childbirth Initiative (IMBCI) A Human Rights
Approach to Optimal Maternity Care.
PMID- 27192762
TI - The Bond of Midwifery and Art.
PMID- 27192763
TI - Margaret Stephen:The Ironies and Instruments of an 18th CenturvyLondon Midwife.
PMID- 27192764
TI - Delayed Cord Clamping Requires a New Table for Stressed Newborns.
PMID- 27192765
TI - A Slower Transition for Waterbirth Babies.
PMID- 27192766
TI - Outside the Evidence: Births Missing from the Research.
PMID- 27192767
TI - [Orbital radiographic anatomy. Part 2. Orbital soft tissue contents].
AB - OBJECTIVE: to study the characteristics of orbital soft tissues in health.
MATERIAL AND METHODS: Computed tomography scans of 210 individuals (266 orbits)
with no orbital abnormality were examined. RESULTS: The paper presents the
volumetric characteristics and parameters of the soft tissue density of the orbit
and its apex (extraocular muscles, retrobulbar fat, optic nerve, lacrimal gland,
eye, and vitreous body) in health. The internal surgical space fat at the orbital
apex is estimated to be 75.35 and 73.83% of the total volume at the apex in men
and women, respectively. The paper shows that individual asymmetry of orbital fat
volumes in health is encountered in 47.61% of the men and in 51.42% of the women
and ranges from 0.5 to 2.0 cm3. CONCLUSION: The volumes of orbital soft tissues
in health are statistically significant different in the men and in the women.
The asymmetry of the mean orbital soft tissue volumes in health is within the
limits of statistical error.
PMID- 27192768
TI - [Variant radiation anatomy of the lacrimal sac at visualization by contrast-free
computed tomography].
AB - OBJECTIVE: to morphometrically estimate normal variations in the sizes of
lacrimal sacs on the basis of computed tomography findings to optimize the choice
of endoscopic surgical intervention tactics for dacryocystitis. MATERIAL AND
METHODS: Preoperative orbital computed tomography data were comparatively
morphometrically processed in two groups of patients with or without clinically
verified dacryo- cystitis. RESULTS: Data on the typical variants of the sizes of
the lacrimal sac in health and in clinically manifested dacryocystitis were
obtained and statistically processed. The advantages of using the design volume
of the lacrimal sac over other measurements were shown. A method for the
morphometry of lacrimal sacs was proposed on the strength of computed tomography
data. CONCLUSION: The obtained new refined data on the holotopy of the lacrimal
sac in health and clinically verified dacryocystitis can simplify the choice of
an approach to planning endonasal dacryocystorhinostomy.
PMID- 27192769
TI - [Use of phase-contrast magnetic resonance imaging to quantify cerebrospinal fluid
dynamics in patients with communicating hydrocephalus].
AB - OBJECTIVE: to determine differences in cerebrospinal fluid (CSF) flow velocities
in patients with varying degrees of communicating hydrocephalus (CH) versus a
group of healthy volunteers without hydrodynamic disorders. MATERIAL AND METHODS:
The investigation enrolled 27 CH patients (17 and 10 patients with an Evans index
of 0.31 and 0.46, respectively) and 62 healthy volunteers. Average, volumetric,
and peak flow velocities were determined at different intracranial levels.
RESULTS: Analysis of differences between the mean values indicated that the
patients with CH were observed to have progressive cranial cavity CSF outflow
obstruction that depended on the degree of dilation of the ventricular system
and, probably, on impaired CSF reabsorption. These changes can provide an
explanation for the clinical symptoms present in the patients and also serve as
diagnostic criteria. CONCLUSION: The investigation showed that phase-contrast
magnetic resonance imaging might be used to estimate the quantitative indicators
of CSF in health and in varying degrees of CH. The velocity characteristics of
antegrade and retrograde CSF flows are significantly different in health and in
disease, which may be relevant to neurologists and neurosurgeons when planning
therapy and surgery options.
PMID- 27192770
TI - Comparison of Radiological Assessments Patellar Resurfacing with Retention for
Grade IV Osteoarthritis in Patellofemoral Joint accomplished Total Knee
Arthroplasty.
AB - INTRODUCTION: The purpose of this prospective study was to compare radiological
outcomes after total knee artliroplasty (TKA) with or without patellar
resurfacing in patients with grade IV osteoarthritis on patellofemoral joint.
MATERIAL AND METHODS: 123 cases with Kellgren-Lawrence grade IV osteoarthritis on
patellofemoral joint were enrolled for this study. At the operating room, they
were randomly assigned to undergo patella resurfacing (62 cases) or patella
retention (61 cases). Among them, 114 cases that could be followed for more than
2 years were included in this study (resurfacing group; 59 cases, retention
group; 55 cases). Preoperative and postoperative radiological outcomes
(mechanical femorotibial angle, patellar tilt and congruence angles) were
evaluated and compared between two groups. RESULTS: Preoperative radiological
measures shows not significant difference between patellar tilt (P = 0.13),
mechanical femorotibial angles (P = 0.62) and congruence angle (P = 0.37).
Despite the difference performed methods of surgery, postoperative radiological
assessment outcomes between two groups were almost identical Patellar tilt (P =
0.47), mechanical femorotibial angles (P = 0.34) and congruence angle (P > 0.05).
CONCLUSION: Obtained ahnost the same satisfactory radiological outcomes after
patella resurfacing and retention groups after total knee replacement allows us
to conclude that, primary TKA without patella resurfacing is a good treatment
option in patients with high grade osteoarthritis of the patellofemoral joint.
PMID- 27192771
TI - [Contrast-enhanced computed tomography is the required minimum in the diagnosis
of abdominal and retroperitoneal space-occupying lesions].
AB - OBJECTIVE: to determine the advantages of contrast-enhanced computed tomography
(CT) over contrast-free studies in the differential diagnosis of hepatic, renal,
and pancreatic space-occupying lesions. MATERIAL AND METHODS. A team of
experienced radiologists retrospectively used CT data of patients with different
space-occupying lesions of the abdomen and retroperitoneal space (liver, kidney,
and pancreas). RESULTS: The diagnostic value of CT of the liver, kidney, and
pancreas substantially decreases without using radiopaque contrast agents; at the
same time not only the differential diagnosis, but also visualization of some
neoplasms are frequently impossible. CONCLUSION: The potential benefit of
contrast-enhanced CT virtually always outweighs the risks associated with the
injection of a contrast agent.
PMID- 27192772
TI - [Comparative survey of radiation doses to patients in computed tomography in a
federal hospital].
AB - OBJECTIVE: to analyze radiation exposure due to computed tomography (CT) of
brain, chest, abdomen and pelvis in a large multi-field federal hospital and
feasibility of low-dose CT-examinations. MATERIAL AND METHODS: Retrospective
analysis was performed using data from electronic patient records and PACS from a
single multi-field hospital. Data were obtained from 1626 records of patients
(794 men, 832 women; age range 17-93) scanned with 3 MDCT during one year. CT
examinations of good quality were selected, volumetric CT dose index (CTDI) and
dose-length product (DLP) were collected for each of them. The effective doses
(ED) were calculated using the normalized coefficients according to Russian
Guidance. RESULTS. Number and structure of CT-examinations for the years 2012
2014 in a multi-field hospital were analyzed. The mean effective dose (M +/- m)
values with/without contrast medium (respectively), according to anatomical areas
were as follows: brain--2.34 +/- 0.03/3, 52 +/- 0.23, chest--4.83 +/- 0.11/11.02
+/- 0.82, abdomen-pelvis--9.81 +/- 0.40/36.6 +/- 1.17, chest-abdomen-pelvis -
12.41 +/- 0.79/35.63 +/- 1.81 mSv. CONCLUSION. Results of this study give an
example of CT dose values and distribution in a multi-field hospital. They are
compa- rable with reference levels published of other authors. This expe- rience
should be expanded for creation of CT national reference values and for co
operation with international initiatives (EUROSAFE projects).
PMID- 27192773
TI - [Prostate biopsy under magnetic resonance imaging guidance].
AB - Prostate cancer (PC) is one of the most important problems in modern oncology.
According to statistical data, PC ranks second in the cancer morbidity structure
in the Russian Federation and developed countries and its prevalence has been
progressively increasing over the past decade. A need for early diagnosis and
maximally accurate morphological verification of the diagnosis in difficult
clinical cases (inconvenient tumor location for standard transrectal biopsy;
gland scarring changes concurrent with prostatitis and hemorrhage; threshold
values of prostate-specific antigen with unclear changes in its doubling per unit
time; suspicion of biochemical recurrence or clinical tumor progression after
special treatment) leads to revised diagnostic algorithms and clinically
introduced new high-tech invasive diagnostic methods. This paper gives the first
analysis of literature data on Russian practice using one of the new methods to
verify prostate cancer (transrectal prostate cancer under magnetic resonance
imaging (MRI) guidance). The have sought the 1995-2015 data in the MEDLINE and
Pubmed.
PMID- 27192774
TI - CLINICAL ANALYSIS OF OSTEOARTICULAR NONTUBERCULOUS MYCOBACTERIAL INFECTION.
AB - OBJECTIVE: The incidence rate of nontuberculous mycobacterial (NTM) infection has
been increasing globally in recent years. However, reports of osteoarticular NTM
infection are relatively rare. We report the characteristic clinical features of
patients with osteoarticular NTM infection. PATIENTS AND METHODS: We examined 14
patients with osteoarticular NTM infection (mean age, 68 years) were treated in
our hospital in the 20 years between 1995 and 2015. RESULTS: The rate of
osteoarticular NTM infection in whole osteoarticular infection during the same
period in our hospital was 2.7%. The NTM species. isolated from the 14 patients
included Mycobacterium avium (n = 7), M. intracellulare (n = 5), M. fortuitum (n
= 1), and M. kansasii (n = 1). Twelve patients had spinal involvements, and their
levels were categorized as thoracic (n = 3), lumbar (n = 4), thoracolumbar (n =
1), and cervicothoracic (n = 4), with an average number of affected vertebra of
4.4. Nine patients had pulmonary lesions, including fibrocavitary (n = 5) and
nodular/ bronchiectatic types (n = 4). Nine patients had lesions in sites other
than the spinal and pulmonary regions, including the skin (n = 6), rib (n = 2),
ilium (n = 2), humerus (n = 2), ulna (n = 1), wrist (n = 1), knee joint (n = 1),
femur (n = 2), tibia (n = 1), toe (n = 1), and kidney (n = 1). In the initial
examination, 11 patients were misdiagnosed, which delayed the final diagnosis in
7 patients. Six patients received chemotherapy with rifampicin, ethambutol, and
clarithromycin, and 8 patients received other macrolide-based therapy. Five
patients underwent surgical treatments in former hospitals, and 8 patients
underwent surgical treatments (including salvage surgeries) in our hospital. With
regard to outcome, 9 patients achieved healing, 2 patients with relapse were
healed after retreatment, 1 patient was undergoing treatment, 1 patient had
interrupted treatment, and 1 patient died during the treatment period.
DISCUSSION: Osteoarticular NTM infection presented widely spread lesions in the
spine and other various locations, mostly developed as a part of disseminated
infection. Most patients were aged or immunosuppressed, but some patients were
healthy individuals with no relevant medical history. Thus, if a patient is
diagnosed with disseminated NTM infection, examination for possible lesions in
other sites, including the bone and joint, should be performed. Cutaneous
lesions, including subcutaneous abscess, were also characteristic. It is worth
mentioning that such lesions can develop even under chemotherapy. Given the
increasing trend in the overall incidence of NTM infection, awareness that NTM is
a causative organism of osteoarticular infection is important.
PMID- 27192775
TI - ASSOCIATION BETWEEN A pMAH135 PLASMID AND THE PROGRESSION OF PULMONARY DISEASE
CAUSED BY MYCOBACTERIUM AVIUM.
AB - BACKGROUND: Pulmonary disease caused by nontuberculous mycobacteria has a
variable clinical course. Although this is possibly the result of not only host
factors, but also bacterial factors, many questions remain to be answered
regarding these manifestations. METHODS: To assess the relationship between the
progression of pulmonary Mycobacterium avium disease and bacterial factors we
performed variable number tandem repeats (VNTR) typing analysis of M. avium
tandem repeats (MATR) in M. avium isolates from 46 patients with different
clinical courses, and furthermore, examined the association between disease
progression and a pMAH135 plasmid derived from M. avium. RESULTS: In patients
whose treatment was initiated because of worsenedchest radiograph findings and/or
clinical symptoms within 18 months after being diagnosed with pulmonary M. avium
disease, the detection rate of 6 genes located in pMAH135 was 35.3-47.1% for 17
isolates. However, in untreated patients with a stable condition, these rates
were 10.3-13.8% in 29 isolates. MATR-VNTR typing analysis showed that isolates
from patients with worsened disease and those with stable disease are clustered
differently. In cluster III, the number of isolates from patients with worsened
disease was higher than that from patients with stable disease (p = 0.019), and
furthermore, the number of isolates carrying pMAH135 genes was higher than that
not carrying pMAH135 genes (p <= 0.001). CONCLUSION: These results indicate an
association between the progression of pulmonary M. avium disease and pMAH135.
The presence of pMAH135 genes might be a useful prognostic indicator for
pulmonary M. avium disease and may serve as one criterion for treatment
initiation.
PMID- 27192776
TI - TUBERCULOSIS AND LUNG CANCER.
AB - The occurrence of pulmonary tuberculosis (PTB) and lung cancer as comorbidities
has been extensively discussed in many studies. In the past, it was well known
that lung cancer is a specific epidemiological successor of PTB and that lung
cancer often develops in scars caused by PTB. In recent years, the relevance of
the two diseases has drawn attention in terms of the close epidemiological
connection and chronic inflammation-associated carcinogenesis. In Japanese case
series studies, most lung cancer patients with tuberculous sequelae received
supportive care alone in the past, but more recently, the use of aggressive lung
cancer treatment is increasing. Many studies on PTB and lung cancer as
comorbidities have revealed that active PTB is noted in 2-5% of lung cancer
cases, whereas lung cancer is noted in 1-2% of active PTB cases. In such
instances of comorbidity, many active PTB cases showed Type II (non-extensively
cavitary disease) and Spread 2-3 (intermediate-extensive diseases) on chest X
rays, but standard anti-tuberculosis treatment easily eradicates negative
conversion of sputum culture for M. tuberculosis; lung cancer cases were often
stage III- IV and squamous cell carcinoma predominant, and the administration of
aggressive treatment for lung cancer is increasing. The major clinical problems
associated with PTB and lung cancer as comorbidities include delay in diagnosis
(doctor's delay) and therapeutic limitations. The former involves two factors of
radiographic interpretation: the principles of parsimony (Occam's razor) and
visual search; the latter involves three factors of lung cancer treatment:
infectivity of M.tuberculosis, anatomical limitation due to lung damage by
tuberculosis, and drug-drug interactions between rifampicin and anti-cancer
drugs, especially molecularly targeted drugs. The comorbidity of these two
diseases is an important health-related issue in Japan. In the treatment of PTB,
the possibility of concurrent lung cancer should be kept in mind, while in the
treatment of lung cancer, the possibility of concurrent PTB should also be
considered.
PMID- 27192777
TI - COLLECTIVE VORTEX BEHAVIORS: DIVERSITY, PROXIMATE, AND ULTIMATE CAUSES OF
CIRCULAR ANIMAL GROUP MOVEMENTS.
AB - Ant mill, caterpillar circle, bat doughnut, amphibian vortex, duck swirl, and
fish torus are different names for rotating circular animal formations, where
individuals turn around a common center. These "collective vortex behaviors"
occur at different group sizes from pairs to several million individuals and have
been reported in a large number of organisms, from bacteria to vertebrates,
including humans. However, to date, no comprehensive review and synthesis of the
literature on vortex behaviors has been conducted. Here, we review the state of
the art of the proximate and ultimate causes of vortex behaviors. The ubiquity of
this behavioral phenomenon could suggest common causes or fundamental underlying
principles across contexts. However, we find that a variety of proximate
mechanisms give rise to vortex behaviors. We highlight the potential benefits of
collective vortex behaviors to individuals involved in them. For example, in some
species, vortices increase feeding efficiency and could give protection against
predators. It has also been argued that vortices could improve collective
decision-making and information transfer. We highlight gaps in our understanding
of these ubiquitous behavioral phenomena and discuss future directions for
research in vortex studies.
PMID- 27192778
TI - INSURMOUNTABLE HEAT: THE EVOLUTION AND PERSISTENCE OF DEFENSIVE HYPERTHERMIA.
AB - Fever, the rise in body temperature set point in response to infection or injury,
is a highly conserved trait among vertebrates, and documented in many arthropods.
Fever is known to reduce illness duration and mortality. These observations
present an evolutionary puzzle: why has fever continued to be an effective
response to fast-evolving pathogenic microbes across diverse phyla, and probably
over countless millions of years? Framing fever as part of a more general thermal
manipulation strategy that we term defensive hyperthermia, we hypothesize that
the solution lies in the independent contributions to pathogen fitness played by
virulence and infectivity. A host organism deploying defensive hyperthermia
alters the ecological environment of an invading pathogen. To the extent that the
pathogen evolves to be able to function effectively at elevated temperatures, it
disadvantages itself at infecting the next (thermonormative) host, becoming more
likely to be thwarted by that host's immune system and outcompeted by wild
ecotype conspecifics (a genetically distinct strain adapted to specific
environmental conditions) that, although more vulnerable to elevated
temperatures, operate more effectively at the host's normal temperature. We
evaluate this hypothesis in light of existing evidence concerning pathogen
thermal specialization, and discuss theoretical and translational implications of
this model.
PMID- 27192779
TI - HOW MATE AVAILABILITY INFLUENCES FILIAL CANNIBALISM.
AB - Parents sometimes eat their young to reduce the consequences of brood
overcrowding, for nutritional gain, and/or to redirect investment toward future
reproduction. It has been predicted that filial cannibalism should be more
prevalent when mate availability is high as parents can more easily replace
consumed young. Reviewing the available evidence--which comes almost exclusively
from studies of paternal caring fish--we find support in some species, but not
others. To explain this, we hypothesize that sexual selection against filial
cannibalism and/or the tendency to acquire larger broods under conditions of high
mate availability discourages filial cannibalism. Additionally, filial
cannibalism might occur when mate availability is low to facilitate survival
until access to mates improves. Since attractiveness can also influence remating
opportunities, we review its effect on filial cannibalism, finding that
attractive parents engage in less filial cannibalism. More research is needed to
determine if this relationship is a result of individuals showing adaptive
plasticity in filial cannibalism based on self-perceived attractiveness, or if
the attractiveness of individuals is reduced by their propensity to commit filial
cannibalism. More generally, to advance our understanding of how mate
availability influences filial cannibalism, future studies should also focus on a
wider range of taxa.
PMID- 27192780
TI - The ODA as asking Oklahomans: Is Your Dentist an ODA Member?
PMID- 27192781
TI - Oklahoma Dental Political Action Committee Update.
PMID- 27192782
TI - ODA Hero of Dentistry Creed Cardon, Tulsa, OK.
PMID- 27192783
TI - Building a Community of Dental Homes for Children.
PMID- 27192784
TI - The Hygienist's Role In Comprehensive Case Presentation & Patient Education.
PMID- 27192785
TI - Dental Sealant Pilot Program Serves At-Risk Students On-site.
PMID- 27192787
TI - [Management of Common-law Marriage in Hospitalization for Medical Care and
Protection Procedure after Abolishment of Guardianship System].
PMID- 27192788
TI - [Suicide Prevention and Mental Health Measures for Japanese University Students].
AB - According to the nationwide survey of the National University students in Japan,
the annual suicide rate in 2012 was 15.7 per 100,000 undergraduate students. In
many universities, suicide prevention is an important issue regarding mental
health measures, and each university is actively examining this. The current
situation concerning measures for suicide prevention in the Japanese National
Universities was investigated in 2009. In 2010, the "college student's suicide
prevention measures guideline, 2010" was established based on the results of this
investigation. This guideline refers to the basic philosophy of suicide
prevention in Chapter 1, risk factors for suicide in Chapter 2, and systems and
activities for suicide prevention in Chapter 3. The Health Service Center,
Okayama University plays central roles in mental health and suicide prevention
measures on the Medical Campus. The primary prevention includes a mini-lecture on
mental health, classes on mental health, and periodic workshops and lectures for
freshmen. The secondary prevention includes interviews with students with mental
health disorders by a psychiatrist during periodic health check-ups and
introducing them to a hospital outside the university. The tertiary prevention
includes support for students taking a leave of absence to return to school,
periodic consultation with such students with mental disorders, and postvention
following a suicide. We believe that for mental health measures on the university
campus, it is important to efficiently make use of limited resources, and that
these efforts will eventually lead to suicide prevention.
PMID- 27192786
TI - [Identification of Psychotropic Drugs Attributed to Fatal Overdose--A Case
control Study by Data from the Tokyo Medical Examiner's Office and
Prescriptions].
AB - Drug overdose is a serious public health issue and fatal cases have been reported
from various fields of medicine. This case-control analysis assessed the
comparison between fatal overdose cases in the special wards of Tokyo
Metropolitan area and prescribed psychotropic drugs in Tokyo in 2009-2010. It was
suggested that the prescribed drugs serve as a direct cause of death in overdose
cases. Furthermore, pentobarbital calcium, chlorpromazine-promethazine
phenobarbital, levomepromazine and flunitrazepam were identified as drugs with a
high risk of fatal overdose. It is encouraged to prudently verify the intended
application and usage of such psychotropic drugs in each case upon their
prescription. This is the first study in Japan to identify psychotropic drugs
with a high risk of fatal overdose by case-control study.
PMID- 27192789
TI - [Designing and Operating a Comprehensive Mental Health Management System to
Support Faculty at a University That Contains a Medical School and University
Hospital].
AB - In Japan, healthcare professionals and healthcare workers typically practice a
culture of self-assessment when it comes to managing their own health. Even where
this background leads to instances of mental health disorders or other serious
problems within a given organization, such cases are customarily addressed by the
psychiatrists or psychiatric departments of the facilities affected. Organized
occupational mental health initiatives for professionals and workers within the
healthcare system are extremely rare across Japan, and there is little
recognition of the need for such initiatives even among those most directly
affected. The author has some experience designing and operating a comprehensive
health management system to support students and faculty at a university in the
Tokyo Metropolitan Area that contains a medical school and university hospital.
At this university, various mental health-related problems were routinely being
allowed to develop into serious cases, while the fundamental reforms required by
the health management center and the mental health management scheme organized
through the center had come to represent a challenge for the entire university.
From this initial situation, we undertook several successive initiatives,
including raising the number of staff in the health management center and its
affiliated organizations, revising and drafting new health management rules and
regulations, launching an employment support and management system, implementing
screenings to identify people with mental ill-health, revamping and expanding a
counselling response system, instituting regular collaboration meetings with
academic affairs staff, and launching educational and awareness-raising
activities. This resulted in the possibility of intervention in all cases of
mental health crisis, such as suicidal ideation. We counted more than 2,400
consultations (cumulative total number; more than half of consultations was from
the medical school, postgraduate medical course, or hospitals) on a campus
comprising 8,700 people, in which our problem-solving approach was able to
achieve a certain degree of success in a majority of cases. Amid the increasing
prevalence of mental ill-health and signs of worsening mental health problems in
all areas of society, I look forward to the establishment of occupational mental
health systems that are suited to medical institutions.
PMID- 27192790
TI - [Mental Health and Prevention of Suicide in Japanese Workplaces Based on a Pilot
Study of Job Stress and Suicide Ideation].
AB - The Japanese suicide rate is still high compared with other countries. Worker
suicide especially leads to marked social and economic losses and severely
affects the bereaved. There is an urgent need to devise a system to prevent
suicide at a very early stage. Generally, it is considered very difficult to
intervene and prevent suicide in cases in which individuals kill themselves
suddenly. However, according to some studies on suicide attempts, even those who
killed themselves suddenly had experienced some kind of conflict or a desire to
die for a long period. Therefore, it is essential to analyze the risk factors at
an early stage when individuals have vague thoughts of suicide. This will help
reduce the risk of suicide in such cases. In this article, I first survey the
data related to workers' mental health in Japan. Second, I introduce the results
of our pilot study in which we investigated mental health issues related to
suicide among workers who have taken leave from work for more than two months. In
this study, workers who do not exhibit help-seeking behavior are suggested to be
a high-risk group for suicide. It is speculated that this behavior is related to
several factors such as the sex, age, social status, education, personal stigma,
and perceived stigma. Therefore, we must focus on both clinical and social
solutions for the prevention of suicide. I believe that psychiatrists will come
to play a more important role as liaisons between workplaces and social resources
for the prevention of suicide.
PMID- 27192791
TI - [National Guidelines and Useful Guidance for Psychiatrists When Cooperating with
Companies].
AB - Good coordination between the principal doctor and companies will benefit workers
with mental health disorders, and for that reason a common understanding is
required. Companies seeks to preserve a workers' health from the viewpoint of
obligation of security, while "caseness", being the trouble in the companies, is
undesirable from the viewpoint of risk management. The principal doctor needs to
understand that the companies reaction sets the caseness above the illness. There
are various national guidelines and forms of guidance for workers' mental
health."Guidelines for the Promotion of Workers' Mental Health at Work"was
indicated in 2000 for the development of an organizational framework, and the
"Manual on Workplace Reentry Support for Workers Returning from Leave Due to
Mental Health Issues" was indicated in 2004. "Certification Criteria for Mental
Disorders Caused by Psychological Stress" was also indicated in 2011 and, in
which, harassment was recognized as an injury of workers. In many cases,
companies consider employees with mental health disorders with these guidelines
and guidance in mind to avoid lawsuits, and principal doctors are similarly
expected to share a common understanding, promoting favorable coordination.
PMID- 27192792
TI - [The Utility of XE-2 100 Analyzer's NEUT-X and NEUT-Y Parameters for Detecting
Neutrophil Dysplasia in Myelodysplastic Syndromes].
AB - Morphological abnormalities of blood cells are the typical characteristics of
myelodysplastic syndromes (MDS). Recently, the usefulness of multiparameter
automatic hematology analyzer XE-2100 for detecting neutrophil dysplasia has been
reported by using parameters of NEUT-X and NEUT-Y, reflecting neutrophil
cytoplasmic granularity and the cellular content of nucleic acid and protein,
respectively. We evaluated the utility of these parameters by analyzing the blood
samples of fifty MDS patients consulting Kakogawa West Municipal Hospital between
Jan, 2010 and Jun, 2014, as well as 100 persons undergoing medical examinations
during the same period as controls. Neutrophil granulation level was classified
as normal, hypo-granular, or agranular under microscopic observation, and
degranulation index was calculated using the following formula. The relationship
between NEUT-X, NEUT-Y values and degranulation index was studied as below.
Degranulation index = agranular (%) x 2+ hypo-granular (%) x 1 + normal (%) x 0
Neut-X values of MDS patients were 1,350 (mean), 1,345 (median), and NEUT-Y
values, 430 (mean) and 432 (median). The NEUT-X and NEUT-Y values of control
patients were 1,350, 1,349, 446 and 445, respectively. Correlation efficiency
between degranulation index and NEUT-X or NEUT-Y were r = 0.62 or 0.52,
respectively. Relationship between NEUT-X and NEUT-Y for all patients was r =
0.90. All the 10 patients showing NEUT-X lower than 1,315 and NEUT-Y lower than
400 simultaneously were MDS. Hence, we conclude that NEUT-X and NEUT-Y
information is useful for quantitative evaluation of neutrophil morphological
abnormalities.
PMID- 27192793
TI - [Study of Somatosensory Event-Related Potential in Mood Disorder].
AB - There have been a number of inconsistent previous reports indicating that mood
disorder patients are relatively sensitive or conversely insensitive to physical
pain. However, there have been few such studies examining pain using
neurophysiological techniques. The present study was performed to investigate the
differences in tactile sense recognition between mood disorder patients and
control subjects using a tactile sense recognition P300 measuring system. Tactile
stimuli were delivered to the index finger as frequent stimuli and to the ring
finger as infrequent stimuli. Subjects were requested to press a button promptly
and accurately in response to infrequent stimuli. N140 and P300 were measured in
the event-related potential to infrequent stimulus paradigm. The subjects
evaluated stimuli on a visual analog scale (VAS). The amplitudes of P300 were
smaller for the mood disorder patients than for control subjects. The VAS scores
of mood disorder patients were significantly higher than those of control
subjects. In mood disorder patients, the amplitude of P300 was negatively
correlated with the HAM-D score. These results suggest dysfunction of tactile
sense recognition in mood disorder patients. In addition, the amplitude of P300
may be useful as a biological marker for psychological conditions in mood
disorder patients.
PMID- 27192794
TI - [Multivariate Analyses on Clinical Utility and Sources of Variation of Serum
Presepsin as a Diagnostic Marker for Sepsis].
AB - OBJECTIVE: Clinical utility of a new marker for sepsis, presepsin, was evaluated
by use of a case-control study design. METHOD: Enrolled in the study were seventy
one consecutive cases for whom blood culture was ordered in suspicion of sepsis.
After the culture, 36 subjects were diagnosed as having a state of sepsis (S
group) and 35 were denied of sepsis (NS group). The serum level of presepsin was
measured together with basic chemistry tests and complete blood counts at the
time of diagnosis. RESULTS: Median serum presepsin for the two groups were 1,602
and 586 pg/mL, respectively. The difference was significant by Mann-Whitney test
(P < 0.001). Logistic regression analysis was performed to evaluate contribution
of presepsin in diagnosing sepsis in comparison with other markers for septic
state. The result showed that presepsin was most powerful in predicting sepsis
together with monocyte count percent (Mo). The diagnostic accuracy by use of
logistic equation including both presepsin and Mo was 0.86 in terms of area under
ROC curve (AUC), whereas AUC by use of an equation with presepsin alone was 0.80.
Additionally, multiple regression analysis was performed to evaluate sources of
variation of presepsin. It revealed that serum albumin and eGFR were negatively
associated with serum level of presepsin. CONCLUSION: It is recommended to look
at Mo together with presepsin in the diagnosis of sepsis. Serum level of
presepsin is raised in the presence of renal dysfunction and/or hypoalbuminemia.
PMID- 27192796
TI - [The Role of Anti-Oxidative Stress in HDL].
AB - High-density lipoprotein(HDL) particles play a role in anti-oxidative stress, and
this action is partially involved in the anti-atherosclerotic processes. Indeed,
a decreased level of anti-oxidative stress in HDL has been reported in oxidative
stress-related pathologies. As typical HDL-containing protein molecules,
apolipoprotein A-I (apoA-I), paraoxonase-1 (PON1), and lipoprotein-associated
phospholipase A2 (Lp-PLA2) are mainly described in the present review. Numerous
studies have demonstrated that a low apoA-I level and the oxidative modification
of apoA-I is associated with a dysfunction of anti-oxidative stress in HDL. A low
PON1 level also plays a significant role in the dysfunction of anti-oxidative
stress in HDL. Several studies have shown Lp-PLA2 to have an anti-atherosclerotic
ability, while there have also been clinical studies showing a positive
association between the Lp-PLA2 level and atherosclerotic manifestations. There
are other HDL-containing protein molecules related to the anti-oxidative stress
system in HDL, and all of these should be further investigated. The treatment of
oxidative stress-related pathologies can lead to an improvement or even a full
recovery of the anti-oxidative stress function in HDL. Given the multiple
functions of HDL particles and the limitations associated with only HDL
cholesterol measurements, a comprehensive assessment of HDL particles, which
includes the role of anti-oxidative stress in HDL, is thus considered to be
necessary in future practice.
PMID- 27192795
TI - [Introduction].
AB - It is well-known that plasma HDL-C shows a negative correlation with the
incidence of coronary artery disease, which was confirmed by the Framingham
Study, a famous prospective cohort study, in 1977. At first, HDL-C was determined
by the precipitation method, and then the homogeneous method of HDL-C
determination was developed in the 1990's in Japan. This method enabled HDL-C
measurement in a short time for many samples. HDL removes free cholesterol from
somatic cells by accepting cell cholesterol via ATP-binding cassette transporter
A1. Cholesterol ester in HDL is transferred to VLDL and LDL by the action of
cholesterol ester transfer protein or is incorporated into the liver via SR-BI.
This pathway is called reverse cholesterol transport, which can regress
atheromatous plaques. On the other hand, some CETP inhibitors, which can increase
the HDL-C level have been developed in the world. However, the development of all
candidate drugs was stopped because of side or insufficient effects. In addition,
patients with CETP deficiency, whose HDL-C levels are markedly high, sometimes
show the findings of coronary artery disease. These observations indicate that
elevating HDL-C levels alone may not lower the cardiovascular disease risk.
Recently, it was reported that HDL has pleiotropic functions other than reverse
cholesterol transport. Actually, HDL inhibits lipid oxidation, impairs leukocyte
adhesion and monocyte activation, promotes nitric oxide production, and inhibits
the activation of platelets and the coagulation cascade. Functional
characterization of HDL is, therefore, necessary for precise assessment of the
cardiovascular risk and effectiveness of risk reduction.
PMID- 27192797
TI - [Molecular Mechanism and Evaluation Method for Anti-Inflammatory HDL].
AB - Plasma concentrations of high-density lipoprotein cholesterol (HDL-C) are
inversely correlated with the risk of coronary artery disease (CAD). The
cardioprotective effect of HDL is attributable to its reverse cholesterol
transport capacity from peripheral cells to the liver. HDL has a variety of anti
inflammatory, anti-oxidative, and anti-apoptotic properties. However, recent
interventional therapies using CETP inhibitors or niacin did not prove to be of
benefit in the reduction of cardiovascular risks. This discrepancy is often
explained by the quality of HDL particles. HDL particles undergo oxidation,
chloralization, nitration, and calbamilation, under conditions due to
inflammatory or metabolic disorders. HDL particles with these modifications may
lose their atheroprotective effects and promote inflammatory processes, being
referred to as dysfunctional HDL. HDL consists of a variety of phospholipids and
proteins such as apolipoprotein A-I and paraoxonase-1. Because these components
in the HDL particle regulate anti-atherosclerotic effects, the significance of
HDL should be evaluated based on the HDL function. Reliable assays and surrogate
markers of HDL function will be useful for evaluating the efficacy of HDL
targeted interventions against atherosclerosis. In this review, we summarized the
mechanism of anti-inflammatory effects on HDL and assays for evaluating HDL
functions.
PMID- 27192798
TI - [Role of HDL in Cholesterol Efflux and Reverse Cholesterol Transport].
AB - Low plasma levels of HDL-cholesterol (HDL-C) have been consistently associated
with an increased risk of atherosclerotic cardiovascular diseases (CVD), and it
is thus considered to be an anti-atherogenic lipoprotein. The development of
novel therapies to enhance the atheroprotective properties of HDL may have the
potential to further reduce the residual risk. Reverse cholesterol transport
(RCT) is believed to be a primary atheroprotective property of HDL and its major
protein, apolipoprotein A-I(apoA-I). HDL and apoA-I have been shown to promote
the efflux of excess cholesterol from macrophage-derived foam cells via the
cholesterol transporters, ATP-binding cassette transporter A1 (ABCA1), ABCG1, and
scavenger receptor class B, type I (SR-BI), and then transport it back to the
liver for excretion into bile and eventually into the feces. In this regard, a
validated murine assay that quantifies macrophage RCT may be a better predictor
of atherosclerosis than the steady-state plasma concentration of HDL-C. Indeed, a
recent clinical study demonstrated that the ability of serum HDL to mediate
cholesterol efflux from macrophages was independently and negatively associated
with the CVD risk even after adjustment for HDL-C levels, suggesting that HDL
functionality is more important than its quantity. Therefore, the future
development of HDL-targeted therapy should take both aspects into consideration
to further reduce the residual risk.
PMID- 27192799
TI - [Anti-Thrombotic Properties of High-Density Lipoprotein].
AB - Along with the established evidence on the association between a low HDL
cholesterol level and arterial thrombosis, several limited studies have suggested
that low HDL cholesterol is also a risk factor for venous thrombosis, such as
deep vein thrombosis and pulmonary embolism. As well as emerging evidence from
clinical studies, basic research has proposed the interaction between HDL and key
molecules in the field of thrombosis and hemostasis; HDL interacts with
megakaryocytes to affect the production of platelets, suppresses the aggregation
of platelets, protects against the injury of endothelial cells, and inhibits
coagulation and fibrinolysis cascades. Considering these reports together, HDL
can possess anti-thrombotic properties as one of its pleiotropic effects other
than its role in the reverse transport system of cholesterol. Further study on
the basic mechanism of this property of HDL and medical applications are expected
to lead to the development of novel anti-thrombotic reagents and new laboratory
tests for thrombosis and hemostasis in the future.
PMID- 27192800
TI - [Sex Specificity in Age-Related Thyroid Hormone Responsiveness].
AB - Similar to other systems, the endocrine system is affected by aging. Thyroid
hormone, the action of which is affected by many factors, has been shown to be
associated with longevity. The most useful marker for assessment of the thyroid
hormone action is the TSH level. Although age and sex are believed to modify the
pituitary set point or response to the free thyroid hormone concentration, the
precise age- and sex-dependent responses to thyroid hormone have yet to be
reported. In this lecture, molecular aspects of resistance to thyroid hormone are
initially overviewed. After presentation of the evidence that the TSH-thyroid
hormone axis is evolutionarily modified, and that negative feedback mechanisms
may start to play roles in homeostatic regulation at the time of delivery, the
rationale of age-dependent thyroid hormone resistance is introduced. To assess
the age- and sex-dependent resistance to thyroid hormone, the index is provided
by the formula based on the relationship between thyroid hormone and TSH levels.
The index is calculated by the results of thyroid function tests obtained from
the two individual clinical groups. From the results, there were negative
relationships between the free T3 resistance index and age in males of both
groups, while there were no apparent relationships in females. These findings
indicate that there is a male-specific response to thyroid hormone with aging.
Furthermore, the specific features of the response may not be affected by
environmental factors such as the presence of disorders or medical treatments.
PMID- 27192801
TI - [Human Resource Development for Tohoku Region after Great East Japan Earthquake:
Remarks of the Chairperson].
AB - In order to promote further advances of medical systems in the Tohoku region
where the Great East Japan Earthquake and the subsequent accident at the
Fukushima Dai-ichi Nuclear Power Plant occurred, the requirement of human
resources in clinical laboratory medicine has increased. Therefore, the symposium
entitled "Human resource development for Tohoku region after Great East Japan
Earthquake" was held in The 47th Tohoku Regional Congress of Japanese Society of
Laboratory Medicine. In Fukushima Prefecture, the Thyroid Ultrasound Examination
program has been conducted since Oct. 2011. Educational courses and certification
programs for thyroid ultrasound examiners were established for medical doctors
and technologists in Fukushima. The need for certified sonographers has also
increased because deep venous thrombosis is also one of the health problems in
the earthquake-hit area. Human resource development of sonographers was discussed
in this symposium. In addition, further advances in clinical laboratory medicine
are dependent on the development of specified medical technologists and certified
physicians. Projects of human resource development currently performed in the
Tohoku region were introduced and future actions were discussed.
PMID- 27192802
TI - [Human Resource Development for Thyroid Ultrasound Examination Program in
Fukushima].
AB - As a response to the health effects associated with the Fukushima Daiichi Nuclear
Power Plant accident that occurred after the Great East Japan Earthquake, the
Thyroid Ultrasound Examination program as a part of the Fukushima Health
Management Survey was started on Oct. 9th, 2011. Since this project required a
large-scale cohort comprising all residents aged <= 18 years living in Fukushima
when the earthquake happened, the nurturing of many ultrasound examiners was a
matter of great urgency. Moreover, the standardization of examination procedures
and skills of examiners were also important issues. Therefore, educational
projects were established to develop ultrasound skills for medical doctors and
technicians in Fukushima Prefecture. Marked efforts for this project resulted in
increases in ultrasound examiners and institutions taking part in the Thyroid
Ultrasound Examination project. Medical technicians specialized in ultrasound
examinations are actively involved in these educational projects. We report the
details of human resource development projects from the point of view of such
medical technicians.
PMID- 27192803
TI - [Viewpoint: Skill Certifications for Japanese Medical Technologists].
AB - With the development of medicine, the field of clinical laboratory medicine
evolves rapidly, and it will be more specialized in the near future. Medical
technologists are required to hone their skills and knowledge, in order to keep
up with the evolution. In recent years, board certifications by several medical
societies are considered to indicate the skills of medical technologists. The
number of board-certified medical technologists in populated areas such as Tokyo,
Kanagawa, Osaka, and Fukuoka is greater than in less populated areas such as
Kyusyu and Tohoku. The rate of certified medical technologists among prefectures
is the highest in Mie (10.1%), followed by Nagasaki (8.8%). Tokyo, Ishikawa,
Kyoto, and Osaka have acquisition rates greater than 7%. In contrast, prefectures
of Miyazaki, Kumamoto, Yamanashi, and Akita have low acquisition rates of less
than 4%. Being certified is not only an opportunity for personal career
advancement, but also a chance to improve the laboratory. More technologists are
being certified in our laboratory, and we are encouraging a future increase in
their number. However, there are some problems to be overcome. Assignment of
competent staff and long-term and premeditated rotation are considered to be
important for staff to find the work rewarding, and the laboratory to be trusted
by physicians.
PMID- 27192804
TI - [Industry-Academia Collaboration in the Clinical Laboratory Field: Chairmen's
Introductory Remarks].
AB - Industry-academia collaboration has become essential in contemporary medicine.
Therefore, many institutes including university corporations have promoted the
establishment of an endowed chair and/or performed collaborative research. This
symposium was held to overview the present status of industry-academia
collaboration in the clinical laboratory field. As a representative of the
industry, Mr. Taniguchi (Sysmex) presented the development process of M2BP
Glycosylation Isomer, a new marker for liver fibrosis. Mr. Saitoh (Horiba)
introduced the achievements of joint collaborative research with Kyoto
Prefectural University of Medicine, especially the practical realization of an
automated hematology analyzer capable of simultaneously measuring C-reactive
protein. Mr. Setoyama (LSI Medience) presented on the characteristic
collaboration between academia and commercial laboratories such as Tsukuba
Medical Laboratory of Education and Research (TMER). On the other hand, as a
representative of academia, Associate Prof. Imai (Kyoto Prefectural University of
Medicine) summarized the necessity of clinical laboratories spread regenerative
medicine. Finally, Prof. Koshiba (Hyogo College of Medicine) presented on the
industry-academia collaboration in routine laboratory work in his institute.
PMID- 27192807
TI - Healthcare and self-care dovetail as themes at business conference.
PMID- 27192805
TI - [Experience of Collaborative Research through Department of Medical Instrumental
Research and Technology in Kyoto Prefectural University of Medicine].
AB - Both of Kyoto Prefectural University of Medicine which offers high, technical and
safe medical treatment and Horiba, Ltd. which has small CBC analyzers in a core
product established a joint research institute for development of advanced
laboratory test analyzer from January 1, 2012 in Kyoto Prefectural University of
Medicine as the "advanced treatment hospital" where the Ministry of Health,
Labour and Welfare has got approved. Clinical needs about analyzer and reagent
for a laboratory test are being investigated to the emergency medical care unit
and the intensive care unit as well as the laboratory test part in the affiliated
hospital and many medical departments of the pediatrics, the internal medicine
and the surgery. Developing the new analyzer based on high technology, evaluating
the performance of them and spreading them to a medical examination and treatment
site is our main target.
PMID- 27192808
TI - OR Manager Conference keynote makes compelling case for compassion in patient
care.
PMID- 27192809
TI - ERAS improves outcomes, shortens length of stay.
PMID- 27192810
TI - Committee saves over $1 million through cost conscious initiatives.
PMID- 27192811
TI - Post-OR career path may lead to business opportunity--Part 2.
PMID- 27192812
TI - Two hospitals face value-based payment--which one does your OR resemble?
PMID- 27192813
TI - Ophthalmic ASCs have eyes on the prize as the specialty expands.
PMID- 27192814
TI - [ADULT STEM CELLS AND CELLS OF MALIGNANT ORIGIN. PART II].
AB - Recent data on adult stem cells are reviewed. According to the present dominant
paradigm, it is most probable that cancer predisposition arises or cancer is
initiated in these cells.
PMID- 27192815
TI - [ASSOCIATION BETWEEN FOUR SEROTONIC GENES POLYMORPHISM (5HTTL, 5HT1A, 5HT2A, AND
MAOA) AND PERSONALITY TRAITS IN WRESTLERS AND CONTROL GROUP].
AB - This study presents the data on the polymorphisms of the serotonin system genes
(5-HTTL, 5-HT1A, 5-HT2A, and MAOA) in male and female wrestlers and in the
control group. The population genetics analysis of the 5HTTL gene showed the
highest frequency of the SS genotype 5-HTTLPR in sportsmen (p = 0.04), as well as
the trend toward higher frequency of united genotypes of the locus of 5-HTTLPR
VNTR and SNP rs25531--SASA (p = 0.06) in comparison with the control group. As
for the polymorphisms for other genes 5-HT1A (rs6295), 5-HT2A (rs6311), and MAOA
(VNTR), we found no significant differences between the groups tested. Using the
NEO PI-R questionnaire we analyzed the possible correlations between the
genotypes and the psychological traits in our samples. It was demonstrated that
the athletic success in elite sportsmen was associated with lower openness to
experience and higher conscientiousness. The interaction effect of the gender and
5-HT2A on the self-rating for openness to experience, interaction effect of the
level of the sport success and 5-HT2A, and the interaction effect of the gender
and 5-HT1A genotype on self-reported conscientiousness were observed as a trend.
PMID- 27192816
TI - [CITRULLINUREIDASE GENE DIVERSITY IN THE GENUS FRANCISELLA].
AB - This work describes the results, of the in silico analysis of the genetic
diversity of the citrullinureidase gene (ctu) in two species of bacteria of the
genus Francisella: tularensis (ssp. tularensis, holarctica, mediasiatica,
novicida) and philomiragia. The strains of the Central Asiatic subspecies
possessing the citrullinureidase activity differ in the gene ctu from the ssp
tularensis Schu by three nucleotide substitutions leading to two insignificant
amino acid substitutions in the encoded polypeptide. In the strain F. tularensis
of the ssp. holarctica the gene ctu encodes inactive enzyme, which is probably
due to amino acid substitutions: 151 Gly --> Asp, 183 Pro --> Leu, 222 Asp -->
Asn. Except for the Japan biovar bacteria, in all strains of the Holarctic
subspecies there are two stop codons in the gene ctu. The bacteria of the
subspecies novicida contain the ctu gene only in the strain 3523, whereas the
other strains contain the gene FTN_0827 encoding the C-N hydrolase, which
probably provides the citrullinureidase activity.
PMID- 27192817
TI - [A METHOD FOR DIFFERENTIATION OF BACILLUS ANTHRACIS STRAINS AND PHYLOGENETICALLY
RELATED SPECIES BASED ON DETERMINATION OF THE STRUCTURAL DIFFERENCESBETWEEN
CHROMOSOMAL GENES FOR BIOSYNTHESIS OF FLAGELLIN AND METHIONINE].
AB - Nucleotide sequence analysis of several genes responsible for the anthrax
pathogen definitive properties--motility and penicillinase activity--determined a
chromosomal locus promising for interspecies differentiation. We demonstrated
that the gene fliC encoding flagellin synthesis contains extended region,
distinguishing B. anthracis strains from the majority of non-pathogenic and
opportunistic bacilli. A novel method for the anthrax pathogen indication and
identification based on determination of the differences in the chromosomal genes
fliC and hom2 structure was suggested. A total of 60 strains of different
Bacillus spp. (B. anthracis, B. cereus, B. thuringiensis, B. mycoides, B.
megaterium, B. subtilis, etc.) were tested using two chromosomal DNA targets. The
algorithm developed in this work permits to detect the pathogenic microorganism
and reliably differentiate it from other Bacillus spp. representatives. The
introduction of primers complementary to specific sequences of pXO1 and pXQ2
plasmids into the multiplex PCR makes it possible to receive additional
information on proposed virulence of the isolate.
PMID- 27192818
TI - [The Experience of the Identifying Rubella Infection Markers during Local
Outbreaks in Western Siberia].
PMID- 27192819
TI - [ENHANCEMENT OF THE IMMUNE RESPONSE BY CO-DELIVERY OF THE HEPATITIS C VIRUS
RECOMBINANT DNA AND PROTEINS OF REPLI- CATIVE COMPLEX GENETIC VARIANTS OF THE
NOROVIRUS GENOTYPE GII.6].
AB - BACKGROUND: Noroviruses--etiological agents of acute enteric infections, mainly
related to the genotype GII.4. However, other genotypes of the noroviruses also
play an important role in some epidemic seasons or in particular geographic
regions. The norovirus genotype GII.6 has become the second most important
etiologic agent of outbreaks of the norovirus infection after GII.4 in recent
years. OBJECTIVE: To characterize the norovirus genotype GII.6 genetic variants
based on phylogenetic analysis of genome sequences submitted to the databases
GenBank and NoroNet as well as identified in Nizhny Novgorod. MATERIALS AND
METHODS: Norovirus genotype GII.6 circulating with sporadic morbidity that had
caused the outbreak of acute enteric infection in Nizhny Novgorod were identified
by sequencing the region of the genome encoding the N/S-domain of capsid protein
VP1. The comparative phylogenetic analysis of obtained sequences and sequences
available in the international genetic databases was performed using the MEGA 5.2
software package. RESULTS: The presence of three genetic variants of the
noroviruses GII.6 genotype based on capsid protein gene, GII.6a (Seacroft_1990),
GII.6b (Saitama_1997) and GII.6c (Shizuoka 2008), in combination with two
genotypes of the polymerase gene, P6 and P7, was confirmed. It was shown that co
circulation of these variants from the 1970s reflected the differences in
evolution between the minor genotypes of noroviruses and dominant genotype GII.4,
whose new epidemic variants completely replaced the previous for several years.
Noroviruses GII.6 circulating in Nizhny Novgorod and other cities of Russia
belong to genovariants GII.6a and GII.6b. CONCLUSION: The recombinant noroviruses
GII.P7_GII.6c became most widespread in Asia and Europe in recent years. Genetic
variant GII.6c of the norovirus have not been identified in Russia, but we cannot
exclude the possibility of their occurrence as a cause of the outbreaks of acute
enteric infections in this country in the near future.
PMID- 27192820
TI - [TULA HANTAVIRUS IN CRIMEA].
AB - Genetic evidence of the Tula virus (TULV) in Crimea region of Russia is
presented. Based on the reverse transcription PCR and subsequent sequence
analysis, a total of 4 RNA isolates of the TULV were identified from the tissue
samples of the Altai voles Microtus obscurus captured in the Bakhchisaray
district of the Republic Crimea. Phylogenetic analysis of the S-, M-, and L
segment sequences of the Crimean TULV strains showed that they formed distinct
genetic lineage, Russia IV, in the TULV variant. New sequences were most closely
related to the lineage Russia I sequences obtained from common vole (M. arvalis)
captured in the Tula region in Central Russia
PMID- 27192821
TI - [Dynamics of Irreversible Evaporation of a Water-Protein Droplet and a Problem of
Structural and Dynamical Experiments with Single Molecules].
AB - We discuss the effect of isothermal and adiabatic evaporation of water on the
state of a water-protein droplet. The discussed problem is of current importance
due to development of techniques to perform single molecule experiments using
free electron lasers. In such structure-dynamic experiments the delivery of a
sample into the X-ray beam is performed using the microdroplet injector. The time
between the injection and delivery is in the order of microseconds. In this paper
we developed a specialized variant of all-atom molecular dynamics simulations for
the study of irreversible isothermal evaporation of the droplet. Using in silico
experiments we determined the parameters of isothermal evaporation of the water
protein droplet with the sodium and chloride ions in the concentration range of
0.3 M at different temperatures. The energy of irreversible evaporation
determined from in silico experiments at the initial stages of evaporation
virtually coincides with the specific heat of evaporation for water. For the
kinetics of irreversible adiabatic evaporation an exact analytical solution was
obtained in the limit of high thermal conductivity of the droplet (or up to the
droplet size of -100 A). This analytical solution incorporates parameters that
are determined using in silico. experiments on isothermal droplet evaporation. We
show that the kinetics of adiabatic evaporation and cooling of the droplet scales
with the droplet size. Our estimates of the water-protemi droplet. freezing rate
in the adiabatic regime in a vacuum chamber show that additional techniques for
stabilizing the temperature inside the droplet should be used in order to study
the conformational transitions of the protein in single molecules. Isothermal and
quasi-isothermal conditions are most suitable for studying the conformational
transitions upon object functioning. However, in this case it is necessary to
take into account the effects of dehydration and rapid increase of ionic strength
in an aqueous microenvironment surrounding the protein.
PMID- 27192822
TI - [Protein Folding and Stability in the Presence of Osmolytes].
AB - Osmolytes are molecules with the function among others to align hydrostatic
pressure between intracellular and extracellular spaces. Accumulation of
osmolytes occurs in the cell in response to stress caused by pressure change,
change in temperature, pH, and concentration of inorganic salts. Osmolytes can
prevent native proteins denaturation and promote folding of unfolding proteins.
Investigation of the osmolytes effect on these processes is essential for
understanding the mechanisms of folding and functioning of proteins in vivo. A
score of works, devoted to the effect of osmolytes on proteins, are not always
consistent with each other. In this review an attempt was made to systemize
available array of data on the subject and consider the problem of folding and
stability of proteins in solutions in the presence of osmolytes from the single
viewpoint.
PMID- 27192823
TI - [Similarity of Spectral Profiles with Individual Fluorescence Lifetime of
Tryptophan in Proteins of Different Structure].
AB - This work presents the results of the analysis of the fluorescence lifetime of
tryptophan in three proteins: human serum albumin, bovine serum albumin and
bacterial luciferase, containing 1, 2 and 7 tryptophan residues, respectively. It
was shown that for all proteins fluorescence decay can be fitted by three
lifetimes: tau1 = 6-7 ns, tau2 = -2,0-2,3 ns and tau3 <= 0,1 ns (the native
state) and tau1 = 4,4-4,6 ns, tau2 = 1,7-1,8 ns and tau3 <= 0,1 ns (the
denaturated state). It was found that spectral profiles with individual protein
fluorescence lifetime have similar peak wavelength and identical half-width of
the spectrum as in the native state (lambda(max)tau1 = 342 nm, lambda(max)tau2 =
328 nm and lambda(max)tau3 = 3i5 nm), and in the denaturated state
(lambda(max)tau1 = 350 nm, lambda(max)tau2 = 343 nm and lambda(max)tau3 = 317
nm). In addition, the differences in the steady-state spectra of the studied
proteins are caused by the individual ratio of lifetime contributions. The
correlation between. lifetime components and a known classification of the
tryptophan residues in the structure of proteins, under study was performed
within the discrete states model.
PMID- 27192824
TI - [Magnetic Magnesium Isotope Accelerates ATP Hydrolysis Catalyzed by Myosin].
AB - In this paper, we present the results of experimental studies on the influence of
different magnesium isotopes, the magnetic 25Mg and nonmagnetic 24Mg and 26Mg on
ATP activity of the isolated myosin subfragment-1. The reaction rate in the
presence of magetic 25Mg isotope turned out to be 2.0-2.5 times higher than that
using nonmagnetic 24Mg and 2 Mg isotopes. No magnetic isotope effect was observed
in the absence of the enzyme as in spontaneous ATP hydrolysis in aqueous
solution. Hence, a significant catalytic effect of the magnetic 25Mg isotope
(nuclear spin catalysis) was observed in the enzymatic hydrolysis of ATP.
PMID- 27192825
TI - [Structure and Activity of Fungal Lipases in Bile Salt Solutions].
AB - The changes in structure and catalytic properties of fungal lipases (Candida
rugosa, Rhizomucor miehei, Mucor javanicus) were investigated in micellar
solutions of bile salts that differ in hydrophilic-lypophilic balance and
reaction medium properties. The methods of circular dichroism and tryptophan
fluorescence were applied to estimate the changes in peptide structure within
complexes with bile salt micelles. Bile salts do not exert a significant
influence on the structure of the enzymes under study: in Rh. miehei and M.
javanicus lipases the alpha helix content slightly decreased, the influence of
bile salts on the C. rugosa structure was not revealed. Despite negligible
structural modifications in the enzymes, in bile salt solutions a considerable
change in their catalytic properties was observed: an abrupt decrease in
catalytic effectiveness. Substrate-bile salts micelles complex formation was
demonstrated by the NMR self-diffusion method. The model of a regulation of
fungal lipase activity was proposed.
PMID- 27192826
TI - [On a Possible Mechanism of the Effect of Microwave Radiation on Biological
Macromolecules].
AB - A model describing the process of dissociation of hydrogen bonding in water
clusters when irradiated by electromagnetic field in the microwave range is
suggested. The model is also applicable for the case of rupture of the covalent
bond of the water molecule cluster. If the energy absorption occurs at the
interface of water and polymer clusters (e.g., DNA, chitosan), degradation of the
polymer chain is possible.
PMID- 27192827
TI - [Analysis of Conformational Features of Watson-Crick Duplex Fragments by
Molecular Mechanics and Quantum Mechanics Methods].
AB - It is generally accepted that the important characteristic features of the Watson
Crick duplex originate from the molecular structure of its subunits. However, it
still remains to elucidate what properties of each subunit are responsible for
the significant characteristic features of the DNA structure. The computations of
desoxydinucleoside monophosphates complexes with Na-ions using density functional
theory revealed a pivotal role of DNA conformational properties of single-chain
minimal fragments in the development of unique features of the Watson-Crick
duplex. We found that directionality of the sugar-phosphate backbone and the
preferable ranges of its torsion angles, combined with the difference between
purines and pyrimidines. in ring bases, define the dependence of three
dimensional structure of the Watson-Crick duplex on nucleotide base sequence. In
this work, we extended these density functional theory computations to the
minimal' fragments of DNA duplex, complementary desoxydinucleoside monophosphates
complexes with Na-ions. Using several computational methods and various
functionals, we performed a search for energy minima of BI-conformation for
complementary desoxydinucleoside monophosphates complexes with different
nucleoside sequences. Two sequences are optimized using ab initio method at the
MP2/6-31++G** level of theory. The analysis of torsion angles, sugar ring
puckering and mutual base positions of optimized structures demonstrates that the
conformational characteristic features of complementary desoxydinucleoside
monophosphates complexes with Na-ions remain within BI ranges and become closer
to the corresponding characteristic features of the Watson-Crick duplex crystals.
Qualitatively, the main characteristic features of each studied complementary
desoxydinucleoside monophosphates complex remain invariant when different
computational methods are used, although the quantitative values of some
conformational parameters could vary lying within the limits typical for the
corresponding family. We observe that popular functionals in density functional
theory calculations lead to the overestimated distances between base pairs, while
MP2 computations and the newer complex functionals produce the structures that
have too close atom-atom contacts. A detailed study of some complementary
desoxydinucleoside monophosphate complexes with Na-ions highlights the existence
of several energy minima corresponding to BI-conformations, in other words, the
complexity of the relief pattern of the potential energy surface of complementary
desoxydinucleoside monophosphate complexes. This accounts for variability of
conformational parameters of duplex fragments with the same base sequence.
Popular molecular mechanics force fields AMBER and CHARMM reproduce most of the
conformational characteristics of desoxydinucleoside monophosphates and their
complementary complexes with Na-ions but fail to reproduce some details of the
dependence of the Watson-Crick duplex conformation on the nucleotide sequence.
PMID- 27192828
TI - [Interaction of Dystamycin Dimeric Analog with Poly(dA) x poly(dT), Poly[d(A-T)]
x poly[d(A-T)] and Duplex O23 at Origin of Replication of the Herpes Simplex
Virus].
AB - The binding of distamycin dimeric analog (Pt-bis-Dst) to poly[d(A-T)] x poly[d(A
T)1, poly(dA) x poly(dT) and duplex O23 with the sequence 5'
GCCAATATATATATATTATTAGG-3' which is present at the origin of replication of
herpes simplex virus OriS is investigated with the use of UV and CD spectroscopy.
The distinction of the synthetic polyamide from a natural antibiotic lies in the
fact that in the synthetic polyamide there are two distamycin moieties bound via
a glycine cis-diamino platinum group. It was shown that the binding of Pt-bis-Dst
to poly[d(A-T)] x poly[d(A-T)] and poly(dA) x poly(dT) reaches saturation if one
molecule of the ligand occurs at approximately every 8 bp. With further increase
in the ratio of the added ligand to the base pairs in CD spectra of complexes
with poly[d(A-T)] x poly[d(A-T)], we observed that the maximum wavelength band
tend to be shifted towards longer wavelengths, while in the spectral region of
290-310 nm a "shoulder", that was absent in the spectra of the complexes obtained
at low polymer coverages by the ligand, appeared. At high molar concentration
ratios of ligand to oligonucleotide Pt-bis-Dst can bind to poly[d(A-T)] x
poly[d(A-T)] in the form of hairpins or may form associates by the interaction
between the distamycin moieties of neighboring molecules of Pt-bis-Dst. The
structure of the complexes is stabilized by interactions between
pirrolcarboxamide moieties of two molecules of Pt-bis-Dst adsorbed on adjacent
overlapping binding sites. These interactions are probably also responsible for
the concentration-dependent spectral changes observed during the formation of a
complex between Pt-bis-Dst and poly[d(A-T)] x poly[d(A-T)]. Spectral changes are
almost absent in binding of Pt-bis-Dst to poly(dA) x poly(dT). Binding of Pt-bis
Dst to duplex O23 reaches saturation if two ligand molecules occur in a duplex
that contains a cluster of 18 AT pairs. With increasing the molar concentration
ratio of the ligand to the duplex CD spectra undergo concentration-dependent
changes similar to those observed during binding of Pt-bis-Dst to poly [d(A-T)] x
poly[d(A-T)]. Testing for antiviral efficacy of Pt-bis-Dst showed that the
concentration, at which the cytopathic effect produced by the herpes simplex
virus in cell culture Vero E6 halved, is equal to 1.5 MUg/ml and the selectivity
index for evaluating antiviral activity is 65 at a relatively low cytotoxicity.
The concentration of Pt-bis-Dst, at which approximately half the cells are
killed, is equal to 100 MUg/ml.
PMID- 27192829
TI - [Determination of the Minimal Fragment of the Poliovirus IRES Necessary for the
Formation of a Specific Complex with the Human Glycyl-tRNA Synthetase].
AB - Aminoacyl-tRNA synthetases are an ancient enzyme family that specifically charge
a tRNA molecule with a cognate amino acid required for protein synthesis. Glycyl
tRNA synthetase is one of the most interesting aminoacyl-tRNA synthetases due to
its structure variability and functional features in the different organisms. It
was shown recently that human glycyl-tRNA synthetase is a regulator of
translational initiation of poliovirus mRNA. Details of this process and its
mechanism still remain unknown. While exploring this stage of poliovirus
functioning we have studied the interaction of the cytoplasmic form of human
glycyl-tRNA synthetase and its domains with the fragments of the poliovirus IRES
element. As a result, we have identified the minimal fragment of viral mRNA with
which glycyl-tRNA synthetase fully interacts and estimated the contribution of
some domains to the interaction of glycyl-tRNA synthetase with RNA.
PMID- 27192830
TI - [Forced Oscillations of DNA Bases].
AB - This paper presents the results of the studying of forced angular oscillations of
the DNA bases with the help of the mathematical model consisting of two coupled
nonlinear differential equations that take into account the effects of
dissipation and the influence of an external periodic field. The calculation
results are illustrated for sequence of gene encoding interferon alpha 17 (IFNA
17).
PMID- 27192831
TI - [Gelation in Low Concentrated Solutions of Cholesterol and Ergosterol].
AB - The molecular dynamics method has been applied to investigate the conformational
behavior of biologically important chiral molecules of cholesterol and
ergosterol. The formation of strings in the solution of cholesterol in methanol
and the lack of strings in solutions of ergosterol in methanol has been
experimentally detected. It was shown that the intermolecular dynamics in the
molecule has a significant impact on the potential of structure formation. We
proposed alternative explanation of the functional significance of cholesterol,
apparently associated with the formation of interconnect structures outside the
membrane as the biological feasibility of finding ergosterol in non-switched
cells of fungi and cholesterol in the switching cells of macroorganisms.
PMID- 27192832
TI - [Generation of Superoxide Radicals by Complex III in Heart Mitochondria and
Antioxidant Effect of Dinitrosyl Iron Complexes at Different Partial Pressure of
Oxygen].
AB - The EPR spin-trapping technique and EPR-oximetry were used to study generation of
superoxide radicals in heart mitochondria isolated from Wistar rats under
conditions of variable oxygen concentration. Lithium phthalocyanine and TEMPONE
15N-D16 were chosen to determine oxygen content in a gas-permeable capillary tube
containing mitochondria. TIRON was used as a spin trap. We investigated the
influence of different oxygen concentrations in incubation mixture and
demonstrated that heart mitochondria can generate superoxide in complex III at
different partial pressure of oxygen as well as under the conditions of deep
hypoxia (< 5% O2). Dinitrosyl iron complexes with glutathione (the pharmaceutical
drug "Oxacom") exerted an antioxidant effect, regardless of the value of the
partial pressure of oxygen, but the magnitude and kinetic characteristics of the
effect depended on the concentration of the drug.
PMID- 27192834
TI - [Influence of Storage Temperature and Cryopreservation Conditions on the Extent
of Human Sperm DNA Fragmentation].
AB - With the direct labeling procedure for detecting DNA fragmentation we explored
the influence of the different storage temperature conditions as well as
different methods of cryopreservation on the structure of DNA organization in the
human sperm. 19 sperm samples obtained from healthy men with normozoospermia
(according to the criteria of the World Health Organization) were used for
investigation. A significant increase of human sperm DNA-fragmentation was
observed after 8 hours of incubation at +39 degrees C (by 76.7%) and at +37
degrees C (by 68.9%). It was found that sperm cooling with the use of a
cryoprotectant immediately after thawing did not produce significant differences
in the extent of DNA fragmentation, although samples, containing cryoprotectants,
showed a sharp increase of DNA fragmentation after 24 hours of incubation, that
could suggest cryoprotectant cytotoxicity.
PMID- 27192833
TI - [The Nonlinear Effect of the Composite Influence of Red and Blue Light on
Bacteria Escherichia coli Viability].
AB - A non-linear dependence of the inhibition of E. coli cells is found when
irradiated simultaneously with the blue and red regions of the spectrum at a
power density of 100 mW/cm2. Such dependence is explained by the assumption of a
cascade two-photon absorption of light by DNA molecules with an intermediate
resonance at cellular chromophores, causing excitation and subsequent DNA damage
similar to damage when exposed to UV radiation.
PMID- 27192835
TI - [The Role of ABCG2 Protein in Maintenance of Viability and Proliferative Activity
of Bone Marrow Mesenchymal Stem Cells Under Hypoxic Conditions].
AB - It has been shown that hypoxia (5% 02) and fibroblast growth factor bFGF reduce
the doubling time of bone marrow mesenchymal stem cells under their cultivation
in vitro that indicates an increase in cell culture proliferation. It has been
found out that low concentrations of O2 and factor bFGF added to the cell culture
medium increase an expression of abcg2 gene and its gene protein, ABCG2 transport
gene, in mesenchymal stem cells. These events potentiate the effects of hypoxia
observed in mesenchymal stem cells. We revealed that blocking of ABCG2 protein
functional activity led to increased generation of reactive oxygen species in
mesenchymal stem cells. The effect of hypoxia and/or bFGF on protein profile of
mesenchymal stem cells was studied. The results represented in this work together
with previous data proved a link between ABCG2 protein expression, its activity
and maintenance of viability and proliferative activity of mesenchymal stem cells
cultivated under hypoxia. ABCG2 acts as protector.
PMID- 27192836
TI - [The Role of Membrane-Bound Heat Shock Proteins Hsp90 in Migration of Tumor Cells
in vitro and Involvement of Cell Surface Heparan Sulfate Proteoglycans in Protein
Binding to Plasma Membrane].
AB - Heat shock protein Hsp90, detected in the extracellular space and on the membrane
of cells, plays an important role in cell motility, migration, invasion and
metastasis of tumor cells. At present, the functional role and molecular
mechanisms of Hsp90 binding to plasma membrane are not elucidated. Using isoform
specific antibodies against Hsp90, Hsp9alpha and Hsp90beta, we showed that
membrane-bound Hsp90alpha and Hsp90beta play a significant role in migration of
human fibrosarcoma (HT1080) and glioblastoma (A-172) cells in vitro. Disorders of
sulfonation of cell heparan sulfates, cleavage of cell heparan. sulfates by
heparinase I/III as well as treatment of cells with heparin lead to an abrupt
reduction in the expression level of Hsp90 isoforms. Furthermore, heparin
significantly inhibits tumor cell migration. The results obtained demonstrate
that two isoforms of membrane-bound Hsp90 are involved in migration of tumor
cells in vitro and that cell surface heparan sulfate proteoglycans play a pivotal
role in the "anchoring" of Hsp90alpha and Hsp90beta to the plasma membrane.
PMID- 27192837
TI - [Changes in Kinetics of Chemiluminescence of Plasma as a Measure of Systemic
Oxidative Stress in Humans].
AB - Oxidative stress is a pathogenetic factor of many diseases. The control of its
level is important for early diagnosis and therapy adjustment. In this work,
antioxidant status was estimated in blood plasma. In the system of 2,2'-azo-bis(2
amidinopropane)dihydrochloride-luminol a set of chemiluminescence kinetic curve
parameters is proposed for oxidative stress level estimation (the latent period
tau(lat) and the increasing of analytical signal DeltaI(CL)). Uric acid and
albumin were shown as the main components that responsible for changes in
chemiluminescence kinetic curve of plasma. Serum albumin undergoes oxidative
modification in dose-depend manner under the action of UV irradiation, it causes
the enhancement of antioxidant properties. Changes in plasma chemiluminescence
kinetics are proposed as a measure of oxidative stress in human body.
PMID- 27192839
TI - [Mechanism of Formation of Cardiac Arrhythmia Due to Pathological Distribution of
Myocardium Conductivity].
AB - Two mechanisms responsible for the emergence of arrhythmia are known: a change of
part of the cells to a self-oscillatory mode and generation of circulating waves.
In this paper, we investigate the generation mechanism of the circulating waves
using the unidirectional block. One of the variants of its realization is a
narrow gap between two non-conducting regions. Implementation of this mechanism
in the human heart turns out to be impossible, since in the heart in which the
duration of cardiac action potential lasts 0.3 s and the velocity of wave
propagation is equal to 33 cm/s, the minimal length of the pathway for wave
circulation is approximately 10 cm, while the distance between the ventricular
apex and atrioventricular septal is, on the average, 8 cm. Therefore, that
inhomogeneity cannot exist at the scale of human heart. To adapt this mechanism
to the size of the human heart, we introduce into the scheme the regions with low
conductivity, which provide slow propagation of the wave. The value of
conductivity is chosen based on the results of evaluation of the "conductivity
wave velocity" correlation. The analysis of wave propagation through the boundary
between two regions with different conductivities has shown that the refractory
period depends on the conductivity ratio. To minimize this dependence we
introduce the transition zone, in which conductivity changes linearly from some
normal value to a reduced one. This allowed us to generate a 12-mm inhomogeneity
area, provoking the appearance of the circulating wave.
PMID- 27192838
TI - [Nitric Oxide in Modulation of Crystallogenic Propeties of Biological Fluid].
AB - The aim of this work was a comparative analysis of the influence of different NO
forms on dehydration structurization of human blood serum. Blood specimens from
15 healthy people were treated by NO-containing gas flow (800 and 80 ppm)
generated with the "Plazon" unit, experimental NO-generator (20, 50, 75 and 100
ppm) and by water solution of thiol-containing dinitrosyl iron complexes (3
mM/L). The influence of blood sodium on blood serum crystallization in original
and NO-treated blood specimens was estimated. It was found, that the effect of NO
on crystallogenic properties of blood serum depends directly on its concentration
and form (free or bound), as well as on the presence of reactive oxygen species
in gas flow. The most pronounced stimulating effect was observed for the bound
form of NO--dinitrosyl iron complexes with glutathione ligands. Low NO
concentrations modulated crystallogenic properties of blood serum and the most
optimal stimulating action was demonstrated in gas flow containing 20 ppm nitric
oxide. In contrast, high NO concentration (800 ppm) inhibited the crystallogenic
activity of biological fluid with multiply increasing of structural elements
destruction leading to the formation of an additional belt in marginal zone of
dehydrated specimens.
PMID- 27192841
TI - [Neurodynamic Bases of Imitation Learning and Episodic Memory].
AB - In this review, three essentially important processes in development of cognitive
behavior are considered: knowledge of a spatial environment by means of physical
activity, coding and a call of an existential context of episodic memory and
imitation learning based on the mirror neural mechanism. The data show that the
parietal and frontal system of learning by imitation, allows the developing
organism to seize skills of management and motive synergies in perisomatic space,
to understand intentions and the purposes of observed actions of other
individuals. At the same time a widely distributed parietal and frontal and
entorhinal-hippocampal system mediates spatial knowledge and the solution of the
navigation tasks important for creation of an existential context of episodic
memory.
PMID- 27192840
TI - [Some Approaches to Activation of Antitumor Resistance Mechanisms and Functional
Analogs in Categories of Synergetics].
AB - This paper briefly reviews the ways of activation of the antitumor resistance
mechanisms developed on the basis of the concept of the periodic system of
general nonspecific adaptational reactions of the body. The principles of the
formation of effective influences by electromagnetic radiation using biologically
active substances are described. A comparison of the criteria and conceptions of
the theory of adaptational reactions to some concepts and categories of
synergetics is made. The features of dynamics of the studied parameters upon
effective influences are considered. Antistress nature of the systemic effects of
ferromagnetic nanoparticles on tumor bearing animals is shown. The, possible
mechanisms of regression of large tumor under the influence of two different
factors--modulated electromagnetic radiation and magnetite nanoparticles--are
discussed. The cases of a change of the order parameter in connection with the
development of antistress areactivity and regression of experimental tumors under
the influence of the combined electromagnetic impact are analyzed.
PMID- 27192843
TI - [An Iterative Continuous-Event Model of the Population Outbreak of Phytophagous
Hemiptera].
AB - Based on the analysis of changes in the local density of the insect within the
family Psillidae in Australia we have developed a model for a scenario of a
dramatic increase in the number of jumping plant lice at the expense of primary
and secondary Encyrtidae parasitoid microwasps. A phenomenological model
describes on a case by case basis the efficiency of reproduction in several
ranges of population conditions. We have proposed a continuous-event structure,
where the rate of a decrease of the number of psyllid generations is uneven at
different stages of ontogenesis of the insect with an incomplete metamorphosis.
The moments when the rate is changing are determined by the state of internal
variables of the auxiliary equation of a continuous system. Spontaneous time
limited local outbreak occurs after overcoming the threshold balancing in
iterative dynamic system that reduces the effect of normal regulatory mechanisms
of psyllid reproduction and the speed of a decrease of the number of generations
changes. The method with the addition of the right side of the first equation by
special functionality with limited range of values simulates a sharp decrease in
survival with the exhaustion of resources. The limited availability of leaves
causes a backward tangent bifurcation. After a few iterations from the tangent
bifurcation population transfers to the mode of ordinary fluctuations without
explicit of cyclical component at a low average psyllids population.
PMID- 27192842
TI - [Providing the Optimal Insolation of a Photobiological Architectural Shell for
Microalgae Cultivation].
AB - Translucent architectural shells with microalgae are considered as an element of
local photobiological treatment facilities integrated in the urban environment. A
mathematical microalgae growth model for the prediction of insolation and
temperature behaviour in the medium during microalgae cultivation under
dynamically fluctuating natural lighting is presented. The task of optimizing the
parameters of photobiological architectural shell with respect to temperature and
insolation is set. The results of numerical experiments for the model problem are
shown.
PMID- 27192844
TI - [On Atomic Nuclear Fusion Processes at Low-Temperatures. An Enhancement of the
Probability of Transition through a Potential Barrier Due to the So-Called
Barrier Anti-Zeno Effect].
AB - It is known that in quantum mechanics the act of observing the experiment can
affect the experimental findings in some cases. In particular, it happens under
the so-called Zeno effect. In this work it is shown that in contrast to the
"standard" Zeno-effect where the act of observing a process reduces the
probability of its reality, an inverse situation when a particle transmits
through a potential barrier (a so-called barrier anti-Zeno effect) can be
observed, the observation of the particle essentially increases the probability
of its transmission through the barrier. The possibility of using the barrier
anti-Zeno effect is discussed to explain paradoxical results of experiments on
"cold nuclear fusion" observed in various systems including biological ones.
(According to the observers who performed the observations, the energy
generation, which could not be explained by any chemical processes, as well as
the change in the isotope and even element composition of the studied object may
occur in these systems.
PMID- 27192845
TI - [ASSESSMENT OF LIVER FUNCTION WITH TRANSESOPHAGEAL ECHOCARDIOGRAPHY HEART SURGERY
WITH CARDIOPULMONARY BYPASS].
AB - AIM OF THE STUDY: evaluation of arterial and venous liver circulation during
cardiopulmonary bypass (CPB) using the method of transesophageal echocardiography
(TEE). MATERIALS AND METHODS: 62 patients undergoing reconstructive cardiac
surgery with CPB were analyzed. During all the stages of treatment we performed
monitoring of mean arterial pressure, heart rate and central venous pressure. TEE
was performed using specialized Philips iE-33 3-D matrix multifrequency probe X7
2t in transgastral position. Ultrasonic and dopplerographic measuring of blood
flow in hepatic artery and veins was performed before sternotomy, in 30 minutes
after starting CPB and after stopping CPB during sternal closure. The speed of
bloodflow in hepatic artery, hepatic veins, biochemical blood analysis was
evaluated, i.e. lactate level, AST ALT ratio before the intervention, during CPD
and in early postoperative period RESULTS: Correlation between blood flow in
liver vessels and dynamics of biochemical analysis. It is considered to that this
change during procedures with CPB may be linked with liver insufficiency during
first hours of evaluation. In other words reduced blood flow in liver vessels may
be one of the liver insufficiency early symptoms and is one of factors that
require correction during operative and early postoperative period. So dynamic
follow-up of hepatic circulation may be used as a method of early diagnostics of
functional organ disorders.
PMID- 27192846
TI - [THE INFLUENCE OF ANAESTHESIA ON PERIOPERATIVE VALUES OF AMINOTERMINAL FRAGMENT
OF THE BRAIN NATRIURETIC PEPTIDE PRECUSSOR IN PATIENT WITH POSTINFARCTION
CARDIOSCLEROSIS UNDERGOING NONCARDIAC SURGERY].
AB - BACKGROUND: The importance of postoperative values of cardiac damage biomarkers
studying (such as troponine and NTproBNP) is stressed by recommendations of the
European Society of Cardiology and the European Society of Anaesthesiologists
(2014). AIM OF THE STUDY: the effects of general and spinal anaesthesia on
perioperative dynamics of NTproBNP in patients with postinfarction
cardiosclerosis in the surgical treatment of benign prostatic hyperplasia.
MATERIALS AND METHODS: 67 men aged 60 to 75 years were included in a prospective,
randomized study. Patients were undergone elective open surgery for prostatic
hyperplasia. All patients had a history of myocardial infarction. Depending on
the type of anaesthesia the patients were divided into 2 groups: The first group
(35) was operated under spinal anaesthesia (SA). The second group (32) was
operated under general anaesthesia (GA). The volume infusion was 2700 +/- 250 ml
in the first group and 1600 +/- 250 ml in the second group during perioperative
period. Determining the level of NTproBNP in the venous blood plasma realized
four times: 1 hour before surgery, at once at the end of surgery, 12 and 24 hour
after surgery. RESULTS: The first group patients was registered an increase the
values of NTproBNP in plasma 2 times from 628.6 +/- 107.4 pg/mol to 1204.1 +/-
141.9 pg/mol 12 hour after the operation (P < 0.01). After 24 hours the level of
NTproBNP in blood plasma of the first group patients was reduced by 28%, but,
however, exceeding the values in the second group is more than 1.7 times (P <
0.05). CONCLUSION: The extra volume of the infusion during the spinal anaesthesia
in the early postoperative period after the elimination of the sympathetic
blockade to leads volume overload in patients with accompanying cardiac
pathology.
PMID- 27192847
TI - [ANALYSIS OF THE POSTOPERATIVE COURSE OF COMPLEX CONGENITAL HEART DISEASES
SIMULTANEOUS CORRECTION WITH AN OPEN STERNOTOMY IN CHILDREN DURING THE FIRST
MONTHS OF LIFE].
AB - In children during the first months of life delayed sternum closure is one of the
few techniques increasing cardiac output after simultaneous correction of complex
congenital heart defects (CHD). The aim of study was evaluating mortality,
predictors of adverse outcome and frequency noncardial complications at of
delayed sternum closure after correction of CHD. METHODS: Study design: a
prospective, uncontrolled, cohort. 22 children were studied 6 children died.
Anesthesia was carried out on the basis ofpropofol (3 mg/kg/h) and fentanyl (5
pg/kg/h) infusion with sevoflurane inhalation in a dose of 1-1.5 WT, including
during perfusion. Cardiopulmonary bypass (IR) was carried out by the "Stockert
S50" using oxygenators ("Medtronic"). After IR in all cases the use of arterio-
venous modified ultrafiltration. Sternum closure was performed on average 2.7 +/-
1.4 days after surgery. RESULTS: The odds ratio (OR) of death development at the
mean arterial pressure (MAP) < 35 Hg was 3.7, the OR for the risk of death
development if SVO2 < 40% was 0.94. OR for risk of death when blood lactate level
> 10 mmol/l during the first three days ofpostoperative intensive care was 2.1.
CONCLUSIONS: The technique of delayed sternum closure is an acceptable method of
maintaining cardiac output in children during the first months of life with CHD
in the postoperative period. High blood lactate level (> 10 mmol/l) and
especially its further growth and the MAP < 35 mm Hg can be predictors of adverse
outcomes of surgical interventions with an open sternotomy.
PMID- 27192848
TI - [CAUSES AND PREVENTION OF POSTOPERATIVE NAUSEA AND VOMITING AFTER
ADENOTONSILLECTOMY IN CHILDREN IN THE GENERAL ANESTHESIA].
AB - The aim of the research is to determine the reasons of post operative nausea and
vomiting and to compare the efficiency of taken PONVprophylaxis after
adenotonsillectomy with general anesthesia in children. 155 patients took part in
the research work at the age from 3 to 10 years, of ASAI-II physical status, who
were operated on in planned order because of adenotonsillectomy. The patients
were divided into 5 groups according to the type of holding PONV prophylaxis. The
1-st group consisted of 30 patients who were given antiemetic--Metoclopramidum
(0.15 mg/kg); the 2-nd group (30 patients) was given ondansetronum (0.1 mg/kg);
the 3-d group also consisted of 30 patients who were given Dexamethasone (0.2
mg/kg, but not more than 8 mg); the 4-th included 30 patients who were given
Dexamethasone (0.2 mg/kg, but not more than 8 mg) and Metoclopramidum (0,15
mg/kg) as the antiemetic; the 5-th group the test one, included 35 patients who
were not given PONVprophylaxis. During the first day after the operation the
amount of nausea and vomiting have been estimated, the time of occurrence and the
character of vomiting. According to the results of the research the PONV in
postoperative period consisted 22.6% in which in 13.6% vomiting with blood was
pointed out during coming out from general anesthesia, and in early post
operative period (p < 0.01), which is connected with blood swallowing on the
phase of awaking or possible postoperative bleeding. According to given
prophylaxis the least number of PONV is revealed in the group which was given
ondansetronum, and the high frequency of post operative nausea and vomiting was
pointed out in the group which wasn't given prophylaxis of PONV.
PMID- 27192849
TI - [THE COGNITIVE STATUS DYNAMIC OF CARDIOSURGICAL PATIENTS AND THE PREDICTORS OF
ITS DISTURBANCE].
AB - AIM OF RESEARCH: To estimate the cognitive status dynamics ofpatients during the
early postoperative period after cardiac interventions and to reveal predictors
of cognitive functions deterioration at this category of patients. MATERIALS AND
METHODS: 118 cardiac patients were tested of neurocognitive functions one days
before operation, and then for the 2-3rd days after operation by means of the
MMSE test, the FAB test, Shulte's test, a questionnaire of a depression of CES-D,
the BATTERY OF FRONTAL DYSFUNCTION TEST. Data of the perioperation's period was
analyzed. RESULTS: Deterioration of results in the 2nd and more tests were
registered at 61% of patients. The main distinctions between patients with
postoperative deterioration of testing results and without it were: parameters of
mehanical ventilation (tidal volume/ideal body weight, airways pressure), initial
condition and existence of signs of initial deficit of blood circulation
(decrease in the cardiac index and O2 delivery, increase of level of a lactate),
decrease in cerebral oksimetry, existence of respiratory alkalosis dekompensation
during CPB. Administration of the Dopamine before CPB and use of Desfluran
demonstrated the positive influence to the cognitive status. CONCLUSIONS: 1)
Neurocognitive dysfunction during the early postoperative period after cardiac
surgery is tipical for 30-70% of patients. 2) The most significant predictors of
deterioration of neurocognitive function in the postoperative period are the
excessive depth of anesthesia and significant intraoperative decrease in the
cerebral oxygenation. 3) Influence of the mehanical ventilation parameters on
postoperative neurocognitive function is revealed, use of the large tidal volumes
is especially negative. 4) Existence of the blood circulation deficit and
decrease in oxygen delivery without timely intraoperative correction, and also an
intraoperative hyperglycemia worsen a neurocognitive function in the
postoperative period. 5) Desfluran administration has positive impact on the
neurocognitive function.
PMID- 27192851
TI - [FEATURES OF FLUID THERAPY IN CHILDREN WITH SEVERE MAJOR TRAUMA].
AB - BACKGROUND: Fluid and transfusion therapy is proved to be a required component of
treating children with severe major trauma significantly influencing the case
outcome. OBJECTIVE: To analyze efficiency of fluid and transfusion therapy in
children with severe major trauma and assess its correspondence with current
recommendations. MATERIALS AND METHODS: 150 children aged from 0 to 18 years
getting treatment in intensive care units of children's city hospitals of Saint
Petersburg, Archangelsk, Ufa, Samara, and Leningrad region were included in the
research. The main course of severe major trauma were car injury and catatrauma.
The coefficient according to Pediatric trauma score (PTS) was 6.4 points. The
mean duration of hospitalization in emergencies units was 3 (2-7) days, the
duration of artificial lung ventilation was 48 +/- 99.9 hours, the duration of
hospitalization in the department ward was 24 (15-32) days. Favorable outcome
(transferring from emergencies units to department wards) was reported in 147
(98%) children, death cases were registered in 4 (2.6%) children. RESULTS: There
was determined that the basic crystalloid solutions used for infusion therapy in
children were the following: Ringer solution, Plasma-lit solution and 10% glucose
solution. "Gelofisin" and "Voluven" had more frequent administration rate among
colloidal solutions. Transfusion of blood was performed in 26% patients. The
infusion therapy in the first three days did not exceed the necessary
physiological requirements that provided stabilization of the patient's condition
and did not produce a negative influence on the status of hemodynamics and gas
exchange. CONCLUSION: Administration of current well-balanced crystalloid and
colloidal solutions to children with severe combined trauma in an amount within
the limits of required physiological indicators does not produce a negative
influence on the status of gas exchange and the case outcome.
PMID- 27192852
TI - [MONITORING OF HEMODYNAMICS IN THE CHOICE OF INTENSIVE THERAPY IN THE OPERATED
INFANTS].
AB - Noninvasive monitoring in obtaining important and reliable information relevant
to pediatric practices, not only in respect of dangers and complications of most
invasive techniques, but also because a number of them difficult or even
impossible in infants. The aim of this study was to estimate the significance of
hemodynamic parameters and capabilities of ECHO and dophlercardiography for
analyzing violations hemodynamics in primary diagnosis and conduct drug therapy
in infants. The study included the results of a survey of 65 infants aged from 29
to 39 weeks with various surgical pathology, who received the various options the
hemodynamic support. In the initial assessment and selecting tactics of therapy
for hemodynamic analysis carried out routine monitoring and for evaluation of
cardiac contractility used echo- and Doppler exams. All children have pointed out
a number of significant changes of haemodynamics, that has required inotropic
therapy with dopamine (64% of children with diaphragmatic hernia received
additional dobutamine). Validation of expressed pulmonary hypertension children
appointed sildenafil, and in the absence ofthe effect used nitrous oxide.
Hemodynamic monitoring allows to monitor the status of circulation on the
background of the treatment and to make timely changes to the script therapy.
PMID- 27192850
TI - [CHARACTERISTICS OF COMBINED ANESTHESIA WITH EPIDURAL COMPONENTE DEPENDING ON
VEGETATIVE NERVOUS SYSTEM TYPE].
AB - The research objective was to study the characteristics of combined anesthesia
with epidural componente (CAEC) depending on vegetative nervous system type (VNS)
in patients who underwent large scale traumatic surgical operations on abdominal
cavity organs. The scientific research was conducted in Anaesthesiology-
Reanimation Department of the Scientific Surgical Centre named after acad. MA.
Topchubashev, the Ministry of Health of the Azerbaijan Republic. The research
objects were 69 patients who underwent operations in conditions of CAEC due to
different serious surgical pathologies of abdominal cavity organs. VNS type was
identified based on electroencephalogram, Cerdo Vegetative Index (CVI),
Hildebrandt coefficient (HC) and single neurophysiological tests. The patients
were divided into three groups depending on VNS type: I--normotonics--17 patients
(24.7%), II--sympathatonics--25 patients (36.2%), and III--vagotonics--27
patients (39.1%). Blood adrenocorticotropic hormone (ACTH) and cortisol
concentration were studied in 3 stages: I -preoperative, II--operation traumatic
stage, III--the 1st postoperative days. The other indicators (heart rate,
systolic blood pressure--SBP, dyastolic blood pressure--DBR average blood
pressure--BP ave., pulse oximetry SpO2, ECG, gases in blood and acid-base
balance, electrolytes, blood glucose level, myocardium oxygen demand--MOD) were
registered after 20 minutes and the 2nd day after operation besides the above
stages. The research results indicated that it is possible to define the
vegetative nervous system type superiority based on complex of single tests data,
EEG, ECG, Cerdo Vegetative Index, Hildebrandt coefficient. CAEC can be considered
optimun alternative of general anesthesia ensuring neurohumoral and hemodynamic
stability in large scale, traumatic operations on abdominal cavity organs.
Clinical course of CAEC is characterized by firmer hemodynamic and humoral
stability in patients with functional balance of sympathetic and parasympathetic
divisions of vegetative nervous system, that is in normotonics in comparison with
sympathico-, and parasympathotonics.
PMID- 27192853
TI - [SIMULTANEOUS MEASUREMENT OF INTRAVENTRICULAR AND PARENCHYMAL INTRACRANIAL
PRESSURE IN PATIENTS WITH SEVERE TRAUMA BRAIN INJURY].
AB - INTRODUCTION: "Standard" assessment of ICP by measuring liquor ventricular
pressure recently questioned. THE OBJECTIVE OF THE STUDY: Compare the values of
ventricular and parenchymal ICP against the closure of open liquor drainage and
during active CSF drainage. MATERIALS AND METHODS: Examined 7 patients with TBI
and intracranial hypertension syndrome, GCS 5.6 +/- 1.2 points, 4.2 +/- age 33
years. Compared parenchymal and ventricular ICP in three time periods: 1--during
closure of ventricular drainage, 2--during of the open drains and drainage at the
level of 14-15 mmHg, 3--during the period of active drainage. When comparing two
methods of measurement used Bland-Altman method. RESULTS: 1. During time period
of the closed drainage correlation coefficient was r = 0.83, p < 0.001. Bland
Altman method: the difference of the two measurements is equal to the minimum and
0.7 mm Hg, the standard deviation of 2.02 mm Hg 2. During time period of the open
drainage was reduction of the correlation coefficient to r = 0.46, p < 0.01.
Bland-Altman method: an increase in the difference of the two measurements to
0.84 mmHg, standard deviation 2.8 mm Hg 3. During time period of the active
drainage of cerebrospinal fluid was marked difference between methods of
measurement. Bland-Altman method: the difference was 8.64 mm Hg, and a standard
deviation of 2.6 mm Hg. CONCLUSIONS: 1. During the closure of the ventricular
drainage were good correlation between ventricular and parenchymal ICR 2. During
open the liquor drainage correlation between the two methods of measuring the
intracranial pressure is reduced. 3. During the active CSF drainage correlation
between the two methods of measuring intracranial pressure can be completely
lost. Under these conditions, CSF pressure is not correctly reflect the ICP 4.
For an accurate and continuous measurement of intracranial pressure on the
background of the active CSF drainage should be carried out simultaneous
parenchymal ICP measurement.
PMID- 27192855
TI - [MECHANISMS OF SMALL INTESTINE MOTOR DISORDERS DURING ENDOTOXEMIA AND
PATHOPHYSIOLOGICAL RATIONALE FOR THE USE OF TRIBUTYRINE AS ANTI-INFLAMMATORY AND
PROKINETIC PHARMACONUTRIENT].
AB - Studying the mechanisms of the small intestine motor function disorders during
endotoxemia and searching ways to mitigate them remain relevant. The article
discusses the role of inflammatory mediators, in particular nitric oxide as a key
factor in the generation of inflammatory response and brake the main
neurotransmitter in the gut in the pathogenesis of the small intestine motor
disorders during endotoxemia. Also discusses anti-inflammatory cholinergic path,
which is realized with the participation of the autonomic nervous system.
Possible mechanisms by which tributyrinte as a component of nutritional support
creates a multiplier effect in arresting the inflammatory response and
normalization of intestinal motility are suggested.
PMID- 27192854
TI - [MIKAMINOM ANTIFUNGAL THERAPY IN NEWBORNS AND INFANTS WITH SURGICAL PATHOLOGY].
AB - Prolonged empiric and etiotropic therapy of multidrug-resistant or pan-resistant
bacterial flora in different gestation age newborns has led to the growth of
resistant fungalflora in intencive care units (ICU). According to risk factors
and rating scales every child of ICU undergoing the abdominal cavity surgery is
threatened the development of a fungal infection and requires antifungal therapy
appointment or causal prophylactic. In recent years, before the advent of
medications of the group of echinocandins, therapy of invasive fungal infections
has been a challenge. Currently alternative drug to diflucane in neonates and
infants is micafungine (mycamine) in the dose of 2-8 mg/kg/day, depending on the
signs of infestation and severity of the condition.
PMID- 27192856
TI - [ANESTHESIA DURING THE SEPARATION OF THE FETUS IN FETU IN A 2 DAYS-LIFE CHILD
WITH ACCOMPANYING NON-CORRECTED CRITICAL CONGENITAL HEART DISEASE (CHD)].
AB - The article describes a clinical case of treating a few- hours-life child with
birth body weight--2900 g, length--50 cm, who had been extremely rare complex
congenital malformations: fetus in fetu in the epigastrium with satisfactorily
formed the lower half of the body of the failed twin, omphalocele and severe CHD.
The surgical treatment was in terms of multicomponent endotracheal anesthesia. At
the end of surgery puncture and catheterization of the epidural space at the
level of L1/L2 holding the catheter up to Th9/Th10 for postoperative analgesia
was made. Cardiotonic therapy and mechanical ventilation was performed after the
operation. There have been signs of high pulmonary hypertension. On the 6th day
of life the child was transferred to the Department of cardiac surgery and
intensive care for the correction of complex combined CHD. At the age of 8 days
of life performed the operation. Clamping of the aorta lasted for 21 minutes. On
the 24th day of life has been deteriorating due to increasing renal failure and
development of multiorgan failure, disseminated intravascular coagulation
syndrome. After repeated resuscitations the child died at the age of 25 days of
life.
PMID- 27192858
TI - [PECULIARITIES OF ANESTHESIA AND MECHANICAL VENTILATION IN CHILDREN WITH SURGICAL
PATHOLOGY AND RELATED CONGENITAL HEART DEFECTS].
AB - Unfortunately, last years there are more and more children with multiple
malformations. Often in the intensive care unit appears children with problems
requiring urgent surgical intervention. Congenital heart diseases (CHD) are often
accompanying pathology. There are specific changes in hemodynamics in this
category of children, which influence parameters of mechanical ventilation,
leading sometimes to extremely undesirable consequences. Accordingly, this review
deals with the features of anesthesia for various surgical interventions in
children with severe (often uncorrected) accompanying CHD.
PMID- 27192857
TI - [THE USE OF 20% LIPOFUNDIN INFUSIONS AT THE APPEARANCE OF TOXIC PROPERTIES OF
ROPIVACAINE 2 MG/ML IN CHILD 1.5 MONTHS AT THE POSTOPERATIVE PERIOD WITH EPIDURAL
ANALGESIA].
AB - Introduction of local anesthetic proved application of epidural (EP) as an
effective and safe way of an analgesia in intra- and the post-operational period
and now it is widely applied at children of all age groups (1). However there is
a number of contraindications for application of this type of an analgesia. At
children till 6 months lower dosages of a ropivacain of 2 mg/kg are applied,
considering their age features. At the phenomena of system toxicity infusion of
20% lipofundin is applied. The child has an age 1.5 months, weight 5230 g, with
the diagnosis a cystous dysplasia of both kidneys, a megaureter at the left and
on the right, lack offunction of the left kidney operation a laparoscopic
nefrureterektomia is executed at the left. In the postoperative period at
application of EP of an analgesia ofropivacain 2 mg/ml by a drop way introduction
in a standard dosage of 0.2 mg/kg of body weight an hour the repeating episodes
of convulsions which were regarded as manifestation of toxic properties of local
anesthetic were noted. Infusion of 20% lipofundin of 15 ml (2.8 ml/kg of body
weight) within 30 minutes with a positive effect was applied. EP an analgesia was
recoloured.
PMID- 27192859
TI - [THE DIAGNOSTIC AND THERAPEUTIC BRONCHOSCOPY IN CARDIAC PATIENTS UNDERGOING
MECHANICAL VENTILATION IN THE POSTOPERATIVE PERIOD].
AB - The review presents an analysis of domestic and foreign literature on the use of
bronchoscopy in patients with obstructive respiratory failure in the ICU.
Separately considered the issue of additional research when performing
bronchoscopy and create an algorithmfor the application of diagnostic and
therapeutic bronchoscopy in cardiac surgical patients undergoing mechanical
ventilation.
PMID- 27192860
TI - [Diagnostics and intensive therapy of acute respiratory distress syndrome. FAR's
clinical guidelines].
PMID- 27192861
TI - [HOLDING OBJECTIVE STRUCTURED CLINICAL EXAMINATIONS FOR ANESTHESIOLOGY AND
INTENSIVE CARE CLINICAL RESIDENCY IN STATE GRADUATES CERTIFICATION].
AB - The modern system of medical education requires objective methods to assess
clinical competence of medical specialists. Application of objective structured
clinical examination (OSCE) during the final certification of graduates of
clinical residency allows to evaluate the theoretical knowledge, manual skills.
Enabling simulation scenarios in the program makes it possible to objectively
evaluate the important non-technical skills of anesthesiologists, identify gaps
in the system of training and modify it. The experience of the objective
structured clinical examination as part of the state certification of graduates
of clinical residency of the Department ofAnesthesiology and Intensive Care,
Military MedicalAcademy after C M Kirov allows us to consider this technique in
an objective way a comprehensive assessment of the competence of health
professionals. Students confirmed its highly realistic, they have revealed the
presence of emotional stress during the simulation sessions, the majority agreed
that the simulation session increased the level of their readiness to address
these situations in clinical practice. Staff of the department is planning to
testing and introduction rating scales into a system of assessment, to improved
exam program, increasing the number of clinical scenarios for simulation
sessions.
PMID- 27192862
TI - [The memory of Raisa Ivanovna Novikova].
PMID- 27192863
TI - [The memory of Aleksandr Iosifovich Saltanov].
PMID- 27192864
TI - [The memory of Stanislav Valerianovich Obolenskiy].
PMID- 27192865
TI - [Neuronal migration in the brain and the mechanisms controlling the migration].
PMID- 27192866
TI - [Foreword].
PMID- 27192867
TI - [Structural study of enzymes involved in heme metabolism].
PMID- 27192868
TI - [Free N-glycans (FNG)--occurrence and diverse mechanisms for their formation and
degradation].
PMID- 27192869
TI - [Role of Nitric Oxide in Plant Defense System].
PMID- 27192870
TI - [Optogenetics on non-excitable cells].
PMID- 27192871
TI - [Astrocytes connect synapses with blood vessels].
PMID- 27192872
TI - [DDX5 is a novel targeting protein of tumor suppressor ARF].
PMID- 27192873
TI - [The mechanism of proteasome inhibition-mediated mitochondrial impairment].
PMID- 27192874
TI - [Functional properties of gamma-secretase in the yeast reconstitution system].
PMID- 27192875
TI - [Transcription factor Npas4 regulates the development of dendritic spines in
newborn olfactory bulb interneurons depending on sensory experience].
PMID- 27192876
TI - [Transgenic mouse model for imaging of inflammation in vivo].
PMID- 27192877
TI - [Neuronal circuitry for sensory processing in the spinal dorsal horn].
PMID- 27192878
TI - [Clarifying the novel myeloid differentiation mechanisms through identifying PU.1
target genes].
PMID- 27192879
TI - [Roles of TRPA1 in painful peripheral neuropathy].
PMID- 27192881
TI - [Regulation of mRNA length by FUS].
PMID- 27192880
TI - [Novel lysophospholipase D-type enzymes involved in the biosynthesis of N
acylethanolamines and lysophosphatidic acids].
PMID- 27192882
TI - [Molecular mechanisms of LRRK2-mediated abnormal phosphorylation of Tau protein].
PMID- 27192883
TI - [The ribosome cycle and translational regulation by the formation of 100S
ribosome].
PMID- 27192884
TI - [Mannose trimming mechanism in endoplasmic reticulum-associated degradation of
glycoproteins].
PMID- 27192885
TI - [Development of a method for identifying the substrates of specific ubiquitin
ligases].
PMID- 27192886
TI - [A novel flow cytometry-based cell capture platform for the detection, capture
and molecular characterization of rare tumor cells in blood].
PMID- 27192888
TI - CLINICAL FEATURES AND GENETIC ANALYSIS OF SIX PATIENTS WITH WISKOTT-ALDRICH
SYNDROME REPORTING TWO NOVEL MUTATIONS: EXPERIENCE OF ERCIYES UNIVERSITY,
KAYSERI, TURKEY.
AB - AIM: The Wiskott-Aldrich syndrome (WAS) is an X-linked immunodeficiency
characterized by micro-thrombocytopenia, eczema, and recurrent infections. We
aimed to share our experience with six children with WAS, including two patients
with two novel mutations. MATERIAL AND METHOD: We present phenotypical and
laboratory description of six patients with WAS. The initial clinical
presentation, biochemical and radiological features, molecular diagnosis together
with long-term follow-up data are provided. RESULTS: The patients showed
increased serum levels of IgE; otherwise the serum levels of IgM were decreased.
The percentages of CD3+ T cells were decreased or within lower limit. Four
patients underwent molecular genetics analysis and Western blot studies; two of
them showed unpublished mutations: a hemizygous splice site mutation in intron 8
(c.778-2A>T), and a hemizygous deletion in exon10 of the WASP gene (c.1017delT;
p.S339fsX444) were detected. Western blot studies confirmed the reduced WAS
protein expression in peripheral mononuclear blood cells in four studied
patients. CONCLUSIONS: The major characteristics of patients were
thrombocytopenia with decreased mean platelet volume and bleeding. All patients
had been previously misdiagnosed as idiopathic thrombocytopenic purpura,
demonstrating the importance of a careful differential diagnosis, and intense
evaluation.
PMID- 27192887
TI - A FURTHER PATIENT OF PURE 15q DELETION: CLINICAL AND MOLECULAR CYTOGENETIC
FINDINGS.
AB - A deletion of the distal long arm of chromosome 15 is generally reported with the
formation of ring chromosome 15, whereas an isolated 15q deletion is rarely
described. Here we report an 11 year-old girl, from non-consanguineous parents,
who was referred to the Pediatric Genetics Department with growth retardation and
multiple congenital abnormalities. In her medical history, she had a cleft
palate, hip dislocation and crossed renal ectopia. Dysmorphological evaluation
revealed a triangular face, low-set ears, fissured cleft tongue, micrognathia,
proximally placed hypoplastic thumbs, genu valgus, 2-3 toe skin syndactyly,
clinodactyly and nail hypoplasia. Speech problems were also noticed. The
karyotype was normal. Subtelomeric fluorescent in-situ hybridisation (FISH)
analysis showed a de novo terminal deletion about 755 kb. Furthermore, the
breakpoint was located within the CHSY1 gene that is responsible for Temtamy
preaxial brachydactyly syndrome which shares clinical features with 15qter
deletion syndrome. To the best of our knowledge, this deletion is the smallest
among reported patients. It is considered that the patient presented here
significant contribution to phenotype-genotype correlation in 15q deletion
patients.
PMID- 27192889
TI - CO-OCCURRENCE OF PRIMARY MICROCEPHALY CAUSED BY A NOVEL HOMOZYGOUS ASPM MUTATION
ALONG WITH X-LINKED ICHTHYOSIS IN THE SAME PATIENT.
AB - Autosomal recessive primary microcephaly is a heterogeneous genetic disorder
caused by genes that affect neurogenesis. This form of microcephaly has not been
associated with other congenital anomalies. ASPM mutations have been identified
as the major cause implicated in autosomal recessive primary microcephaly. X
linked recessive ichthyosis, is an inborn error of steroid sulfatase metabolism
characterized by dark and adhesive scaly skin. Here, we examined an Egyptian boy
presenting with microcephaly and simplified gyral pattern. Additionally, he had
ichthyosis that goes with the X-linked type. Mutation analyses of the ASPM gene
for autosomal recessive primary microcephaly and STS gene of X-linked recessive
ichthyosis were conducted revealing a co-occurrence of a novel homozygous splice
site mutation of ASPM gene (c.2936+1G>A) and a partial deletion of STS spanning
from exon 7-10. We propose that the phenotype of our patient results from the
combined effects of mutations in both ASPM and STS that account for the
neurological signs and skin manifestations, respectively. The association of
isolated X-linked recessive ichthyosis and autosomal recessive primary
microcephaly has never been reported in the literature. Careful clinical and
genetic assessment of patients with atypical clinical phenotypes is crucial for
detecting such rare double mutations and thus proper genetic counseling.
PMID- 27192890
TI - PARTIAL TRISOMY 4p AND PARTIAL MONOSOMY 13q: CASE REPORT AND A LITERATURE REVIEW.
AB - We report on a term first born dichorionic-diamniotic twin with deletion of the
distal long arm of chromosome 13, partial trisomy of the short arm of chromosome
4, intrauterine growth retardation, and multiple anomalies including
microcephaly, colpocephaly, absent corpus callosum, bulbous tip of the nose,
large and low set ears, macroglossia, thin upper lip, double outlet right
ventricle, atria/ventricular septal defect, cleft mitral valve, pulmonary
stenosis, single umbilical artery, multicystic dysplastic left kidney, sacral
dimple, anterior displacement of anus, simian creases, abnormal thumb (congenital
clasped thumb), overlapping toes, and congenital hypothyroidism. This is the
first report of a patient with partial trisomy 4p and partial monosomy 13q.
PMID- 27192891
TI - 22.5 MB DELETION OF 13q31.1-q34 ASSOCIATED WITH HPE, DWM, AND HSCR: A CASE REPORT
AND REDEFINING THE SMALLEST DELETED REGIONS.
AB - Partial deletion of the long arm of the chromosome 13, 13q deletion syndrome is a
rare chromosomal disorder characterized by severe growth and mental retardation,
microcephaly, facial dysmorphism, brain malformations (holoprosencephaly, Dandy
Walker malformation), distal limb defects, eye anomalies, genitourinary and
gastrointestinal tract malformations (Hirschsprung's disease). Approximately 1.2
Mb region in 13q32 was suggested as minimal critical region which is responsible
for severe mental and growth retardation and brain anomalies. Here we described a
male patient with de novo interstitial deletion of 13q31.1-q34 associated with
short stature, microcephaly, facial dysmorphism, clinodactyly, cryptorchidism,
micropenis, epilepsy, HPE, DWM, and HSCR. According to the literature review,
present case indicated that smallest deleted region associated with DWM and HPE
might be located at the 13q32.3, limb defects 13q34, anogenital malformations
13q33.3-34, and HSCR 13q31.1-32.1.
PMID- 27192892
TI - A MOLECULARLY CHARACTERIZED INTERSTITIAL DELETION ENCOMPASSING THE 11q14.1-q23.3
REGION IN A CASE WITH MULTIPLE CONGENITAL ABNORMALITIES.
AB - Interstitial deletion of chromosome 11 long arm is a rare event. In most of the
interstitial deletions on the long arm of chromosome 11 both the position and the
size of these deletions are heterogeneous making a precise karyotype-phenotype
correlation. In only a few of the reported cases has the deletion been
molecularly characterized. Our patient was a 13-year-old male presented; mental
motor retardation, strabismus, myopia, retinopathy, sensorineural hearing loss, a
long and triangular face, a broad forehead, hypotelorism, nasal septal deviation,
a beaked nose, hypoplastic ala nasie, bilateral low-set ears, a high arched
palate, crowded teeth, retrognathia, thin lips, a long neck, and sloping
shoulders, hyperactive behavior, pulmonary stenosis and lumbar scoliosis.
Conventional cytogenetic analysis revealed 46,XY,del(11)(q14.1-q23.3) karyotype
in the patient. Array-CGH analysis of the patient's DNA revealed an interstitial
deletion encompassing 33.2 Mb in the 11q14.1-q23.3 genomic region (chr11:
83,161,443-116,401,751 ; Hg19). In this report, we present a patient with an
interstitial deletion on the long arm of chromosome 11 that encompassed the
11q14.1-q23.3 region; and, using array-CGH analysis, we molecularly characterized
the deleted region.
PMID- 27192893
TI - PARTIAL OCULOCUTANEOUS ALBINISM AND IMMUNODEFICIENCY SYNDROMES: TEN YEARS
EXPERIENCE FROM A SINGLE CENTER IN TURKEY.
AB - BACKGROUND AND AIM: Partial oculocutaneous albinism and immunodeficiency (OCA-ID)
diseases are autosomal recessive syndromes characterized by partial
hypopigmentation and recurrent infections. Moreover, some OCA-ID syndromes confer
susceptibility to develop a life-threatening hyperinflammatory condition called
hemophagocytic lymphohistiocytosis (HLH). We investigated the genetic, clinical
and immunological characteristics of 20 OCA patients. MATERIAL AND METHODS:
Herein, we present the clinical and immunological characteristics of 20 OCA
patients who referred to the Department of Pediatric Immunology, Erciyes
University Medical Faculty in Kayseri, Turkey between 2004 and 2014. RESULTS: Of
the 20 OCA patients, 7 fulfilled diagnostic criteria for HLH, 9 showed defective
functions of CD8 T cells and natural killer cells, and 8 received a definitive
molecular diagnosis. Among the patients, we also report a patient diagnosed with
two different genetic defects, in TYR and JAK3 genes, causing, respectively, OCA
and ID. CONCLUSION: Our results illustrate the variability of clinical
presentations and disease severity in OCA-ID patients, with consequent challenges
in diagnosing and treating these patients.
PMID- 27192894
TI - OCULOECTODERMAL SYNDROME: A NEW CASE WITH GIANT CELL GRANULOMAS AND NON-OSSIFYING
FIBROMAS.
AB - Oculoectodermal syndrome (OES) is a very rare disorder with an unknown etiology
and characterized by aplasia cutis congenita, epibulbar dermoid and
hyperpigmentation areas on the skin. To the best of our knowledge, two cases of
OES have been reported to date with recurrent giant cell granuloma in the jaw and
one of them also had a non-ossified fibroma in the long bones. Herein, we report
the second case with aplasia cutis congenita, epibulbar dermoid,
hyperpigmentation along Blaschko lines and also giant cell granuloma in the jaw
and non-ossified fibromas in the bones.
PMID- 27192895
TI - HYPOPHOSPHATASIA WITH THE ASSOCIATION OF INV(1)(q11,q21.3) AND CLEFT PALATE.
PMID- 27192896
TI - AXILLARY ARTERY THROMBOSIS IN A NEWBORN HOMOZYGOUS FOR METHYLENETETRAHYDROFOLATE
REDUCTASE (A1298C) MUTATION AND HETEROZYGOUS FOR FACTOR V LEIDEN (G506A)
MUTATION.
PMID- 27192897
TI - Y-CHROMOSOME DE NOVO RECOMBINANTS. IMPLICATIONS FOR NOMENCLATURE.
PMID- 27192898
TI - A RARE COMBINATION OF 45,X/46,XY MOSAICISM AND Y CHROMOSOME MICRODELETION IN AN
INFERTILE MAN WITH AZOOSPERMIA.
PMID- 27192899
TI - [Diagnosis and treatment of solitary pterygoid benign lesions].
AB - OBJECTIVE: To investigate the clinical and pathological features of solitary
pterygoid benign lesions, as well as the treatment and outcome of endoscopic
surgery. METHOD: We retrospectively analyzed clinical data of 4 patients with
pterygoid benign lesions in our department. High resolution CT and enhanced MRI
were performed before the operations, then endoscopic surgeries were carried out
under the circumstance of general anesthesia. After a follow-up in 12 months to
48 months, nasal endoscopy and MRI examination were performed. Therefore we are
able to understand the situation of operations and postoperative recurrences, and
to inquire about the changes of symptoms and the relief of symptoms before and
after surgery. RESULT: From the Pathological diagnosis, it showed 2 cases of
cystic lesions, 1 case of spindle cell lipoma, 1 case of inflammatory lesion.
Postoperative follow up showed scar formation, smooth surface, no recurrence, and
no new symptom. Nasal obstruction is relieved after the surgery, and no changes
in the sympotoms of headache were observed. CONCLUSION: The combination of high
resolution CT with enhanced MRI isimportant for ascertaining the location, extent
and nature of the pterygoid lesions. Endoscopic surgery is a minimally invasive,
safe, and effective method for the treatment of solitary pterygoid benign
lesions.
PMID- 27192900
TI - [Expression and clinical significance of MICA in laryngeal carcinoma tissue and
cells].
AB - OBJECTIVE: To investigate the mRNA and protein expression of MICA in laryngeal
squamous cell carcinoma tissue and the Hep-2 cells. METHOD: Reverse transcriptase
polymerase chain reaction (RT-PCR) and Western-blot were used to detect the
expression of MICA mRNA and protein levels in the Hep-2 cells and laryngeal
cancer tissues. RESULT: The MICA mRNA showed higher expression in Hep-2 cells by
RT-PCR. Compared with the control, the mRNA expression of MICA was significantly
enhanced in laryngeal cancer tissues (t = 11.878, P < 0.01). The intensity of
MICA expression is not related to the clinical stage of cancer. MICA protein
demonstrated higher level expression by Western blot. The intensity of MICA
protein expression was decreased with increased clinical stage in laryngeal
cancer tissues. CONCLUSION: The MICA mRNA showed stronger expression in Hep-2
cells and laryngeal cancer tissues. The intensity of its expression is not
related to clinical stage of cancer. The MICA protein expression was strong in
Hep-2 cells. The intensity of MICA protein expression was decreased with
increased clinical stage in laryngeal cancer tissues. MICA may play an important
role in laryngeal carcinoma process.
PMID- 27192901
TI - [Remote fitting models analysis of hearing AIDS from primary hospitals: 45 case
reports].
AB - OBJECTIVE: To study the feasibility and the generalizability of the Remote
fitting models of hearing AIDS from primary hospitals. METHOD: we comparative
analyzed the speech recognition scores and satisfaction of 45 cases with
traditional hearing AID fitting and with a hearing aid remote test respectively.
RESULT: 45 cases were analyzed in each group, including traditional hearing AID
fitting model and remote test, and 35 recovered in traditional fitting model
group, and the recovery rate was 77.8%; Remote fitting model rehabilitation 42
cases, recovery rate was 93.3%, the difference was statistically significant (P <
0.05). In 6 weekend wear hearing AIDS, traditional fitting model of speech
recognition rate increased by 19.40% on average, the average distance fitting
model speech recognition rate increases by 27.47%, the average distance fitting
model than traditional fitting the speech recognition rate increased
significantly more (8.07%). Effect of hearing aid international questionnaire
results suggest: 45 cases using traditional model fitting hearing AIDS patients,
33 cases (73.3%) satisfaction, 12 cases (26.7%) patients after use. Remote and 45
cases of using hearing AIDS fitting model, satisfied with 40 cases (88.9%), 5
cases (11.1%) patients after use. CONCLUSION: the curative effect and the
satisfaction of remote fitting models of hearing AIDS on hearing impairment are
better than that in patients with traditional fitting models. Therefore it is
more worthy of clinical application especially in basic level hospitals.
PMID- 27192902
TI - [The value of lidocaine through different routes of administration in the
treatment of tinnitus: a Meta-analysis].
AB - OBJECTIVE: To evaluate the clinical value of lidocain in the treatment of
tinnitus through three routes of administration (intravenous, intratympanic and
acupoint injection) by analyzing literatures. METHOD: Articles were collected
through Hownet, Wanfang, VIP, Pubmed, SciVerse ScienceDirect, Springer and OVID,
etc. The articles were strictly evaluated based on their quality. The Meta
analysis was performed to evaluate the outcomes by RevMan 5. 2 software. RESULT:
A total of 16 articles with 1203 patients were enrolled in the analysis. Their
tinnitus history ranged from 7 hours to 20 years. Assessment methods include
tinnitus loudness levels, severity scales and subjective feelings. None of
articles refer to maintaining time, instead of "short-term", "short" and so on. A
total of 133 cases received intravenous injection and the effective rate was
73.4% (98 cases). 50 cases and 332 cases received intratympanic and acupoint
injection respectively and their effective rates were 74.0% and 87.7%,
respectively. The effective rate ranged from 42.4% to 58.3% in control group.
Meta-analysis results indicate that all three routes of lidocaine administrations
are more effective than conventional methods (P < 0.05). CONCLUSION: Different
routes of lidocaine administration have a good but short time effects on the
tinnitus control. It can effectively reduce the time of tinnitus habituation as a
complementary treatment. But its value still needs further evaluation.
PMID- 27192903
TI - [Analysis of olfactory rehabilitation after endoscopic sinus surgery in patients
with chronic sinusitis and nasal polyps].
AB - OBJECTIVE: To evaluate the influence of endoscopic sinus surgery on olfactory
disorder caused by chronic sinusitis and nasal polyps, by testing the olfactory
function of seventy-four patients before and after endoscopic sinus surgery,and
evaluate the outcomes of olfactory dysfunction after endoscopic sinus surgery in
patients with choinc rhinosinusitis and the related factors. METHOD: The
olfactory function of the seventy-four patients with chronic sinusitis and nasal
polyps were tested by the T&T olfactory testing method at pre-operation and four
weeks,twelve weeks, twenty-four weeks of post-operation. Patients were divided
into two groups, according to whether combined with allergic rhinitis. Data were
achieved by the scores of endoscopic appearances of Kennedy, and CT staging
system of Lund-Mackey. Parallel processing standard endoscopic operation and
processing specification during peri operation period. Analysing the degree of
olfactory rehabilitation in chronic sinusitis and nasal polyps with allergic
rhinitis. RESULT: (1) Compared with the level of olfactory function, significant
improvement was found at first month;however, the olfactory function level 4
weeks after operations was significantly statistically different with that 12
weeks and 24 weeks after operations; (2) Lund-Mackey sinus CT higher score is
related to the worse degree of postoperative nasal olfactory rehabilitation (P <
0.05). (3) The higher scores of endoscopic appearances of Kennedy is related to
the worse degree of postoperative nasal olfactory rehabilitation (P < 0.05). (4)
Allergic rhinitis is one of the factors of olfactory rehabilitation in chronic
rhinosinusitis with olfactory dysfunction olfactory rehabilitation, the olfactory
rehabilitation of patients with allergic rhinitis is worse than that in the
patients with no allergic rhinitis. (5) Course of disease is one of the factors
of chronic rhinosinusitis with olfactory dysfunction olfactory rehabilitation.
Longer the course of disease, worse the olfactory rehabilitation. (6) E osinophil
count was related to olfactory rehabilitation in chronic rhinosinusitis with
olfactory dysfunction olfactory rehabilitation. Higher Eosinophil count, worse
the olfactory rehabilitation. CONCLUSION: FESS plays a positive role in the
improvement of olfactory impairment in patients with CRS. It is important to
protect the olfactory mucous during surgical removal of sinonasal lesions. The
standard intraoperative procedure, treatment on allergic rhinitis and regular
follow-up after operation are also very important.
PMID- 27192904
TI - [Efficacy of combined modality therapy for intractable difficult-to-treat
rhinosinusitis].
AB - OBJECTIVE: To investigate the clinical effects of the combined modality therapy
for the patients with difficult-to-treat rhinosinusitis (DTRS). METHOD: The
clinical data involving 42 patients with DTRS were analyzed retrospectively. All
patients received revision endoscopic sinus surgery (ESS) and combined modality
therapy systematically and individually. The clinical effects of all patients
were observed 6- and 12-month following revision ESS. RESULT: Forty-two patients
were followed up for 6 months, whereas 35 patients were followed for 12 months
post operation. VAS scores of the patients significantly improved (P < 0.01) 6-
and 12-month after revision ESS, but there was no statistic difference (P > 0.05)
between 6- and 12-month post operation. Moreover, Lund-Kennedy scores by
endoscopy significantly improved (P < 0.01) 6- and 12-month following ESS.
Similarly, there was no statistic difference (P > 0.05) between 6- and 12-month
postoperatively. Additionally, within 6 months follow-up, 16 of 42 patients
(38.1%) were cured, 19 of 42 patients (45.2%) were improved, and 7 of 42 patients
(16.7%) were ineffective. The total effective rate in all patients was 83.3% 6
months postoperatively. While within 12 months follow-up, 11 of 35 patients
(31.4%) were cured, 15 of 35 patients (42.9%) were improved, and 9 of 35 patients
(25.7%) were ineffective. Hence, the total effective rate in 35 patients was
74.3% after 12-month follow-up. There was no statistic difference (chi2 = 1.019,
P > 0.05) between 6- and 12-month postoperatively. CONCLUSION: Appropriate
revision ESS plus the combined modality therapy has been proven to be an
effective method for the treatment of DTRS. The clinical effects in this study
are significant and stable, and thus it is worthy of further clinical
applications.
PMID- 27192905
TI - [Distribution and drug sensitivity test of bacteria of patients on chronic
rhinosinusitis with or without nasal polyps].
AB - OBJECTIVE: To study the distribution and drug sensitivity test of bacteria of
patients on chronic rhinosinusitis with or without nasal polyps. METHOD: The
purulent discharges were collected from sinus of 175 patients with chronic
rhinosinusitis with or without nasal polyps during endoscopic sinus surgery. The
results of germiculture and drug sensitivity test were analyzed. RESULT: From 175
specimens, 118 (67%) showed positive results in germiculture. Among them, 79
strains of gram positive bacteria and 39 strains of gram negative bacteria were
detected. Staphylococcus epidermidis, Staphylococcus aureus and Staphylococcus
haemolyticus were the most common pathogens in gram positive bacteria. The most
common pathogens of gram negative bacteria were P. Aeruginosa, Enterobacter
aerogenes, Enterobacter cloacae. The sensitive antibiotic on gram positive
bacteria were amikacin, Daptomycin, Linezolid, vancomycin, teicoplanin,
amoxicillin and clavulanate potassium, cefuroxime, respectively. The sensitive
antibiotics on Gram negative bacteria were amikacin, Cefoperazone/sulbactam and
imipenem, ceftazidime ceftazidime, aztreonam, levofloxacin, respectively.
CONCLUSION: Bacterial infection was common happened in the sinus cavity of
patients with chronic rhinosinusitis with or without nasal polyps. Gram positive
bacteria were the main pathogenic bacteria and gram positive bacteria and gram
negative bacteria have great differences in the sensitivity of antibiotics. For
patients with chronic rhinosinusitis, the using of antibiotics should depend on
the drug sensitivity test.
PMID- 27192906
TI - [Value of thyroid imaging reporting and data system and shear wave elastography
for diagnosis of thyroid microcarcinoma].
AB - OBJECTIVE: To investigate the value of each single and combined applications of
ultrasound thyroid imaging reporting and data system (TI-RADS), ultrasonic shear
wave elastography in the diagnosis of thyroid microcarcinoma (TMC). METHOD: 323
patients with thyroid tiny nodules confirmed by surgery and pathology were
analyzed prospectively. Then their TI-RADS and shear wave elastography features
were summarized. SWE elasticity indices (mean, minimum and maximum value of
interest) of nodules were measured. The surgical pathology results were regarded
as the gold standard to calculate the sensitivity, specificity, accuracy,
positive predictive value and negative predictive value of TI-RADS, shear wave
elastography and their combination in the diagnosis of TMC. The receiver
operating characteristic (ROC) curve of TI-RADS, shear wave elastography and
combined application of both were drawn. The area under the ROC curve were
calculated. Furthermore, the value of combined application of both examinations
in the diagnosis of thyroid microcarcinoma (TMC) was investigated. RESULT: From
323 nodules,253 were thyroid carcinomas and 70 were benign. Areas under the ROC
curves (AUC) of SWE parameters were 0. 648,0. 629 and 0. 605. For SWE, the
optimal cut-off value according to ROC curve was SWE_max = 65.50 kPa and SWE_mean
= 37.50 kPa. Generally, the sensitivity, specificity, accuracy, PPV and NPV were
88.14%, 82.86%, 87.00%, 94.89% and 65.91% for TI-RADS, and 49.01%, 74.29%,
54.49%, 87.32% and 28. 73% for SWE. There were statistically significant
differences in the sensitivity, accuracy, PPV and no statistically significant
differences in the specificity, and NPV. The sensitivity,specificity, accuracy,
PPV and NPV were 95.26%, 67.14%, 89.16%, 91.29% and 79.66% for combined
application of both. The area under the ROC curve of TI-RADS, shear wave
elastography and their combination were 0.763, 0.616, 0.804. For nodules <= 5 mm,
the sensitivity, specificity, accuracy, PPV and NPV were 91.67%, 66.67%, 87.07%,
92.44% and 64.29%. However, for nodules 5-10 mm,the sensitivity,specificity,
accuracy, PPV and NPV were 98.50%, 67.44%, 90.91%, 90.34% and 93.55%. CONCLUSION:
The diagnose of TMC can be further improved by combined application of ultra
sonic TI-RADS and SWE.
PMID- 27192907
TI - [Expression and significance of p-Stat3 and its downstream gene c-myc in
laryngeal squamous cell carcinoma tissue and cell line].
AB - OBJECTIVE: To detect the expressions of p-Stat3 and c-myc in human laryngeal
squamous cell carcinoma (LSCC) tissue and Hep2 cell line, and to find the
relationship between them. METHOD: Immunohistochemistry was used to detect the
expressions of p-Stat3 and c-myc in 60 cases of LSCC and 30 cases of vocal cord
polyp tissue. The protein levels of p-Stat3 and c-myc in Hep2 cell line was
determined by immunocytochemistry. Western blotting was used to determine the
protein levels of p-Stat3 and c-myc in Hep2 after treating with different
concentrations of Stattic. RESULT: The positive rates of p-Stat3 and c-myc were
65% and 70% in the LSCC tissue, compared with that in the vocal cord polyp
tissue, with significant difference (P < 0.05). The expression of p-Stat3 in LSCC
tissue was associated with that of c-myc (r = 0.273, P < 0.05). The protein
levels of p-Stat3 and c-myc were detected in the Hep2 cell line. Stattic
inhibited Stat3 phosphorylation and c-myc in the Hep2 cell line in a
concentration-dependent manner. CONCLUSION: p-Stat and c-myc were up regulated in
the tissue of laryngeal squamous cell carcinoma and the Hep2 cell line. Stattic
inhibits the constitutively active p-Stat3 signaling pathway, and downregulats
the expression of c-myc. The strong constitutive p-Stat3 signaling pathway in
LSCC makes p-Stat3 a target for the development of novel therapeutic strategies.
PMID- 27192908
TI - [Analysis on the clinical outcomes of idiopathic sudden sensorineural hearing
loss using the EuroQol 5-Dimension 3-Level questionnaire].
AB - OBJECTIVE: To investigate the applicability of EuroQol 5-Dimension 3-Level
questionnaire (Chinese Version 1.0) (EQ-5D-3L) in the assessment of life quality
of patients with idopathic sudden hearing loss. METHOD: In this retrospect study,
the EQ-5D-3L was assigned to 60 patients with idopathic sudden hearing loss
before and after drug therapy. Audiometry examinations were undertaken and
concomitant symptoms were recorded. A Wilcoxon rank sum test was applicated to
the comparisons of results of EQ-5D-3L before and after the treatment, a t-test
for results of EQ-VAS and a Kappa measurement for the consistency of certain
dimension correlated with the symptoms. RESULT: Sixty patients completed the five
questions and visual analog scale of the EQ-5D. A statistically significant
changes of pain/discomfort, anxiety/depression before and after the treatment
were observed (P < 0.05). The domains of mobility, self-care and usual activities
did not show statistically significant different (P > 0.05). The dimension of
pain/discomfort was in agreement with the concomitant symptoms. And the result of
EQ-VAS was in agreement with the therapeutic outcome. CONCLUSION: It is suitable
for the EQ-5D to be a general health measure with sensitivity to clinical change
in idiopathic sudden sensorineural hearing loss, which monitors the patients'
outcomes.
PMID- 27192909
TI - [Construction and screening effective sequence of shRNA targeting human trefoil
factor 3].
AB - OBJECTIVE: Trefoil factor 3 plays a pivot role in oncogenic transformation,
growth and metastatic extension of solid tumours besides mucosal protection. We
screened the best siRNA sequence targeting human TFF3 by the transient
transfection of the lentiviral mediated shRNA into thyroid carcinoma K1 cells
which secrete TFF3 themselves. METHOD: Four siRNA transcription template hairpin
structure target potential sites in human TFF3 mRNA sequence(132,170,258 and 537
bp,seperately) were selected and synthesized,as well as one negative
shRNA(shRNAC). After annealing in vitro, insert pLVX-shRNA-puro construct
recombinant plasmid, then enzyme digestion and sequencing analysis. The
lentiviral-shRNAs were transient-transfected into K1 cells. TFF3 mRNA and protein
levels were test by real-time PCR and western blot respectively in K1 cells at
48h post transient-transfected. RESULT: Genetic mutations in two sequences of
shRNA1~2, so the follow-up study terminated. The TFF3 expression were obviously
inhibited in K1 cells at 48 hours post transient-transfected of shRNA3 and
shRNA4. TFF3 (258-276) showed the highest silencing efficiency (TFF3 mRNA reduced
60.67% and TFF3 protein reduced 56.44%, P < 0.01) when the transfection
efficiency was 76.83%. CONCLUSION: pLVX-shRNA-puro-TFF3 expression plamid were
successfully constructed and the highest efficiency sequences were screened. All
these laid a foundation for further study about the function of TFF3 gene.
PMID- 27192910
TI - [The evaluation of curative effect of radiofrequency thermocoagulation on
semilunar ganglion of aged patients with recurrent trigeminal neuralgia].
AB - OBJECTIVE: To investigate curative effect and importance of radiofrequency
thermocoagulation onsemilunar ganglion of aged patients with recurrent trigeminal
neuralgia. METHOD: 112 cases with recurrent trigeminal neuralgia were performed
with radiofrequency thermocoagulation on semilunar ganglion by puncture through
skin under local anesthesia. RESULT: The maintaining effective duration in 112
cases was 7.3 +/- 1.3 years after primary therapy. After the first
thermocoagulation, 89.28% (100/112) patients got the relief from pain
immediately, 8 (7.14%) cases also recieved the same effect after twice treatment.
However two cases (2.6%) had no effects. The total effective rate was 98.21%.
During five follow-up years, VAS scores, dose of carbamazepine and satisfaction
were better than those before the treatment. The effective rate was still over
57.5% within three years after the treatment. The recurrent risk increased
obviously from 40 months after the treatment by Kaplan-Meier method. CONCLUSION:
Semilunar ganglion of radiofrequency thermocoagulation was an ideal option for
old-age patients with trigeminal neuralgia. It can be performed repeatedly.
PMID- 27192911
TI - [The significance of keratinocyte in hyperproliferation of middle ear
cholesteatoma].
AB - OBJECTIVE: In order to investigate the interaction between the cytokines and
keratinocyte and determine the role of cytokines in hyperproliferative of chronic
otitis media with cholesteatoma, we observe the expression of matrix
metalloproteinase 9 (MMP9), vascular endothelial growth factor (VEGF),
keratinocyte growth factor (KGF) and its receptor (KGFR) in middle ear
cholesteatoma. METHOD: We examined the expression of MMP9, VEGF, KGF, KGFR and Ki
67 by immunohistochemistry in 50 specimens from chronic otitis media with
cholesteatoma and 15 specimens from the normal skin of external auditory meatus.
Ki-67 as an evaluation of cholesteatoma proliferation markers were used to detect
the keratinocyte proliferative activity. RESULT: (1) The expression of VEGF and
MMP9 in cholesteatoma specimens was higher than normal skin, and the difference
was statistically significant (t = 4.914, P < 0.01; t = 3.284, P < 0.01). (2) The
expression of KGF and KGFR in middle ear tissues was higher than normal skin, and
the difference was statistically significant (t = 4.814, P < 0.01; t = 3.104, P <
0.01); The expression of KGF and KGFR increased, and the expression of Ki-67 also
correspondly increased in the cholesteatoma. (3) In the tissue MMP9 and VEGF were
positive. Mean optical density increased as well. KGF expression also increased
accordingly. CONCLUSION: MMP9, VEGF, KGF and KGFR proteins played an important
role in hyperproliferation of cholesteatoma tissues. VEGF, MMP9 and KGF had a
synergistic effect in hyperproliferation of cholesteatoma tissues.
PMID- 27192912
TI - [Value of high-resolution CT reconstruction technique in the assessment of
patients with hearing problem after the ossicular chain reconstruction].
PMID- 27192913
TI - [Correlations between allergen skin prick tests and patients' symptoms and
quality of life].
PMID- 27192914
TI - [The observation of therapeutic effect of auricle keloid by the operation of
through resection].
PMID- 27192915
TI - [Analysis of 20 mutation sites in 4 genes in neonates in Shaoguan City].
PMID- 27192916
TI - [A case of vocal cord contact granuloma after vocal cord polyp surgery].
AB - The vocal cord polyp is easy to relapse after surgery, but if the patient has
recurrence in a short term, it is necessary to consider it as postoperative vocal
cord contact granuloma. If the patients with contact granuloma after surgical
treatment had severe impact on the pronunciation, it is necessary to be operated
and confirmed by pathology and given the treatment of acid suppression, in order
to avoid postoperative recurrence.
PMID- 27192917
TI - [A case of large solitary fibrous tumor originated from the neck].
AB - Solitary fibrous tumor (SFT) is a rare spindle cell neoplasm that usually
develops in the pleura and peritoneum. The head and the neck region is involved
in only 6% of the cases. We described a rare case of large SFT originated from
the right neck region in a 32-year-old man. Enhanced CT scan revealed two large
completely resected masses in the carotid sheath region and the right thyroid
gland. Immunohistochemical studies showed positive CD34, CD99, NSE and bcl-2, and
negative Desmin, NF, S-100, CD31, F8, CK, MBP, indicating that the tumor was
benign. It showed no evidence of recurrence on the patient during 9 years follow
up.
PMID- 27192918
TI - [Maxillary sinus carcinoma combined with maxillary sinus fungal sinusitis: one
case report].
AB - A 70 years old male patient complained a sense of swelling on right facial, mild
pain, and the obstruction of right nasal, no complain of facial numbness and
toothache. Physical examination showed the slight bulging on right facial with
mild tenderness, purulent nasal secretions on the right middle nasal meatus, and
no significant neoplasm. CT scan showed that soft density tissue in the right
maxillary sinus,and the high density tissue in some period. Postoperative
diagnosis: carcinoma of maxillary sinus with fungal sinusitis.
PMID- 27192919
TI - [One case report of nasal sinus ossification].
AB - A 8-years-old male patient with a bulge of left eye ball for one mongth was
hospitalized. The inspection of the patient showed the movement on the left side
of the lateral nasal wall and a narrow nasal cavity. Orbital CT showed that the
left orbital ethmoid sinus, maxillary sinus cyst, left orbital, sphenoid sinus,
nasal cavity were damaged. A resection with the combination of approaches
including the left maxillary sinus, the ethmoid sinus, and the sphenoid sinus
osteofibroma was performed. 5 days after the operation, the nasal packing
material was removed and 7 days after the operation the stiches were removed. The
recovery of the patient was satisfied after the operation and no recurrence was
observed during one and half years follow up.
PMID- 27192920
TI - [The diagnosis and treatment of one huge cystic lymphangioma in etropharyngeal
space].
AB - One child was hospitalized because of repeated cough and sputum. The biopsy
diagnosis in local hospital was cystic lymphangioma in retropharyngeal space. We
carried out transoral incision and drainage by catheter under general anesthesia.
Put into the surgical cavity a suction drainage tube, and injected 5 mg
dexamethasone and 8mg Bleomycin. He had nasogastric liquid diet after operation.
We removed the suction drainage tube two weeks later. No recurrence was found
following up over two years.
PMID- 27192921
TI - [Progress of Th17 cells in chronic rhinosinusitis].
AB - Chronic rhinosinusitis is a common disease and frequently encountered disease in
otolaryngology, but the therapeutic effect is not ideal. While its pathogenesis
is exploring in the continuously. Found in the recent years, Th17 cells are a new
subset of T cells and closely related with inflammatory disorders, autoimmune
diseases and cancer. Its differentiation, regulation and biological effects are
widely noted as a hot area of research. This review explores the discovery of
differentiation and regulation of Th17 cells, the relationship between related
cytokines and chronic rhinosinusitis, in order to have a beteer knowledge of
chronic rhinosinusitis. This review regards Th17 cells as the main clue,
nevertheless, lacking consideration of the impacts of other factors on chronic
rhinosinusitis.
PMID- 27192922
TI - [Treatment options of T1 glottic carcinoma].
AB - T1 glottic carcinoma is part of early laryngeal carcinoma which involves the
vocal cords, including anterior commissure or posterior commissure. We analyzed
the treatment options of T1 glottic carcinoma by reviewing the related
literatures about T1 glottic carcinoma treated by conservative surgery (open
surgery and laser microsurgery), radiotherapy, robot surgery, photodynamic
treatment.
PMID- 27192923
TI - [Development of improving speech perception of cochlear implants in noisy
environment].
AB - Cochlear implantation has been a standard therapy for treating severe deafness
because patients who receive it have better speech perception. However, the
hearing performance of cochlear implantation in noisy environment is far from
satisfaction. Efforts have been made to reverse such condition, such as EAS,
bimodal stimulation, environment-adaptive speech enhancement and multipolar
stimulation, and patients who receive it get more or less better speech
perception in noisy environment than traditional cochlear implantation.
PMID- 27192924
TI - Kinematic analysis of the drag flick in field hockey.
AB - Attaining high speed of the stick head and consequently of the ball is essential
for successful performance of the drag flick in field hockey, but the
coordination pattern used to maximise stick head speed is unknown. The kinematics
of the drag flick was studied in ten elite hockey players who performed twenty
shots each towards a target located 1.5 m high. A 150 Hz active marker motion
analysis system was used, alongside two force plates to detect foot touchdown.
Angular velocity and contribution to stick endpoint speed of upper body joints
were analysed. Repeated measures ANOVA was used to compare timing of onset and
peak angular velocities between joints. Participants used a kinematic pattern
that was close to a proximal-to-distal sequence. Trunk axial rotation and lateral
rotation towards the target, right wrist flexion and left wrist extension were
the main contributors to stick endpoint speed. Coaches should emphasise trunk
rotations and wrist flexion and extension movements for maximising stick head
speed. Given the high level of the participants in this study, the coordination
of joints motions, as reported here, can serve as a guideline for drag flick
training.
PMID- 27192926
TI - Late radio-lunate arthritis following ulnar shortening osteotomy.
PMID- 27192925
TI - Use of a DNA film on a self-assembled monolayer for investigating the physical
process of DNA damage induced by core electron ionization.
AB - PURPOSE: A novel two-layer sample composed of a deoxyribonucleic acid (DNA) film
and self-assembled monolayer (SAM) was prepared on an inorganic surface to mimic
the processes in which DNA is damaged by soft X-ray irradiation. MATERIALS AND
METHODS: A mercaptopropyltrimethoxysilane (MPTS) SAM was formed on a sapphire
surface, then oligonucleotide (OGN) molecules were adsorbed on the MPTS-SAM. The
thicknesses and chemical states of the layers were determined by X-ray
photoelectron spectroscopy (XPS) and near-edge X-ray fine structure (NEXAFS)
around the phosphorus (P) and sulfur (S) K-edges. To induce the damage to the OGN
molecules, the sample was irradiated with synchrotron soft X-rays. The chemical
state of the OGN molecules before and after irradiation was examined by NEXAFS
around the nitrogen (N) K-edge region. RESULTS: The thickness of the MPTS-OGN
layer was approximately 7.7 nm. The S atom of the OGN molecules was located at
the bottom of the OGN layer. The peak shape of the N K-edge NEXAFS spectra of the
MPTS-OGN layers clearly changed following irradiation. CONCLUSIONS: The MPTS-OGN
layer formed on the sapphire surface. The chemical states and the structure of
the interface were elucidated using synchrotron soft X-rays. The OGN molecules
adsorbed on the MPTS films decomposed upon exposure to soft X-ray irradiation.
PMID- 27192929
TI - Obituary.
PMID- 27192927
TI - Treatment of post-traumatic chronic osteomyelitis of lower limbs by bone
transport technique using mono-lateral external fixator: Follow-up study of 18
cases.
AB - PURPOSE: To review the results of the treatment of post-traumatic chronic
osteomyelitis of lower limbs by bone transport technique using mono-lateral
external fixator. PATIENTS AND METHODS: A total of 18 patients with post
traumatic chronic osteomyelitis of lower limbs were treated by bone transport
technique using mono-lateral external fixator in this study. Seven cases
(patients No. 1-7) were performed early BGPO (autologous ilium bone grafting and
plate osteosynthesis) intervention after BT (bone transport). The end results
were assessed by the criteria of ASAMI and Paley et al. Some data between the
cases with and without the intervention of early BGPO were subjected to
statistical analysis of Student's t-test. RESULTS: The overall average time for
union was 10.8 months. The average union time of the non-early BGPO cases was
52.27 +/- 7.82 weeks while the early BGPO cases took a shorter time of 36.86 +/-
5.34 weeks (P < 0.001). The overall average duration from bone transport to
removal of external fixator was 10.0 months. The average duration from bone
transport to removal of external fixator in the non-early BGPO cases was 51.55 +/
7.45 weeks while the early BGPO cases took a shorter time of 29.29 +/- 5.47
weeks (P < 0.001). The overall average of BHI was 1.77 months cm(-1). The average
of BHI in the non-early BGPO cases was 2.25 +/- 0.25 months cm(-1) and the
average BHI of the early BGPO cases was 1.22 +/- 0.114 months cm(-1) (P < 0.001).
There were 31 additional surgeries and 38 complications in total after bone
transport treatment. The bone results were excellent in 15 cases, good in 3
cases. The functional results were excellent in 9 cases, good in 6 cases and fair
in 3 cases. CONCLUSIONS: 1. Bone transport technique using mono-lateral external
fixator is an effective method for the treatment of post-traumatic chronic
osteomyelitis. 2. Early BGPO intervention after bone transport is a feasible
method to shorten the external fixation time and the total duration of treatment.
PMID- 27192930
TI - New Insights into the Molecular Dynamics of P3HT:PCBM Bulk Heterojunction: A Time
of-Flight Quasi-Elastic Neutron Scattering Study.
AB - The molecular dynamics of organic semiconductor blend layers are likely to affect
the optoelectronic properties and the performance of devices such as solar cells.
We study the dynamics (5-50 ps) of the poly(3-hexylthiophene) (P3HT): phenyl-C61
butyric acid methyl ester (PCBM) blend by time-of-flight quasi-elastic neutron
scattering, at temperatures in the range 250-360 K, thus spanning the glass
transition temperature region of the polymer and the operation temperature of an
OPV device. The behavior of the QENS signal provides evidence for the
vitrification of P3HT upon blending, especially above the glass transition
temperature, and the plasticization of PCBM by P3HT, both dynamics occurring on
the picosecond time scale.
PMID- 27192928
TI - Assessment of different methods to estimate bovine colostrum quality on farm.
AB - AIMS: To evaluate two different hydrometers and an optical and a digital Brix
refractometer for the assessment of bovine colostrum quality, in terms of
accuracy and precision compared with the measurement of IgG concentrations using
radial immunodiffusion (RID), and to evaluate the reliability and repeatability
of the Brix refractometers. METHODS: To determine reliability and repeatability,
145 colostrum samples were tested by two independent observers twice, using the
optical and digital Brix refractometers. A further 193 colostrum samples from
Holstein cows were collected on one commercial dairy farm at first milking and
tested with two hydrometers and an optical and digital Brix refractometer. An
aliquot of each sample was frozen for RID measurement of IgG concentrations and
samples were classified as poor (<=50 g IgG/L) or good (>50 g IgG/L) quality
colostrum. Intraclass correlation coefficients (ICC) were used to determine inter
and intra-observer reliability and repeatability. Optimised cut-off values for
the four devices were determined using receiver operating characteristics (ROC)
analysis with the RID results as the reference. Using these cut-offs,
sensitivities and specificities for determining good quality colostrum were
calculated. RESULTS: The ICC for inter-observer reliability was 0.98 for the
optical Brix refractometer, and for intra-observer repeatability was 0.97 and
0.98 for the optical and the digital Brix refractometers, respectively. For the
193 colostrum samples, 67 (34.7%) had concentrations of IgG <=50 g/L determined
by RID. Optimised cut-off values evaluated by ROC analysis were higher for all
devices compared with manufacturer reference or previously published values.
Using these values, the sensitivities for the two hydrometers, and the optical
and the digital Brix refractometers were 0.73, 0.71, 0.56 and 0.79, respectively;
specificities were 0.72, 0.61, 0.90 and 0.69, respectively. CONCLUSIONS AND
CLINICAL RELEVANCE: The Brix refractometers provided the most accurate assessment
of colostrum quality of the devices evaluated, and demonstrated excellent
precision in terms of repeatability. To provide optimal health for newborn
calves, a sufficient intake of good quality colostrum is essential. The Brix
refractometers provide rapid, convenient tools for classification of colostrum
quality.
PMID- 27192931
TI - Consensus Among International Ethical Guidelines for the Provision of
Videoconferencing-Based Mental Health Treatments.
AB - BACKGROUND: Online technologies may reduce barriers to evidence-based mental
health care, yet they also create numerous ethical challenges. Recently, numerous
professional organizations and expert groups have produced best-practice
guidelines to assist mental health professionals in delivering online
interventions in an ethically and clinically sound manner. However, there has
been little critical examination of these international best-practice guidelines
regarding appropriate electronic mental health (e-mental health) service delivery
via technologies such as videoconferencing (including Skype), particularly for
specific, vulnerable populations. Further, the extent to which concordance exists
between these guidelines remains unclear. Synthesizing this literature to provide
clear guidance to both mental health professionals and researchers is critical to
ensure continued progress in the field of e-mental health. OBJECTIVE: This study
aims to review all currently available ethical and best-practice guidelines
relating to videoconferencing-delivered mental health treatments in order to
ascertain the recommendations for which international consensus could be found.
Additionally, this review examines the extent to which each set of guidance
addresses several key special populations, including children and young people,
and populations living with illness. METHODS: This systematic review examined
guidelines using a two-armed search strategy, examining (1) professional
organizations' published guidance; and (2) MEDLINE, PsycINFO, and EMBASE for the
past ten years. In order to determine consensus for best-practice, a
recommendation was considered "firm" if 50% or more of the reviewed guidelines
endorsed it and "tentative" if recommended by fewer guidelines than these. The
professional guidelines were also scored by two raters using the Appraisal of
Guidelines for Research and Evaluation II (AGREE-II) criteria. RESULTS: In the
study, 19 guidelines were included, yielding 11 specific "firm" and a further 123
"tentative-level" recommendations regarding the appropriateness of e-mental
health, competence, legal and regulatory issues, confidentiality, consent,
professional boundaries, and crisis management. International consensus yielded
firm guidance across almost all areas except professional boundaries and some
aspects of determining the appropriateness of e-mental health. Few guidelines
specifically addressed special populations. Overall guideline quality varied;
however, 42% (8/19) of the guidelines scored at least 5 out of 7. CONCLUSIONS:
This synthesis of guidelines provides a foundation for clinicians and researchers
utilizing e-mental health worldwide. The lack of specific guidance relating to
special populations is an area warranting further attention in order to
strengthen mental health professionals' and researchers' capacity to ethically
and effectively tailor e-mental health interventions to these groups.
PMID- 27192933
TI - Erythema papulosa semicircularis recidivans associated with primary pancreas B
cell lymphoma.
PMID- 27192934
TI - Altered hippocampal function in major depression despite intact structure and
resting perfusion.
AB - BACKGROUND: Hippocampal volume reductions in major depression have been
frequently reported. However, evidence for functional abnormalities in the same
region in depression has been less clear. We investigated hippocampal function in
depression using functional magnetic resonance imaging (fMRI) and
neuropsychological tasks tapping spatial memory function, with complementing
measures of hippocampal volume and resting blood flow to aid interpretation.
METHOD: A total of 20 patients with major depressive disorder (MDD) and a matched
group of 20 healthy individuals participated. Participants underwent multimodal
magnetic resonance imaging (MRI): fMRI during a spatial memory task, and
structural MRI and resting blood flow measurements of the hippocampal region
using arterial spin labelling. An offline battery of neuropsychological tests,
including several measures of spatial memory, was also completed. RESULTS: The
fMRI analysis showed significant group differences in bilateral anterior regions
of the hippocampus. While control participants showed task-dependent differences
in blood oxygen level-dependent (BOLD) signal, depressed patients did not. No
group differences were detected with regard to hippocampal volume or resting
blood flow. Patients showed reduced performance in several offline
neuropsychological measures. All group differences were independent of
differences in hippocampal volume and hippocampal blood flow. CONCLUSIONS:
Functional abnormalities of the hippocampus can be observed in patients with MDD
even when the volume and resting perfusion in the same region appear normal. This
suggests that changes in hippocampal function can be observed independently of
structural abnormalities of the hippocampus in depression.
PMID- 27192932
TI - Ageing and the pathogenesis of osteoarthritis.
AB - Ageing-associated changes that affect articular tissues promote the development
of osteoarthritis (OA). Although ageing and OA are closely linked, they are
independent processes. Several potential mechanisms by which ageing contributes
to OA have been elucidated. This Review focuses on the contributions of the
following factors: age-related inflammation (also referred to as 'inflammaging');
cellular senescence (including the senescence-associated secretory phenotype
(SASP)); mitochondrial dysfunction and oxidative stress; dysfunction in energy
metabolism due to reduced activity of 5'-AMP-activated protein kinase (AMPK),
which is associated with reduced autophagy; and alterations in cell signalling
due to age-related changes in the extracellular matrix. These various processes
contribute to the development of OA by promoting a proinflammatory, catabolic
state accompanied by increased susceptibility to cell death that together lead to
increased joint tissue destruction and defective repair of damaged matrix. The
majority of studies to date have focused on articular cartilage, and it will be
important to determine whether similar mechanisms occur in other joint tissues.
Improved understanding of ageing-related mechanisms that promote OA could lead to
the discovery of new targets for therapies that aim to slow or stop the
progression of this chronic and disabling condition.
PMID- 27192935
TI - Evolving Trends in Female to Male Incidence and Male Mortality of Primary Biliary
Cholangitis.
AB - Primary biliary cholangitis (PBC) has been regarded as female-predominant without
evidence of gender difference in survival. We aimed to compare the overall
survival, incidence and prevalence of PBC in two well defined population-based
studies over a recent decade, considering also sex ratios and mortality. We have
taken advantage of population-wide records, during 2000-2009, in Lombardia,
Northern Italy, and Denmark. We focused on the incident cases of PBC, including
gender and outcome, among 9.7 million inhabitants of Lombardia and 5.5 million of
Denmark. In Lombardia there were 2,970 PBC cases with a female:male ratio of
2.3:1. The age/sex-adjusted annual incidence of PBC was 16.7 per million. Point
prevalence was 160 per million on January 1(st) 2009. In Denmark there were 722
cases of incident PBC, female:male ratio was 4.2:1, and the annual incidence was
11.4 per million, a point prevalence of 115 per million in 2009. Cox regression
multivariate analysis identified male sex as an independent predictor of all
cause mortality in both Italian (HR 2.36) and Danish population (HR 3.04). Our
data indicate for PBC a sex ratio significantly lower than previously cited, a
reversal of the usual latitudinal difference in prevalence and a surprisingly
higher overall mortality for male patients.
PMID- 27192936
TI - Perturbation of gut bacteria induces a coordinated cellular immune response in
the purple sea urchin larva.
AB - The purple sea urchin (Strongylocentrotus purpuratus) genome sequence contains a
complex repertoire of genes encoding innate immune recognition proteins and
homologs of important vertebrate immune regulatory factors. To characterize how
this immune system is deployed within an experimentally tractable, intact animal,
we investigate the immune capability of the larval stage. Sea urchin embryos and
larvae are morphologically simple and transparent, providing an organism-wide
model to view immune response at cellular resolution. Here we present evidence
for immune function in five mesenchymal cell types based on morphology, behavior
and gene expression. Two cell types are phagocytic; the others interact at sites
of microbial detection or injury. We characterize immune-associated gene markers
for three cell types, including a perforin-like molecule, a scavenger receptor, a
complement-like thioester-containing protein and the echinoderm-specific immune
response factor 185/333. We elicit larval immune responses by (1) bacterial
injection into the blastocoel and (2) seawater exposure to the marine bacterium
Vibrio diazotrophicus to perturb immune state in the gut. Exposure at the
epithelium induces a strong response in which pigment cells (one type of immune
cell) migrate from the ectoderm to interact with the gut epithelium. Bacteria
that accumulate in the gut later invade the blastocoel, where they are cleared by
phagocytic and granular immune cells. The complexity of this coordinated, dynamic
inflammatory program within the simple larval morphology provides a system in
which to characterize processes that direct both aspects of the echinoderm
specific immune response as well as those that are shared with other
deuterostomes, including vertebrates.
PMID- 27192937
TI - Emerging role of immunoproteasomes in pathophysiology.
AB - The immunoproteasome is a proteasome variant that is found only in jawed
vertebrates. It is responsible for degrading intracellular proteins to generate a
major source of peptides with substantial major histocompatibility complex I
binding affinity. The immunoproteasome also has roles in T-cell survival,
differentiation and proliferation in various pathological conditions. In humans,
any alteration in the expression, assembly or function of the immunoproteasome
can lead to cancer, autoimmune disorders or inflammatory diseases. Although the
roles of the immunoproteasome in cancer and neurodegenerative disorders have been
extensively studied, its significance in other disease conditions has only
recently become known. Therefore, there is renewed interest in the development of
drugs, vaccines and biomarkers that target the immunoproteasome. The current
review highlights the involvement of this complex in disease pathology in
addition to the advances made in immunoproteasome research.
PMID- 27192943
TI - Exceptional photosensitivity of a polyoxometalate-based charge-transfer hybrid
material.
AB - An unusual room-temperature light sensitivity was realized in a polyoxometalate
based hybrid material due to cooperative multicomponent molecular charge-transfer
interactions taking place in this material, mainly among POMs, NDIs, and other
molecules. The functional pi-acidic NDI linkers and POM clusters in the discussed
hybrid material were individually designed as photosensors and electron
reservoirs. To propose a photo-induced charge-transfer mechanism, EPR, XPS, UV
Vis and computational studies were carried out, and indicated the presence of
active charge-transfer interactions among several of the components.
PMID- 27192938
TI - Human cytomegalovirus pUL10 interacts with leukocytes and impairs TCR-mediated T
cell activation.
AB - Human cytomegalovirus (HCMV) is known to exert suppressive effects on the host
immune system through expression of various viral genes, thus directly and
indirectly affecting antiviral immunity of the infected individuals. We report
here that HCMV UL10 encodes a protein (pUL10) with immunosuppressive properties.
UL10 has been classified as a member of the HCMV RL11 gene family. Although pUL10
is known to be dispensable for viral replication in cultured cells, its amino
acid sequence is well conserved among different HCMV isolates, suggesting that
the protein has a crucial role in viral survival in the host environment. We show
that pUL10 is cleaved from the cell surface of fibroblasts as well as epithelial
cells and interacts with a cellular receptor ubiquitously expressed on the
surface of human leukocytes, demonstrated by ex vivo cell-based assays and flow
cytometric analyses on both lymphoid cell lines and primary blood cells.
Furthermore, preincubation of peripheral blood mononuclear cells with purified
pUL10 ectodomain results in significantly impaired proliferation and
substantially reduced pro-inflammatory cytokine production, in particular in CD4+
T cells upon in vitro T-cell stimulation. The inhibitory effect of pUL10 is also
observed on antigen receptor-mediated intracellular tyrosine phosphorylation in a
T-cell line. Based on these observations, we suggest that pUL10 is a newly
identified immunomodulatory protein encoded by HCMV. Further elucidation of
interactions between pUL10 and the host immune system during HCMV may contribute
to finding ways towards new therapies for HCMV infection.
PMID- 27192941
TI - Large reversible caloric effect in FeRh thin films via a dual-stimulus
multicaloric cycle.
AB - Giant magnetocaloric materials are promising for solid-state refrigeration, as an
alternative to hazardous gases used in conventional cooling devices. A giant
magnetocaloric effect was discovered near room temperature in near-equiatomic
FeRh alloys some years before the benchmark study in Gd5Si2Ge2 that launched the
field. However, FeRh has attracted significantly less interest in cooling
applications mainly due to irreversibility in magnetocaloric cycles associated
with the large hysteresis of its first-order metamagnetic phase transition. Here
we overcome the irreversibility via a dual-stimulus magnetic-electric
refrigeration cycle in FeRh thin films via coupling to a ferroelectric BaTiO3
substrate. This experimental realization of a multicaloric cycle yields larger
reversible caloric effects than either stimulus alone. While magnetic hysteretic
losses appear to be reduced by 96% in dual-stimulus loops, we show that the
losses are simply transferred into an elastic cycle, contrary to common belief.
Nevertheless, we show that these losses do not necessarily prohibit integration
of FeRh in practical refrigeration systems. Our demonstration of a multicaloric
refrigeration cycle suggests numerous designs for efficient solid-state cooling
applications.
PMID- 27192939
TI - Subtle reproductive impairment through nitric oxide-mediated mechanisms in sea
urchins from an area affected by harmful algal blooms.
AB - The health of the sea urchin Paracentrotus lividus, a key species in the
Mediterranean Sea, is menaced by several pressures in coastal environments. Here,
we aimed at assessing the reproductive ability of apparently healthy P. lividus
population in a marine protected area affected by toxic blooms of Ostreospsis cf.
ovata. Wide-ranging analyses were performed in animals collected prior to and
during the bloom, as well as at several times thereafter, during the reproductive
season. Adults showed a low fertilization rate, along with high nitric oxide (NO)
levels in the gonads and the nitration of the major yolk protein toposome, which
is an important player in sea urchin development. Serious developmental anomalies
were observed in the progeny, which persist several months after the bloom. NO
levels were high in the different developmental stages, which also showed
variations in the transcription of several genes that were found to be directly
or indirectly modulated by NO. These results highlight subtle but important
reproductive flaws transmitted from the female gonads to the offspring with the
NO involvement. Despite a recovery along time after the bloom, insidious damages
can be envisaged in the local sea urchin population, with possible reverberation
on the whole benthic system.
PMID- 27192944
TI - Mueller-matrix of laser-induced autofluorescence of polycrystalline films of
dried peritoneal fluid in diagnostics of endometriosis.
AB - This research presents investigation results of the diagnostic efficiency of an
azimuthally stable Mueller-matrix method of analysis of laser autofluorescence of
polycrystalline films of dried uterine cavity peritoneal fluid. A model of the
generalized optical anisotropy of films of dried peritoneal fluid is proposed in
order to define the processes of laser autofluorescence. The influence of complex
mechanisms of both phase (linear and circular birefringence) and amplitude
(linear and circular dichroism) anisotropies is taken into consideration. The
interconnections between the azimuthally stable Mueller-matrix elements
characterizing laser autofluorescence and different mechanisms of optical
anisotropy are determined. The statistical analysis of coordinate distributions
of such Mueller-matrix rotation invariants is proposed. Thereupon the
quantitative criteria (statistic moments of the first to the fourth order) of
differentiation of polycrystalline films of dried peritoneal fluid, group 1
(healthy donors) and group 2 (uterus endometriosis patients), are determined.
PMID- 27192942
TI - Therapeutic Blockade of Immune Complex-Mediated Glomerulonephritis by Highly
Selective Inhibition of Bruton's Tyrosine Kinase.
AB - Lupus nephritis (LN) is a potentially dangerous end organ pathology that affects
upwards of 60% of lupus patients. Bruton's tyrosine kinase (BTK) is important for
B cell development, Fc receptor signaling, and macrophage polarization. In this
study, we investigated the effects of a novel, highly selective and potent BTK
inhibitor, BI-BTK-1, in an inducible model of LN in which mice receive
nephrotoxic serum (NTS) containing anti-glomerular antibodies. Mice were treated
once daily with vehicle alone or BI-BTK-1, either prophylactically or
therapeutically. When compared with control treated mice, NTS-challenged mice
treated prophylactically with BI-BTK-1 exhibited significantly attenuated kidney
disease, which was dose dependent. BI-BTK-1 treatment resulted in decreased
infiltrating IBA-1+ cells, as well as C3 deposition within the kidney. RT-PCR on
whole kidney RNA and serum profiling indicated that BTK inhibition significantly
decreased levels of LN-relevant inflammatory cytokines and chemokines. Renal RNA
expression profiling by RNA-seq revealed that BI-BTK-1 dramatically modulated
pathways related to inflammation and glomerular injury. Importantly, when
administered therapeutically, BI-BTK-1 reversed established proteinuria and
improved renal histopathology. Our results highlight the important role for BTK
in the pathogenesis of immune complex-mediated nephritis, and BTK inhibition as a
promising therapeutic target for LN.
PMID- 27192946
TI - Systematic Review of Submaximal Cycle Tests to Predict, Monitor and Optimize
Cycling Performance.
AB - Finding the optimal balance between high training loads and recovery is a
constant challenge for cyclists and their coaches. Monitoring improvements in
performance and levels of fatigue is recommended in order to correctly adjust
training to ensure optimal adaptation. However, many performance tests require a
maximal or exhaustive effort, which reduces their real-world application. The
purpose of this review was to investigate the development and use of submaximal
cycling tests which can be used to predict and monitor cycling performance and
training status. Twelve studies met the inclusion criteria and three separate
submaximal cycling tests were identified from within those studies. Submaximal
variables including; gross mechanical efficiency, VO2, heart rate, lactate,
predicted time to exhaustion, RPE, power output and heart rate recovery were the
components of the three tests. Predicted time to exhaustion, submaximal power
output, RPE and heart rate recovery appear to have the most value for monitoring
improvements in performance and indicate a state of fatigue. This literature
review shows that several submaximal cycle tests have been developed over the
last decade with the aim to predict, monitor and optimize cycling performance. To
be able to conduct a submaximal test on a regular basis, a submaximal test needs
to be short in duration and as minimally non-invasive as possible. In addition, a
test should capture multiple variables and use multi-variate analyses to
interpret the submaximal outcomes correctly and alter training prescription if
needed.
PMID- 27192947
TI - Psychological trauma after the Great East Japan Earthquake.
AB - The Great East Japan Earthquake (GEJE) struck the northeastern part of Japan on
11 March 2011 and triggered a devastating tsunami, causing widespread destruction
along the coast of northeastern Japan. The tsunami also led to an accident at the
Fukushima Daiichi nuclear power plant. Incidents occurring in such major
disasters are known to lead to psychological trauma. This paper has summarized
English-language documentation regarding GEJE-related psychological trauma or
post-traumatic stress disorder (PTSD). Research thus far has reported the
possibility of higher probable PTSD prevalence among residents of the GEJE areas
than in the average Japanese population during normal times. At the very least,
many people have experienced trauma symptoms at self-recognition levels 1 year or
longer after the disaster. It appears that the percentage of persons with high
PTSD risk was higher in regions with radiation-related impacts than in regions
where the main damage was caused by the earthquake and tsunami. Results have not
been limited to showing relations between severe exposure to a traumatic event
and PTSD symptoms but also show that a variety of factors, including social
factors, has been shown to interact with PTSD symptoms. The fact that Japanese
society as a whole united against the trauma of the GEJE may have worked to
minimize the effects of trauma. To grasp a full picture of the effects of
psychological trauma due to the GEJE, further surveys and research are necessary.
It will be necessary to continue engagements related to these problems and issues
into the future.
PMID- 27192945
TI - Proteomic profiling of cellulase-aid-extracted membrane proteins for functional
identification of cellulose synthase complexes and their potential associated-
components in cotton fibers.
AB - Cotton fibers are an excellent model for understanding of cellulose biosynthesis
in higher plants. In this study, we determined a high cellulose biosynthesis
activity in vitro by optimizing biochemical reaction conditions in cotton fibers.
By adding a commercial cellulase enzyme into fibers extraction process, we
extracted markedly higher levels of GhCESA1 and GhCESA8 proteins and observed an
increase in beta-1,4-glucan and beta-1,3-glucan products in vitro. LC-MS/MS
analysis of anti-GhCESA8-immunoprecipitated proteins showed that 19 proteins
could be found in three independent experiments including four CESAs
(GhCESA1,2,7,8), five well-known non-CESA proteins, one callose synthase (CALS)
and nine novel proteins. Notably, upon the cellulase treatment, four CESAs, one
CALS and four novel proteins were measured at relatively higher levels by
calculating total peptide counts and distinct peptide numbers, indicating that
the cellulase-aid-extracted proteins most likely contribute to the increase in
beta-glucan products in vitro. These results suggest that the cellulase treatment
may aid to release active cellulose synthases complexes from growing glucan
chains and make them more amenable to extraction. To our knowledge, it is the
first time report about the functional identification of the potential proteins
that were associated with plant cellulose and callose synthases complexes by
using the cellulase-aided protein extraction.
PMID- 27192949
TI - Hypnosis for pain management during labour and childbirth.
AB - BACKGROUND: This review is one in a series of Cochrane reviews investigating pain
management for childbirth. These reviews all contribute to an overview of
systematic reviews of pain management for women in labour, and share a generic
protocol. This review updates an earlier version of the review of the same title.
OBJECTIVES: To examine the effectiveness and safety of hypnosis for pain
management during labour and childbirth. SEARCH METHODS: We searched the Cochrane
Pregnancy and Childbirth Group's Trials Register (30 September 2015) and the
reference lists of primary studies and review articles. SELECTION CRITERIA:
Randomised controlled trials (RCTs) and quasi-RCTS comparing preparation for
labour using hypnosis and/or use of hypnosis during labour, with or without
concurrent use of pharmacological or non-pharmacological pain relief methods
versus placebo, no treatment or any analgesic drug or technique. DATA COLLECTION
AND ANALYSIS: Two review authors independently extracted data and assessed trial
quality. Where possible we contacted study authors seeking additional information
about data and methodology. MAIN RESULTS: We included nine trials randomising a
total of 2954 women. The risk of bias in trials was variable, there were several
well-designed large trials and some trials where little was reported about trial
design. Although eight of the nine trials assessed antenatal hypnotherapy, there
were considerable differences between these trials in timing and technique. One
trial provided hypnotherapy during labour. In this updated review we compared
hypnosis interventions with all control groups (main comparison) and also with
specific control conditions: standard care (nine RCTs), supportive counselling
(two RCTs) and relaxation training (two RCTs).In the main comparison, women in
the hypnosis group were less likely to use pharmacological pain relief or
analgesia than those in the control groups, (average risk ratio (RR) 0.73, 95% CI
0.57 to 0.94, eight studies, 2916 women; very low-quality evidence; random
effects model). There were no clear differences between women in the hypnosis
group and those in the control groups for most of the other primary outcomes.
There were no clear differences for sense of coping with labour (MD 0.22, 95% CI
0.14 to 0.58, one study, 420 women; low-quality evidence) or spontaneous vaginal
birth (average RR 1.12, 95% CI 0.96 to 1.32, six studies, 2361 women; low-quality
evidence; random-effects model). There were no clear differences for satisfaction
with pain relief (measured on a seven-point scale two weeks postnatally) for
women in the hypnosis group who also received pethidine (MD 0.41, 95% CI -0.45 to
1.27; one study, 72 women), Entonox (MD 0.19, 95% CI -0.19 to 0.57; one study,
357 women), self-hypnosis (MD 0.28, 95% CI -0.32 to 0.88; one study, 160 women),
or epidural (MD -0.03, 95% CI -0.40 to 0.34; one study, 127 women), but a slight
benefit in favour of hypnosis was seen for women who received water immersion (MD
0.52, 95% CI 0.04 to 1.00; one study, 174 women (all low-quality evidence). There
were no clear differences for satisfaction with pain relief when it was measured
as the number of women who reported they had adequate pain relief (risk ratio
(RR) 1.06, 95% confidence interval (CI) 0.94 to 1.20, one study, 264 women; low
quality evidence). It should be noted that for pharmacological pain relief and
spontaneous vaginal birth, there was evidence of considerable statistical
heterogeneity, which could not be fully explained by subgroup analysis.For this
review's secondary outcomes, no clear differences were found between women in the
hypnosis group and women in the control groups for most outcomes where data were
available. There was mixed evidence regarding benefits for women in the hypnosis
group compared with all control groups for pain intensity, satisfaction with
childbirth experience and postnatal depression. For each of these outcomes, data
from more than one trial were available for analysis but could not be combined
due to differences in measurement methods. There was evidence that fewer women in
the hypnosis group stayed in hospital for more than two days after the birth but
this finding was based on one small study (RR 0.11, 95% CI 0.02 to 0.83). No
clear differences between women in the hypnosis group and the control groups were
found for the other secondary outcomes where data were available.In the
comparisons of hypnosis with specific types of control conditions: standard care,
supportive counselling and relaxation training, there were no clear differences
found between women in the hypnosis group and those in the standard care control
groups or the relaxation control groups for the primary outcomes. Compared with
the women in the supportive counselling control group, women in the hypnosis
group were less likely to use pharmacological analgesia (average RR 0.48, 95% CI
0.32 to 0.73, two studies, 562 women). They were also more likely to have a
spontaneous vaginal birth (RR 2.42, 95% CI 1.43 to 4.07), although this finding
was based on the results of one small study. Overall these new comparisons
displayed much less statistical heterogeneity than the comparison including all
control groups. AUTHORS' CONCLUSIONS: There are still only a relatively small
number of studies assessing the use of hypnosis for labour and childbirth.
Hypnosis may reduce the overall use of analgesia during labour, but not epidural
use. No clear differences were found between women in the hypnosis group and
those in the control groups for satisfaction with pain relief, sense of coping
with labour or spontaneous vaginal birth. Not enough evidence currently exists
regarding satisfaction with pain relief or sense of coping with labour and we
would encourage any future research to prioritise the measurement of these
outcomes. The evidence for the main comparison was assessed using GRADE as being
of low quality for all the primary outcomes with downgrading decisions due to
concerns regarding inconsistency of the evidence, limitations in design and
imprecision. Further research is needed in the form of large, well-designed
randomised controlled trials to assess whether hypnosis is of value for pain
management during labour and childbirth.
PMID- 27192948
TI - Choroidal thickness does not predict visual acuity in young high myopes.
AB - PURPOSE: To investigate the influence of choroidal thickness (CT) on visual
acuity (VA) in young persons with extremely high myopia. METHODS: We conducted a
case-control study of young men with extremely high myopia and controls with
emmetropia recruited from a mandatory medical eye review for employment purposes.
Retinal and choroidal imaging was performed using enhanced depth imaging (EDI)
spectral-domain optical coherence tomography (SD-OCT). RESULTS: We included 105
extremely high myopic subjects with spherical equivalent (SE) -10 dioptre (D) or
worse and 50 emmetropic subjects with SE less than 0.5 D. The mean SE was -11.56
+/- 2.04 D (range, -10 to -23 D) for myopic group and 0.14 +/- 0.25 D for
emmetropic group. The mean age of included myopic and emmetropic subjects was
21.51 +/- 1.22 years and 21.96 +/- 0.89 years, respectively. In the univariate
analysis, subfoveal CT was significantly associated with best-corrected visual
acuity (BCVA) (beta = -0.039, p = 0.015). However, in the multiple linear
regression analysis, after adjusting for SE and presence of any pathological
myopia lesions such as posterior staphyloma, lacquer cracks or chorioretinal
atrophy, subfoveal CT was not independently associated with BCVA (p = 0.937).
CONCLUSION: Extremely high myopic eyes had thinner choroid, but CT was not an
independent predictor of VA. Other factors related to myopia are likely
responsible for the diminished VA in young extremely high myopic eyes.
PMID- 27192950
TI - Targeting CTLA-4, PD-L1 and IDO to modulate immune responses in vitiligo.
AB - For decades, an extensive debate is continued on the pathophysiology of vitiligo.
Numerous hypotheses have been put forward, and many supported by well-documented
arguments. Regardless of the initiating steps, most experts agree that an immune
based melanocyte destruction is responsible for the final steps leading to
epidermal depigmentation. It is remarkable that currently the only therapeutic
approach to counter this phenomenon consists of non-specific local and systemic
immunosuppressants. Immunotherapy for melanoma reveals that targeting factors
involved in peripheral tolerance are sufficient to break the natural defense
mechanisms to develop skin depigmentations. Therapeutically enhancing these
immune checkpoints seems therefore a promising long-term therapy for vitiligo. In
this viewpoint, we propose this strategy as a promising therapeutic option for
vitiligo. Several approaches are proposed with a focus on cytotoxic T-lymphocyte
associated protein 4, programmed death ligand-1 and indoleamine 2,3-dioxygenase.
PMID- 27192951
TI - Maturing insights into the genetic architecture of neurodevelopmental disorders -
from common and rare variant interplay to precision psychiatry.
AB - The categorisation of neurodevelopmental and psychiatric disorders by clinical
syndromes, rather than by aetiology, continues to obstruct progress in biomarker
identification as well as innovative drug development and effective treatment in
general. There is a decisive move to think of neurodevelopmental disorders as a
spectrum rather than discrete categorical entities. We might call them
neurodevelopmental spectrum disorders (NSDs) ranging from intellectual disability
(ID) to autism (ASD), and attention-deficit/hyperactivity disorder (ADHD) (Kiser,
Rivero, & Lesch, ).
PMID- 27192952
TI - Editorial Perspective: Psychological stress and epigenetic aging - what can we
learn and how can we prevent?
AB - Psychological stress can exert a lasting impact on the aging process. This
hypothesis, long posited by Hans Selye, has been supported by evidence linking
stressors with several aging-related disease phenotypes. However, little is known
about the molecular mechanisms underlying this association. Among plausible
mechanisms linking stress and aging, evidence supports the role of epigenetic
modifications, a set of molecular processes that can be induced by environmental
stressors and regulate gene expression without altering the underlying genetic
sequence. In particular, recent evidence shows that psychological stress can
accelerate epigenetic aging, a measure based on DNA methylation prediction of
chronological age that shows promise as biomarker of aging. Some studies further
suggest that epigenetic aging could be modifiable, albeit others contradict this
hypothesis. Future studies will need to determine the preventability or
reversibility of epigenetic aging in response to distinct interventions and the
potential clinical implications of such a prevention or reversal.
PMID- 27192953
TI - Commentary: Switching the zoom on the ADHD research lens - a reflection on
Leventakou et al. (2016).
AB - The study by Leventakou and colleagues is emblematic of a welcome change in focus
in attention-deficit/hyperactivity disorder (ADHD) research. First, the authors
focused on the overlooked association between ADHD and aberrant eating patterns,
reflecting an emerging change in the conceptualization of ADHD as a condition
affecting not only high-level cognitive processes but also more basic functions
such as eating and sleeping, as well as the underlying complex metabolic and
possibly inflammatory pathways. Second, the authors focused, for the first time,
on the relationship between ADHD and eating disorders in preschoolers, which is
of relevance for the design of preventive strategies. Third, they zoomed closely
to several types of aberrant eating behaviours; besides confirming the
association of ADHD symptoms to emotional overeating, they also found an
intriguing relationship between impulsivity and food fussiness. Further changes
in perspective focusing on the underlying mechanisms, as well as using a wide
angle lens to capture the longitudinal relationship between ADHD and aberrant
eating behaviours will not only provide a more detailed (clinical) picture of
individuals with ADHD but will also hopefully lead to more effective
preventive/treatment strategies.
PMID- 27192954
TI - Commentary: Networks of peers, genes, and explanations - reflections on Szekely
et al. (2016).
AB - Much has been learned about the origins and effects of peer relationships on the
health and well-being of children, adolescents, and adults. This commentary on
Szekely et al. examines the role of genetics research on peer relationships, and
the arising methodological and conceptual questions. Research findings on the
genetics of peer networks illustrate how genetic influences may shape complex and
dynamic phenotypes. Equally complex is the application of these findings for
theory and practice.
PMID- 27192955
TI - Commentary: Cognitive and emotional empathy in transdiagnostic research -
reflections on Klapwijk et al. (2016).
AB - Evidence across multiple disorders indicates that empathy is a transdiagnostic
dimension of psychopathology. Klapwijk et al.'s (2016) functional MRI study
examines whether autism spectrum disorder (ASD) and conduct disorder (CD) can be
distinguished by the constructs of 'cognitive' and 'emotional' empathy - with the
former focusing on accurate emotion perception and the latter on shared affective
experience. This commentary examines the implications of the cognitive/emotional
empathy distinction, and how it fits with existing accounts of perceptual
differences in ASD. Cognitive empathy overlaps substantially with the constructs
of emotion perception and Theory of Mind - both well studied among individuals
with ASD, but generally viewed as fairly distinct from empathy. CD, on the other
hand, is typically not associated with frank perceptual deficits. Although the
brain imaging data from this study do not provide strong support for the
constructs of cognitive and emotional empathy, the general approach used in this
study is precisely the kind needed to test the validity and utility of
transdiagnostic mechanisms of psychopathology.
PMID- 27192957
TI - Erratum.
PMID- 27192956
TI - Commentary: Objective aids for the assessment of ADHD - further clarification of
what FDA approval for marketing means and why NEBA might help clinicians. A
response to Arns et al. (2016).
AB - Neuropsychiatric EEG-Based ADHD Assessment Aid (NEBA) is an EEG-based device
designed to aid in the diagnostic process for ADHD by identifying individuals
less likely to have ADHD by virtue of a lower theta/beta ratio. In using NEBA as
an example, the Arns et al. commentary misstates the purpose of NEBA, which is to
widen the differential rather than to make the diagnosis. Arns et al. caution
about missing an ADHD diagnosis, but fail to mention the impact of overdiagnosis.
If we are to advance our knowledge of the etiology and pathophysiology of ADHD,
as well as develop tailored treatments and ultimately improve outcomes for ADHD,
then biomarkers and objective assessment aids such as NEBA are needed to improve
and refine diagnostic accuracy beyond symptom description and clinical history.
PMID- 27192958
TI - Contextualizing individual differences in error monitoring: Links with
impulsivity, negative affect, and conscientiousness.
AB - The error-related negativity (ERN) is a neural measure of error processing that
has been implicated as a neurobehavioral trait and has transdiagnostic links with
psychopathology. Few studies, however, have contextualized this traitlike
component with regard to dimensions of personality that, as intermediate
constructs, may aid in contextualizing links with psychopathology. Accordingly,
the aim of this study was to examine the interrelationships between error
monitoring and dimensions of personality within a large adult sample (N = 208).
Building on previous research, we found that the ERN relates to a combination of
negative affect, impulsivity, and conscientiousness. At low levels of
conscientiousness, negative urgency (i.e., impulsivity in the context of negative
affect) predicted an increased ERN; at high levels of conscientiousness, the
effect of negative urgency was not significant. This relationship was driven
specifically by the conscientiousness facets of competence, order, and
deliberation. Links between personality measures and error positivity amplitude
were weaker and nonsignificant. Post-error slowing was also related to
conscientiousness, as well as a different facet of impulsivity: lack of
perseverance. These findings suggest that, in the general population, error
processing is modulated by the joint combination of negative affect, impulsivity,
and conscientiousness (i.e., the profile across traits), perhaps more so than any
one dimension alone. This work may inform future research concerning aberrant
error processing in clinical populations.
PMID- 27192959
TI - Association of NOS2 and NOS3 gene polymorphisms with susceptibility to type 2
diabetes mellitus and diabetic nephropathy in the Chinese Han population.
AB - Inducible nitric oxide synthase (NOS2) and endothelial nitric oxide synthase
(NOS3) gene play important roles in the susceptibility to type 2 diabetes
mellitus (T2DM). The present study aims to detect the potential association of
NOS2 and NOS3 gene polymorphisms with the susceptibility toT2DM and diabetic
nephropathy (DN) in the Chinese Han population. Four hundred and ninety T2DM
patients and 485 healthy controls were enrolled in this case-control study. The
genotypes of NOS2 and NOS3 gene polymorphisms were analyzed by the polymerase
chain reaction (PCR)-ligase detection reaction (LDR) method. Our data
demonstrated that the NOS2 rs2779248 and NOS2 rs1137933 genetic polymorphisms
were significantly associated with the increased susceptibility to T2DM in the
heterozygote comparison, dominant model, and allele contrast; and NOS3 rs3918188
genetic polymorphism was significantly associated with the increased
susceptibility to T2DM in the homozygote comparison and recessive model. The
allele-C and genotype-TC of NOS2 rs2779248, allele-A and genotype-GA of NOS2
rs1137933 and genotype-AA of NOS3 rs3918188 genetic polymorphisms might be the
risk factors for increasing the susceptibility to T2DM. And a significant
haplotype effect of NOS2 rs10459953/C- rs1137933/G- rs2779248/T was found between
T2DM cases and controls. Moreover, NOS3 rs1800783 polymorphism was significantly
associated with the increased susceptibility to DN in the heterozygote
comparison, recessive model and allele contrast. At last, a positive correlation
of family history of diabetes with NOS3 rs11771443 polymorphism was found in DN.
These preliminary findings indicate that the NOS2 rs2779248, NOS2 rs1137933, and
NOS3 rs3918188 genetic polymorphisms are potentially related to the
susceptibility to T2DM, and the rs1800783 polymorphism might be considered as
genetic risk factors for diabetic nephropathy, and family history of diabetes was
closely associated with rs11771443 polymorphism in DN, and the genetic variants
might be used as molecular markers for evaluating the risk of T2DM and diabetic
nephropathy. (c) 2016 IUBMB Life, 68(7):516-525, 2016.
PMID- 27192960
TI - Functional dichotomy of Vdelta2 gammadelta T cells in chronic hepatitis C virus
infections: role in cytotoxicity but not for IFN-gamma production.
AB - Vdelta2 gammadelta (Vdelta2) T cells, a major human gammadelta T cell subset,
exhibit broad anti-tumor and anti-infective activity; however, their precise role
in chronic hepatitis C virus (HCV) infections remains unclear. In this study, we
analyzed the phenotype and function of Vdelta2 T cells in 43 HCV-infected
patients compared to 39 healthy controls (HCs). Vdelta2 T cells from HCV-infected
patients were activated and differentiated into effector cells. Vdelta2 T cells
in patients expressed significantly higher levels of natural killer (NK) cell
markers CD56 and CD16 than in HCs, acquiring cytotoxic NK-like phenotype. The
Vdelta2 T cell phenotype was associated with increased cytolytic effector
molecules expression in HCV-infected patients with elevated serum ALT levels.
Surprisingly, Vdelta2 T cells in patients had a markedly impaired capacity to
produce IFN-gamma. Further in vitro and in vivo analysis showed that interferon
alpha, which was induced during HCV infection, caused Vdelta2 T cell function
bias toward cytotoxicity. These results suggest a functional dichotomy for
Vdelta2 T cells in chronic HCV infections: a role in cytotoxicity but not for IFN
gamma production, which may contribute to both the liver inflammation and HCV
persistence.
PMID- 27192962
TI - Strongly Coupled Cyclometalated Ruthenium Triarylamine Chromophores as
Sensitizers for DSSCs.
AB - A series of anchor-functionalized cyclometalated bis(tridentate) ruthenium(II)
triarylamine hybrids [Ru(dbp-X)(tctpy)](2-) [2 a](2-) -[2 c](2-) (H3
tctpy=2,2';6',2''-terpyridine-4,4',4''-tricarboxylic acid; dpbH=1,3
dipyridylbenzene; X=N(4-C6 H4 OMe)2 ([2 a](2-) ), NPh2 ([2 b](2-) ), N-carbazolyl
[2 c](2-) ) was synthesized and characterized. All complexes show broad
absorption bands in the range 300-700 nm with a maximum at about 545 nm. Methyl
esters [Ru(Me3 tctpy)(dpb-X)](+) [1 a](+) -[1 c](+) are oxidized to the strongly
coupled mixed-valent species [1 a](2+) -[1 c](2+) and the Ru(III) (aminium)
complexes [1 a](3+) -[1 c](3+) at comparably low oxidation potentials.
Theoretical calculations suggest an increasing spin delocalization between the
metal center and the triarylamine unit in the order [1 a](2+) <[1 b](2+) <[1
c](2+) . Solar cells were prepared with the saponified complexes [2 a](2-) -[2
c](2-) and the reference dye N719 as sensitizers using the I3 (-) /I(-) couple
and [Co(bpy)3 ](3+/2+) and [Co(ddpd)2 ](3+/2+) couples as [B(C6 F5 )4 ](-) salts
as electrolytes (bpy=2,2'-bipyridine; ddpd=N,N'-dimethyl-N,N'-dipyridin-2-yl
pyridine-2,6-diamine). Cells with [2 c](2-) and I3 (-) /I(-) electrolyte perform
similarly to cells with N719. In the presence of cobalt electrolytes, all
efficiencies are reduced, yet under these conditions [2 c](2-) outperforms N719.
PMID- 27192963
TI - Exploring factors affecting registered nurses' pursuit of postgraduate education
in Australia.
AB - The aim of this study was to explore the factors influencing registered nurses'
pursuit of postgraduate education in specialty nursing practice in Australia.
Despite the increased requirement for postgraduate education for advanced
practice, little has been reported on the contributory factors involved in the
decision to undertake further education. The Nurses' Attitudes Towards
Postgraduate Education instrument was administered to 1632 registered nurses from
the Nurses and Midwives e-Cohort Study across Australia, with a response rate of
35.9% (n = 568). Data reduction techniques using principal component analysis
with varimax rotation were used. The analysis identified a three-factor solution
for 14 items, accounting for 52.5% of the variance of the scale: "facilitators,"
"professional recognition," and "inhibiting factors." Facilitators of
postgraduate education accounted for 28.5% of the variance, including: (i)
improves knowledge; (ii) increases nurses' confidence in clinical decision
making; (iii) enhances nurses' careers; (iv) improves critical thinking; (v)
improves nurses' clinical skill; and (vi) increased job satisfaction. This new
instrument has potential clinical and research applications to support registered
nurses' pursuit of postgraduate education.
PMID- 27192964
TI - Valley polarization and p-/n-type doping of monolayer WTe2 on top of Fe3O4(111).
AB - The electronic properties of monolayer WTe2 on top of Fe3O4(111) are investigated
by density functional theory. We find that the substrate termination of
Fe3O4(111) can switch the conductivity of monolayer WTe2 from the p- to n-type.
However, the stacking pattern can critically influence its electronic structure.
For Fe(A)-terminated interfaces, stronger-bonding models show Fermi level
pinning. Additionally, the time-reversal symmetry is broken by the proximity that
leads to valley polarization. With particular stacking patterns, large valley
splittings of 139, -76 and -72 meV are obtained for Fe(A)-, Fe(B)- and O
terminated models, respectively. Moreover, Fe(B)- and O-terminated ones have more
applicable significance for valleytronics as no interference of the interface
state appears at the valence band maximum. We demonstrate that proximity to a
room-temperature ferromagnet is a convenient way to obtain valley polarization
and adjust the conductivity of monolayer WTe2.
PMID- 27192961
TI - How motif environment influences transcription factor search dynamics: Finding a
needle in a haystack.
AB - Transcription factors (TFs) have to find their binding sites, which are
distributed throughout the genome. Facilitated diffusion is currently the most
widely accepted model for this search process. Based on this model the TF
alternates between one-dimensional sliding along the DNA, and three-dimensional
bulk diffusion. In this view, the non-specific associations between the proteins
and the DNA play a major role in the search dynamics. However, little is known
about how the DNA properties around the motif contribute to the search.
Accumulating evidence showing that TF binding sites are embedded within a unique
environment, specific to each TF, leads to the hypothesis that the search process
is facilitated by favorable DNA features that help to improve the search
efficiency. Here, we review the field and present the hypothesis that TF-DNA
recognition is dictated not only by the motif, but is also influenced by the
environment in which the motif resides.
PMID- 27192965
TI - Identification of a novel HLA-DRB1*07 allele, HLA-DRB1*07:01:19.
AB - HLA-DRB1*07:01:19 differs from DRB1*07:01:01:01 by a single nucleotide
substitution at position 261 of exon 2.
PMID- 27192966
TI - Recognising and referring children exposed to domestic abuse: a multi
professional, proactive systems-based evaluation using a modified Failure Mode
and Effects Analysis (FMEA).
AB - Failure Modes and Effects Analysis (FMEA) is a prospective quality assurance
methodology increasingly used in healthcare, which identifies potential
vulnerabilities in complex, high-risk processes and generates remedial actions.
We aimed, for the first time, to apply FMEA in a social care context to evaluate
the process for recognising and referring children exposed to domestic abuse
within one Midlands city safeguarding area in England. A multidisciplinary, multi
agency team of 10 front-line professionals undertook the FMEA, using a modified
methodology, over seven group meetings. The FMEA included mapping out the process
under evaluation to identify its component steps, identifying failure modes
(potential errors) and possible causes for each step and generating corrective
actions. In this article, we report the output from the FMEA, including
illustrative examples of the failure modes and corrective actions generated. We
also present an analysis of feedback from the FMEA team and provide future
recommendations for the use of FMEA in appraising social care processes and
practice. Although challenging, the FMEA was unequivocally valuable for team
members and generated a significant number of corrective actions locally for the
safeguarding board to consider in its response to children exposed to domestic
abuse.
PMID- 27192967
TI - Re: Atomic force microscopy and scanning electron microscopy analysis of daily
disposable limbal ring contact lenses.
PMID- 27192968
TI - Response to RE: Atomic force microscopy and scanning electron microscopy analysis
of daily disposable limbal ring contact lenses.
PMID- 27192969
TI - Dasatinib in imatinib-resistant or -intolerant chronic-phase, chronic myeloid
leukemia patients: 7-year follow-up of study CA180-034.
AB - Dasatinib was approved at 100 mg once daily for imatinib-resistant or -intolerant
patients with chronic myeloid leukemia (CML) in chronic phase, based on results
of the phase 3 CA180-034 (NCT00123474) study. Here we present the final 7-year
analysis of this pivotal study, the longest follow-up to date of any second
generation BCR-ABL1 tyrosine kinase inhibitor (TKI). Patients (n = 670) with
imatinib-resistant or -intolerant CML in chronic phase received dasatinib.
Nineteen percent of patients continued on study treatment, with a greater
proportion in the 100 mg once daily arm remaining on therapy. Seven-year rates
for major molecular response (MMR), progression-free survival (PFS), and overall
survival (OS) were similar across doses; MMR, PFS, and OS results were 46, 42,
and 65% at 100 mg once daily, respectively. Improved PFS and OS rates were
reported in patients who achieved BCR-ABL1 <=10% at 3 and 6 months. No new safety
signals were identified. The incidence of drug-related pleural effusion was 28%
at 100 mg once daily and 35% at the other three dose groups. Incidence of drug
related pulmonary hypertension and pulmonary arterial hypertension remained low
(<=3% across all doses). Arterial ischemic events occurred in <=4% of patients
across all doses. These data support the long-term efficacy and well-established
safety profile of dasatinib for patients with imatinib-resistant or -intolerant
CML in chronic phase. Am. J. Hematol. 91:869-874, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27192970
TI - Intraindividual dynamics of transcriptome and genome-wide stability of DNA
methylation.
AB - Cytosine methylation at CpG dinucleotides is an epigenetic mechanism that affects
the gene expression profiles responsible for the functional differences in
various cells and tissues. Although gene expression patterns are dynamically
altered in response to various stimuli, the intraindividual dynamics of DNA
methylation in human cells are yet to be fully understood. Here, we investigated
the extent to which DNA methylation contributes to the dynamics of gene
expression by collecting 24 blood samples from two individuals over a period of 3
months. Transcriptome and methylome association analyses revealed that only ~2%
of dynamic changes in gene expression could be explained by the intraindividual
variation of DNA methylation levels in peripheral blood mononuclear cells and
purified monocytes. These results showed that DNA methylation levels remain
stable for at least several months, suggesting that disease-associated DNA
methylation markers are useful for estimating the risk of disease manifestation.
PMID- 27192971
TI - Transplantation of Declined Liver Allografts Following Normothermic Ex-Situ
Evaluation.
AB - The demand for liver transplantation (LT) exceeds supply, with rising waiting
list mortality. Utilization of high-risk organs is low and a substantial number
of procured livers are discarded. We report the first series of five transplants
with rejected livers following viability assessment by normothermic machine
perfusion of the liver (NMP-L). The evaluation protocol consisted of perfusate
lactate, bile production, vascular flows, and liver appearance. All livers were
exposed to a variable period of static cold storage prior to commencing NMP-L.
Four organs were recovered from donors after circulatory death and rejected due
to prolonged donor warm ischemic times; one liver from a brain-death donor was
declined for high liver function tests (LFTs). The median (range) total graft
preservation time was 798 (range 724-951) min. The transplant procedure was
uneventful in every recipient, with immediate function in all grafts. The median
in-hospital stay was 10 (range 6-14) days. At present, all recipients are well,
with normalized LFTs at median follow-up of 7 (range 6-19) months. Viability
assessment of high-risk grafts using NMP-L provides specific information on liver
function and can permit their transplantation while minimizing the recipient risk
of primary graft nonfunction. This novel approach may increase organ availability
for LT.
PMID- 27192972
TI - Manifesting Subtle Differences of Neutral Hydrophilic Guest Isomers in a
Molecular Container by Phase Transfer.
AB - Achieving strong host-guest interactions between synthetic hosts and hydrophilic
guests in solution is challenging because solvation effects overwhelm other
effects. To resolve this issue, we transferred complexes of cucurbit[7]uril
(CB[7]) and monosaccharides to the gas phase and report here their intrinsic host
guest chemistry in the absence of solvation effects. It was observed that
effective host-guest interactions in the gas phase mediated by ammonium cations
allow the differentiation of the monosaccharide isomers in complex with CB[7]
upon vibrational excitation. The potential of the unique observation was extended
to a quantitative supramolecular analytical method for the monosaccharide guests.
The combination of host-guest chemistry and phase transfer presented in this
study is an effective approach to overcome current limitations in supramolecular
chemistry.
PMID- 27192973
TI - Effect and immediate after-effect of lightly gripping the cane on postural sway.
AB - BACKGROUND: This study investigated the effect and after-effect of lightly
touching a real cane on postural sway and ankle muscle activity. METHOD:
Participants performed a single-leg stance (SLS) task with their eyes closed for
30 s under three tasks. In the first and third tasks, the participants performed
a normal SLS. In the second task, the participants in light-grip group (n = 11)
were asked to perform SLS while lightly gripping a cane with their hand. The
participants in depend-on-cane group (n = 11) were asked to support their own
body with a cane. RESULTS: Postural sway during a single-leg stance is decreased
by light gripping and is accompanied by decreased co-contraction of the ankle
joint muscles. If a participant lightly gripped a cane, postural sway decreased
not only during the light gripping but also immediately after the withdrawal of
the cane. Although postural sway and co-contraction in the depend-on-cane group
were significantly decreased during the second task compared to the first task,
they were not significantly changed between the first and third tasks.
CONCLUSION: These results suggest that lightly gripped cane provides a haptic
sensory cue that can be used to assist postural control mechanisms due to
enhanced perception of self-motion through sensory interaction with the
environment through the cane. Further, the haptic sensory cue during postural
maintenance might be promoted as a practice effect of postural control.
PMID- 27192975
TI - Macular Retinal Ganglion Cell Layer Thickness Is Not Reduced in Patients with
Obstructive Sleep Apnea.
AB - PURPOSE: To evaluate macular ganglion cell layer (GCL) and ganglion cell-inner
plexiform layer (GCIPL) thicknesses in patients with obstructive sleep apnea
(OSA) syndrome. METHODS: 73 OSA patients and 67 age-matched controls were
consecutively and prospectively enrolled. All participants underwent at least one
reliable standard automated perimetry (SAP) and were imaged with spectral-domain
optical coherence tomography (OCT) using two different devices. The OCT
parameters were compared between groups, and Pearson correlations between main
indices of SAP and OCT parameters were calculated. RESULTS: The pattern standard
deviation of SAP was higher in the OSA group (p = 0.001). Mean GCIPL thickness
was 82.99 +/- 10.30 and 80.78 +/- 12.15 um in the control and OSA groups,
respectively (p = 0.25), and GCL thickness was 44.93 +/- 11.42 um in the control
group and 48.81 +/- 10.85 um in OSA individuals (p = 0.47). Pearson correlations
between the GCIPL-GCL measurements and the main indices of SAP were not
significant. CONCLUSIONS: Neither GCIPL nor GCL thickness were reduced in OSA
subjects compared with healthy individuals. Retinal sensitivity evaluated with
SAP was however decreased in OSA patients.
PMID- 27192974
TI - The Bcl-2 homologue Buffy rescues alpha-synuclein-induced Parkinson disease-like
phenotypes in Drosophila.
AB - BACKGROUND: In contrast to the complexity found in mammals, only two Bcl-2 family
genes have been found in Drosophila melanogaster including the pro-cell survival,
human Bok-related orthologue, Buffy. The directed expression of alpha-synuclein,
the first gene identified to contribute to inherited forms of Parkinson disease
(PD), in the dopaminergic neurons (DA) of flies has provided a robust and well
studied Drosophila model of PD complete with the loss of neurons and accompanying
motor defects. To more fully understand the biological basis of Bcl-2 genes in
PD, we altered the expression of Buffy in the dopamine producing neurons with and
without the expression of alpha-synuclein, and in the developing neuron-rich eye.
RESULTS: To alter the expression of Buffy in the dopaminergic neurons of
Drosophila, the Ddc-Gal4 transgene was used. The directed expression of Buffy in
the dopamine producing neurons resulted in flies with increased climbing ability
and enhanced survival, while the inhibition of Buffy in the dopaminergic neurons
reduced climbing ability over time prematurely, similar to the phenotype observed
in the alpha-synuclein-induced Drosophila model of PD. Subsequently, the
expression of Buffy was altered in the alpha-synuclein-induced Drosophila model
of PD. Analysis revealed that Buffy acted to rescue the associated loss of
locomotor ability observed in the alpha-synuclein-induced model of PD, while
Buffy RNA interference resulted in an enhanced alpha-synuclein-induced loss of
climbing ability. In complementary experiments the overexpression of Buffy in the
developing eye suppressed the mild rough eye phenotype that results from Gal4
expression and from alpha-synuclein expression. When Buffy is inhibited the
roughened eye phenotype is enhanced. CONCLUSIONS: The inhibition of Buffy in DA
neurons produces a novel model of PD in Drosophila. The directed expression of
Buffy in DA neurons provide protection and counteracts the alpha-synuclein
induced Parkinson disease-like phenotypes. Taken all together this demonstrates a
role for Buffy, a Bcl-2 pro-cell survival gene, in neuroprotection.
PMID- 27192976
TI - Positive geotactic behaviors induced by geomagnetic field in Drosophila.
AB - BACKGROUND: Appropriate vertical movement is critical for the survival of flying
animals. Although negative geotaxis (moving away from Earth) driven by gravity
has been extensively studied, much less is understood concerning a static
regulatory mechanism for inducing positive geotaxis (moving toward Earth).
RESULTS: Using Drosophila melanogaster as a model organism, we showed that
geomagnetic field (GMF) induces positive geotaxis and antagonizes negative
gravitaxis. Remarkably, GMF acts as a sensory cue for an appetite-driven
associative learning behavior through the GMF-induced positive geotaxis. This GMF
induced positive geotaxis requires the three geotaxis genes, such as cry, pyx and
pdf, and the corresponding neurons residing in Johnston's organ of the fly's
antennae. CONCLUSIONS: These findings provide a novel concept with the
neurogenetic basis on the regulation of vertical movement by GMF in the flying
animals.
PMID- 27192977
TI - Rescue of dnapol-null Autographa californica multiple nucleopolyhedrovirus with
DNA polymerase (DNApol) of Spodoptera litura nucleopolyhedrovirus (SpltNPV) and
identification of a nuclear localization signal in SpltNPV DNApol.
AB - DNA polymerase (DNApol) is highly conserved in all baculoviruses and plays an
essential role in viral DNA replication. It determines the fidelity of
baculovirus DNA replication by inserting the correct nucleotides into the primer
terminus and proofreading any mispaired nucleotides. DNApols of groups I and II
of the genus Alphabaculovirus in the family Baculoviridae share many common
structural features. However, it is not clear whether a group I Autographa
californica multiple nucleopolyhedrovirus (AcMNPV) DNApol can be substituted by a
group II NPV DNApol. Here we report the successful generation of AcMNPV dnapol
null virus being rescued by a group II Spodoptera litura NPV (SpltNPV) dnapol
(Bac-AcDeltaPol : Slpol). Viral growth curves and quantitative real-time PCR
showed that the dnapol replacement reduced the level of viral production and DNA
replication of Bac-AcDeltaPol : SlPol compared with WTrep, a native dnapol
insertion in an AcMNPV dnapol-null virus. Light microscopy showed that production
of occlusion bodies for Bac-AcDeltaPol : Slpol was reduced. We also identified a
nuclear localization signal (NLS) for the SpltNPV DNApol C terminus at residues
827-838 by mutational analysis and confocal microscopy. Multiple point
substitution of SpltNPV DNApol NLS abrogated virus production and viral DNA
replication. Overall, these data suggested that the NLS plays an important role
in SpltNPV DNApol nuclear localization and that SpltNPV DNApol cannot efficiently
substitute the AcMNPV DNApol in AcMNPV.
PMID- 27192978
TI - Protein expression changes induced in a malignant melanoma cell line by the
curcumin analogue compound D6.
AB - BACKGROUND: We have previously demonstrated that the hydroxylated biphenyl
compound D6 (3E,3'E)-4,4'-(5,5',6,6'-tetramethoxy-[1,1'-biphenyl]-3,3'
diyl)bis(but-3-en-2-one), a structural analogue of curcumin, exerts a strong
antitumor activity on melanoma cells both in vitro and in vivo. Although the
mechanism of action of D6 is yet to be clarified, this compound is thought to
inhibit cancer cell growth by arresting the cell cycle in G2/M phase, and to
induce apoptosis through the mitochondrial intrinsic pathway. To investigate the
changes in protein expression induced by exposure of melanoma cells to D6, a
differential proteomic study was carried out on D6-treated and untreated primary
melanoma LB24Dagi cells. METHODS: Proteins were fractionated by SDS-PAGE and
subjected to in gel digestion. The peptide mixtures were analyzed by liquid
chromatography coupled with tandem mass spectrometry. Proteins were identified
and quantified using database search and spectral counting. Proteomic data were
finally uploaded into the Ingenuity Pathway Analysis software to find
significantly modulated networks and pathways. RESULTS: Analysis of the
differentially expressed protein profiles revealed the activation of a strong
cellular stress response, with overexpression of several HSPs and stimulation of
ubiquitin-proteasome pathways. These were accompanied by a decrease of protein
synthesis, evidenced by downregulation of proteins involved in mRNA processing
and translation. These findings are consistent with our previous results on gene
expression profiling in melanoma cells treated with D6. CONCLUSIONS: Our findings
confirm that the curcumin analogue D6 triggers a strong stress response in
melanoma cells, turning down majority of cell functions and finally driving cells
to apoptosis.
PMID- 27192979
TI - Triglycerides are a predictive factor for arterial stiffness: a community-based
4.8-year prospective study.
AB - BACKGROUND: Epidemiological studies have disclosed an independent effect of
triglycerides on coronary heart disease despite achievement of low-density
lipoprotein cholesterol goals with statin therapy. Arterial stiffness has been
increasingly recognized as a strong predictor of cardiovascular disease and
atherosclerotic disease. The association between triglycerides and arterial
stiffness is not well characterized. We aimed to determine the relationship
between triglycerides and arterial stiffness in a community-based longitudinal
sample from Beijing, China. METHODS: We related levels of plasma TGs to measures
of arterial stiffness (carotid-femoral pulse wave velocity [PWV] and carotid
radial PWV) in 1447 subjects (mean age, 61.3 years) from a community-based
population in Beijing, China. RESULTS: After a median follow-up interval of 4.8
years, multiple linear regression analysis revealed that TGs were independently
associated with carotid-femoral PWV (beta = 0.747, P < 0.001) and carotid-radial
PWV (beta = 0.367, P = 0.001). In the group older than 65 years, the association
between baseline TG levels and follow-up carotid-femoral PWV (beta = 1.094, P =
0.001) and carotid-radial PWV (beta = 0.524, P = 0.002) were strengthened. In
forward stepwise multivariate logistic regression analysis, every SD increase in
TGdelta was associated with a 1.296-increased likelihood of the presence of
carotid-femoral PWVdeltaII (OR [per SD increase in TGdelta]: 1.296; 95% CI: 1.064
~ 1.580; P = 0.010) in Model 2, whereas the relationship between TGdelta and
carotid-radial PWVdeltaII disappeared. In addition, the relationship was
strengthened between TGdelta and the presence of carotid-femoral PWVdeltaII (OR
1.526, 95% CI: 1.088-2.141, P = 0.014) in the group older than 65 years but not
carotid-radial PWVdeltaII. No association was noted in subjects younger than 65
years. CONCLUSIONS: Lower triglyceride levels were significantly associated with
decreases in carotid-femoral PWV, indicating that achieving low TG levels may be
an additional therapeutic consideration in subjects with atherosclerotic disease.
PMID- 27192981
TI - Radiologic anatomy of the maxillary artery in the pterygopalatine area applied to
Le Fort 1 osteotomies.
AB - PURPOSE: In Le Fort 1 osteotomies there is a risk of injuring the maxillary
artery, during the pterygomaxillary (PM) disjunction. To improve the knowledge of
the relation between the maxillary artery and the PM suture, an anatomic study of
the pterygopalatal fossa has been performed. METHODS: The study was based on CT
scan head with vascular injection of the supraaortic trunks in 92 patients. The
vertical length of the PM junction and the position of maxillary artery in
relation to PM junction have been measured on a parasagittal plane passing
through the lateral surface of the PM junction, and on a plane where the PM
suture is the highest. RESULTS: The height of the PM junction on the plane
passing through the lateral surface of the PM junction was 6.86 +/- 2.67 mm, and
the maxillary artery was located 18.22 +/- 3.79 mm from the most inferior point
of the PM junction. The maximum PM junction height was 13.96 +/- 3.03 mm, and at
this place the maxillary artery was located 18.85 +/- 3.26 mm from the most
inferior point of the PM junction. The distance between these two planes was 5.14
+/- 2.02 mm. CONCLUSIONS: According to our results, the height of the PM junction
is less than 14 mm and during the PM disjunction there is a risk of injuring the
maxillary artery 18 mm above the inferior extremity of the PM junction.
PMID- 27192980
TI - A morphometric study of the atlas occipitalization and coexisted congenital
anomalies of the vertebrae and posterior cranial fossa with neurological
importance.
AB - PURPOSE: Our study highlights the morphometry of the partial and complete atlas
occipitalization (AOZ), its coexistence with fusions of the 2nd and 3rd cervical
vertebrae and morphological and morphometric abnormalities of the posterior
cranial fossa that are of paramount neurological importance. METHODS: One hundred
and eighty adult dry skulls, the atlas and axis vertebrae were examined. RESULTS:
Four skulls (2.2 %) showed AOZ. Two of them (1.1 %) presented a partial AOZ, one
male skull (0.6 %) a complete AOZ and a female skull (0.6 %) had a fused left
hemiatlas with the occipital bone and a fusion of the 2nd and 3rd cervical
vertebrae. The inner anteroposterior and transverse diameters of the foramen
magnum (FM) in the control group were 34.6 +/- 3.46 and 29.3 +/- 3.47 mm. Only
the skull with the complete AOZ had a reduced outer anteroposterior diameter of
the FM (29.8 mm), while no specimen was found with a reduced transverse diameter.
A wide total decrease (range 13.1-50.9 %) in the surface area of the FM in skulls
with AOZ was detected. Extracranial, the clivus length in two skulls with AOZ was
smaller than the normal range. No skull was detected with a reduction in the
intracranial length of the clivus. All skulls with the AOZ had a vermian fossa.
CONCLUSIONS: The study adds important morphometric details about the partial and
complete AOZ and correlates the phenomenon of synostosis with the narrowing of
the FM, particularly in the case of complete AOZ. Awareness of the AOZ and other
fusions of the upper cervical vertebrae and their topographical relations and
attendant problems are of paramount importance to surgeons, when operate to the
craniocervical junction, or interpret imaging studies to plan a safe surgery for
nerve or spinal tissue decompression.
PMID- 27192982
TI - Low radiation dose computed tomography coronary angiography: evaluation of the
variations in coronary arteries.
AB - OBJECTIVE: Despite attempts to decrease the radiation dose, coronary computed
tomography angiography (CCTA) generally uses higher doses than computed
tomography scans of other organs. The purpose of this study was to evaluate the
incidence of the variations of the coronary arteries using the adaptive
statistical iterative reconstruction technique to perform low-dose coronary
computed tomography (CTA). METHODS: Diagnostic CCTA scans were performed in 3433
patients (from November 2010 to January 2015) using an Optima CT660 (GE
Healthcare, USA) 64-slice and analyzed retrospectively. RESULTS: The mean
effective dose was 2.1 mSv (1.2-4.9 mSv) for prospective and 4.5 mSv (3.6-9.1
mSv) for retrospective ECG-gated scans. The variations of the coronary arteries
(CA) excluding myocardial bridge (MB) were detected in 76 (2.2 %) of the 3433
patients. A myocardial bridge was the most common variation (n = 288, 8.3 %). The
second most common variation (n = 13, 17.1 %) was an absence of the left main
coronary artery (LMCA) with separate starting points for the left anterior
descending (LAD) and left circumflex (LCX) arteries. In addition, there was a
rare variation (n = 1, 1.3 %) consisting of the LAD artery originating from the
right coronary artery (RCA). CONCLUSIONS: The present retrospective study was
conducted using CCTA on patients with a coronary artery variations in Turkey (n =
3433). Our data show that low-dose CCTA can be used to detect common coronary
variations.
PMID- 27192983
TI - Usefulness of three-dimensional computed tomographic anatomy in endoscopic
frontal recess surgery.
AB - PURPOSE: The endoscopic bidimensional vision offered by the endoscope during
endoscopic sinus surgery involves difficulty in visualizing surgical field depth
which makes it difficult to learn this surgical technique and makes it necessary
for the endoscopic surgeon to mentally create a three-dimensional (3D) picture of
the paranasal sinuses anatomy. In particular, frontal recess surgery requires
good knowledge of its anatomic position, also since it is necessary to use angled
endoscopes, which distort the view, and angular instruments which are difficult
to use. Purpose of this project is to offer to the endoscopic surgeon a detailed
3D model of the nose and paranasal sinuses with particular attention to the
frontal recess. METHODS: A 3D reconstruction of the frontal recess and its
related structures, starting from computer tomography scans of the human skull,
was realized using a professional 3D graphics software. RESULTS: A detailed
reconstruction of the main structures which contribute to form the frontal recess
was obtained. Particular attention was paid when reproducing the agger nasi
cells, uncinate process, ethmoidal bulla, anterior ethmoidal cells,
frontoethmoidal cells and their anatomic variants. CONCLUSIONS: This is the first
experience reported in literature regarding this new technique of iconographic
didactics applied to endoscopic sinus surgery. It represents a new frontier,
which surpasses and integrates the previous didactic techniques to help the
surgeon to mentally create a 3D image of the paranasal sinuses.
PMID- 27192984
TI - Is Cerebellar Architecture Shaped by Sensory Ecology in the New Zealand Kiwi
(Apteryx mantelli).
AB - Among some mammals and birds, the cerebellar architecture appears to be adapted
to the animal's ecological niche, particularly their sensory ecology and
behavior. This relationship is, however, not well understood. To explore this, we
examined the expression of zebrin II (ZII) in the cerebellum of the kiwi (Apteryx
mantelli), a fully nocturnal bird with auditory, tactile, and olfactory
specializations and a reduced visual system. We predicted that the cerebellar
architecture, particularly those regions receiving visual inputs and those that
receive trigeminal afferents from their beak, would be modified in accordance
with their unique way of life. The general stripe-and-transverse region
architecture characteristic of birds is present in kiwi, with some differences.
Folium IXcd was characterized by large ZII-positive stripes and all Purkinje
cells in the flocculus were ZII positive, features that resemble those of small
mammals and suggest a visual ecology unlike that of other birds. The central
region in kiwi appeared reduced or modified, with folium IV containing ZII+/-
stripes, unlike that of most birds, but similar to that of Chilean tinamous. It
is possible that a reduced visual system has contributed to a small central
region, although increased trigeminal input and flightlessness have undoubtedly
played a role in shaping its architecture. Overall, like in mammals, the
cerebellar architecture in kiwi and other birds may be substantially modified to
serve a particular ecological niche, although we still require a larger
comparative data set to fully understand this relationship.
PMID- 27192986
TI - Lipopolysaccharide-Induced Behavioral Alterations Are Alleviated by Sodium
Phenylbutyrate via Attenuation of Oxidative Stress and Neuroinflammatory Cascade.
AB - Oxido-nitrosative stress, neuroinflammation, and reduced level of neurotrophins
are implicated in the pathophysiology of anxiety and depressive illness. A few
recent studies have revealed the role of endoplasmic reticulum (ER) stress in the
pathophysiology of stress and depression. The aim of the present study is to
investigate the neuroprotective potential of sodium phenylbutyrate (SPB), an ER
stress inhibitor against lipopolysaccharide (LPS)-induced anxiety and depressive
like behavior in Swiss albino mice. Anxiety and depressive-like behavior was
induced by LPS (0.83 mg/kg; i.p.) administration. Various behavioral tests were
conducted to evaluate the anxiety and depressive-like behavior in mice. Real-time
PCR was employed for the detection and expression of ER stress markers (78-kDa
glucose-regulated protein (GRP78) and CCAAT/enhancer binding protein homologous
protein (CHOP)). Pretreatment with SPB significantly ameliorated the LPS-induced
anxiety and depressive-like behavior as revealed by behavioral paradigm results.
LPS-induced oxidative stress was ameliorated by SPB pretreatment in hippocampus
(HC) and prefrontal cortex (PFC) region. Neuroinflammation was significantly
reduced by SPB pretreatment in LPS-treated mice as evident from reduction in
proinflammatory cytokines (IL-1beta and TNF-alpha). Importantly, LPS
administration significantly up-regulated the GRP78 mRNA expression level in the
HC which suggests the involvement of unfolded protein response (UPR) in LPS
evoked behavioral anomalies. These results highlight the neuroprotective
potential of SPB in LPS-induced anxiety and depressive illness model which may be
partially due to inhibition of oxidative stress-neuroinflammatory cascade.
PMID- 27192988
TI - Electronic Patient Records to Identify Patients in the United Kingdom with
Diabetic Macular Oedema Suitable for ILUVIEN((r)) (Fluocinolone Acetonide).
AB - INTRODUCTION: We describe a proactive method using electronic patient records
(EPR) to identify pseudophakic patients with diabetic macular oedema (DMO) that
might benefit from treatment with 0.2 ug/day fluocinolone acetonide (FAc;
ILUVIEN((r))) implant. METHODS: Our EPR audit tool (Medisoft((r))) identified
diabetic patients (May 2011-December 2014) with National Screening Committee
confirmed grade M1 maculopathy. Searches segmented this DMO patient population
into patient groups who: (1) had received ranibizumab therapy, (2) had received
>=2 macular laser treatments, or (3) were unsuitable for macular laser or
ranibizumab therapy. Pre-specified criteria identified patients insufficiently
responsive to treatment, and their electronic case notes were flagged for
clinicians to consider FAc, based on National Institute for Health and Care
Excellence (NICE) TA301. RESULTS: Using this methodology, 138 patients with DMO
were identified, of whom 87 were assigned to group 1, 32 to group 2, and 29 to
group 3 (10 patients were included in both groups 2 and 3). From these, 28
different pseudophakic eyes were identified as suitable for treatment with FAc,
based on insufficient response to prior treatment. CONCLUSION: EPR audit offers a
real-world methodology to efficiently identify patients that might benefit from
treatment with FAc. Limitations apply, and thorough documentation of lens status
and ocular comorbidities is vital; however, this approach was more rapid than
prospective recruitment through the clinic. Flagging patient records using EPR
audit offers a practical process for application to clinical practice, thereby
optimizing patient care in line with NICE TA301 guidelines. FUNDING: Alimera
Sciences Ltd.
PMID- 27192985
TI - NB-3 signaling mediates the cross-talk between post-traumatic spinal axons and
scar-forming cells.
AB - Little is known about the molecules mediating the cross-talk between post
traumatic axons and scar-forming cells after spinal cord injury. We found that a
sustained NB-3 induction was simultaneously present in the terminations of post
traumatic corticospinal axons and scar-forming cells at the spinal lesion site,
where they were in direct contact when axons tried to penetrate the glial scar.
The regrowth of corticospinal axons was enhanced in vivo with NB-3 deficiency or
interruption of NB-3 trans-homophilic interactions. Biochemical, in vitro and in
vivo evidence demonstrated that NB-3 homophilically interacted in trans to
initiate a growth inhibitory signal transduction from scar-forming cells to
neurons by modulating mTOR activity via CHL1 and PTPsigma. NB-3 deficiency
promoted BMS scores, electrophysiological transmission, and synapse reformation
between regenerative axons and neurons. Our findings demonstrate that NB-3 trans
homophilic interactions mediate the cross-talk between post-traumatic axons and
scar-forming cells and impair the intrinsic growth ability of injured axons.
PMID- 27192987
TI - Selenium Pretreatment for Mitigation of Ischemia/Reperfusion Injury in
Cardiovascular Surgery: Influence on Acute Organ Damage and Inflammatory
Response.
AB - Ischemia/reperfusion injury (IRI) contributes to morbidity and mortality after
cardiovascular surgery requiring cardiopulmonary bypass (CPB) and deep
hypothermic circulatory arrest (DHCA). Multi-organ damage is associated with
substantial decreases of blood selenium (Se) levels in patients undergoing
cardiac surgery with CPB. We compared the influence of a dietary surplus of Se
and pretreatment with ebselen, a mimic of the selenoenzyme glutathione
peroxidase, on IRI-induced tissue damage and inflammation. Male Wistar rats were
fed either a Se-adequate diet containing 0.3 ppm Se or supplemented with 1 ppm Se
(as sodium selenite) for 5 weeks. Two other groups of Se-adequate rats received
intraperitoneal injection of ebselen (30 mg/kg) or DMSO (solvent control) before
surgery. The animals were connected to a heart-lung-machine and underwent 45 min
of global ischemia during circulatory arrest at 16 degrees C, followed by re
warming and reperfusion. Selenite and ebselen suppressed IRI-induced leukocytosis
and the increase in plasma levels of tissue damage markers (AST, ALT, LDH,
troponin) during surgery but did not prevent the induction of proinflammatory
cytokines (IL-6, TNF-alpha). Both Se compounds affected phosphorylation and
expression of proteins related to stress response and inflammation: Ebselen
increased phosphorylation of STAT3 transcription factor in the heart and
decreased phosphorylation of ERK1/2 MAP kinases in the lungs. Selenite decreased
ERK1/2 phosphorylation and HSP-70 expression in the heart. Pretreatment with
selenite or ebselen protected against acute IRI-induced tissue damage during CPB
and DHCA. Potential implications of their different actions with regard to
molecular stress markers on the recovery after surgery represent promising
targets for further investigation.
PMID- 27192989
TI - Depot-specific effects of treadmill running and rutin on white adipose tissue
function in diet-induced obese mice.
AB - White adipose tissue (WAT) is a critical organ involved in regulating metabolic
homeostasis under obese condition. Strategies that could positively affect WAT
function would hold promise for fighting against obesity and its complications.
The aim of the present study is to explore the effects of treadmill exercise
training and rutin intervention on adipose tissue function from diet-induced
obese (DIO) mice and whether fat depot-specific effects existed. In epididymal
adipose tissue, high-fat diet (HFD) resulted in reduction in adiponectin mRNA
expression, peroxisome proliferator-activated receptors (PPAR)-gamma and DsbA-L
protein expression, elevation in endoplasmic reticulum (ER) stress markers
including 78 kDa glucose-regulated protein (GRP-78), C/EBP homologous protein
(CHOP) and p-c-Jun N-terminal kinase (JNK). Isoproterenol-stimulated lipolysis
and insulin stimulated Akt phosphorylation ex vivo were blunted from HFD group.
The combination of rutin with exercise (HRE) completely restored GRP78 and p-JNK
protein expression to normal levels, as well as blunted signaling ex vivo. In
inguinal adipose tissue, HFD led to increased adiponectin mRNA expression, PPAR
gamma, GRP78, and p-JNK protein expression, and reduction in DsbA-L. HRE is
effective for restoring p-JNK, PPAR-gamma, and DsbA-L. In conclusion, depot
specific effects may exist in regard to the effects of rutin and exercise on key
molecules involved in regulating adipose tissue function (i.e., ER stress
markers, PPAR-gamma and DsbA-L, adiponectin expression, and secretion, ex vivo
catecholamine stimulated lipolysis and insulin stimulated Akt phosphorylation)
from DIO mice.
PMID- 27192990
TI - Comparing Length of Stay Between Patients Taking Rivaroxaban and Conventional
Anticoagulants for Treatment of Venous Thromboembolism.
AB - BACKGROUND: Recent studies have demonstrated non-inferiority of rivaroxaban when
compared to warfarin for the treatment of pulmonary embolism and deep venous
thrombosis. Analysis of data from the EINSTEIN trials has demonstrated that
patients who received rivaroxaban had a shorter length of stay (LOS) compared to
those who received warfarin. However, these trials had strict inclusion and
exclusion criteria, and were designed for a different primary outcome. Also, data
from these closely monitored clinical trials may not reflect the daily practice
of medicine. OBJECTIVES: To clarify this issue further, we performed a
retrospective analysis at our institution, comparing the LOS between patients
discharged on rivaroxaban and other conventional anticoagulants (warfarin,
enoxaparin, and enoxaparin with warfarin). METHODS: This was a retrospective
study of consecutive patients admitted to our institution from January 2011 to
July 2014 with newly diagnosed venous thromboembolism (VTE). Inclusion criteria
were age > 18 years and objective confirmation of VTE. Exclusion criteria
included diagnosis of VTE 24 h after admission, contraindication to
anticoagulation, treatment with fibrinolytic agents, patients already on
anticoagulation, and pregnancy. Out of 1553 consecutive patients diagnosed with
VTE, a total of 414 patients met the eligibility criteria. These patients were
further subdivided into four groups based on their discharge anticoagulant:
rivaroxaban, warfarin, enoxaparin, and warfarin with enoxaparin. RESULTS:
Patients discharged on rivaroxaban had a significantly shorter LOS compared with
patients discharged on warfarin (3.5 vs. 7.0 days; p < 0.001), but not when
compared to those discharged on enoxaparin alone (3.0 days) or enoxaparin with
warfarin (4.0 days) (p > 0.05). The hospital incidence of bleeding and the 6
month readmission rates were not different among the different anticoagulants.
CONCLUSIONS: In patients admitted with newly diagnosed VTE, those discharged on
rivaroxaban had a significantly shorter LOS compared to those discharged on
warfarin. In the appropriate subset of patients with VTE, treatment with
rivaroxaban may result in significant cost savings for the hospital.
PMID- 27192991
TI - Pneumolysin Mediates Platelet Activation In Vitro.
AB - This study has explored the role of the pneumococcal toxin, pneumolysin (Ply), in
activating human platelets. Following exposure to Ply (10-80 ng/ml), platelet
activation and cytosolic Ca(2+) concentrations were measured flow cytometrically
according to the level of expression of CD62P (P-selectin) and
spectrofluorimetrically, respectively. Exposure to Ply resulted in marked
upregulation of expression of platelet CD62P, achieving statistical significance
at concentrations of 40 ng/ml and higher (P < 0.05), in the setting of increased
influx of Ca(2+). These potentially pro-thrombotic actions of Ply were attenuated
by depletion of Ca(2+) from the extracellular medium or by exposure of the cells
to a pneumolysoid devoid of pore-forming activity. These findings are consistent
with a mechanism of Ply-mediated platelet activation involving sub-lytic pore
formation, Ca(2+) influx, and mobilization of CD62P-expressing alpha-granules,
which, if operative in vivo, may contribute to the pathogenesis of associated
acute lung and myocardial injury during invasive pneumococcal disease.
PMID- 27192992
TI - Notices and Policies for Retractions, Expressions of Concern, Errata and
Corrigenda: Their Importance, Content, and Context.
AB - A retraction notice is an essential scientific historical document because it
should outline the reason(s) why a scientific manuscript was retracted,
culpability (if any) and any other factors that have given reason for the
authors, editors, or publisher, to remove a piece of the literature from
science's history books. Unlike an expression of concern (EoC), erratum or
corrigendum, a retraction will usually result in a rudimentary vestige of the
work. Thus, any retraction notice that does not fully indicate a set of elements
related to the reason and background for the retraction serves as a poor
historical document. Moreover, poorly or incompletely worded retraction notices
in fact do not serve their intended purpose, i.e., to hold all parties
accountable, and to inform the scientific and wider public of the problem and
reason for the paper's demise. This paper takes a look at the definitions and the
policies of clauses for retractions, EoCs, errata and corrigenda in place by 15
leading science, technology and medicine (STM) publishers and four publishing
related bodies that we believe have the greatest influence on the current fields
of science, technology and medicine. The primary purpose was to assess whether
there is a consistency among these entities and publishers. Using an arbitrary 5
scale classification system, and evaluating the different categories of policies
separately, we discovered that in almost all cases (88.9 %), the wording used to
define these four categories of polices differs from that of the Committee on
Publication Ethics (COPE), which is generally considered to be the guiding set of
definitions in science publishing. In addition, as much as 61 % deviation in
policies (wording and meaning), relative to COPE guidelines, was discovered. When
considering the average pooled deviation across all categories of policies, we
discovered that there was either no deviation or a small deviation, only in the
wording, in the definition of policies when compared to the COPE guidelines in 1
out of 3 ethical bodies, and in 40 % (6 out of 15) STM publishers. Moderate
deviation from the COPE guidelines was detected in 26.7 % of STM publishers and
one ethical body but a large deviation in one ethical body and 20 % of STM
publishers was observed. Two STM publishers (13.3 %) did not report any
information about these policies. Even though in practice, editors and publishers
may deviate from these written definitions when dealing with case-by-case issues,
we believe that it is essential, to serve as a consistent guide for authors and
editors, that the wording be standardized across these entities. COPE and these
entities also have the responsibility of making it clear that these definitions
are merely suggestions and that their application may be subjected to subjective
interpretation and application.
PMID- 27192993
TI - Risk, Uncertainty and Precaution in Science: The Threshold of the Toxicological
Concern Approach in Food Toxicology.
AB - Environmental risk assessment is often affected by severe uncertainty. The
frequently invoked precautionary principle helps to guide risk assessment and
decision-making in the face of scientific uncertainty. In many contexts, however,
uncertainties play a role not only in the application of scientific models but
also in their development. Building on recent literature in the philosophy of
science, this paper argues that precaution should be exercised at the stage when
tools for risk assessment are developed as well as when they are used to inform
decision-making. The relevance and consequences of this claim are discussed in
the context of the threshold of the toxicological concern approach in food
toxicology. I conclude that the approach does not meet the standards of an
epistemic version of the precautionary principle.
PMID- 27192994
TI - When is it time to move to the next map? Optimal foraging in guided visual
search.
AB - Suppose that you are looking for visual targets in a set of images, each
containing an unknown number of targets. How do you perform that search, and how
do you decide when to move from the current image to the next? Optimal foraging
theory predicts that foragers should leave the current image when the expected
value from staying falls below the expected value from leaving. Here, we describe
how to apply these models to more complex tasks, like search for objects in
natural scenes where people have prior beliefs about the number and locations of
targets in each image, and search is guided by target features and scene context.
We model these factors in a guided search task and predict the optimal time to
quit search. The data come from a satellite image search task. Participants
searched for small gas stations in large satellite images. We model quitting
times with a Bayesian model that incorporates prior beliefs about the number of
targets in each map, average search efficiency (guidance), and actual search
history in the image. Clicks deploying local magnification were used as
surrogates for deployments of attention and, thus, for time. Leaving times
(measured in mouse clicks) were well-predicted by the model. People terminated
search when their expected rate of target collection fell to the average rate for
the task. Apparently, people follow a rate-optimizing strategy in this task and
use both their prior knowledge and search history in the image to decide when to
quit searching.
PMID- 27192995
TI - Working memory-driven attention improves spatial resolution: Support for
perceptual enhancement.
AB - Previous research has indicated that attention can be biased toward those stimuli
matching the contents of working memory and thereby facilitates visual processing
at the location of the memory-matching stimuli. However, whether this working
memory-driven attentional modulation takes place on early perceptual processes
remains unclear. Our present results showed that working memory-driven attention
improved identification of a brief Landolt target presented alone in the visual
field. Because the suprathreshold target appeared without any external noise
added (i.e., no distractors or masks), the results suggest that working memory
driven attention enhances the target signal at early perceptual stages of visual
processing. Furthermore, given that performance in the Landolt target
identification task indexes spatial resolution, this attentional facilitation
indicates that working memory-driven attention can boost early perceptual
processing via enhancement of spatial resolution at the attended location.
PMID- 27192996
TI - Which long-term antiplatelet regimen for patients with acute coronary syndromes?
AB - Dual antiplatelet therapy (DAPT ) is recommended up to 12 months in patients with
acute coronary syndromes, but the risk of cardiovascular events in this group of
subjects remains high, also in the long-term follow-up. The potential benefit of
a prolonged period of DAPT has recently been assessed in three large-volume
randomized clinical trials (PEGASUS, DAPT-MI, TRA2P-TIMI 50) but final results
are quite difficult to interpret and clear indications for the clinical practice
are so far lacking. A direct comparison of the three studies is challenging since
relevant differences exist as to clinical features and risk profile of the study
populations. Different anti-platelet drugs have been tested in addition to
aspirin making it difficult to understand which antithrombotic regimen guarantees
the best balance between thrombotic and haemorragic events. Finally, specific
designs of these trials, evaluating complex composite end-points, may generate
further difficulties in the interpretation of data. We believe that the use of
total mortality rather than cardiovascular death as end-point, would better
describe the long-term outcome incorporating the catastrophic consequences of
bleeding. This review seeks to highlight strengths and weaknesses of these three
large-volume trials and tries to establish whether or not prolonging DAPT beyond
12 months in patients with acute coronary syndromes is useful and which anti
thrombotic regimen would offer the best balance between thrombotic and bleeding
risk.
PMID- 27192997
TI - Impact of shade on outdoor thermal comfort-a seasonal field study in Tempe,
Arizona.
AB - Shade plays an important role in designing pedestrian-friendly outdoor spaces in
hot desert cities. This study investigates the impact of photovoltaic canopy
shade and tree shade on thermal comfort through meteorological observations and
field surveys at a pedestrian mall on Arizona State University's Tempe campus.
During the course of 1 year, on selected clear calm days representative of each
season, we conducted hourly meteorological transects from 7:00 a.m. to 6:00 p.m.
and surveyed 1284 people about their thermal perception, comfort, and
preferences. Shade lowered thermal sensation votes by approximately 1 point on a
semantic differential 9-point scale, increasing thermal comfort in all seasons
except winter. Shade type (tree or solar canopy) did not significantly impact
perceived comfort, suggesting that artificial and natural shades are equally
efficient in hot dry climates. Globe temperature explained 51 % of the variance
in thermal sensation votes and was the only statistically significant
meteorological predictor. Important non-meteorological factors included
adaptation, thermal comfort vote, thermal preference, gender, season, and time of
day. A regression of subjective thermal sensation on physiological equivalent
temperature yielded a neutral temperature of 28.6 degrees C. The acceptable
comfort range was 19.1 degrees C-38.1 degrees C with a preferred temperature of
20.8 degrees C. Respondents exposed to above neutral temperature felt more
comfortable if they had been in air-conditioning 5 min prior to the survey,
indicating a lagged response to outdoor conditions. Our study highlights the
importance of active solar access management in hot urban areas to reduce thermal
stress.
PMID- 27192998
TI - Dissipation of excess excitation energy of the needle leaves in Pinus trees
during cold winters.
AB - Photooxidative damage to the needle leaves of evergreen trees results from the
absorption of excess excitation energy. Efficient dissipation of this energy is
essential to prevent photodamage. In this study, we determined the fluorescence
transients, absorption spectra, chlorophyll contents, chlorophyll a/b ratios, and
relative membrane permeabilities of needle leaves of Pinus koraiensis, Pinus
tabulaeformis, and Pinus armandi in both cold winter and summer. We observed a
dramatic decrease in the maximum fluorescence (F m) and substantial absorption of
light energy in winter leaves of all three species. The F m decline was not
correlated with a decrease in light absorption or with changes in chlorophyll
content and chlorophyll a/b ratio. The results suggested that the winter leaves
dissipated a large amount of excess energy as heat. Because the cold winter
leaves had lost normal physiological function, the heat dissipation depended
solely on changes in the photosystem II supercomplex rather than the xanthophyll
cycle. These findings imply that more attention should be paid to heat
dissipation via changes in the photosystem complex structure during the growing
season.
PMID- 27193000
TI - Seasonal Variability May Affect Microbial Decomposers and Leaf Decomposition More
Than Warming in Streams.
AB - Ongoing climate change is expected to affect the diversity and activity of
aquatic microbes, which play a key role in plant litter decomposition in forest
streams. We used a before-after control-impact (BACI) design to study the effects
of warming on a forest stream reach. The stream reach was divided by a
longitudinal barrier, and during 1 year (ambient year) both stream halves were at
ambient temperature, while in the second year (warmed year) the temperature in
one stream half was increased by ca. 3 degrees C above ambient temperature
(experimental half). Fine-mesh bags containing oak (Quercus robur L.) leaves were
immersed in both stream halves for up to 60 days in spring and autumn of the
ambient and warmed years. We assessed leaf-associated microbial diversity by
denaturing gradient gel electrophoresis and identification of fungal conidial
morphotypes and microbial activity by quantifying leaf mass loss and productivity
of fungi and bacteria. In the ambient year, no differences were found in leaf
decomposition rates and microbial productivities either between seasons or stream
halves. In the warmed year, phosphorus concentration in the stream water, leaf
decomposition rates, and productivity of bacteria were higher in spring than in
autumn. They did not differ between stream halves, except for leaf decomposition,
which was higher in the experimental half in spring. Fungal and bacterial
communities differed between seasons in both years. Seasonal changes in stream
water variables had a greater impact on the activity and diversity of microbial
decomposers than a warming regime simulating a predicted global warming scenario.
PMID- 27192999
TI - Resources of dark skies in German climatic health resorts.
AB - Illumination of nocturnal environments is increasing steadily worldwide. While
there are some benefits for mankind, light at night affects animals, plants, and
human health by blurring the natural distinction between day and night.
International regulations exist to protect the environment for the maintenance of
human health but nocturnal darkness is not considered. In Germany, cities and
communities labeled as Climatic Health Resorts provide for high standards in air
quality. However, their degree of nocturnal darkness is unexplored so far. In our
study, we examined the degree of nocturnal darkness in German Climatic Health
Resorts by two datasets based on georeferenced remote sensing data. The majority
of Climatic Health Resorts (93.1 %) are able to offer a relative respite (>= 20
mag/arcsec2) from a degraded nocturnal environment, while only 3.4 % are able to
offer a dark, if by no means pristine, night environment (>= 21 mag/arcsec2).
Climatic Health Resorts emit less light as well as are less affected by night sky
brightness compared to the average of non-classified communities. In combination
with daytime requirements, the resorts provide conditions for a more distinct day
and-night-cycle than non-classified communities.
PMID- 27193001
TI - Competitive Survival of Escherichia coli, Vibrio cholerae, Salmonella typhimurium
and Shigella dysenteriae in Riverbed Sediments.
AB - Studies on the survival of bacterial enteric pathogens in riverbed sediments have
mostly focused on individual organisms. Reports on the competitive survival of
these pathogens in riverbed sediments under the same experimental setup are
limited. We investigated the survival of Escherichia coli, Salmonella enterica
ser. Typhimurium, Vibrio cholerae and Shigella dysenteriae in riverbed sediments
of the Apies River. Experiments were performed in flow chambers containing three
sediment types and connected to aquarium pumps immersed in river water to
maintain continuous water circulation. Each chamber was inoculated with ~107
CFU/mL (final concentration) of each microorganism and kept at 4, 20 and 30
degrees C. Chambers were sampled on days 0, 1, 2, 7, 14 and 28. At 4 degrees C,
only E. coli and S. typhimurium survived throughout the 28 experimental days. V.
cholerae had the shortest survival time at this temperature and was not detected
in any of the sediment chambers 24 h after inoculation. S. dysenteriae only
survived until day 7. At an increased temperature of 20 degrees C, only S.
dysenteriae was not detected on day 28 of the experiment. At 30 degrees C, V.
cholerae and Salmonella survived longer (28 days) than E. coli (14 days) and S.
dysenteriae (4 days). Vibrio cholerae was shown to have the highest T 90 values
(32 days) in all sediment types at 20 and 30 degrees C. We conclude that the
sediments of the Apies River present a favourable environment for the survival of
indicator and pathogenic bacteria depending on the prevailing temperature.
PMID- 27193002
TI - Hot Melt Extrusion for Sustained Protein Release: Matrix Erosion and In Vitro
Release of PLGA-Based Implants.
AB - The design of biodegradable implants for sustained release of proteins is a
complex challenge optimizing protein polymer interaction in combination with a
mini-scale process which is predictive for production. The process of hot melt
extrusion (HME) was therefore conducted on 5- and 9-mm mini-scale twin screw
extruders. Poly(lactic-co-glycolic acid) (PLGA) implants were characterized for
their erosion properties and the in vitro release of the embedded protein (bovine
serum albumin, BSA). The release of acidic monomers as well as other parameters
(pH value, mass loss) during 16 weeks indicated a delayed onset of matrix erosion
in week 3. BSA-loaded implants released 17.0% glycolic and 5.9% lactic acid after
a 2-week lag time. Following a low burst release (3.7% BSA), sustained protein
release started in week 4. Storage under stress conditions (30 degrees C, 75% rH)
revealed a shift of erosion onset of 1 week (BSA-loaded implants: 26.9% glycolic
and 9.3% lactic acid). Coherent with the changed erosion profiles, an influence
on the protein release was observed. Confocal laser scanning and Raman microscopy
showed a homogenous protein distribution throughout the matrix after extrusion
and during release studies. Raman spectra indicated a conformational change of
the protein structure which could be one reason for incomplete protein release.
The study underlined the suitability of the HME process to obtain a solid
dispersion of protein inside a polymeric matrix providing sustained protein
release. However, the incomplete protein release and the impact by storage
conditions require thorough characterization and understanding of erosion and
release mechanisms.
PMID- 27193003
TI - Practical Considerations for Determination of Glass Transition Temperature of a
Maximally Freeze Concentrated Solution.
AB - Glass transition temperature is a unique thermal characteristic of amorphous
systems and is associated with changes in physical properties such as heat
capacity, viscosity, electrical resistance, and molecular mobility. Glass
transition temperature for amorphous solids is referred as (T g), whereas for
maximally freeze concentrated solution, the notation is (T g'). This article is
focused on the factors affecting determination of T g' for application to
lyophilization process design and frozen storage stability. Also, this review
provides a perspective on use of various types of solutes in protein formulation
and their effect on T g'. Although various analytical techniques are used for
determination of T g' based on the changes in physical properties associated with
glass transition, the differential scanning calorimetry (DSC) is the most
commonly used technique. In this article, an overview of DSC technique is
provided along with brief discussion on the alternate analytical techniques for T
g' determination. Additionally, challenges associated with T g' determination,
using DSC for protein formulations, are discussed. The purpose of this review is
to provide a practical industry perspective on determination of T g' for protein
formulations as it relates to design and development of lyophilization process
and/or for frozen storage; however, a comprehensive review of glass transition
temperature (T g, T g'), in general, is outside the scope of this work.
PMID- 27193004
TI - An umbilical surprise: a collective review on umbilical pilonidal sinus : An
uncommon alternative diagnosis in common umbilical symptoms.
AB - PURPOSE: Umbilical pilonidal sinus (UPS) has an atypical clinical presentation
and is therefore not well recognized. The aim of this case series and review of
the literature, is to provide more insight in the underlying pathology and a
guidance for the treatment of this condition. METHODS: Three recent clinical
cases are described that made us perform a multi-database research was to reveal
relevant literature. RESULTS: Three relevant clinical cases from our clinic are
described. Thirth three studies, describing 463 patients were included. Most
studies were case reports or series; few were case series or cohort studies. UPS
develops by loose hairs getting caught in the umbilical pit and subsequently
penetrate the umbilical cicatrix by friction. In this way an inflammatory
response is triggered, resulting in oedema that further narrows the umbilical
orifice, hence forming a sinus. Several risk factors are identified. There is no
particular consensus on the treatment of this disease. Although older literature
advocates immediate umbilical excision without exception, recent studies provide
evidence that supports multiple courses of conservative treatment. Several cases
were described in which surgery consisted of excision of the sinus and hair tufts
in contrast to excision of the entire umbilicus. CONCLUSIONS: Umbilical pilonidal
disease has an atypical presentation and might mimic conditions such as
incarcerated hernia, Anterior Cutaneous Nerve Entrapment Syndrome or urachal
cyst. Risk factors that can bring physicians closer to a reliable diagnosis are
identified. An example of a treatment algorithm is provided, suggesting surgery
should only be considered when conservative treatment fails.
PMID- 27193005
TI - [Rational antibiotic treatment of mediastinitis].
AB - Mediastinitis occurs as a severe complication of thoracic and cardiac surgical
interventions and is the result of traumatic esophageal perforation, conducted
infections or as a result of lymphogenic and hematogenic spread of specific
infective pathogens. Treatment must as a rule be accompanied by antibiotics,
whereby knowledge of the spectrum of pathogens depending on the pathogenesis is
indispensable for successful antibiotic therapy. Polymicrobial infections with a
high proportion of anaerobes are found in conducted infections of the mediastinum
and after esophageal perforation. After cardiac surgery Staphylococci are the
dominant pathogens and a nasal colonization with Staphylococcus aureus seems to
be a predisposing risk factor. Fungi are the predominant pathogens in
immunocompromised patients with consumptive underlying illnesses and can cause
acute or chronic forms with granulomatous inflammation. Resistant pathogens are
increasingly being found in high-risk patient cohorts, which must be considered
for a calculated therapy. For calculated antibiotic therapy the administration of
broad spectrum antibiotics, mostly beta-lactams alone or combined with
metronidazole is the therapy of choice for both Gram-positive and Gram-negative
bacteria inclusive of anaerobes. For patients at risk, additional antibiotic
classes with a spectrum against methicillin-resistant Staphylococcus aureus
(MRSA) or vancomycin-resistant Enterococcus (VRE) can be administered. Increasing
rates of multidrug-resistant Gram-negative bacteria (e.g. Enterobacteriaceae) and
non-fermenting bacteria (e.g. Pseudomonas and Acinetobacter) in individual cases
necessitates the use of polymyxins (e.g. colistin), new tetracyclines (e.g.
glycylglycines) and newly developed combinations of beta-lactams and beta-lactam
inhibitors. For treatment of fungal infections (e.g. Candida, Aspergillus and
Histoplasma) established and novel azoles, amphotericin B and echinocandins seem
to be successful; however, detection of Candida, particularly in mixed infections
does not always necessitate treatment. Mediastinitis is still a severe infectious
disease with a high mortality, which necessitates an early and broad spectrum
antibiotic therapy; however, with respect to optimal duration of therapy and
selection of antibiotics, data from good quality comparative studies are lacking.
PMID- 27193007
TI - Innovative development path of ethnomedicines: an overview of ethnomedicines in
China.
AB - In the long history of disease prevention and treatment, ancestral populations
worldwide have gained knowledge and experiences in traditional medicines. For
instance, ethnomedicines of Chinese ethnic minorities constitute an important
part of traditional medicines. In our study, we firstly clarified the concept of
ethnomedicines and the connotations of ethnopharmacology. The particularity of
ethnomedicine research were then summed up, and the development profiles of the
ethnomedicines of Chinese ethnic minorities were discussed by investigating the
current status and existing problems. On this basis, we abstracted the innovative
development path of ethnomedicines for the first time, which was found to
follows:resource study -> standardized development research -> industrialization
of the achievements and efforts for internationalization. We found that platform
establishment and team training are keys to achieving innovative development.
Hence, this study provided a basis for ethnomedicine development.
PMID- 27193006
TI - [Treatment of chronic mediastinitis].
AB - BACKGROUND: Chronic mediastinitis is a rare disorder characterized by the
proliferation of fibrous tissue within the mediastinum resulting in compression
of mediastinal structures. OBJECTIVE: This article gives an overview of the
treatment options for chronic mediastinitis. MATERIAL AND METHODS: A literature
search was carried out regarding treatment options for chronic mediastinitis
RESULTS AND CONCLUSION: Little is known about the pathogenesis of chronic
mediastinitis, which has hampered the development of novel therapeutic
approaches. There is no convincing evidence for the success of medicinal
(antifungal or conventional anti-inflammatory) therapy and it is not recommended.
In cases of clinical symptoms procedures for decompression, such as endovascular
or endobronchial stents or surgical procedures, such as decompression
interventions or extra-anatomic bypasses should be considered. The prognosis for
unilateral involvement is better than for bilateral involvement if performed in
specialized centers.
PMID- 27193009
TI - Early OA: point of no return or a chance for regenerative approaches.
PMID- 27193008
TI - Preoperative prediction of anterior cruciate ligament tibial footprint size by
anthropometric variables.
AB - PURPOSE: The purpose of this study was to evaluate whether the ACL tibial
footprint size can be predicted by anthropometric variables including height,
weight, leg length, femur length, tibia length, and anteroposterior and
mediolateral diameters of proximal tibia. METHODS: This study included 209 out of
the 378 eligible patients. The inclusion criterion was ACL with normal gross
appearance. Patients with conditions that could have affected the measurement
were excluded: torn ACL, osteophyte formation around the ACL tibial attachment,
presence of inflammatory arthritis, or history of knee joint infection. According
to the above criteria, 169 patients were excluded from this study; 138 had torn
ACL, 24 had osteophyte around the ACL footprint, 5 had history of rheumatoid
arthritis, and 2 had history of previous knee joint infection. The ACL tibial
footprint was carefully dissected and measured during total knee arthroplasty.
Anthropometric variables regarding bone lengths were measured on radiography. The
association of the ACL tibial footprint size (length and width) with
anthropometric variables was analysed using simple and multiple linear regression
analyses. RESULTS: The height, weight, leg length, femur length, tibia length,
and the size of proximal tibia were associated with the ACL tibial footprint
length and width. The ACL tibial footprint length could be predicted by the
equation using tibia length: ACL tibial footprint length = -9.361 + 0.759 *
(tibia length in cm) (R 2 = 0.44, P < 0.001) and width by the equation using
weight and tibia length: ACL tibial footprint width = -0.5615 + 0.279 * (tibia
length in cm) + 0.0333 * (weight in kgs) (R 2 = 0.17, P < 0.001). The concordance
correlation coefficient for the measured and predicted values of ACL tibial
footprint length and width showed moderate and low agreement, respectively (0.61,
95 % CI 0.53-0.68; 0.30, 95 % CI 0.21-0.38). CONCLUSION: The ACL tibial footprint
length and width are associated with anthropometric variables, especially with
tibial length. The predictive equation developed from this study can serve as
supplementary guides to determine the surgical techniques and graft options in
preoperative planning of an individual ACL reconstruction. LEVEL OF EVIDENCE: IV.
PMID- 27193010
TI - Erratum to: Variants in TNIP1, a regulator of the NF-kB pathway, found in two
patients with neural tube defects.
PMID- 27193011
TI - Reversible striatal hypermetabolism in chorea associated with moyamoya disease: a
report of two cases.
AB - BACKGROUND: The pathophysiological mechanism of chorea as a presentation of
pediatric moyamoya disease remains unknown, although ischemia is suspected as a
likely cause. The authors describe two cases of pediatric moyamoya disease, both
of which presented with hemichorea in the stable phase after successful bypass
surgery. CLINICAL PRESENTATION: Cerebral blood flow was almost normal in one case
and decreased in the basal ganglia and watershed area in the other case due to
infarcts occurring before surgery. In both cases, 18F-fluorodeoxyglucose positron
emission tomography revealed elevated glucose metabolism in the corresponding
side of the striatum, which reverted to normal after recovery from chorea.
Magnetic resonance angiography revealed a dilated and extended lenticulostriate
artery at the exact site of the hypermetabolic lesion.
PMID- 27193012
TI - Thinking outside the shunt-sterile CSF malabsorption in pilocytic astrocytomas:
case series and review of the literature.
AB - INTRODUCTION: Ventriculoperitoneal (VP) shunt insertion is the most common
cerebrospinal fluid (CSF) diversionary procedure used for the treatment of
chronic hydrocephalus. Sterile CSF ascites is a rare complication of VP shunt
insertion. This can arise from either an overproduction of CSF or inadequate
filtration of CSF at the level of the peritoneum. By either mechanism, the
development of CSF ascites requires an intact VP shunt. OBJECTIVE: The authors
discuss two paediatric cases diagnosed with suprasellar pilocytic astrocytomas
treated with platinum-based chemotherapy, who subsequently developed sterile CSF
ascites. We review the literature with regard to CSF malabsorption and discuss it
as a contributing factor to shunt malfunction. CONCLUSION: CSF malabsorption with
resultant ascites is a rare complication of VP shunting with many etiologies. Two
common predisposing factors included the use of platinum-based chemotherapeutic
agents, as well as the specific neuropathology. Further analysis of these two
entities is needed in order to elucidate their role in contributing to the
development of CSF ascites in this patient cohort.
PMID- 27193013
TI - Evaluation and quantitative analysis of different growth periods of herb-arbor
intercropping systems using HPLC and UV-vis methods coupled with chemometrics.
AB - As a result of the pressure from population explosion, agricultural land
resources require further protecting and rationally utilizing. Intercropping
technique has been widely applied for agricultural production to save cultivated
area, improve crop quality, and promote agriculture economy. In this study, we
employed high-performance liquid chromatography (HPLC) and ultraviolet-visible
spectroscopy (UV-vis) combined with chemometrics for determination and
qualitative evaluation of several kinds of intercropping system with Gentiana
rigescens Franch. ex Hemsl. (GR), which is used as an hepatic protector in local
communities in China. Results revealed that GR in a Camellia sinensis
intercropping system contained most gentiopicroside, sweroside, and total active
constituents (six chemical indicators), whose content reached 91.09 +/- 3.54,
1.03 +/- 0.06, and 104.05 +/- 6.48 mg g(-1), respectively. The two applied
quantitative and qualitative methods reciprocally verified that GR with 2 years
of growth period performed better in terms of quality than 1 year, collectively.
PMID- 27193014
TI - Anti-dormant mycobacterial activity and target molecule of melophlins, tetramic
acid derivatives isolated from a marine sponge of Melophlus sp.
AB - Tuberculosis (TB), caused by Mycobacterium tuberculosis infection, is a major
world health problem that is responsible for the deaths of 1.5 million people
each year. In addition, the requirement for long-term therapy to cure TB
complicates treatment of the disease. One of the major reasons for the extended
chemotherapeutic regimens and wide epidemicity of TB is that M. tuberculosis has
the ability to persist in a dormant state. We therefore established a new
screening system to search for substances with activity against dormant
mycobacteria using M. smegmatis and M. bovis BCG cultivated in medium containing
propionate as sole carbon source to induce dormancy. Subsequently, melophlins A
(1), G (2), H (3), and I (4), tetramic acid derivatives, were re-discovered from
the Indonesian marine sponge of Melophlus sp. as anti-dormant mycobacterial
substances. Moreover, target analysis of melophlin A indicated that it targeted
the BCG1083 protein of putative exopolyphosphatase and the BCG1321c protein of
diadenosine 5',5'''-P(1),P(4)-tetraphosphate phosphorylase.
PMID- 27193015
TI - Erratum to: An Invariant-Based Damage Model for Human and Animal Skins.
PMID- 27193016
TI - Estimation of a physician practice cost function.
AB - OBJECTIVE: The goal of the present paper is to provide evidence on the behavior
of physician practice cost functions. DATA SOURCES: Our study is based on the
data of 3686 physician practices in Germany for the years 2006 to 2008. STUDY
DESIGN: We apply a translog functional form and include a comprehensive set of
variables that have not been previously used in this context. A system of four
equations using three-stage least squares is estimated. PRINCIPAL FINDINGS: We
find that a higher degree of specialization leads to a decrease in costs, whereas
quality certification increases costs. Costs of group practices are higher than
of solo practices. The latter finding can be explained by the existence of
indivisibilities of expensive technical equipment. Smaller practices do not reach
the critical mass to invest in certain technologies, which leads to differences
in the type of health care services provided by different practice types.
CONCLUSIONS: This is the first study to use physician practices as the unit of
observation and to consider the endogenous character of physician input. Our
results suggest that identifying factors that influence physician practice costs
is important for providing evidence-based physician payment systems and to enable
decision-makers to set incentives effectively.
PMID- 27193017
TI - Chia Seed Shows Good Protein Quality, Hypoglycemic Effect and Improves the Lipid
Profile and Liver and Intestinal Morphology of Wistar Rats.
AB - Chia has been consumed by the world population due to its high fiber, lipids and
proteins content. The objective was to evaluate the protein quality of chia
untreated (seed and flour) and heat treated (90 degrees C/20 min), their
influence on glucose and lipid homeostasis and integrity of liver and intestinal
morphology of Wistar rats. 36 male rats, weanling, divided into six groups which
received control diet (casein), free protein diet (aproteic) and four diet tests
(chia seed; chia seed with heat treatment; chia flour and chia flour with heat
treatment) for 14 days were used. The protein efficiency ratio (PER), net protein
ratio (NPR) and true digestibility (TD) were evaluated. The biochemical variables
and liver and intestinal morphologies of animals were determined. The values of
PER, NPR and TD did not differ among the animals that were fed with chia and were
lower than the control group. The animals that were fed with chia showed lower
concentrations of glucose; triacylglycerides, low-density lipoprotein cholesterol
and very low-density lipoprotein and higher high-density lipoprotein cholesterol
than the control group. The liver weight of animals that were fed with chia was
lower than the control group. Crypt depth and thickness of intestinal muscle
layers were higher in groups that were fed with chia. The consumption of chia has
shown good digestibility, hypoglycemic effect, improved lipid and glycemic
profiles and reduced fat deposition in liver of animals, and also promoted
changes in intestinal tissue that enhanced its functionality.
PMID- 27193018
TI - New Procedure to Obtain Polyphenol-Enriched Grapes Based on the Use of Chemical
Elicitors.
AB - The effect of the postharvest treatment of methyl jasmonate enantiomers in
conjunction with ethanol on bioformation of resveratrol and quercetin glycosides
in grapes was evaluated. The antioxidant activity of treated grape extracts as
compared with untreated extracts was also assayed. Exogenous (-)-methyl jasmonate
in combination with ethanol induced a significant increase in the levels of
resveratrol (from 27 to 39 MUg g(-1)), quercetin-3-O-glucoside (from 59 to 136
MUg g(-1)), quercetin-3-O-galactoside (from 398 to 807 MUg g(-1)) and quercetin-3
O-rutinoside (from 23 to 43 MUg g(-1)). (+)-Methyl jasmonate with ethanol also
resulted in increase of quercetin-3-O-glucoside and quercetin-3-O-rutinoside.
However, no (+)-methyl jasmonate effect was observed for resveratrol and
quercetin-3-O-galactoside. Both (-)- and (+)-methyl jasmonate treatments provided
with extracts with higher antioxidant activity. From the results found in the
present work postharvest treatment with (-)-methyl jasmonate in conjunction with
ethanol is proposed as a mean to obtain polyphenol-enriched grape extracts with
improved antioxidant properties. The procedure here developed is proposed as a
mean to obtain functional grapes. Extracts obtained from grapes treated with (-)
methyl jasmonate with ethanol can be particularly useful for industry due to
their high antioxidant capacity.
PMID- 27193021
TI - For love and money: the need to rethink benefits in HIV cure studies.
AB - HIV cure research holds great potential to eradicate HIV, but the benefit to
early trial participants is likely to be small. Moreover, participation carries
unknown and possibly significant risks to research participants. This is the
risk:benefit ratio challenge of HIV cure research. Although it may be consensual
and rational for individuals to participate in HIV cure research that requires a
degree of self-sacrifice, I argue that altruistic research participants can be
exploited when the benefits to them are unfair. Transactions of this kind should
not be prohibited, as that would be unacceptably paternalistic and thwart
socially valuable research. Nevertheless, we should not simply accept these
transactions but must work to reduce or eliminate exploitation by enhancing the
benefits so that research participants are better off by their own lights.
Offering payment in HIV cure research is the optimal way to enhance benefits to
research participants and to make the risk:benefit ratio more favourable. I argue
for a payment-as-benefit model against the standard view, assumed in ethics and
policy, that offers of payment are not legitimate benefits.
PMID- 27193022
TI - Prevalence and molecular characterization of human noroviruses and sapoviruses in
Ethiopia.
AB - Viral gastroenteritis is a major public health problem worldwide. In Ethiopia,
very limited studies have been done on the epidemiology of enteropathogenic
viruses. The aim of this study was to detect and characterize noroviruses (NoVs)
and sapoviruses (SaVs) from acute gastroenteritis patients of all ages. Fecal
samples were collected from diarrheic patients (n = 213) in five different health
centers in Addis Ababa during June-September 2013. The samples were screened for
caliciviruses by reverse transcription polymerase chain reaction (RT-PCR) using
universal and genogroup-specific primer pairs. Phylogenetic analyses were
conducted using the sequences of the PCR products. Of the clinical samples, 25.3
% and 4.2 % were positive for NoV and SaV RNA, respectively. Among the norovirus
positives, 22 were sequenced further, and diverse norovirus strains were
identified: GI (n = 4), GII (n = 17) and GIV (n = 1). Most strains were GII (n =
17/22: 77.2 %), which were further divided into three different genotypes (GII.4,
GII.12/GII.g recombinant-like and GII.17), with GII.17 being the dominant (7/17)
strain detected. GI noroviruses, in particular GI.4 (n = 1), GI.5 (n = 2) and
GI.8 (n = 1), were also detected and characterized. The GIV strain detected is
the first from East Africa. The sapoviruses sequenced were also the first
reported from Ethiopia. Collectively, this study showed the high burden and
diversity of noroviruses and circulation of sapoviruses in diarrheic patients in
Ethiopia. Continued surveillance to assess their association with diarrhea is
needed to define their epidemiology, disease burden, and impact on public health.
PMID- 27193020
TI - Highly individual patterns of virus-immune IgG effector responses in humans.
AB - IgG responses are fundamental to adaptive immunity and document immunological
memory of previous pathogen encounter. While specific antigen recognition is
mediated by the variable F(ab')2 domain of IgG, various effector functions become
activated via the constant Fcgamma part bridging IgG-opsonized targets to
FcgammaR-expressing immune effector cells. Traditionally, neutralizing IgG is
considered the most appropriate correlate of protective humoral immunity to
viruses. However, evidence is increasing that antiviral IgG mediates protection
to viruses via activation of FcgammaRs. Using a test system allowing quantitative
detection of virus-immune IgG able to activate FcgammaRs, sera of healthy
individuals and vaccinees were assessed with regard to two prototypical human
pathogenic viruses: measles and human cytomegalovirus. Marked differences in the
capacity of individuals to generate FcgammaRI-, FcgammaRII- and FcgammaRIII
activating responses were noted. Comparison of FcgammaR-activating IgG with
neutralizing and ELISA IgG concentrations did not correlate for HCMV and only
very poorly for MV. Since neither neutralizing IgG nor overall IgG responses
faithfully predict the activation of FcgammaRs, only the simultaneous
quantification of IgGs activating defined FcgammaRs will aid to delineate
individual "immunograms" of virus IgG immunity. Such new multiparametric
assessment of antiviral IgG qualities could be instrumental in defining
correlates of protection and disease progression.
PMID- 27193019
TI - A Retrospective Study in Adults with Metabolic Syndrome: Diabetic Risk Factor
Response to Daily Consumption of Agaricus bisporus (White Button Mushrooms).
AB - Adults with metabolic syndrome from different race/ethnicities are often
predisposed to developing type 2 diabetes (T2D); however, growing evidence
suggests that healthy diets and lifestyle choices can significantly slow or
prevent progression to T2D. This poorly understood relationship to healthy
dietary patterns and prevention of T2D motivated us to conduct a retrospective
analysis to determine the potential impact of a minor dietary lifestyle change
(daily mushroom consumption) on known T2D risk factors in racially diverse adults
with confirmed features of the metabolic syndrome. Retrospectively, we studied 37
subjects who had participated in a dietary intervention focused on vitamin D
bioavailability from white button mushrooms (WBM). All 37 had previously
completed a 16-week study where they consumed 100 g of WBM daily and were then
followed-up for one month during which no mushrooms were consumed. We analyzed
differences in serum risk factors from baseline to 16-week, and from baseline to
one-month follow-up. Measurement of serum diabetic risk factors included
inflammatory and oxidative stress markers and the antioxidant component naturally
rich in mushrooms, ergothioneine. Significant beneficial health effects were
observed at 16-week with the doubling of ergothioneine from baseline, increases
in the antioxidant marker ORAC (oxygen radical absorption capacity) and anti
inflammatory hormone, adiponectin and significant decreases in serum oxidative
stress inducing factors, carboxymethyllysine (CML) and methylglyoxal (MG), but no
change in the lipid oxidative stress marker 8-isoprostane, leptin or measures of
insulin resistance or glucose metabolism. We conclude that WBM contain a variety
of compounds with potential anti-inflammatory and antioxidant health benefits
that can occur with frequent consumption over time in adults predisposed to T2D.
Well-controlled studies are needed to confirm these findings and identify the
specific mushroom components beneficial to health.
PMID- 27193023
TI - Elevated hepatic lipid and interferon stimulated gene expression in HCV GT3
patients relative to non-alcoholic steatohepatitis.
AB - BACKGROUND AND AIMS: HCV GT-3 has a more pronounced effect on hepatic steatosis
and host lipids than other HCV genotypes and is proving less responsive to all
oral interferon-free treatment with direct acting antiviral agents. As both HCV
GT3 infection and NASH can result in steatosis and cirrhosis, we asked whether
hepatic transcriptional profiles reflective of the host response to inflammation
differed based on the etiology of injury. METHODS: Hepatic gene expression was
determined for 48 pre-selected genes known to be associated with hepatic
interferon signaling and lipid metabolic pathways in treatment-naive HCV GT-3 (n
= 9) and NASH (n = 14) patients. RESULTS: Genes with significantly higher
expression in HCV included chemokines CXCL10, CXCL11 interferon IFNA2, interferon
receptors IFNAR1, IL10RB negative regulators of interferon signaling SOCS3,
USP18, JAK/STAT and IRF family members STAT1, STAT2, and IRF, and TGFB family
members TGFB1, TGFBR1, and TGFBR2 and other ISGs like OAS2, IF127, IF144 and
ISG15. HCV infection was also associated with higher expression of genes
associated with lipid metabolism APOE, APOL3, SREBF1 and HMBS. Furthermore, our
results suggest that, in HCV GT3-infected patients, IL28B (CC) genotype is
associated with lower baseline ISG expression such as IRF9, ISG15, MX1, STAT1,
CXCL10, CXCL11, and IFI27 compared to CT/TT genotype. CONCLUSIONS: HCV GT-3 and
NASH both induce hepatic steatosis and inflammation, while HCV GT-3 infection is
uniquely associated with elevated transcription of hepatic ISGs and genes
associated with lipid metabolism. These changes likely reflect the unique host
response to HCV replication distinct from the inflammatory response induced by
NASH.
PMID- 27193024
TI - Molecular mechanisms of HBeAg in persistent HBV infection.
AB - PURPOSE: The aim of this study is to investigate the T-lymphocyte subpopulation
and expression of programmed cell death-1 (PD-1), toll-like receptor (TLR)3,
TLR4, and interferon (INF)-gamma to illustrate the relationship between hepatitis
B e antigen (HBeAg) and persistent hepatitis B virus (HBV) infection. METHODS:
Blood was taken from normal subjects into anticoagulation tubes to separate
peripheral blood mononuclear cells (PBMCs). The PBMCs were divided into four
groups and cultured with various concentrations of HBeAg for 72 h. Changes in the
T-cell subset were analyzed through cell counting by flow cytometry, and
expression of TLR3, TLR4, and PD-1 was assessed by flow cytometry and Western
blot. The concentration of IFN-gamma was analyzed using enzyme-linked immunospot
(ELISPOT) experiments. RESULTS: PBMCs were stimulated with various concentrations
of HBeAg for 72 h and assayed by flow cytometry to determine CD4+ and CD8+ cell
counts. The relative frequencies of CD4+ and CD8+ subpopulations and the
CD4+/CD8+ ratio decreased compared with the control group, and T-cell impairment
was significantly associated with higher HBeAg load. TLR3, TLR4, and PD-1 protein
expression was assessed using flow cytometry and Western blotting. Expression of
TLR3, TLR4, and PD-1 increased with increasing concentration of HBeAg. ELISPOT
experiments were used to determine the concentration of IFN-gamma. IFN-gamma
production in treatment groups was lower than in the control group. Comparing IFN
gamma production in treatment groups, IFN-gamma production in PBMCs stimulated
with high dose of HBeAg was lower than for those stimulated with low-dose HBeAg.
CONCLUSIONS: HBeAg can inhibit proliferation of lymphocytes, increase TLR3, TLR4,
and PD-1 expression, and decrease IFN-gamma production. This may be one of the
molecular mechanisms of HBV immune tolerance.
PMID- 27193027
TI - Mental Disorders Top The List Of The Most Costly Conditions In The United States:
$201 Billion.
AB - Estimates of annual health spending for a comprehensive set of medical conditions
are presented for the entire US population and with totals benchmarked to the
National Health Expenditure Accounts. In 2013 mental disorders topped the list of
most costly conditions, with spending at $201 billion.
PMID- 27193025
TI - Vigilance and wake EEG architecture in simulated hyperammonaemia: a pilot study
on the effects of L-Ornithine-L-Aspartate (LOLA) and caffeine.
AB - Hyperammonaemia/mild hepatic encephalopathy (HE) can be simulated by the oral
administration of a so-called amino acid challenge (AAC). This study sought to
assess the effects of the AAC alone and in combination with either ammonia
lowering [L-ornithine-L-aspartate (LOLA)] or vigilance-enhancing medication
(caffeine). Six patients with cirrhosis (5 males; 61.3 +/- 9.2 years; 5 Child A,
1 Child B) and six healthy volunteers (5 males; 49.8 +/- 10.6 years) were studied
between 08:00 and 19:00 on Monday of three consecutive weeks. The following
indices were obtained: hourly capillary ammonia, hourly subjective sleepiness,
paper & pencil/computerized psychometry and wake electroencephalography (EEG) at
12:00, i.e. at the time of the maximum expected effect of the AAC. RESULTS: On
average, patients had worse neuropsychological performance and slower EEG than
healthy volunteers in all conditions but differences did not reach significance.
In healthy volunteers, the post-AAC increase in capillary ammonia levels was
contained by both the administration of LOLA and of caffeine (significant
differences between 10:00 and 14:00 h). The administration of caffeine also
resulted in a reduction in subjective sleepiness and in the amplitude of the EEG
on several frontal/temporal-occipital sites (p < 0.05; paired t-test). Changes in
ammonia levels, subjective sleepiness and the EEG in the three conditions were
less obvious in patients. In conclusion, both LOLA and caffeine contained the AAC
induced increase in capillary ammonia, especially in healthy volunteers. Caffeine
also counteracted the AAC effects on sleepiness/EEG amplitude. The association of
ammonia-lowering and vigilance-enhancing medication in the management of HE is
worthy of further study.
PMID- 27193028
TI - Charging overseas visitors to use NHS will benefit no one, doctors' leaders warn.
PMID- 27193026
TI - The cariogenicity of commercial infant formulas: a systematic review.
AB - AIM: To systematically evaluate the cariogenic potential of various commercially
available infant formulas. MATERIALS AND METHODS: A literature search was
conducted using Pubmed and Scopus databases for articles published between 1966
and November 2014. Reference lists of all eligible studies were searched. Only
human studies were included. Data extraction and risk of bias assessments were
performed. RESULTS: Seven of the 83 articles identified were included in this
review, of which six studies employed plaque harvesting methods, while one study
utilised an intra-oral cariogenicity/in situ model. Three studies compared milk
based formulas (MBFs) and soy-based formulas (SBFs), two compared protein
hydrolysate formulas (PHFs) with MBFs and SBFs, four compared formulas with
various types of sugar, and two studies compared formulas with varying casein
content. Based on a single study, SBFs were significantly more cariogenic than
MBFs. Formulas containing only non-milk extrinsic sugars (NMES) and those
containing lactose + NMES were found to be significantly more cariogenic than
formulas containing only lactose. No significant correlation was found between
cariogenicity and casein content in infant formula. The results of studies
comparing PHFs with MBFs and SBFs were contradictory. Risk of bias assessment
revealed that five studies were at moderate risk of bias, and two were assessed
to be at high risk of bias. CONCLUSION: The result for cariogenicity of various
types of infant formulas remains inconclusive, thus no concrete recommendations
can be made. Further well-designed studies are needed to clarify the effect of
casein content on cariogenicity.
PMID- 27193029
TI - Diagnostic Accuracy of a New d-Dimer Assay (Sclavo Auto d-Dimer) for Exclusion of
Deep Vein Thrombosis in Symptomatic Outpatients.
AB - In patients presenting non-high clinical pretest probability (PTP), a negative d
dimer can exclude venous thromboembolism without imaging tests. However, each d
dimer assay should be validated in prospective studies. We evaluated an automated
d-dimer immunoassay using the Sclavo Auto d-dimer (Sclavo Diagnostics Int,
Sovicille, Italy) provided by Dasit Diagnostica (Cornaredo, Milan, Italy). Three
hundred two consecutive outpatients suspected of leg deep vein thrombosis (DVT)
with non-high PTP were included. The Sclavo Auto d-dimer assay was evaluated on 2
analyzers (Sysmex CA-7000 and Sysmex CS-2100; Sysmex Corporation, Kobe, Japan,
provided by Dasit). The cutoff value (200 ng/mL) was established a priori.
Prevalence of DVT was 11.9%. Since no false-negative patients were detected, the
sensitivity and negative predictive values (NPVs) were 100% (sensitivity = CA
7000: 100% [95% confidence interval, CI: 93.3-100], CS-2100: 100% [95% CI: 93.3
100]; NPV = CA-7000: 100% [95% CI: 97.9-100], CS-2100: 100% [95% CI: 98.0-100]).
Specificity was 65.4% (95% CI: 59.4-71.1) and 69.2% (95% CI: 63.3-74.7) for CA
7000 and CS-2100, respectively. Specificity increased when a higher cutoff value
(234 ng/mL) was used for patients aged >=60 years without compromising the
safety. Assay reproducibility was satisfactory at concentrations near the cutoff
value (total coefficient of variations <10%). In conclusion, the Sclavo Auto d
dimer assay was accurate when used for DVT diagnostic workup in outpatients with
non-high PTP. Based on its high sensitivity and NPV, it can be used as a stand
alone test in outpatients with non-high PTP. Given its high specificity, the
number of patients in whom further imaging techniques can be avoided increased,
improving the yield of the test.
PMID- 27193030
TI - Lipid-based Vesicular Nanocargoes as Nanotherapeutic Targets for the Effective
Management of Rheumatoid Arthritis.
AB - BACKGROUND: Rheumatoid arthritis (RA) is an immune mediated joint-based chronic
inflammatory disorder recognized by joint inflammation, destruction, pain and
remission. Currently, numerous pharmacotherapeutic strategies have gained immense
popularity in RA therapy and improving the patient life. METHODS: Besides, it
exhibits numerous drawbacks such as requirement of high dose of drugs,
unavoidable adverse effects and diseases remission. Thus, use of currently
available pharmacotherapeutics employing conventional formulations can only
provide therapeutic effects to a certain extent. RESULTS: Recent advancements in
nanotechnology-based lipidic vesicular nanocarriers have led provided improved
efficacy and safety for the anti-rheumatic drugs. These include liposomes,
stealth liposomes, ethosomes, transfersomes, etc., which have shown their
potential to improve the therapeutic efficacy of antirheumatic drugs with lesser
toxicity. Although the results of animal models for use of lipid vesicular
nanocarriers for drug targeting in RA have been found to be highly promising, but
lack of sufficient data in a clinical setup are still evident to demonstrate
their practical utility in patient populations. In this regard, considerable
research studies are required for evaluating the efficacy and safety of the
aforementioned nanocarriers in RA through clinical studies. CONCLUSION: The
present review, therefore, covers the brief pathophysiology of RA, current
medication and their challenges in RA therapy. Besides, an extensive account on
recent advancements in novel lipid vesicular nanocarriers in RA therapy has also
been addressed with special emphasis on the patent literature too.
PMID- 27193031
TI - A combined large-scale meta-analysis identifies COG6 as a novel shared risk locus
for rheumatoid arthritis and systemic lupus erythematosus.
AB - OBJECTIVES: During the last years, genome-wide association studies (GWASs) have
identified a number of common genetic risk factors for rheumatoid arthritis (RA)
and systemic lupus erythematosus (SLE). However, the genetic overlap between
these two immune-mediated diseases has not been thoroughly examined so far. The
aim of the present study was to identify additional risk loci shared between RA
and SLE. METHODS: We performed a large-scale meta-analysis of GWAS data from RA
(3911 cases and 4083 controls) and SLE (2237 cases and 6315 controls). The top
associated polymorphisms in the discovery phase were selected for replication in
additional datasets comprising 13 641 RA cases and 31 921 controls and 1957
patients with SLE and 4588 controls. RESULTS: The rs9603612 genetic variant,
located nearby the COG6 gene, an established susceptibility locus for RA, reached
genome-wide significance in the combined analysis including both discovery and
replication sets (p value=2.95E-13). In silico expression quantitative trait
locus analysis revealed that the associated polymorphism acts as a regulatory
variant influencing COG6 expression. Moreover, protein-protein interaction and
gene ontology enrichment analyses suggested the existence of overlap with
specific biological processes, specially the type I interferon signalling
pathway. Finally, genetic correlation and polygenic risk score analyses showed
cross-phenotype associations between RA and SLE. CONCLUSIONS: In conclusion, we
have identified a new risk locus shared between RA and SLE through a meta
analysis including GWAS datasets of both diseases. This study represents the
first comprehensive large-scale analysis on the genetic overlap between these two
complex disorders.
PMID- 27193032
TI - When doctors share visit notes with patients: a study of patient and doctor
perceptions of documentation errors, safety opportunities and the patient-doctor
relationship.
AB - BACKGROUND: Patient advocates and safety experts encourage adoption of
transparent health records, but sceptics worry that shared notes may offend
patients, erode trust or promote defensive medicine. As electronic health records
disseminate, such disparate views fuel policy debates about risks and benefits of
sharing visit notes with patients through portals. METHODS: Presurveys and
postsurveys from 99 volunteer doctors at three US sites who participated in
OpenNotes and postsurveys from 4592 patients who read at least one note and
submitted a survey. RESULTS: Patients read notes to be better informed and
because they were curious; about a third read them to check accuracy. In total,
7% (331) of patients reported contacting their doctor's office about their note.
Of these, 29% perceived an error, and 85% were satisfied with its resolution.
Nearly all patients reported feeling better (37%) or the same (62%) about their
doctor. Patients who were older (>63), male, non-white, had fair/poor self
reported health or had less formal education were more likely to report feeling
better about their doctor. Among doctors, 26% anticipated documentation errors,
and 44% thought patients would disagree with notes. After a year, 53% believed
patient satisfaction increased, and 51% thought patients trusted them more. None
reported ordering more tests or referrals. CONCLUSIONS: Despite concerns about
errors, offending language or defensive practice, transparent notes overall did
not harm the patient-doctor relationship. Rather, doctors and patients perceived
relational benefits. Traditionally more vulnerable populations-non-white, those
with poorer self-reported health and those with fewer years of formal education
may be particularly likely to feel better about their doctor after reading their
notes. Further informing debate about OpenNotes, the findings suggest transparent
records may improve patient satisfaction, trust and safety.
PMID- 27193033
TI - Cognitive tests predict real-world errors: the relationship between drug name
confusion rates in laboratory-based memory and perception tests and corresponding
error rates in large pharmacy chains.
AB - BACKGROUND: Drug name confusion is a common type of medication error and a
persistent threat to patient safety. In the USA, roughly one per thousand
prescriptions results in the wrong drug being filled, and most of these errors
involve drug names that look or sound alike. Prior to approval, drug names
undergo a variety of tests to assess their potential for confusability, but none
of these preapproval tests has been shown to predict real-world error rates.
OBJECTIVES: We conducted a study to assess the association between error rates in
laboratory-based tests of drug name memory and perception and real-world drug
name confusion error rates. METHODS: Eighty participants, comprising doctors,
nurses, pharmacists, technicians and lay people, completed a battery of
laboratory tests assessing visual perception, auditory perception and short-term
memory of look-alike and sound-alike drug name pairs (eg,
hydroxyzine/hydralazine). RESULTS: Laboratory test error rates (and other
metrics) significantly predicted real-world error rates obtained from a large,
outpatient pharmacy chain, with the best-fitting model accounting for 37% of the
variance in real-world error rates. Cross-validation analyses confirmed these
results, showing that the laboratory tests also predicted errors from a second
pharmacy chain, with 45% of the variance being explained by the laboratory test
data. CONCLUSIONS: Across two distinct pharmacy chains, there is a strong and
significant association between drug name confusion error rates observed in the
real world and those observed in laboratory-based tests of memory and perception.
Regulators and drug companies seeking a validated preapproval method for
identifying confusing drug names ought to consider using these simple tests. By
using a standard battery of memory and perception tests, it should be possible to
reduce the number of confusing look-alike and sound-alike drug name pairs that
reach the market, which will help protect patients from potentially harmful
medication errors.
PMID- 27193034
TI - PPAR-alpha, a lipid-sensing transcription factor, regulates blood-brain barrier
efflux transporter expression.
AB - Lipid sensor peroxisome proliferator-activated receptor alpha (PPAR- alpha) is
the master regulator of lipid metabolism. Dietary release of endogenous free
fatty acids, fibrates, and certain persistent environmental pollutants, e.g.
perfluoroalkyl fire-fighting foam components, are peroxisome proliferator
activated receptor alpha ligands. Here, we define a role for peroxisome
proliferator-activated receptor alpha in regulating the expression of three ATP
driven drug efflux transporters at the rat and mouse blood-brain barriers: P
glycoprotein (Abcb1), breast cancer resistance protein (Bcrp/Abcg2), and
multidrug resistance-associated protein 2 (Mrp2/Abcc2). Exposing isolated rat
brain capillaries to linoleic acid, clofibrate, or PKAs increased the transport
activity and protein expression of the three ABC transporters. These effects were
blocked by the PPAR- alpha antagonist, GW6471. Dosing rats with 20 mg/kg or 200
mg/kg of clofibrate decreased the brain accumulation of the P-glycoprotein
substrate, verapamil, by 50% (in situ brain perfusion; effects blocked by GW6471)
and increased P-glycoprotein expression and activity in capillaries ex vivo.
Fasting C57Bl/6 wild-type mice for 24 h increased both serum lipids and brain
capillary P-glycoprotein transport activity. Fasting did not alter P-glycoprotein
activity in PPAR- alpha knockout mice. These results indicate that
hyperlipidemia, lipid-lowering fibrates and exposure to certain fire-fighting
foam components activate blood-brain barrier peroxisome proliferator-activated
receptor alpha, increase drug efflux transporter expression and reduce drug
delivery to the brain.
PMID- 27193037
TI - Pathological Effects of the FMR1 CGG-Repeat Polymorphism (5-55 Repeat Numbers):
Systematic Review and Meta-Analysis.
AB - The fragile X mental retardation 1 (FMR1) gene contains a highly polymorphic
trinucleotide (CGG) repeat and consists of various allelic forms. Traditionally,
55-200 repeats and over 200 CGG repeats have been highlighted to be associated
with ovarian dysfunction and neuro-psychiatric risks. However, previous studies
had paid little attention to the allelic forms of 5-55 CGG repeats. Herein, we
sought to evaluate the pathological features of FMR1 allelic category with a
range of 5-55 CGG repeats. We further classified the spectrum of CGG sizes (5-55
repeats) into three sub-groups as low numbers of CGG repeat (< 26 repeats),
normal CGG count (26-34 repeats), and small CGG expansion (35-54 repeats). Our
systematic review documented that low numbers of CGG repeat (< 26 repeats)
revealed a close relationship with premature ovarian failure. Correspondingly,
the meta-analysis showed that small CGG expansion, involving allelic sizes with
35-54 (n = 8, OR = 1.22, 95% CI: 0.75-2.00, P > 0.05) and 41-54 (n = 7, OR =
1.62, 95% CI: 1.14-2.30, P < 0.05), was both linked to the risk of ovarian
dysfunction. Additionally, small CGG expansion exerts significant influence on
male Parkinsonism cohorts (OR = 2.17, 95% CI: 1.50-3.14, P < 0.05), mental
retardation, and repeat instability. Our data provide evidence that the CGG
repeat numbers below 26 or above 34 of FMR1 gene are also associated with disease
risks and thus should be regarded as pathological genotypes for a routine test.
PMID- 27193035
TI - Aging-related alterations in eNOS and nNOS responsiveness and smooth muscle
reactivity of murine basilar arteries are modulated by apocynin and
phosphorylation of myosin phosphatase targeting subunit-1.
AB - Aging causes major alterations of all components of the neurovascular unit and
compromises brain blood supply. Here, we tested how aging affects vascular
reactivity in basilar arteries from young (<10 weeks; y-BA), old (>22 months; o
BA) and old (>22 months) heterozygous MYPT1-T-696A/+ knock-in mice. In
isometrically mounted o-BA, media thickness was increased by ~10% while the
passive length tension relations were not altered. Endothelial denudation or pan
NOS inhibition (100 umol/L L-NAME) increased the basal tone by 11% in y-BA and
23% in o-BA, while inhibition of nNOS (1 umol/L L-NPA) induced ~10% increase in
both ages. eNOS expression was ~2-fold higher in o-BA. In o-BA, U46619-induced
force was augmented (pEC50 ~6.9 vs. pEC50 ~6.5) while responsiveness to DEA
NONOate, electrical field stimulation or nicotine was decreased. Basal
phosphorylation of MLC20-S19 and MYPT1-T-853 was higher in o-BA and was reversed
by apocynin. Furthermore, permeabilized o-BA showed enhanced Ca2+-sensitivity.
Old T-696A/+ BA displayed a reduced phosphorylation of MYPT1-T696 and MLC20, a
lower basal tone in response to L-NAME and a reduced eNOS expression. The results
indicate that the vascular hypercontractility found in o-BA is mediated by
inhibition of MLCP and is partially compensated by an upregulation of endothelial
NO release.
PMID- 27193038
TI - The Severity of Takayasu Arteritis Is Associated with the HLA-B52 Allele in
Japanese Patients.
AB - Takayasu arteritis (TA) is a type of vasculitis that affects the large elastic
arteries, specifically the aorta and its main branches. It has been reported that
TA occurred most frequently in Nagasaki Prefecture, the western area in Japan. We
retrospectively collected the information of 34 patients with TA, diagnosed using
the American College of Rheumatology 1990 criteria for the classification of TA,
from the medical records of Nagasaki University Hospital from 2003 to 2015, and
we investigated the clinical characteristics of these TA patients. Among the 35
patients, 25 patients were examined for the existence of the HLA-B52 allele that
has been reported to influence TA susceptibility. Seventeen patients (68.0%) of
the 25 patients were HLA-B52-allele-positive, which was defined as the state of
having at least one HLA-B52 allele. There was a significant difference in the
rate of smokers: HLA-B52-allele-positive: six patients (35.3%) vs. HLA-B52-allele
negative: 0 (0.0%). The C-reactive protein level in the HLA-B52-positive patients
(9.0 +/- 6.4 mg/dL) was significantly higher than that in the HLA-B52-negative
patients (3.2 +/- 3.9 mg/dL). All HLA-B52-allele-positive patients were found to
be active according to Kerr's criteria. The HLA-B52-positive patients' initial
prednisolone dosage (37.7 +/- 8.6 mg/day) was significantly higher than that of
the HLA-B52-allele-negative patients (23.1 +/- 13.1 mg/day). Thus, the HLA-B52
allele is associated with the disease activity and the steroid requirements of TA
patients. Furthermore, our present findings have revealed for the first time that
the HLA-B52 allele and smoking might be associated with the onset of TA.
PMID- 27193036
TI - Mobile Technology for Vegetable Consumption: A Randomized Controlled Pilot Study
in Overweight Adults.
AB - BACKGROUND: Mobile apps present a potentially cost-effective tool for delivering
behavior change interventions at scale, but no known studies have tested the
efficacy of apps as a tool to specifically increase vegetable consumption among
overweight adults. OBJECTIVE: The purpose of this pilot study was to assess the
initial efficacy and user acceptability of a theory-driven mobile app to increase
vegetable consumption. METHODS: A total of 17 overweight adults aged 42.0 (SD
7.3) years with a body mass index (BMI) of 32.0 (SD 3.5) kg/m(2) were randomized
to the use of Vegethon (a fully automated theory-driven mobile app enabling self
monitoring of vegetable consumption, goal setting, feedback, and social
comparison) or a wait-listed control condition. All participants were recruited
from an ongoing 12-month weight loss trial (parent trial). Researchers who
performed data analysis were blinded to condition assignment. The primary outcome
measure was daily vegetable consumption, assessed using an adapted version of the
validated Harvard Food Frequency Questionnaire administered at baseline and 12
weeks after randomization. An analysis of covariance was used to assess
differences in 12-week vegetable consumption between intervention and control
conditions, controlling for baseline. App usability and satisfaction were
measured via a 21-item post-intervention questionnaire. RESULTS: Using intention
to-treat analyses, all enrolled participants (intervention: 8; control: 9) were
analyzed. Of the 8 participants randomized to the intervention, 5 downloaded the
app and logged their vegetable consumption a mean of 0.7 (SD 0.9) times per day,
2 downloaded the app but did not use it, and 1 never downloaded it. Consumption
of vegetables was significantly greater among the intervention versus control
condition at the end of the 12-week pilot study (adjusted mean difference: 7.4
servings; 95% CI 1.4-13.5; P=.02). Among secondary outcomes defined a priori,
there was significantly greater consumption of green leafy vegetables,
cruciferous vegetables, and dark yellow vegetables (adjusted mean difference:
2.6, 1.6, and 0.8 servings; 95% CI 0.1-5.0, 0.1-3.2, and 0.3-1.4; P=.04, P=.04,
and P=.004, respectively). Participants reported positive experiences with the
app, including strong agreement with the statements "I have found Vegethon easy
to use" and "I would recommend Vegethon to a friend" (mean 4.6 (SD 0.6) and 4.2
(SD 0.8), respectively, (on a 5-point scale). CONCLUSIONS: Vegethon demonstrated
initial efficacy and user acceptability. A mobile app intervention may be useful
for increasing vegetable consumption among overweight adults. The small sample
size prevented precise estimates of effect sizes. Given the improved health
outcomes associated with increases in vegetable consumption, these findings
indicate the need for larger, longer-term evaluations of Vegethon and similar
technologies among overweight adults and other suitable target groups. TRIAL
REGISTRATION: ClinicalTrials.gov NCT01826591;
https://clinicaltrials.gov/ct2/show/NCT01826591 (Archived by WebCite at
http://www.webcitation.org/6hYDw2AOB).
PMID- 27193039
TI - Immunoglobulin M for Acute Infection: True or False?
AB - Immunoglobulin M (IgM) tests have clear clinical utility but also suffer
disproportionately from false-positive results, which in turn can lead to
misdiagnoses, inappropriate therapy, and premature closure of a diagnostic
workup. Despite numerous reports in the literature, many clinicians and
laboratorians remain unaware of this issue. In this brief review, a series of
virology case examples is presented. However, a false-positive IgM can occur with
any pathogen. Thus, when an accurate diagnosis is essential for therapy,
prognosis, infection control, or public health, when the patient is sick enough
to be hospitalized, or when the clinical or epidemiologic findings do not fit,
IgM detection should not be accepted as a stand-alone test. Rather, whenever
possible, the diagnosis should be confirmed by other means, including testing of
serial samples and the application of additional test methods.
PMID- 27193043
TI - Improving Sexual Function Recovery Following RARP: Impact of Surgeon Technique
Versus the Role of Anti-Inflammatory Agents?, Editorial Comment for Patel et al.
PMID- 27193042
TI - Recalcitrant steroid-induced rosacea successfully treated with 0.03% tacrolimus
and 595-nm pulsed dye laser.
PMID- 27193041
TI - Circulating Gut-Homing (alpha4beta7+) Plasmablast Responses against Shigella
Surface Protein Antigens among Hospitalized Patients with Diarrhea.
AB - Developing countries are burdened with Shigella diarrhea. Understanding mucosal
immune responses associated with natural Shigella infection is important to
identify potential correlates of protection and, as such, to design effective
vaccines. We performed a comparative analysis of circulating mucosal plasmablasts
producing specific antibodies against highly conserved invasive plasmid antigens
(IpaC, IpaD20, and IpaD120) and two recently identified surface protein antigens,
pan-Shigella surface protein antigen 1 (PSSP1) and PSSP2, common to all virulent
Shigella strains. We examined blood and stool specimens from 37 diarrheal
patients admitted to the Infectious Diseases & Beliaghata General Hospital,
Kolkata, India. The etiological agent of diarrhea was investigated in stool
specimens by microbiological methods and real-time PCR. Gut-homing (alpha4beta7
(+)) antibody-secreting cells (ASCs) were isolated from patient blood by means of
combined magnetic cell sorting and two-color enzyme-linked immunosorbent spot
(ELISPOT) assay. Overall, 57% (21 of 37) and 65% (24 of 37) of the patients were
positive for Shigella infection by microbiological and real-time PCR assays,
respectively. The frequency of alpha4beta7 (+) IgG ASC responders against Ipas
was higher than that observed against PSSP1 or PSSP2, regardless of the Shigella
serotype isolated from these patients. Thus, alpha4beta7 (+) ASC responses to
Ipas may be considered an indirect marker of Shigella infection. The apparent
weakness of ASC responses to PSSP1 is consistent with the lack of cross
protection induced by natural Shigella infection. The finding that ASC responses
to IpaD develop in patients with recent-onset shigellosis indicates that such
responses may not be protective or may wane too rapidly and/or be of insufficient
magnitude.
PMID- 27193040
TI - An HIV gp120-CD4 Immunogen Does Not Elicit Autoimmune Antibody Responses in
Cynomolgus Macaques.
AB - A promising concept for human immunodeficiency virus (HIV) vaccines focuses
immunity on the highly conserved transition state structures and epitopes that
appear when the HIV glycoprotein gp120 binds to its receptor, CD4. We are
developing chimeric antigens (full-length single chain, or FLSC) in which gp120
and CD4 sequences are flexibly linked to allow stable intrachain complex
formation between the two moieties (A. DeVico et al., Proc Natl Acad Sci U S A
104:17477-17482, 2007, doi:10.1073/pnas.0707399104; T. R. Fouts et al., J Virol
74:11427-11436, 2000, doi:10.1128/JVI.74.24.11427-11436.2000). Proof of concept
studies with nonhuman primates show that FLSC elicited heterologous protection
against simian-human immunodeficiency virus (SHIV)/simian immunodeficiency virus
(SIV) (T. R. Fouts et al., Proc Natl Acad Sci U S A 112:E992-E999, 2016,
doi:10.1073/pnas.1423669112), which correlated with antibodies against transition
state gp120 epitopes. Nevertheless, advancement of any vaccine that comprises
gp120-CD4 complexes must consider whether the CD4 component breaks tolerance and
becomes immunogenic in the autologous host. To address this, we performed an
immunotoxicology study with cynomolgus macaques vaccinated with either FLSC or a
rhesus variant of FLSC containing macaque CD4 sequences (rhFLSC). Enzyme-linked
immunosorbent assay (ELISA) binding titers, primary CD3(+) T cell staining, and
temporal trends in T cell subset frequencies served to assess whether anti-CD4
autoantibody responses were elicited by vaccination. We find that immunization
with multiple high doses of rhFLSC did not elicit detectable antibody titers
despite robust responses to rhFLSC. In accordance with these findings, immunized
animals had no changes in circulating CD4(+) T cell counts or evidence of
autoantibody reactivity with cell surface CD4 on primary naive macaque T cells.
Collectively, these studies show that antigens using CD4 sequences to stabilize
transition state gp120 structures are unlikely to elicit autoimmune antibody
responses, supporting the advancement of gp120-CD4 complex-based antigens, such
as FLSC, into clinical testing.
PMID- 27193044
TI - Switching of an Azobenzene-Tripod Molecule on Ag(111).
AB - The trans-cis isomerization makes azobenzene (AB) a robust molecular switch. Once
adsorbed to a metal, however, the switching is inefficient or absent due to rapid
excited-state quenching or loss of the trans-cis bistability. We find that tris
[4-(phenylazo)-phenyl]-amine is a rather efficient switch on Ag(111). Using
scanning tunneling and atomic force microscopy at submolecular resolution along
with density functional theory calculations, we show that the switching process
is no trans-cis isomerization but rather a reorientation of the N-N bond of an AB
unit. It proceeds through a twisting motion of the azo-bridge that leads to a
lateral shift of a phenyl ring. Thus, the role of the Ag substrate is ambivalent.
While it suppresses the original bistability of the azobenzene units, it creates
a new one by inducing a barrier for the rotation of the N-N bond.
PMID- 27193045
TI - The Effect of Variation of Plyometric Push-Ups on Force-Application Kinetics and
Perception of Intensity.
AB - PURPOSE: To examine differences between ground-reaction-force (GRF)-based
parameters collected from 5 types of plyometric push-ups. Between-trials
reliability and the relationships between parameters were also assessed. METHODS:
Thirty-seven highly active commando soldiers performed 3 trials of 5 variations
of the plyometric push-up in a counterbalanced order: standard countermovement
push-up (SCPu), standard squat push-up (SSPu), kneeling countermovement push-up
(KCPu), kneeling squat push-up (KSPu), and drop-fall push-up (DFPu). Vertical GRF
was measured during these exercises using a portable Kistler force plate. The GRF
applied by the hands in the starting position (initial force supported), peak GRF
and rate of force development during takeoff, flight time, impact force, and rate
of force development impact on landing were determined. RESULTS: During standard
position exercises (SCPu and SSPu) the initial force supported and impact force
were higher (P < .001) than with kneeling exercises (KCPu, KSPu, and DFPu). The
peak GRF and rate of force development during takeoff were higher (P < .001) in
the countermovement push-up exercises ([CMP] SCPu, KCPu, and DFPu) than squat
push-up exercises ([SP] SSPu and KSPu). Furthermore, the flight time was greater
(P < .001) during kneeling exercises than during standard-position exercises. A
significant relationship (P < .01) between impact force and the rate of force
development impact was observed for CMP and SP exercises (r = .83 and r = .62,
respectively). The initial force supported was also negatively related (P < .01)
to the flight time for both CMP and SP (r = -.74 and r = -.80, respectively). It
was revealed that the initial force supported and the peak GRF during takeoff had
excellent reliability; however, other parameters had poor absolute reliability.
CONCLUSIONS: It is possible to adjust the intensity of plyometric push-up
exercises and train athletes' muscle power by correctly interpreting GRF-based
parameters. However, caution is required as some parameters had marginal absolute
reliability.
PMID- 27193046
TI - Gender Differences in Spousal Care Across the Later Life Course.
AB - Spouses often serve as the primary caregivers to their ill or disabled partners.
Studies have shown that men receive more care from their wives than vice versa,
but few studies have focused on how the gender gap in care varies across the
later life course. Drawing on data from the Health and Retirement Study, this
study examined the moderating effects of age, gender, and full-time employment on
married women's and men's receipt of spousal care. This study found that among
community-dwelling married adults, the gender gap in care was larger among those
in middle age (50-65) than it was among those in older age. As women and men
aged, the gender gap decreased primarily because men left full-time work and
increased the amount of time that they spent caring for their wives. As gender
differences in full-time employment narrowed, the gender gap in spousal care
narrowed.
PMID- 27193048
TI - A Longitudinal Analysis of Site of Death: The Effects of Continuous Enrollment in
Medicare Advantage Versus Conventional Medicare.
AB - This study assessed the odds of dying in hospital associated with enrollment in
Medicare Advantage (M-A) versus conventional Medicare Fee-for-Service (M-FFS).
Data were derived from the 2008 and 2010 waves of the Health and Retirement Study
( n = 1,030). The sample consisted of elderly Medicare beneficiaries who died in
2008-2010 (34% died in hospital, and 66% died at home, in long-term senior care,
a hospice facility, or other setting). Logistic regression estimated the odds of
dying in hospital for those continuously enrolled in M-A from 2008 until death
compared to those continuously enrolled in M-FFS and those switching between the
two plans. Results indicate that decedents continuously enrolled in M-A had 43%
lower odds of dying in hospital compared to those continuously enrolled in M-FFS.
Financial incentives in M-A contracts may reduce the odds of dying in hospital.
PMID- 27193050
TI - Laser Measurements of the H Atom + Ozone Rate Constant at Mesospheric
Temperatures.
AB - The exothermic H + O3 reaction produces OH(v) Meinel band emissions, used to
derive mesospheric H concentrations and chemical heating rates. We remeasured its
rate constant to reduce its uncertainty and extended the measurements to lower
mesospheric temperatures using modern laser-induced fluorescence (LIF)
techniques. H atoms were produced by pulsed ultraviolet laser trace photolysis of
O3, followed by reaction of O((1)D) with added H2. A second, delayed, frequency
mixed dye laser measured the reaction decay rate with the remaining ozone using
LIF. We monitored either the H atom decay by two photon excitation at 205 nm and
detection of red fluorescence, or the OH (v = 9) product time evolution with
excitation of the B(2)Sigma(+)-X(2)Pi (0,9) band at 237 nm and emission in the
blue B(2)Sigma(+)-A(2)Sigma(+) (0,7) band. By cooling the enclosed low pressure
flow cell we obtained measurements from 140 to 305 K at 20 to 200 Torr in Ar.
Small kinetic modeling corrections were made for secondary regeneration of H
atoms. The results are consistent with the current NASA JPL recommendation for
this rate constant and establish its extrapolation down to the lower temperatures
of the mesosphere.
PMID- 27193047
TI - Disparities in Mental Health Quality of Life Between Hispanic and Non-Hispanic
White LGB Midlife and Older Adults and the Influence of Lifetime Discrimination,
Social Connectedness, Socioeconomic Status, and Perceived Stress.
AB - We assessed factors contributing to ethnic and racial disparities in mental
health quality of life (MHQOL) among lesbian, gay, and bisexual (LGB) midlife and
older adults. We utilized cross-sectional survey data from a sample of non
Hispanic White and Hispanic LGB adults aged 50 and older. Structural equation
modeling was used to test the indirect effect of ethnicity/race on MHQOL via
explanatory factors including social connectedness, lifetime discrimination,
socioeconomic status (SES), and perceived stress. Hispanics reported
significantly lower levels of MHQOL, compared to non-Hispanic Whites. In the
final model, the association between ethnicity/race and MHQOL was explained by
higher levels of perceived stress related to lower SES, higher frequency of
lifetime discrimination, and lack of social connectedness among Hispanic LGB
adults. This study suggests that perceived stress related to social disadvantage
and marginalization plays an important role in MHQOL disparities among Hispanic
LGB midlife and older adults.
PMID- 27193049
TI - Histone deacetylase inhibitor SAHA attenuates post-seizure hippocampal microglia
TLR4/MYD88 signaling and inhibits TLR4 gene expression via histone acetylation.
AB - BACKGROUND: Epilepsy is a common neurological disorder characterized by recurrent
unprovoked seizures. Seizure-induced TLR4/MYD88 signaling plays a critical role
in activating microglia and triggering neuron apoptosis. SAHA is a histone
deacetylase inhibitor that regulates gene expression by increasing chromatin
histone acetylation. In this study, we investigated the role of SAHA in
TLR4/MYD88 signaling in a rat seizure model. RESULTS: Sprague-Dawley rats with
kainic acid (KA)-induced seizures were treated with SAHA. The expression of TLR4,
MYD88, NF-kappaB P65 and IL-1beta in hippocampus was detected at hour 2 and 6 and
day 1, 2, and 3 post seizure. SAHA pretreatment increased seizure latency and
decreased seizure scores. The expression levels of TLR4, MYD88, NF-kappaB and IL
1beta increased significantly in both activated microglia and apoptotic neurons
after KA treatment. The effects were attenuated by SAHA. Chromatin
immunoprecipitation assays indicated that the H3 histone acetylation levels
significantly decreased while H3K9 levels significantly increased in the KA
treatment group. The H3 and H3K9 acetylation levels returned to control levels
after SAHA (50 mg/kg) pretreatment. There was a positive correlation between the
expression of TLR4 and the acetylation levels of H3K9. CONCLUSIONS: Histone
deacetylase inhibitor SAHA can suppress seizure-induced TLR4/MYD88 signaling and
inhibit TLR4 gene expression through histone acetylation regulation. This
suggests that SAHA may protect against seizure-induced brain damage.
PMID- 27193051
TI - The association between exposure and psychological health in earthquake survivors
from the Longmen Shan Fault area: the mediating effect of risk perception.
AB - BACKGROUND: In this study, exposure refers to survivors who suffered from life
changing situations, such as personal injuries, the deaths or injury of family
members, relatives or friends or the loss of or damage to personal or family
property, as a result of the earthquake. The mediating effect of risk perception
on the exposure and psychological health in survivors from the Longmen Shan Fault
area and the moderating effect of social support on the relationship between risk
perception and psychological health were both examined. METHOD: A cross-sectional
survey was conducted in a local Longmen Shan Fault area near the epicenter of the
2008 Wenchuan earthquake. The Diagnostic and Statistical Manual of Mental
Disorders, Fourth Edition (DSM-IV), the standard Chinese 12-item Short Form (SF
12v2), and the Social Support Rating Scale (SSRS) were used to interview 2,080
earthquake survivors in the period one-year after the earthquake. Based on the
environment and the characteristics of the Longmen Shan Fault area, a risk
perception questionnaire was developed to evaluate survivor risk perception.
Factor and regression analyses were conducted to determine the hypothetical
relations. RESULTS: The analyses provided effective support for the hypothesized
model. Survivor risk perception was classified into direct risk perception and
indirect risk perception. Survivor direct risk perception was found to play a
partial mediating role in the relationship between exposure and the two domains
(Physical component summary (PCS) and the Mental component summary (MCS)) of
psychological health. Survivor indirect risk perception was found to have a only
partial mediating effect on the association between exposure and MCS. Social
support was found to moderate the influence of risk perception on psychological
health. CONCLUSION: Risk communication should be considered in future post
earthquake psychological assistance programs and social support strategies could
also be useful in improving psychological health.
PMID- 27193052
TI - Transgene Reactivation in Induced Pluripotent Stem Cell Derivatives and Reversion
to Pluripotency of Induced Pluripotent Stem Cell-Derived Mesenchymal Stem Cells.
AB - Induced pluripotent stem cells (iPSCs) have enormous potential in regenerative
medicine and disease modeling. It is now felt that clinical trials should be
performed with iPSCs derived with nonintegrative constructs. Numerous studies,
however, including those describing disease models, are still being published
using cells derived from iPSCs generated with integrative constructs. Our
experimental work presents the first evidence of spontaneous transgene
reactivation in vitro in several cellular types. Our results show that the
transgenes were predominantly silent in parent iPSCs, but in mesenchymal and
endothelial iPSC derivatives, the transgenes experienced random upregulation of
Nanog and c-Myc. Additionally, we provide evidence of spontaneous secondary
reprogramming and reversion to pluripotency in mesenchymal stem cells derived
from iPSCs. These findings strongly suggest that the studies, which use cellular
products derived from iPSCs generated with retro- or lentiviruses, should be
evaluated with consideration of the possibility of transgene reactivation. The in
vitro model described here provides insight into the earliest events of culture
transformation and suggests the hypothesis that reversion to pluripotency may be
responsible for the development of tumors in cell replacement experiments. The
main goal of this work, however, is to communicate the possibility of transgene
reactivation in retro- or lenti-iPSC derivatives and the associated loss of
cellular fidelity in vitro, which may impact the outcomes of disease modeling and
related experimentation.
PMID- 27193053
TI - Exploring the interaction among EPHX1, GSTP1, SERPINE2, and TGFB1 contributing to
the quantitative traits of chronic obstructive pulmonary disease in Chinese Han
population.
AB - BACKGROUND: Currently, the majority of genetic association studies on chronic
obstructive pulmonary disease (COPD) risk focused on identifying the individual
effects of single nucleotide polymorphisms (SNPs) as well as their interaction
effects on the disease. However, conventional genetic studies often use binary
disease status as the primary phenotype, but for COPD, many quantitative traits
have the potential correlation with the disease status and closely reflect
pathological changes. METHOD: Here, we genotyped 44 SNPs from four genes (EPHX1,
GSTP1, SERPINE2, and TGFB1) in 310 patients and 203 controls which belonged to
the Chinese Han population to test the two-way and three-way genetic interactions
with COPD-related quantitative traits using recently developed generalized
multifactor dimensionality reduction (GMDR) and quantitative multifactor
dimensionality reduction (QMDR) algorithms. RESULTS: Based on the 310 patients
and the whole samples of 513 subjects, the best gene-gene interactions models
were detected for four lung-function-related quantitative traits. For the forced
expiratory volume in 1 s (FEV1), the best interaction was seen from EPHX1,
SERPINE2, and GSTP1. For FEV1%pre, the forced vital capacity (FVC), and FEV1/FVC,
the best interactions were seen from SERPINE2 and TGFB1. CONCLUSION: The results
of this study provide further evidence for the genotype combinations at risk of
developing COPD in Chinese Han population and improve the understanding on the
genetic etiology of COPD and COPD-related quantitative traits.
PMID- 27193055
TI - Analysis of 4931 renal biopsy data in central China from 1994 to 2014.
AB - The purpose of this study is to investigate the changing spectrum and
clinicopathologic correlation of biopsy-proven renal diseases in central China.
We retrospectively analyzed data of 4931 patients who underwent renal biopsy in
ten hospitals between September 1994 and December 2014. Among them, 81.55% were
primary glomerular diseases (GD), and 13.02% were secondary GD. IgA nephropathy
(IgAN) was the most common primary GD (43.45%), followed by focal
glomerulonephritis (16.79%), mesangial proliferative glomerulonephritis (MsPGN,
14.35%), and membranous nephropathy (MN, 13.28%). IgAN was leading primary GD in
patients under 60 years old, while MN was the leading one over 60 years old. The
most frequent secondary GD was lupus nephritis (LN) (47.35%). The prevalence of
IgAN, MN and minimal change disease was found to increase significantly (p <
0.001, p < 0.001, and p < 0.01, respectively), while that of MsPGN,
membranoproliferative glomerulonephritis and LN decreased significantly (p <
0.001, p < 0.001, and p < 0.05, respectively). The main indication for renal
biopsy was proteinuria and hematuria (49.03%), followed by nephrotic syndrome
(NS, 20.36%). IgAN was the most common cause in patients with proteinuria and
hematuria, chronic-progressive kidney injury, hematuria and acute kidney injury;
and MN was the leading cause of NS. Primary GD remained the predominant renal
disease in central China. IgAN and LN were the most prevalent histopathologic
lesions of primary and secondary GD, respectively. The spectrum of biopsy-proven
renal disease had a great change in the past two decades. Proteinuria and
hematuria was the main indication for renal biopsy.
PMID- 27193057
TI - Quantum coherence and quantum phase transitions.
AB - We study the connections between local quantum coherence (LQC) based on Wigner
Yanase skew information and quantum phase transitions (QPTs). When applied on the
one-dimensional Hubbard, XY spin chain with three-spin interaction, and Su
Schrieffer-Heeger models, the LQC and its derivatives are used successfully to
detect different types of QPTs in these spin and fermionic systems. Furthermore,
the LQC is effective as the quantum discord (QD) in detecting QPTs at finite
temperatures, where the entanglement has lost its effectiveness. We also
demonstrate that the LQC can exhibit different behaviors in many forms compared
with the QD.
PMID- 27193056
TI - In actio optophysiological analyses reveal functional diversification of
dopaminergic neurons in the nematode C. elegans.
AB - Many neuronal groups such as dopamine-releasing (dopaminergic) neurons are
functionally divergent, although the details of such divergence are not well
understood. Dopamine in the nematode Caenorhabditis elegans modulates various
neural functions and is released from four left-right pairs of neurons. The
terminal identities of these dopaminergic neurons are regulated by the same
genetic program, and previous studies have suggested that they are functionally
redundant. In this study, however, we show functional divergence within the
dopaminergic neurons of C. elegans. Because dopaminergic neurons of the animals
were supposedly activated by mechanical stimulus upon entry into a lawn of their
food bacteria, we developed a novel integrated microscope system that can auto
track a freely-moving (in actio) C. elegans to individually monitor and stimulate
the neuronal activities of multiple neurons. We found that only head-dorsal pair
of dopaminergic neurons (CEPD), but not head-ventral or posterior pairs, were
preferentially activated upon food entry. In addition, the optogenetic activation
of CEPD neurons alone exhibited effects similar to those observed upon food
entry. Thus, our results demonstrated functional divergence in the genetically
similar dopaminergic neurons, which may provide a new entry point toward
understanding functional diversity of neurons beyond genetic terminal
identification.
PMID- 27193054
TI - The clinical application of mesenchymal stromal cells in hematopoietic stem cell
transplantation.
AB - Mesenchymal stromal cells (MSCs) are multipotent stem cells well known for
repairing tissue, supporting hematopoiesis, and modulating immune and
inflammation response. These outstanding properties make MSCs as an attractive
candidate for cellular therapy in immune-based disorders, especially
hematopoietic stem cell transplantation (HSCT). In this review, we outline the
progress of MSCs in preventing and treating engraftment failure (EF), graft
versus-host disease (GVHD) following HSCT and critically discuss unsolved issues
in clinical applications.
PMID- 27193058
TI - The nitrogen responsive transcriptome in potato (Solanum tuberosum L.) reveals
significant gene regulatory motifs.
AB - Nitrogen (N) is the most important nutrient for the growth of potato (Solanum
tuberosum L.). Foliar gene expression in potato plants with and without N
supplementation at 180 kg N ha(-1) was compared at mid-season. Genes with
consistent differences in foliar expression due to N supplementation over three
cultivars and two developmental time points were examined. In total, thirty genes
were found to be over-expressed and nine genes were found to be under-expressed
with supplemented N. Functional relationships between over-expressed genes were
found. The main metabolic pathway represented among differentially expressed
genes was amino acid metabolism. The 1000 bp upstream flanking regions of the
differentially expressed genes were analysed and nine overrepresented motifs were
found using three motif discovery algorithms (Seeder, Weeder and MEME). These
results point to coordinated gene regulation at the transcriptional level
controlling steady state potato responses to N sufficiency.
PMID- 27193059
TI - Diverse Chemistry of Stable Hydronitrogens, and Implications for Planetary and
Materials Sciences.
AB - Nitrogen hydrides, e.g., ammonia (NH3), hydrazine (N2H4) and hydrazoic acid
(HN3), are compounds of great fundamental and applied importance. Their high
pressure behavior is important because of their abundance in giant planets and
because of the hopes of discovering high-energy-density materials. Here, we have
performed a systematic investigation on the structural stability of N-H system in
a pressure range up to 800 GPa through evolutionary structure prediction.
Surprisingly, we found that high pressure stabilizes a series of previously
unreported compounds with peculiar structural and electronic properties, such as
the N4H, N3H, N2H and NH phases composed of nitrogen backbones, the N9H4 phase
containing two-dimensional metallic nitrogen planes and novel N8H, NH2, N3H7, NH4
and NH5 molecular phases. Another surprise is that NH3 becomes thermodynamically
unstable above ~460 GPa. We found that high-pressure chemistry of hydronitrogens
is much more diverse than hydrocarbon chemistry at normal conditions, leading to
expectations that N-H-O and N-H-O-S systems under pressure are likely to possess
richer chemistry than the known organic chemistry. This, in turn, opens a
possibility of nitrogen-based life at high pressure. The predicted phase diagram
of the N-H system also provides a reference for synthesis of high-energy-density
materials.
PMID- 27193060
TI - When your pain signifies my gain: neural activity while evaluating outcomes based
on another person's pain.
AB - The overlap between pain and reward processing pathways leds researchers to
hypothesize that there are interactions between them in the human brain. Two
hypotheses have been proposed. The "competition hypothesis" posits that reward
can reduce pain-related neural activity and vice versa. The "salience hypothesis"
suggests that the motivational salience of pain and reward can be mutually
reinforced. However, no study has tested these two hypotheses from temporal
perspective as we know. In the present study, pictures depicted other people in
painful or non-painful situations were used to indicate the valence of outcomes
in a gambling task. The event-related potential results revealed an interaction
between another person's pain and outcome valence in multiple time stages.
Specifically, the amplitudes of the N1 and P3 were enhanced in the win condition
compared with the loss condition when the outcome was indicated by painful
picture. This interactions between pain and reward support the salience
hypothesis but not the competition hypothesis. The present results provide
evidence from human subjects that support the salience hypothesis, which claims
that observing other people's pain can enhance the salience of reward.
PMID- 27193063
TI - Time course and predictors of use of erectile dysfunction treatment in a Veterans
Affairs medical center.
AB - The objective of this study was to define the pattern and time course of use of
ED treatments in a Veterans Affairs (VA) medical center and to identify clinical
or demographic variables that are associated with the use of second- or third
line ED treatments. We identified 702 men treated for ED at the Greater Los
Angeles Veterans Affairs between 2007 and 2013. We extracted demographics,
Charlson co-morbidity score, pertinent surgical/medication history as well as use
of ED treatments from medical records. On multivariate analysis, age over 65 (OR
1.83, 95% CI: 1.31-2.56) and Charlson co-morbidity score of 1 (OR 1.77, 95% CI:
1.13-2.77) and 2+ (OR 2.07, 95% CI: 1.28-3.36) were significantly associated with
use of medicated urethral suppositories for erection (MUSE)/intracorporal
injections (ICI) compared with PDE5i/erection devices. Across all men who used
second- or third-line treatments, median time until receiving MUSE was 0.6 years
and median time until receiving ICI/implant was 2 years. We conclude that men who
will ultimately use more invasive ED treatments, such as men with more co
morbidities, tend to have a prolonged treatment course. This information may be
incorporated into a shared decision-making model for more efficient treatment of
ED.
PMID- 27193064
TI - Somatosensory evoked potentials assess the efficacy of circumcision for premature
ejaculation.
AB - To assess the efficacy and mechanism of circumcision in the treatment of
premature ejaculation (PE) with redundant prepuce, we enrolled a total of 81 PE
patients who received circumcision. The patients' ejaculatory ability and sexual
performances were evaluated before and after circumcision by using questionnaires
(Intravaginal ejaculation latency time (IELT), Chinese Index of PE with 5
questions (CIPE-5) and International Index of Erectile function- 5 (IIEF-5)).
Furthermore, somatosensory evoked potentials (SEPs) including dorsal nerve
(DNSEP) and glans penis (GPSEP) of the patients were also measured. The mean
IELTs of preoperation and post operation were 1.10+/-0.55 and 2.48+/-2.03 min,
respectively (P<0.001). In addition, the geometric mean IELT after operation was
2.16 min, compared with the baseline 1.07 min before the operation, the fold
increase of the IELT was 2.02. Compared with the uncircumcised status, scores of
CIPE-5 showed a significant increase after circumcision (P<0.001). The mean
latencies (and amplitudes) of GPSEP and DNSEP were 38.1+/-4.0 ms (3.0+/-1.9 uV)
and 40.5+/-3.4 ms (2.8+/-1.6 uV) before circumcision, respectively; and 42.8+/
3.3 ms (2.8+/-1.6 uV) and 40.5+/-4.1 ms (2.4+/-1.2 uV) in the follow-up end point
after circumcision. Only the latencies of GPSEP showed significant prolongation
before and after circumcision (P<0.001). The ejaculation time improvement after
circumcision is so small, and equal to placebo response, therefore it could not
be interpreted as a therapeutic method in men with PE.
PMID- 27193067
TI - I. Dissociation free energies of drug-receptor systems via non-equilibrium
alchemical simulations: a theoretical framework.
AB - In this contribution I critically revise the alchemical reversible approach in
the context of the statistical mechanics theory of non-covalent bonding in drug
receptor systems. I show that most of the pitfalls and entanglements for the
binding free energy evaluation in computer simulations are rooted in the
equilibrium assumption that is implicit in the reversible method. These critical
issues can be resolved by using a non-equilibrium variant of the alchemical
method in molecular dynamics simulations, relying on the production of many
independent trajectories with a continuous dynamical evolution of an externally
driven alchemical coordinate, completing the decoupling of the ligand in a matter
of a few tens of picoseconds rather than nanoseconds. The absolute binding free
energy can be recovered from the annihilation work distributions by applying an
unbiased unidirectional free energy estimate, on the assumption that any observed
work distribution is given by a mixture of normal distributions, whose components
are identical in either direction of the non-equilibrium process, with weights
regulated by the Crooks theorem. I finally show that the inherent reliability and
accuracy of the unidirectional estimate of the decoupling free energies, based on
the production of a few hundreds of non-equilibrium independent sub-nanosecond
unrestrained alchemical annihilation processes, is a direct consequence of the
funnel-like shape of the free energy surface in molecular recognition. An
application of the technique to a real drug-receptor system is presented in the
companion paper.
PMID- 27193062
TI - A genome-wide association scan implicates DCHS2, RUNX2, GLI3, PAX1 and EDAR in
human facial variation.
AB - We report a genome-wide association scan for facial features in ~6,000 Latin
Americans. We evaluated 14 traits on an ordinal scale and found significant
association (P values<5 * 10(-8)) at single-nucleotide polymorphisms (SNPs) in
four genomic regions for three nose-related traits: columella inclination (4q31),
nose bridge breadth (6p21) and nose wing breadth (7p13 and 20p11). In a subsample
of ~3,000 individuals we obtained quantitative traits related to 9 of the ordinal
phenotypes and, also, a measure of nasion position. Quantitative analyses
confirmed the ordinal-based associations, identified SNPs in 2q12 associated to
chin protrusion, and replicated the reported association of nasion position with
SNPs in PAX3. Strongest association in 2q12, 4q31, 6p21 and 7p13 was observed for
SNPs in the EDAR, DCHS2, RUNX2 and GLI3 genes, respectively. Associated SNPs in
20p11 extend to PAX1. Consistent with the effect of EDAR on chin protrusion, we
documented alterations of mandible length in mice with modified Edar funtion.
PMID- 27193065
TI - Comparison of different approaches to the surgical treatment of penile fractures:
quicker return to sexual function with longitudinal incisions.
AB - The objective of this study was to compare the long-term clinical outcomes from
longitudinal incisions and subcoronal circumferential degloving incisions in the
surgical treatment of penile fractures. From July 2001 to July 2014, 23 patients
were identified with penile fractures. Fourteen patients underwent longitudinal
incisions after ultrasound localization; nine patients underwent subcoronal
circumferential degloving incisions. Sexual function was evaluated preoperatively
and postoperatively using an abridged International Index of Erectile Function
(IIEF) questionnaire. The mean (+/-s.d.) operative time was 19.1 (+/-3.9) min in
the longitudinal incision group and was 45.1 (+/-6.5) min in the subcoronal
circumferential degloving incision group (P<0.05). The mean (+/-s.d.) times
required to recover sexual function were 35.6 (+/-6.0) days in the longitudinal
incision group and 54.0 (+/-5.8) days in the circumferential incision group
(P<0.05). Six months postoperatively, the erectile functions of all cases were
comparable to the level preoperatively except three patients. One patient from
each group reported symptoms associated with mild ED, but they experienced
satisfying sexual orgasms after psychotherapy for 2 months. Another patient's
score on the IIEF-5 declined from 25 to 24 points in the circumferential incision
group 10 months postoperatively, and this was associated with maintaining an
erection after vaginal penetration. In conclusion, the longitudinal incision may
allow quicker return to sexual function but not necessarily improved the long
term clinical outcomes. Furthermore, postoperative psychosocial nursing and
psychotherapy should receive more attention.
PMID- 27193068
TI - A decahaem cytochrome as an electron conduit in protein-enzyme redox processes.
AB - The decahaem cytochrome MtrC from Shewanella oneidensis MR-1 was employed as a
protein electron conduit between a porous indium tin oxide electrode and redox
enzymes. Using a hydrogenase and a fumarate reductase, MtrC was shown as a
suitable and efficient diode to shuttle electrons to and from the electrode with
the MtrC redox activity regulating the direction of the enzymatic reactions.
PMID- 27193069
TI - Changes in profile of lipids and adipokines in patients with newly diagnosed
hypothyroidism and hyperthyroidism.
AB - Changes in profile of lipids and adipokines have been reported in patients with
thyroid dysfunction. But the evidence is controversial. The present study aimed
to explore the relationships between thyroid function and the profile of lipids
and adipokines. A cross-sectional study was conducted in 197 newly diagnosed
hypothyroid patients, 230 newly diagnosed hyperthyroid patients and 355 control
subjects. Hypothyroid patients presented with significantly higher serum levels
of total cholesterol, triglycerides, low-density lipoprotein cholesterol (LDLC),
fasting insulin, resistin and leptin than control (p < 0.05). Hyperthyroid
patients presented with significantly lower serum levels of high-density
lipoprotein cholesterol, LDLC and leptin, as well as higher levels of fasting
insulin, resistin, adiponectin and homeostasis model insulin resistance index
(HOMA-IR) than control (p < 0.05). Nonlinear regression and multivariable linear
regression models all showed significant associations of resistin or adiponectin
with free thyroxine and association of leptin with thyroid-stimulating hormone (p
< 0.001). Furthermore, significant correlation between resistin and HOMA-IR was
observed in the patients (p < 0.001). Thus, thyroid dysfunction affects the
profile of lipids and adipokines. Resistin may serve as a link between thyroid
dysfunction and insulin resistance.
PMID- 27193070
TI - Final rotational state distributions from NO(vi = 11) in collisions with Au(111):
the magnitude of vibrational energy transfer depends on orientation in molecule
surface collisions.
AB - When NO molecules collide at a Au(111) surface, their interaction is controlled
by several factors; especially important are the molecules' orientation with
respect to the surface (N-first vs. O-first) and their distance of closest
approach. In fact, the former may control the latter as N-first orientations are
attractive and O-first orientations are repulsive. In this work, we employ
electric fields to control the molecules' incidence orientation in combination
with rotational rainbow scattering detection. Specifically, we report final
rotational state distributions of oriented NO(vi = 11) molecules scattered from
Au(111) for final vibrational states between vf = 4 and 11. For O-first
collisions, the interaction potential is highly repulsive preventing the close
approach and scattering results in high-J rainbows. By contrast, these rainbows
are not seen for the more intimate collisions possible for attractive N-first
orientations. In this way, we reveal the influence of orientation and the
distance of closest approach on vibrational relaxation of NO(vi = 11) in
collisions with a Au(111) surface. We also elucidate the influence of steering
forces which cause the O-first oriented molecules to rotate to an N-first
orientation during their approach to the surface. The experiments show that when
NO collides at the surface with the N-atom first, on average more than half of
the initial vibrational energy is lost; whereas O-first oriented collisions lose
much less vibrational energy. These observations qualitatively confirm
theoretical predictions of electronically non-adiabatic NO interactions at
Au(111).
PMID- 27193072
TI - Synthetic Cannabinoid Receptor Agonists and Antagonists: Implication in CNS
Disorders.
AB - BACKGROUND: Since the discovery of the cannabinoid receptors, numerous studies
associate the endocannabinoid system with several physiological and pathological
processes including cancer, appetite, fertility, memory, neuropathic and
inflammatory pain, obesity, and neurodegenerative diseases. Over the last two
decades, several researches have been dedicated extensively on the cannabinoid
receptors ligands since the direct activation of cannabinoid receptors results in
several beneficial effects, in the brain and in the periphery. The cannabinoid
CB1 and CB2 receptor synthetic ligands reported in this review have been
collected by a wide research of scientific literature in particular in public
database for patents and clinical trials. The references for patent numbers,
clinical trial registry numbers, websites and scientific articles are reported in
the reference section. RESULTS: During past years, cannabinoid CB1 and CB2
receptor ligands from plants or lab were rapidly developed and then various new
structures were reported to be cannabinoids. However the CB1 receptor ligands
have had a limited usefulness due to their psychotropic effects, dependence, and
cognitive impairment. On the contrary the development of CB2 receptor ligands has
been more productive. Furthermore peripherally restricted agonists as well as CB1
receptor positive or negative allosteric modulators were studied with the aim of
eliminating the undesirable CB1 receptor central effects. CONCLUSIONS: The CB1
and CB2 receptor ligands offer several therapeutic opportunities for several CNS
related diseases. Based on the scientific literature, this review provides an
overview of CB1 and CB2 receptor synthetic ligands obtained from drug research
and in particular those synthesized for therapeutic purposes and potential
clinical applications for central nervous system disorders.
PMID- 27193071
TI - Time to wake up: reactive countermeasures to sleep inertia.
AB - Sleep inertia is the period of impaired performance and grogginess experienced
after waking. This period of impairment is of concern to workers who are on-call,
or nap during work hours, and need to perform safety-critical tasks soon after
waking. While several studies have investigated the best sleep timing and length
to minimise sleep inertia effects, few have focused on countermeasures
especially those that can be implemented after waking (i.e. reactive
countermeasures). This structured review summarises current literature on
reactive countermeasures to sleep inertia such as caffeine, light, and
temperature and discusses evidence for the effectiveness and operational
viability of each approach. Current literature does not provide a convincing
evidence-base for a reactive countermeasure. Caffeine is perhaps the best option,
although it is most effective when administered prior to sleep and is therefore
not strictly reactive. Investigations into light and temperature have found
promising results for improving subjective alertness; further research is needed
to determine whether these countermeasures can also attenuate performance
impairment. Future research in this area would benefit from study design features
highlighted in this review. In the meantime, it is recommended that proactive
sleep inertia countermeasures are used, and that safety-critical tasks are
avoided immediately after waking.
PMID- 27193074
TI - Axillary web syndrome following granulomatous inflammation after folliculitis.
PMID- 27193073
TI - Verbal memory declines more in female patients with Parkinson's disease: the
importance of gender-corrected normative data.
AB - BACKGROUND: Data on gender-specific profiles of cognitive functions in patients
with Parkinson's disease (PD) are rare and inconsistent, and possible disease
confounding factors have been insufficiently considered. METHOD: The LANDSCAPE
study on cognition in PD enrolled 656 PD patients (267 without cognitive
impairment, 66% male; 292 with mild cognitive impairment, 69% male; 97 with PD
dementia, 69% male). Raw values and age-, education-, and gender-corrected Z
scores of a neuropsychological test battery (CERAD-Plus) were compared between
genders. Motor symptoms, disease duration, l-dopa equivalent daily dose,
depression - and additionally age and education for the raw value analysis - were
taken as covariates. RESULTS: Raw-score analysis replicated results of previous
studies in that female PD patients were superior in verbal memory (word list
learning, p = 0.02; recall, p = 0.03), while men outperformed women in
visuoconstruction (p = 0.002) and figural memory (p = 0.005). In contrast, gender
corrected Z scores showed that men were superior in verbal memory (word list
learning, p = 0.02; recall, p = 0.02; recognition, p = 0.04), while no difference
was found for visuospatial tests. This picture could be observed both in the
overall analysis of PD patients as well as in a differentiated group analysis.
CONCLUSIONS: Normative data corrected for gender and other sociodemographic
variables are relevant, since they may elucidate a markedly different cognitive
profile compared to raw scores. Our study also suggests that verbal memory
decline is stronger in women than in men with PD. Future studies are needed to
replicate these findings, examine the progression of gender-specific cognitive
decline in PD and define different underlying mechanisms of this dysfunction.
PMID- 27193075
TI - Cross-cultural adaptation of the drug-taking confidence questionnaire drug
version for use in Brazil.
AB - BACKGROUND: The Drug-Taking Confidence Questionnaire evaluates a drug user's
confidence in his or her ability to resist the urge to consume psychoactive
substances in high-risk situations. This study's objective was to develop a cross
cultural adaptation of the eight-item version of the Drug-Taking Confidence
Questionnaire (DTCQ-8) for all drugs except alcohol and to verify its content
validity and reliability in a pre-test stage. METHODS: The following steps were
taken: (1) implementation of the translation protocol and transcultural
adaptation, (2) validation of the adapted content, and (3) assessment of
reliability. Nine experts participated in the process of adaptation, and the
trial's sample comprised 40 drug users in treatment at a Psychosocial Care Center
for Alcohol and Other Drugs (CAPSad). RESULTS: The average indices of semantic
agreement (0.989; 0.989; 1.00), idiomatic (0.967), experiential (0.956),
conceptual (0.978) and content validity with respect to language clarity (0.972),
practice relevance (0.958), theoretical relevance (0.958) and theoretical
dimension (1.00) showed that the adaption was successful. The mean total score of
the DTCQ-8 version for other drugs was 477.00 + 234.27-SD, and 57.5 % of the
users were classified as having moderate self-efficacy to resist the urge to use
drugs in high-risk situations. The Cronbach's alpha coefficient was 0.889 for the
complete instrument and 0.863-0.890 between items. CONCLUSIONS: The DTCQ-8
version for other drugs proved to be easy to use and understand, and its process
of adaptation was satisfactory for use in the Brazilian context. In this sample,
the questionnaire was adequate to measure users' self-efficacy to resist the urge
to consume these substances in high-risk situations.
PMID- 27193076
TI - Perspectives of People Living with HIV on Access to Health Care: Protocol for a
Scoping Review.
AB - BACKGROUND: Strategies to improve access to health care for people living with
human immunodeficiency virus (PLHIV) have demonstrated limited success. Whereas
previous approaches have been informed by the views of health providers and
decision-makers, it is believed that incorporating patient perspectives into the
design and evaluations of health care programs will lead to improved access to
health care services. OBJECTIVE: We aim to map the literature on the perspectives
of PLHIV concerning access to health care services, to identify gaps in evidence,
and to produce an evidence-informed research action plan to guide the Living with
HIV program of research. METHODS: This scoping review includes peer-reviewed and
grey literature from 1946 to May 2014 using double data extraction. Variations of
the search terms "HIV", "patient satisfaction", and "health services
accessibility" are used to identify relevant literature. The search strategy is
being developed in consultation with content experts, review methodologists, and
a librarian, and validated using gold standard studies identified by those
stakeholders. The inclusion criteria are (1) the study includes the perspectives
of PLHIV, (2) study design includes qualitative, quantitative, or mixed methods,
and (3) outcome measures are limited to patient satisfaction, their implied
needs, beliefs, and desires in relation to access to health care. The papers are
extracted by two independent reviewers, including quality assessment. Data is
then collated, summarized, and thematically analyzed. RESULTS: A total of 12,857
references were retrieved, of which 326 documents were identified as eligible in
pre-screening, and 64 articles met the inclusion criteria (56% qualitative
studies, 38% quantitative studies and 6% mixed-method studies). Only four studies
were conducted in Canada. Data synthesis is in progress and full results are
expected in June, 2016. CONCLUSIONS: This scoping review will record and
characterize the extensive body of literature on perspectives of PLHIV regarding
access to health care. A literature repository will be developed to assist
stakeholders, decision-makers, and PLHIV in developing and implementing patient
oriented health care programs.
PMID- 27193078
TI - Development of a novel walkability index for London, United Kingdom: cross
sectional application to the Whitehall II Study.
AB - BACKGROUND: Physical activity is essential for health; walking is the easiest way
to incorporate activity into everyday life. Previous studies report positive
associations between neighbourhood walkability and walking but most focused on
cities in North America and Australasia. Urban form with respect to street
connectivity, residential density and land use mix-common components of
walkability indices-differs in European cities. The objective of this study was
to develop a walkability index for London and test the index using walking data
from the Whitehall II Study. METHODS: A neighbourhood walkability index for
London was constructed, comprising factors associated with walking behaviours:
residential dwelling density, street connectivity and land use mix. Three models
were produced that differed in the land uses included. Neighbourhoods were
operationalised at three levels of administrative geography: (i) 21,140 output
areas, (ii) 633 wards and (iii) 33 local authorities. A neighbourhood walkability
score was assigned to each London-dwelling Whitehall II Study participant (2003
04, N = 3020, mean +/- SD age = 61.0 years +/- 6.0) based on residential
postcode. The effect of changing the model specification and the units of
enumeration on spatial variation in walkability was examined. RESULTS: There was
a radial decay in walkability from the centre to the periphery of London. There
was high inter-model correlation in walkability scores for any given
neighbourhood operationalisation (0.92-0.98), and moderate-high correlation
between neighbourhood operationalisations for any given model (0.39-0.70). After
adjustment for individual level factors and area deprivation, individuals in the
most walkable neighbourhoods operationalised as wards were more likely to walk >6
h/week (OR = 1.4; 95 % CI: 1.1-1.9) than those in the least walkable.
CONCLUSIONS: Walkability was associated with walking time in adults. This
walkability index could help urban planners identify and design neighbourhoods in
London with characteristics more supportive of walking, thereby promoting public
health.
PMID- 27193077
TI - Selectivity on-target of bromodomain chemical probes by structure-guided
medicinal chemistry and chemical biology.
AB - Targeting epigenetic proteins is a rapidly growing area for medicinal chemistry
and drug discovery. Recent years have seen an explosion of interest in developing
small molecules binding to bromodomains, the readers of acetyl-lysine
modifications. A plethora of co-crystal structures has motivated focused fragment
based design and optimization programs within both industry and academia. These
efforts have yielded several compounds entering the clinic, and many more are
increasingly being used as chemical probes to interrogate bromodomain biology.
High selectivity of chemical probes is necessary to ensure biological activity is
due to an on-target effect. Here, we review the state-of-the-art of bromodomain
targeting compounds, focusing on the structural basis for their on-target
selectivity or lack thereof. We also highlight chemical biology approaches to
enhance on-target selectivity.
PMID- 27193080
TI - An open-label randomised controlled trial on the efficacy of adding intranasal
fentanyl to intravenous tramadol in patients with moderate to severe pain
following acute musculoskeletal injuries.
AB - INTRODUCTION: The use of intranasal fentanyl as an alternative type of analgesia
has been shown to be effective in paediatric populations and prehospital
settings. There are a limited number of studies on the use of intranasal fentanyl
in adult patients in emergency settings. METHODS: An open-label study was
conducted to evaluate the effectiveness of the addition of 1.5 mcg/kg intranasal
fentanyl to 2 mg/kg intravenous tramadol (fentanyl + tramadol arm, n = 10) as
compared to the administration of 2 mg/kg intravenous tramadol alone (tramadol
only arm, n = 10) in adult patients with moderate to severe pain due to acute
musculoskeletal injuries. RESULTS: When analysed using the independent t-test,
the difference between the mean visual analogue scale scores pre-intervention and
ten minutes post-intervention was 29.8 +/- 8.4 mm in the fentanyl + tramadol arm
and 19.6 +/- 9.7 mm in the tramadol-only arm (t[18] = 2.515, p = 0.022, 95%
confidence interval 1.68-18.72 mm). A statistically significant, albeit
transient, reduction in the ten-minute post-intervention mean arterial pressure
was noted in the fentanyl + tramadol arm as compared to the tramadol-only arm
(13.35 mmHg vs. 7.65 mmHg; using Mann-Whitney U test with U-value 21.5, p =
0.029, r = 0.48). There was a higher incidence of transient dizziness ten minutes
after intervention among the patients in the fentanyl + tramadol arm. CONCLUSION:
Although effective, intranasal fentanyl may not be appropriate for routine use in
adult patients, as it could result in a significant reduction in blood pressure.
PMID- 27193079
TI - Effect of platelet-rich plasma combined with demineralised bone matrix on bone
healing in rabbit ulnar defects.
AB - INTRODUCTION: This study evaluates the effect of autologous platelet-rich plasma
(PRP) combined with xenogeneic demineralised bone matrix (DBM) on bone healing of
critical-size ulnar defects (2-2.5 times the ulnar diameter) in New Zealand White
rabbits. METHODS: Critical-size defects were created unilaterally in the ulna of
36 rabbits, while keeping the contralateral limb intact. They were divided into
three groups. In Group A, the defect was filled with autologous PRP and in Group
B, with autologous PRP combined with DBM; in Group C, the defect remained empty.
The rabbits were euthanised 12 weeks postoperatively. Radiological, biomechanical
and histological assessments were carried out and statistical analysis of the
results was performed. RESULTS: Group B had significantly higher radiological and
histological scores than Groups A and C. Defects in Group B showed significant
new bone formation, whereas there was minimal or no new bone formation in Groups
A and C. Only specimens in Group B showed macroscopic bone union. Biomechanical
evaluation of the treated and intact contralateral limbs in Group B showed
significant differences. CONCLUSION: In this study, statistically significant
enhancement of bone healing was found in critical-size defects treated with PRP
and DBM, as shown by radiological findings, gross assessment, and biomechanical
and histopathological results. Defects in the two other groups remained
unbridged. Therefore, PRP was effective only when it was used in combination with
a bone graft.
PMID- 27193081
TI - Percutaneous transcatheter aortic valve implantation for degenerated surgical
bioprostheses: the first case series in Asia with one-year follow-up.
AB - INTRODUCTION: Percutaneous transcatheter aortic valve implantation (TAVI) has
become an established therapy for inoperable and high-surgical-risk patients with
severe aortic stenosis. Although TAVI in patients with degenerated surgical
aortic bioprostheses (i.e. valve-in-valve TAVI) is increasingly reported in
Western studies, such data is lacking in Asian patients. We describe the initial
experience of valve-in-valve TAVI in Asia. METHODS: Eight patients who underwent
valve-in-valve TAVI due to degenerated aortic bioprostheses were enrolled. The
mechanism of bioprosthetic valve failure was stenotic, regurgitation or mixed.
All procedures were performed via transfemoral arterial access, using the self
expanding CoreValve prosthesis or balloon-expandable SAPIEN XT prosthesis.
RESULTS: The mean age of the patients was 71.6 +/- 13.2 years and five were male.
Mean duration to surgical bioprosthesis degeneration was 10.2 +/- 4.1 years.
Valve-in-valve TAVI was successfully performed in all patients. CoreValve and
SAPIEN XT prostheses were used in six and two patients, respectively. There were
no deaths, strokes or permanent pacemaker requirement at 30 days, with one
noncardiac mortality at one year. All patients experienced New York Heart
Association functional class improvement. Post-procedure mean pressure gradients
were 20 +/- 11 mmHg and 22 +/- 8 mmHg at 30 days and one year, respectively.
Residual aortic regurgitation (AR) of more than mild severity occurred in one
patient at 30 days. At one year, only one patient had mild residual AR.
CONCLUSION: In our experience of valve-in-valve TAVI, procedural success was
achieved in all patients without adverse events at 30 days. Good clinical and
haemodynamic outcomes were sustained at one year.
PMID- 27193082
TI - Nanoshell-Enhanced Raman Spectroscopy on a Microplate for Staphylococcal
Enterotoxin B Sensing.
AB - A sensitive surface-enhanced Raman scattering (SERS) immunosensor based on the Au
nanoparticle (Au NP) shell structure was developed to detect staphylococcal
enterotoxin B (SEB) on a microplate. Au NPs modified with 4-nitrothiophenol (4
NTP) and coated with Ag shell of controlled thickness at 6.6 nm exhibited
excellent SERS intensity and were used as signal reporters in the detection of
SEB. The engaged 4-NTP allowed the significant electromagnetic enhancement
between Au NPs and the Ag shell and prevented the dissociation of the Raman
reporter. More importantly, 4-NTP-differentiated SERS signals between the sample
and microplate. The SERS-based immunosensor had a limit of detection of 1.3 pg/mL
SEB. Analysis of SEB-spiked milk samples revealed that the developed method had
high accuracy. Therefore, the SERS-encoded Au@Ag core-shell structure-based
immunosensor is promising for the detection of biotoxins, pathogens, and
environmental pollutants.
PMID- 27193083
TI - Critical residues involved in tau binding to fyn: implications for tau
phosphorylation in Alzheimer's disease.
AB - Alzheimer's disease (AD) is a progressive neurodegenerative disorder
characterised by neuropathological deposits of amyloid plaques and
neurofibrillary tangles comprised of beta-amyloid and tau protein, respectively.
In AD, tau becomes abnormally phosphorylated and aggregates to form intracellular
deposits. However, the mechanisms by which tau exerts neurotoxicity in disease
remain unclear. Recent studies have suggested that the presence of tau at
synapses may indicate a role in neuronal signalling, which could be disrupted in
pathological conditions. The non-receptor-associated tyrosine kinase fyn is
located at the dendrite in neurons, where it was recently shown to interact with
tau to stabilise receptor complexes at the post-synaptic density. Fyn also co
localises with tau in a proportion of neurons containing tau tangles in AD and
fyn is also a tau kinase. Hence, tau-fyn interactions could play a pathogenic
role in AD. Here we report the identification of critical proline residues,
Pro213, Pro216, and Pro219, located within the fifth and sixth Pro-X-X-Pro motifs
in the proline-rich region of tau, that are important for its binding to fyn.
These residues in tau are flanked by numerous phosphorylation sites and therefore
we investigated the relationship between fyn and the degree of tau
phosphorylation in human post-mortem brain tissue. We found no difference in the
amount of fyn present in control and AD brain. Notably, however, there was a
significant correlation between fyn and phosphorylated tau at specific phospho
epitopes in control, but not in AD brain. Our results suggest that the
pathological mechanisms underlying AD, that result in increased tau
phosphorylation, may disrupt the physiological relationship between tau
phosphorylation and fyn.
PMID- 27193085
TI - The Unsuitability of Energy Expenditure Derived From Microtechnology for
Assessing Internal Load in Collision-Based Activities.
AB - This aim of this study was to examine the validity of energy expenditure derived
from microtechnology when measured during a repeated-effort rugby protocol.
Sixteen male rugby players completed a repeated-effort protocol comprising 3 sets
of 6 collisions during which movement activity and energy expenditure (EEGPS)
were measured using microtechnology. In addition, energy expenditure was
estimated from open-circuit spirometry (EEVO2). While related (r = .63, 90%CI .08
.89), there was a systematic underestimation of energy expenditure during the
protocol (-5.94 +/- 0.67 kcal/min) for EEGPS (7.2 +/- 1.0 kcal/min) compared with
EEVO2 (13.2 +/- 2.3 kcal/min). High-speed-running distance (r = .50, 95%CI -.66
to .84) was related to EEVO2, while PlayerLoad was not (r = .37, 95%CI -.81 to
.68). While metabolic power might provide a different measure of external load
than other typically used microtechnology metrics (eg, high-speed running,
PlayerLoad), it underestimates energy expenditure during intermittent team sports
that involve collisions.
PMID- 27193084
TI - Decreased microRNA-452 expression and its prognostic significance in human
osteosarcoma.
AB - BACKGROUND: MicroRNA-452 (miR-452) was previously reported to be dysregulated in
several types of human cancers and involved in tumor progression. The aim of this
study was to investigate the clinical significance and prognostic value of miR
452 expression in human osteosarcoma. METHODS: The expression of miR-452 was
detected in 95 pairs of osteosarcoma specimens and adjacent noncancerous bone
tissues using quantitative RT-PCR (qRT-PCR) assay. Then, the association of miR
452 levels with clinicopathological features and prognosis was analyzed. The
roles of miR-452 in regulating osteosarcoma cell proliferation, apoptosis, and
invasion were evaluated in vitro. RESULTS: miR-452 expression was significantly
downregulated in osteosarcoma tissues than those in corresponding noncancerous
bone tissues (P < 0.001). Decreased miR-452 expression was linked to larger tumor
size, high tumor grade, advanced clinical stage, distant metastasis, and shorter
overall survival. Multivariate Cox regression analysis confirmed that low level
of miR-452 expression predicted poor prognosis independently. miR-452
overexpression in MG-63 cells suppressed cell proliferation, promoted cell
apoptosis, inhibited cell invasion, and led to decreased BMI1 protein levels.
CONCLUSIONS: These findings suggest that miR-452 downregulation may be involved
in osteosarcoma formation and progression and that miR-452 would serve as a novel
prognostic biomarker for patients with this disease.
PMID- 27193087
TI - The Matter of Urgency.
PMID- 27193086
TI - Conceptual Analysis for Nanoscience.
PMID- 27193089
TI - Tribute to Ronnie Kosloff.
PMID- 27193088
TI - Two Spin-State Reactivity in the Activation and Cleavage of CO2 by [ReO2](.).
AB - The rhenium dioxide anion [ReO2](-) reacts with carbon dioxide in a linear ion
trap mass spectrometer to produce [ReO3](-) corresponding to activation and
cleavage of a C-O bond. Isotope labeling experiments using [Re(18)O2](-) reveal
that (18)O/(16)O scrambling does not occur prior to cleavage of the C-O bond.
Density functional theory calculations were performed to examine the mechanism
for this oxygen atom abstraction reaction. Because the spins of the ground states
are different for the reactant and product ions ((3)[ReO2](-) versus (1)[ReO3](
)), both reaction surfaces were examined in detail and multiple [O2Re-CO2](-)
intermediates and transition structures were located and minimum energy crossing
points were calculated. The computational results show that the intermediate
[O2Re(eta(2)-C,O-CO2)](-) species most likely initiates C-O bond activation and
cleavage. The stronger binding affinity of CO2 within this species and the
greater instabilities of other [O2Re-CO2)](-) intermediates are significant
enough that oxygen atom exchange is avoided.
PMID- 27193093
TI - Periostin promotes immunosuppressive premetastatic niche formation to facilitate
breast tumour metastasis.
AB - Periostin (POSTN) is a limiting factor in the metastatic colonization of
disseminated tumour cells. However, the role of POSTN in regulating the
immunosuppressive function of immature myeloid cells in tumour metastasis has not
been documented. Here, we demonstrate that POSTN promotes the pulmonary
accumulation of myeloid-derived suppressor cells (MDSCs) during the early stage
of breast tumour metastasis. Postn deletion decreases neutrophil and monocytic
cell populations in the bone marrow of mice and suppresses the accumulation of
MDSCs to premetastatic sites. We also found that POSTN-deficient MDSCs display
reduced activation of ERK, AKT and STAT3 and that POSTN deficiency decreases the
immunosuppressive functions of MDSCs during tumour progression. Moreover, the pro
metastatic role of POSTN is largely limited to ER-negative breast cancer
patients. Lysyl oxidase contributes to POSTN-promoted premetastatic niche
formation and tumour metastasis. Our findings indicate that POSTN is essential
for immunosuppressive premetastatic niche formation in the lungs during breast
tumour metastasis and is a potential target for the prevention and treatment of
breast tumour metastasis. Copyright (c) 2016 Pathological Society of Great
Britain and Ireland. Published by John Wiley & Sons, Ltd.
PMID- 27193095
TI - Identification of confounder in epidemiologic data contaminated by measurement
error in covariates.
AB - BACKGROUND: Common methods for confounder identification such as directed acyclic
graphs (DAGs), hypothesis testing, or a 10 % change-in-estimate (CIE) criterion
for estimated associations may not be applicable due to (a) insufficient
knowledge to draw a DAG and (b) when adjustment for a true confounder produces
less than 10 % change in observed estimate (e.g. in presence of measurement
error). METHODS: We compare previously proposed simulation-based approach for
confounder identification that can be tailored to each specific study and
contrast it with commonly applied methods (significance criteria with cutoff
levels of p-values of 0.05 or 0.20, and CIE criterion with a cutoff of 10 %), as
well as newly proposed two-stage procedure aimed at reduction of false positives
(specifically, risk factors that are not confounders). The new procedure first
evaluates potential for confounding by examination of correlation of covariates
and applies simulated CIE criteria only if there is evidence of correlation,
while rejecting a covariate as confounder otherwise. These approaches are
compared in simulations studies with binary, continuous, and survival outcomes.
We illustrate the application of our proposed confounder identification strategy
in examining the association of exposure to mercury in relation to depression in
the presence of suspected confounding by fish intake using the National Health
and Nutrition Examination Survey (NHANES) 2009-2010 data. RESULTS: Our
simulations showed that the simulation-determined cutoff was very sensitive to
measurement error in exposure and potential confounder. The analysis of NHANES
data demonstrated that if the noise-to-signal ratio (error variance in
confounder/variance of confounder) is at or below 0.5, roughly 80 % of the
simulated analyses adjusting for fish consumption would correctly result in a
null association of mercury and depression, and only an extremely poorly measured
confounder is not useful to adjust for in this setting. CONCLUSIONS: No a prior
criterion developed for a specific application is guaranteed to be suitable for
confounder identification in general. The customization of model-building
strategies and study designs through simulations that consider the likely
imperfections in the data, as well as finite-sample behavior, would constitute an
important improvement on some of the currently prevailing practices in confounder
identification and evaluation.
PMID- 27193094
TI - Overexpression of CTNND1 in hepatocellular carcinoma promotes carcinous
characters through activation of Wnt/beta-catenin signaling.
AB - BACKGROUND: Increasing evidence supports the association of CTNND1 with tumor
development and progression. However, the mechanism and clinical significance of
CTNND1 deregulation in hepatocellular carcinoma (HCC) remains unknown. In this
study, we aim to investigate the role of CTNND1 in HCC. METHODS: qRT-PCR and
immunohistochemical analyses were used to measure the levels of CTNND1 in HCC
specimens and HCC cell lines. CTNND1 and shCTNND1 were transfected into HCC cell
lines to investigate its role in HCC. Cell migration and invasion were measured
by Transwell and Matrigel analyses in vitro. In vivo metastasis assays were
performed in SCID mice. RESULTS: In clinical HCC samples, we found that CTNND1
expression was significantly up-regulated in cancer lesions compared with paired
normal liver tissues. By silencing or overexpressing CTNND1 in HCC cells, we
found that CTNND1 could promote cell proliferation, migration, and invasion in
vitro. An in-vivo assay showed that CTNND1 dramatically promoted HCC cell tumor
formation and metastasis. Moreover, CTNND1 promoted HCC metastasis, at least in
part, by indirectly enhancing Wnt/beta-catenin signaling. Consistent with these
results, the expression of CTNND1 was positively correlated with beta-catenin,
WNT11, Cyclin D1, and BMP7 expression in human HCC specimens. CONCLUSIONS: Our
study provides evidence that CTNND1 functions as a novel tumor oncogene in HCC,
and may be a potential therapeutic target for HCC management.
PMID- 27193096
TI - Identifying novel therapeutic agents using xenograft models of pediatric cancer.
AB - In the USA, the overall cure rate for all childhood cancers is seventy percent,
and in many patients that ultimately fail curative therapy, initial responses to
current multimodality treatments (surgery, radiation therapy and chemotherapy) is
good, with overall 5-year event-free survival approaching 80 %. However, current
approaches to curative therapy result in significant morbidity and long-term
sequelae, including cardiac dysfunction and cognitive impairment. Furthermore,
dose-intensive chemotherapy with conventional agents has not significantly
improved outcomes for patients that present with advanced or metastatic disease.
Classical cytotoxic agents remain the backbone for curative therapy of both
hematologic and solid tumors of childhood. While 'molecularly' targeted agents
have shown some clinical activity, responses are often modest and of short
duration; hence, there is a need to identify new classes of cytotoxic agent that
are effective in patients at relapse and that have reduced or different toxicity
profiles to normal tissues. Here we review the pediatric preclinical testing
program experience of testing novel agents, and the value and limitations of
preclinical xenograft models and genetically engineered mouse models for
developing novel agents for treatment of childhood cancer.
PMID- 27193098
TI - Cell death patterns in Arabidopsis cells subjected to four physiological
stressors indicate multiple signalling pathways and cell cycle phase specificity.
AB - Corpse morphology, nuclear DNA fragmentation, expression of senescence-associated
genes (SAG) and cysteine protease profiles were investigated to understand cell
death patterns in a cell cycle-synchronised Arabidopsis thaliana cell suspension
culture treated with four physiological stressors in the late G2 phase. Within 4
h of treatment, polyethylene glycol (PEG, 20 %), mannose (100 mM) and hydrogen
peroxide (2 mM) caused DNA fragmentation coinciding with cell permeability to
Evans Blue (EB) and produced corpse morphology corresponding to apoptosis-like
programmed cell death (AL-PCD) with cytoplasmic retraction from the cell wall.
Ethylene (8 mL per 250-mL flask) caused permeability of cells to EB without
concomitant nuclear DNA fragmentation and cytoplasmic retraction, suggesting
necrotic cell death. Mannose inducing glycolysis block and PEG causing
dehydration resulted in relatively similar patterns of upregulation of SAG
suggesting similar cell death signalling pathways for these two stress factors,
whereas hydrogen peroxide caused unique patterns indicating an alternate pathway
for cell death induced by oxidative stress. Ethylene did not cause appreciable
changes in SAG expression, confirming necrotic cell death. Expression of AtDAD,
BoMT1 and AtSAG2 genes, previously shown to be associated with plant senescence,
also changed rapidly during AL-PCD in cultured cells. The profiles of nine
distinct cysteine protease-active bands ranging in size from ca. 21.5 to 38.5 kDa
found in the control cultures were also altered after treatment with the four
stressors, with mannose and PEG again producing similar patterns. Results also
suggest that cysteine proteases may have a role in necrotic cell death.
PMID- 27193097
TI - A prospective, multicenter phase I/II study of induction chemotherapy with
docetaxel, cisplatin and fluorouracil (DCF) followed by chemoradiotherapy in
patients with unresectable locally advanced esophageal carcinoma.
AB - PURPOSE: Standard care for unresectable locally advanced esophageal squamous cell
carcinoma (ESCC) is concurrent chemoradiotherapy, but survival remains limited.
Neoadjuvant chemotherapy with docetaxel, cisplatin and fluorouracil (DCF) has
demonstrated promising activity, with a pathological complete response (CR) of 17
% for resectable stage II/III ESCC. Here, we conducted a multicenter study to
assess the efficacy and safety of induction chemotherapy with DCF followed by CRT
in patients with unresectable locally advanced ESCC. METHODS: Eligibility
criteria included clinical T4 and/or M1 lymph node ESCC, PS 0-1 and age 20-70
years. Treatment consisted of docetaxel 70 mg/m(2) and cisplatin 70 mg/m(2) on
day 1, and fluorouracil 750 mg/m(2) on days 1-5, repeated every 3 weeks for three
cycles, followed by cisplatin 70 mg/m(2) on days 64 and 92, and fluorouracil 700
mg/m(2) on days 64-67 and 92-95, concurrently with radiotherapy (60 Gy in 30
fractions, 5 days/week). Primary endpoint of the phase II part was CR rate.
RESULTS: Thirty-three patients were enrolled. The completion rate of protocol
treatment was 88 %. Thirteen patients (39.4 %) achieved a CR. With a median
follow-up period of 41 months (range 24-49 months), median progression-free
survival was 12.2 months, and median survival was 26.0 months, with a survival
rate of 40.4 % at 3 years. The most common grade 3 or 4 toxicities were
neutropenia, leukopenia, anorexia and dysphagia. No treatment-related death was
observed. CONCLUSION: Induction chemotherapy with DCF followed by CRT is
tolerable and shows promising efficacy for unresectable locally advanced ESCC.
PMID- 27193099
TI - Prediction and validation of cis-regulatory elements in 5' upstream regulatory
regions of lectin receptor-like kinase gene family in rice.
AB - Lectin receptor-like kinases (LecRLKs) play crucial roles in regulating plant
growth and developmental processes in response to stress. In transcriptional gene
regulation for normal cellular functions, cis-acting regulatory elements (CREs)
direct the temporal and spatial gene expression with respect to environmental
stimuli. A complete insightful of the transcriptional gene regulation system
relies on effective functional analysis of CREs. Here, we analyzed the potential
putative CREs present in the promoters of rice LecRLKs genes by using PlantCARE
database. The CREs in LecRLKs promoters are associated with plant
growth/development, light response, plant hormonal regulation processes, various
stress responses, hormonal response like ABA, root-specific expression
responsive, drought responsive, and cell and organ specific regulatory elements.
The effect of methylation on these cis-regulatory elements was also analyzed.
Real-time analysis of rice seedling under various stress conditions showed the
expression levels of selected LecRLK genes superimposing the number of different
CREs present in 5' upstream region. The overall results showed that the possible
CREs function in the selective expression/regulation of LecRLKs gene family and
during rice plant development under stress.
PMID- 27193100
TI - SmLEA2, a gene for late embryogenesis abundant protein isolated from Salvia
miltiorrhiza, confers tolerance to drought and salt stress in Escherichia coli
and S. miltiorrhiza.
AB - Abiotic stresses, such as drought and high salinity, are major factors that limit
plant growth and productivity. Late embryogenesis abundant (LEA) proteins are
members of a diverse, multigene family closely associated with tolerance to
abiotic stresses in numerous organisms. We examined the function of SmLEA2,
previously isolated from Salvia miltiorrhiza, in defense responses to drought and
high salinity. Phylogenetic analysis indicated that SmLEA2 belongs to the LEA_2
subfamily. Its overexpression in Escherichia coli improved growth performance
when compared with the control under salt and drought stresses. We further
characterized its roles in S. miltiorrhiza through overexpression and RNAi
mediated silencing. In response to drought and salinity treatments, transgenic
plants overexpressing SmLEA2 exhibited significantly increased superoxide
dismutase activity, reduced levels of lipid peroxidation, and more vigorous
growth than empty-vector control plants did. However, transgenic lines in which
expression was suppressed showed the opposite results. Our data demonstrate that
SmLEA2 plays an important role in the abiotic stress response and its
overexpression in transgenic S. miltiorrhiza improves tolerance to excess salt
and drought conditions.
PMID- 27193101
TI - [Strategies for the noninvasive diagnosis of melanoma].
AB - The diagnosis of advanced cutaneous melanoma may easily be made by the unaided
eye, followed by excisional biopsy and histopathological examination. However, in
the setting of melanoma screening examinations in high-risk patients with many
nevi, dermatologists are challenged with the differentiation of atypical but
benign nevi and early invasive or in situ melanomas. In this situation, there is
a real need for additional, noninvasive examination techniques that may serve as
an aide to decide for or against an excisional biopsy. Conventional dermoscopy is
a well-established examination procedure and an increase in sensitivity was
confirmed by two independent meta-analyses. Moreover, dynamic changes or newly
developed pigmented lesions may be detected by sequential digital dermoscopy or
(automated) total body photography, respectively. Over the past years, a number
of medicinal products gained market access after licensing by American and
European agencies for the noninvasive diagnosis of cutaneous neoplasms. These
devices are based on technologies including in vivo reflectance confocal
microscopy, multispectral analysis, electrical impedance spectroscopy, or Raman
spectroscopy. Other technologies are still on the verge of becoming less
experimental but more clinically applicable for diagnosing melanoma (in vivo
multiphoton tomography, stepwise two-photon laser spectroscopy, infrared thermal
image analysis, epidermal genetic information retrieval). This review provides a
concise overview of general principles and sheds light on indication and added
value for dermatologists.
PMID- 27193102
TI - Masked hypertension in newly diagnosed hypothyroidism: a pilot study.
AB - PURPOSE: Masked hypertension (MH) is the association of normal office blood
pressure (BP) with high ambulatory or home BP. This condition is associated with
increased cardiovascular target organ damage, as true hypertension. Because the
relation with hypothyroidism is controversial, we investigated it in a pilot
longitudinal and controlled study. METHODS: We consecutively enrolled 64 newly
diagnosed hypothyroid patients, 38 subclinical (s-HYPO), and 26 overt (o-HYPO).
The control group consisted of 50 euthyroid subjects seen at outpatient clinics.
All participants underwent office and 24-h ambulatory BP measurement. RESULTS: BP
monitoring revealed a higher prevalence of MH both in s-HYPO (26.3 %) and in o
HYPO (15.4 %) than in euthyroid subjects (10 %, p = 0.05); true hypertension also
was more frequent in o-HYPO (11.5 %) and s-HYPO (10.5 %) than in controls (8 %, p
= 0.03). The odds ratio for hypertension versus normotension confirmed a
significantly increased risk of MH in hypothyroid patients versus euthyroid
subjects (3.29, 1.08-10.08; p = 0.02). In a subgroup of patients reevaluated
after restoration of euthyroidism, an improvement of BP profile was observed,
especially in s-HYPO subgroup, with a decreased prevalence of MH (from 25 to 10.7
%) and true hypertension (from 10.7 to 3.4 %). CONCLUSIONS: Hypothyroidism may be
an important predictor of higher BP values, with an increased risk of MH. Because
MH is a cardiovascular risk and can be reversed by thyroid hormone replacement,
its presence should represent an indication for thyroid hormone replacement
therapy also in patients with s-HYPO.
PMID- 27193103
TI - Long Noncoding RNA-Sox2OT Knockdown Alleviates Diabetes Mellitus-Induced Retinal
Ganglion Cell (RGC) injury.
AB - Retinal ganglion cell (RGC) injury is one of the important pathological features
of diabetes-induced retinal neurodegeneration. Increasing attention has been paid
to find strategies for protecting against RGC injury. Long noncoding RNAs
(lncRNAs) have emerged as the key regulators of many cell functions. Here, we
show that Sox2OT expression is significantly down-regulated in the retinas of STZ
induced diabetic mice and in the RGCs upon high glucose or oxidative stress.
SOX2OT knockdown protects RGCs against high glucose-induced injury in vitro.
Moreover, Sox2OT knockdown plays a neuroprotective role in diabetes-related
retinal neurodegeneration in vivo. Sox2OT knockdown could regulate oxidative
stress response in RGCs and diabetic mouse retinas. Sox2OT knockdown plays an
anti-oxidative role via regulating NRF2/HO-1 signaling activity. Taken together,
Sox2OT knockdown may be a therapeutic strategy for the prevention and treatment
of diabetes-induced retinal neurodegeneration.
PMID- 27193104
TI - Enduring large use of acetaminophen suppositories for fever management in
children: a national survey of French parents and healthcare professionals'
practices.
AB - The pharmacological specificities of the rectal formulation of acetaminophen led
to a debate on its appropriateness for managing fever in children, but few data
are available on the formulation's current use and determinants of use. In a
national cross-sectional study between 2007 and 2008, healthcare professionals
were asked to include five consecutive patients with acute fever. Among the 6255
children (mean age 4.0 years +/- 2.8 SD) who received acetaminophen given by
parents or prescribed/recommended by healthcare professionals, determinants of
suppository use were studied by multilevel models. A suppository was given by 27
% of parents and prescribed/recommended by 19 % of healthcare professionals, by
24 and 16 %, respectively, for children 2 to 5 years old, and by 13 and 8 %,
respectively, for those 6 to 12 years old. Among children who received
suppositories from parents and healthcare professionals, 83 and 84 %,
respectively, did not vomit. Suppository use was independently associated with
several patient- and healthcare professional-level characteristics: young age of
children, presence of vomiting, or lack of diarrhea. CONCLUSION: We report an
enduring large use of suppositories in France for the symptomatic management of
fever in children, including in non-vomiting and/or older children. The rational
for such use should be questioned. WHAT IS KNOWN: * The pharmacological
specificities of the rectal formulation of acetaminophen have led to a debate on
its appropriateness for managing fever in children. Few data are available on the
formulation's current use and determinants of the use. What is New: * In a
national cross-sectional study, we observed a large use of suppositories in
France for symptomatic management of fever in children. Suppositories were
frequently used for the youngest children but also for older and/or non-vomiting
children.
PMID- 27193105
TI - Association between IL-6 Gene (-174 & -572 G/C) Polymorphisms and Endometrial
Adenocarcinoma Risk.
AB - We aimed to evaluate the association of IL-6 gene polymorphisms at positions of
174 and -572 and predisposition of endometrial adenocarcinoma (EAC) in a Chinese
population. EAC patients have remarkably higher frequency of IL-6 -174 CC
genotype [odds ratio (OR) =1.56, 95 % confidence interval (CI) =1.07-2.23; P =
0.03], IL-6 -572 CC genotype (OR =1.93, 95%CI =1.17-3.15; P = 0.01) and IL-6 -174
C allele (OR =1.22, 95 % CI =1.03-1.46; P = 0.04) compared with healthy controls.
When stratified with FIGO stage, patients with III-IV EAC have a significantly
higher frequency of IL-6 -174 CC genotype (OR =1.66, 95% CI =1.06-2.58; P = 0.02)
than healthy controls. The CC genotype of IL-6 gene polymorphisms at positions of
-174 and -572 may denote potential high risk of EAC.
PMID- 27193107
TI - HOW DO PATIENTS EXPERIENCE A KIDNEY BIOPSY?
AB - BACKGROUND: Guidelines for care before, during and after a kidney biopsy vary
nationally and internationally. A literature search has shown few studies about
the care before, during and after a kidney biopsy and we found one study from the
patients' perspective. OBJECTIVES: The aim of the study is to gain knowledge
about patients' experiences before, during and after a kidney biopsy. METHODS: A
qualitative study with a phenomenological and hermeneutic approach using
'observiews' of seven patients before, during and after a kidney biopsy. Data
were analysed using Malterud's principles of systematic text condensation.
RESULTS: Three themes were found: The patients' basic needs, the patient's needs
for information and the patients use humour as a coping strategy. CONCLUSION AND
APPLICATION TO PRACTICE: The patients' experiences were characterised by their
need to fulfill self-care requirements, because they had to lie down for four to
six hours after the biopsy procedure. There is a need to find evidence for the
time the patient need to be bedbound to avoid bleeding complications. The
patients' needs for information were different, which explains why information
should be individualised. There is particular need for more information after
discharge.
PMID- 27193108
TI - Rats respond where it counts.
AB - Taniuchi, Sugihara, Wakashima, and Kamijo (2016) report the surprising finding
that rats can transfer numerical discrimination to novel objects. Further
experiments show that rat numerical discrimination is flexible, as it can both
count homogeneous and heterogeneous objects and omit an odd object.
PMID- 27193106
TI - Prognostic Factors for Morbimortality in Sleeve Gastrectomy. The Importance of
the Learning Curve. A Spanish-Portuguese Multicenter Study.
AB - BACKGROUND: Complications in sleeve gastrectomy (SG) can cast a shadow over the
technique's good results and compromise its safety. The aim of this study is to
identify risk factors for complications, and especially those that can
potentially be modified to improve safety. METHODS: A retrospective multicenter
cohort study was carried out, involving the participation of 29 hospitals. Data
was collected on demographic variables, associated comorbidities, technical
modifications, the surgeon's experience, and postoperative morbimortality. A
multivariate logistic regression analysis was carried out on risk factors (RFs)
for the complications of leak/fistula, hemoperitoneum, pneumonia, pulmonary
embolism, and death. RESULTS: The following data were collected for 2882
patients: age, 43.85 +/- 11.6. 32.9 % male; BMI 47.22 +/- 8.79; 46.2 %
hypertensive; 29.2 % diabetes2; 18.2 % smokers; bougie calibre >=40 F 11.1 %;
complications 11.7 % (2.8 % leaks, 2.7 % hemoperitoneum, 1.1 % pneumonia, 0.2 %
pulmonary embolism); and death 0.6 %. RFs for complications were as follows:
surgeon's experience < 20 patients, OR 1.72 (1.32-2.25); experience > 100
patients, OR 0.78 (0.69-0.87); DM2, OR1.48(1.12-1.95); probe > 40 F, OR 0.613
(0.429-0.876). Leak RFs were the following: smoking, OR1.93 (1.1-3.41); surgeon's
experience < 20 patients, OR 2.4 (1.46-4.16); experience of 20-50 patients, OR
2.5 (1.3-4.86); experience >100 patients, OR 0.265 (0.11-0.63); distance to
pylorus > 4 cm, OR 0.510 (0.29-0.91). RFs for death were as follows: smoking, OR
8.64 (2.63-28.34); DM2, OR 3.25 (1.1-9.99); distance to pylorus < 5 cm, OR 6.62
(1.63-27.02). CONCLUSIONS: The safety of SG may be compromised by nonmodifiable
factors such as age >65, patient comorbidities (DM2, hypertension), and prior
treatment with anticoagulants, as well as by modifiable factors such as smoking,
bougie size <40 F, distance to the pylorus <4 cm, and the surgeon's experience
(<50-100 cases).
PMID- 27193109
TI - Cardioprotective effect of resveratrol analogue isorhapontigenin versus omega-3
fatty acids in isoproterenol-induced myocardial infarction in rats.
AB - Myocardial infarction (MI) is a common cause of mortality worldwide.
Isorhapontigenin is a derivative of stilbene with chemical structure similar to
resveratrol. The omega-3 fatty acids (FA) have beneficial effects on
neurodegenerative, inflammatory, and cardiovascular diseases. The aim of this
study was to investigate the effects of pretreatment with isorhapontigenin and
omega-3 FA on rat model of isoproterenol-induced MI. Fifty-six rats were divided
into seven groups: normal, normal + isorhapontigenin, normal + omega-3 FA, MI, MI
+ isorhapontigenin, MI + omega-3 FA, and MI + isorhapontigenin + omega-3 FA.
Serum levels of cardiac marker enzymes [lactate dehydrogenase (LDH) and creatine
kinase-MB (CK-MB)], cardiac troponin I (cTnI), inflammatory markers [tumor
necrosis factor-alpha (TNF-alpha) and interleukin-6], and lipid profile
[triglycerides, total cholesterol (T.Ch), high and low density lipoproteins (HDL,
LDL), and phospholipids] as well as cardiac levels of malondialdehyde and anti
oxidants [reduced glutathione (GSH), superoxide dismutase (SOD), and catalase)]
were measured in all rats. ECG and histopathological examination were performed.
Isoproterenol caused a significant elevation of ST segment, decreased R wave
amplitude, HDL, and anti-oxidants, and increased LDH, CK-MB, cTnI, TNF-alpha,
interleukin-6, malondialdehyde, triglycerides, T.Ch, LDL, and phospholipids.
Omega-3 FA or isorhapontigenin significantly decreased the ST segment elevation,
LDH, CK-MB, cTnI, TNF-alpha, interleukin-6, malondialdehyde, and phospholipids
and increased R wave amplitude and anti-oxidants. The effects of combined omega-3
FA and isorhapontigenin were more significant than either of them alone.
Therefore, we conclude that omega-3 FA and isorhapontigenin have a
cardioprotective effect on rats with isoproterenol-induced MI through their anti
oxidant and anti-inflammatory actions.
PMID- 27193110
TI - The development of sweet taste: From biology to hedonics.
AB - From the age of 2 years, an American child is more likely to consume a sugar
sweetened product than a fruit or vegetable on any given day-a troubling
statistic, given that food preferences are established early in childhood, as
well as the strong association between this dietary pattern and increased risk of
developing a number of chronic diseases. Here, we review the ontogeny and
biopsychology of sweet taste, highlighting how a biological drive to prefer
sweetness at high concentrations during childhood, which would have conferred an
advantage in environments of scarcity, now predisposes children to overconsume
all that is sweet in a modern food system replete with added sugars. We review
the power of sweet taste to blunt expressions of pain and mask bad tastes in
foods as well as factors that predispose some to consume high-sugar diets,
including experiential learning and taste preferences driven in part by genetics.
Understanding children's unique vulnerability to our current food environment,
rich in both nutritive and nonnutritive sweeteners, is highlighted as a priority
for future research to develop evidence-based strategies to help establish
healthy dietary behaviors early in life.
PMID- 27193112
TI - Urinary proteomic pattern in female stress urinary incontinence: a pilot study.
AB - INTRODUCTION AND HYPOTHESIS: Previous studies aiming to identify specific pre
defined urine protein biomarkers for stress urinary incontinence (SUI) have not
identified clinically important differences. The hypothesis of our study was that
the global distribution of urinary proteins, the proteome, differs between women
with and those without SUI. METHODS: In this age-matched case-control study, we
compared the urinary proteome of 20 women with SUI and 20 controls. Proteins were
identified by applying high-performance liquid chromatography separation and
tandem mass spectrometry detection. Data analysis was performed using Mascot
2.4.1 embedded in ProteinScape 3.1. RESULTS: We identified 828 different
proteins. The concentration of six of those showed a significant difference
between urine samples of SUI patients and those of controls (q value < 0.25).
Four proteins showed a higher abundance in SUI samples compared with controls:
plasma serine protease inhibitor (logFC 1.11), leucine-rich alpha-2-glycoprotein
(logFC 3.91), lysosomal alpha-glucosidase (logFC 1.24), and peptidyl-prolyl cis-
trans isomerase A (logFC 1.96). We identified two proteins in lower abundance in
SUI samples compared with controls: uromodulin (logFC -4.87) and TALPID3 (logFC
1.99). CONCLUSIONS: Overexpression of plasma serine protease inhibitor, leucine
rich alpha-2-glycoprotein, lysosomal alpha-glucosidase, and peptidyl-prolyl cis-
trans isomerase A, and lower expression of uromodulin and TALPID3, in urine may
be associated with female SUI.
PMID- 27193111
TI - Changes in hydration structure are necessary for collective motions of a multi
domain protein.
AB - Conformational motions of proteins are necessary for their functions. To date,
experimental studies measuring conformational fluctuations of a whole protein
structure have revealed that water molecules hydrating proteins are necessary to
induce protein functional motions. However, the underlying microscopic mechanism
behind such regulation remains unsolved. To clarify the mechanism, multi-domain
proteins are good targets because it is obvious that water molecules between
domains play an important role in domain motions. Here, we show how changes in
hydration structure microscopically correlate with large-amplitude motions of a
multi-domain protein, through molecular dynamics simulation supported by
structural analyses and biochemical experiments. We first identified collective
domain motions of the protein, which open/close an active-site cleft between
domains. The analyses on changes in hydration structure revealed that changes in
local hydration in the depth of the cleft are necessary for the domain motion and
vice versa. In particular, 'wetting'/'drying' at a hydrophobic pocket and
'adsorption'/'dissociation' of a few water molecules at a hydrophilic crevice in
the cleft were induced by dynamic rearrangements of hydrogen-bond networks, and
worked as a switch for the domain motions. Our results microscopically
demonstrated the importance of hydrogen-bond networks of water molecules in
understanding energy landscapes of protein motions.
PMID- 27193114
TI - The standardization of urodynamic reporting in the International Urogynecology
Journal.
PMID- 27193113
TI - Functional mapping of the pelvic floor and sphincter muscles from high-density
surface EMG recordings.
AB - INTRODUCTION AND HYPOTHESIS: Knowledge of the innervation of pelvic floor and
sphincter muscles is of great importance to understanding the pathophysiology of
female pelvic floor dysfunctions. This report presents our high-density
intravaginal and intrarectal electromyography (EMG) probes and a comprehensive
innervation zone (IZ) imaging technique based on high-density EMG readings to
characterize the IZ distribution. METHODS: Both intravaginal and intrarectal
probes are covered with a high-density surface electromyography electrode grid (8
* 8). Surface EMG signals were acquired in ten healthy women performing maximum
voluntary contractions of their pelvic floor. EMG decomposition was performed to
separate motor-unit action potentials (MUAPs) and then localize their IZs.
RESULTS: High-density surface EMG signals were successfully acquired over the
vaginal and rectal surfaces. The propagation patterns of muscle activity were
clearly visualized for multiple muscle groups of the pelvic floor and anal
sphincter. During each contraction, up to 218 and 456 repetitions of motor units
were detected by the vaginal and rectal probes, respectively. MUAPs were
separated with their IZs identified at various orientations and depths.
CONCLUSIONS: The proposed probes are capable of providing a comprehensive mapping
of IZs of the pelvic floor and sphincter muscles. They can be employed as
diagnostic and preventative tools in clinical practices.
PMID- 27193115
TI - Inference to the best explanation and mechanisms in medicine.
AB - This article considers the prospects of inference to the best explanation (IBE)
as a method of confirming causal claims vis-a-vis the medical evidence of
mechanisms. I show that IBE is actually descriptive of how scientists reason when
choosing among hypotheses, that it is amenable to the balance/weight distinction,
a pivotal pair of concepts in the philosophy of evidence, and that it can do
justice to interesting features of the interplay between mechanistic and
population level assessments.
PMID- 27193117
TI - Comment on "Linfotaping with kinesio(r) tape to manage and treat lymphedema
patients: safety and tolerability are more important the efficacy?".
PMID- 27193118
TI - Comparison of the FACT-C, EORTC QLQ-CR38, and QLQ-CR29 quality of life
questionnaires for patients with colorectal cancer: a literature review.
AB - PURPOSE: The purpose of this review was to compare the development,
characteristics, validity, and reliability of three widely used quality of life
(QOL) assessment tools used in colorectal cancer (CRC) patients: the Functional
Assessment of Cancer Therapy-Colorectal (FACT-C), the European Organization for
Research and Treatment of Cancer Quality of Life (EORTC) Quality of Life
Questionnaire Colorectal Cancer Module (QLQ-CR38) and its successor, the QLQ
CR29. METHODS: A literature search was conducted using Ovid EMBASE and EMBASE
Classic (1996-2015 Week 39), Ovid MEDLINE and OLDMEDLINE (1996 September Week 4
2015), and the Cochrane Central Register of Controlled Trials (up to August 2015)
to identify studies that discussed the FACT-C, EORTC QLQ-CR38, and QLQ-CR29
including, but not limited to, their development, characteristics, validity, and
reliability. RESULTS: The FACT-C consists of 36 items, presented on a 5-point
Likert scale, in four domains of well-being (physical, emotional, social, and
functional), and the Colorectal Cancer Subscale (CCS). The physical and social
well-being scales showed reasonable internal consistency (Cronbach's alpha
coefficient > 60) in all studied populations. The EORTC QLQ-CR38 (38 items) and
the QLQ-CR29 (29 items) are implemented in conjunction with the core QLQ-C30 (30
items); all are presented in 4-point Likert scales. Seven scales in the QLQ-CR38
demonstrated good internal consistency (Cronbach's alpha coefficient > 0.70). In
the QLQ-CR29, three scales had a Cronbach's alpha coefficient of less than 0.70.
CONCLUSION: The FACT-C, QLQ-CR38, and QLQ-CR29 have been extensively validated.
However, analysis of their characteristics, validity, and reliability suggest
differing suitability in assessing QOL in specific clinical situations.
PMID- 27193116
TI - The relationship between mindfulness, pain intensity, pain catastrophizing,
depression, and quality of life among cancer survivors living with chronic
neuropathic pain.
AB - PURPOSE: This study aims to examine if mindfulness is associated with pain
catastrophizing, depression, disability, and health-related quality of life
(HRQOL) in cancer survivors with chronic neuropathic pain (CNP). METHOD: We
conducted a cross-sectional survey with cancer survivors experiencing CNP.
Participants (n = 76) were men (24 %) and women (76 %) with an average age of
56.5 years (SD = 9.4). Participants were at least 1 year post-treatment, with no
evidence of cancer, and with symptoms of neuropathic pain for more than three
months. Participants completed the Five Facets Mindfulness Questionnaire (FFMQ),
along with measures of pain intensity, pain catastrophizing, pain interference,
depression, and HRQOL. RESULTS: Mindfulness was negatively correlated with pain
intensity, pain catastrophizing, pain interference, and depression, and it was
positively correlated with mental health-related HRQOL. Regression analyses
demonstrated that mindfulness was a negative predictor of pain intensity and
depression and a positive predictor of mental HRQOL after controlling for pain
catastrophizing, age, and gender. The two mindfulness facets that were most
consistently associated with better outcomes were non-judging and acting with
awareness. Mindfulness significantly moderated the relationships between pain
intensity and pain catastrophizing and between pain intensity and pain
interference. CONCLUSION: It appears that mindfulness mitigates the impact of
pain experiences in cancer survivors experiencing CNP post-treatment.
IMPLICATIONS FOR CANCER SURVIVORS: This study suggests that mindfulness is
associated with better adjustment to CNP. This provides the foundation to explore
whether mindfulness-based interventions improve quality of life among cancer
survivors living with CNP.
PMID- 27193119
TI - Capacity of the Edmonton Symptom Assessment System and the Canadian Problem
Checklist to screen clinical insomnia in cancer patients.
AB - PURPOSE: Insomnia is highly prevalent in cancer patients. Efficacious treatments
exist for this condition but, for these interventions to be offered, an effective
screening needs to be carried out beforehand. The pan-Canadian practice
guidelines on sleep disturbances provide recommendations on how to use the
Edmonton Symptom Assessment System (ESAS) and the Canadian Problem Checklist
(CPC) to screen for sleep difficulties in cancer. However, empirical evidence to
support these recommendations is lacking. The goal of this study was to assess
the capacity of the ESAS (drowsiness and "other" items) and the CPC (sleep item)
to screen for clinical insomnia in cancer patients. METHODS: As part of routine
care, 615 patients with various cancer types completed the ESAS, the CPC, and the
Insomnia Severity Index, used as the standard for establishing the presence of
clinical insomnia. RESULTS: None of the criteria provided an effective screening
when used alone. No patient used the ESAS-other item to report sleep
difficulties. The sensitivity and specificity rates of the CPC-sleep item alone
were 60.4 and 89.6 %, respectively. A score >=2 on the ESAS-drowsiness item had a
sensitivity of 61.5 % and a specificity of 75.4 %. When used in combination, the
best option was scoring positively on the CPC-sleep item OR a score >=2 on the
ESAS-drowsiness item (sensitivity 84.2 %; specificity 69.7 %). CONCLUSIONS: When
used alone, the CPC-sleep and the ESAS-drowsiness items yielded insufficient
sensitivity rates for a first screening, but when used in combination, they
provided a good balance between sensitivity and specificity.
PMID- 27193120
TI - Synthesis and characterization of shape-memory poly carbonate urethane
microspheres for future vascular embolization.
AB - Two types of shape memory poly carbonate urethanes (PCUs) microspheres were
synthesized by pre-polymerization and suspension polymerization, based on
Polycarbonate diol (PCDL) as the soft segment, Isophorone diisocyanate (IPDI) and
1,6-hexamethylene diisocyanate (HDI) as the hard segments and 1,4-butanediol
(BDO) as the chain expanding agent. The structure, crystallinity, and thermal
property of the two synthesized PCUs were characterized by Fourier transform
infrared spectroscopy (FTIR), X-ray diffraction (XRD), Differential scanning
calorimetery (DSC), respectively. The results showed that the two types of PCUs
exhibited high thermal stability with phase separation and semi-crystallinity.
Also, the results of the compression test displayed that the shape fixity and the
shape recovery of two PCUs were more than 90% compared to the originals,
indicating their similar bio-applicability and shape-memory properties. The
tensile strength, elongation at break was enhanced by introducing and increasing
content of HDI. The water contact angles of PCUs decreased and their surface
tension increased by surface modified with Bovine serum albumin (BSA).
Furthermore, the biological study results of two types of PCUs from the platelet
adhesion test and the cell proliferation inhibition test indicated they had some
biocompatibilites. Hence, the PCU microspheres might represent a smart and shape
memory embolic agent for vascular embolization.
PMID- 27193122
TI - An unusual case of ocular tuberculosis presenting as subretinal abscess with
posterior scleritis.
AB - We report an unusual presentation of ocular tuberculosis with subretinal abscess
with associated posterior scleritis. This is a first-of-its-kind report of such
an association. A 26-year-old female presented to us with complaints of redness,
pain, and decreased vision in her left eye since 1 week. On examination, the
vision in her right eye was 6/6 and in the left eye was 1/60. Detailed ocular
evaluation revealed exudative retinal detachment, subretinal abscess, and disk
edema. Ocular and systemic investigations were consistent with presumed
tubercular etiology and posterior scleritis. The patient was treated with a
course of anti-tubercular therapy, under cover of oral steroids. The vision
improved in subsequent visits and at the last follow-up 6 months later, the
lesion had resolved completely with a best-corrected visual acuity of 20/40.
PMID- 27193121
TI - The leguminous lectin of Lonchocarpus araripensis promotes antinociception via
mechanisms that include neuronal inhibition of Na(+) currents.
AB - OBJECTIVE AND DESIGN: Sodium channels are highly expressed in nociceptive sensory
neurons during hypernociceptive conditions. Based on the presence of a glycosidic
portion in the sodium channel beta subunit associated to the antinociceptive
effect of leguminous lectins via lectin domain, this study investigated the
antinociceptive activity of the lectin isolated from Lonchocarpus araripensis
seeds (LAL) in mice behavioral models and in NaV current in the nociceptor of rat
dorsal root ganglion (DRG). MATERIAL/METHODS: LAL antinociceptive activity and
the participation of opioid system, lectin domain and sodium channels were
evaluated in Swiss mice models of nociception (formalin, capsaicin, hot plate,
tail flick, von Frey) and in primary cultures of Wistar rats neurons of DRG
(patch clamp). RESULTS: LAL presented inhibitory effects in the nociception
induced by chemical and mechanical, but not by thermal stimuli and reduced total
Na(+) current. LAL activity was inhibited by the lectin association with its
binding sugar N-acethyl-glucosamine. CONCLUSION: LAL inhibits peripheral
hypernociception by mechanisms that involve the lectin domain, inflammatory
mediators and Na(+) channels. The innovative inhibitory action of leguminous
lectins on NaV current brings new insights for the investigation of sodium
channels role in nociception.
PMID- 27193123
TI - Reduced ocular surface disease index (OSDI) scores in patients with isotretinoin
treatment.
AB - To evaluate the changes in ocular surface and functions of tear-film in patients
treated with systemic isotretinoin, to assess diagnostic performance of ocular
surface disease index (OSDI) test in the dry eye conditions in association with
the use of isotretinoin, and to determine the correlation between all tear-film
function tests, OSDI and the dose and duration of isotretinoin administration.
One hundred and twenty eyes of 60 subjects treated with oral isotretinoin were
enrolled. All patients underwent ophthalmic examination at the baseline and
another examination during the course of treatment. Biomicroscopic ophthalmic
examination of the meibomian glands, non-anesthetized Schirmer test, and tear
film break-up time (BUT) were performed. Subjective ocular complaints were scored
with an OSDI questionnaire. Average Schirmer scores were not significantly
changed by isotretinoin treatment. There were significant differences in the
average OSDI score, the average meibomian gland disease (MGD) grade and average
BUT value of both eyes during the treatment compared with baseline values (p <
0.01). There was a statistically significant inverse correlation between MGD
grade and BUT value. There was no significant correlation between BUT value, OSDI
score, Schirmer score, MGD grade, the total and divided dose of isotretinoin and
the duration of treatment. The follow-up time was 4.01 +/- 1.3 months (range 1.5
6 months). Systemic isotretinoin treatment can cause alterations in the tear film
and dry eye symptoms. The OSDI test can be used as a diagnostic test for patients
receiving systemic isotretinoin treatment.
PMID- 27193125
TI - Type specific persistence of high risk human papillomavirus (HPV) as indicator of
high grade cervical squamous intraepithelial lesions in young women: population
based prospective follow up study.
PMID- 27193126
TI - Slow induction of brain death leads to decreased renal function and increased
hepatic apoptosis in rats.
AB - BACKGROUND: Donor brain death (BD) is an independent risk factor for graft
survival in recipients. While in some patients BD results from a fast increase in
intracranial pressure, usually associated with trauma, in others, intracranial
pressure increases more slowly. The speed of intracranial pressure increase may
be a possible risk factor for renal and hepatic graft dysfunction. This study
aims to assess the effect of speed of BD induction on renal and hepatic injury
markers. METHODS: BD induction was performed in 64 mechanically ventilated male
Fisher rats by inflating a 4.0F Fogarty catheter in the epidural space. Rats were
observed for 0.5, 1, 2 or 4 h following BD induction. Slow induction was achieved
by inflating the balloon-catheter at a speed of 0.015 ml/min until confirmation
of BD. Fast induction was achieved by inflating the balloon at 0.45 ml/min for 1
min. Plasma, kidney and liver tissue were collected for analysis. RESULTS: Slow
BD induction led to higher plasma creatinine at all time points compared to fast
induction. Furthermore, slow induction led to increased renal mRNA expression of
IL-6, and renal MDA values after 4 h of BD compared to fast induction. Hepatic
mRNA expression of TNF-alpha, Bax/Bcl-2, and protein expression of caspase-3 was
significantly higher due to slow induction after 4 h of BD compared to fast
induction. PMN infiltration was not different between fast and slow induction in
both renal and hepatic tissue. CONCLUSION: Slow induction of BD leads to poorer
renal function compared to fast induction. Renal inflammatory and oxidative
stress markers were increased. Liver function was not affected by speed of BD
induction but hepatic inflammatory and apoptosis markers increased significantly
due to slow induction compared to fast induction. These results provide initial
proof that speed of BD induction influences detrimental renal and hepatic
processes which could signify different donor management strategies for patients
progressing to BD at different speeds.
PMID- 27193127
TI - Endoscopic Aspiration of a Cystic Midbrain Tumour through the 4th Ventricle.
PMID- 27193124
TI - V-akt murine thymoma viral oncogene homolog 3 (AKT3) contributes to poor disease
outcome in humans and mice with pneumococcal meningitis.
AB - Pneumococcal meningitis is the most common and severe form of bacterial
meningitis. Fatality rates are substantial, and long-term sequelae develop in
about half of survivors. Here, we have performed a prospective nationwide genetic
association study using the Human Exome BeadChip and identified gene variants in
encoding dynactin 4 (DCTN4), retinoic acid early transcript 1E (RAET1E), and V
akt murine thymoma viral oncogene homolog 3 (AKT3) to be associated with
unfavourable outcome in patients with pneumococcal meningitis. No clinical
replication cohort is available, so we validated the role of one of these
targets, AKT3, in a pneumococcal meningitis mouse model. Akt3 deficient mice had
worse survival and increased histopathology scores for parenchymal damage
(infiltration) and vascular infiltration (large meningeal artery inflammation)
but similar bacterial loads, cytokine responses, compared to wild-type mice. We
found no differences in cerebrospinal fluid cytokine levels between patients with
risk or non-risk alleles. Patients with the risk genotype (rs10157763, AA)
presented with low scores on the Glasgow Coma Scale and high rate of epileptic
seizures. Thus, our results show that AKT3 influences outcome of pneumococcal
meningitis.
PMID- 27193129
TI - Symptomatic capillary telangiectasia of the pons: three pediatric cases diagnosed
by suspectibility-weighted imaging.
AB - INTRODUCTION: Brain capillary telangiectasias (BCT) are small, clinically benign,
usually asymptomatic and rarely seen lesions during childhood. Large symptomatic
BCT during childhood are extremely rare, with only a few reports in the
literature. They usually cannot be detected easily on conventional magnetic
resonance imaging and angiography. METHODS: We present the first pediatric case
series of large pontine BCT presenting with distinct symptoms and in whom the
lesions were detected by susceptibility-weighted imaging (SWI), for the first
time to date. SWI provides a crucial imaging modality for BCT. RESULTS: We
suggest that the combination of signal intensity loss on SWI and focal
enhancement in a lesion otherwise not seen on conventional MR images is
diagnostic for BCT and serves to discard serious differential diagnoses with high
specificity, reassuring patients and referring physicians. CONCLUSION: We would
like to emphasize clinical and radiological findings of BCT and utility of SWI
images on MRI for the confirmation of diagnosis in the pediatric age group.
PMID- 27193128
TI - Scope of practice and outcomes of cerebrovascular procedures in children.
AB - PURPOSE: The impact of scope of practice of providers (predominantly adult versus
predominantly pediatric) on the outcomes of cerebrovascular procedures in
children remains an issue of debate. We investigated the association of scope of
practice with the outcomes of cerebrovascular interventions. METHODS: We
performed a cohort study of all pediatric patients (younger than 18 years old)
who underwent cerebrovascular procedures from 2009 to 2013 and were registered in
the Statewide Planning and Research Cooperative System (SPARCS) database. In
order to control for confounding, we used propensity score conditioning and mixed
effects analysis to account for clustering at the hospital level. RESULTS: During
the study period, there were 1243 pediatric patients who underwent
cerebrovascular procedures and met the inclusion criteria. Of these, 631 (50.7 %)
underwent treatment by providers with predominantly adult practices and 612 (49.3
%) by physicians who operated predominantly on children. The mixed-effects
multivariable regression analysis demonstrated lack of association of
predominantly adult practice with inpatient mortality (OR, 1.20; 95 % CI, 0.61
2.38), discharge to a facility (OR, 1.50; 95 % CI, 0.73-3.09), and length of stay
(LOS) (adjusted difference, 0.003; 95 % CI, -0.09 to 0.10). These associations
persisted in propensity-adjusted models. CONCLUSIONS: In a cohort of pediatric
patients undergoing cerebrovascular procedures from a comprehensive all-payer
database, we did not demonstrate a difference in mortality, discharge to a
facility, and LOS between providers with predominantly adult and predominantly
pediatric practices.
PMID- 27193130
TI - Clinical and pathologic features of patients with non-epithelial ovarian cancer:
retrospective analysis of a single institution 15-year experience.
AB - PURPOSE: Non-epithelial ovarian cancers (NEOCs) are rare diseases. Despite their
overall good prognosis, the best management and current prognostic factors remain
unclear. The objective of our study was to assess the clinical and pathological
features of NEOC patients treated in our institution in the last 15 years and to
explore risk factors for relapse and survival. METHODS/PATIENTS: All patients
with a pathological diagnosis of NEOC referred to the medical oncology department
at Hospital Universitario Virgen del Rocio between 1999 and 2014 were included.
Demographics, tumor characteristics, treatment procedures, and clinical follow-up
were retrospectively collected. Risk factors for disease-free survival (DFS) and
overall survival (OS) were assessed. RESULTS: Fifty-seven patients were included,
33 (58 %) had a sex cord-stromal tumor (SCST) and 24 (42 %) had a germ-cell tumor
(GCT). Median age, non-conservative surgery rates and DFS were lower in the GCT
cohort; however, salvage chemotherapy led to a high proportion of complete
responses in this group translating into a 90 % 3-year OS rate in both NEOC
subtypes. The only identified risk factors statistically significant were stage
and tumour relapse that associated, respectively, with DFS (HR = 8.84; 95 % CI
1.85-42) and OS (HR = 11.02; 95 % CI 1.76-68.7). CONCLUSIONS: Despite their
rarity, NEOCs remain a highly curable group of neoplasm. In our series, a more
conservative treatment approach in ovarian GCTs revealed comparable OS outcomes
to SCST. No new risk factors that would help in patient stratification were
identified.
PMID- 27193132
TI - Catching a Deceiver in the Act: Processes Underlying Deception in an Interactive
Interview Setting.
AB - Lying is known to evoke stress and cognitive load. Both form cues to deception
and lead to an increase in sympathetic nervous system (SNS) activity. But in
reality, deceivers stick to the truth most the time and only lie occasionally.
The present study therefore examined in an interactive suspect interview setting,
whether deceivers still have clearly diverging cognitive and emotional processes
from truth tellers when only having the intention to lie incidentally. We found
that deceivers who lied constantly diverge from truth tellers in SNS activity,
self-reported cognitive load and stress. Across all interviews, SNS activity
correlated stronger with self-reports of cognitive load than stress, which
supports the cognitive load approach. Furthermore, deceivers who told the truth
and lied on only one crucial question, particularly diverged in self-reported
stress from truth-tellers. In terms of SNS activity and self-reported cognitive
load, no differences were found. Theoretical and practical implications are
discussed.
PMID- 27193131
TI - Extracorporeal carbon dioxide removal (ECCO2R) in respiratory deficiency and
current investigations on its improvement: a review.
AB - The implementation of extracorporeal carbon dioxide removal (ECCO2R) as one of
the extracorporeal life support system is getting more attention today. Thus, the
objectives of this paper are to study the clinical practice of commercial ECCO2R
system, current trend of its development and also the perspective on future
improvement that can be done to the existing ECCO2R system. The strength of this
article lies in its review scope, which focuses on the commercial ECCO2R therapy
in the market based on membrane lung and current investigation to improve the
efficiency of the ECCO2R system, in terms of surface modification by carbonic
anhydrase (CA) immobilization technique and respiratory electrodialysis (R-ED).
Our methodology approach involves the identification of relevant published
literature from PubMed and Web of Sciences search engine using the terms
Extracorporeal Carbon Dioxide Removal (ECCO2R), Extracorporeal life support, by
combining terms between ECCO2R and CA and also ECCO2R with R-ED. This
identification only limits articles in English language. Overall, several
commercial ECCO2R systems are known and proven safe to be used in patients in
terms of efficiency, safety and risk of complication. In addition, CA-modified
hollow fiber for membrane lung and R-ED are proven to have good potential to be
applied in conventional ECCO2R design. The detailed technique and current
progress on CA immobilization and R-ED development were also reviewed in this
article.
PMID- 27193133
TI - Evidence for potential involvement of pro-inflammatory adipokines in the
pathogenesis of idiopathic intracranial hypertension.
AB - Background Although specific role players are currently unknown, contribution of
inflammatory mediators has been suggested in the pathophysiology of idiopathic
intracranial hypertension (IIH), which is a disease more prevalent in obese
female individuals of childbearing age. We aimed to investigate the levels of
adipokines and cytokines to demonstrate possible markers for inflammation that
participate in IIH pathophysiology and their association with clinical features
of IIH. Methods IIH patients, diagnosed according to the revised criteria, and
age-, gender- and body mass index (BMI)-matched healthy controls were enrolled in
this study. Serum samples were evaluated for insulin-like growth factor 1,
insulin, nesfatin, adiponectin, interleukin (IL)-1beta, IL-6, IL-8, leptin,
plasminogen activator inhibitor type-1, resistin, tumour necrosis factor-alpha
(TNF-alpha) and monocyte chemotactic protein 1 via enzyme-linked immunosorbent
assay or multiplex immunoassays. Results IL-1beta level was significantly higher
( p = 0.012), and IL-8 and TNF-alpha levels were significantly lower in the IIH
group ( p < 0.001 and p = 0.008, respectively) compared to the control group.
There were no correlations between the cytokine/adipokine levels and age, BMI,
disease duration, and cerebrospinal fluid oligoclonal bands. There were also no
significant differences in cytokine and adipokine levels between IIH patients
regarding visual impairment. However, statistically significant differences were
found between IIH patients with relapse versus healthy controls regarding IL
1beta ( p = 0.007), IL-8 ( p = 0.001) and TNF-alpha ( p = 0.017) levels. Other
investigated cytokines and adipokines showed no significant alterations in IIH
patients investigated in the remission period. Conclusion Altered serum levels of
IL-1beta, IL-8 and TNF-alpha seem to be associated with IIH pathogenesis, and
these cytokines may be used as prognostic markers in IIH to predict relapse.
PMID- 27193134
TI - Platelet Activating Factor (PAF) Receptor Deletion or Antagonism Attenuates
Severe HSV-1 Meningoencephalitis.
AB - Herpes simplex virus type 1 (HSV-1) is a human pathogen that may cause severe
encephalitis. The exacerbated immune response against the virus contributes to
the disease severity and death. Platelet activating factor (PAF) is a mediator
capable of inducing increase in vascular permeability, production of cytokines on
endothelial cells and leukocytes. We aimed to investigate the activation of PAF
receptor (PAFR) and its contribution to the severity of the inflammatory response
in the brain following HSV-1 infection. C57BL/6 wild-type (WT) and PAFR deficient
(PAFR-/-) mice were inoculated intracranially with 104 plaque-forming units (PFU)
of HSV-1. Visualization of leukocyte recruitment was performed using intravital
microscopy. Cells infiltration in the brain tissue were analyzed by flow
cytometry. Brain was removed for chemokine assessment by ELISA and for
histopathological analysis. The pharmacological inhibition by the PAFR antagonist
UK-74,505 was also analyzed. In PAFR-/- mice, there was delayed lethality but no
difference in viral load. Histopathological analysis of infected PAFR-/- mice
showed that brain lesions were less severe when compared to their WT
counterparts. Moreover, PAFR-/- mice showed less TCD4+, TCD8+ and macrophages in
brain tissue. This reduction of the presence of leukocytes in parenchyma may be
mechanistically explained by a decrease in leukocytes rolling and adhesion. PAFR
/- mice also presented a reduction of the chemokine CXCL9 in the brain. In
addition, by antagonizing PAFR, survival of C57BL/6 infected mice increased.
Altogether, our data suggest that PAFR plays a role in the pathogenesis of
experimental HSV-1 meningoencephalitis, and its blockade prevents severe disease
manifestation.
PMID- 27193136
TI - An evidence-based resource for the management of comorbidities associated with
childhood overweight and obesity.
AB - PURPOSE: Overweight and obesity in children and adolescents is often accompanied
by obesity-related comorbidities. An integrative review of the literature was
performed to create a comprehensive algorithm to help primary care providers
manage the common comorbidities associated with childhood overweight and obesity.
DATA SOURCES: The Cumulative Index to Nursing and Allied Health Literature,
ProQuest Nursing and Allied Health Source, and PubMed databases were searched.
Evidence from 2002 to present was reviewed. Guidelines and algorithms from the
American Academy of Pediatrics, National Association of Pediatric Nurse
Practitioners, American Heart Association, American Diabetes Association, Centers
for Disease Control and Prevention, National Heart, Lung, and Blood Institute,
Agency for Healthcare and Research Quality, U.S. Department of Health and Human
Services, and the International Diabetes Federation were also reviewed.
CONCLUSIONS: Key information was extracted and data sources ranked according to
the Polit and Beck evidence hierarchy. Highest level evidence guided the
selection and development of recommendations to formulate a comprehensive
resource for the recognition and management of pediatric hypertension, sleep
apnea, vitamin D deficiency, nonalcoholic fatty liver disease, dyslipidemia,
thyroid disease, diabetes mellitus, insulin resistance, metabolic syndrome, and
polycystic ovarian syndrome. IMPLICATIONS FOR PRACTICE: The Childhood Overweight
and Obesity Comorbidities Resource provides a consistent, convenient point-of
care reference to help primary care providers improve pediatric health outcomes.
PMID- 27193135
TI - Effectiveness of non invasive external pelvic compression: a systematic review of
the literature.
AB - INTRODUCTION: Pelvic fractures might carry a significant risk of bleeding. A wide
variety of pelvic binders together with pelvic sheets are available and offer an
adjunct to the initial management of poly-trauma patients with pelvic injuries.
These devices are collectively referred to as pelvic circumferential compression
devices (PCCDs). The aim of this study was to review the literature for evidence
pertinent to the efficacy and safety of PCCDs. METHODS: Using the PRISMA
guidelines a systematic search on PubMed, Web of Science, CINAHL, Embase and
Scopus was carried out. Articles included were in English language and published
between 1999 and 2015. Studies included were appraised with narrative data
synthesis. RESULTS: Seven articles addressed mechanical properties of non
invasive external mechanical devices, six articles focused on physiological
aspects, and three studies evaluated the pressure characteristics of these
devices. We found 4 case reports regarding adverse effects. None of the studies
identified addressed the cost effectiveness or pain relief issues related to the
use of PCCDs. CONCLUSIONS: Based on available literature, PCCDs are widely used
in the initial management of patients with suspected pelvic bleeding. There is
evidence to suggest that external compression reduces disrupted pelvic rings.
There are some complications reported following application of PCCDs. Hemorrhagic
source and physiological effectiveness of PCCDs needs to be addressed in future
studies. In the meantime judicious application of PCCDs will continue to be
recommended.
PMID- 27193137
TI - The influence of the commensal and pathogenic gut microbiota on prion disease
pathogenesis.
AB - Prion diseases are a unique group of transmissible, chronic, neurodegenerative
disorders. Following peripheral exposure (e.g. oral), prions often accumulate
first within the secondary lymphoid tissues before they infect the central
nervous system (CNS). Prion replication within secondary lymphoid tissues is
crucial for the efficient spread of disease to the CNS. Once within the CNS, the
responses of innate immune cells within it can have a significant influence on
neurodegeneration and disease progression. Recently, there have been substantial
advances in our understanding of how cross-talk between the host and the vast
community of commensal microorganisms present at barrier surfaces such as the gut
influences the development and regulation of the host's immune system. These
effects are evident not only in the mucosal immune system in the gut, but also in
the CNS. The actions of this microbial community (the microbiota) have many
important beneficial effects on host health, from metabolism of nutrients and
regulation of host development to protection from pathogen infection. However,
the microbiota can also have detrimental effects in some circumstances. In this
review we discuss the many and varied interactions between prions, the host and
the gut microbiota. Particular emphasis is given to the ways by which changes to
the composition of the commensal gut microbiota or congruent pathogen infection
may influence prion disease pathogenesis and/or disease susceptibility.
Understanding how these factors influence prion pathogenesis and disease
susceptibility is important for assessing the risk to infection and the design of
novel opportunities for therapeutic intervention.
PMID- 27193138
TI - Immunopathology of lung diseases: introduction for the special issue.
PMID- 27193140
TI - [Not Available].
PMID- 27193139
TI - Low temperature caused modifications in the arrangement of cell wall pectins due
to changes of osmotic potential of cells of maize leaves (Zea mays L.).
AB - The cell wall emerged as one of the important structures in plant stress
responses. To investigate the effect of cold on the cell wall properties, the
content and localization of pectins and pectin methylesterase (PME) activity,
were studied in two maize inbred lines characterized by different sensitivity to
cold. Low temperature (14/12 degrees C) caused a reduction of pectin content and
PME activity in leaves of chilling-sensitive maize line, especially after
prolonged treatment (28 h and 7 days). Furthermore, immunocytohistological
studies, using JIM5 and JIM7 antibodies, revealed a decrease of labeling of both
low- and high-methylesterified pectins in this maize line. The osmotic potential,
quantified by means of incipient plasmolysis was lower in several types of cells
of chilling-sensitive maize line which was correlated with the accumulation of
sucrose. These studies present new finding on the effect of cold stress on the
cell wall properties in conjunction with changes in the osmotic potential of
maize leaf cells.
PMID- 27193141
TI - Decoupling optical function and geometrical form using conformal flexible
dielectric metasurfaces.
AB - Physical geometry and optical properties of objects are correlated: cylinders
focus light to a line, spheres to a point and arbitrarily shaped objects
introduce optical aberrations. Multi-functional components with decoupled
geometrical form and optical function are needed when specific optical
functionalities must be provided while the shapes are dictated by other
considerations like ergonomics, aerodynamics or aesthetics. Here we demonstrate
an approach for decoupling optical properties of objects from their physical
shape using thin and flexible dielectric metasurfaces which conform to objects'
surface and change their optical properties. The conformal metasurfaces are
composed of silicon nano-posts embedded in a polymer substrate that locally
modify near-infrared (lambda=915 nm) optical wavefronts. As proof of concept, we
show that cylindrical lenses covered with metasurfaces can be transformed to
function as aspherical lenses focusing light to a point. The conformal
metasurface concept is highly versatile for developing arbitrarily shaped multi
functional optical devices.
PMID- 27193145
TI - Corrigendum.
AB - Nikopensius T, Saag M, Jagomagi T, Annilo T, Kals M, Kivistik PA, Milani L,
Metspalu A. 2013. A missense mutation in DUSP6 is associated with Class III
malocclusion. J Dent Res. 92(10):893-898. (Original DOI:
10.1177/0022034513502790).
PMID- 27193144
TI - Oncological outcomes of patients with incidental pathological T3a stage small
renal cell carcinoma after partial nephrectomy.
AB - PURPOSE: This study was designed to evaluate and compare the oncological outcomes
of patients with pathological T1a (pT1a) small renal cell carcinomas (RCCs) with
those with incidental pathological T3a (pT3a) RCCs who have been treated using
partial nephrectomy (PN). METHODS: We retrospectively evaluated the records of
1367 consecutive patients who underwent PN for small RCCs (<=4 cm) between 1997
and 2014. The curves for recurrence-free, cancer-specific, and overall survival
were estimated using the Kaplan-Meier method. Cox regression analysis was used to
estimate the prognostic significance of each variable. RESULTS: Of the 1367 small
RCC patients identified, 1324 (96.8 %) had pT1a lesions and 43 (3.2 %) had pT3a
lesions. The median ages of the pT1a and pT3a patients were 53.9 and 58.1 years,
respectively. Patients received follow-up for a median of 54 months. The 5- and
10-year RFS rates in patients with pT1a and pT3a RCCs were 98.0 and 95.2 %, and
94.4 and 95.2 %, respectively (P = 0.521). None of the patients with recurrent
tumors in the pT3a group have died by the time of the writing of this report. A
multivariate Cox proportional hazards model showed that tumor size was a
significant predictor of RFS and CSS (P < 0.05). However, pT stage (pT3a vs.
pT1a) was not a significant predictor of RFS, CSS, or OS (P = 0.104, P = 0.573,
and P = 0.441, respectively). CONCLUSIONS: Our study found that pT3a stage
disease following PN for small RCCs (<=4 cm) had similar oncological outcomes to
those of pT1a stage.
PMID- 27193142
TI - Diverse mechanisms shape the evolution of virulence factors in the potato late
blight pathogen Phytophthora infestans sampled from China.
AB - Evolution of virulence in plant pathogens is still poorly understood but the
knowledge is important for the effective use of plant resistance and sustainable
disease management. Spatial population dynamics of virulence, race and SSR
markers in 140 genotypes sampled from seven geographic locations in China were
compared to infer the mechanisms driving the evolution of virulence in
Phytophthora infestans (P. infestans). All virulence types and a full spectrum of
race complexity, ranging from the race able to infect the universally susceptible
cultivar only to all differentials, were detected. Eight and two virulence
factors were under diversifying and constraining selection respectively while no
natural selection was detected in one of the virulence types. Further analyses
revealed excesses in simple and complex races but deficiency in intermediate race
and negative associations of annual mean temperature at the site from which
pathogen isolates were collected with frequency of virulence to differentials and
race complexity in the pathogen populations. These results suggest that host
selection may interact with other factors such as climatic conditions in
determining the evolutionary trajectory of virulence and race structure in P.
infestans and global warming may slow down the emergence of new virulence in the
pathogen.
PMID- 27193147
TI - CORR Insights(r): Which Sport Activity Levels Are Achieved in Patients After
Resection and Endoprosthetic Reconstruction for a Proximal Femur Bone Sarcoma?
PMID- 27193146
TI - First Cultivation of Health-Associated Tannerella sp. HOT-286 (BU063).
AB - Despite significant advances in recent years in culture-independent molecular
microbiology methods, the detailed study of individual bacterial species still
relies on having pure cultures in the laboratory. Yet, more than a third of the
approximately 700 bacterial taxa found in the human oral cavity are as yet
uncultivated in vitro. One such taxon, Tannerella sp. HOT-286 (phylotype BU063),
is the focus of much interest since it is associated with periodontal health,
while Tannerella forsythia, its closest phylogenetic neighbor, is strongly
associated with periodontal disease. HOT-286, however, has remained uncultivated
despite the efforts of several research groups, spanning over a decade. The aim
of this study was to cultivate Tannerella sp. HOT-286. A heavily diluted sample
of subgingival plaque was inoculated onto culture plates supplemented with
siderophores (pyoverdines-Fe complex or desferricoprogen) or a neat plaque
suspension. After 8 d of anaerobic incubation, microcolonies and colonies showing
satellitism were passaged onto fresh culture plates cross-streaked with potential
helper strains or onto cellulose-acetate membranes placed over lawn cultures of
helper strains. Subcultured colonies were identified by 16S rRNA gene sequencing,
and purity was confirmed by sequencing 20 clones per library prepared from a
single colony. Three colonies of interest (derived from pyoverdines- and plaque
supplemented plates) were identified as Tannerella sp. HOT-286. The isolates were
found to be incapable of independent growth, requiring helpers such as
Propionibacterium acnes and Prevotella intermedia for stimulation, with best
growth on membranes over "helper" lawns. A representative isolate was subjected
to phenotypic characterization and found to produce a range of glycosidic and
proteolytic enzymes. Further comparison of this novel "periodontal health
associated" taxon with T. forsythia will be valuable in investigating virulence
factors of the latter and possible health benefits of the former.
PMID- 27193148
TI - Safer Operations, But Worse Surgeons?
PMID- 27193149
TI - CORR Insights((r)): Modular to Monoblock: Difficulties of Detaching the M(2)a
Magnum(TM) Head Are Common in Metal-on-metal Revisions.
PMID- 27193150
TI - CORR Insights(r): Length of Endoprosthetic Reconstruction in Revision Knee
Arthroplasty Is Associated With Complications and Reoperations.
PMID- 27193151
TI - Human aqueous humor proteome in cataract, glaucoma, and pseudoexfoliation
syndrome.
AB - Twenty-nine human aqueous humor samples from patients with eye diseases such as
cataract and glaucoma with and without pseudoexfoliation syndrome were
characterized by LC-high resolution MS analysis. In total, 269 protein groups
were identified with 1% false discovery rate including 32 groups that were not
reported previously for this biological fluid. Since the samples were analyzed
individually, but not pooled, 36 proteins were identified in all samples,
comprising the constitutive proteome of the fluid. The most dominant molecular
function of aqueous humor proteins as determined by GO analysis is endopeptidase
inhibitor activity. Label-free protein quantification showed no significant
difference between glaucoma and cataract aqueous humor proteomes. At the same
time, we found decrease in the level of apolipoprotein D as a marker of the
pseudoexfoliation syndrome. The data are available from ProteomeXchange
repository (PXD002623).
PMID- 27193152
TI - Mechanical roles of apical constriction, cell elongation, and cell migration
during neural tube formation in Xenopus.
AB - Neural tube closure is an important and necessary process during the development
of the central nervous system. The formation of the neural tube structure from a
flat sheet of neural epithelium requires several cell morphogenetic events and
tissue dynamics to account for the mechanics of tissue deformation. Cell
elongation changes cuboidal cells into columnar cells, and apical constriction
then causes them to adopt apically narrow, wedge-like shapes. In addition, the
neural plate in Xenopus is stratified, and the non-neural cells in the deep layer
(deep cells) pull the overlying superficial cells, eventually bringing the two
layers of cells to the midline. Thus, neural tube closure appears to be a complex
event in which these three physical events are considered to play key mechanical
roles. To test whether these three physical events are mechanically sufficient to
drive neural tube formation, we employed a three-dimensional vertex model and
used it to simulate the process of neural tube closure. The results suggest that
apical constriction cued the bending of the neural plate by pursing the
circumference of the apical surface of the neural cells. Neural cell elongation
in concert with apical constriction further narrowed the apical surface of the
cells and drove the rapid folding of the neural plate, but was insufficient for
complete neural tube closure. Migration of the deep cells provided the additional
tissue deformation necessary for closure. To validate the model, apical
constriction and cell elongation were inhibited in Xenopus laevis embryos. The
resulting cell and tissue shapes resembled the corresponding simulation results.
PMID- 27193155
TI - Higher Serum Bisphenol A Levels in Diabetic Hemodialysis Patients.
AB - BACKGROUND: Bisphenol A (BPA) has been implicated as an 'endocrine disruptor'. We
aimed at exploring the association between serum BPA levels and patient
characteristics, particularly the presence of diabetes mellitus, and laboratory
parameters in hemodialysis patients. METHODS: This study included 47 chronic
hemodialysis patients. Patient characteristics were recorded. Blood was drawn
before and after hemodialysis session. Serum BPA levels were measured by the high
performance-liquid-chromatography and laboratory parameters were measured by
using standard methods. RESULTS: In hemodialysis patients, postdialysis serum BPA
levels were significantly higher than predialysis after a single hemodialysis
session (5.57 +/- 1.2 vs. 4.06 +/- 0.73, p < 0.0001). Predialysis serum BPA
levels were significantly higher in patients with diabetes than non-diabetics
(4.4 +/- 0.6 vs. 3.9 +/- 0.7, p = 0.025). No association was found between serum
BPA levels and patient characteristics, and particularly laboratory parameters.
CONCLUSION: Serum BPA levels were rising significantly after a single dialysis
session. Diabetic hemodialysis patients had higher predialysis serum BPA levels.
PMID- 27193154
TI - High Nutrient Concentration Can Induce Virulence Factor Expression and Cause
Higher Virulence in an Environmentally Transmitted Pathogen.
AB - Environmentally transmitted opportunistic pathogens shuttle between two
substantially different environments: outside-host and within-host habitats.
These environments differ from each other especially with respect to nutrient
availability. Consequently, the pathogens are required to regulate their behavior
in response to environmental cues in order to survive, but how nutrients control
the virulence in opportunistic pathogens is still poorly understood. In this
study, we examined how nutrient level in the outside-host environment affects the
gene expression of putative virulence factors of the opportunistic fish pathogen
Flavobacterium columnare. The impact of environmental nutrient concentration on
bacterial virulence was explored by cultivating the bacteria in various nutrient
conditions, measuring the gene expression of putative virulence factors with RT
qPCR and, finally, experimentally challenging rainbow trout (Oncorhynchus mykiss)
fry with these bacteria. Our results show that increased environmental nutrient
concentration can increase the expression of putative virulence genes,
chondroitinase (cslA) and collagenase, in the outside-host environment and may
lead to more rapid fish mortality. These findings address that the environmental
nutrients may act as significant triggers of virulence gene expression and
therefore contribute to the interaction between an environmentally transmitted
opportunistic pathogen and its host.
PMID- 27193153
TI - A lumped stiffness model of intermuscular and extramuscular myofascial pathways
of force transmission.
AB - Mechanical behavior of skeletal muscles is commonly modeled under the assumption
of mechanical independence between individual muscles within a muscle group.
Epimuscular myofascial force transmission via the connective tissue network
surrounding a muscle challenges this assumption as it alters the force
distributed to the tendons of individual muscles. This study aimed to derive a
lumped estimate of stiffness of the intermuscular and extramuscular connective
tissues and to assess changes in such stiffness in response to a manipulation of
the interface between adjacent muscles. Based on in situ measurements of force
transmission in the rat plantar flexors, before and after resection of their
connective tissue network, a nonlinear estimate of epimuscular myofascial
stiffness was quantified and included in a multi-muscle model with lumped
parameters which allows for force transmission depending on the relative position
between the muscles in the group. Such stiffness estimate was assessed for a
group with normal intermuscular connective tissues and for a group with increased
connectivity, mimicking scar tissue development. The model was able to
successfully predict the amount of epimuscular force transmission for different
experimental conditions than those used to obtain the model parameters. The
proposed nonlinear stiffness estimates of epimuscular pathways could be
integrated in larger musculoskeletal models, to provide more accurate predictions
of force when effects of mechanical interaction or altered epimuscular
connections, e.g. after surgery or injury, are substantial.
PMID- 27193156
TI - Clinical Pharmacokinetics and Pharmacodynamics of Ledipasvir/Sofosbuvir, a Fixed
Dose Combination Tablet for the Treatment of Hepatitis C.
AB - Ledipasvir/sofosbuvir (Harvoni(r)), a fixed-dose combination tablet of an NS5A
inhibitor ledipasvir and an NS5B polymerase inhibitor sofosbuvir, is approved in
the US, European Union, Canada, and other regions for the treatment of chronic
hepatitis C virus infection in adults. Following absorption, ledipasvir reaches
maximum plasma concentrations (T max) 4-4.5 h post-dose and is eliminated with a
terminal half-life (t 1/2) of 47 h. Sofosbuvir undergoes intracellular activation
to an active triphosphate GS-461203 (not detected in plasma) and ultimately to GS
331007, a predominant circulating metabolite, which is the primary analyte of
interest in clinical pharmacology studies. Sofosbuvir is rapidly absorbed and
eliminated from plasma (T max: 0.8-1 h; t 1/2: 0.5 h). The peak plasma
concentrations for GS-331007 are achieved between 3.5 and 4 h post-dose; the
elimination t 1/2 for GS-331007 is 27 h. Ledipasvir/sofosbuvir exhibits a
favorable clinical pharmacology profile; it can be administered once daily
without regard to food and does not require dose modification in hepatitis C
virus-infected patients with any degree of hepatic impairment or mild to moderate
renal impairment. The pharmacokinetic profiles of ledipasvir, sofosbuvir, and GS
331007 (predominant circulating metabolite of sofosbuvir) are not significantly
affected by demographic variables; pharmacokinetic/pharmacodynamic analyses
reveal no exposure-response relationships for efficacy or safety. The review
summarizes the clinical pharmacokinetics, pharmacodynamics, and
pharmacokinetic/pharmacodynamic analyses for ledipasvir/sofosbuvir.
PMID- 27193157
TI - Presenting GECO: An eyetracking corpus of monolingual and bilingual sentence
reading.
AB - This article introduces GECO, the Ghent Eye-Tracking Corpus, a monolingual and
bilingual corpus of the eyetracking data of participants reading a complete
novel. English monolinguals and Dutch-English bilinguals read an entire novel,
which was presented in paragraphs on the screen. The bilinguals read half of the
novel in their first language, and the other half in their second language. In
this article, we describe the distributions and descriptive statistics of the
most important reading time measures for the two groups of participants. This
large eyetracking corpus is perfectly suited for both exploratory purposes and
more directed hypothesis testing, and it can guide the formulation of ideas and
theories about naturalistic reading processes in a meaningful context. Most
importantly, this corpus has the potential to evaluate the generalizability of
monolingual and bilingual language theories and models to the reading of long
texts and narratives. The corpus is freely available at
http://expsy.ugent.be/downloads/geco .
PMID- 27193158
TI - ASL-LEX: A lexical database of American Sign Language.
AB - ASL-LEX is a lexical database that catalogues information about nearly 1,000
signs in American Sign Language (ASL). It includes the following information:
subjective frequency ratings from 25-31 deaf signers, iconicity ratings from 21
37 hearing non-signers, videoclip duration, sign length (onset and offset),
grammatical class, and whether the sign is initialized, a fingerspelled loan
sign, or a compound. Information about English translations is available for a
subset of signs (e.g., alternate translations, translation consistency). In
addition, phonological properties (sign type, selected fingers, flexion, major
and minor location, and movement) were coded and used to generate sub-lexical
frequency and neighborhood density estimates. ASL-LEX is intended for use by
researchers, educators, and students who are interested in the properties of the
ASL lexicon. An interactive website where the database can be browsed and
downloaded is available at http://asl-lex.org .
PMID- 27193160
TI - One algorithm to rule them all? An evaluation and discussion of ten eye movement
event-detection algorithms.
AB - Almost all eye-movement researchers use algorithms to parse raw data and detect
distinct types of eye movement events, such as fixations, saccades, and pursuit,
and then base their results on these. Surprisingly, these algorithms are rarely
evaluated. We evaluated the classifications of ten eye-movement event detection
algorithms, on data from an SMI HiSpeed 1250 system, and compared them to manual
ratings of two human experts. The evaluation focused on fixations, saccades, and
post-saccadic oscillations. The evaluation used both event duration parameters,
and sample-by-sample comparisons to rank the algorithms. The resulting event
durations varied substantially as a function of what algorithm was used. This
evaluation differed from previous evaluations by considering a relatively large
set of algorithms, multiple events, and data from both static and dynamic
stimuli. The main conclusion is that current detectors of only fixations and
saccades work reasonably well for static stimuli, but barely better than chance
for dynamic stimuli. Differing results across evaluation methods make it
difficult to select one winner for fixation detection. For saccade detection,
however, the algorithm by Larsson, Nystrom and Stridh (IEEE Transaction on
Biomedical Engineering, 60(9):2484-2493,2013) outperforms all algorithms in data
from both static and dynamic stimuli. The data also show how improperly selected
algorithms applied to dynamic data misestimate fixation and saccade properties.
PMID- 27193159
TI - Sentiment Analysis and Social Cognition Engine (SEANCE): An automatic tool for
sentiment, social cognition, and social-order analysis.
AB - This study introduces the Sentiment Analysis and Cognition Engine (SEANCE), a
freely available text analysis tool that is easy to use, works on most operating
systems (Windows, Mac, Linux), is housed on a user's hard drive (as compared to
being accessed via an Internet interface), allows for batch processing of text
files, includes negation and part-of-speech (POS) features, and reports on
thousands of lexical categories and 20 component scores related to sentiment,
social cognition, and social order. In the study, we validated SEANCE by
investigating whether its indices and related component scores can be used to
classify positive and negative reviews in two well-known sentiment analysis test
corpora. We contrasted the results of SEANCE with those from Linguistic Inquiry
and Word Count (LIWC), a similar tool that is popular in sentiment analysis, but
is pay-to-use and does not include negation or POS features. The results
demonstrated that both the SEANCE indices and component scores outperformed LIWC
on the categorization tasks.
PMID- 27193161
TI - Investigations of metastable Ca2IrO4 epitaxial thin-films: systematic comparison
with Sr2IrO4 and Ba2IrO4.
AB - We have synthesized thermodynamically metastable Ca2IrO4 thin-films on YAlO3
(110) substrates by pulsed laser deposition. The epitaxial Ca2IrO4 thin-films are
of K2NiF4-type tetragonal structure. Transport and optical spectroscopy
measurements indicate that the electronic structure of the Ca2IrO4 thin-films is
similar to that of Jeff = 1/2 spin-orbit-coupled Mott insulator Sr2IrO4 and
Ba2IrO4, with the exception of an increased gap energy. The gap increase is to be
expected in Ca2IrO4 due to its increased octahedral rotation and tilting, which
results in enhanced electron-correlation, U/W. Our results suggest that the
epitaxial stabilization growth of metastable-phase thin-films can be used
effectively for investigating layered iridates and various complex-oxide systems.
PMID- 27193163
TI - A cross-sectional study of the association between mobility test performance and
personality among older adults.
AB - BACKGROUND: Falls among the elderly are a major public health challenge. The
Timed-Up and Go (TUG) test is commonly used to identify older adults with
mobility limitations. This study explored the association between TUG test
results and personality among community-dwelling older adults. METHODS: This
cross-sectional study included 85 older adults. Personality was evaluated with
the Five Factor Model. Times to complete the TUG as a single task (TUGST) alone
and also with an additional cognitive task i.e., dual-task (DT), were recorded.
Ordinary least squares OLS regression models were used to examine the
associations between personality factors and both single DT TUG. RESULTS:
Extraversion was found to be inversely associated with time to complete the TUGST
(beta = -.26, p < .05). Conscientiousness was inversely associated with TUGDT
(beta = -.24, p < .01). CONCLUSIONS: Findings from this study highlight the
relationship between personality and the TUG test. Specifically, older adults
with high Extraversion completed the TUGST test more quickly than those who had
lower measures of this trait and, people with high Conscientiousness completed
the TUGDT tests more quickly. These findings may contribute to early
identification of older adults at higher risk from mobility limitations and
falls, and to developing personality-tailored interventions for fall prevention.
PMID- 27193164
TI - Cell surface glycans in the human stratum corneum: distribution and depth-related
changes.
AB - During the formation of the stratum corneum (SC) barrier, the extracellular
spaces of viable epidermis, rich in glycans, are filled with a highly organized
lipid matrix and the plasma membranes of keratinocytes are replaced by cornified
lipid envelopes. These structures comprise cross-linked proteins, including
transmembrane glycoproteins and proteoglycans, covalently bound to a monolayer of
cell surface ceramides. Little is known about the presence and distribution of
glycans on the SC corneocytes despite their possible involvement in SC hydration,
cohesion and desquamation. In this work, we visualized ultrastructurally and
quantified the distribution of glycans on the surface of native and delipidated
corneocytes. The cells were harvested at different depths of the SC, allowing us
to define the relationship between the distribution of various glycans,
proteoglycans and glycoproteins, and other changes occurring in SC. At the cell
periphery, we found a correlation between the depth-related alterations of
corneodesmosome glycoproteins and alpha-d-mannosyl and N-acetyl-d-glucosamine
labelling patterns. Elimination of the terminal sugars, alpha-linked fucose and
alpha-(2,3) linked sialic acid, was less abrupt, but also the initial extent of
their peripheral distribution was overall lower than that of concanavalin A and
wheat germ agglutinin lectin-detected glycans. Diffuse labelling of heparan
sulphate glycosaminoglycans disappeared completely from the outermost
corneocytes, whereas that of several simple carbohydrates could be detected at
all SC levels. Our results suggest that specific glycan distribution may
participate in the progressive changes of SC, as it evolves from the SC compactum
to the SC disjunctum, towards desquamation.
PMID- 27193162
TI - Transvaginal uterosacral ligament hysteropexy: a retrospective feasibility study.
AB - INTRODUCTION AND HYPOTHESIS: Uterine-sparing procedures could be attractive in
patients concerned about preservation of fertility and change in corporeal image
and sexuality. Transvaginal uterosacral hysteropexy can provide an alternative
mesh-free technique for uterine suspension. This study aimed to evaluate the
feasibility of transvaginal uterine suspension to uterosacral ligaments in terms
of operative data, complications, midterm efficacy, and patient satisfaction.
MATERIALS AND METHODS: This retrospective study analyzed the first 20 cases of
transvaginal hysteropexy through bilateral high uterosacral ligaments (modified
Shull technique) performed in our Institution. RESULTS: Mean follow-up was 33.2
months. The procedure was performed in 84 +/- 19 min ,and blood loss was 228 +/-
139 ml. Three mild complications (15 %) were observed. Recurrence [Pelvic Organ
Prolapse Quantification system (POP-Q) stage >= II was observed in five patients
(25 %), and three of them (15 %) required reintervention. Mean Patient Global
Impression of Improvement score was "much improved." Two woman (40 %) who had not
fulfilled their childbearing desire obtained a pregnancy. Both underwent elective
caesarean section at term. CONCLUSIONS: Transvaginal uterosacral hysteropexy
appears a feasible mesh-free technique for apical support. This procedure can be
indicated in women with the desire of preserving fertility or who prefer a
uterine-sparing surgical option.
PMID- 27193165
TI - A partial trace amine-associated receptor 1 agonist exhibits properties
consistent with a methamphetamine substitution treatment.
AB - Recent evidence suggests that the trace amine-associated receptor 1 (TAAR1) plays
a pivotal role in the regulation of dopamine (DA) transmission and
psychostimulant action. Several selective TAAR1 agonists have previously shown
efficacy in models of cocaine addiction. However, the effects of TAAR1 activation
on methamphetamine (METH)-induced behaviours are less well understood, as indeed
are the underlying neurochemical mechanisms mediating potential interactions
between TAAR1 and METH. Here, in a progressive ratio schedule of reinforcement
the partial TAAR1 agonist, RO5263397, reduced the break-point for METH self
administration, while significantly increasing responding maintained by food
reward. Following self-administration and extinction training, RO5263397
completely blocked METH-primed reinstatement of METH seeking. Moreover, when used
as a substitute, unlike a low dose of METH, which sustained vigorous responding
when substituting for the training dose of METH, RO5263397 was not self
administered at any dose, thus exhibiting no apparent abuse liability. Fast-scan
cyclic voltammetry experiments showed that RO5263397 prevented METH-induced DA
overflow in slices of the nucleus accumbens, while having no effect on DA
transmission in its own right. Collectively, the present observations demonstrate
that partial TAAR1 activation decreases the motivation to self-administer METH,
blocks METH-primed reinstatement of METH seeking and prevents METH-induced DA
elevations in the nucleus accumbens, and strongly support the candidacy of TAAR1
based medications as potential substitute treatment in METH addiction.
PMID- 27193166
TI - BESTROPHIN1 mutations cause defective chloride conductance in patient stem cell
derived RPE.
AB - Bestrophin1 (BEST1) is expressed in human retinal pigment epithelium (RPE) and
mutations in the BEST1 gene commonly cause retinal dysfunction and macular
degeneration. BEST1 is presumed to assemble into a calcium-activated chloride
channel and be involved in chloride transport but there is no direct evidence in
live human RPE cells to support this idea. To test whether BEST1 functions as a
chloride channel in living tissue, BEST1-mutant RPE (R218H, L234P, A243T) were
generated from patient-derived induced pluripotent stem cells and compared with
wild-type RPE in a retinal environment, using a biosensor that visualizes calcium
induced chloride ion flux in the cell. Calcium stimulation elicited chloride ion
export in normal RPE but not in RPE derived from three patients with BEST1
mutations. These data, along with three-dimensional modeling, provide evidence
that BEST1 assembles into a key calcium-sensing chloride channel in human RPE.
PMID- 27193168
TI - Altered RNA metabolism due to a homozygous RBM7 mutation in a patient with spinal
motor neuropathy.
AB - The exosome complex is the most important RNA processing machinery within the
cell. Mutations in its subunits EXOSC8 and EXOSC3 cause pontocerebellar
hypoplasia, spinal muscular atrophy (SMA) and central nervous system
demyelination. We present a patient with SMA-like phenotype carrying a homozygous
mutation in RBM7-a subunit of the nuclear exosome targeting (NEXT) complex-which
is known to bind and carry specific subtypes of coding and non-coding RNAs to the
exosome. The NEXT complex with other protein complexes is responsible for the
substrate specificity of the exosome. We performed RNA-sequencing (RNA-seq)
analysis on primary fibroblasts of patients with mutations in EXOSC8 and RBM7 and
gene knock-down experiments using zebrafish as a model system. RNA-seq analysis
identified significantly altered expression of 62 transcripts shared by the two
patient cell lines. Knock-down of rbm7, exosc8 and exosc3 in zebrafish showed a
common pattern of defects in motor neurons and cerebellum. Our data indicate that
impaired RNA metabolism may underlie the clinical phenotype by fine tuning gene
expression which is essential for correct neuronal differentiation.
PMID- 27193169
TI - Molecular cloning and characterization of the MsHSP17.7 gene from Medicago sativa
L.
AB - Heat shock proteins (HSPs) are ubiquitous protective proteins that play crucial
roles in plant development and adaptation to stress, and the aim of this study is
to characterize the HSP gene in alfalfa. Here we isolated a small heat shock
protein gene (MsHSP17.7) from alfalfa by homology-based cloning. MsHSP17.7
contains a 477-bp open reading frame and encodes a protein of 17.70-kDa. The
amino acid sequence shares high identity with MtHSP (93.98 %), PsHSP17.1 (83.13
%), GmHSP17.9 (74.10 %) and SlHSP17.6 (79.25 %). Phylogenetic analysis revealed
that MsHSP17.7 belongs to the group of cytosolic class II small heat shock
proteins (sHSP), and likely localizes to the cytoplasm. Quantitative RT-PCR
indicated that MsHSP17.7 was induced by heat shock, high salinity, peroxide and
drought stress. Prokaryotic expression indicated that the salt and peroxide
tolerance of Escherichia coli was remarkably enhanced. Transgenic Arabidopsis
plants overexpressing MsHSP17.7 exhibited increased root length of transgenic
Arabidopsis lines under salt stress compared to the wild-type line. The
malondialdehyde (MDA) levels in the transgenic lines were significantly lower
than in wild-type, although proline levels were similar between transgenic and
wild-type lines. MsHSP17.7 was induced by heat shock, high salinity, oxidative
stress and drought stress. Overexpression analysis suggests that MsHSP17.7 might
play a key role in response to high salinity stress.
PMID- 27193167
TI - Oxygen consumption deficit in Huntington disease mouse brain under metabolic
stress.
AB - In vivo evidence for brain mitochondrial dysfunction in animal models of
Huntington disease (HD) is scarce. We applied the novel 17O magnetic resonance
spectroscopy (MRS) technique on R6/2 mice to directly determine rates of oxygen
consumption (CMRO2) and assess mitochondrial function in vivo Basal respiration
and maximal CMRO2 in the presence of the mitochondrial uncoupler dinitrophenol
(DNP) were compared using 16.4 T in isoflurane anesthetized wild type (WT) and HD
mice at 9 weeks. At rest, striatal CMRO2 of R6/2 mice was equivalent to that of
WT, indicating comparable mitochondrial output despite onset of motor symptoms in
R6/2. After DNP injection, the maximal CMRO2 in both striatum and cortex of R6/2
mice was significantly lower than that of WT, indicating less spare energy
generating capacity. In a separate set of mice, oligomycin injection to block ATP
generation decreased CMRO2 equally in brains of R6/2 and WT mice, suggesting
oxidative phosphorylation capacity and respiratory coupling were equivalent at
rest. Expression levels of representative mitochondrial proteins were compared
from harvested tissue samples. Significant differences between R6/2 and WT
included: in striatum, lower VDAC and the mitochondrially encoded cytochrome
oxidase subunit I relative to actin; in cortex, lower tricarboxylic acid cycle
enzyme aconitase and higher protein carbonyls; in both, lower glycolytic enzyme
enolase. Therefore in R6/2 striatum, lowered CMRO2 may be attributed to a
decrease in mitochondria while the cortical CMRO2 decrease may result from
constraints upstream in energetic pathways, suggesting regionally specific
changes and possibly rates of metabolic impairment.
PMID- 27193171
TI - Combined Intraoral and Endoscopic Approach for Malar Reduction.
PMID- 27193170
TI - Karyotype diversity suggests that Laonastes aenigmamus (Laotian rock rat)
(Rodentia, Diatomyidae) is a multi-specific genus.
AB - Laonastes aenigmamus (Khanyou) is a recently described rodent species living in
geographically separated limestone formations of the Khammuan Province in Lao
PDR. Chromosomes of 21 specimens of L. aenigmamus were studied using chromosome
banding as well as fluorescent in situ hybridization (FISH) techniques using
human painting, telomere repeats, and 28S rDNA probes. Four different karyotypes
were established. Study with human chromosome paints and FISH revealed that four
large chromosomes were formed by multiple common tandem fusions, with persistence
of some interstitial telomeres. The rearrangements separating the different
karyotypes (I to IV) were also reconstructed. Various combinations of
Robertsonian translocations or tandem fusions involving the same chromosomes
differentiate these karyotypes. These rearrangements create a strong gametic
barrier, which isolates specimens with karyotype II from the others. C-banding
and FISH with telomere repeats also exhibit large and systematized differences
between karyotype II and others. These data indicate an ancient reproductive
separation and suggest that Laonastes is not a mono-specific genus.
PMID- 27193172
TI - Achieving Ideal Lower Face Aesthetic Contours: Combination of Tridimensional Fat
Grafting to the Chin with Masseter Botulinum Toxin Injection.
AB - BACKGROUND: Lower face aesthetic contouring is in high demand among Asians with
wide and short faces desiring a slim lower face. For this purpose invasive
mandible angle reduction and genioplasty are complex procedures with significant
risks and downtime. Non-invasive botulinum toxin A injection into bilateral
masseters - while popular for lower face contouring - does not address facial
length deficiency in wide and short faces. Autologous chin fat grafting is a
simple minimally-invasive technique for facial lengthening. OBJECTIVES: We
present our experience pairing chin fat grafting and masseteric botulinum toxin
injection for effective lower face contouring. METHODS: Thirteen consecutive
patients with relatively wide and short faces underwent chin fat grafting and 1
to 3 serial masseteric botulinum toxin A injections. Mean follow up after final
intervention was 20 months (range, 6 months to 3 years). RESULTS: The
postoperative mean ratio of bigonial distance to total facial height improved
from 0.599 to 0.569 (P < .01), closer to the ideal ratio of 0.561. The mean ratio
of upper lip length to lower lip and chin length improved from 0.611 to 0.560 (P
< .01), nearing the ideal 0.542. Postoperative lateral profile in all was ideal.
There were no complications at follow up. Results were durable at latest follow
up and most were satisfied with their final appearance. CONCLUSIONS: Combined
tridimensional chin fat grafting and botulinum toxin masseteric injection is an
effective, simple, fast, inexpensive, safe, and minimally-invasive strategy for
aesthetic lower face contouring of short and wide faces, with short downtime,
long-lasting results, and high patient satisfaction. LEVEL OF EVIDENCE: 4
Therapeutic.
PMID- 27193173
TI - How Social Are We? A Cross-Sectional Study of the Website Presence and Social
Media Activity of Canadian Plastic Surgeons.
AB - The internet and social media are increasingly being used by patients not only
for health-related research, but also for obtaining information on their surgeon.
Having an online presence via a website and social media profile is one-way
plastic surgeons can meet this patient driven demand. The authors sought to
document current website and social media usage of Canadian plastic surgeons and
to determine if this usage correlated with years in practice. A Google search was
performed using publicly available lists of all plastic surgeons registered with
the Royal College of Physicians and Surgeons of Canada (RCPSC) and the Canadian
Society for Aesthetic Plastic Surgery (CSAPS). This search found 42% (268/631) of
RCPSC plastic surgeons had a website and 85% (536/631) had a profile on social
media. Younger RCPSC surgeons (registered for less years) were significantly more
likely to have a website (12.8 vs. 21.9 years, P < 0.0001) and an active social
media profile (16.2 vs. 23.9 years, P < 0.002). The social media platform most
used was RateMDs (81%) followed in decreasing order by: LinkedIn (28%), RealSelf
(22%), Facebook (20%), Google+ (17%) and Twitter (16%). Dual RCPSC-CSAPS members
were more likely than RCPSC-only members to have a website (56 vs. 36%, P <
0.0001) and an active social media profile (P < 0.05). Overall, current website
usage and social media presence by Canadian plastic surgeons is comparable to
counterparts in the US and UK. It may be possible to better optimize online
presence through education of current search engine technology and becoming
active on multiple social media platforms.
PMID- 27193176
TI - Editors' note.
PMID- 27193175
TI - Identification of impaired fasting glucose, healthcare utilization and
progression to diabetes in the UK using the Clinical Practice Research Datalink
(CPRD).
AB - PURPOSE: Few studies have examined patients with prediabetes in usual, "real
world" clinical practice settings. Among patients with impaired fasting glucose
(IFG), we aimed to describe the rates of progression to diabetes and to examine
the long-term reduction in diabetes risk associated with regression to
normoglycemia at 1 year. METHODS: The UK-based study included 120 055 non
diabetic patients in Clinical Practice Research Datalink from 2001 to 2012 aged
25+ years and with >=1 fasting plasma glucose (FPG) test between >=6.1 and <7.0
mmol/l indicating IFG who were followed for progression to diabetes. In a
subgroup of 45 167 patients with IFG with subsequent FPG results 1 year later, we
assessed the 1-year glycemic status change and estimated the relative hazard of
diabetes comparing patients with regression to normoglycemia (IFG-normoglycemia)
to those who remained in IFG (IFG-IFG) using a multivariable Cox model. RESULTS:
Among patients with IFG with over 414 649 person-years of follow-up, 52% received
a subsequent FPG test, and 10% developed diabetes within 1 year after recognition
of IFG. The incidence rate of diabetes was 5.86 (95% CI: 5.78 to 5.93) per 100
person-years. In the subgroup analysis, 31% of these patients remained in IFG,
while 53% and 16% converted to normoglycemia or diabetes, respectively. The
adjusted hazard ratio of developing diabetes was 0.33 (95% CI: 0.31 to 0.35)
comparing IFG-normoglycemia to IFG-IFG. CONCLUSIONS: IFG is a high-risk state for
diabetes. Regression to normoglycemia from IFG strongly reduces the long-term
risk of developing diabetes. Our study also shows the feasibility of identifying
patients with IFG in the Clinical Practice Research Datalink. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27193177
TI - Spinal Cord Injury (SCI) Facts and Figures at a Glance.
PMID- 27193174
TI - Apigenin reduce lipoteichoic acid-induced inflammatory response in rat
cardiomyoblast cells.
AB - Infective endocarditis is caused by Streptococcus sanguinis present in dental
plaque, which can induce inflammatory responses in the endocardium. The present
study depicts research on the properties of apigenin in embryonic mouse heart
cells (H9c2) treated with lipoteichoic acid (LTA) obtained from S. sanguinis.
Interleukin-1beta and cyclooxygenase (COX)-2 expression were detected by reverse
transcriptase polymerase chain reaction. In addition, western blot assays and
immuno-fluorescence staining were used to assess translocation of nuclear factor
kappa beta (NF-kappaB), degradation of IkappaB, as well as activity of the
mitogen activated protein kinases: extracellular signal-regulated kinase
(ERK)1/2, p38, and c-Jun N-terminal kinase (JNK). Effect of apigenin on cell
viability was equally assessed in other experimental series. Our results showed
that apigenin blocked activation of ERK, JNK, and p38 in cardiomyocytes treated
with LTA in a dose-dependent fashion. Moreover, apigenin showed no cytotoxic
effects; it blocked NF-kappaB translocation and IkappaB degradation. Our findings
suggested that apigenin possessed potential value in the treatment of infectious
endocarditis.
PMID- 27193178
TI - Radiobiological influence of megavoltage electron pulses of ultra-high pulse dose
rate on normal tissue cells.
AB - Regarding the long-term goal to develop and establish laser-based particle
accelerators for a future radiotherapeutic treatment of cancer, the
radiobiological consequences of the characteristic short intense particle pulses
with ultra-high peak dose rate, but low repetition rate of laser-driven beams
have to be investigated. This work presents in vitro experiments performed at the
radiation source ELBE (Electron Linac for beams with high Brilliance and low
Emittance). This accelerator delivered 20-MeV electron pulses with ultra-high
pulse dose rate of 10(10) Gy/min either at the low pulse frequency analogue to
previous cell experiments with laser-driven electrons or at high frequency for
minimizing the prolonged dose delivery and to perform comparison irradiation with
a quasi-continuous electron beam analogue to a clinically used linear
accelerator. The influence of the different electron beam pulse structures on the
radiobiological response of the normal tissue cell line 184A1 and two primary
fibroblasts was investigated regarding clonogenic survival and the number of DNA
double-strand breaks that remain 24 h after irradiation. Thereby, no considerable
differences in radiation response were revealed both for biological endpoints and
for all probed cell cultures. These results provide evidence that the
radiobiological effectiveness of the pulsed electron beams is not affected by the
ultra-high pulse dose rates alone.
PMID- 27193179
TI - Case report: a patient with pulmonary arterial hypertension transitioning from a
PDE-5 inhibitor to Riociguat.
AB - BACKGROUND: We present here the case of a patient with pulmonary arterial
hypertension and NYHA Class II symptoms who transitioned from PDE-5i therapy to
riociguat. No protocol currently exists for transitioning between these PAH
medications. CASE PRESENTATION: A 59-year old male with a history of anorexigen
use initially presented in 2008 and was felt to have non-operable small vessel
disease. His care was transitioned to our center after insurance would not cover
high-dose sildenafil in addition to ERA therapy. CONCLUSION: This case
demonstrates a safe and successful transition from higher dose PDE-5is to
riociguat with no interruption in therapy.
PMID- 27193180
TI - Molecular pathogenesis of plasminogen Hakodate: the second Japanese family case
of severe type I plasminogen deficiency manifested late-onset multi-organic
chronic pseudomembranous mucositis.
AB - A 64-year-old man first developed ligneous conjunctivitis at the age of 58 years
after right pulmonary resection because of suspected cancer; otherwise, he had
been healthy. Since then, he began to suffer from various forms of chronic
pseudomembranous mucositis. Laboratory tests demonstrated that he had 7.8 % of
plasminogen activity and 5.9 % of the normal antigen level. Thus, he was
diagnosed as having severe type I plasminogen deficiency, making him the third
case in Japan. DNA sequencing and PCR-restriction fragment length polymorphism
analyses revealed that this patient was a compound heterozygote of a G-to-A
missense mutation (G266E) in exon VIII and a g-to-a mutation at the obligatory
splicing acceptor site in intron 12 (IVS12-1g>a). These two mutations were
confirmed to be novel. Molecular modeling and splice site strength calculation
predicted conformational disorder(s) for the Glu266 mutant and a drastic decrease
in splicing efficiency for intron 12, respectively. Western blot analysis
demonstrated that the patient contained a small amount of the normal-sized
plasminogen protein. Mass spectrometric analysis of the patient's plasminogen
revealed a peptide containing the wild-type Gly266 residue and no peptides with
mutations at Glu266. However, he had never suffered from thrombosis. Low levels
of fibrinogen/fibrin degradation products (FDP), D-dimer, and plasmin-alpha2
plasmin inhibitor complex clearly indicated a hypo-fibrinolytic condition.
However, his plasma concentration of elastase-digested crosslinked FDPs was 4.8
U/mL, suggesting the presence of an on-going plasmin(ogen)-independent
"alternative" fibrinolytic system, which may protect the patient from thrombosis.
The patient has been free from recurrence of ligneous conjunctivitis for
approximately 2.5 years.
PMID- 27193181
TI - II. Dissociation free energies in drug-receptor systems via nonequilibrium
alchemical simulations: application to the FK506-related immunophilin ligands.
AB - The recently proposed fast switching double annihilation (FS-DAM) [Cardelli et
al., J. Chem. Theory Comput., 2015, 11, 423] is aimed at computing the absolute
standard dissociation free energies for the chemical equilibrium RL ? R + L
occurring in solution through molecular dynamics (MD) simulations at the
atomistic level. The technique is based on the production of fast nonequilibrium
annihilation trajectories of one of the species (the ligand) in the solvated RL
complex and in the bulk solvent. As detailed in the companion theoretical paper,
the free energies of these two nonequilibrium annihilation processes are
recovered by using an unbiased unidirectional estimate derived from the Crooks
theorem exploiting the inherent Gaussian nature of the annihilation work. The FS
DAM technique was successfully applied to the evaluation of the dissociation free
energy of the complexes of Zn(ii) cations with an inhibitor of the Tumor Necrosis
Factor alpha converting enzyme. Here we apply the technique to a real drug
receptor system, by satisfactorily reproducing the experimental dissociation free
energies of FK506-related bulky ligands towards the native FKBP12 enzyme and by
predicting the dissociation constants for the same ligands towards the mutant
I56D. The effect of such mutations on the binding affinity of FK506-related
ligands is relevant for assessing the thermodynamic forces regulating molecular
recognition in FKBP12 inhibition.
PMID- 27193182
TI - Sexuality and Autistic-Like Symptoms in Juvenile Sex Offenders: A Follow-Up After
8 Years.
AB - Juveniles who have committed a sexual offense (JSOs) are thought to have abnormal
sexual development, as well as increased ASD symptoms. In the current study,
sexual development and behavior, as well as stability of ASD-like symptoms were
assessed in a sample of 44 male JSOs (mean age 24.7 +/- 1.5 years) 8 years after
their sexual offence. JSOs exhibited less knowledge of sexuality, less positive
attitudes towards pornography and more often reported having been a victim of
verbal sexual intimidation than a matched normal population sample. ASD symptoms
were relatively stable over the 8 years follow-up, indicating that social
difficulties in JSOs may be part of life-long autistic-like traits. However, ASD
symptoms were not related to alterations in sexual development or behavior.
PMID- 27193183
TI - After Early Autism Diagnosis: Changes in Intervention and Parent-Child
Interaction.
AB - This study documents the relation between an autism spectrum disorder (ASD)
diagnosis, increases in intervention, and changes in parent-child interaction
quality. Information about intervention and observations of interaction were
collected before diagnosis and a half year after diagnosis for 79 low-risk
toddlers who had screened positive for ASD risk during a well-baby checkup.
Children diagnosed with ASD (n = 44) were 2.69 times more likely to increase
intervention hours. After ASD diagnosis, the relation between intervention and
interaction quality was complex: although increases in intervention and
interaction quality were only modestly related, the overall amount of
intervention after diagnosis was associated with higher quality interactions.
Moreover, lower quality interactions before diagnosis significantly increased the
likelihood that intervention would increase post-diagnosis.
PMID- 27193184
TI - Brief Report: Simulations Suggest Heterogeneous Category Learning and
Generalization in Children with Autism is a Result of Idiosyncratic Perceptual
Transformations.
AB - Children with autism spectrum disorder (ASD) sometimes have difficulties learning
categories. Past computational work suggests that such deficits may result from
atypical representations in cortical maps. Here we use neural networks to show
that idiosyncratic transformations of inputs can result in the formation of
feature maps that impair category learning for some inputs, but not for other
closely related inputs. These simulations suggest that large inter- and intra
individual variations in learning capacities shown by children with ASD across
similar categorization tasks may similarly result from idiosyncratic perceptual
encoding that is resistant to experience-dependent changes. If so, then both
feedback- and exposure-based category learning should lead to heterogeneous,
stimulus-dependent deficits in children with ASD.
PMID- 27193185
TI - A proposal of a simple epidural simulator for training novice anesthesiologists.
AB - PURPOSE: Confirming the epidural space during epidural anesthesia relies mainly
on feel and experience, which are difficult techniques for a trainee to learn. We
designed an epidural simulator for trainees to experience loss of resistance
(LOR) and various degrees of pressure resistance. METHODS: The simulator consists
of a Perifix((r)) LOR syringe and 1-, 5-, 10- and 50-mL syringes assembled by
three-way stopcocks. A total of 89 anesthesiologists evaluated the simulator,
given the choice of either the intermittent technique with air or continuous
technique with saline. Sudden LOR and applicability of the simulator for training
purposes were assessed using the numerical rating score (NRS). Pressure
resistance at each lumbar structure was evaluated by the anesthesiologists using
the intermittent technique with air. RESULTS: Seventy-four anesthesiologists used
the intermittent technique with air and 15 used the continuous technique with
saline. The NRSs for sudden LOR and the applicability for training purposes were
8 and 9 (median), respectively. The pressure resistance to a 50-mL syringe was
regarded as the epidural space (odds ratio 602.3 for 5-mL syringe and 144.4 for
10-mL syringe) by 89 % of anesthesiologists using air for LOR. Resistance to the
10-mL syringe was most frequently considered as muscle, subcutaneous fat, or the
interspinous ligament, while resistance to the 1-mL syringe was considered as the
ligamentum flavum (odds ratio 2.3 for 5-mL syringe and 18.6 for 10-mL syringe).
CONCLUSIONS: Our epidural simulator is a simple, low-cost device that can be
easily constructed. It was shown to provide valid haptic feedback as a promising
tool for training novice anesthesiologists.
PMID- 27193186
TI - The Essential Role of H19 Contributing to Cisplatin Resistance by Regulating
Glutathione Metabolism in High-Grade Serous Ovarian Cancer.
AB - Primary and acquired drug resistance is one of the main obstacles encountered in
high-grade serous ovarian cancer (HGSC) chemotherapy. Cisplatin induces DNA
damage through cross-linking and long integrated non-coding RNAs (lincRNAs) play
an important role in chemical induced DNA-damage response, which suggests that
lincRNAs may be also associated with cisplatin resistance. However, the mechanism
of long integrated non-coding RNAs (lincRNAs) acting on cisplatin resistance is
not well understood. Here, we showed that expression of lin-RECK-3, H19, LUCAT1,
LINC00961, and linc-CARS2-2 was enhanced in cisplatin-resistant A2780-DR cells,
while transcriptome sequencing showed decreased Linc-TNFRSF19-1 and LINC00515
expression. Additionally, we verified that different H19 expression levels in
HGSC tissues showed strong correlation with cancer recurrence. H19 knockdown in
A2780-DR cells resulted in recovery of cisplatin sensitivity in vitro and in
vivo. Quantitative proteomics analysis indicated that six NRF2-targeted proteins,
including NQO1, GSR, G6PD, GCLC, GCLM and GSTP1 involved in the glutathione
metabolism pathway, were reduced in H19-knockdown cells. Furthermore, H19
knockdown cells were markedly more sensitive to hydrogen-peroxide treatment and
exhibited lower glutathione levels. Our results reveal a previously unknown link
between H19 and glutathione metabolism in the regulation of cancer-drug
resistance.
PMID- 27193188
TI - Reward-related neural dysfunction across depression and impulsivity: A
dimensional approach.
AB - Recent theoretical models underline reward sensitivity as a potential
endophenotype for major depressive disorder. Neural and behavioral evidence
reveals depression is associated with reduced reward sensitivity. However, reward
dysfunction is not unique to depression, as it is also common across disorders of
poor impulse control. We examined the interrelationships of depression
(Depression, Anxiety, and Stress Scale [DASS-21]) and impulsivity (UPPS-P
Impulsive Behavior Scale) with reward sensitivity among a large, representative
sample (N = 260). ERPs were recorded to isolate two neural indicators of
consummatory reward processing: initial evaluation of rewards in the 250-350 ms
time window postonset of feedback (reward positivity [RewP]), and salience to
monetary outcomes (P3). Significant interactions were observed between depression
and impulsivity facets across these two stages of reward processing: depression
and positive urgency predicted RewP amplitude to reward outcomes (win vs. loss);
depression and one other impulsivity trait, (lack of) premeditation, predicted P3
amplitude to monetary outcomes. Conversely, high symptoms of depression were
related to three biobehavioral profiles: (1) blunted RewP in conjunction with
high positive urgency, (2) combination of blunted RewP and low (lack of)
premeditation, and (3) blunted P3 to monetary wins/losses, in conjunction with
low (lack of) premeditation. Findings illustrate that reward-related dysfunctions
may be optimally conceptualized when examining the interactions between
dimensions of internalizing and externalizing psychopathology.
PMID- 27193187
TI - Internet Gaming Disorder as a formative construct: Implications for
conceptualization and measurement.
AB - Some people have serious problems controlling their Internet and video game use.
The DSM-5 now includes a proposal for 'Internet Gaming Disorder' (IGD) as a
condition in need of further study. Various studies aim to validate the proposed
diagnostic criteria for IGD and multiple new scales have been introduced that
cover the suggested criteria. Using a structured approach, we demonstrate that
IGD might be better interpreted as a formative construct, as opposed to the
current practice of conceptualizing it as a reflective construct. Incorrectly
approaching a formative construct as a reflective one causes serious problems in
scale development, including: (i) incorrect reliance on item-to-total scale
correlation to exclude items and incorrectly relying on indices of inter-item
reliability that do not fit the measurement model (e.g., Cronbach's alpha); (ii)
incorrect interpretation of composite or mean scores that assume all items are
equal in contributing value to a sum score; and (iii) biased estimation of model
parameters in statistical models. We show that these issues are impacting current
validation efforts through two recent examples. A reinterpretation of IGD as a
formative construct has broad consequences for current validation efforts and
provides opportunities to reanalyze existing data. We discuss three broad
implications for current research: (i) composite latent constructs should be
defined and used in models; (ii) item exclusion and selection should not rely on
item-to-total scale correlations; and (iii) existing definitions of IGD should be
enriched further.
PMID- 27193189
TI - Management of Perforator Plunge in the Transverse Sinus.
AB - Plunging is rare with the use of automatic-release perforators. We describe the
management of a case of plunging in the transverse sinus in a child who underwent
suboccipital craniotomy. The perforator got stuck in the bone and transverse
sinus. The perforator-bone complex was removed in one piece with suturing of the
transverse sinus.
PMID- 27193191
TI - Nanomaterial-modulated autophagy: underlying mechanisms and functional
consequences.
AB - Autophagy is an essential lysosome-dependent process that controls the quality of
the cytoplasm and maintains cellular homeostasis, and dysfunction of this protein
degradation system is correlated with various disorders. A growing body of
evidence suggests that nanomaterials (NMs) have autophagy-modulating effects,
thus predicting a valuable and promising application potential of NMs in the
diagnosis and treatment of autophagy-related diseases. NMs exhibit unique
physical, chemical and biofunctional properties, which may endow NMs with
capabilities to modulate autophagy via various mechanisms. The present review
highlights the impacts of various NMs on autophagy and their functional
consequences. The possible underlying mechanisms for NM-modulated autophagy are
also discussed.
PMID- 27193192
TI - Sacral nerve stimulation for faecal incontinence: medium-term follow-up from a
single institution.
AB - BACKGROUND: Most studies on sacral nerve stimulation (SNS) are either single
centre with small numbers of patients or multi-centre studies. We present the
medium-term follow-up results from a single centre for 127 patients undergoing
SNS. METHODS: Consecutive patients treated with SNS for faecal incontinence had
preoperative baseline St Mark's continence scores, faecal incontinence quality of
life (FIQL) measures and anorectal physiology studies. Follow-up was a postal
questionnaire concerning continence, FIQL, patient-perceived change in bowel
control (-5 to +5 where 0 is no change), overall satisfaction (0-10 visual
analogue scale) and use of medications. RESULTS: A total of 166 patients
underwent temporary nerve stimulation testing, of which 112 progressed to a
permanent implantable pulse generator (IPG). Fifteen received an IPG without the
testing phase, hence 127 patients in total. Fourteen had the IPG removed, four
were deceased, leaving 109 for assessment; 91 (83%) responded to the survey. Mean
follow-up was 2.7 years (range: 2 months-8.5 years). Mean baseline St Mark's
continence score was 14.4, and mean follow-up score was 10.3 (P < 0.01). FIQL
improved in all domains (P < 0.001). Patient-reported improved bowel control mean
score was +3.2 (95% CI: 2.9, 3.55). Median satisfaction score was 8.0 (range: 0
10). Complications included 17 lead dislodgements, seven superficial infections,
five infections requiring surgery and five repositioning of a rotated IPG. Thirty
two patients used loperamide and 34 used fibre supplements. CONCLUSION: In this
observational study, limited by the absence of a placebo control group, SNS
significantly improved continence and quality of life, and patient satisfaction
was high.
PMID- 27193193
TI - Atomic structure of a peptide coated gold nanocluster identified using
theoretical and experimental studies.
AB - Peptide coated gold nanoclusters (AuNCs) have a precise molecular formula and
atomic structure, which are critical for their unique applications in targeting
specific proteins either for protein analysis or drug design. To date, a study of
the crystal structure of peptide coated AuNCs is absent primarily due to the
difficulty of obtaining their crystalline phases in an experiment. Here we study
a typical peptide coated AuNC (Au24Peptide8, Peptide = H2N-CCYKKKKQAGDV-COOH,
Anal. Chem., 2015, 87, 2546) to figure out its atomic structure and electronic
structure using a theoretical method for the first time. In this work, we
identify the explicit configuration of the essential structure of Au24Peptide8,
Au24(Cys-Cys)8, using density functional theory (DFT) computations and optical
spectroscopic experiments, where Cys denotes cysteine without H bonded to S. As
the first multidentate ligand binding AuNC, Au24(Cys-Cys)8 is characterized as a
distorted Au13 core with Oh symmetry covered by two Au(Cys-Cys) and three Au3(Cys
Cys)2 staple motifs in its atomic structure. The most stable configuration of
Au24(Cys-Cys)8 is confirmed by comparing its UV-vis absorption spectrum from time
dependent density-functional theory (TDDFT) calculations with optical absorption
measurements, and these results are consistent with each other. Furthermore, we
carry out frontier molecular orbital (FMO) calculations to elucidate that the
electronic structure of Au24(Cys-Cys)8 is different from that of Au24(SR)20 as
they have a different Au/S ratio, where SR represents alkylthiolate. Importantly,
the different ligand coatings, Cys-Cys and SR, in Au24(Cys-Cys)8 and Au24(SR)20
cause the different Au/S ratios in the coated Au24. The reason is that the Au/S
ratio is crucial in determining the size of the Au core of the ligand protected
AuNC, and the size of the Au core corresponds to a specific electronic structure.
By the adjustment of ligand coatings from alkylthiolate to peptide, the Au/S
ratio could be controlled to generate different AuNCs with versatile electronic
structures, optical properties and reaction stabilities. Therefore, we propose a
universal approach to obtain a specific Au/S ratio of ligand coated AuNCs by
adjusting the ligand composition, thus controlling the chemicophysical properties
of AuNCs with ultimately the same number of Au atoms.
PMID- 27193190
TI - The ALS/FTLD associated protein C9orf72 associates with SMCR8 and WDR41 to
regulate the autophagy-lysosome pathway.
AB - Hexanucleotide repeat expansion in the C9orf72 gene is a leading cause of
frontotemporal lobar degeneration (FTLD) with amyotrophic lateral sclerosis
(ALS). Reduced expression of C9orf72 has been proposed as a possible disease
mechanism. However, the cellular function of C9orf72 remains to be characterized.
Here we report the identification of two binding partners of C9orf72: SMCR8 and
WDR41. We show that WDR41 interacts with the C9orf72/SMCR8 heterodimer and WDR41
is tightly associated with the Golgi complex. We further demonstrate that
C9orf72/SMCR8/WDR41 associates with the FIP200/Ulk1 complex, which is essential
for autophagy initiation. C9orf72 deficient mice, generated using the CRISPR/Cas9
system, show severe inflammation in multiple organs, including lymph node, spleen
and liver. Lymph node enlargement and severe splenomegaly are accompanied with
macrophage infiltration. Increased levels of autophagy and lysosomal proteins and
autophagy defects were detected in both the spleen and liver of C9orf72 deficient
mice, supporting an in vivo role of C9orf72 in regulating the autophagy/lysosome
pathway. In summary, our study elucidates potential physiological functions of
C9orf72 and disease mechanisms of ALS/FTLD.
PMID- 27193195
TI - Variation in infection length and superinfection enhance selection efficiency in
the human malaria parasite.
AB - The capacity for adaptation is central to the evolutionary success of the human
malaria parasite Plasmodium falciparum. Malaria epidemiology is characterized by
the circulation of multiple, genetically diverse parasite clones, frequent
superinfection, and highly variable infection lengths, a large number of which
are chronic and asymptomatic. The impact of these characteristics on the
evolution of the parasite is largely unknown, however, hampering our
understanding of the impact of interventions and the emergence of drug
resistance. In particular, standard population genetic frameworks do not
accommodate variation in infection length or superinfection. Here, we develop a
population genetic model of malaria including these variations, and show that
these aspects of malaria infection dynamics enhance both the probability and
speed of fixation for beneficial alleles in complex and non-intuitive ways. We
find that populations containing a mixture of short- and long-lived infections
promote selection efficiency. Interestingly, this increase in selection
efficiency occurs even when only a small fraction of the infections are chronic,
suggesting that selection can occur efficiently in areas of low transmission
intensity, providing a hypothesis for the repeated emergence of drug resistance
in the low transmission setting of Southeast Asia.
PMID- 27193197
TI - Treatments for paracetamol poisoning.
PMID- 27193198
TI - Bias in emerging biomarkers for bipolar disorder.
AB - BACKGROUND: To date no comprehensive evaluation has appraised the likelihood of
bias or the strength of the evidence of peripheral biomarkers for bipolar
disorder (BD). Here we performed an umbrella review of meta-analyses of
peripheral non-genetic biomarkers for BD. METHOD: The Pubmed/Medline, EMBASE and
PsycInfo electronic databases were searched up to May 2015. Two independent
authors conducted searches, examined references for eligibility, and extracted
data. Meta-analyses in any language examining peripheral non-genetic biomarkers
in participants with BD (across different mood states) compared to unaffected
controls were included. RESULTS: Six references, which examined 13 biomarkers
across 20 meta-analyses (5474 BD cases and 4823 healthy controls) met inclusion
criteria. Evidence for excess of significance bias (i.e. bias favoring
publication of 'positive' nominally significant results) was observed in 11 meta
analyses. Heterogeneity was high for (I 2 ? 50%) 16 meta-analyses. Only two
biomarkers met criteria for suggestive evidence namely the soluble IL-2 receptor
and morning cortisol. The median power of included studies, using the effect size
of the largest dataset as the plausible true effect size of each meta-analysis,
was 15.3%. CONCLUSIONS: Our findings suggest that there is an excess of
statistically significant results in the literature of peripheral biomarkers for
BD. Selective publication of 'positive' results and selective reporting of
outcomes are possible mechanisms.
PMID- 27193196
TI - Neuromyelitis optica study model based on chronic infusion of autoantibodies in
rat cerebrospinal fluid.
AB - BACKGROUND: Devic's neuromyelitis optica (NMO) is an autoimmune astrocytopathy,
associated with central nervous system inflammation, demyelination, and neuronal
injury. Several studies confirmed that autoantibodies directed against aquaporin
4 (AQP4-IgG) are relevant in the pathogenesis of NMO, mainly through complement
dependent toxicity leading to astrocyte death. However, the effect of the
autoantibody per se and the exact role of intrathecal AQP4-IgG are still
controversial. METHODS: To explore the intrinsic effect of intrathecal AQP4-IgG,
independent from additional inflammatory effector mechanisms, and to evaluate its
clinical impact, we developed a new animal model, based on a prolonged infusion
of purified immunoglobulins from NMO patient (IgG(AQP4+), NMO-rat) and healthy
individual as control (Control-rat) in the cerebrospinal fluid (CSF) of live
rats. RESULTS: We showed that CSF infusion of purified immunoglobulins led to
diffusion in the brain, spinal cord, and optic nerves, the targeted structures in
NMO. This was associated with astrocyte alteration in NMO-rats characterized by
loss of aquaporin-4 expression in the spinal cord and the optic nerves compared
to the Control-rats (p = 0.001 and p = 0.02, respectively). In addition,
glutamate uptake tested on vigil rats was dramatically reduced in NMO-rats (p =
0.001) suggesting that astrocytopathy occurred in response to AQP4-IgG diffusion.
In parallel, myelin was altered, as shown by the decrease of myelin basic protein
staining by up to 46 and 22 % in the gray and white matter of the NMO-rats spinal
cord, respectively (p = 0.03). Loss of neurofilament positive axons in NMO-rats
(p = 0.003) revealed alteration of axonal integrity. Then, we investigated the
clinical consequences of such alterations on the motor behavior of the NMO-rats.
In a rotarod test, NMO-rats performance was lower compared to the controls (p =
0.0182). AQP4 expression, and myelin and axonal integrity were preserved in AQP4
IgG-depleted condition. We did not find a major immune cell infiltration and
microglial activation nor complement deposition in the central nervous system, in
our model. CONCLUSIONS: We establish a link between motor-deficit, NMO-like
lesions and astrocytopathy mediated by intrathecal AQP4-IgG. Our study validates
the concept of the intrinsic effect of autoantibody against surface antigens and
offers a model for testing antibody and astrocyte-targeted therapies in NMO.
PMID- 27193199
TI - Association between clinical antibiotic resistance and susceptibility of
Pseudomonas in the cystic fibrosis lung.
AB - BACKGROUND AND OBJECTIVES: Cystic fibrosis patients suffer from chronic lung
infections that require long-term antibiotic therapy. Pseudomonas readily evolve
resistance, rendering antibiotics ineffective. In vitro experiments suggest that
resistant bacteria may be treated by exploiting their collateral sensitivity to
other antibiotics. Here, we investigate correlations of sensitivity and
resistance profiles of Pseudomonas aeruginosa that naturally adapted to
antibiotics in the cystic fibrosis lung. METHODOLOGY: Resistance profiles for 13
antibiotics were obtained using broth dilution, E-test and VITEK mass
spectroscopy. Genetic variants were determined from whole-genome sequences and
interrelationships among isolates were analyzed using 13 MLST loci. RESULT: Our
study focused on 45 isolates from 13 patients under documented treatment with
antibiotics. Forty percent of these were clinically resistant and 15% multi-drug
resistant. Colistin resistance was found once, despite continuous colistin
treatment and even though colistin resistance can readily evolve experimentally
in the laboratory. Patients typically harbored multiple genetically and
phenotypically distinct clones. However, genetically similar clones often had
dissimilar resistance profiles. Isolates showed mutations in genes encoding cell
wall synthesis, alginate production, efflux pumps and antibiotic modifying
enzymes. Cross-resistance was commonly observed within antibiotic classes and
between aminoglycosides and beta-lactam antibiotics. No evidence was found for
consistent phenotypic resistance to one antibiotic and sensitivity to another
within one genotype. CONCLUSIONS AND IMPLICATIONS: Evidence supporting potential
collateral sensitivity in clinical P. aeruginosa isolates remains equivocal.
However, cross-resistance within antibiotic classes is common. Colistin therapy
is promising since resistance to it was rare despite its intensive use in the
studied patients.
PMID- 27193201
TI - Clone wars: the evolution of therapeutic resistance in cancer.
PMID- 27193200
TI - The low prevalence of female smoking in the developing world: gender inequality
or maternal adaptations for fetal protection?
AB - BACKGROUND: Female smoking prevalence is dramatically lower in developing
countries (3.1%) than developed countries (17.2%), whereas male smoking is
similar (32% vs 30.1%). Low female smoking has been linked to high gender
inequality. Alternatively, to protect their offspring from teratogenic
substances, pregnant and lactating women appear to have evolved aversions to
toxic plant substances like nicotine, which are reinforced by cultural
proscriptions. Higher total fertility rates (TFRs) in developing countries could
therefore explain their lower prevalence of female smoking. OBJECTIVE: To compare
the associations of TFR and gender inequality with national prevalence rates of
female and male smoking. METHODS: Data from a previous study of smoking
prevalence vs gender inequality in 74 countries were reanalysed with a regression
model that also included TFR. We replicated this analysis with three additional
measures of gender equality and 2012 smoking data from 173 countries. RESULTS: A
1 SD increase in TFR predicted a decrease in female smoking prevalence by factors
of 0.58-0.77, adjusting for covariates. TFR had a smaller and unexpected negative
association with male smoking prevalence. Increased gender equality was
associated with increased female smoking prevalence, and, unexpectedly, with
decreased male smoking prevalence. TFR was also associated with an increase in
smoking prevalence among postmenopausal women. CONCLUSIONS: High TFR and gender
inequality both predict reduced prevalence of female smoking across nations. In
countries with high TFR, adaptations and cultural norms that protect fetuses from
plant toxins might suppress smoking among frequently pregnant and lactating
women.
PMID- 27193202
TI - Systematic review with meta-analysis: the effect of tobacco smoking on the
natural history of ulcerative colitis.
AB - BACKGROUND: Tobacco smoking is associated with a reduced risk of developing
ulcerative colitis (UC). A high proportion of UC patients perceive a benefit in
disease outcomes secondary to smoking. However, the effects of smoking on the
natural history of UC are uncertain. AIM: To conduct a systematic review and meta
analysis of the effects of tobacco smoking on the natural history of UC. METHODS:
A search of MEDLINE, EMBASE and EMBASE classic was carried out (up to December
2015) to identify observational studies reporting data on smoking and rates of
colectomy, flare of disease activity, proximal disease extension, and development
of pouchitis following panproctocolectomy and ileal pouch-anal anastomosis in
patients with UC. Dichotomous data were pooled to obtain odds ratios (ORs), with
95% confidence intervals (CIs). RESULTS: The search identified 16 eligible
studies: five (2615 patients) studying colectomy; four (620 patients) reporting
on flare of disease activity; four (687 patients) examining proximal disease
extension and three (355 patients) assessing development of pouchitis. Compared
with nonsmokers, the odds of colectomy (OR = 0.89; 95% CI 0.62-1.26), flare of
disease activity (OR = 1.26; 95% CI 0.65-2.44), proximal extension of disease (OR
= 0.57; 95% CI 0.20-1.66) or the development of pouchitis (OR = 0.57; 95% CI 0.21
1.53) were not significantly lower in smokers. CONCLUSIONS: Smoking may not
improve the natural history of ulcerative colitis. Given the health benefits of
smoking cessation and the lack of clear benefit in ulcerative colitis, smoking
cessation advice should be incorporated into guidance on the management of
ulcerative colitis.
PMID- 27193203
TI - The effect of axial rotation of the anterior resection plane in patellofemoral
arthroplasty.
AB - BACKGROUND: Patellofemoral arthroplasty (PFA) has a small but definite place in
replacement surgery of the knee, especially in young patients. The main surgical
considerations in PFA are the patient's anatomy, the type of prosthesis and the
surgical technique. The surgical technique and PFA success rely heavily on the
anterior resection. In this study we investigate the effect of axial rotation of
the anterior resection plane. METHODS: We tested the outcome of PFA fit based on
resection footprint measurements, axial and coronal groove angles, and lateral
trochlear inclination (LTI) angle in a virtual PFA model. The range of anterior
resection plane axial rotations was from five degree internal to five degree
external with an increment of one degree. RESULTS: Axial rotation of anterior
resection plane changes the resection footprint dimension, which leads to coronal
rotation of the femoral component. External rotation of the resection plane
results in valgus rotation of the trochlear groove and decreased LTI after PFA
and the opposite was observed for internal rotation. CONCLUSION: Our study showed
that by changing the axial rotation of the anterior cut, the coronal groove of
the prosthesis can be altered to lie more closely with the native groove line
without compromising the prosthesis-cartilage transition.
PMID- 27193204
TI - [Not Available].
PMID- 27193205
TI - Early synaptic dysfunction in Parkinson's disease: Insights from animal models.
AB - The appearance of motor manifestations in Parkinson's disease (PD) is invariably
linked to degeneration of nigral dopaminergic neurons of the substantia nigra
pars compacta. Traditional views on PD neuropathology have been grounded in the
assumption that the prime event of neurodegeneration involves neuronal cell
bodies with the accumulation of metabolic products. However, this view has
recently been challenged by both clinical and experimental evidence.
Neuropathological studies in human brain samples and both in vivo and in vitro
models support the hypothesis that nigrostriatal synapses may indeed be affected
at the earliest stages of the neurodegenerative process. The mechanisms leading
to either structural or functional synaptic dysfunction are starting to be
elucidated and include dysregulation of axonal transport, impairment of the
exocytosis and endocytosis machinery, altered intracellular trafficking, and loss
of corticostriatal synaptic plasticity. The aim of this review is to try to
integrate different lines of evidence from both pathogenic and genetic animal
models that, to different extents, suggest that early synaptic impairment may
represent the key event in PD pathogenesis. Understanding the molecular and
cellular events underlying such synaptopathy is a fundamental step toward
developing specific biomarkers of early dopaminergic dysfunction and, more
importantly, designing novel therapies targeting the synaptic apparatus of
selective, vulnerable synapses. (c) 2016 International Parkinson and Movement
Disorder Society.
PMID- 27193207
TI - Outcomes after resection and/or radiofrequency ablation for recurrence after
treatment of colorectal liver metastases.
AB - BACKGROUND: Repeat liver resection for colorectal liver metastases (CRLMs) is
possible in a limited number of patients, with radiofrequency ablation (RFA) as
an alternative for unresectable CRLMs. The aim of this study was to analyse
survival rates with these interventions. METHODS: This was a database analysis of
patients who underwent first and repeat interventions for synchronous and
metachronous CRLMs between 2000 and 2013. Descriptive and survival statistics
were calculated. RESULTS: Among 431 patients who underwent resection or RFA for
CRLMs, 305 patients developed recurrences for which 160 repeat interventions
(resection and/or RFA or ablative radiotherapy) were performed. In total, after
707 first or repeat interventions, 516 recurrences (73.0 per cent) developed, of
which 276 were retreated curatively. At the time of first intervention,
independent risk factors for death were lymph node-positive primary tumour
(hazard ratio (HR) 1.40; P = 0.030), more than one CRLM (HR 1.53; P = 0.007),
carcinoembryonic antigen level exceeding 200 ng/ml (HR 1.89; P = 0.020) and size
of largest CRLM greater than 5 cm (HR 1.54; P = 0.014). The 5-year overall
survival rates for liver resection and percutaneous RFA as first intervention
were 51.9 and 53 per cent, with a median overall survival of 65.0 (95 per cent
c.i. 47.3 to 82.6) and 62.1 (52.2 to 72.1) months, respectively. CONCLUSION: RFA
had good oncological outcomes in patients with unresectable CRLMs. Radiofrequency
ablation is progressively more applied with each additional intervention.
PMID- 27193206
TI - Reversible decline in pulmonary function during left ventricular assist device
therapy.
AB - Cardiac replacement therapy, consisting of left ventricular assist device (LVAD)
implant surgery and heart transplantation, has considerably reduced the mortality
and morbidity of patients with stage D heart failure. However, its impact on
pulmonary function remains unclear. We retrospectively evaluated 22 consecutive
patients (16 men; 42 +/- 13 years old) who had undergone pulmonary function tests
during the heart failure, LVAD, and heart transplantation periods. The LVAD
therapy lasted an average of 871 +/- 267 days. The % vital capacity and forced
expiratory volume in 1 s decreased significantly after LVAD implantation and
returned to baseline levels after heart transplantation. Correlation analysis
indicated that a shorter duration of LVAD support was associated with a more
significant improvement in % vital capacity in heart transplantation recipients,
compared to the pre-LVAD period and the LVAD period. In conclusion, we provide
evidence that a decrease in pulmonary function occurs during LVAD support but it
may be reversible. Limited LVAD duration may be a key for the recovery of
pulmonary dysfunction.
PMID- 27193209
TI - Corrigendum for: Accommodating Presuppositions is Inappropriate in Implausible
Contexts, by Raj Singh, Evelina Fedorenko, Kyle Mahowald, Edward Gibson in
Cognitive Science, 40(3).
PMID- 27193208
TI - Is mucin a determinant of peritoneal dissemination of gastrointestinal cancer?
Analysis of mucin depletion in two preclinical models.
AB - BACKGROUND: Mucinous gastrointestinal cancers may indicate a higher propensity
for widespread peritoneal seeding than their non-mucinous counterparts. We
hypothesized that mucin content of gastrointestinal cancer cells and tumors is an
indicator of cell viability and a determinant of the peritoneal tumor burden and
tested our hypothesis in relevant experimental models. METHODS: MKN45 and LS174T
models of human gastrointestinal cancer were treated with known mucin-depleting
agents in vitro and in vivo, their mucin production was evaluated with Western
blot immunohistochemistry, PAS staining and ELISA, and its correlation with cell
viability and peritoneal tumor burden was analyzed. RESULTS: A relationship was
found between the viability of cancer cells and their mucin levels in vitro. In
agreement, when treated animal models were categorized into low- and high-burden
groups (based on the weight and number of the peritoneal nodules), tumoral mucin
levels were found to be significantly higher in the latter group. CONCLUSIONS:
Tumoral mucin is apparently among the factors that dictate the pattern and extent
of the peritoneal spread of gastrointestinal cancer, where it allows for enhanced
dissemination and redistribution. If further tested and validated, our hypothesis
could lay the basis for the development of novel mucin-targeted strategies.
PMID- 27193210
TI - Chiral Nanoparticles/Lewis Acids as Cooperative Catalysts for Asymmetric 1,4
Addition of Arylboronic Acids to alpha,beta-Unsaturated Amides.
AB - Cooperative catalysts consisting of chiral Rh/Ag nanoparticles and Sc(OTf)3 have
been developed that catalyze asymmetric 1,4-addition reactions of arylboronic
acids with alpha,beta-unsaturated amides efficiently. The reaction has been
considered one of the most challenging reactions because of the low reactivity of
the amide substrates. The new catalysts provide the desired products with
outstanding enantioselectivities (>98 % ee) in the presence of low loadings (<0.5
mol %) of the catalyst.
PMID- 27193211
TI - Selective binding of estrogen receptor alpha to ubiquitin chains.
AB - Ubiquitin (Ub)-binding domains (UBDs) noncovalently contact the Ub modification
on binding partners. Ub possesses seven lysine (K) residues (i.e., K6, K11, K27,
K29, K33, K48, and K63) that can be used to form different chains based on
different Ub linkage types (e.g., monoubiquitination/polyubiquitination). Thus,
different Ub-based signals exist and are decoded by UBDs. Recently, we have
reported the existence of two Ub binding surfaces located within the estrogen
receptor alpha (ERalpha) protein. We have shown that the leucine (L) 429 and
alanine (A) 430 ERalpha residues direct noncovalent receptor binding to K63-based
Ub chains in vitro. However, mutation of L429 and A430 residues did not
completely abolish the ability of ERalpha to associate with Ub in cell lines.
Thus, we evaluated the possibility that one or both ERalpha Ub binding surfaces
could non-covalently interact with other Ub chains. Here, we report that ERalpha
selectively binds to specific Ub chains based on different Ub linkages and that
ERalpha monoubiquitination requires non-covalent ERalpha:Ub binding. Considering
the importance of the UBD:Ub interaction in the initiation and progression of
many diseases (e.g., cancer), our data provide novel insights into ERalpha
functions that could be relevant to ERalpha-related diseases. (c) 2016 IUBMB
Life, 68(7):569-577, 2016.
PMID- 27193213
TI - Diversified pulse generation from frequency shifted feedback Tm-doped fibre
lasers.
AB - Pulsed fibre lasers operating in the eye-safe 2 MUm spectral region have numerous
potential applications in areas such as remote sensing, medicine, mid-infrared
frequency conversion, and free-space communication. Here, for the first time, we
demonstrate versatile 2 MUm ps-ns pulses generation from Tm-based fibre lasers
based on frequency shifted feedback and provide a comprehensive report of their
special behaviors. The lasers are featured with elegant construction and the
unparalleled capacity of generating versatile pulses. The self-starting mode
locking is initiated by an intra-cavity acousto-optical frequency shifter.
Diversified mode-locked pulse dynamics were observed by altering the pump power,
intra-cavity polarization state and cavity structure, including as short as 8 ps
single pulse sequence, pulse bundle state and up to 12 nJ, 3 ns nanosecond
rectangular pulse. A reflective nonlinear optical loop mirror was introduced to
successfully shorten the pulses from 24 ps to 8 ps. Beside the mode-locking
operation, flexible Q-switching and Q-switched mode-locking operation can also be
readily achieved in the same cavity. Up to 78 MUJ high energy nanosecond pulse
can be generated in this regime. Several intriguing pulse dynamics are
characterized and discussed.
PMID- 27193212
TI - Out-of-hospital cardiac arrest patients treated with cardiopulmonary
resuscitation using extracorporeal membrane oxygenation: focus on survival rate
and neurologic outcome.
AB - BACKGROUND: Extracorporeal membrane oxygenation (ECMO) is a useful treatment for
refractory out-of-hospital cardiac arrest (OHCA). However, little is known about
the predictors of survival and neurologic outcome after ECMO. We analyzed our
institution's experience with ECMO for refractory OHCA and evaluated the
predictors of survival and neurologic outcome after ECMO. METHODS: This was a
retrospective review of the medical records of 23 patients who were treated with
ECMO due to OHCA that was unresponsive to conventional cardiopulmonary
resuscitation, between January 2009 and January 2014. RESULTS: Our ECMO team was
activated within 10 min for refractory OHCA, and the 30-day survival rate was
43.5 %. In a multivariate analysis that evaluated independent factors
contributing to mortality, urine output <= 0.5 mL . kg(-1) . h(-1) (defined as
oliguria) during the 24 h after ECMO was statistically significant (OR, 32.271;
95 % CI, 1.379-755.282; p = 0.031). Just after ECMO implantation, 6 of the 9
patients (66.7 %) who had normal findings on brain computed tomography (CT)
survived with a cerebral performance category (CPC) of grade 1. However, only 3
of the 11 patients (27 %) who had evidence of hypoxic brain damage on initial
brain CT survived (their CPC grade was 4). CONCLUSIONS: Based on our findings,
the survival rate can be improved by rapid implantation of ECMO, and oliguria
seen during the first 24 h after ECMO may be an independent predictor of
mortality. Furthermore, findings on brain CT just after ECMO and subsequent
images may represent an important predictor for neurologic outcome after ECMO.
PMID- 27193214
TI - Very High Levels of High-Density Lipoprotein Cholesterol and Cardiovascular
Events in Japanese Population.
PMID- 27193215
TI - Scleroderma-like skin changes occurring after the use of paclitaxel without any
chemical solvents: a first case report.
PMID- 27193216
TI - Distribution of Amblydromalus limonicus in northeastern Spain and diversity of
phytoseiid mites (Acari: Phytoseiidae) in tomato and other vegetable crops after
its introduction.
AB - Amblydromalus limonicus (Garman and McGregor) was detected for the first time in
2011 on tomatoes of several locations of the northeastern Spain. During 2012 and
2013 samplings on tomato crop cultivars in the two provinces of Catalonia where
the species was found were carried out. The goals of the study were to know the
range of spread of the species in these two provinces, its abundance in tomato
cultivars, non-crop vegetation among them, in the different parts of the tomato
plant and in some other vegetable crops. Results showed that A. limonicus was
present at both regions sampled, although there were significant differences in
the abundance of the species between sampling points. It is the second in
abundance in tomato and the cultivars that most frequently host A. limonicus were
Anaidis, Hybrid and Marmande. No significant differences were found in the
abundance of A. limonicus among tomato plant canopy strata. On average, it
accounted for 31.6 % of all sampled phytoseiids. It was present in four crops
(tomato, bean, cucumber and strawberry) and in Amaranthus cruentus, Chenopodium
polyspermum, Cynodon dactylon, Mentha sp., Parietaria officinalis and Phleum
pratense. Amblydromalus limonicus is well established in the extreme northeast of
Spain all year round in crops and non-crops.
PMID- 27193217
TI - Reproductive outcomes following preimplantation genetic diagnosis using
fluorescence in situ hybridization for 52 translocation carrier couples with a
history of recurrent pregnancy loss.
AB - Forty-six reciprocal and six Robertsonian translocation carrier couples who
experienced recurrent pregnancy loss underwent fluorescence in situ hybridization
based preimplantation genetic diagnosis (PGD) for the presence of the two
translocated chromosomes. Out of 52 couples, 17 (33%) were undergoing infertility
treatment. In total, 239 PGD cycles as oocyte retrieval (OR) were applied. The
transferrable rate of negatively diagnosed embryos at the cleavage stage was
26.3%; 71 embryos were transferred as single blastocysts. The clinical pregnancy
rate per transfer was 60.6%. We obtained 41 healthy live births with 3 incidences
of miscarriage (7.0%). The average cumulative live birth rate was 76.9% during
4.6 OR cycles using a mild ovarian stimulation strategy. The outcomes were
classified into four groups based on carrier gender and maternal age (young (<38
years) or advanced). PGD was performed for 52 couples of which the average number
of OR cycles was 4.1, 2.1, 6.7 and 4.5 in young female and male carriers and
female and male carriers of advanced age; the live birth rate for a primiparity
was 77.8, 72.7, 66.7 and 50.0% in those groups. These results suggest that the
final live birth rate might be influenced by maternal age regardless of the
gender of the carrier.
PMID- 27193218
TI - Novel European SLC1A4 variant: infantile spasms and population ancestry analysis.
AB - SLC1A4 deficiency is a recently described neurodevelopmental disorder associated
with microcephaly, global developmental delay, abnormal myelination, thin corpus
callosum and seizures. It has been mainly reported in the Ashkenazi-Jewish
population with affected individuals homozygous for the p.Glu256Lys variant.
Exome sequencing performed in an Irish proband identified a novel homozygous
nonsense SLC1A4 variant [p.Trp453*], confirming a second case of SLC1A4
associated infantile spasms. As this is the first European identified, population
ancestry analysis of the Exome Aggregation Consortium database was performed to
determine the wider ethnic background of SLC1A4 deficiency carriers. p.Glu256Lys
was found in Hispanic and South Asian populations. Other potential disease
causing variants were also identified. Investigation for SLC1A4 deficiency should
be performed regardless of ethnicity and extend to include unexplained early
onset epileptic encephalopathy.
PMID- 27193220
TI - EED-associated overgrowth in a second male patient.
AB - Following our discovery that constitutional mutations in EED can cause
overgrowth, we screened our cohort of patients with Weaver-like features for
mutations in this gene. Here we describe a second patient with a different, rare
and de novo mutation in EED. Phenotypic overlap with our first case of EED
associated overgrowth is significant. Now that we have found two unrelated
families of different ethnicities, with a similar rare phenotype, both associated
with de novo mutations in this member of the PRC2 complex, we are confident that
EED is indeed a novel overgrowth gene.
PMID- 27193219
TI - A significant association between rs8067378 at 17q12 and invasive cervical cancer
originally identified by a genome-wide association study in Han Chinese is
replicated in a Japanese population.
AB - In this study, associations between invasive cervical cancer and four cervical
cancer susceptibility loci (rs13117307 at 4q12, rs8067378 at 17q12, and rs4282438
and rs9277952 at 6p21.32) in the Han Chinese population were investigated in a
Japanese population. Human leukocyte antigen (HLA)-DPB1 alleles were also
investigated for their association with cervical cancer risk in the Japanese
population. After receiving written informed consent, 214 unrelated Japanese
women with invasive cervical cancer and 288 cancer-free Japanese women were
recruited, and DNA samples were obtained (study protocol approved by
Institutional Review Board of Nagasaki University). Of the four single-nucleotide
polymorphisms, rs8067378 showed a significant association with invasive cervical
cancer (P=0.0071). Under a recessive model, the minor allele G of rs8067378
contributed to the risk of invasive cervical cancer (odds ratio=2.92, 95%
confidence interval=1.40-6.36; P=0.0021). No association was detected between HLA
DPB1 alleles and cervical cancer risk in the Japanese population. In conclusion,
we show for the first time, to the best of our knowledge, that an association
between increased risk of invasive cervical cancer and rs8067378 in the Han
Chinese population is replicated in a Japanese population. In addition, Japanese
women with the GG genotype of rs8067378 are a candidate high-risk group for
invasive cervical carcinoma.
PMID- 27193222
TI - Genotyping NUDT15 can predict the dose reduction of 6-MP for children with acute
lymphoblastic leukemia especially at a preschool age.
AB - The pharmacokinetics among children has been altered dynamically. The difference
between children and adults is caused by immaturity in things such as metabolic
enzymes and transport proteins. The periods when these alterations happen vary
from a few days to some years after birth. We hypothesized that the effect of
gene polymorphisms associated with the dose of medicine could be influenced by
age. In this study, we analyzed 51 patients with childhood acute lymphoblastic
leukemia (ALL) retrospectively. We examined the associations between the
polymorphism in NUDT15 and clinical data, especially the dose of 6-mercaptopurine
(6-MP). Ten of the patients were heterozygous for the variant allele in NUDT15.
In patients under 7 years old with NUDT15 variant allele, the average
administered dose of 6-MP was lower than that for the patients homozygous for the
wild-type allele (P=0.04). Genotyping of NUDT15 could be a beneficial to estimate
the tolerated dose of 6-MP for patients with childhood ALL, especially at a
preschool age in Japan. Furthermore, the analysis with stratification by age
might be useful in pharmacogenomics among children.
PMID- 27193223
TI - Androgen receptor CAG polymorphism and sporadic and early-onset prostate cancer
among Mexican men.
AB - A short CAG repeat length in the gene encoding for the androgen receptor (AR) has
been associated with prostate cancer (PC) risk and aggressiveness. In Latino men,
information on this association is scarce. Hence, the aim of this study was to
evaluate this association in Mexican males. Using fragment analysis by capillary
electrophoresis, we determined the number of CAG repeats-(CAG)n-in AR gene from
158 incident PC cases and 326 age-matched healthy controls (+/-5 years), residing
in Mexico City, Mexico. According to Gleason scale and age at diagnosis, cases
were classified as high (?7) and low grade (<7), as well as early onset (<60
years) or late onset PC (?60 years). At diagnosis, 78% of cases were classified
as high-grade and 26.6% as early onset. Men with sporadic (no family history of
PC) and early-onset PC presented shorter CAG repeat length than controls (18.6+/
2.2 vs 19.5+/-2.5; P=0.02). Lower number of CAG repeats (CAG)?19 were associated
with a greater risk for early-onset PC (odds ratio: 2.31; 95% confidence
interval: 1.14-4.69). CAG repeat length could increase the risk for sporadic and
early-onset PC. The best cutoff point for identifying at-risk subjects was
(CAG)19. However, further studies are necessary to replicate our findings in
subjects with a family history of PC and also to evaluate the association between
CAG repeats length and disease progression.
PMID- 27193224
TI - Analysis of phenotype, enzyme activity and genotype of Chinese patients with
POMT1 mutation.
AB - Protein O-mannosyltransferase 1 (POMT1) is a glycosyltransferase involved in
alpha-dystroglycan glycosylation. POMT1 mutations cause a wide spectrum of
clinical conditions from Walker-Warburg syndrome (WWS), which involves muscle,
eye and brain abnormalities, to mild forms of limb-girdle muscular dystrophy with
mental retardation. We aimed to elucidate the impact of different POMT1 mutations
on the clinical phenotype. We report five Chinese patients with POMT1 mutations:
one had a typical clinical manifestation of WWS, and the other four were
diagnosed with congenital muscular dystrophy with mental retardation of varying
severity. We analyzed the influence of the POMT1 mutations on POMT activity by
assaying the patients' muscles and cultured skin fibroblasts. We demonstrated
different levels of decreased POMT activity that correlated highly with decreased
alpha-dystroglycan glycosylation. Our results suggest that POMT activity is
inversely proportional to clinical severity, and demonstrate that skin
fibroblasts can be used for differential diagnosis of patients with alpha
dystroglycanopathies. We have provided clinical, histological, enzymatic and
genetic evidence of POMT1 involvement in five unrelated Chinese patients.
PMID- 27193221
TI - Otopalatodigital spectrum disorders: refinement of the phenotypic and mutational
spectrum.
AB - Otopalatodigital spectrum disorders (OPDSD) constitute a group of dominant X
linked osteochondrodysplasias including four syndromes: otopalatodigital
syndromes type 1 and type 2 (OPD1 and OPD2), frontometaphyseal dysplasia, and
Melnick-Needles syndrome. These syndromes variably associate specific facial and
extremities features, hearing loss, cleft palate, skeletal dysplasia and several
malformations, and show important clinical overlap over the different entities.
FLNA gain-of-function mutations were identified in these conditions. FLNA encodes
filamin A, a scaffolding actin-binding protein. Here, we report phenotypic
descriptions and molecular results of FLNA analysis in a large series of 27
probands hypothesized to be affected by OPDSD. We identified 11 different
missense mutations in 15 unrelated probands (n=15/27, 56%), of which seven were
novel, including one of unknown significance. Segregation analyses within
families made possible investigating 20 additional relatives carrying a mutation.
This series allows refining the phenotypic and mutational spectrum of FLNA
mutations causing OPDSD, and providing suggestions to avoid the overdiagnosis of
OPD1.
PMID- 27193226
TI - Spectroscopic Evidence for the Two C-H-Cleaving Intermediates of Aspergillus
nidulans Isopenicillin N Synthase.
AB - The enzyme isopenicillin N synthase (IPNS) installs the beta-lactam and
thiazolidine rings of the penicillin core into the linear tripeptide l-delta
aminoadipoyl-l-Cys-d-Val (ACV) on the pathways to a number of important
antibacterial drugs. A classic set of enzymological and crystallographic studies
by Baldwin and co-workers established that this overall four-electron oxidation
occurs by a sequence of two oxidative cyclizations, with the beta-lactam ring
being installed first and the thiazolidine ring second. Each phase requires
cleavage of an aliphatic C-H bond of the substrate: the pro-S-CCys,beta-H bond
for closure of the beta-lactam ring, and the CVal,beta-H bond for installation of
the thiazolidine ring. IPNS uses a mononuclear non-heme-iron(II) cofactor and
dioxygen as cosubstrate to cleave these C-H bonds and direct the ring closures.
Despite the intense scrutiny to which the enzyme has been subjected, the
identities of the oxidized iron intermediates that cleave the C-H bonds have been
addressed only computationally; no experimental insight into their geometric or
electronic structures has been reported. In this work, we have employed a
combination of transient-state-kinetic and spectroscopic methods, together with
the specifically deuterium-labeled substrates, A[d2-C]V and AC[d8-V], to identify
both C-H-cleaving intermediates. The results show that they are high-spin Fe(III)
superoxo and high-spin Fe(IV)-oxo complexes, respectively, in agreement with
published mechanistic proposals derived computationally from Baldwin's founding
work.
PMID- 27193225
TI - Composition of Rosenthal Fibers, the Protein Aggregate Hallmark of Alexander
Disease.
AB - Alexander disease (AxD) is a neurodegenerative disorder characterized by
astrocytic protein aggregates called Rosenthal fibers (RFs). We used mouse models
of AxD to determine the protein composition of RFs to obtain information about
disease mechanisms including the hypothesis that sequestration of proteins in RFs
contributes to disease. A method was developed for RF enrichment, and analysis of
the resulting fraction using isobaric tags for relative and absolute quantitation
mass spectrometry identified 77 proteins not previously associated with RFs.
Three of five proteins selected for follow-up were confirmed enriched in the RF
fraction by immunobloting of both the AxD mouse models and human patients:
receptor for activated protein C kinase 1 (RACK1), G1/S-specific cyclin D2, and
ATP-dependent RNA helicase DDX3X. Immunohistochemistry validated cyclin D2 as a
new RF component, but results for RACK1 and DDX3X were equivocal. None of these
was decreased in the non-RF fractions compared to controls. A similar result was
obtained for the previously known RF component, alphaB-crystallin, which had been
a candidate for sequestration. Thus, no support was obtained for the
sequestration hypothesis for AxD. Providing possible insight into disease
progression, the association of several of the RF proteins with stress granules
suggests a role for stress granules in the origin of RFs.
PMID- 27193229
TI - On evolution operators of genetic coalgebras.
AB - We characterize evolutionary operators acting on coalgebras with genetic
realization modeling the backwards genetic inheritance in Mendelian genetic
systems. This characterization is made in terms of the different slices of the
cubic stochastic matrix of type (1,2) given by the transition probabilities
defining the genetic coalgebra comultiplication. We use the obtained
characterization to describe all possible equilibrium states a genetic population
can reach when tracing the genetic information one generation back.
PMID- 27193227
TI - Biomechanical effects of body weight support with a novel robotic walker for over
ground gait rehabilitation.
AB - Body weight support (BWS) promotes better functional outcomes for neurologically
challenged patients. Despite the established effectiveness of BWS in gait
rehabilitation, the findings on biomechanical effects of BWS training still
remain contradictory. Therefore, the aim of this study is to comprehensively
investigate the effects of BWS. Using a newly developed robotic walker which can
facilitate pelvic motions with an active BWS unit, we compared gait parameters of
ten healthy subjects during a 10-m walk with incremental levels of body weight
unloading, ranging from 0 to 40 % at 10 % intervals. Significant changes in joint
angles and gait temporospatial parameters were observed. In addition, the results
of an EMG signal study showed that the intensity of muscle activation was
significantly reduced with increasing BWS levels. The reduction was found at the
ankle, knee, and hip joints in the sagittal plane as well as at the hip joint in
the frontal plane. The results of this study provide an important indication of
increased lateral body balance and greater stabilization in sagittal and frontal
plane during gait. Our findings provide a better understanding of the
biomechanical effects of BWS during gait, which will help guide the gait
rehabilitation strategies.
PMID- 27193228
TI - Effects of slow and regular breathing exercise on cardiopulmonary coupling and
blood pressure.
AB - Investigation of the interaction between cardiovascular variables and respiration
provides a quantitative and noninvasive approach to assess the autonomic control
of cardiovascular function. The aim of this paper is to investigate the changes
of cardiopulmonary coupling (CPC), blood pressure (BP) and pulse transit time
(PTT) during a stepwise-paced breathing (SPB) procedure (spontaneous breathing
followed by paced breathing at 14, 12.5, 11, 9.5, 8 and 7 breaths per minute, 3
min each) and gain insights into the characteristics of slow breathing exercises.
RR interval, respiration, BP and PTT are collected during the SPB procedure (48
healthy subjects, 27 +/- 6 years). CPC is assessed through investigating both the
phase and amplitude dynamics between the respiration-induced components from RR
interval and respiration by the approach of ensemble empirical mode
decomposition. It was found that even though the phase synchronization and
amplitude oscillation of CPC were both enhanced by the SPB procedure, phase
coupling does not increase monotonically along with the amplitude oscillation
during the whole procedure. Meanwhile, BP was reduced significantly by the SPB
procedure (SBP: from 122.0 +/- 13.4 to 114.2 +/- 14.9 mmHg, p < 0.001, DBP: from
82.2 +/- 8.6 to 77.0 +/- 9.8 mmHg, p < 0.001, PTT: from 172.8 +/- 20.1 to 176.8
+/- 19.2 ms, p < 0.001). Our results demonstrate that the SPB procedure can
reduce BP and lengthen PTT significantly. Compared with amplitude dynamics, phase
dynamics is a different marker for CPC analysis in reflecting cardiorespiratory
coherence during slow breathing exercise. Our study provides a methodology to
practice slow breathing exercise, including the setting of target breathing rate,
change of CPC and the importance of regular breathing. The applications and
usability of the study results have also been discussed.
PMID- 27193230
TI - Social interactions and children with asthma.
AB - This article reviews the literature on the effects of living in a disenfranchised
community for low-income African American children who have asthma. The review
focuses on social integration, social network, interactions with parents, and
limited cultural resources, which lead to negative health outcomes among these
children.
PMID- 27193234
TI - Isomorphous template induced crystallisation: a robust method for the targeted
crystallisation of computationally predicted metastable polymorphs.
AB - A new method of inducing the crystallisation of metastable polymorphs by
isomorphous templating has been developed and used to reproduce the
crystallisation of CBZ-V on the surface of DHC-II. Studies of the growth of CBZ-V
on DHC-II single crystals show crystals growing laterally and vertically on DHC
II surfaces without any significant face selectivity. The generality of this
computationally inspired crystallisation approach is demonstrated by producing
the first crystals of an entirely new polymorph of cyheptamide, which is
isomorphous to both DHC-II and CBZ-V.
PMID- 27193231
TI - Creatine ingestion augments dietary carbohydrate mediated muscle glycogen
supercompensation during the initial 24 h of recovery following prolonged
exhaustive exercise in humans.
AB - Muscle glycogen availability can limit endurance exercise performance. We
previously demonstrated 5 days of creatine (Cr) and carbohydrate (CHO) ingestion
augmented post-exercise muscle glycogen storage compared to CHO feeding alone in
healthy volunteers. Here, we aimed to characterise the time-course of this Cr
induced response under more stringent and controlled experimental conditions and
identify potential mechanisms underpinning this phenomenon. Fourteen healthy,
male volunteers cycled to exhaustion at 70 % VO2peak. Muscle biopsies were
obtained at rest immediately post-exercise and after 1, 3 and 6 days of recovery,
during which Cr or placebo supplements (20 g day(-1)) were ingested along with a
prescribed high CHO diet (37.5 kcal kg body mass(-1) day(-1), >80 % calories
CHO). Oral-glucose tolerance tests (oral-GTT) were performed pre-exercise and
after 1, 3 and 6 days of Cr and placebo supplementation. Exercise depleted muscle
glycogen content to the same extent in both treatment groups. Creatine
supplementation increased muscle total-Cr, free-Cr and phosphocreatine (PCr)
content above placebo following 1, 3 and 6 days of supplementation (all P <
0.05). Creatine supplementation also increased muscle glycogen content noticeably
above placebo after 1 day of supplementation (P < 0.05), which was sustained
thereafter. This study confirmed dietary Cr augments post-exercise muscle
glycogen super-compensation, and demonstrates this occurred during the initial 24
h of post-exercise recovery (when muscle total-Cr had increased by <10 %). This
marked response ensued without apparent treatment differences in muscle insulin
sensitivity (oral-GTT, muscle GLUT4 mRNA), osmotic stress (muscle c-fos and HSP72
mRNA) or muscle cell volume (muscle water content) responses, such that another
mechanism must be causative.
PMID- 27193232
TI - Identification of hydrophobic interactions between relaxin-3 and its receptor
RXFP3: implication for a conformational change in the B-chain C-terminus during
receptor binding.
AB - Relaxin-3 is an insulin/relaxin superfamily neuropeptide implicated in the
regulation of food intake and stress response via activation of the G protein
coupled receptor RXFP3. Their electrostatic interactions have been recently
identified, and involves three positively charged B-chain residues (B12Arg,
B16Arg, and B26Arg) of relaxin-3 and two negatively charged residues (Glu141 and
Asp145) in a highly conserved ExxxD motif at the extracellular end of the second
transmembrane domain of RXFP3. To investigate their hydrophobic interactions, in
the present work we deleted the highly conserved B-chain C-terminal B27Trp
residue of relaxin-3, and mutated four highly conserved aromatic residues
(Phe137, Trp138, Phe146, and Trp148) around the ExxxD motif of RXFP3. The
resultant [?B27W]relaxin-3 exhibited approximately tenfold lower binding potency
and ~1000-fold lower activation potency towards wild-type RXFP3, confirming its
importance for relaxin-3 function. Although the RXFP3 mutants could be normally
trafficked to cell membrane, they had quite different activities. [F137A]RXFP3
could normally distinguish wild-type relaxin-3 and [?B27W]relaxin-3 in binding
and activation assays, whereas [W138A]RXFP3 lost most of this capability,
suggesting that the Trp138 residue of RXFP3 forms hydrophobic interactions with
the B27Trp residue of relaxin-3. The hydrophobic Trp138 residue and the formerly
identified negatively charged Glu141 and Asp145 residues in the highly conserved
WxxExxxD motif may thus form a functional surface that is important for
interaction with relaxin-3. We hypothesize that the relaxin-3 B-chain C-terminus
changes from the original folding-back conformation to an extended conformation
during binding with RXFP3, to allow its B27Trp and B26Arg residues to interact
with the Trp138 and Glu141 residues of RXFP3, respectively.
PMID- 27193233
TI - Destabilization of the ornithine decarboxylase mRNA transcript by the RNA-binding
protein tristetraprolin.
AB - Ornithine decarboxylase (ODC) is the first and usually rate-limiting enzyme in
the polyamine biosynthetic pathway. In a normal physiological state, ODC is
tightly regulated. However, during neoplastic transformation, ODC expression
becomes upregulated. The studies described here show that the ODC mRNA transcript
is destabilized by the RNA-binding protein tristetraprolin (TTP). We show that
TTP is able to bind to the ODC mRNA transcript in both non-transformed RIE-1
cells and transformed Ras12V cells. Moreover, using mouse embryonic fibroblast
cell lines that are devoid of a functional TTP protein, we demonstrate that in
the absence of TTP both ODC mRNA stability and ODC enzyme activity increase when
compared to wild-type cells. Finally, we show that the ODC 3' untranslated region
contains cis acting destabilizing elements that are affected by, but not solely
dependent on, TTP expression. Together, these data support the hypothesis that
TTP plays a role in the post-transcriptional regulation of the ODC mRNA
transcript.
PMID- 27193235
TI - [The Interdisciplinary Conference on Lacrimal System Disorders of ophthalmic and
ENT surgeons at the University Hospital Halle : An analysis of present patient
material].
AB - BACKGROUND AND AIM: The lacrimal system (LS) crosses regions of ocular adnexa,
the nose, and the paranasal sinuses. Surgery of disorders requires a targeted
ophthalmologic and otolaryngologic examination. Since 2013, an Interdisciplinary
Conference on Lacrimal System Disorders (ICLSD) has existed at the University
Hospital Halle. First results of our experiences with this cooperation between
ophthalmic and ENT surgeons are presented. METHODS: In a retrospective study at
the Department of Ophthalmology, University Hospital Halle, all patients aged 22
80 years (n = 43, 10 with bilateral manifestation; mean age 65.1 years) having
had a consultation in ICLSD between February 2013 and May 2015 were analyzed.
Thereby, 53 LS were included in the descriptive evaluation. RESULTS: The main
relevant pathology in terms of ophthalmologic manifestation was chronic
dacryocystitis and its complications (n = 42), whereas nasal septum deviation (n
= 11) and chronic rhinosinusitis (n = 10) were the most frequent
otorhinolaryngologic pathologies. Prior to consultation, ENT (n = 34) and/or
ophthalmic surgery (n = 40) had been performed. During ICLSD, an individualized
therapeutic regime for each patient was developed. Surgery was required in 43 out
of 53 LS. Of these, 32 were mainly ophthalmic, 11 mainly ENT interventions. At
the time of evaluation (median 3 months; range 1 to 24 months), 29 out of 38
patients (76.3 %) were free of complaints. CONCLUSION: Since 2013, competences of
ophthalmic and ENT surgeons have been integrated in ICLSD for advanced diagnosis
and therapy of lacrimal disorders at the University Hospital Halle. Encouraging
functional results are shown in this study, as most patients have had a long and
complicated history of lacrimal system disorders. ICLSD enables interdisciplinary
patient care.
PMID- 27193237
TI - Boosting Responsivity of Organic-Metal Oxynitride Hybrid Heterointerface
Phototransistor.
AB - Amorphous metal oxides are attractive materials for various sensor applications,
because of high electrical performance and easy processing. However, low
absorption coefficient, slow photoresponse, and persistent photoconductivity of
amorphous metal oxide films from the origin of deep-level defects are obstacles
to their use as photonic applications. Here, we demonstrate ultrahigh
photoresponsivity of organic-inorganic hybrid phototransistors featuring bulk
heterojunction polymers and low-bandgap zinc oxynitride. Spontaneous formation of
ultrathin zinc oxide on the surface of zinc oxynitride films could make an
effective band-alignment for electron transfer from the dissociation of excitons
in the bulk heterojunction, while holes were blocked by the deep highest occupied
molecular orbital level of zinc oxide. These hybrid structure-based
phototransistors are ultrasensitive to broad-bandwidth photons in ultraviolet to
near-infrared regions. The detectivity and a linear dynamic range exceeded 10(12)
Jones and 122.3 dB, respectively.
PMID- 27193239
TI - Induction and Tunability of Self-Healing Property of Dendron Based Hydrogel Using
Clay Nanocomposite.
AB - Low molecular weight gels have relatively poor self-healing capacity compared to
that of polymeric gels. Induction and tuning of the healing capacity of low
molecular weight gels to achieve desired applications are thus challenging tasks.
The present work describes the achievement of remarkable tunability of self
healing property for a low molecular weight hybrid gel, based on poly(aryl ether)
dendron derivative (PAD). The hybrid gel has been synthesized using PAD and
poly(amido amine) {PAMAM} dendrimer derivative (QPD), which are intercalated in
the montmorillonite clay (MMT) layers. The self-healing of the hybrid gel (QPD
MMT-PAD) was demonstrated through experiments where the distorted gel regained
the initial value of storage modulus (G') within a few minutes. Further, the
propensity of self-healing of the gel has been tuned as a function of QPD
concentration. The mechanically stable QPD-MMT-PAD hybrid gel has been utilized
for the adsorption of ppm level concentration of polycyclic aromatic hydrocarbons
(PAHs) such as beta-naphthol, pyrene, and phenenathrene from water with excellent
efficiency (80-98%).
PMID- 27193238
TI - Effects of Enterococcus faecalis CECT 7121 on Cryptosporidium parvum infection in
mice.
AB - Cryptosporidium is an opportunistic protozoan parasite of humans and animals
worldwide and causes diarrheal disease that is typically self-limiting in
immunocompetent hosts but often life threatening to immunocompromised
individuals. However, there is a lack of completely efficient therapy available.
Probiotics have attracted the attention as potential antiparasite compounds
against protozoa involved in intestinal infections. This study investigated the
effects of administration of probiotic Enterococcus faecalis CECT 7121 on
Cryptosporidium parvum infection in immunosuppressed mice. Effects on C. parvum
infection at the intestinal mucosa were studied and scored at each portion of the
gut. It was demonstrated that Ef CECT 7121 interfered with C. parvum infection
when both probiotic and parasite were present in the same intestinal location
suggesting that Ef CECT 7121 supplementation can alleviate the negative effects
of C. parvum infection.
PMID- 27193236
TI - A curated and standardized adverse drug event resource to accelerate drug safety
research.
AB - Identification of adverse drug reactions (ADRs) during the post-marketing phase
is one of the most important goals of drug safety surveillance. Spontaneous
reporting systems (SRS) data, which are the mainstay of traditional drug safety
surveillance, are used for hypothesis generation and to validate the newer
approaches. The publicly available US Food and Drug Administration (FDA) Adverse
Event Reporting System (FAERS) data requires substantial curation before they can
be used appropriately, and applying different strategies for data cleaning and
normalization can have material impact on analysis results. We provide a curated
and standardized version of FAERS removing duplicate case records, applying
standardized vocabularies with drug names mapped to RxNorm concepts and outcomes
mapped to SNOMED-CT concepts, and pre-computed summary statistics about drug
outcome relationships for general consumption. This publicly available resource,
along with the source code, will accelerate drug safety research by reducing the
amount of time spent performing data management on the source FAERS reports,
improving the quality of the underlying data, and enabling standardized analyses
using common vocabularies.
PMID- 27193240
TI - Egg white/poly (vinyl alcohol)/MMT nanocomposite hydrogels for wound dressing.
AB - Nanocomposite hydrogels on the basis of egg white and poly (vinyl alcohol) (PVA)
containing 0, 5, and 10 wt.% of montmorillonite (MMT) nanoclay were prepared by a
facile cyclic freezing-thawing technique and their properties investigated for
wound dressing application. The morphological, structural, thermal, physical, and
in vitro cytotoxic properties of the prepared nanocomposite hydrogel wound
dressings (NHWDs) were experimentally studied. The NHWDs had an exfoliated
morphology with a porous structure having pores sizes in the nanometric scale. It
was shown that MMT acted as cross-linker in the network of NHWDs and improved
their thermal stabilities. The prepared wound dressings were transparent and
their equilibrium water contents and water vapor transmission rates, as two
important factors of wound dressings, were very close to the properties of human
skin which means that the prepared wound dressings could interact appropriately
with the damaged tissues of wounds and protect them like an artificial skin
during the wound healing process. The in vitro cytotoxicity assay also confirmed
the non-cytotoxic nature of the prepared NHWDs. It was finally concluded that the
prepared egg white/PVA/MMT nanocomposite hydrogels are promising materials to be
used as novel wound dressings in wound and burn care.
PMID- 27193241
TI - Exclusion performance and learning by exclusion in dogs.
AB - Responding by exclusion is a type of emergent repertoire in which an individual
chooses an alternative by the apparent exclusion of other available alternatives.
In this case it is possible to respond appropriately to an undefined stimulus
(one that has not previously acquired discriminative functions) by excluding the
defined alternatives. There is evidence of exclusion in humans and nonhuman
animals, although learning as an outcome of exclusion does not always occur. This
study aimed to investigate exclusion in visual simple discriminations and
learning of new simple discriminations resulting from exclusion in four border
collies. Subjects were trained to perform simple simultaneous discriminations
between pairs of tridimensional objects, and were then tested for exclusion,
novelty control and learning of new simple discriminations. All dogs successfully
responded by exclusion, choosing an undefined stimulus displayed with an S-. For
three dogs, it was possible to conclude that these previously undefined stimuli
acquired S+ functions, documenting learning of new simple discriminations.
However, this required up to four exposures to exclusion trials with each pair of
stimuli.
PMID- 27193243
TI - Assessing the role of alternative response rates and reinforcer rates in
resistance to extinction of target responding when combining stimuli.
AB - Studies of behavioral momentum reveal that reinforcing an alternative response in
the presence of a target response reduces the rate of target responding but
increases its persistence, relative to training the target response on its own.
Because of the parallels between these studies and differential-reinforcement
techniques to reduce problem behavior in clinical settings, alternative
techniques to reduce problem behavior without enhancing its persistence are being
explored. One potential solution is to train an alternative response in a
separate stimulus context from problem behavior before combining the alternative
stimulus with the target stimulus. The present study assessed how differences in
reinforcement contingencies and rate for alternative responding influenced
resistance to extinction of target responding when combining alternative and
target stimuli in pigeons. Across three experiments, alternative stimuli
signaling a response-reinforcer dependency and greater reinforcer rates more
effectively decreased the persistence of target responding when combining
alternative and target stimuli within the same extinction tests, but not when
compared across separate extinction tests. Overall, these findings reveal that
differences in competition between alternative and target responding produced by
contingencies of alternative reinforcement could influence the effectiveness of
treating problem behavior through combining stimulus contexts.
PMID- 27193242
TI - Behavioral momentum theory fails to account for the effects of reinforcement rate
on resurgence.
AB - The behavioral-momentum model of resurgence predicts reinforcer rates within a
resurgence preparation should have three effects on target behavior. First,
higher reinforcer rates in baseline (Phase 1) produce more persistent target
behavior during extinction plus alternative reinforcement. Second, higher rate
alternative reinforcement during Phase 2 generates greater disruption of target
responding during extinction. Finally, higher rates of either reinforcement
source should produce greater responding when alternative reinforcement is
suspended in Phase 3. Recent empirical reports have produced mixed results in
terms of these predictions. Thus, the present experiment further examined
reinforcer-rate effects on persistence and resurgence. Rats pressed target levers
for high-rate or low-rate variable-interval food during Phase 1. In Phase 2,
target-lever pressing was extinguished, an alternative nose-poke became
available, and nose-poking produced either high-rate variable-interval, low-rate
variable-interval, or no (an extinction control) alternative reinforcement.
Alternative reinforcement was suspended in Phase 3. For groups that received no
alternative reinforcement, target-lever pressing was less persistent following
high-rate than low-rate Phase-1 reinforcement. Target behavior was more
persistent with low-rate alternative reinforcement than with high-rate
alternative reinforcement or extinction alone. Finally, no differences in Phase-3
responding were observed for groups that received either high-rate or low-rate
alternative reinforcement, and resurgence occurred only following high-rate
alternative reinforcement. These findings are inconsistent with the momentum
based model of resurgence. We conclude this model mischaracterizes the effects of
reinforcer rates on persistence and resurgence of operant behavior.
PMID- 27193244
TI - A survey of residual analysis and a new test of residual trend.
AB - A survey of residual analysis in behavior-analytic research reveals that existing
methods are problematic in one way or another. A new test for residual trends is
proposed that avoids the problematic features of the existing methods. It entails
fitting cubic polynomials to sets of residuals and comparing their effect sizes
to those that would be expected if the sets of residuals were random. To this
end, sampling distributions of effect sizes for fits of a cubic polynomial to
random data were obtained by generating sets of random standardized residuals of
various sizes, n. A cubic polynomial was then fitted to each set of residuals and
its effect size was calculated. This yielded a sampling distribution of effect
sizes for each n. To test for a residual trend in experimental data, the median
effect size of cubic-polynomial fits to sets of experimental residuals can be
compared to the median of the corresponding sampling distribution of effect sizes
for random residuals using a sign test. An example from the literature, which
entailed comparing mathematical and computational models of continuous choice, is
used to illustrate the utility of the test.
PMID- 27193247
TI - Corrigendum to "Comparison of non-HDL-cholesterol versus triglycerides-to
HDLcholesterol ratio in relation to cardiometabolic risk factors and preclinical
organ damage in overweight/obese children: The CARITALY study" [Nutr Metab
Cardiovasc Dis 25 (2015) 489-494].
PMID- 27193248
TI - Can Previewing Sport-Specific Video Influence Reactive-Agility Response Time?
AB - The purpose of this study was to examine whether a video-based warm-up could
provide an acute performance benefit to response time for athletes in a sport
specific agility task. In addition, 2 learning strategies, explicit and implicit,
were compared for their effectiveness in facilitating an improvement in sport
specific agility. Thirty representative male junior rugby union players (age 14
16 y, mean age 14.6 +/- 1.09 y) were placed in 3 experimental groups (explicit,
implicit, and control) and completed 2 intervention sessions. Testing sessions
included preintervention testing, completion of the video-based warm-up
intervention, and postintervention testing. A 3D motion-analysis system was used
to assess response time in the testing battery. The athletes' response times on
the pre- to postintervention tests were compared to determine the effectiveness
of the video-based warm-up. A 2-way general linear model with repeated-measures
analysis indicated that both the explicit (P = .030, d = 0.28) and implicit (P =
.049, d = 0.33) groups significantly improved their response time by the
intervention compared with the control group (P = .367, d = 0.08). The mean
postintervention response time for the explicit group improved by 19.1% (from
0.246 s pre to 0.199 s post), and the implicit group improved by 15.7% (from
0.268 s to 0.226 s). Findings suggest that a video-based warm-up may provide an
acute benefit to sport-specific agility performance for junior athletes.
PMID- 27193250
TI - Illumina MiSeq sequencing disfavours a sequence motif in the GFP reporter gene.
AB - Green fluorescent protein (GFP) is one of the most used reporter genes. We have
used next-generation sequencing (NGS) to analyse the genetic diversity of a
recombinant influenza A virus that expresses GFP and found a remarkable coverage
dip in the GFP coding sequence. This coverage dip was present when virus-derived
RT-PCR product or the parental plasmid DNA was used as starting material for NGS
and regardless of whether Nextera XT transposase or Covaris shearing was used for
DNA fragmentation. Therefore, the sequence coverage dip in the GFP coding
sequence was not the result of emerging GFP mutant viruses or a bias introduced
by Nextera XT fragmentation. Instead, we found that the Illumina MiSeq sequencing
method disfavours the 'CCCGCC' motif in the GFP coding sequence.
PMID- 27193251
TI - Fertility preservation option in young women with ovarian cancer.
PMID- 27193252
TI - Erratum to: Vitamin D deficiency in patients with either rheumatic diseases or
inflammatory bowel diseases on biologic therapy.
PMID- 27193254
TI - The Role of DeltaFosB on the Pro-survival Effect of PTHrP in Goat Mammary
Epithelial Cells.
AB - The mechanism of regulation mammary epithelial cell number in ruminant is not
fully understood, but is thought to be dependent on the balance of cell
proliferation and cell apoptosis. Parathyroid hormone-related protein (PTHrP)
could express in mammary epithelial cells and breast cancer cells, and has been
reported to regulate cell survival. Here, we showed that PTHrP induced cell
proliferation and increased the expression of CyclinD1 and proliferating cell
nuclear antigen (PCNA) in goat mammary epithelial cells (GMEC). PTHrP increased
the mRNA levels of anti-apoptosis genes Bcl-2 and Bcl-xl, and protected GMEC from
apoptosis. We also found DeltaFosB, an alternative splicing of finkel-biskis
jinkins murine osteosarcoma B (fosB), inhibited GMEC apoptosis, and induced cell
proliferation with increased Bcl-2/Bax and Bcl-xl/Bax ratios. Interestingly,
DeltaFosB could further promote the pro-survival effect of PTHrP, and the Bcl
2/Bax and Bcl-xl/Bax ratios showed higher levels. We conclude that the pro
survival role of PTHrP in GMEC may be regulated by DeltaFosB.
PMID- 27193255
TI - Loops Adjacent to Catalytic Region and Molecular Stability of Man1312.
AB - Hemicelluloses are the second major polysaccharides in nature and can be
converted to ethanol product by a variety of enzymes including mannanases.
Mannanase is an important enzyme that hydrolyses mannose-containing
polysaccharides which are abundant in plants. An optimized mannanase could help
to improve conversion process and make the technology efficiently and
competitively. In this work, the effects of loops adjacent to active region on
enzymic properties of Man1312 were investigated. Loop 6 and 10 are two loops
neighboring to Man1312 catalytic region, and deletion mutagenesis and residue
substitution were performed on both loops. Deletion on sites S145, Q148, N244,
and S255 and substitution on sites N146, S147, S156, and T157 gave significant
increased stability to enzyme. The quadruplet mutant ManD4I4 combined all the
mutations and had higher optimal temperature and T m value by 5 and 4 degrees C
than Man1312, respectively. From our data, we are able to conclude the loops of
enzymes are important to design mutagenesis and obtain improved properties,
especially the loops neighboring to catalytic region from tertiary structure. In
our experiment, residue deletion and substitution on loops neighboring to
catalytic region made significant improvement on enzyme properties.
PMID- 27193249
TI - Ribosome-based quality control of mRNA and nascent peptides.
AB - Quality control processes are widespread and play essential roles in detecting
defective molecules and removing them in order to maintain organismal fitness.
Aberrant messenger RNA (mRNA) molecules, unless properly managed, pose a
significant hurdle to cellular proteostasis. Often mRNAs harbor premature stop
codons, possess structures that present a block to the translational machinery,
or lack stop codons entirely. In eukaryotes, the three cytoplasmic mRNA
surveillance processes, nonsense-mediated decay (NMD), no-go decay (NGD), and
nonstop decay (NSD), evolved to cope with these aberrant mRNAs, respectively.
Nonstop mRNAs and mRNAs that inhibit translation elongation are especially
problematic as they sequester valuable ribosomes from the translating ribosome
pool. As a result, in addition to RNA degradation, NSD and NGD are intimately
coupled to ribosome rescue in all domains of life. Furthermore, protein products
produced from all three classes of defective mRNAs are more likely to
malfunction. It is not surprising then that these truncated nascent protein
products are subject to degradation. Over the past few years, many studies have
begun to document a central role for the ribosome in initiating the RNA and
protein quality control processes. The ribosome appears to be responsible for
recognizing the target mRNAs as well as for recruiting the factors required to
carry out the processes of ribosome rescue and nascent protein decay. WIREs RNA
2017, 8:e1366. doi: 10.1002/wrna.1366 For further resources related to this
article, please visit the WIREs website.
PMID- 27193256
TI - A Multivariate Approach to Evaluate Biomass Production, Biochemical Composition
and Stress Compounds of Spirulina platensis Cultivated in Wastewater.
AB - The study was performed to investigate the effects of using cow effluent for the
cultivation of Spirulina platensis on its biomass production and cell physiology.
S. platensis was cultivated in three different cow effluents (CE) used as
cultivation medium during 15 days. CE was prepared using dry cow manures, and it
was further modified with supplement of NaNO3 (CEN) and NaNO3 + NaCl (CENS). High
nitrate value stimulated chlorophyll-a and total protein content of the
cyanobacterium and also biomass production in standards medium (SM) and CEN
media. Total carbohydrate content of S. platensis grown in CE media was found to
be higher (p < 0.05) than that of SM. Productions of biomass and biochemical
compounds by the cyanobacterium grown on the CE and SM media were evaluated by
using multivariate approach. Conductivity, oxidation reduction potential (ORP),
salinity, pH, and TDS played important role (p < 0.01) in the biochemical
composition. As an effective explanatory factor, ORP had a significant positive
correlation with H2O2, whereas negatively correlated with chlorophyll-alpha,
biomass production, filament length, and proline. Canonical correspondence
analysis proposed that biochemical compounds of S. platensis were not only
affected by salinity and nutrition of media but also by pH and ORP. The present
study indicated that CEN as a low cost model medium had high potential for the
production of biomass by S. platensis with high protein content.
PMID- 27193257
TI - Tungsten Oxide Nanoplates; the Novelty in Targeting Metalloproteinase-7 Gene in
Both Cervix and Colon Cancer Cells.
AB - In this study, we synthesized tungsten oxide (WO3) nanoplates, both
crystallographic phases and the morphology of the samples were determined by
powder x-ray diffraction and the scanning electron microscopy, respectively. The
obtained data clarified that, the all prepared WO3.H2O samples were composed of
large quantity of nanoplates. The cytotoxicity patterns of nanoplates were
checked on both normal and cancer mammalian cell lines. Both nanoplates
cytotoxicity did not exceed the 50 % inhibitory concentration (IC50) on the all
normal tested cells even by using concentrations up to 1 mg/ml. In addition,
orthorhombic tungsten oxide nanoplate was more potent against both Caco2 and Hela
cells by showing inhibition percentages in cellular viability 64.749 and 72.27,
respectively, and with cancer selectivity index reached 3.2 and 2.6 on both colon
and cervix cancer, respectively. The anticancer effects of nanoplates were
translated to alteration in both pro-apoptotic and anti-apoptotic genes
expressions. Tungsten oxide nanoplates down regulated the expression of B cell
lymphoma 2 (Bcl-2) and metalloproteinase-7 (MMP7) genes. In addition,
orthorhombic tungsten oxide nanoplates showed more potentiation in IL2 and IL8
induction (40.43 pg/ml) and upregulation of TNF-alpha gene expression but with
lower folds than Escherichia coli lipopolysaccharide (LPS) induction.
PMID- 27193258
TI - Cell-Cell Communication Between Fibroblast and 3T3-L1 Cells Under Co-culturing in
Oxidative Stress Condition Induced by H2O2.
AB - The present study was carried out to understand the interaction between
fibroblast and 3T3-L1 preadipocyte cells under H2O2-induced oxidative stress
condition. H2O2 (40 MUM) was added in co-culture and monoculture of fibroblast
and 3T3-L1 cell. The cells in the lower well were harvested for analysis and the
process was carried out for both cells. The cell growth, oxidative stress
markers, and antioxidant enzymes were analyzed. Additionally, the mRNA
expressions of caspase-3 and caspase-7 were selected for analysis of apoptotic
pathways and TNF-alpha and NF-kappaB were analyzed for inflammatory pathways. The
adipogenic marker such as adiponectin and PPAR-gamma and collagen synthesis
markers such as LOX and BMP-1 were analyzed in the co-culture of fibroblast and
3T3-L1 cells. Cell viability and antioxidant enzymes were significantly increased
in the co-culture compared to the monoculture under stress condition. The
apoptotic, inflammatory, adipogenic, and collagen-synthesized markers were
significantly altered in H2O2-induced co-culture of fibroblast and 3T3-L1 cells
when compared with the monoculture of H2O2-induced fibroblast and 3T3-L1 cells.
In addition, the confocal microscopical investigation indicated that the co
culture of H2O2-induced 3T3-L1 and fibroblast cells increases collagen type I and
type III expression. From our results, we suggested that co-culture of fat cell
(3T3-L1) and fibroblast cells may influence/regulate each other and made the
cells able to withstand against oxidative stress and aging. It is conceivable
that the same mechanism might have been occurring from cell to cell while animals
are stressed by various environmental conditions.
PMID- 27193259
TI - Effectiveness and outcomes of a nurse practitioner-run chest pain evaluation
unit.
AB - BACKGROUND: Every year, more than 5 million patients seek medical care for chest
pain. OBJECTIVE: The goal of this study was to evaluate test utilization and
outcomes of a nurse practitioner (NP)-based chest pain unit and compare results
to data previously reported from our institution. DESIGN, SETTING, AND
PARTICIPANTS: The records from 814 consecutive patients with chest pain admitted
to the NP-run unit were compared to the outcomes of 250 patients admitted to a
separate hospitalist-run unit at a New York City hospital. RESULTS: Forty-four
percent of patients in the NP unit underwent stress myocardial perfusion imaging
(MPI) as the primary diagnostic test (compared to 22% in the hospitalist unit, p
< .0001). The average length of stay was shorter for patients in the NP unit (2.7
+/- 3.6 days compared to 3.9 +/- 3.4 days, p < .0001). Additionally, the 90-day
readmission rate was less for patients in the NP unit (2.7% vs. 3.9%, p < .0006).
CONCLUSIONS: An NP-run chest pain unit resulted in decreased length of stay and
reduced readmission rates compared to a hospitalist-based unit.
PMID- 27193260
TI - Enhanced power factor via the control of structural phase transition in SnSe.
AB - Tin selenide has attracted much research interest due to its unprecedentedly high
thermoelectric figure of merit (ZT). For real applications, it is desirable to
increase the ZT value in the lower-temperature range, as the peak ZT value
currently exists near the melting point. It is shown in this paper that the
structural phase transition plays an important role in boosting the ZT value of
SnSe in the lower-temperature range, as the Cmcm phase is found to have a much
higher power factor than the Pnma phase. Furthermore, hydrostatic pressure is
predicted to be extremely effective in tuning the phase transition temperature
based on ab-initio molecular dynamic simulations; a remarkable decrease in the
phase transition temperature is found when a hydrostatic pressure is applied.
Dynamical stabilities are investigated based on phonon calculations, providing
deeper insight into the pressure effects. Accurate band structures are obtained
using the modified Becke-Johnson correction, allowing reliable prediction of the
electrical transport properties. The effects of hydrostatic pressure on the
thermal transport properties are also discussed. Hydrostatic pressure is shown to
be efficient in manipulating the transport properties via the control of phase
transition temperature in SnSe, paving a new path for enhancing its
thermoelectric efficiency.
PMID- 27193262
TI - Multiplexed data independent acquisition (MSX-DIA) applied by high resolution
mass spectrometry improves quantification quality for the analysis of histone
peptides.
AB - We present the MS-based application of the innovative, although scarcely
exploited, multiplexed data-independent acquisition (MSX-DIA) for the analysis of
histone PTMs. Histones are golden standard for complexity in MS based proteomics,
due to their large number of combinatorial modifications, leading to isobaric
peptides after proteolytic digestion. DIA has, thus, gained popularity for the
purpose as it allows for MS/MS-based quantification without upfront assay
development. In this work, we evaluated the performance of traditional DIA versus
MSX-DIA in terms of MS/MS spectra quality, instrument scan rate and
quantification precision using histones from HeLa cells. We used an MS/MS
isolation window of 10 and 6 m/z for DIA and MSX-DIA, respectively. Four MS/MS
scans were multiplexed for MSX-DIA. Despite MSX-DIA was programmed to perform two
fold more MS/MS events than traditional DIA, it acquired on average ~5% more full
MS scans, indicating even faster scan rate. Results highlighted an overall
decrease of background ion signals using MSX-DIA, and we illustrated specific
examples where peptides of different precursor masses were co-fragmented by DIA
but not MSX-DIA. Taken together, MSX-DIA proved thus to be a more favorable
method for histone analysis in data independent mode.
PMID- 27193261
TI - T-bet is a key modulator of IL-23-driven pathogenic CD4(+) T cell responses in
the intestine.
AB - IL-23 is a key driver of pathogenic Th17 cell responses. It has been suggested
that the transcription factor T-bet is required to facilitate IL-23-driven
pathogenic effector functions; however, the precise role of T-bet in intestinal T
cell responses remains elusive. Here, we show that T-bet expression by T cells is
not required for the induction of colitis or the differentiation of pathogenic
Th17 cells but modifies qualitative features of the IL-23-driven colitogenic
response by negatively regulating IL-23R expression. Consequently, absence of T
bet leads to unrestrained Th17 cell differentiation and activation characterized
by high amounts of IL-17A and IL-22. The combined increase in IL-17A/IL-22
results in enhanced epithelial cell activation and inhibition of either IL-17A or
IL-22 leads to disease amelioration. Our study identifies T-bet as a key
modulator of IL-23-driven colitogenic responses in the intestine and has
important implications for understanding of heterogeneity among inflammatory
bowel disease patients.
PMID- 27193263
TI - Merging scleractinian genera: the overwhelming genetic similarity between
solitary Desmophyllum and colonial Lophelia.
AB - BACKGROUND: In recent years, several types of molecular markers and new
microscale skeletal characters have shown potential as powerful tools for
phylogenetic reconstructions and higher-level taxonomy of scleractinian corals.
Nonetheless, discrimination of closely related taxa is still highly controversial
in scleractinian coral research. Here we used newly sequenced complete
mitochondrial genomes and 30 microsatellites to define the genetic divergence
between two closely related azooxanthellate taxa of the family Caryophylliidae:
solitary Desmophyllum dianthus and colonial Lophelia pertusa. RESULTS: In the
mitochondrial control region, an astonishing 99.8 % of nucleotides between L.
pertusa and D. dianthus were identical. Variability of the mitochondrial genomes
of the two species is represented by only 12 non-synonymous out of 19 total
nucleotide substitutions. Microsatellite sequence (37 loci) analysis of L.
pertusa and D. dianthus showed genetic similarity is about 97 %. Our results also
indicated that L. pertusa and D. dianthus show high skeletal plasticity in
corallum shape and similarity in skeletal ontogeny, micromorphological (septal
and wall granulations) and microstructural characters (arrangement of rapid
accretion deposits, thickening deposits). CONCLUSIONS: Molecularly and
morphologically, the solitary Desmophyllum and the dendroid Lophelia appear to be
significantly more similar to each other than other unambiguous coral genera
analysed to date. This consequently leads to ascribe both taxa under the generic
name Desmophyllum (priority by date of publication). Findings of this study
demonstrate that coloniality may not be a robust taxonomic character in
scleractinian corals.
PMID- 27193264
TI - Using health and demographic surveillance for the early detection of cholera
outbreaks: analysis of community- and hospital-based data from Matlab,
Bangladesh.
AB - BACKGROUND: Cholera outbreaks are a continuing problem in Bangladesh, and the
timely detection of an outbreak is important for reducing morbidity and
mortality. In Matlab, the ongoing Health and Demographic Surveillance System
(HDSS) data records symptoms of diarrhea in children under the age of 5 years at
the community level. Cholera surveillance in Matlab currently uses hospital-based
data. OBJECTIVE: The objective of this study is to determine whether increases in
cholera in Matlab can be detected earlier by using HDSS diarrhea symptom data in
a syndromic surveillance analysis, when compared to hospital admissions for
cholera. METHODS: HDSS diarrhea symptom data and hospital admissions for cholera
in children under 5 years of age over a 2-year period were analyzed with the
syndromic surveillance statistical program EARS (Early Aberration Reporting
System). Dates when significant increases in either symptoms or cholera cases
occurred were compared to one another. RESULTS: The analysis revealed that there
were 43 days over 16 months when the cholera cases or diarrhea symptoms increased
significantly. There were 8 months when both data sets detected days with
significant increases. In 5 of the 8 months, increases in diarrheal symptoms
occurred before increases of cholera cases. The increases in symptoms occurred
between 1 and 15 days before the increases in cholera cases. CONCLUSIONS: The
results suggest that the HDSS survey data may be able to detect an increase in
cholera before an increase in hospital admissions is seen. However, there was no
direct link between diarrheal symptom increases and cholera cases, and this, as
well as other methodological weaknesses, should be taken into consideration.
PMID- 27193265
TI - Post-disaster health impact of natural hazards in the Philippines in 2013.
AB - BACKGROUND: In 2011, the Health Emergency Management Bureau (HEMB) created the
Surveillance for Post Extreme Emergencies and Disasters (SPEED), a real-time
syndromic surveillance system that allows the early detection and monitoring of
post-disaster disease trends. SPEED can assist health leaders in making informed
decisions on health systems affected by disasters. There is a need for further
validation of current concepts in post-disaster disease patterns in respect to
actual field data. This study aims to evaluate the temporal post-disaster
patterns of selected diseases after a flood, an earthquake, and a typhoon in the
Philippines in 2013. METHODOLOGY: We analyzed the 21 syndromes provided by SPEED
both separately and grouped into injuries, communicable diseases, and non
communicable diseases (NCDs) by calculating daily post-disaster consultation
rates for up to 150 days post-disaster. These were compared over time and
juxtaposed according to the type of disaster. RESULTS: Communicable diseases were
found to be the predominant syndrome group in all three disaster types. The top
six syndromes found were: acute respiratory infections, open wounds, bruises and
burns, high blood pressure, skin disease, fever, and acute watery diarrhea.
DISCUSSION: Overall, the results aligned with the country's morbidity profile.
Within 2 months, the clear gradation of increasing syndrome rates reflected the
severity (flood=70 years, race, ethnicity
and baseline glycaemic status did not. Compared with a reference subject weighing
100 kg, exposure of liraglutide 3.0 mg was 44 % lower for a subject weighing 234
kg (90 % CI 41-47), 41 % higher for a subject weighing 60 kg (90 % CI 37-46), and
32 % higher (90 % CI 28-35) in females than males with the same body weight.
Neither injection site nor renal function significantly influenced exposure of
liraglutide 3.0 mg (post hoc analysis). CONCLUSION: Population pharmacokinetics
of liraglutide up to and including 3.0 mg daily in overweight and obese adults
demonstrated dose-proportional exposure, and limited effect of covariates other
than sex and body weight. These findings were similar to those previously
observed with liraglutide up to 1.8 mg in subjects with type 2 diabetes mellitus.
Further analysis of exposure-response relationship and its effect on dose
requirements is addressed in a separate publication.
PMID- 27193273
TI - Are Aristolochic Acids Responsible for the Chemical Defence of Aposematic Larvae
of Battus polydamas (L.) (Lepidoptera: Papilionidae)?
AB - Aristolochic acids (AAs) are thought to be responsible for the chemical
protection of the aposematic larvae Battus polydamas (L.) (Papilionidae:
Troidini) against predators. These compounds are sequestered by larvae from their
Aristolochia (Aristolochiaceae) host plants. Studying the role of the chemical
protection of the second and fifth instars of B. polydamas against potential
predators, we found that the consumption of larvae by the carpenter ant
Camponotus crassus Mayr and young chicks Gallus gallus domesticus was dependent
on larval developmental stage. Second instars were more preyed upon than fifth
instars; however, the assassin bug Montina confusa Stal was not deterred by
chemical defences of the fifth instar B. polydamas. Laboratory bioassays with
carpenter ants and young chicks using palatable baits topically treated with a
pure commercial mixture of AAs I and AAs II in concentrations up to 100 times
those previously found in B. polydamas larvae showed no activity. Similar results
were found in field bioassays, where palatable baits treated as above were
exposed to the guild of predators that attack B. polydamas larvae and were also
consumed irrespective of the commercial AA concentration used. These results
suggest that the mixture of AAs I and AAs II have no defensive role against
predators, at least against those investigated in the present work. Other
compounds present in Aristolochia host plants such as O-glycosylated AAs;
benzylisoquinoline alkaloids; and mono-, sesqui-, di-, and triterpenes, which can
be sequestered by Troidini, could act as deterrents against predators.
PMID- 27193275
TI - Influence of Apis mellifera L. (Hymenoptera: Apidae) on the Use of the Most
Abundant and Attractive Floral Resources in a Plant Community.
AB - Some factors influence the distribution of abundance of floral visitors,
especially the amount and quality of the floral resources available, the size of
the area occupied by the visitor, habitat heterogeneity, and the impact caused by
natural enemies and introduced species. The objective of this research was to
evaluate the distribution of abundance of the foraging activity of native floral
visitors and Apis mellifera L. in the most abundant and attractive food sources
in a secondary forest fragment with features of Cerrado-Atlantic Forest. Some
plant species were selected and the frequency of foraging made by floral visitors
was recorded. A high abundance of visits in flowers was performed by A.
mellifera. Two factors may have influenced this result: (1) the occupation of the
forest fragment predominantly by vines and shrubs at the expenses of vegetation
with arboreal characteristics that favored the encounter of the flowering plants
by A. mellifera; (2) rational beekeeping of A. mellifera, causing the number of
natural swarms which originate annually from colonies of commercial apiaries and
colonies previously established in the environment to be very high, thus leading
to an increase in the population size of this bee species in the study site. The
frequent occurrence of human-induced fire and deforestation within the forest
fragment may have reduced the population size of the bee species, including A.
mellifera. As the populations of A. mellifera have the capacity to quickly occupy
the environment, this species possibly became dominant after successive
disturbances made in the forest fragment.
PMID- 27193274
TI - Species Diversity and Temporal Variation of the Orchid-Bee Fauna (Hymenoptera,
Apidae) in a Conservation Gradient of a Rocky Field Area in the Espinhaco Range,
State of Minas Gerais, Southeastern Brazil.
AB - This study investigated the orchid-bee community in a conservation gradient of
the high-altitude rocky fields in the state of Minas Gerais, Brazil. Sampling was
performed at two sites with different anthropic influences: a disturbed area
(DA), with exotic plant species, and a preserved area (PA). From September 2009
through February 2011, males of euglossine bees were sampled using aromatic bait
traps. We collected a total of 819 specimens belonging to 11 species and three
genera: Euglossa Latreille, Eulaema Lepeletier, and Eufriesea Cockerell. Despite
the proximity of DA and PA (about 1.2 km), differences in orchid-bee abundance
and richness were observed. Higher abundance was observed in the PA (n = 485)
compared with the DA (n = 334). Eight species were common to both sites, and only
the DA showed exclusive species. The DA showed higher diversity and higher
estimated species richness. Euglossa leucotricha Rebelo & Moure was the most
abundant species at both sites followed by Euglossa melanotricha Moure. Higher
abundance and richness were found in the warm rainy season. This study
contributes to the knowledge of the orchid-bee fauna in the rocky fields and
suggests that the greater resource availability in the DA was responsible for the
higher orchid-bee diversity.
PMID- 27193276
TI - Development and Leaf Consumption by Spodoptera cosmioides (Walker) (Lepidoptera:
Noctuidae) Reared on Leaves of Agroenergy Crops.
AB - Spodoptera cosmioides (Walker) (Lepidoptera: Noctuidae) is a polyphagous pest
that threatens more than 24 species of crop plants including those used for
biodiesel production such as Ricinus communis (castor bean), Jatropha curcas
(Barbados nut), and Aleurites fordii (tung oil tree). The development and leaf
consumption by S. cosmioides reared on leaves of these three species were studied
under controlled laboratory conditions. The egg-to-adult development time of S.
cosmioides was shortest when reared on castor bean leaves and longest when reared
on tung oil tree leaves. Larvae reared on castor bean and Barbados nut leaves had
seven instars, whereas those reared on tung oil tree leaves had eight. Females
originating from larvae reared on castor bean and Barbados nut leaves showed
greater fecundity than did females originating from larvae reared on tung oil
tree leaves. Insects fed on castor bean leaves had shorter life spans than those
fed on tung oil tree and Barbados nut leaves although the oviposition period did
not differ significantly. The intrinsic and finite rates of increase were highest
for females reared on castor bean leaves. Total leaf consumption was highest for
larvae reared on tung oil tree leaves and lowest for those reared on Barbados nut
leaves. We conclude that castor bean is a more appropriate host plant for the
development of S. cosmioides than are Barbados nut and tung oil tree.
PMID- 27193277
TI - Egg Phenology of a Host-Specialist Butterfly in the Western Slopes of the
Northern Chilean Andes.
AB - Phenological studies are especially important in order to understand the
ecological process operating at temporal level. The western slopes of the
northern Chilean Andes at about 3,500 m asl are a mosaic of arid environments in
which precipitations are highly seasonal, mostly concentrated in summer.
Teriocolias zelia andina Forbes (Lepidoptera: Pieridae) is one of the most
conspicuous and regularly observed butterflies flying in this region; it is a
host specialist associated with the native shrub Senna birostris var.
arequipensis (Fabaceae). The objectives of this study were (1) to characterize
the temporal variations in the relative abundance of eggs of this host-specialist
butterfly and (2) to examine the relationship of these variations with leaf
phenology. Monthly samplings of eggs were carried out from February 2011 to
January 2012. Circular statistical analyses of the relative abundance of eggs
indicated clustered distribution along the year with the mean vector in June.
Temporal variation in the relative abundance of eggs was correlated (Spearman
rank correlation test) with the availability of plant substrate for egg laying
and larval feeding.
PMID- 27193279
TI - Distribution, Abundance and Molecular Analysis of Genus Barbadocladius Cranston &
Krosch (Diptera, Chironomidae) in Tropical, High Altitude Andean Streams and
Rivers.
AB - The distribution of the genus Barbadocladius Cranston & Krosch (Diptera:
Chironomidae), previously reported from Chile to Bolivia, has extended
northwards. Larvae, pupae and pupal exuviae of this genus have been found in the
high mountain tropical streams of Peru to 9 degrees 22'56", but are restricted to
very high altitude streams (altitudes over 3,278 m asl) compared to the lower
altitude streams (below 1,100 m asl) in which the genus is reported in Chile and
Argentina. Based on morphological studies, both described species in the genus,
Barbadocladius andinus Cranston & Krosch and Barbadocladius limay Cranston &
Krosch, have been found in Peru as pupae or pupal exuviae. Morphological analysis
of the larvae and pupae revealed no differences between the two described species
from Patagonia and Peru, which are of similar size and with a similar armament of
hooklets and spines in pupal tergites and sternites. However, molecular analysis
of larvae and pupae revealed that in Peru, there are at least two different
evolutionary lines, one distributed widely and another restricted to one site.
Phylogenetic analysis (using cox1 mitochondrial sequences) of all available
sequences of Barbadocladius shows that the Chilean and Argentinean material
differs from that of Peru. Therefore, a total of four molecular segregates are
identified, although morphologically, neither larvae nor the pupae may be
differentiated.
PMID- 27193278
TI - Sexual Size Dimorphism in the Color Pattern Elements of Two Mimetic Heliconius
Butterflies.
AB - Sexual dichromatism and sexual dimorphism of body size are reasonably well
studied in butterflies. Sexual size dimorphism of color pattern elements,
however, is much less explored. The object of this study is Heliconius, a genus
of butterflies well known for the coevolution between mate color preferences and
mimicry. Given the sexual role of wing coloration, we investigated the existence
of sexual size dimorphism in the wing color elements of a mimetic pair-Heliconius
erato phyllis Fabricius and Heliconius besckei Menetries-and analyzed the
allometric patterns of these traits. Correlation between size of elements in the
dorsal and ventral wing surfaces were also estimated. In both species, three out
of four elements were larger in males, but the non-dimorphic element was not the
same. With regard to the allometric patterns, our most important finding was that
smaller males of one species have proportionally larger yellow bars. This is the
first study specifically concerning quantitative sexual dimorphism in the
coloration of this well-known genus of butterflies and it opens new prospects to
investigate sex-related natural selection and sexual selection of color pattern
elements.
PMID- 27193280
TI - The Identity of Specimens of the Anastrepha fraterculus Complex (Diptera,
Tephritidae) with Atypical Aculeus Tip.
AB - Several specimens collected in Paraguay along with Anastrepha fraterculus (sensu
lato) have an aculeus tip similar to species from the fraterculus complex, but
the teeth of the aculeus of these specimens are poorly defined. As Anastrepha
species identification is based mostly on subtle differences in the aculeus tip,
we studied these specimens with atypical aculeus tips (with poorly defined teeth)
that slightly differs from the aculeus tip of species of the fraterculus complex
(with well-developed blunt teeth), to determine if this is due to intraspecific
variation or if it can characterize a full species. The Paraguayan specimens were
separated in six groups under stereomicroscope according to variation in their
aculeus tip. Specimens within each group were studied by means of morphometrics
(traditional and geometric) and gene sequence analysis (COI and ITS1).
Morphometric analyses were significant, but no clear groups were formed by the
discriminant analyses of the aculeus and wing, and the COI and ITS1 sequence
analysis clustered specimens with all six aculeus variations. Therefore, the
subtle morphological differences observed in the aculeus tip of Paraguayan
specimens are intraspecific variations and the Paraguayan specimens were more
genetically closely related to Anastrepha sp. 3 from the fraterculus complex.
PMID- 27193281
TI - Aphid Species and Population Dynamics Associated with Strawberry.
AB - Aphids are among the major pests associated with strawberries in Southern Brasil.
In this study, we identified the main species that occur in strawberry fields in
the states of Parana and Rio Grande do Sul, Brasil. We also compared the
effectiveness of different sampling methods and studied the population dynamics
of aphid species during two strawberry crop cycles in the municipality of
Pinhais, state of Parana, Brasil. Chaetosiphon fragaefolii (Cockerell) and Aphis
forbesi Weed were the main species associated with strawberry. The method of hit
plant and the Moericke trap showed equal effectiveness to capture wingless and
winged insects. The peak population of aphids in the state of Parana occurred
from September to November. This information can help producers to implement
strategies to monitor and control the major aphid species that occur in
strawberry culture.
PMID- 27193282
TI - Effects of Pepper (Capsicum annuum) Cultivars on the Biology and Life Table
Parameters of Myzus persicae (Sulz.) (Hemiptera: Aphididae).
AB - Green peach aphids, Myzus persicae (Sulz.) (Hemiptera: Aphididae), obtained from
pepper fields, were colonized on susceptible pepper plants in a growth chamber.
The development, survivorship, and life table parameters of the green peach aphid
were evaluated on nine peppers cultivars as follows: Almuden, Bilano, Bird,
Cabezo, de la Sierra, Eppo, Jaen, Raza, and Yatasto at controlled conditions (20
+/- 1 degrees C; about 70% RH; 14h photophase). The development times of
immatures ranged from 6.1 days on Yatasto to 11.4 days on Jaen, whereas immature
survival was close to 100% on all cultivars. The intrinsic rate of increase (r m
) for green peach aphid on Bilano and Yatasto were the highest. Jackknife
estimates of r m varied from 0.281 to 0.174 females/female/day on Yatasto and
Jaen, respectively. The mean population generation times (T) on these hosts
ranged from 13.7 to 22.7 days. The highest net reproductive rates (R 0 ) were on
Bird and Bilano (63.8 and 62.89 females/female/generation, respectively) and the
lowest on De la Sierra and Almuden (34.1 and 38.7, respectively). Because of the
high coefficient of determination (R (2)) values in Gompertz and Weibul models,
survival data from different cultivars had a good fit to both models. The results
pointed Jaen, Almuden, and Raza cultivars as the least suitable host plants,
indicating that they were the most resistant to M. persicae among the cultivars
we tested.
PMID- 27193283
TI - Assessment of Insecticidal Efficacy of Diatomaceous Earth and Powders of Common
Lavender and Field Horsetail against Bean Weevil Adults.
AB - In the search for an effective and sustainable control method against the bean
weevil Acanthoscelides obtectus (Say), an important insect pest affecting stored
common beans and other legumes, three different powders were tested against adult
been weevils under laboratory conditions. The three powders were diatomaceous
earth (DE) (commercial product SilicoSec(r)), common lavender (Lavandula
angustifolia) powder and field horsetail (Equisetum arvense) powder. The
substances were tested at five temperatures (15, 20, 25, 30, and 35 degrees C),
two relative humidity levels (RH) (55 and 75%), and four concentrations (100,
300, 500, and 900 ppm). The mortality of adults was measured after the 1st, 2nd,
4th, and 7th days of exposure. The efficacy of the powders increased with the
temperature, whereas in general, RH did not have a significant effect on the
adults' survival. According to common practice of storing common beans, we
recommend the use of DE against the pest in question, as this inert powder showed
the highest efficacy at lower temperatures and concentrations. Concerning the
wider use of common lavender and field horsetail powders, we suggest studying
their combined use with other environmentally friendly methods with the aim of
achieving the highest synergistic effect possible.
PMID- 27193284
TI - Activity in the fronto-parietal network indicates numerical inductive reasoning
beyond calculation: An fMRI study combined with a cognitive model.
AB - Numerical inductive reasoning refers to the process of identifying and
extrapolating the rule involved in numeric materials. It is associated with
calculation, and shares the common activation of the fronto-parietal regions with
calculation, which suggests that numerical inductive reasoning may correspond to
a general calculation process. However, compared with calculation, rule
identification is critical and unique to reasoning. Previous studies have
established the central role of the fronto-parietal network for relational
integration during rule identification in numerical inductive reasoning. The
current question of interest is whether numerical inductive reasoning exclusively
corresponds to calculation or operates beyond calculation, and whether it is
possible to distinguish between them based on the activity pattern in the fronto
parietal network. To directly address this issue, three types of problems were
created: numerical inductive reasoning, calculation, and perceptual judgment. Our
results showed that the fronto-parietal network was more active in numerical
inductive reasoning which requires more exchanges between intermediate
representations and long-term declarative knowledge during rule identification.
These results survived even after controlling for the covariates of response time
and error rate. A computational cognitive model was developed using the cognitive
architecture ACT-R to account for the behavioral results and brain activity in
the fronto-parietal network.
PMID- 27193285
TI - Delivering a quality-assured fracture liaison service in a UK teaching hospital
is it achievable?
AB - To determine whether new national guidance on the specifications of a fracture
liaison service are realistically deliverable, 1 year of data on the performance
of such a service were audited. Audit targets were mostly met. This audit
demonstrates that these standards are deliverable in a real world setting.
INTRODUCTION: UK service specifications for a fracture liaison service (FLS) have
been produced (National Osteoporosis Society, NOS) to promote effective
commissioning and delivery of the highest quality care to patients with fragility
fractures. How deliverable these standards are has not as yet been methodically
reported. Our FLS was modelled on the ten NOS standards; performance was audited
after 1 year to determine whether these standards could be delivered and to
describe the lessons learnt. METHODS: Performance was audited against the NOS FLS
Service Standards, with management based on the Fracture Risk Assessment Tool
(FRAX(r)), the four-item Falls Risk Assessment Tool (FRAT), National Institute
for Health and Care Excellence (NICE) and the National Osteoporosis Guideline
Groups (NOGG) guidance. Data were recorded prospectively on a database. The FLS
commenced in May 2014, was fully operational in August 2014 and data were
captured from 1 September 2014 to 1 September 2015. RESULTS: The FLS detected
1773 patients and standards were largely achieved. Most, 94 %, patients were seen
within 6 weeks, 533 DXA requests were generated, 804 outpatient FRAT assessments
were recorded (134 required falls intervention) and 773 patients had bone
treatments started. On follow-up at 3 months, between 78-79 % were still taking
medication. CONCLUSIONS: Preliminary evaluation of a FLS implemented according to
UK NOS standards demonstrates that the model is practical to apply to a large
teaching hospital population. Collection and review of outcome and cost
effectiveness data is required to determine the performance of this model in
comparison with existing models.
PMID- 27193286
TI - [Molecular epidemiology of phlebovirus in four provinces in Morocco].
AB - Sandflies are vectors of protozoa, viruses, and bacteria. To investigate the
transmission of phleboviruses, a total of 8753 sandflies were collected in four
foci of leishmania. A total of 16 distinct species were morphologically
identified. Nested-PCR and cell culture screening for phleboviruses, using an
assay targeting the polymerase gene, showed positive results for 19 pools of
sandflies. Sequencing of the corresponding products confirmed the results and
allowed identification of Toscana virus exclusively. Corresponding sandfly
species originated from four different foci, and were different from those
commonly reported in the literature. Sequence analysis shows that the Moroccan
Toscana viruses belong to genotype B and appear close to the Toscana viruses
isolated in France and Spain. This study reported the existence of the virus in
the north, center and south of the country. The abundance and diversity of
sandflies in Morocco, Mediterranean climate, would support the continuous
circulation of Toscana virus in our country, posing a potential risk of emergence
of these arboviruses.
PMID- 27193288
TI - Teaching Real Data Interpretation with Models (TRIM): Analysis of Student
Dialogue in a Large-Enrollment Cell and Developmental Biology Course.
AB - We present our design for a cell biology course to integrate content with
scientific practices, specifically data interpretation and model-based reasoning.
A 2-yr research project within this course allowed us to understand how students
interpret authentic biological data in this setting. Through analysis of written
work, we measured the extent to which students' data interpretations were valid
and/or generative. By analyzing small-group audio recordings during in-class
activities, we demonstrated how students used instructor-provided models to build
and refine data interpretations. Often, students used models to broaden the scope
of data interpretations, tying conclusions to a biological significance. Coding
analysis revealed several strategies and challenges that were common among
students in this collaborative setting. Spontaneous argumentation was present in
82% of transcripts, suggesting that data interpretation using models may be a way
to elicit this important disciplinary practice. Argumentation dialogue included
frequent co-construction of claims backed by evidence from data. Other common
strategies included collaborative decoding of data representations and noticing
data patterns before making interpretive claims. Focusing on irrelevant data
patterns was the most common challenge. Our findings provide evidence to support
the feasibility of supporting students' data-interpretation skills within a large
lecture course.
PMID- 27193287
TI - A meta-review of stress, coping and interventions in dementia and dementia
caregiving.
AB - BACKGROUND: There has been a substantial number of systematic reviews of stress,
coping and interventions for people with dementia and their caregivers. This
paper provides a meta-review of this literature 1988-2014. METHOD: A meta-review
was carried out of systematic reviews of stress, coping and interventions for
people with dementia and their caregivers, using SCOPUS, Google Scholar and
CINAHL Plus databases and manual searches. RESULTS: The meta-review identified 45
systematic reviews, of which 15 were meta-analyses. Thirty one reviews addressed
the effects of interventions and 14 addressed the results of correlational
studies of factors associated with stress and coping. Of the 31 systematic
reviews dealing with intervention studies, 22 focused on caregivers, 6 focused on
people with dementia and 3 addressed both groups. Overall, benefits in terms of
psychological measures of mental health and depression were generally found for
the use of problem focused coping strategies and acceptance and social-emotional
support coping strategies. Poor outcomes were associated with wishful thinking,
denial, and avoidance coping strategies. The interventions addressed in the
systematic reviews were extremely varied and encompassed Psychosocial,
Psychoeducational, Technical, Therapy, Support Groups and Multicomponent
interventions. Specific outcome measures used in the primary sources covered by
the systematic reviews were also extremely varied but could be grouped into three
dimensions, viz., a broad dimension of "Psychological Well-Being v. Psychological
Morbidity" and two narrower dimensions of "Knowledge and Coping" and of
"Institutionalisation Delay". CONCLUSIONS: This meta-review supports the
conclusion that being a caregiver for people with dementia is associated with
psychological stress and physical ill-health. Benefits in terms of mental health
and depression were generally found for caregiver coping strategies involving
problem focus, acceptance and social-emotional support. Negative outcomes for
caregivers were associated with wishful thinking, denial and avoidance coping
strategies. Psychosocial and Psychoeducational interventions were beneficial for
caregivers and for people with dementia. Support groups, Multicomponent
interventions and Joint Engagements by both caregivers and people with dementia
were generally found to be beneficial. It was notable that virtually all reviews
addressed very general coping strategies for stress broadly considered, rather
than in terms of specific remedies for specific sources of stress. Investigation
of specific stressors and remedies would seem to be a useful area for future
research.
PMID- 27193289
TI - Practices and Perspectives of College Instructors on Addressing Religious Beliefs
When Teaching Evolution.
AB - Evolution is a core concept of biology, and yet many college biology students do
not accept evolution because of their religious beliefs. However, we do not
currently know how instructors perceive their role in helping students accept
evolution or how they address the perceived conflict between religion and
evolution when they teach evolution. This study explores instructor practices and
beliefs related to mitigating students' perceived conflict between religion and
evolution. Interviews with 32 instructors revealed that many instructors do not
believe it is their goal to help students accept evolution and that most
instructors do not address the perceived conflict between religion and evolution.
Instructors cited many barriers to discussing religion in the context of
evolution in their classes, most notably the instructors' own personal beliefs
that religion and evolution may be incompatible. These data are exploratory and
are intended to stimulate a series of questions about how we as college biology
instructors teach evolution.
PMID- 27193290
TI - Changes in Biology Self-Efficacy during a First-Year University Course.
AB - Academic self-efficacy encompasses judgments regarding one's ability to perform
academic tasks and is correlated with achievement and persistence. This study
describes changes in biology self-efficacy during a first-year course. Students
(n = 614) were given the Biology Self-Efficacy Scale at the beginning and end of
the semester. The instrument consisted of 21 questions ranking confidence in
performing biology-related tasks on a scale from 1 (not at all confident) to 5
(totally confident). The results demonstrated that students increased in self
efficacy during the semester. High school biology and chemistry contributed to
self-efficacy at the beginning of the semester; however, this relationship was
lost by the end of the semester, when experience within the course became a
significant contributing factor. A proportion of high- and low- achieving (24 and
40%, respectively) students had inaccurate self-efficacy judgments of their
ability to perform well in the course. In addition, female students were
significantly less confident than males overall, and high-achieving female
students were more likely than males to underestimate their academic ability.
These results suggest that the Biology Self-Efficacy Scale may be a valuable
resource for tracking changes in self-efficacy in first-year students and for
identifying students with poorly calibrated self-efficacy perceptions.
PMID- 27193291
TI - A Conceptual Framework for Graduate Teaching Assistant Professional Development
Evaluation and Research.
AB - Biology graduate teaching assistants (GTAs) are significant contributors to the
educational mission of universities, particularly in introductory courses, yet
there is a lack of empirical data on how to best prepare them for their teaching
roles. This essay proposes a conceptual framework for biology GTA teaching
professional development (TPD) program evaluation and research with three
overarching variable categories for consideration: outcome variables, contextual
variables, and moderating variables. The framework's outcome variables go beyond
GTA satisfaction and instead position GTA cognition, GTA teaching practice, and
undergraduate learning outcomes as the foci of GTA TPD evaluation and research.
For each GTA TPD outcome variable, key evaluation questions and example
assessment instruments are introduced to demonstrate how the framework can be
used to guide GTA TPD evaluation and research plans. A common conceptual
framework is also essential to coordinating the collection and synthesis of
empirical data on GTA TPD nationally. Thus, the proposed conceptual framework
serves as both a guide for conducting GTA TPD evaluation at single institutions
and as a means to coordinate research across institutions at a national level.
PMID- 27193293
TI - Quantification of the HIV transcriptional activator complex in live cells by
image-based protein-protein interaction analysis.
AB - The virus-encoded Tat protein is essential for HIV transcription in infected
cells. The interaction of Tat with the cellular transcription elongation factor P
TEFb (positive transcriptional elongation factor b) containing cyclin T1 (CycT1)
and cyclin-dependent kinase 9 (CDK9) is critical for its activity. In this study,
we use the Fluoppi (fluorescent-based technology detecting protein-protein
interaction) system, which enables the quantification of interactions between
biomolecules, such as proteins, in live cells. Quantitative measurement of the
molecular interactions among Tat, CycT1 and CDK9 has showed that any third
molecule enhances the binding between the other two molecules. These findings
suggest that each component of the Tat:P-TEFb complex stabilizes the overall
complex, thereby supporting the efficient transcriptional elongation during viral
RNA synthesis. These interactions may serve as appropriate targets for novel anti
HIV therapy.
PMID- 27193292
TI - Isolating RNA from precursor and mature melanocytes from human vitiligo and
normal skin using laser capture microdissection.
AB - To characterize the gene expression profile of regenerated melanocytes in the
narrow band UVB (NBUVB)-treated vitiligo epidermis and their precursors in the
hair follicle, we present here a strategy of RNA isolation from in situ
melanocytes using human frozen skin. We developed a rapid immunostaining protocol
using the NKI-beteb antibody, which labels differentiated and precursor
melanocytes, followed by fluorescent laser capture microdissection. This
technique enabled the direct isolation, from melanocyte and adjacent keratinocyte
populations, of satisfactory quality RNA that was successfully amplified and
analysed by qRT-PCR. The melanocyte-specific gene transcripts TYR, DCT, TYRP1 and
PMEL were significantly upregulated in our NBUVB-treated melanocyte samples as
compared with the keratinocyte samples, while keratinocyte-specific genes (KRT5
and KRT14) were expressed significantly higher in the keratinocyte samples as
compared with the melanocyte samples. Furthermore, in both NBUVB-treated vitiligo
skin and normal skin, when bulge melanocytes were compared with epidermal
melanocytes, we found significantly lower expression of melanocyte-specific genes
and significantly higher expression of three melanocytic stem cell genes (SOX9,
WIF1 and SFRP1), while ALCAM and ALDH1A1 transcripts did not show significant
variation. We found significantly higher expression of melanocyte-specific genes
in the epidermis of NBUVB-treated vitiligo, as compared to the normal skin. When
comparing bulge melanocyte samples from untreated vitiligo, NBUVB-treated
vitiligo and normal skin, we did not find significant differences in the
expression of melanocyte-specific genes or melanocytic stem cell genes. These
techniques offer valuable opportunities to study melanocytes and their precursors
in vitiligo and other pigmentation disorders.
PMID- 27193294
TI - Subcutaneous Phaeohyphomycosis Cyst Associated with Medicopsis romeroi in an
Immunocompromised Host.
AB - An 88-year-old man, receiving prednisolone for sarcoidosis, presented with a
discrete keratotic lesion on the dorsum of his right hand following the placement
of an intravenous cannula a month prior to its appearance. Medicopsis romeroi was
isolated from the tissue and identified by sequencing the internal transcribed
spacer region ITS-1 and the D1-2 fragment of the 28S rDNA gene. Histopathological
examination showed fungal hyphae in the internal inflammatory cells layer and
within the histocyte-macrophage layer, highly suggestive of deep mycosis. The
patient was successfully treated with surgical excision of the cyst. M. romeroi
exhibited high MIC values for echinocandin drugs in vitro, but appeared
susceptible to newer triazole agents, amphotericin B and terbinafine. This is the
first report of a subcutaneous phaeohyphomycotic cyst occurring following the
placement of an intravenous cannula. This report highlights the potential role of
M. romeroi as an emerging cause of deep, non-mycetomatous infection in
immunocompromised patients.
PMID- 27193295
TI - Virulence Attributes and Antifungal Susceptibility Profile of Opportunistic Fungi
Isolated from Ophthalmic Infections.
AB - Investigations of both virulence factors and antifungal susceptibility profiles
are crucial for understanding the pathogenesis and prognosis of ophthalmic
mycoses. In this study, we investigated the in vitro antifungal susceptibility of
amphotericin B (AMB), voriconazole (VRC), and natamycin (NAT) against a set of 50
fungal isolates obtained from patients with ocular mycoses using the Clinical and
Laboratory Standards Institute broth microdilution method. In addition, putative
virulence factor, such as secretory phospholipases and proteinases, and biofilm
formation activity were analyzed. The geometric means (GMs) of the minimum
inhibitory concentrations (MICs) of the antifungals across all isolates were the
following (in increasing order): VRC (0.70 MUg/mL), AMB (0.81 MUg/mL), and NAT
(1.05 MUg/mL). The highest activity against 14 Aspergillus strains was exhibited
by VRC (GM MIC: 0.10 MUg/mL), followed by AMB and NAT (GM MICs: 0.21 and 0.27
MUg/mL), respectively. However, for 12 Fusarium spp., the GM MIC of VRC (2.66)
was higher than those of NAT and AMB (GM MICs 1.3 and 0.8 MUg/mL, respectively).
Proteinase and phospholipase activity were observed in 30 % and 42 % of the
isolates, respectively, whereas only 8 % of the isolates were able to produce
biofilms. Phospholipase activity was observed in all Fusarium isolates, but not
in any of the Aspergillus isolates. In contrast, biofilm-forming capability was
detected in 25 % of the Fusarium isolates, but none of the Aspergillus isolates.
The differences in the MICs of AMB, VRC, and NAT, biofilm-forming ability and
proteinase and phospholipase activities among the isolates were not significant
(p > 0.05). Overall, our study suggests no significant correlation between the
antifungal susceptibility profiles and virulence attributes of ocular fungal
isolates.
PMID- 27193296
TI - Individual-based versus aggregate meta-analysis in multi-database studies of
pregnancy outcomes: the Nordic example of selective serotonin reuptake inhibitors
and venlafaxine in pregnancy.
AB - PURPOSE: Compare analyses of a pooled data set on the individual level with
aggregate meta-analysis in a multi-database study. METHODS: We reanalysed data on
2.3 million births in a Nordic register based cohort study. We compared estimated
odds ratios (OR) for the effect of selective serotonin reuptake inhibitors (SSRI)
and venlafaxine use in pregnancy on any cardiovascular birth defect and the rare
outcome right ventricular outflow tract obstructions (RVOTO). Common covariates
included maternal age, calendar year, birth order, maternal diabetes, and co
medication. Additional covariates were added in analyses with country-optimized
adjustment. RESULTS: Country adjusted OR (95%CI) for any cardiovascular birth
defect in the individual-based pooled analysis was 1.27 (1.17-1.39), 1.17 (1.07
1.27) adjusted for common covariates and 1.15 (1.05-1.26) adjusted for all
covariates. In fixed effects meta-analyses pooled OR was 1.29 (1.19-1.41) based
on crude country specific ORs, 1.19 (1.09-1.29) adjusted for common covariates,
and 1.16 (1.06-1.27) for country-optimized adjustment. In a random effects model
the adjusted OR was 1.07 (0.87-1.32). For RVOTO, OR was 1.48 (1.15-1.89) adjusted
for all covariates in the pooled data set, and 1.53 (1.19-1.96) after country
optimized adjustment. Country-specific adjusted analyses at the substance level
were not possible for RVOTO. CONCLUSION: Results of fixed effects meta-analysis
and individual-based analyses of a pooled dataset were similar in this study on
the association of SSRI/venlafaxine and cardiovascular birth defects. Country
optimized adjustment attenuated the estimates more than adjustment for common
covariates only. When data are sparse pooled data on the individual level are
needed for adjusted analyses. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27193297
TI - Only Making Things Worse: A Qualitative Study of the Impact of Wrongly Removing
Disability Benefits from People with Mental Illness.
AB - Many countries belonging to the Organisation for Economic Co-operation and
Development (OECD) have seen a considerable increase in the number of disability
benefits recipients (DBRs), in addition to an increase in the proportion of
people with mental illness. As in other countries, changes to the welfare
benefits system in England were made in order to reduce the number of DBRs. Many
people lost their benefit payments, although a considerable number had them
reinstated after appeal. Our aim was to investigate the impact of the process on
DBRs whose disability was related to mental health and who won their appeal.
Seventeen DBRs were interviewed. The participants reported three main types of
impact. Beyond the practical reduction of income and the related anxiety,
interviewees reported considerable stress when coping with the 'never-ending'
cycle of bureaucracy. They also expressed anger, frustration and demoralisation
at mistrust on the part of the authorities partly due to the 'invisibility' of
their disability.
PMID- 27193298
TI - PACRG, a protein linked to ciliary motility, mediates cellular signaling.
AB - Cilia are microtubule-based organelles that project from nearly all mammalian
cell types. Motile cilia generate fluid flow, whereas nonmotile (primary) cilia
are required for sensory physiology and modulate various signal transduction
pathways. Here we investigate the nonmotile ciliary signaling roles of parkin
coregulated gene (PACRG), a protein linked to ciliary motility. PACRG is
associated with the protofilament ribbon, a structure believed to dictate the
regular arrangement of motility-associated ciliary components. Roles for
protofilament ribbon-associated proteins in nonmotile cilia and cellular
signaling have not been investigated. We show that PACRG localizes to a small
subset of nonmotile cilia in Caenorhabditis elegans, suggesting an evolutionary
adaptation for mediating specific sensory/signaling functions. We find that it
influences a learning behavior known as gustatory plasticity, in which it is
functionally coupled to heterotrimeric G-protein signaling. We also demonstrate
that PACRG promotes longevity in C. elegans by acting upstream of the lifespan
promoting FOXO transcription factor DAF-16 and likely upstream of insulin/IGF
signaling. Our findings establish previously unrecognized sensory/signaling
functions for PACRG and point to a role for this protein in promoting longevity.
Furthermore, our work suggests additional ciliary motility-signaling connections,
since EFHC1 (EF-hand containing 1), a potential PACRG interaction partner
similarly associated with the protofilament ribbon and ciliary motility, also
positively regulates lifespan.
PMID- 27193299
TI - Defining the functional binding sites of interleukin 12 receptor beta1 and
interleukin 23 receptor to Janus kinases.
AB - The interleukin (IL)-12-type cytokines IL-12 and IL-23 are involved in T-helper
(Th) 1 and Th17 immunity, respectively. They share the IL-12 receptor beta1 (IL
12Rbeta1) as one component of their receptor signaling complexes, with IL
12Rbeta2 as second receptor for IL-12 and IL-23R for IL-23 signal transduction.
Stimulation with IL-12 and IL-23 results in activation of receptor-associated
Janus kinases (Jak) and phosphorylation of STAT proteins in target cells. The
Janus kinase tyrosine kinase (Tyk) 2 associates with IL-12Rbeta1, whereas Jak2
binds to IL-23R and also to IL-12Rbeta2. Receptor association of Jak2 is mediated
by Box1 and Box2 motifs located within the intracellular domain of the receptor
chains. Here we define the Box1 and Box2 motifs in IL-12Rbeta1 and an unusual
Jak2-binding site in IL-23R by the use of deletion and site-directed mutagenesis.
Our data show that nonfunctional box motifs abolish IL-12- and IL-23-induced
STAT3 phosphorylation and cytokine-dependent proliferation of Ba/F3 cells.
Coimmunoprecipitation of Tyk2 by IL-12Rbeta1 and Jak2 by IL-23R supported these
findings. In addition, our data demonstrate that association of Jak2 with IL-23R
is mandatory for IL-12 and/or IL-23 signaling, whereas Tyk2 seems to be
dispensable.
PMID- 27193300
TI - Virtual-tissue computer simulations define the roles of cell adhesion and
proliferation in the onset of kidney cystic disease.
AB - In autosomal dominant polycystic kidney disease (ADPKD), cysts accumulate and
progressively impair renal function. Mutations in PKD1 and PKD2 genes are
causally linked to ADPKD, but how these mutations drive cell behaviors that
underlie ADPKD pathogenesis is unknown. Human ADPKD cysts frequently express
cadherin-8 (cad8), and expression of cad8 ectopically in vitro suffices to
initiate cystogenesis. To explore cell behavioral mechanisms of cad8-driven cyst
initiation, we developed a virtual-tissue computer model. Our simulations
predicted that either reduced cell-cell adhesion or reduced contact inhibition of
proliferation triggers cyst induction. To reproduce the full range of cyst
morphologies observed in vivo, changes in both cell adhesion and proliferation
are required. However, only loss-of-adhesion simulations produced morphologies
matching in vitro cad8-induced cysts. Conversely, the saccular cysts described by
others arise predominantly by decreased contact inhibition, that is, increased
proliferation. In vitro experiments confirmed that cell-cell adhesion was reduced
and proliferation was increased by ectopic cad8 expression. We conclude that
adhesion loss due to cadherin type switching in ADPKD suffices to drive
cystogenesis. Thus, control of cadherin type switching provides a new target for
therapeutic intervention.
PMID- 27193301
TI - Clustered nuclei maintain autonomy and nucleocytoplasmic ratio control in a
syncytium.
AB - Nuclei in syncytia found in fungi, muscles, and tumors can behave independently
despite cytoplasmic translation and the homogenizing potential of diffusion. We
use a dynactin mutant strain of the multinucleate fungus Ashbya gossypii with
highly clustered nuclei to assess the relative contributions of nucleus and
cytoplasm to nuclear autonomy. Remarkably, clustered nuclei maintain cell cycle
and transcriptional autonomy; therefore some sources of nuclear independence
function even with minimal cytosol insulating nuclei. In both nuclear clusters
and among evenly spaced nuclei, a nucleus' transcriptional activity dictates
local cytoplasmic contents, as assessed by the localization of several cyclin
mRNAs. Thus nuclear activity is a central determinant of the local cytoplasm in
syncytia. Of note, we found that the number of nuclei per unit cytoplasm was
identical in the mutant to that in wild-type cells, despite clustered nuclei.
This work demonstrates that nuclei maintain autonomy at a submicrometer scale and
simultaneously maintain a normal nucleocytoplasmic ratio across a syncytium up to
the centimeter scale.
PMID- 27193304
TI - Effects of Manufacturing Conditions on Pharmaceutical Properties of Petrolatum
Ointment.
AB - Oleaginous white petrolatum ointment (WP ointment) is one of the most commonly
used dosage forms in the preparation of topical products. In general, WP
ointments containing medium chain fatty acid triglycerides (MCT) are manufactured
through a process of melting, mixing, agitating, and cooling. To investigate the
pharmaceutical properties of WP ointments in greater detail, we examined
manufacturing factors which could potentially influence the pharmaceutical
properties of the finished product. WP ointment samples containing 10% MCT were
stirred with a homogenizer and a paddle mixer at 65 degrees C, then the
homogenizer was stopped. Next, the paddle-mixer was stopped at several planned
temperature points at which different samples were taken. Each sample was then
cooled under the following planned conditions: rapid-cooling [-50 degrees C/h]
and slow-cooling [-7.5 degrees C/h]. The pharmaceutical properties of each WP
ointment sample, along with the appearance (Optical/digital microscope), hardness
(Rheometer), and bleeding ability (100 Mesh wire-net cone) were measured. Then,
release profiles were performed with a WP ointment using the model active
ingredient Vitamin D. As a result, high hardness, low bleeding ability and low
release profile were observed in the WP ointment samples that were manufactured
under the condition of stopping the paddle-mixer at 40 degrees C. However, the
influence of cooling speed was observed to affect only hardness. Through optical
microscopic observation, it was found that the appearance of WP ointment samples
differed depending on the conditions under which they were manufactured. In this
study, it was clear that the pharmaceutical properties of WP ointment samples
were particularly influenced by the paddle-mixer stopping temperature.
PMID- 27193303
TI - Two-ligand priming mechanism for potentiated phosphoinositide synthesis is an
evolutionarily conserved feature of Sec14-like phosphatidylinositol and
phosphatidylcholine exchange proteins.
AB - Lipid signaling, particularly phosphoinositide signaling, plays a key role in
regulating the extreme polarized membrane growth that drives root hair
development in plants. The Arabidopsis AtSFH1 gene encodes a two-domain protein
with an amino-terminal Sec14-like phosphatidylinositol transfer protein (PITP)
domain linked to a carboxy-terminal nodulin domain. AtSfh1 is critical for
promoting the spatially highly organized phosphatidylinositol-4,5-bisphosphate
signaling program required for establishment and maintenance of polarized root
hair growth. Here we demonstrate that, like the yeast Sec14, the AtSfh1 PITP
domain requires both its phosphatidylinositol (PtdIns)- and phosphatidylcholine
(PtdCho)-binding properties to stimulate PtdIns-4-phosphate [PtdIns(4)P]
synthesis. Moreover, we show that both phospholipid-binding activities are
essential for AtSfh1 activity in supporting polarized root hair growth. Finally,
we report genetic and biochemical evidence that the two-ligand mechanism for
potentiation of PtdIns 4-OH kinase activity is a broadly conserved feature of
plant Sec14-nodulin proteins, and that this strategy appeared only late in plant
evolution. Taken together, the data indicate that the PtdIns/PtdCho-exchange
mechanism for stimulated PtdIns(4)P synthesis either arose independently during
evolution in yeast and in higher plants, or a suitable genetic module was
introduced to higher plants from a fungal source and subsequently exploited by
them.
PMID- 27193302
TI - Coordinate action of distinct sequence elements localizes checkpoint kinase Hsl1
to the septin collar at the bud neck in Saccharomyces cerevisiae.
AB - Passage through the eukaryotic cell cycle requires processes that are tightly
regulated both spatially and temporally. Surveillance mechanisms (checkpoints)
exert quality control and impose order on the timing and organization of
downstream events by impeding cell cycle progression until the necessary
components are available and undamaged and have acted in the proper sequence. In
budding yeast, a checkpoint exists that does not allow timely execution of the
G2/M transition unless and until a collar of septin filaments has properly
assembled at the bud neck, which is the site where subsequent cytokinesis will
occur. An essential component of this checkpoint is the large (1518-residue)
protein kinase Hsl1, which localizes to the bud neck only if the septin collar
has been correctly formed. Hsl1 reportedly interacts with particular septins;
however, the precise molecular determinants in Hsl1 responsible for its
recruitment to this cellular location during G2 have not been elucidated. We
performed a comprehensive mutational dissection and accompanying image analysis
to identify the sequence elements within Hsl1 responsible for its localization to
the septins at the bud neck. Unexpectedly, we found that this targeting is
multipartite. A segment of the central region of Hsl1 (residues 611-950),
composed of two tandem, semiredundant but distinct septin-associating elements,
is necessary and sufficient for binding to septin filaments both in vitro and in
vivo. However, in addition to 611-950, efficient localization of Hsl1 to the
septin collar in the cell obligatorily requires generalized targeting to the
cytosolic face of the plasma membrane, a function normally provided by the C
terminal phosphatidylserine-binding KA1 domain (residues 1379-1518) in Hsl1 but
that can be replaced by other, heterologous phosphatidylserine-binding sequences.
PMID- 27193305
TI - A new indole glycoside from the seeds of Raphanus sativus.
AB - A new indole glycoside, beta-D-glucopyranosyl 2-(methylthio)-1H-indole-3
carboxylate, named raphanuside A (1), as well as eight known compounds, beta-D
fructofuranosyl-(2 -> 1)-(6-O-sinapoyl)-alpha-D-glucopyranoside (2), (3-O
sinapoyl)-beta-D-fructofuranosyl-(2 -> 1)-alpha-D-glucopyranoside (3), (3-O
sinapoyl)-beta-D-fructofuranosyl-(2 -> 1)-(6-O-sinapoyl)-alpha-D-glucopyranoside
(4), (3,4-O-disinapoyl)-beta-D-fructofuranosyl-(2 -> 1)-(6-O-sinapoyl)-alpha-D
glucopyranoside (5), isorhamnetin 3,4'-di-O-beta-D-glucoside (6), isorhamnetin 3
O-beta-D-glucoside-7-O-alpha-L-rhamnoside (7), isorhamnetin 3-O-beta-D-glucoside
(8) and 3'-O-methyl-(-)-epicatechin 7-O-beta-D-glucoside (9) were isolated from
the seeds of Raphanus sativus. Furthermore, compounds 1-3 and 6-9, were isolated
from this plant for the first time. The structures of compounds 1-9 were
identified using 1D and 2D NMR, including (1)H-(1)H COSY, HSQC, HMBC and NOESY
spectroscopic analyses. The inhibitory activity of these isolated compounds
against interleukin-6 (IL-6) production in TNF-alpha stimulated MG-63 cells was
also examined.
PMID- 27193306
TI - Comparison of Radiation Exposure Associated With Intraoperative Cone-Beam
Computed Tomography and Follow-up Multidetector Computed Tomography Angiography
for Evaluating Endovascular Aneurysm Repairs.
AB - PURPOSE: To compare the radiation exposure associated with intraoperative
contrast-enhanced cone-beam computed tomography (ceCBCT) acquisitions to standard
3-phase multidetector computed tomography (MDCT) angiography used for assessing
technical success after endovascular aortic repair (EVAR). METHODS: Effective
doses (EDs) were calculated for 66 EVAR patients (mean age 71 years; 61 men) with
a mean 27.7-kg/m(2) body mass index (range 17-49) who had both intraoperative
ceCBCT and postoperative 3-phase MDCT angiography between November 2012 and April
2015. In addition, EDs were directly determined using thermoluminescent
dosimeters (TLDs) embedded in anthropomorphic phantoms with body mass indexes of
22 and 30 kg/m(2) Effective doses were calculated by summing doses recorded by
all TLDs corresponding to a specific tissue type before applying the
International Commission on Radiological Protection (ICRP) 60 and 103 weighting
factors. EDs were compared with each other for both imaging modalities as well as
to TLD measurements. RESULTS: Average EDs of the patient collective were 4.9+/
1.1 mSv for ceCBCT, 2.6+/-1.2 mSv for single-phase MDCT (46% decrease, covering
solely the area of the implanted endograft), and 13.6+/-5.5 mSv for comprehensive
3-phase MDCT examinations (178% increase, anatomical coverage from the aortic
arch to femoral artery bifurcation). EDs determined in phantom measurements
ranged from 3.1 to 4.5 mSv for ceCBCT, amounting to 2.6 mSv for a single MDCT
phase (15% to 40% decrease) using ICRP 60 conversion factors. Applying ICRP 103
factors resulted in higher values for ceCBCT and slightly lower ones for MDCT.
CONCLUSION: ceCBCT offers the chance for immediate intraoperative revisions of
endograft-related problems. Requiring only a single-phase acquisition, ceCBCT is
associated with a considerable reduction in ED (50%-75%) compared to standard 3
phase MDCT angiography after EVAR. On the other hand, MDCT has a larger field of
view and is associated with less radiation exposure for a single phase (reduction
of 20%-60%) if only the stented region is covered; however, MDCT angiography also
uses larger amounts of contrast.
PMID- 27193307
TI - Off-Label Iliac Side Branch Application for Salvage of an Accessory Renal Artery.
AB - PURPOSE: To present the off-label use of an iliac side branch device to connect
an accessory right renal artery to a bifurcated endograft in infrarenal aortic
aneurysm repair. CASE REPORT: An 83-year-old woman with a 54-mm infrarenal
abdominal aortic aneurysm underwent endovascular repair using an iliac side
branch device for accessory renal artery salvage. The procedure was technically
successful, and no immediate perioperative adverse events were encountered. The
creatinine level increased slightly. Six-month follow-up imaging revealed no
endoleaks or occlusion. CONCLUSION: Considering the higher perioperative risk
associated with open or hybrid procedures for similar cases, this off-label
application of a well-recognized endovascular device deserves consideration as an
alternative treatment option.
PMID- 27193309
TI - Advances in EEG: home video telemetry, high frequency oscillations and electrical
source imaging.
AB - Over the last two decades, technological advances in electroencephalography (EEG)
have allowed us to extend its clinical utility for the evaluation of patients
with epilepsy. This article reviews three main areas in which substantial
advances have been made in the diagnosis and pre-surgical planning of patients
with epilepsy. Firstly, the development of small portable video-EEG systems have
allowed some patients to record their attacks at home, thereby improving
diagnosis, with consequent substantial healthcare and economic implications.
Secondly, in specialist centres carrying out epilepsy surgery, there has been
considerable interest in whether bursts of very high frequency EEG activity can
help to determine the regions of the brain likely to be generating the seizures.
Identification of these discharges, initially only recorded from intracranial
electrodes, may thus allow better surgical planning and improve surgical
outcomes. Finally we discuss the contribution of electrical source imaging in the
pre-surgical evaluation of patients with focal epilepsy, and its prospects for
the future.
PMID- 27193308
TI - Twelve-Month Results From the MAJESTIC Trial of the Eluvia Paclitaxel-Eluting
Stent for Treatment of Obstructive Femoropopliteal Disease.
AB - PURPOSE: To report the 12-month results of the MAJESTIC clinical study of the
self-expanding Eluvia paclitaxel-eluting stent in the treatment of
femoropopliteal lesions. METHODS: The prospective, single-arm, multicenter trial
(clinicaltrials.gov identifier NCT01820637) enrolled 57 patients (mean age 69+/-9
years; 47 men) with chronic lower limb ischemia referable to de novo or
restenotic lesions in the native superficial femoral and/or proximal popliteal
arteries. A third of the patients had diabetes. Mean lesion length was 70.8+/
28.1 mm, and diameter stenosis was 86.3%+/-16.2%; 26 (46%) lesions were occluded.
Primary patency was defined as duplex ultrasound peak systolic velocity ratio
<=2.5 and the absence of target lesion revascularization (TLR) or bypass. Major
adverse events (MAEs) included all-cause death through 1 month and target limb
major amputation and TLR through 12 months. RESULTS: All 57 patients had a single
Eluvia stent implanted, employing pre- and postdilation in 93% (53/57) and 95%
(54/57) of cases, respectively. Technical success was 97% (55/57; 2 failures due
to residual stenosis >30%). At 12 months, primary patency was 96% (49/51) and the
MAE rate was 4% (2/53); both MAEs were TLRs. No stent fractures were identified.
There were no major amputations. One death occurred 368 days postprocedure,
unrelated to the device or procedure. Improvements in the Rutherford category
were sustained through 1 year, with 81% (43/53) exhibiting no symptoms (category
0) and 13% (7/53) presenting with mild claudication (category 1). Mean ABI
improved from 0.73+/-0.22 at baseline to 1.02+/-0.20 at 12 months. CONCLUSION:
MAJESTIC results showed that patients whose femoropopliteal arteries were treated
with the Eluvia drug-eluting stent sustained high patency and low MAE rates
through 12 months.
PMID- 27193310
TI - Relapse frequency in transitioning from natalizumab to dimethyl fumarate:
assessment of risk factors.
AB - Risk of relapse after natalizumab (NAT) cessation and switch to dimethyl fumarate
(DMF) is unknown. The objective of this paper is to identify the risk and
associated risk factors for relapse after switching from NAT to DMF in relapsing
remitting multiple sclerosis. Patients (n = 30) were treated with NAT for >=12
months and then switched to DMF in a mean of 50 days. Patient age, annualized
relapse rates (ARR), Expanded Disability Status Scale scores (EDSS), and
lymphocyte counts were assessed. Overall, eight patients (27 %) had relapses
after switching to DMF. Five patients (17 %) suffered severe relapses with
multifocal clinical and radiological findings. New lesions by MRI (T2
hyperintense or enhancing) were observed in 35 % of patients. Relapses occurred
at a mean of 3.5 months after NAT cessation. Patient age and elevated ARR prior
to NAT use were significantly associated with risk of relapse after switch to
DMF. Once on DMF for 4 months prior to relapse, lymphocyte count decreased more
significantly in patients without relapses than those with relapses. Switching
from NAT to DMF correlated with increased relapses. Young patient age, high ARR
and stability of lymphocyte counts were risk factors for relapse after transition
from NAT to DMF.
PMID- 27193311
TI - Postural motor learning in people with Parkinson's disease.
AB - Protective postural responses to external perturbations are hypokinetic in people
with Parkinson's disease (PD), and improving these responses may reduce falls.
However, the ability of people with PD to improve postural responses with
practice is poorly understood. Our objective was to determine whether people with
PD can improve protective postural responses similarly to healthy adults through
repeated perturbations, and whether improvements are retained or generalize to
untrained perturbations. Twelve healthy adults and 15 people with PD underwent 25
forward and 25 backward translations of the support surface, eliciting backward,
and forward protective steps, respectively. We assessed whether: (1) performance
improved over one day of practice, (2) changes were retained 24 h later, and (3)
improvements generalized to untrained (lateral) postural responses. People with
PD and healthy adults improved postural response characteristics, including
center of mass displacement after perturbations (p < 0.001), margin of stability
at first footfall (p = 0.001), step latency (p = 0.044), and number of steps (p =
0.001). However, unlike controls, improvements in people with PD occurred
primarily in the first block of trials. Improvements were more pronounced during
backward protective stepping than forward, and with the exception of step
latency, were retained 24 h later. Improvements in forward-backward stepping did
not generalize to lateral protective stepping. People with PD can improve
protective stepping over the course of 1 day of perturbation practice.
Improvements were generally similar to healthy adults, and were retained in both
groups. Perturbation practice may represent a promising approach to improving
protective postural responses in people with PD; however, additional research is
needed to understand how to enhance generalization.
PMID- 27193313
TI - Salt effects on the picosecond dynamics of lysozyme hydration water investigated
by terahertz time-domain spectroscopy and an insight into the Hofmeister series
for protein stability and solubility.
AB - The addition of salts into protein aqueous solutions causes changes in protein
solubility and stability, whose ability is known to be ordered in the Hofmeister
series. We investigated the effects of Hofmeister salts on the picosecond
dynamics of water around a lysozyme molecule using terahertz time-domain
spectroscopy. The change in the absorption coefficient for 200 mg mL(-1) lysozyme
aqueous solution by the addition of salts was found to depend on the salts used,
whereas that for pure water was almost independent of salts. From the difference
in the salt concentration dependence for various salts, it has been found that
chaotropic anions make the dynamics of water around the lysozyme molecule slower,
whereas kosmotropic anions make the dynamics faster. The ability of an anion to
slow down the water dynamics was found to have the following order: SCN(-) > Cl(
) > H2PO4(-) > NO3(-) ~ SO4(2-). This result indicates that the effects of anions
on the dynamics of water around the lysozyme molecule are the opposite of those
for bulk water. This finding agrees with a prediction from a molecular model
proposed by Collins [K. D. Collins, Methods, 2004, 34, 300]. The results
presented here are compared with the results from preferential interaction
studies and the results from sum frequency generation spectroscopy. These
discussions have led to the conclusion that the picosecond dynamics of protein
hydration water strongly contributes to protein stability, whereas electrostatic
interactions between protein molecules contribute to protein solubility.
PMID- 27193312
TI - CHI3L1 polymorphisms, cord blood YKL-40 levels and later asthma development.
AB - BACKGROUND: Single nucleotide polymorphisms (SNPs) in chitinase 3-like 1
(CHI3L1), the gene encoding YKL-40, and increased serum YKL-40 levels are
associated with severe forms of asthma. It has never been addressed whether SNPs
in CHI3L1 and cord blood YKL-40 levels could already serve as potential
biomarkers for milder forms of asthma. We assessed in an unselected population
whether SNPs in CHI3L1 and cord blood YKL-40 levels at birth are associated with
respiratory symptoms, lung function changes, asthma, and atopy. METHODS: In a
prospective birth cohort of healthy term-born neonates (n = 260), we studied
CHI3L1 polymorphisms, and measured cord blood YKL-40 levels by ELISA in (n = 170)
infants. Lung function was performed at 5 weeks and 6 years. Respiratory health
during the first year of life was assessed weekly by telephone interviews.
Diagnosis of asthma and allergic sensitisation was assessed at 6 years (n = 142).
RESULTS: The SNP rs10399805 was significantly associated with asthma at 6 years.
The odds ratio for asthma was 4.5 (95 % CI 1.59-12.94) per T-allele. This finding
was unchanged when adjusting for cord blood YKL-40 levels. There was no
significant association for cord blood YKL-40 levels and asthma. SNPs in CHI3L1
and cord blood YKL-40 were not associated with lung function measurements at 5
weeks and 6 years, respiratory symptoms in the first year, and allergic
sensitisation at 6 years. CONCLUSION: Genetic variation in CHI3L1 might be
related to the development of milder forms of asthma. Larger studies are
warranted to establish the role of YKL-40 in that pathway.
PMID- 27193314
TI - Does supplementation of beef calves by creep feeding systems influence milk
production and body condition of the dams?
AB - The aim of this study was to evaluate the effects of beef calves' supplementation
in creep feeding systems on milk yield, body weight (BW), and body condition
score (BCS) of their dams on tropical pastures using a meta-analytical approach.
The database was obtained from 11 experiments conducted between 2009 and 2014 in
Brazil, totaling 485 observations (cows). The database consisted of 273 Nellore
and 212 crossbred (7/8 Nellore * 1/8 Holstein) cows. All experiments were carried
out in the suckling phase (from 3 to 8 months of age of calves) during the
transition phase between rainy and dry seasons from February to June of different
years. The data were analyzed by a meta-analytical approach using mixed models
and taking into account random variation among experiments. Calves'
supplementation (P >= 0.59) and the calves' sex (P >= 0.48) did not affect milk
yield of cows. The average fat-corrected milk (FCM) yield was 6.71 and 6.83
kg/day for cows that had their calves supplemented and not supplemented,
respectively. Differences were observed (P < 0.0001) for milk yield due to the
genetic group where crossbred cows presented greater FCM yield (7.37 kg/day)
compared with Nellore cows (6.17 kg/day). There was no effect of the calves'
supplementation on BW change (P >= 0.11) and BCS change (P >= 0.23) of the cows.
Therefore, it is concluded that supplementation of beef calves using creep
feeding systems in tropical pastures does not affect milk yield, body weight, or
body condition of their dams.
PMID- 27193315
TI - Trends in percutaneous pericardial access during catheter ablation of ventricular
arrhythmias: a single-center experience.
AB - PURPOSE: Percutaneous pericardial access (EpiAcc) assists ventricular
tachycardia/premature ventricular complex (VT/PVC) ablation by permitting
epicardial mapping and ablation outside the vicinity of the coronary venous
system. We sought to determine whether expanding indications and growing operator
experience have led to increased rates of EpiAcc. METHODS: We reviewed the
frequency of EpiAcc procedures performed during VT/PVC ablation between 2007 and
2014 to identify temporal trends in the procedure. RESULTS: There were 758
patients undergoing 880 VT/PVC ablation procedures (average 95 patients per year;
110 procedures per year) during the study period. EpiAcc for ablation was
utilized in 170 patients (181 procedures). The average age at the time of EpiAcc
was 55 +/- 16 years and 129 (76.0 %) patients were male. The rate of EpiAcc was
22.9 % in males and 15.4 % in females (P = 0.008). The absolute number of EpiAcc
procedures increased from 2007 (6 procedures) to 2014 (27 procedures). Similarly,
the proportion of patients undergoing EpiAcc increased from 8.6 % of procedures
in 2007 to 24.5 % in 2014 (P < 0.0001). While EpiAcc use became more frequent,
the rate of epicardial ablation among those who underwent EpiAcc remained stable
(approximately 70 %). CONCLUSIONS: Percutaneous pericardial access has been used
with increasing frequency as part of VT/PVC ablations without an increase in the
rate of epicardial ablation. This growing utilization may lay the foundation for
novel epicardial strategies as new technologies emerge. The frequency of
percutaneous pericardial access in VT/PVC ablation appears to be greater in
males, reasons for which are undefined.
PMID- 27193316
TI - Outcomes after cryoballoon or radiofrequency ablation for persistent atrial
fibrillation: a multicentric propensity-score matched study.
AB - PURPOSE: Recent data show no benefit of additional ablation beyond pulmonary vein
isolation (PVI) in persistent atrial fibrillation (AF). Evidence suggests that
radiofrequency energy (RF) and cryoballoon (CRYO) have comparable efficacy for
PVI. We aimed to assess the outcomes after a single catheter ablation procedure,
comparing PVI using CRYO vs. RF ablation for PVI plus additional ablation in a
cohort of patients with persistent AF. METHODS: In this prospective multicenter
propensity score-matched comparison, 59 consecutive patients undergoing CRYO
ablation of persistent AF were matched to 59 patients treated with RF from
November 2010 to June 2012. RESULTS: During a mean follow-up of 15.6 +/- 11.5
months, 43.2 % of patients presented atrial arrhythmia relapse after a blanking
period of 3 months, which was comparable between the two groups (40.7 % in CRYO
vs. 45.8 % in RF, Log rank P = 0.14; HR = 0.67, 95 %CI 0.38-1.16, P = 0.15),
despite the fact that 52.5 % of RF patients add additional complex fractionated
atrial electrogram ablation, as well as left atrial linear ablation in over two
thirds (roof line in 67.8 % and mitral isthmus in 32.2 %). On multivariate Cox
regression, only AF duration in years (HR = 1.10, 95 %CI 1.01-1.10, P = 0.04) was
a predictor of relapse. Patients undergoing RF ablation presented a numerically,
but non-significantly, lower complication rate (6.8 vs 10.2 %, P = 0.51).
CONCLUSION: In our multicenter experience, freedom from atrial arrhythmias was
comparable among matched patients treated with CRYO and RF, despite non
significant trends in favor of RF in terms of complications, at the cost of
longer procedure times.
PMID- 27193317
TI - Prefrontal and anterior cingulate cortex neurons encode attentional targets even
when they do not apparently bias behavior.
AB - Neurons in anterior cingulate and prefrontal cortex (ACC/PFC) carry information
about behaviorally relevant target stimuli. This information is believed to
affect behavior by exerting a top-down attentional bias on stimulus selection.
However, attention information may not necessarily be a biasing signal but could
be a corollary signal that is not directly related to ongoing behavioral success,
or it could reflect the monitoring of targets similar to an eligibility trace
useful for later attentional adjustment. To test this suggestion we quantified
how attention information relates to behavioral success in neurons recorded in
multiple subfields in macaque ACC/PFC during a cued attention task. We found that
attention cues activated three separable neuronal groups that encoded spatial
attention information but were differently linked to behavioral success. A first
group encoded attention targets on correct and error trials. This group spread
across ACC/PFC and represented targets transiently after cue onset, irrespective
of behavior. A second group encoded attention targets on correct trials only,
closely predicting behavior. These neurons were not only prevalent in lateral
prefrontal but also in anterior cingulate cortex. A third group encoded target
locations only on error trials. This group was evident in ACC and PFC and was
activated in error trials "as if" attention was shifted to the target location
but without evidence for such behavior. These results show that only a portion of
neuronaly available information about attention targets biases behavior. We
speculate that additionally a unique neural subnetwork encodes counterfactual
attention information.
PMID- 27193319
TI - On the nature of unintentional action: a study of force/moment drifts during
multifinger tasks.
AB - We explored the origins of unintentional changes in performance during accurate
force production in isometric conditions seen after turning visual feedback off.
The idea of control with referent spatial coordinates suggests that these
phenomena could result from drifts of the referent coordinate for the effector.
Subjects performed accurate force/moment production tasks by pressing with the
fingers of a hand on force sensors. Turning the visual feedback off resulted in
slow drifts of both total force and total moment to lower magnitudes of these
variables; these drifts were more pronounced in the right hand of the right
handed subjects. Drifts in individual finger forces could be in different
direction; in particular, fingers that produced moments of force against the
required total moment showed an increase in their forces. The force/moment drift
was associated with a drop in the index of synergy stabilizing performance under
visual feedback. The drifts in directions that changed performance (non-motor
equivalent) and in directions that did not (motor equivalent) were of about the
same magnitude. The results suggest that control with referent coordinates is
associated with drifts of those referent coordinates toward the corresponding
actual coordinates of the hand, a reflection of the natural tendency of physical
systems to move toward a minimum of potential energy. The interaction between
drifts of the hand referent coordinate and referent orientation leads to
counterdirectional drifts in individual finger forces. The results also
demonstrate that the sensory information used to create multifinger synergies is
necessary for their presence over the task duration.
PMID- 27193318
TI - Spontaneously active NaV1.5 sodium channels may underlie odor sensitivity.
AB - The olfactory system is remarkably sensitive to airborne odor molecules, but
precisely how very low odor concentrations bordering on just a few molecules per
olfactory sensory neuron can trigger graded changes in firing is not clear. This
report reexamines signaling in olfactory sensory neurons in light of the recent
account of NaV1.5 sodium channel-mediated spontaneous firing. Using a model of
spontaneous channel activity, the study shows how even submillivolt changes in
membrane potential elicited by odor are expected to cause meaningful changes in
NaV1.5-dependent firing. The results suggest that the random window currents of
NaV1.5 channels may underpin not only spontaneous firing in olfactory sensory
neurons but the cellular response to odor as well, thereby ensuring the
robustness and sensitivity of signaling that is especially important for low odor
concentrations.
PMID- 27193321
TI - Subspace mapping of the three-dimensional spectral receptive field of macaque MT
neurons.
AB - Neurons in the middle temporal (MT) visual area are thought to represent the
velocity (direction and speed) of motion. Previous studies suggest the importance
of both excitation and suppression for creating velocity representation in MT;
however, details of the organization of excitation and suppression at the MT
stage are not understood fully. In this article, we examine how excitatory and
suppressive inputs are pooled in individual MT neurons by measuring their
receptive fields in a three-dimensional (3-D) spatiotemporal frequency domain. We
recorded the activity of single MT neurons from anesthetized macaque monkeys. To
achieve both quality and resolution of the receptive field estimations, we
applied a subspace reverse correlation technique in which a stimulus sequence of
superimposed multiple drifting gratings was cross-correlated with the spiking
activity of neurons. Excitatory responses tended to be organized in a manner
representing a specific velocity independent of the spatial pattern of the
stimuli. Conversely, suppressive responses tended to be distributed broadly over
the 3-D frequency domain, supporting a hypothesis of response normalization.
Despite the nonspecific distributed profile, the total summed strength of
suppression was comparable to that of excitation in many MT neurons. Furthermore,
suppressive responses reduced the bandwidth of velocity tuning, indicating that
suppression improves the reliability of velocity representation. Our results
suggest that both well-organized excitatory inputs and broad suppressive inputs
contribute significantly to the invariant and reliable representation of velocity
in MT.
PMID- 27193320
TI - Megamap: flexible representation of a large space embedded with nonspatial
information by a hippocampal attractor network.
AB - The problem of how the hippocampus encodes both spatial and nonspatial
information at the cellular network level remains largely unresolved. Spatial
memory is widely modeled through the theoretical framework of attractor networks,
but standard computational models can only represent spaces that are much smaller
than the natural habitat of an animal. We propose that hippocampal networks are
built on a basic unit called a "megamap," or a cognitive attractor map in which
place cells are flexibly recombined to represent a large space. Its inherent
flexibility gives the megamap a huge representational capacity and enables the
hippocampus to simultaneously represent multiple learned memories and naturally
carry nonspatial information at no additional cost. On the other hand, the
megamap is dynamically stable, because the underlying network of place cells
robustly encodes any location in a large environment given a weak or incomplete
input signal from the upstream entorhinal cortex. Our results suggest a general
computational strategy by which a hippocampal network enjoys the stability of
attractor dynamics without sacrificing the flexibility needed to represent a
complex, changing world.
PMID- 27193324
TI - Rethinking general anesthesia for cesarean section.
PMID- 27193322
TI - Dopamine D1 receptor modulation of calcium channel currents in horizontal cells
of mouse retina.
AB - Horizontal cells form the first laterally interacting network of inhibitory
interneurons in the retina. Dopamine released onto horizontal cells under photic
and circadian control modulates horizontal cell function. Using isolated,
identified horizontal cells from a connexin-57-iCre * ROSA26-tdTomato transgenic
mouse line, we investigated dopaminergic modulation of calcium channel currents
(ICa) with whole cell patch-clamp techniques. Dopamine (10 MUM) blocked 27% of
steady-state ICa, an action blunted to 9% in the presence of the L-type Ca
channel blocker verapamil (50 MUM). The dopamine type 1 receptor (D1R) agonist
SKF38393 (20 MUM) inhibited ICa by 24%. The D1R antagonist SCH23390 (20 MUM)
reduced dopamine and SKF38393 inhibition. Dopamine slowed ICa activation,
blocking ICa by 38% early in a voltage step. Enhanced early inhibition of ICa was
eliminated by applying voltage prepulses to +120 mV for 100 ms, increasing ICa by
31% and 11% for early and steady-state currents, respectively. Voltage-dependent
facilitation of ICa and block of dopamine inhibition after preincubation with a
Gbetagamma-blocking peptide suggested involvement of Gbetagamma proteins in the
D1R-mediated modulation. When the G protein activator guanosine 5'-O-(3
thiotriphosphate) (GTPgammaS) was added intracellularly, ICa was smaller and
showed the same slowed kinetics seen during D1R activation. With GTPgammaS in the
pipette, additional block of ICa by dopamine was only 6%. Strong depolarizing
voltage prepulses restored the GTPgammaS-reduced early ICa amplitude by 36% and
steady-state ICa amplitude by 3%. These results suggest that dopaminergic
inhibition of ICa via D1Rs is primarily mediated through the action of Gbetagamma
proteins in horizontal cells.
PMID- 27193326
TI - Changes in uncuffed endotracheal tube leak during laparoscopic inguinal
herniorrhaphy in children.
AB - The present study was conducted to investigate changes in uncuffed endotracheal
tube (ETT) leak during laparoscopic surgery. The study included 31 patients aged
between 1 and 6 years scheduled for elective laparoscopic inguinal herniorrhaphy.
Inspiratory and expiratory tidal volumes (TVi and TVe) were measured during
mechanical ventilation, and ETT leak was calculated using the formula-ETT leak =
(TVi - TVe)/TVi * 100 (%), assessed at the following time-points-5 min after the
start of mechanical ventilation (T1, baseline), just before the start of surgery
(T2), 5 min after the induction of pneumoperitoneum with 15 degrees
Trendelenburg tilt (T3), and at the end of surgery (T4). Additionally, leak
pressure was assessed after successful tracheal intubation (T0, baseline) at T2,
T3 and T4. Uncuffed ETT leak significantly decreased at T3 compared with T1
(baseline). Leak pressure significantly increased at T3 and T4 compared with T0
(baseline). Further studies are needed in order to determine whether the results
are universal and associated with clinically significant outcomes.
PMID- 27193327
TI - Unique Distribution of Benzodiazepine Receptors in the Brain during the First Two
Years of Life.
AB - BACKGROUND: 123I-iomazenil (IMZ) single-photon emission computed tomography
(SPECT) is a tool for evaluating epileptic foci and brain damage. To apply the
method to children, information regarding the age-specific expression of
benzodiazepine receptors (BDZ-Rs) is required. Unfortunately, there is no
information currently available for children <2 years of age. METHODS: We used
IMZ SPECT once in infants aged 3-8 months and again at 2 years of age in order to
describe the maturational changes in BDZ-R distribution. RESULTS: No neurological
deficits were found in any of the infants at the first examination. The BDZ-Rs
were more dominantly distributed in the occipital lobe than in the frontal lobe
before the age of 2 years. The frontal-occipital gradients of the distribution
were obvious in children <8 months of age. Magnetic resonance imaging showed a
spreading of myelination toward the frontal lobes simultaneously with BDZ-R
expression. CONCLUSION: Information regarding the alteration in the BDZ-R
distribution pattern is useful when assessing infantile epilepsy and brain
injury. The age-related pattern of BDZ-R distribution could correspond with
myelination, cerebral blood flow, metabolism and behavioral development.
PMID- 27193325
TI - Ciproxifan, an H3 receptor antagonist, improves short-term recognition memory
impaired by isoflurane anesthesia.
AB - BACKGROUND: Exposure to volatile anesthetics has been reported to cause temporary
or sustained impairments in learning and memory in pre-clinical studies. The
selective antagonists of the histamine H3 receptors (H3R) are considered to be a
promising group of novel therapeutic agents for the treatment of cognitive
disorders. The aim of this study was to evaluate the effect of H3R antagonist
ciproxifan on isoflurane-induced deficits in an object recognition task. METHODS:
Adult C57BL/6 J mice were exposed to isoflurane (1.3 %) or vehicle gas for 2 h.
The object recognition tests were carried at 24 h or 7 days after exposure to
anesthesia to exploit the tendency of mice to prefer exploring novel objects in
an environment when a familiar object is also present. During the training phase,
two identical objects were placed in two defined sites of the chamber. During the
test phase, performed 1 or 24 h after the training phase, one of the objects was
replaced by a new object with a different shape. The time spent exploring each
object was recorded. RESULTS: A robust deficit in object recognition memory
occurred 1 day after exposure to isoflurane anesthesia. Isoflurane-treated mice
spent significantly less time exploring a novel object at 1 h but not at 24 h
after the training phase. The deficit in short-term memory was reversed by the
administration of ciproxifan 30 min before behavioral training. CONCLUSION:
Isoflurane exposure induces reversible deficits in object recognition memory.
Ciproxifan appears to be a potential therapeutic agent for improving post
anesthesia cognitive memory performance.
PMID- 27193323
TI - Inhibitory interneurons in visual cortical plasticity.
AB - For proper maturation of the neocortex and acquisition of specific functions and
skills, exposure to sensory stimuli is vital during critical periods of
development when synaptic connectivity is highly malleable. To preserve reliable
cortical processing, it is essential that these critical periods end after which
learning becomes more conditional and active interaction with the environment
becomes more important. How these age-dependent forms of plasticity are regulated
has been studied extensively in the primary visual cortex. This has revealed that
inhibitory innervation plays a crucial role and that a temporary decrease in
inhibition is essential for plasticity to take place. Here, we discuss how
different interneuron subsets regulate plasticity during different stages of
cortical maturation. We propose a theory in which different interneuron subsets
select the sources of neuronal input that undergo plasticity.
PMID- 27193328
TI - Metformin-induced acute dystonia in a schizophrenic patient treated with
sulpiride and clozapine.
PMID- 27193329
TI - Altered localization and functionality of TAR DNA Binding Protein 43 (TDP-43) in
niemann- pick disease type C.
AB - Niemann-Pick type C (NPC) disease is a lysosomal storage disorder characterized
by the occurrence of visceral and neurological symptoms. At present, the
molecular mechanisms causing neurodegeneration in this disease are unknown. Here
we report the altered expression and/or mislocalization of the TAR-DNA binding
protein 43 (TDP-43) in both NPC mouse and in a human neuronal model of the
disease. We also report the neuropathologic study of a NPC patient's brain,
showing that while TDP-43 is below immunohistochemical detection in nuclei of
cerebellar Purkinje cells, it has a predominant localization in the cytoplasm of
these cells. From a functional point of view, the TDP-43 mislocalization, that
occurs in a human experimental neuronal model system, is associated with specific
alterations in TDP-43 controlled genes. Most interestingly, treatment with N
Acetyl-cysteine (NAC) or beta-cyclodextrin (CD) can partially restore TDP-43
nuclear localization. Taken together, the results of these studies extend the
role of TDP-43 beyond the Amyotrophic lateral sclerosis (ALS)/frontotemporal
dementia (FTD)/Alzheimer disease (AD) spectrum. These findings may open novel
research/therapeutic avenues for a better understanding of both NPC disease and
the TDP-43 proteinopathy disease mechanism.
PMID- 27193332
TI - Gold-catalyzed Hosomi-Sakurai type reaction for the total synthesis of
herboxidiene.
AB - Total synthesis of herboxidiene/GEX1A/TAN-1609 has been accomplished in the 22
longest linear sequences starting from 2-butyne-1,4-diol following our recently
developed gold-catalyzed Hosomi-Sakurai type of reaction on lactols with
allyltrimethyl silane and Stille cross coupling to assemble the advanced
fragment. The synthesis of the C10-C19 fragment was accomplished by means of
Sharpless epoxidation and asymmetric alkylation reactions starting from (R)
methyl lactate.
PMID- 27193330
TI - Early life overfeeding impairs spatial memory performance by reducing microglial
sensitivity to learning.
AB - BACKGROUND: Obesity can lead to cognitive dysfunction including poor performance
in memory tasks. However, poor memory is not seen in all obese humans and takes
several months to develop in animal models, indicating the adult brain is
relatively resistant to obesity's cognitive effects. We have seen that, in the
rat, overfeeding for as little as 3 weeks in early life leads to lasting obesity
and microglial priming in the hypothalamus. Here we hypothesized that microglial
hyper-sensitivity in the neonatally overfed rats extends beyond the hypothalamus
into memory-associated brain regions, resulting in cognitive deficits. METHODS:
We tested this idea by manipulating Wistar rat litter sizes to suckle pups in
litters of 4 (overfed) or 12 (control). RESULTS: Neonatally overfed rats had
microgliosis in the hippocampus after only 14 days overfeeding, and this
persisted into adulthood. These changes were coupled with poor performance in
radial arm maze and novel object recognition tests relative to controls. In
controls, the experience of the radial arm maze reduced cell proliferation in the
dentate gyrus and neuron numbers in the CA3. The learning task also suppressed
microglial number and density in hippocampus and retrosplenial cortex. Neonatally
overfed brains had impaired sensitivity to learning, with no neuronal or cell
proliferative effects and less effective microglial suppression. CONCLUSIONS:
Thus, early life overfeeding contributes to a long-term impairment in learning
and memory with a likely role for microglia. These data may partially explain why
some obese individuals display cognitive dysfunction and some do not, i.e. the
early life dietary environment is likely to have a vital long-term contribution.
PMID- 27193331
TI - A significant causal association between C-reactive protein levels and
schizophrenia.
AB - Many observational studies have shown elevated blood CRP levels in schizophrenia
compared with controls, and one population-based prospective study has reported
that elevated plasma CRP levels were associated with late- and very-late-onset
schizophrenia. Furthermore, several clinical studies have reported the efficacy
of anti-inflammatory drugs on the symptoms in patients with schizophrenia.
However, whether elevated CRP levels are causally related to schizophrenia is not
still established because of confounding factors and reverse causality. In the
present study, we demonstrated that serum CRP levels were significantly higher in
patients with schizophrenia than in the controls by conducting a case-control
study and a meta-analysis of case-control studies between schizophrenia and serum
CRP levels. Furthermore, we provided evidence for a causal association between
elevated CRP levels and increased schizophrenia risk by conducting a Mendelian
randomization analysis. Our findings suggest that elevated CRP itself may be a
causal risk factor for schizophrenia.
PMID- 27193334
TI - Facing forward after Ebola: questions for the next director general of the World
Health Organization.
PMID- 27193335
TI - [Rheumatology - Integration into student training (RISA) : Current structure of
clinical rheumatology in German universities (RISA III)].
AB - The German Society of Rheumatology and the Committee for Student Training
investigated what effects the structures in university medicine have on student
teaching. In February 2014 a questionnaire was sent to the teaching staff and
Deans of each of the 37 medical faculties. Of the locations seven were classified
as being independent rheumatological university hospitals and nine universities
had a W2/W3/C3 grade professor as head of a department of clinical rheumatology
but answerable to superiors. In the 37 faculties in Germany the proportion of
lecture hours, the proportion of obligatory lecture hours, the number of hours
for practical exercises and the number of hours for bedside teaching were
distributed very differently and as a rule higher in universities with academic
freedom. Not all medical faculties have obligatory teaching in the field of
clinical rheumatology. On average medical students see five patients with
rheumatological symptoms during their studies. In summary, over the past years it
has not been possible to successfully utilize the great importance of
rheumatology for society and the innovation potential of this discipline in order
to improve the integration of clinical rheumatology into universities.
PMID- 27193336
TI - [Severe therapy refractive osteoporosis : A rare differential diagnosis].
AB - Systemic mastocytosis is defined as a clonal increase of mast cells. We report on
four patients with severe osteoporosis and histologically confirmed systemic
mastocytosis. In spite of antiresorptive therapy the patients developed further
vertebral fractures and suffered from ostealgia. Systemic mastocytosis is an
important differential diagnosis in patients with therapy refractive and
unexplained osteoporosis. Skin involvement (urticaria pigmentosa) is a rare
occurrence and in most cases an isolated involvement of bone marrow is present.
Determination of serum tryptase can provide indications for systemic mastocytosis
but the diagnosis is only confirmed by bone marrow biopsy. There is a high risk
of vertebral fractures and patients should be treated in specialized centers.
Zoledronic acid can be a therapeutic option for indolent osteoporosis associated
with systemic mastocytosis.
PMID- 27193333
TI - Phenotypic dynamics of microglial and monocyte-derived cells in glioblastoma
bearing mice.
AB - Inflammatory cells, an integral component of tumor evolution, are present in
Glioblastomas multiforme (GBM). To address the cellular basis and dynamics of the
inflammatory microenvironment in GBM, we established an orthotopic syngenic model
by grafting GL261-DsRed cells in immunocompetent transgenic LysM-EGFP//CD11c-EYFP
reporter mice. We combined dynamic spectral two-photon imaging with
multiparametric cytometry and multicolor immunostaining to characterize spatio
temporal distribution, morphology and activity of microglia and blood-derived
infiltrating myeloid cells in live mice. Early stages of tumor development were
dominated by microglial EYFP(+) cells invading the tumor, followed by massive
recruitment of circulating LysM-EGFP(+) cells. Fluorescent invading cells were
conventional XCR1(+) and monocyte-derived dendritic cells distributed in
subpopulations of different maturation stages, located in different areas
relative to the tumor core. The lethal stage of the disease was characterized by
the progressive accumulation of EGFP(+)/EYFP(+) monocyte-derived dendritic cells.
This local phenotypic regulation of monocyte subtypes marked a transition in the
immune response.
PMID- 27193337
TI - Electrochemical nanostructured ZnO biosensor for ultrasensitive detection of
cardiac troponin-T.
AB - AIM: Vertically oriented zinc oxide nanostructures based disposable diagnostic
biosensor for detecting and quantifying levels of cardiac troponin-T from human
serum has been developed. MATERIALS & METHODS: The biosensors were designed by
integrating hydrothermally grown zinc oxide nanostructures on glass and printed
circuit board platforms, resulting in the generation of high-density
nanostructure arrays with nanotextured zinc oxide based electrodes. The size,
density and surface terminations of the nanostructures were leveraged toward
achieving surface confinement of the target cTnT molecules on to the
nanostructures. A combination of AC and DC spectroscopy was used to characterize
the biosensor response to cTnT. RESULTS & CONCLUSION: LOD of 0.1 pg/ml in human
serum was achieved.
PMID- 27193338
TI - Remarkable points for cardiovascular studies in fibromyalgia syndrome management.
PMID- 27193340
TI - Muscle regeneration after sepsis.
AB - Severe critical illness is often complicated by intensive care unit-acquired
weakness (ICU-AW), which is associated with increased ICU and post-ICU mortality,
delayed weaning from mechanical ventilation and long-term functional disability.
Several mechanisms have been implicated in the pathophysiology of ICU-AW, but
muscle regeneration has not been investigated to any extent in this context, even
though its involvement is suggested by the protracted functional consequences of
ICU-AW. Recent data suggest that muscle regeneration could be impaired after
sepsis, and that mesenchymal stem cell treatment could improve the post-injury
muscle recovery.
PMID- 27193339
TI - Distinct white-matter aberrations in 22q11.2 deletion syndrome and patients at
ultra-high risk for psychosis.
AB - BACKGROUND: Patients with a deletion at chromosome 22q11.2 (22q11DS) have 30%
lifetime risk of developing a psychosis. People fulfilling clinical criteria for
ultra-high risk (UHR) for psychosis have 30% risk of developing a psychosis
within 2 years. Both high-risk groups show white-matter (WM) abnormalities in
microstructure and volume compared to healthy controls (HC), which have been
related to psychotic symptoms. Comparisons of WM pathology between these two
groups may specify WM markers related to genetic and clinical risk factors.
METHOD: Fractional anisotropy (FA), axial diffusivity (AD), radial diffusivity
(RD) and mean diffusivity (MD) were assessed using diffusion tensor magnetic
resonance imaging (MRI), and WM volume with structural MRI, in 23 UHR patients,
21 22q11DS patients, and 33 HC. RESULTS: Compared to UHR patients 22q11DS
patients had (1) lower AD and RD in corpus callosum (CC), cortical fasciculi, and
anterior thalamic radiation (ATR), (2) higher FA in CC and ATR, and (3) lower
occipital and superior temporal gyrus WM volume. Compared to HC, 22q11DS patients
had (1) lower AD and RD throughout cortical fasciculi and (2) higher FA in ATR,
CC and inferior fronto-occipital fasciculus. Compared to HC, UHR patients had (1)
higher mean MD, RD, and AD in CC, ATR and cortical fasciculi, (2) no differences
in FA. CONCLUSIONS: UHR and 22q11DS patients share a susceptibility for
developing psychosis yet were characterized by distinct patterns of WM
alterations relative to HC. While UHR patients were typified by signs suggestive
of aberrant myelination, 22q11DS subjects showed signs suggestive of lower axonal
integrity.
PMID- 27193341
TI - Ecosystemic, climatic and temporal differences in oribatid communities (Acari:
Oribatida) from forest soils.
AB - Oribatid mite communities from 18 natural autochthonous forest soils in the
Basque Country, belonging to five forest types, distributed along an ombrothermic
gradient of five climatic regions were broadly studied. Forest type and climatic
region together (45 % of the total variability) were important factors
influencing the oribatid community. The local scale variable (forest type, 28 %)
was about as determinant a factor as the regional scale (climatic region, 26 %),
though together they accounted for just 9 %. By contrast, the influence of
spatial distribution (geography) was not significant by itself but played an
important role as a co-variable. Differences in community indices were detected
only for species abundances, with holm oak showing the highest oribatid density
and beech the lowest. The effect of the passage of time on oribatid communities
was also analyzed by comparing recent communities to those of 19-26 years ago in
the same forests. The community indices are influenced by the course of time when
separate periods of time are compared. Although the recently studied forests
apparently show the same conservational conditions as those studied in the past,
they are less diverse.
PMID- 27193342
TI - New-Onset Scleral Icterus in a School-Aged Female.
PMID- 27193343
TI - [Contested fields in neurology].
PMID- 27193344
TI - Single-channel EEG sleep stage classification based on a streamlined set of
statistical features in wavelet domain.
AB - The main objective of this study was to enhance the performance of sleep stage
classification using single-channel electroencephalograms (EEGs), which are
highly desirable for many emerging technologies, such as telemedicine and home
care. The proposed method consists of decomposing EEGs by a discrete wavelet
transform and computing the kurtosis, skewness and variance of its coefficients
at selected levels. A random forest predictor is trained to classify each epoch
into one of the Rechtschaffen and Kales' stages. By performing a comprehensive
set of tests on 106,376 epochs available from the Physionet public database, it
is demonstrated that the use of these three statistical moments has enhanced
performance when compared to their application in the time domain. Furthermore,
the chosen set of features has the advantage of exhibiting a stable
classification performance for all scoring systems, i.e., from 2- to 6-state
sleep stages. The stability of the feature set is confirmed with ReliefF tests
which show a performance reduction when any individual feature is removed,
suggesting that this group of feature cannot be further reduced. The accuracies
and kappa coefficients yield higher than 90 % and 0.8, respectively, for all of
the 2- to 6-state sleep stage classification cases.
PMID- 27193345
TI - Serum brain-derived neurotrophic factor (BDNF) concentrations in pregnant women
with post-traumatic stress disorder and comorbid depression.
AB - There is accumulating evidence for the role of brain-derived neurotrophic factor
(BDNF) in the pathophysiology of depression. However, the role of BDNF in the
pathophysiology of post-traumatic stress disorder (PTSD) remains controversial,
and no study has assessed BDNF concentrations among pregnant women with PTSD. We
examined early-pregnancy BDNF concentrations among women with PTSD with and
without depression. A total of 2928 women attending prenatal care clinics in
Lima, Peru, were recruited. Antepartum PTSD and depression were evaluated using
PTSD Checklist-Civilian Version (PCL-C) and Patient Health Questionnaire-9 (PHQ
9) scales, respectively. BDNF concentrations were measured in a subset of the
cohort (N = 944) using a competitive enzyme-linked immunosorbent assay (ELISA).
Logistic regression procedures were used to estimate odds ratios (OR) and 95 %
confidence intervals (95 % CI). Antepartum PTSD (37.4 %) and depression (27.6 %)
were prevalent in this cohort of low-income pregnant Peruvian women.
Approximately 19.9 % of participants had comorbid PTSD-depression. Median serum
BDNF concentrations were lower among women with comorbid PTSD-depression as
compared with women without either condition (median [interquartile range], 20.44
[16.97-24.30] vs. 21.35 [17.33-26.01] ng/ml; P = 0.06). Compared to the referent
group (those without PTSD and depression), women with comorbid PTSD-depression
were 1.52-fold more likely to have low (<25.38 ng/ml) BDNF concentrations (OR =
1.52; 95 % CI 1.00-2.31). We observed no evidence of reduced BDNF concentrations
among women with isolated PTSD. BDNF concentrations in early pregnancy were only
minimally and non-significantly reduced among women with antepartum PTSD.
Reductions in BDNF concentrations were more pronounced among women with comorbid
PTSD-depression.
PMID- 27193346
TI - Persistence and drug tolerance in pathogenic yeast.
AB - In this review, we briefly summarize the current understanding of how fungal
pathogens can persist antifungal treatment without heritable resistance mutations
by forming tolerant persister cells. Fungal infections tolerant to antifungal
treatment have become a major medical problem. One mechanism leading to drug
recalcitrance is the formation of antifungal persister cells. These cells have
wild-type genotype with the ability to survive exposure to antifungal agents due
to changed membrane composition, upregulated stress response, and enhanced cell
wall integrity. Knowledge of the mechanisms regulating entry and exit of the
persister phenotype is limited, but it has recently been shown that the
inhibition of the growth regulating TORC1 pathway induces fungal persistence. The
phenotypic properties of persister cells and the involvement of the TORC1 pathway
indicate that persister cells are quiescent in G0 of the cell cycle. This
knowledge leads us to suggest that the identified shared drug-tolerance
mechanisms of persister and quiescent cells may serve as a foundation for
developing novel treatment strategies that are independent of growth mode against
systemic fungal infections.
PMID- 27193347
TI - ["Choosing wisely" in infectious diseases : Overuse of antibiotics - too few
vaccinations].
AB - The "choosing wisely" recommendations of the German Society of Internal Medicine
(DGIM) and its specialist societies address diagnostic and therapeutic
procedures, which are of particular medical importance but applied too often or
too rarely in clinical practice. The aim is to further improve treatment of
patients. Important topics of overuse and insufficient treatment related to the
diagnostics, therapy, prevention and exclusion of infectious diseases could be
identified. These topics not only play an important role in the discipline of
infectious diseases but are also relevant for other internal medical disciplines.
These topics related to infectious diseases have also been integrated into the
recommendations of the German Society of Gastroenterology, Digestive and
Metabolic Diseases as well as the German Societies for Internal Intensive Care
and Emergency Medicine, for Pneumology, for Nephrology and for Rheumatology. The
pivotal issues of the recommendations are the inappropriate use of antibiotics
and insufficient vaccination rates.
PMID- 27193348
TI - First molecular identification of Dirofilaria spp. (Onchocercidae) in mosquitoes
from Serbia.
AB - Dirofilariosis is a common and widespread veterinary health issue in several
European countries with notable zoonotic potential. The causative agents are
Dirofilaria immitis and Dirofilaria repens nematoda species which are transmitted
by different mosquito vectors. Similar to other mosquito-borne infections, the
knowledge about mosquito species involved in disease transmission is crucial for
the complex understanding of local transmission cycles. Since there is no
available data on mosquito species, potentially involved in disease transmission
from Serbia, 6369 female mosquito individuals were retrospectively tested for
Dirofilaria nematodes, collected from 13 localities in Vojvodina province,
Serbia, in 2013. Altogether, 8.33 % of tested pools showed positivity, composed
of five mosquito species, mainly, Culex pipiens and Aedes vexans. D. immitis and
D. repens were both detected from multiple localities, during the whole period of
mosquito breeding season, which provides the first data on local transmission
characteristics regarding mosquitoes from the Balkans.
PMID- 27193349
TI - Jointed toxicity of TiO2 NPs and Cd to rice seedlings: NPs alleviated Cd toxicity
and Cd promoted NPs uptake.
AB - Previous studies have reported that nanoparticles (NPs) and heavy metals are
toxic to the environment. However, the jointed toxicity is not yet well
understood. This study was aimed to investigate the combined toxicity of TiO2 NPs
and the heavy metal cadmium (Cd) to plants. Rice (Oryzasativa L.) was selected as
the target plant. The rice seedlings were randomly separated into 12 groups and
treated with CdCl2 (0, 10 and 20 mg/L) and TiO2 NPs (0, 10, 100 and 1000 mg/L).
The plant height, biomass and root length indicated significant toxicity of Cd to
the growth, but TiO2 NPs exhibited the potential ability to alleviate the Cd
toxicity. Transmission electron microscopy (TEM) and energy dispersive
spectrometer (EDS) confirmed the existence of TiO2 NPs in plants. Elemental
analysis of Ti and Cd suggested that the presences of Cd significantly decreased
the Ti accumulation in the rice roots in the co-exposure treatments.
Interestingly, TiO2 NPs could lower the Cd uptake and distribution in rice roots
and leaves. The results of antioxidant enzyme activity, lipid peroxide as well as
phytohormones varied in the different treatments. Comparing with the Cd alone
treatment, the net photosynthetic rate and chlorophyll content were significantly
increased in the co-exposure treatments, suggesting that TiO2 NPs could
tremendously reduce the Cd toxicity.
PMID- 27193350
TI - Small ruminant lentiviral Vif proteins commonly utilize cyclophilin A, an
evolutionarily and structurally conserved protein, to degrade ovine and caprine
APOBEC3 proteins.
AB - Mammals have co-evolved with retroviruses, including lentiviruses, over a long
period. Evidence supporting this contention is that viral infectivity factor
(Vif) encoded by lentiviruses antagonizes the anti-viral action of cellular
apolipoprotein B mRNA editing enzyme catalytic polypeptide-like 3 (APOBEC3) of
the host. To orchestrate E3 ubiquitin ligase complex for APOBEC3 degradation,
Vifs utilize mammalian proteins such as core-binding factor beta (CBFB; for
primate lentiviruses) or cyclophilin A (CYPA; for Maedi-Visna virus [MVV]).
However, the co-evolutionary relationship between lentiviral Vif and the
mammalian proteins associated with Vif-mediated APOBEC3 degradation is poorly
understood. Moreover, it is unclear whether Vif proteins of small ruminant
lentiviruses (SRLVs), including MVV and caprine arthritis encephalitis virus
(CAEV), commonly utilize CYPA to degrade the APOBEC3 of their hosts. In this
study, molecular phylogenetic and protein homology modeling revealed that Vif co
factors are evolutionarily and structurally conserved. It was also found that not
only MVV but also CAEV Vifs degrade APOBEC3 of both sheep and goats and that CAEV
Vifs interact with CYPA. These findings suggest that lentiviral Vifs chose
evolutionarily and structurally stable proteins as their partners (e.g., CBFB or
CYPA) for APOBEC3 degradation and, particularly, that SRLV Vifs evolved to
utilize CYPA as their co-factor in degradation of ovine and caprine APOBEC3.
PMID- 27193352
TI - Nitrogen-Rich Manganese Oxynitrides with Enhanced Catalytic Activity in the
Oxygen Reduction Reaction.
AB - The catalytic activity of manganese oxynitrides in the oxygen reduction reaction
(ORR) was investigated in alkaline solutions to clarify the effect of the
incorporated nitrogen atoms on the ORR activity. These oxynitrides, with rock
salt-like structures with different nitrogen contents, were synthesized by
reacting MnO, Mn2 O3 , or MnO2 with molten NaNH2 at 240-280 degrees C. The anion
contents and the Mn valence states were determined by combustion analysis, powder
X-ray diffraction, and X-ray absorption near-edge structure analysis. An increase
in the nitrogen content of rock-salt-based manganese oxynitrides increases the
valence of the manganese ions and reinforces the catalytic activity for the ORR
in 1 m KOH solution. Nearly single-electron occupancy of the antibonding eg
states and highly covalent Mn-N bonding thus enhance the ORR activity of nitrogen
rich manganese oxynitrides.
PMID- 27193351
TI - Prospective assessment of serum periostin as a biomarker for diagnosis and
monitoring of eosinophilic oesophagitis.
AB - BACKGROUND: Periostin is highly expressed in eosinophilic oesophagitis (EoE), but
has not been extensively studied as a non-invasive biomarker. AIM: To assess
whether serum periostin distinguished EoE from controls at baseline, had utility
for monitoring treatment response, or was associated with IL-13 levels. METHODS:
This was a sub-analysis of a prospective cohort study of adults undergoing out
patient upper endoscopy. Incident cases of EoE were diagnosed per consensus
guidelines. Controls were subjects with either GERD or dysphagia without EoE. EoE
patients were treated with swallowed/topical steroids and had repeat
endoscopy/biopsy. Serum periostin levels for cases and controls were compared at
baseline, and pre/post-treatment levels were compared for cases. Serum IL-13 and
tissue expression of periostin were also assessed. RESULTS: A total of 61
incident EoE cases and 87 controls were analysed. Despite a marked increase in
tissue periostin expression in cases, the median baseline serum periostin level
was only slightly higher in cases than controls (22.1 ng/mL vs. 20.7; P = 0.04);
there was no change in post-treatment levels. There was also no difference in
serum periostin for cases by histologic response or atopic status. There was a
strong trend towards higher serum IL-13 levels in cases in the highest periostin
quartile (57.1 pg/mL vs. 2.6; P = 0.07). CONCLUSIONS: Serum periostin levels were
similar in cases and controls, and there were no changes post-treatment. Given
elevated IL-13 levels in the EoE patients with the highest periostin levels,
future studies could explore periostin as a biomarker in EoE, perhaps in the
setting of anti-IL-13 therapy.
PMID- 27193353
TI - Design of alpha-Fe2O3 nanorods functionalized tubular NiO nanostructure for
discriminating toluene molecules.
AB - A novel tubular NiO nanostructure was synthesized by a facile and low-cost
hydrothermal strategy and then further functionalized by decorating alpha-Fe2O3
nanorods. The images of electron microscopy indicated that the alpha-Fe2O3
nanorods were assembled epitaxially on the surfaces of NiO nanotubes to form
alpha-Fe2O3/NiO nanotubes. As a proof-of-concept demonstration of the function,
gas sensing devices were fabricated from as-prepared alpha-Fe2O3/NiO nanotubes,
and showed enhanced gas response and excellent selectivity toward toluene, giving
a response of 8.8 to 5 ppm target gas, which was about 7.8 times higher than that
of pure NiO nanotubes at 275 degrees C. The improved gas sensing performance of
alpha-Fe2O3/NiO nanotubes could be attributed to the unique tubular morphology
features, p-n heterojunctions and the synergetic behavior of alpha-Fe2O3 and NiO.
PMID- 27193355
TI - Green Tea Versus Traditional Korean Teas: Antibacterial/Antifungal or Both?
AB - The feasibility of utilizing the antimicrobial activity of naturally available
teas was studied. Eleven teas including 2 green teas and 9 other traditional
Korean mixed teas were tested for their antimicrobial properties. Antibacterial
and antifungal properties were assessed. The results showed that green teas
possessed significant antifungal and antibacterial properties, while most of the
mixed teas showed some amount of antifungal activity and almost insignificant
antibacterial properties. Confocal microscopic imaging revealed mycelial damage
as well as attack on sporophores rather than spores/spore germination to be the
reason behind the antifungal activity. EGCG was identified as the crucial
catechin for antimicrobial activity. The study confirmed that green tea had a
clear edge over the traditional mixed teas when it comes to antimicrobial
activity.
PMID- 27193354
TI - The WRKY Transcription Factor Family in Citrus: Valuable and Useful Candidate
Genes for Citrus Breeding.
AB - WRKY transcription factors belong to a large family of plant transcriptional
regulators whose members have been reported to be involved in a wide range of
biological roles including plant development, adaptation to environmental
constraints and response to several diseases. However, little or poor information
is available about WRKY's in Citrus. The recent release of completely assembled
genomes sequences of Citrus sinensis and Citrus clementina and the availability
of ESTs sequences from other citrus species allowed us to perform a genome survey
for Citrus WRKY proteins. In the present study, we identified 100 WRKY members
from C. sinensis (51), C. clementina (48) and Citrus unshiu (1), and analyzed
their chromosomal distribution, gene structure, gene duplication, syntenic
relation and phylogenetic analysis. A phylogenetic tree of 100 Citrus WRKY
sequences with their orthologs from Arabidopsis has distinguished seven groups.
The CsWRKY genes were distributed across all ten sweet orange chromosomes. A
comprehensive approach and an integrative analysis of Citrus WRKY gene expression
revealed variable profiles of expression within tissues and stress conditions
indicating functional diversification. Thus, candidate Citrus WRKY genes have
been proposed as potentially involved in fruit acidification, essential oil
biosynthesis and abiotic/biotic stress tolerance. Our results provided essential
prerequisites for further WRKY genes cloning and functional analysis with an aim
of citrus crop improvement.
PMID- 27193356
TI - The Influence of Pole Length on Performance, O2 Cost, and Kinematics in Double
Poling.
AB - PURPOSE: In the double-poling (DP) cross-country-skiing technique, propulsive
forces are transferred solely through the poles. The aim of the current study was
to investigate how pole length influences DP performance, O2 cost, and kinematics
during treadmill roller skiing. METHODS: Nine male competitive cross-country
skiers (24 +/- 3 y, 180 +/- 5 cm, 72 +/- 5 kg, VO2max running 76 +/- 6 mL . kg-1
. min-1) completed 2 identical test protocols using self-selected (84% +/- 1% of
body height) and long poles (self-selected + 7.5 cm; 88% +/- 1% of body height)
in a counterbalanced fashion. Each test protocol included a 5-min warm-up (2.5
m/s; 2.5 degrees ) and three 5-min submaximal sessions (3.0, 3.5, and 4.0 m/s;
2.5 degrees ) for assessment of O2 cost, followed by a selfpaced 1000-m time
trial (~3 min, >5.0 m/s; 2.5 degrees ). Temporal patterns and kinematics were
assessed using accelerometers and 2D video. RESULTS: Long poles reduced 1000-m
time (mean +/- 90% confidence interval; -1.0% +/- 0.7%, P = .054) and submaximal
O2 cost (-2.7% +/- 1.0%, P = .002) compared with self-selected poles. The center
of-mass (CoM) vertical range of displacement tended to be smaller for long than
for self-selected poles (23.3 +/- 3.0 vs 24.3 +/- 3.0 cm, P = .07). Cycle and
reposition time did not differ between pole lengths at any speeds tested, whereas
poling time tended to be shorter for self-selected than for long poles at the
lower speeds (<=3.5 m/s, P <= .10) but not at the higher speeds (>=4.0 m/s, P >=
.23). CONCLUSIONS: DP 1000-m time, submaximal O2 cost, and CoM vertical range of
displacement were reduced in competitive cross-country skiers using poles 7.5 cm
longer than self-selected ones.
PMID- 27193357
TI - Trends in cancer survivors' experience of patient-centered communication: results
from the Health Information National Trends Survey (HINTS).
AB - PURPOSE: Two Institute of Medicine reports almost a decade apart suggest that
cancer survivors often feel "lost in transition" and experience suboptimal
quality of care. The six core functions of patient-centered communication:
managing uncertainty, responding to emotions, making decisions, fostering healing
relationships, enabling self-management, and exchanging information, represent a
central aspect of survivors' care experience that has not been systematically
investigated. METHODS: Nationally representative data from four administrations
of the Health Information National Trends Survey (HINTS) was merged with combined
replicate weights using the jackknife replication method. Linear and logistic
regression models were used to assess (1) characteristics of cancer survivors (N
= 1794) who report suboptimal patient-centered communication and (2) whether
survivors' patient-centered communication experience changed from 2007 to 2013.
RESULTS: One third to one half of survivors report suboptimal patient-centered
communication, particularly on core functions of providers helping manage
uncertainty (48 %) and responding to emotions (49 %). In a fully adjusted linear
regression model, survivors with more education (Wald F = 2.84, p = .04), without
a usual source of care (Wald F = 11.59, p < .001), and in poorer health (Wald F =
9.08, p < .001) were more likely to report less patient-centered communication.
Although ratings of patient-centered communication improved over time (p trend =
.04), this trend did not remain significant in fully adjusted models.
CONCLUSIONS: Despite increased attention to survivorship, many survivors continue
to report suboptimal communication with their health care providers. IMPLICATIONS
FOR CANCER SURVIVORS: Survivorship communication should include managing
uncertainty about future risk and address survivors' emotional needs. Efforts to
improve patient-centered communication should focus on survivors without a usual
source of care and in poorer health.
PMID- 27193358
TI - Disability Rating Scales in Parkinson's Disease: Critique and Recommendations.
AB - INTRODUCTION: PD is associated with impairments that progress over time to
disability. A large number of disability scales exist with little information on
the best choice in PD. METHODS: Following methodology adopted by the
International Parkinson and Movement Disorder Society Task Force, a review of
disability scales used in PD was completed. Based on prespecified criteria, the
review categorized scales into: "Recommended"; "Recommended with Further
Validation in PD Required" when well-validated scales have not been specifically
tested for clinimetric properties in PD; "Suggested"; and "Listed." RESULTS:
Twenty-nine disability instruments were identified with nine scales fulfilling
criteria for "Recommended" and 7 "Recommended with Further Validation in PD
Required." Eight scales are "Suggested" and five scales are "Listed" for use in
PD. The nine Recommended scales (Functional Status Questionnaire, Lawton-Brody
Activities of Daily Living, Nottingham Activities of Daily Living, Schwab and
England Activities of Daily Living, Self-Assessment PD Disability, Short
Parkinson's Evaluation Scale/Scales for Outcomes in PD, Unified PD Rating Scale
II: Activities of Daily Living, Movement Disorders Society UPDRS Motor
Experiences of Daily Living, PROMIS(r) and Neuro-QoL Physical Function), and the
seven Recommended with Further Validation in PD Required are reviewed.
CONCLUSION: Many disability measures are available and recommended for
application in PD. The Task Force does not recommend the development of a new
scale. Selection of the most appropriate instrument for a particular objective
requires consideration of the characteristics of each scale and the goals of the
assessment. (c) 2016 International Parkinson and Movement Disorder Society.
PMID- 27193360
TI - Some Unusual Neuropsychological Syndromes: Somatoparaphrenia, Akinetopsia,
Reduplicative Paramnesia, Autotopagnosia.
AB - Some unusual neuropsychological syndromes are rarely reported in the
neuropsychological literature. This paper presents a review of four of these
unusual clinical syndromes: (1) somatoparaphrenia (delusional belief in which a
patient states that the limb contralateral to a brain pathology, does not belong
to him/her); (2) akinetopsia (cortical syndrome in which patient losses the
ability to perceive visual motion); (3) reduplicative paramnesia (believe that a
familiar place, person, object, or body part has been duplicated); and (4)
autotopagnosia (disturbance of body schema involving the loss of ability to
localize, recognize, or identify the specific parts of one's body). It is
concluded that regardless of their rarity, it is fundamental to take them into
consideration in order to understand how the brain organizes cognition; their
understanding is also crucial in the clinical analysis of patients with brain
pathologies.
PMID- 27193359
TI - Epitrochlear cat scratch disease: unique imaging features allowing
differentiation from other soft tissue masses of the medial arm.
AB - OBJECTIVE: Evaluate anatomic and imaging features of epitrochlear regional
adenopathy secondary to cat scratch disease (CSD) to assist differentiation of
CSD from other soft tissue masses at the elbow. MATERIALS AND METHODS:
Retrospective review of 24 confirmed cases of CSD. Patient demographics, clinical
presentation and radiographic (R; n = 10), CT (n = 3), ultrasound (US; n = 5),
and MR (n = 21) images were reviewed. Lesion location, size, number of masses,
and intrinsic characteristics on R/CT/US/MR and presence of soft tissue
inflammatory changes or adjacent bone or joint involvement were established
through the consensus interpretation by four musculoskeletal radiologists.
RESULTS: The average patient age was 18.6 years. Mass location was anterior and
superficial to the medial intermuscular septum (100 %) with the masses posterior
or posteromedial to the basilic vein (92 %). Three or fewer lymph nodes were
involved in 92 %. Masses were noncalcified with adjacent inflammatory change (R =
90 %, CT = 100 %). US showed hypoechoic soft tissue echogenicity masses with
defined to minimally irregular margins (80 %) and preserved central hilar
hypervascularity on Doppler (100 % of cases). On MR, masses were T1 isointense
(62 %), T2 isointense (54 %), intermediate signal on T2 images with fat
suppression (55 %), and had perilesional inflammatory changes (95 %),
perilesional fluid collections (38 %), adjacent muscle edema (81 %), hyperintense
cental hilar vascular enhancement (65 %) and occasional preserved central hilar
fat (14 %). CONCLUSION: Cat scratch disease is suggested by the characteristic
location of a medial epitrochlear mass superficial to the brachial fascia and
posterior to the basilic vein with surrounding inflammatory changes and
preservation of hilar vascular architecture, hilar enhancement and occasional
hilar fat.
PMID- 27193361
TI - Verbal Memory Abilities in Severe Childhood Psychiatric Disorders and the
Influence of Attention and Executive Functions.
AB - Despite prior adult research regarding the influence of executive functions on
memory performance, there has been inconsistent prior research on the role of
executive functions on memory performance in children, particularly those
children with severe psychiatric disorders. A medical chart review was conducted
for 76 children (ages 6-12 years) who received a neuropsychological evaluation
during children's psychiatric inpatient program hospitalization. A series of
hierarchical regression analyses investigated the role of attention/executive and
non-executive functions in verbal memory performance (immediate recall, delayed
recall, and delayed recognition). Demographic and verbal measures were entered
into blocks 1 and 2 for all analyses, followed by attention and executive
functions (i.e., attention span, sustained attention, verbal fluency, cognitive
flexibility, inhibitory control, and planning/organization). Nearly 15% of the
participants displayed memory impairment. Results of regression analyses
indicated attention/executive dysfunction severity predicted overall memory
performance. Attention span predicted performance on all three memory conditions.
Planning/organization accounted for unique variance in immediate recall condition
while inhibitory control accounted for unique variance in delayed recall
condition. These results indicate that verbal memory problems frequently occur in
severe childhood psychiatric disorders. Further, planning/organization deficits
may influence immediate recall, while inhibitory control deficits may influence
delayed recall. Alternatively, delayed recognition memory may be the most
resistant to the negative influence of executive deficits on verbal memory
performance in childhood psychiatric disorders.
PMID- 27193362
TI - Prevalence of below-criterion Reliable Digit Span scores in a clinical sample of
older adults.
AB - OBJECTIVE: The Reliable Digit Span (RDS) is a well-validated embedded indicator
of performance validity. An RDS score of <=7 is commonly referenced as indicative
of invalid performance; however, few studies have examined the classification
accuracy of the RDS among individuals suspected for dementia. The current study
evaluated performance of the RDS in a clinical sample of 934 non-litigating
individuals presenting to an outpatient memory disorders clinic for assessment of
dementia. METHOD: The RDS was calculated for each participant in the context of a
comprehensive neuropsychological assessment completed as part of routine clinical
care. Score distributions were examined to establish the base rate of below
criterion performance for RDS cutoffs of <=7, <=6, and <=5. One-way ANOVA was
used to compare performance on a cognitive screening measure and informant
reports of functional independence of those falling below and above cutoffs.
RESULTS: A cutoff score of <=7 resulted in a high prevalence of below-criterion
performance (29.7%), though an RDS of <=6 was associated with fewer below
criterion scores (12.8%) and prevalence of an RDS of <=5 was infrequent (4.3%).
Those scoring below cutoffs performed worse on cognitive measures compared with
those falling above cutoffs. CONCLUSIONS: Using the RDS as a measure of
performance validity among individuals presenting with a possibility of dementia
increases the risk of misinterpreting genuine cognitive impairment as invalid
performance when higher cutoffs are used; lower cutoffs may be useful when
interpreted in conjunction with other measures of performance validity.
PMID- 27193363
TI - Mild Cognitive Impairment in Late Middle Age in the Wisconsin Registry for
Alzheimer's Prevention Study: Prevalence and Characteristics Using Robust and
Standard Neuropsychological Normative Data.
AB - OBJECTIVE: Detecting cognitive decline in presymptomatic Alzheimer's disease (AD)
and early mild cognitive impairment (MCI) is challenging, but important for
treatments targeting AD-related neurodegeneration. The current study aimed to
investigate the utility and performance of internally developed robust norms and
standard norms in identifying cognitive impairment in late middle-age (baseline
age range = 36-68; M = 54). METHOD: Robust norms were developed for
neuropsychological measures based on longitudinally confirmed cognitively normal
(CN) participants (n= 476). Seven hundred and seventy-nine participants enriched
for AD risk were classified as psychometric MCI (pMCI) or CN based on standard
and robust norms and "single-test" versus "multi-test" criteria. RESULTS:
Prevalence of pMCI ranged from 3% to 49% depending on the classification scheme
used. Those classified as pMCI using robust norms exhibited greater subjective
cognitive complaints, diagnostic stability, and mild clinical symptoms at follow
up. CONCLUSIONS: Results suggest that identifying early clinically relevant
cognitive decline in late middle-age is feasible using robust norms and multi
test criteria.
PMID- 27193364
TI - Facial Emotion Processing in Aviremic HIV-infected Adults.
AB - The emotional processing in human immunodeficiency virus-seropositive individuals
(HIV+) has been scarcely studied. We included HIV+ individuals (n = 107) on
antiretroviral therapy (>=2 years) who completed 6 facial processing tasks and
neurocognitive testing. We compared HIV+ and healthy adult (HA) participants (n =
40) in overall performance of each facial processing task. Multiple logistic
regressions were conducted to explore predictors of poorer accuracy in those
measures in which HIV+ individuals performed poorer than HA participants. We
separately explored the impact of neurocognitive status, antiretroviral regimen,
and hepatitis C virus (HCV) coinfection on the tasks performance. We found
similar performance in overall facial emotion discrimination, recognition, and
recall between HIV+ and HA participants. The HIV+ group had poorer recognition of
particular negative emotions. Lower WAIS-III Vocabulary scores and active HCV
predicted poorer accuracy in recognition of particular emotions. Our results
suggest that permanent damage of emotion-related brain systems might persist
despite long-term effective antiretroviral therapy.
PMID- 27193365
TI - Apolipoprotein E E4, Cognitive Function, and Pain Experience in Down Syndrome: A
Pilot Study.
AB - OBJECTIVE: The presence of apolipoprotein E (ApoE) E4 allele might be related to
higher pain experience due to increased risk for potentially painful physical
conditions and cognitive impairment (less efficient coping with pain). This
hypothesis is clinically relevant to examine in adults with Down syndrome (DS)
because they are at risk for painful physical conditions, their presence of ApoE
epsilon4 is related to cognitive impairment, and their pain experience is
unclear. The present pilot study addressed the associations between ApoE
genotype, cognition, and pain in DS. METHOD: DNA analysis of saliva,
neuropsychological tests (assessing memory and executive functioning), and self
reporting pain scales (in rest and after movement) were used with a cross
sectional design in 146 adults with DS (mean age 39.1 years, mild to moderate
intellectual disabilities, 46% men, 30% ApoE E4 carrier). RESULTS: The difference
between ApoE E4 carriers and noncarriers was not statistically significant for
cognitive function, pain experience, and prevalence of potentially painful
conditions. Among E4 carriers, the presence of potentially painful conditions was
associated with worse executive functioning (p = .022, r = .39). CONCLUSIONS: The
clinical implication of the results is that ApoE E4 in DS may play a role in
pain, although the theoretical explanation via associations with pain experience
and cognition remains unclear. Further research should include a large sample of
adults with DS selected on diagnosed painful conditions to obtain more insight
into the possible role of ApoE genotype (and its association with cognition) in
the pain experience of this target group.
PMID- 27193367
TI - Further Validation of the Conner's Adult Attention Deficit/Hyperactivity Rating
Scale Infrequency Index (CII) for Detection of Non-Credible Report of Attention
Deficit/Hyperactivity Disorder Symptoms.
AB - OBJECTIVE: Attention deficit/hyperactivity disorder (ADHD) can be easily
presented in a non-credible manner, through non-credible report of ADHD symptoms
and/or by non-credible performance on neuropsychological tests. While most
studies have focused on detection of non-credible performance using performance
validity tests, there are few studies examining the ability to detect non
credible report of ADHD symptoms. We provide further validation data for a
recently developed measure of non-credible ADHD symptom report, the Conner's
Adult ADHD Rating Scales (CAARS) Infrequency Index (CII). METHOD: Using archival
data from 86 adults referred for concerns about ADHD, we examined the accuracy of
the CII in detecting extreme scores on the CAARS and invalid reporting on
validity indices of the Minnesota Multiphasic Personality Inventory-2
Restructured Format (MMPI-2-RF). We also examined the accuracy of the CII in
detecting non-credible performance on standalone and embedded performance
validity tests. RESULTS: The CII was 52% sensitive to extreme scores on CAARS DSM
symptom subscales (with 97% specificity) and 20%-36% sensitive to invalid
responding on MMPI-2-RF validity scales (with near 90% specificity), providing
further evidence for the interpretation of the CII as an indicator of non
credible ADHD symptom report. However, the CII detected only 18% of individuals
who failed a standalone performance validity test (Word Memory Test), with 87.8%
specificity, and was not accurate in detecting non-credible performance using
embedded digit span cutoffs. CONCLUSIONS: Future studies should continue to
examine how best to assess for non-credible symptom report in ADHD referrals.
PMID- 27193366
TI - Examining the Association between Patient-Reported Symptoms of Attention and
Memory Dysfunction with Objective Cognitive Performance: A Latent Regression
Rasch Model Approach.
AB - OBJECTIVE: Patient-reported cognition generally exhibits poor concordance with
objectively assessed cognitive performance. In this article, we introduce latent
regression Rasch modeling and provide a step-by-step tutorial for applying Rasch
methods as an alternative to traditional correlation to better clarify the
relationship of self-report and objective cognitive performance. An example
analysis using these methods is also included. METHOD: Introduction to latent
regression Rasch modeling is provided together with a tutorial on implementing it
using the JAGS programming language for the Bayesian posterior parameter
estimates. In an example analysis, data from a longitudinal neurocognitive
outcomes study of 132 breast cancer patients and 45 non-cancer matched controls
that included self-report and objective performance measures pre- and post
treatment were analyzed using both conventional and latent regression Rasch model
approaches. RESULTS: Consistent with previous research, conventional analysis and
correlations between neurocognitive decline and self-reported problems were
generally near zero. In contrast, application of latent regression Rasch modeling
found statistically reliable associations between objective attention and
processing speed measures with self-reported Attention and Memory scores.
CONCLUSIONS: Latent regression Rasch modeling, together with correlation of
specific self-reported cognitive domains with neurocognitive measures, helps to
clarify the relationship of self-report with objective performance. While the
majority of patients attribute their cognitive difficulties to memory decline,
the Rash modeling suggests the importance of processing speed and initial
learning. To encourage the use of this method, a step-by-step guide and
programming language for implementation is provided. Implications of this method
in cognitive outcomes research are discussed.
PMID- 27193370
TI - Evaluating Decision-Making: Validation and Regression-Based Normative Data of the
Judgment Assessment Tool.
AB - OBJECTIVE: This study presents the results of the development and validation of
the Judgment Assessment Tool (JAT). The JAT measures two core aspects of
judgment, namely generation of solutions (G) and assessment of options (A), the
two first stages of decision-making process. METHOD: During the test development
phase (study 1), a preliminary version of the JAT was evaluated by 14 experts and
tested on 30 healthy controls (HC). One hundred and twenty HC (20-84 years old)
and 24 participants with mild Alzheimer's disease (AD) were subsequently tested
on the final version of the JAT (study 2). HC participants aged 60 and over and
AD participants underwent a neuropsychological evaluation. RESULTS: The internal
consistency of the final version of the JAT assessed by Cronbach's a was 0.71 for
the HC group and 0.85 for the AD group. Performance on the JAT was normally
distributed both in the HC and AD groups. The test correlated with abstract
reasoning, verbal fluency, and working memory. Results revealed adequate test
retest reliability and excellent interrater reliability (k coefficient was 0.92
for the G section and 0.93 for the A section). Demographically adjusted normative
data were generated based on a regression analysis and results showed that AD
participants performed worse than HC with a large effect size (Cohen's d = 1.79).
CONCLUSION: Overall, these results provide evidence of the reliability and strong
construct validity of the JAT to evaluate judgment.
PMID- 27193369
TI - Attentional Lapses of Adults with Attention Deficit Hyperactivity Disorder in
Tasks of Sustained Attention.
AB - Adults with attention deficit hyperactivity disorder (ADHD) show attentional
dysfunction such as distractibility and mind-wandering, especially in lengthy
tasks. However, fundamentals of dysfunction are ambiguous and relationships of
neuropsychological test parameters with self-report measures of ADHD symptoms are
marginal. We hypothesize that basic deficits in sustaining attention explain more
complex attentional dysfunction in persons with ADHD and relate to ADHD symptoms.
Attentional function was analyzed by computing ex-Gaussian parameters for 3 time
Blocks in a 20 min test of sustained alertness. Changes in performance across
these blocks were analyzed by comparing adult persons with ADHD (n = 24) with
healthy matched controls (n = 24) and correlated with neuropsychological measures
of selective and divided attention as well as self-report measures of ADHD
symptoms. We found a significantly steeper increase in the number of slow
responses (ex-Gaussian parameter tau) in persons with ADHD with time on task in
basic sustained alertness. They also performed significantly worse in tasks of
sustained selective and divided attention. However, after controlling for an
increase in tau during the alertness task, significant differences between groups
disappeared for divided and partly selective attention. Increases in tau in the
sustained alertness task correlated significantly with self-report measures of
ADHD symptoms. Our results provide evidence that very basic deficits in
sustaining attention in adults with ADHD are related to infrequent slow responses
(=attentional lapses), with changes over time being relevant for more complex
attentional function and experienced ADHD symptoms in everyday life.
PMID- 27193368
TI - One-Year Reference Norms of Cognitive Change in Spanish Old Adults: Data from the
NEURONORMA Sample.
AB - OBJECTIVE: Serial cognitive assessments are useful for many purposes, such as
monitoring cognitive decline or evaluating the result of an intervention. In
order to determine if an observed change is reliable and meaningful, longitudinal
reference data from non-clinical samples are needed. Since neuropsychological
outcomes are affected by language and cultural background, cognitive tests should
be adapted, and country-based norms collected. The lack of cross-sectional
normative data for Spanish population has been partially remediated, but there is
still a need of reliable change norms. This paper aims to give an initial
response to this need by providing several reliable change indices (RCI) for 1
year follow-up in a Spanish sample. METHOD: A longitudinal observational study
was designed. A total of 122 healthy subjects over age 50 were evaluated twice (M
= 369.5, SD= 10.7 days) with the NEURONORMA battery. Scores changes were
analyzed, and simple discrepancy scores, standard deviation indices, RCI, and
standardized regression-based scores were calculated. RESULTS: Significant
improvements were observed in variables related to memory, both verbal and
visual, visuospatial function, and the completion time of complex problems.
Reference tables for several RCI are provided for their use in clinical settings.
CONCLUSIONS: Our results confirm the existence of heterogeneous practice effects
after 1 year, and support the recommendation of using reliable change norms to
avoid misdiagnosis in repeated assessments. This study provides with initial,
preliminary norms of cognitive change for its use in Spanish elders. Further
studies on larger samples and different inter-visit intervals are still needed.
PMID- 27193371
TI - Heterologous expression of the Hsp24 from Trichoderma asperellum improves
antifungal ability of Populus transformant Pdpap-Hsp24 s to Cytospora
chrysosperma and Alternaria alternate.
AB - The tolerance of plants to biotic and abiotic stresses could be improved by
transforming with fungal resistance-related genes. In this study, the cDNA
sequence (GenBank Acc. No. KP337939) of the resistance-related gene Hsp24
encoding the 24 kD heat shock protein was obtained from the biocontrol fungus
Trichoderma asperellum ACCC30536. The promoter region of Hsp24 contained many cis
regulators related to stresses response, such as "GCN4" and "GCR1" etc. Hsp24
transcription in T. asperellum was up-regulated under six different environmental
stresses, compared with the control. Furthermore, following heterologous
transformation into Populus davidiana * P. alba var. Pyramidalis (Pdpap), Hsp24
was successfully transcribed in transformant Pdpap-Hsp24s. Pathogen-related genes
(PRs) in four Pdpap-Hsp24s were up-regulated compared with those in the control
Pdpap (Pdpap-Con). After co-culture of Pdpap-Hsp24s with the weak parasite
Cytospora chrysosperma, the transcription of genes related to hormone signal
pathway (JA and SA) were up-regulated in Pdpap-Hsp24s, and ethidium bromide
(EtBr) and Nitro-blue tetrazolium (NBT) staining assays indicated that the cell
membrane permeability and the active oxygen content of Pdpap-Hsp24s leaves were
lower than that of the control Pdpap-Con. And when the Pdpap-Hsp24s were under
the Alternaria alternate stress, the activities of superoxide dismutase (SOD) and
peroxidase (POD) got higher in Pdpap-Hsp24s than that in Pdpap-Con, and the
disease spots in Pdpap-Con leaves were obviously larger than those in Pdpap
Hsp24s leaves. In summary, Hsp24 of T. asperellum ACCC30536 is an important
defense response gene, and its heterologous expression improved the resistance of
transformant Pdpap-Hsp24s to C. chrysosperma and A. alternate.
PMID- 27193372
TI - A Case of Survival: Myocardial Infarction and Ventricular Arrhythmia Induced by
Severe Hydrogen Sulfide Poisoning.
AB - Most cases of acute hydrogen sulfide (H2S) poisoning in China are caused by
sewage processing. With the rapid development of urbanization in China, H2S
poisoning is showing an increasing trend. Here, we report a case of survival from
severe H2S poisoning. A 40-year-old worker was found in the underground sewer
lines. He was unresponsive with bilaterally dilated pupils and had poor oxygen
saturation. After intubation, he was transferred to the intensive care unit. He
developed respiratory failure, acute myocardial infarction, ventricular
arrhythmia and left ventricular function impairment, requiring artificial
ventilation with highly concentrated oxygen, hyperbaric oxygen treatment and drug
therapy. Consequently, he completely recovered from the respiratory and cardiac
failure. Cases of survival after severe H2S intoxication have been rarely
reported. Such exposures may bring about severe myocardial impairment, which is
most likely to benefit from angiotensin-converting enzyme inhibition and beta
blocker.
PMID- 27193374
TI - Contact allergy in atopic individuals in relation to allergen-specific
immunotherapy.
AB - Type I sensitizations and atopic dermatitis (AD) often appear in the same
patient. Beneficial effects of allergen-specific immunotherapy (ASIT) in patients
with both AD and type I allergies have been reported. The predisposing role of AD
to the development of type IV sensitization is discussed. Whether ASIT for type I
allergy also influences type IV allergies is unknown. To compare the number of
contact allergies between patients with and without AD, before and after one
year's treatment with ASIT. A controlled, single-blind multicentre study of
children/adults with allergic asthma and/or rhinoconjunctivitis, treated or
untreated with ASIT, was performed. The history of AD was collected using
questionnaires. The number of contact allergies was assessed by patch testing
with a baseline series. 205 individuals completed the study; 133 treated with
ASIT (exposed) and 72 before starting ASIT (unexposed). For participants with AD,
significantly more contact allergies were found in the groups of all children (p
= 0.002), all exposed children (p<0.001), and all exposed study persons (p =
0.013). Independent of AD, significantly more contact allergies were noted in the
groups of all unexposed adults (p = 0.004) and all unexposed study persons (p =
0.004). The higher number of contact allergies in patients with AD indicates that
AD may be a risk factor for type IV sensitization in those with allergic asthma
and/or rhinoconjunctivitis. The lower number of contact allergies in patients
exposed to ASIT suggests an immunomodulatory effect on type IV sensitization.
PMID- 27193373
TI - Regulating the anticancer properties of organometallic dendrimers using
pyridylferrocene entities: synthesis, cytotoxicity and DNA binding studies.
AB - A new series of eight first- and second-generation heterometallic ferrocenyl
derived metal-arene metallodendrimers, containing ruthenium(ii)-p-cymene,
ruthenium(ii)-hexamethylbenzene, rhodium(iii)-cyclopentadienyl or iridium(iii)
cyclopentadienyl moieties have been prepared. The metallodendrimers were
synthesized by first reacting DAB-(NH2)n (where n = 4 or 8, DAB = diaminobutane)
with salicylaldehyde, and then the Schiff-base dendritic ligands were reacted in
a one-pot reaction with the appropriate [(eta(6)-p-iPrC6H4Me)RuCl2]2, [(eta(6)
C6Me6)RuCl2]2, [(eta(5)-C5Me5)IrCl2]2 or [(eta(5)-C5Me5)RhCl2]2 dimers, in the
presence of 4-pyridylferrocene. Heterometallic binuclear analogues were prepared
as models of the larger metallodendrimers. All complexes have been characterized
using analytical and spectroscopic methods. The cytotoxicity of the
heterometallic metallodendrimers and their binuclear analogues were evaluated
against A2780 cisplatin-sensitive and A2780cisR cisplatin-resistant human ovarian
cancer cell lines and against a non-tumorigenic HEK-293 human embryonic kidney
cell line. The second generation Ru(ii)-eta(6)-C6Me6 metallodendrimer is the most
cytotoxic and selective compound. DNA binding experiments reveal that a possible
mode-of-action of these compounds involves non-covalent interactions with DNA.
PMID- 27193377
TI - Ursodeoxycholic Acid Ameliorated Diabetic Nephropathy by Attenuating
Hyperglycemia-Mediated Oxidative Stress.
AB - Oxidative stress has a great role in diabetes and diabetes induced organ damage.
Endoplasmic reticulum (ER) stress is involved in the onset of diabetic
nephropathy. We hypothesize that ER stress inhibition could protect against
kidney injury through anti-oxidative effects. To test whether block ER stress
could attenuate oxidative stress and improve diabetic nephropathy in vivo and in
vitro, the effect of ursodeoxycholic acid (UDCA), an ER stress inhibitor, on
spontaneous diabetic nephropathy db/db mice, ER stress inducer or high glucose
triggered podocytes were studied. Mice were assigned to 3 groups (n=6 per group):
control group (treated with vehicle), db/db group (treated with vehicle), and
UDCA group (db/db mice treated with 40 mg/kg/d UDCA). After 8 weeks treatment,
mice were sacrificed. Blood and kidneys were collected for the assessment of
albumin/creatinine ratio, blood urea nitrogen (BUN), serum creatinine (SCr),
insulin, total cholesterol, triglyceride, low density lipoprotein cholesterol
(LDL-C), oxidized LDL-C, high density lipoprotein cholesterol (HDL-C), non
esterified fatty acid (NEFA), superoxide dismutase (SOD), catalase (CAT), methane
dicarboxylic aldehyde (MDA), the expressions of SOD isoforms and glutathione
peroxidase 1, as well as histopathological examination. In addition, generation
of reactive oxygen species (ROS) was detected by 2'7'-dichlorodihydrofluorescein
diacetate (DCFH-DA) fluorescence. The results showed that UDCA alleviated renal
ER stress-evoked cell death, oxidative stress, renal dysfunction, ROS production,
upregulated the expression of Bcl-2 and suppressed Bax in vivo and in vitro.
Hence, inhibition ER stress diminishes oxidative stress and exerts renoprotective
effects.
PMID- 27193378
TI - Negletein as a neuroprotectant enhances the action of nerve growth factor and
induces neurite outgrowth in PC12 cells.
AB - Negletein has been shown to have therapeutic potential for inflammation
associated diseases, but its effect on neurite outgrowth is still unknown. The
present study showed that negletein alone did not trigger PC12 cells to
differentiate and extend neurites. When compared with the cells in the untreated
control, a significant (P < 0.05) induction and a higher neurite outgrowth
activity was observed when the cells were cotreated with negletein (10 uM) and a
low dose of nerve growth factor (NGF; 5 ng/mL). The neurite outgrowth process was
blocked by the tyrosine kinase receptor (Trk) inhibitor, K252a, suggesting that
the neuritogenic effect was NGF-dependent. Negletein (10 uM) together with NGF (5
ng/mL) enhanced the phosphorylation of extracellular signal-regulated kinases
(ERKs), protein kinase B (Akt), and cAMP response element-binding protein (CREB).
The growth associated protein-43 (GAP-43) and the NGF level were also upregulated
by negletein (10 uM) and a low dose of NGF (5 ng/mL). Negletein at nanomolar
concentration also was found to be sufficient to mediate the survival of serum
deprived PC12 cells up to 72 h. Taken together, negletein might be useful as an
efficient bioactive compound to protect neurons from cell death and promote
neuritogenesis. (c) 2016 BioFactors, 42(6):591-599, 2016.
PMID- 27193379
TI - Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.
AB - Metal oxides constitute a class of materials whose properties cover the entire
range from insulators to semiconductors to metals. Most metal oxides are abundant
and accessible at moderate cost. Metal oxides are widely investigated as channel
materials in transistors, including electrolyte-gated transistors, where the
charge carrier density can be modulated by orders of magnitude upon application
of relatively low electrical bias (2 V). Electrolyte gating offers the
opportunity to envisage new applications in flexible and printed electronics as
well as to improve our current understanding of fundamental processes in
electronic materials, e.g. insulator/metal transitions. In this work, we employ
photolithographically patterned TiO2 films as channels for electrolyte-gated
transistors. TiO2 stands out for its biocompatibility and wide use in sensing,
electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte
gated transistors using an original unconventional parylene-based patterning
technique. By using a combination of electrochemical and charge carrier transport
measurements we demonstrated that patterning improves the performance of
electrolyte-gated TiO2 transistors with respect to their unpatterned
counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold
voltages of about 0.9 V, ON/OFF ratios as high as 1 * 10(5), and electron
mobility above 1 cm(2)/(V s).
PMID- 27193376
TI - Heme pathway evolution in kinetoplastid protists.
AB - BACKGROUND: Kinetoplastea is a diverse protist lineage composed of several of the
most successful parasites on Earth, organisms whose metabolisms have coevolved
with those of the organisms they infect. Parasitic kinetoplastids have emerged
from free-living, non-pathogenic ancestors on multiple occasions during the
evolutionary history of the group. Interestingly, in both parasitic and free
living kinetoplastids, the heme pathway-a core metabolic pathway in a wide range
of organisms-is incomplete or entirely absent. Indeed, Kinetoplastea investigated
thus far seem to bypass the need for heme biosynthesis by acquiring heme or
intermediate metabolites directly from their environment. RESULTS: Here we report
the existence of a near-complete heme biosynthetic pathway in Perkinsela spp.,
kinetoplastids that live as obligate endosymbionts inside amoebozoans belonging
to the genus Paramoeba/Neoparamoeba. We also use phylogenetic analysis to infer
the evolution of the heme pathway in Kinetoplastea. CONCLUSION: We show that
Perkinsela spp. is a deep-branching kinetoplastid lineage, and that lateral gene
transfer has played a role in the evolution of heme biosynthesis in Perkinsela
spp. and other Kinetoplastea. We also discuss the significance of the presence of
seven of eight heme pathway genes in the Perkinsela genome as it relates to its
endosymbiotic relationship with Paramoeba.
PMID- 27193380
TI - Modification of decellularized vascular scaffold with conditioned medium to
enhance cell reseeding.
AB - Repopulation of decellularized vascular scaffolds (DVS) is limited because of
change in the repertoire and ratios of the remaining extracellular matrix (ECM)
proteins, for example, loss of glycoproteins and the retention of type I
collagen. Pre-treatment of DVS with defined ECM proteins, which match the
repertoire of integrin receptors expressed by the embryonic stem cells (mESCs) to
be seeded, can increase the reseeding efficacy. mESCs mainly express high levels
of functional receptors for LM and FN. Reseeding efficiency of DVS with mESCs was
very low, but was sigficantly increased (2.5 +/- 0.1 fold) by pre-treating the
DVS with A549-conditioned media. In addition, pre-treatment with A549-conditioned
media led to a more homogeneous distribution of the seeded mESCs throughout the
engineered blood vessel as compared to untreated DVS. This paper may promote
blood vessel engineering by stressing the importance of matching the cell binding
motifs of DVS and the integrin receptor repertoire of seeded cells.
PMID- 27193382
TI - Patterns and predictors of undiagnosed and uncontrolled hypertension:
observations from a poor-resource setting.
AB - Early detection is the cornerstone of hypertension management; still majority
remains undetected until complications arise, especially in poor-resource
settings. Paucity of information regarding undiagnosed and uncontrolled
hypertension in eastern India thus called for a detailed investigation involving
a representative sample of adults in Malda, one of the poorest districts in the
region. In a cross-sectional study, between October 2013 and July 2014, using
multistage random sampling with probability-proportional-to-size, 18 028
consenting adults were interviewed. Diagnosed cases were defined as uncontrolled
if they still had hypertensive level of blood pressure (according to JNC-VIII
criteria) while those detected during this study were defined as undiagnosed.
Descriptive and regression analyses were performed using SAS version 9.3.2. Among
18 028 participants, 4695 (26.04% (95% confidence intervals: 95% CI=25.40-26.68))
had hypertension, of which 3937 (83.86% (82.80-84.91)) were undiagnosed and 548
(72.30 (69.10-75.49)) had uncontrolled hypertension. Relatively older subjects
(adjusted Odds ratio (aOR)41-60 years=0.34 (95% CI=0.26-0.43) and aOR>60
years=0.29 (0.21-0.38)), who were divorced/separated/widowed/widower (aOR=0.76
(0.61-0.95)), had higher education (aOR=0.61 (0.43-0.88)), better socio-economic
status (SES) (aORMiddle=0.77 (0.60-0.99) and aORUpper=0.64 (0.48-0.85)) and urban
residence (aOR=0.44 (0.36-0.55)) were less likely while subjects who belonged to
backward castes (aOR=1.37 (1.15-1.64)) were more likely to have undiagnosed
hypertension. Odds of having uncontrolled hypertension were higher among
participants aged >60 years (aOR=2.25 (1.27-3.99)). Burden of hypertension
(diagnosed and undiagnosed) was high in Malda district of West Bengal.
Significant predictors of undiagnosed hypertension were young age, backward
caste, poor education and lower SES, while older subjects had poor control. Thus,
appropriate surveillance targeting these at-risk groups might be effective in
controlling hypertension in similar poor-resource settings.
PMID- 27193381
TI - Gold Nanoparticle Coated Carbon Nanotube Ring with Enhanced Raman Scattering and
Photothermal Conversion Property for Theranostic Applications.
AB - We report a new type of carbon nanotube ring (CNTR) coated with gold
nanoparticles (CNTR@AuNPs) using CNTR as a template and surface attached redox
active polymer as a reducing agent. This nanostructure of CNTR bundle embedded in
the gap of closely attached AuNPs can play multiple roles as a Raman probe to
detect cancer cells and a photoacoustic (PA) contrast agent for imaging-guided
cancer therapy. The CNTR@AuNP exhibits substantially higher Raman and optical
signals than CNTR coated with a complete Au shell (CNTR@AuNS) and straight
CNT@AuNP. The extinction intensity of CNTR@AuNP is about 120-fold higher than
that of CNTR at 808 nm, and the surface enhanced Raman scattering (SERS) signal
of CNTR@AuNP is about 110 times stronger than that of CNTR, presumably due to the
combined effects of enhanced coupling between the embedded CNTR and the plasmon
mode of the closely attached AuNPs, and the strong electromagnetic field in the
cavity of the AuNP shell originated from the intercoupling of AuNPs. The greatly
enhanced PA signal and photothermal conversion property of CNTR@AuNP were
successfully employed for imaging and imaging-guided cancer therapy in two tumor
xenograft models. Experimental observations were further supported by numerical
simulations and perturbation theory analysis.
PMID- 27193383
TI - Thermosensitive magnetic liposomes with doxorubicin cell-penetrating peptides
conjugate for enhanced and targeted cancer therapy.
AB - To specifically deliver cytotoxic drug to tumor cells and enhance cellular uptake
is the key for effective cancer therapy. In this paper, we described a novel drug
targeting system, which is designed to combine features of biological (cell
penetrating peptides, CPPs) and physical (magnetic) drug targeting for use in the
magnetic hyperthermia-triggered release. A doxorubicin-CPPs conjugate (DOX-CPPs)
was loaded into thermosensitive magnetic liposomes (TSMLs) (DOX-CPPs/TSMLs), and
in vitro DOX-CPPs thermosensitive release activity, anti-proliferation effect, in
vivo targeted delivery as well as in vivo antitumor activity were determined. The
results demonstrated that the DOX-CPPs/TSMLs showed good physicochemical
properties, effective anti-proliferation effect in MCF-7 cells in vitro.
Additionally, in vivo study, DOX-CPPs/TSMLs under AC magnetic field displayed
superior in vivo targeted delivery efficacy, antitumor efficacy in an MCF-7
xenograft murine model. In conclusion, the application of DOX-CPPs/TSMLs under AC
magnetic field may provide a strategy for the selective and efficient delivery of
drug.
PMID- 27193384
TI - Black perithecial pigmentation in Fusarium species is due to the accumulation of
5-deoxybostrycoidin-based melanin.
AB - Biosynthesis of the black perithecial pigment in the filamentous fungus Fusarium
graminearum is dependent on the polyketide synthase PGL1 (oPKS3). A seven
membered PGL1 gene cluster was identified by over-expression of the cluster
specific transcription factor pglR. Targeted gene replacement showed that PGL1,
pglJ, pglM and pglV were essential for the production of the perithecial pigment.
Over-expression of PGL1 resulted in the production of 6-O-demethyl-5
deoxybostrycoidin (1), 5-deoxybostrycoidin (2), and three novel compounds 5
deoxybostrycoidin anthrone (3), 6-O-demethyl-5-deoxybostrycoidin anthrone (4) and
purpurfusarin (5). The novel dimeric bostrycoidin purpurfusarin (5) was found to
inhibit the growth of Candida albicans with an IC50 of 8.0 +/- 1.9 MUM. The
results show that Fusarium species with black perithecia have a previously
undescribed form of 5-deoxybostrycoidin based melanin in their fruiting bodies.
PMID- 27193385
TI - Serum replacement with albumin-associated lipids prevents excess aggregation and
enhances growth of induced pluripotent stem cells in suspension culture.
AB - Suspension culture systems are currently under investigation for the mass
production of pluripotent stem (PS) cells for tissue engineering; however, the
control of cell aggregation in suspension culture remains challenging. Existing
methods to control aggregation such as microwell culture are difficult to scale
up. To address this issue, in this study a novel method that incorporates the
addition of KnockOut Serum Replacement (KSR) to the PS cell culture medium was
described. The method regulated cellular aggregation and significantly improved
cell growth (a 2- to 10-fold increase) without any influence on pluripotency. In
addition, albumin-associated lipids as the major working ingredient of KSR
responsible for this inhibition of aggregation were identified. This is one of
the simplest methods described to date to control aggregation and requires only
chemically synthesizable reagents. Thus, this method has the potential to
simplify the mass production process of PS cells and thus lower their cost. (c)
2016 American Institute of Chemical Engineers Biotechnol. Prog., 32:1009-1016,
2016.
PMID- 27193387
TI - In silico Structural characterization of podocin and assessment of nephrotic
syndrome-associated podocin mutants.
AB - Nephrotic syndrome (NS) is manifested by hyperproteinuria, hypoalbuminemia, and
edema. NPHS2 that encodes podocin was found to have most mutations among the
genes that are involved in the pathophysiology of NS. Podocin, an integral
membrane protein belonging to stomatin family, is expressed exclusively in
podocytes and is localized to slit-diaphragm (SD). Mutations in podocin are known
to be associated with steroid-resistant NS and rapid progression to end-stage
renal disease, thus signifying its role in maintaining SD integrity and podocyte
function. The structural insights of podocin are not known, and the precise
mechanism by which podocin contributes to the architecture of SD is yet to be
elucidated. In this study, we deduced a model for human podocin, discussed the
details of transmembrane localization and intrinsically unstructured regions, and
provide an understanding of how podocin interacts with other SD components.
Intraprotein interactions were assessed in wild-type podocin and in some of its
mutants that are associated with idiopathic NS. Mutations in podocin alter the
innate intraprotein interactions affecting the native structure of podocin and
its ability to form critical complex with subpodocyte proteins. (c) 2016 IUBMB
Life, 68(7):578-588, 2016.
PMID- 27193389
TI - Amplification, Decoherence, and the Acquisition of Information by Spin
Environments.
AB - Quantum Darwinism recognizes the role of the environment as a communication
channel: Decoherence can selectively amplify information about the pointer states
of a system of interest (preventing access to complementary information about
their superpositions) and can make records of this information accessible to many
observers. This redundancy explains the emergence of objective, classical reality
in our quantum Universe. Here, we demonstrate that the amplification of
information in realistic spin environments can be quantified by the quantum
Chernoff information, which characterizes the distinguishability of partial
records in individual environment subsystems. We show that, except for a set of
initial states of measure zero, the environment always acquires redundant
information. Moreover, the Chernoff information captures the rich behavior of
amplification in both finite and infinite spin environments, from quadratic
growth of the redundancy to oscillatory behavior. These results will considerably
simplify experimental testing of quantum Darwinism, e.g., using nitrogen
vacancies in diamond.
PMID- 27193388
TI - TNFalpha promotes CAR-dependent migration of leukocytes across epithelial
monolayers.
AB - Trans-epithelial migration (TEpM) of leukocytes during inflammation requires
engagement with receptors expressed on the basolateral surface of the epithelium.
One such receptor is Coxsackie and Adenovirus Receptor (CAR) that binds to
Junctional Adhesion Molecule-like (JAM-L) expressed on leukocytes. Here we
provide the first evidence that efficient TEpM of monocyte-derived THP-1 cells
requires and is controlled by phosphorylation of CAR. We show that TNFalpha acts
in a paracrine manner on epithelial cells via a TNFR1-PI3K-PKCdelta pathway
leading to CAR phosphorylation and subsequent transmigration across cell
junctions. Moreover, we show that CAR is hyper-phosphorylated in vivo in acute
and chronic lung inflammation models and this response is required to facilitate
immune cell recruitment. This represents a novel mechanism of feedback between
leukocytes and epithelial cells during TEpM and may be important in controlling
responses to pro-inflammatory cytokines in pathological settings.
PMID- 27193386
TI - Neurocognitive, Neuroprotective, and Cardiometabolic Effects of Raloxifene:
Potential for Improving Therapeutic Outcomes in Schizophrenia.
AB - Raloxifene is a selective estrogen receptor modulator that has been approved for
treating osteoporosis and breast cancer in high-risk postmenopausal women.
However, recent evidence suggests that raloxifene adjunct therapy improves
cognition and reduces symptom severity in men and women with schizophrenia. In
animal models, raloxifene increases forebrain neurogenesis and enhances working
memory and synaptic plasticity. It may consequently repair the neuronal and
synaptic connectivity that is disrupted in schizophrenia. It also reduces
oxidative stress and neuroinflammation, which are potent etiological factors in
the neuropathology of schizophrenia. Furthermore, in postmenopausal women,
raloxifene reduces the risks for atherosclerosis, diabetes mellitus, and weight
gain, which are serious adverse effects associated with long-term antipsychotic
treatment in schizophrenia; therefore, it may improve the safety and efficacy of
antipsychotic drugs. In this review, recent insights into the neurocognitive,
neuroprotective, and cardiometabolic effects of raloxifene in relation to
therapeutic outcomes in schizophrenia are discussed.
PMID- 27193391
TI - Facile Fabrication of Binary Nanoscale Interface for No-Loss Microdroplet
Transportation.
AB - Binary nanoscale interfacial materials are fundamental issues in many
applications for smart surfaces. A binary nanoscale interface with binary surface
morphology and binary wetting behaviors has been prepared by a facile wet
chemical method. The prepared surface presents superhydrophobicity and high
adhesion with the droplet at the same time. The composition, surface morphology,
and wetting behaviors of the prepared surface have been systematic studied. The
special wetting behaviors can be contributed to the binary nanoscale effect. The
stability of the prepared surface was also investigated. As a primary
application, a facile device based on the prepared binary nanoscale interface
with superhydrophobicity and high adhesion was constructed for microdroplet
transportation.
PMID- 27193390
TI - Varying proliferative and clonogenic potential in NRAS-mutated congenital
melanocytic nevi according to size.
AB - Congenital melanocytic nevi (CMN) are benign proliferations that may be
associated with various consequences depending on their size. They are
characterized by a specific molecular signature, namely a postzygotic somatic
NRAS or BRAF mutation. We have recently reported that large CMN (lCMN), which are
classically associated with an increased melanoma risk, harbour cell
subpopulations with specific clonogenic and tumorigenic potential. We wished to
ascertain whether cells displaying similar properties persisted postnatally in
medium CMN (mCMN). Eighteen medium M1, nine large and one giant NRAS-mutated CMN
were prospectively included in the study. Subpopulations of mCMN cells expressed
stem cell/progenitor lineage markers such as Sox10, nestin and Oct4, as was the
case in lCMN. Nevertheless, conversely to lCMN, mCMN cells with clonogenic
properties were rarer. In vitro, approximatively one in 1500 cells isolated from
fresh mCMN formed colonies that could be passaged. In vivo, mCMN seemed to
harbour cells with less proliferative potential than the larger lesions as lCMN
biopsies displayed a threefold expansion compared to mCMN when xenografted in
Rag2(-/-) mice. Thus, our data revealed variations in clonogenicity and
tumorigenic properties in NRAS-mutated CMN according to size.
PMID- 27193392
TI - DgcA, a diguanylate cyclase from Xanthomonas oryzae pv. oryzae regulates
bacterial pathogenicity on rice.
AB - Xanthomonas oryzae pv. oryzae (Xoo) is the causal agent of rice blight disease as
well as a serious phytopathogen worldwide. It is also one of the model organisms
for studying bacteria-plant interactions. Current progress in bacterial signal
transduction pathways has identified cyclic di-GMP as a major second messenger
molecule in controlling Xanthomonas pathogenicity. However, it still remains
largely unclear how c-di-GMP regulates the secretion of bacterial virulence
factors in Xoo. In this study, we focused on the important roles played by DgcA
(XOO3988), one of our previously identified diguanylate cyclases in Xoo, through
further investigating the phenotypes of several dgcA-related mutants, namely, the
dgcA-knockout mutant DeltadgcA, the dgcA overexpression strain OdgcA, the dgcA
complemented strain CdgcA and the wild-type strain. The results showed that dgcA
negatively affected virulence, EPS production, bacterial autoaggregation and
motility, but positively triggered biofilm formation via modulating the
intracellular c-di-GMP levels. RNA-seq data further identified 349 differentially
expressed genes controlled by DgcA, providing a foundation for a more solid
understanding of the signal transduction pathways in Xoo. Collectively, the
present study highlights DgcA as a major regulator of Xoo virulence, and can
serve as a potential target for preventing rice blight diseases.
PMID- 27193393
TI - Ligand Specific Efficiency (LSE) Index for PET Tracer Optimization.
AB - Ligand efficiency indices are widely used to guide chemical optimization in drug
discovery, due to their predictive value in the early steps of optimization. At
later stages, however, as more complex properties become critical for success,
indices relying on calculated, rather than experimental, parameters become less
informative. This problem is particularly acute when developing positron emission
tomography (PET) imaging agents, for which nonspecific binding (NSB) to membranes
and non-target proteins is a frequent cause of failure. NSB cannot be predicted
using in silico parameters. To address this gap, we explored the use of the
experimentally determined chromatographic hydrophobicity index on immobilized
artificial membranes, CHI(IAM), to guide the optimization of NSB. The ligand
specific efficiency (LSE) index was defined as the ratio between affinity (pIC50
or pKd ) and the logarithmic value of CHI(IAM). It allows for quantification of
binding affinity to the target of interest, relative to NSB. Its use was
illustrated by the optimization of PET tracer candidates for the prostacyclin
receptor.
PMID- 27193394
TI - Vascular control of the Drosophila haematopoietic microenvironment by Slit/Robo
signalling.
AB - Self-renewal and differentiation of mammalian haematopoietic stem cells (HSCs)
are controlled by a specialized microenvironment called 'the niche'. In the bone
marrow, HSCs receive signals from both the endosteal and vascular niches. The
posterior signalling centre (PSC) of the larval Drosophila haematopoietic organ,
the lymph gland, regulates blood cell differentiation under normal conditions and
also plays a key role in controlling haematopoiesis under immune challenge. Here
we report that the Drosophila vascular system also contributes to the lymph gland
homoeostasis. Vascular cells produce Slit that activates Robo receptors in the
PSC. Robo activation controls proliferation and clustering of PSC cells by
regulating Myc, and small GTPase and DE-cadherin activity, respectively. These
findings reveal that signals from the vascular system contribute to regulating
the rate of blood cell differentiation via the regulation of PSC morphology.
PMID- 27193396
TI - An observational study of sequential protein-sparing, very low-calorie ketogenic
diet (Oloproteic diet) and hypocaloric Mediterranean-like diet for the treatment
of obesity.
AB - The impact of a rehabilitative multi-step dietary program consisting in different
diets has been scantily investigated. In an open-label study, 73 obese patients
underwent a two-phase weight loss (WL) program: a 3-week protein-sparing, very
low-calorie, ketogenic diet (<500 kcal/day; Oloproteic((r)) Diet) and a 6-week
hypocaloric (25-30 kcal/kg of ideal body weight/day), low glycemic index,
Mediterranean-like diet (hypo-MD). Both phases improved visceral adiposity, liver
enzymes, GH levels, blood pressure and glucose and lipid metabolism. However, the
hypo-MD was responsible for a re-increase in blood lipids and glucose tolerance
parameters. Changes in visceral adiposity and glucose control-related variables
were more consistent in patients with metabolic syndrome. However, in these
patients the hypo-MD did not result in a consistent re-increase in glucose
control-related variables. A dietary program consisting in a ketogenic regimen
followed by a balanced MD appeared to be feasible and efficacious in reducing
cardiovascular risk, particularly in patients with metabolic syndrome.
PMID- 27193395
TI - A knowledge synthesis of culturally- and spiritually-sensitive end-of-life care:
findings from a scoping review.
AB - BACKGROUND: Multiple factors influence the end-of-life (EoL) care and experience
of poor quality services by culturally- and spiritually-diverse groups. Access to
EoL services e.g. health and social supports at home or in hospices is difficult
for ethnic minorities compared to white European groups. A tool is required to
empower patients and families to access culturally-safe care. This review was
undertaken by the Canadian Virtual Hospice as a foundation for this tool.
METHODS: To explore attitudes, behaviours and patterns to utilization of EoL care
by culturally and spiritually diverse groups and identify gaps in EoL care
practice and delivery methods, a scoping review and thematic analysis of article
content was conducted. Fourteen electronic databases and websites were searched
between June-August 2014 to identify English-language peer-reviewed publications
and grey literature (including reports and other online resources) published
between 2004-2014. RESULTS: The search identified barriers and enablers at the
systems, community and personal/family levels. Primary barriers include: cultural
differences between healthcare providers; persons approaching EoL and family
members; under-utilization of culturally-sensitive models designed to improve EoL
care; language barriers; lack of awareness of cultural and religious diversity
issues; exclusion of families in the decision-making process; personal racial and
religious discrimination; and lack of culturally-tailored EoL information to
facilitate decision-making. CONCLUSIONS: This review highlights that most
research has focused on decision-making. There were fewer studies exploring
different cultural and spiritual experiences at the EoL and interventions to
improve EoL care. Interventions evaluated were largely educational in nature
rather than service oriented.
PMID- 27193398
TI - Performance of the Striped Mealybug Ferrisia virgata Cockerell (Hemiptera:
Pseudococcidae) under Variable Conditions of Temperature and Mating.
AB - Mealybugs have strong associations with their host plants due to their
limitations for dispersal. Thus, environmental conditions and host quality may
impact the biological traits of mealybugs. To the best of our knowledge, we are
the first to report on the biology of a Brazilian population of the striped
mealybug Ferrisia virgata Cockerell (Hemiptera: Pseudococcidae), which has
recently been reported to infest cotton in Brazil. We evaluated the development
and reproductive performance of F. virgata reared under different temperatures
(25, 27, and 28 degrees C) and mating status. The type of reproduction was also
studied with insects reared on a factitious host and on cotton plants. Shorter
development was obtained at 28 degrees C as follows: nymphs generating males and
females exhibited three and four instars with a mean duration of 19.1 and 20.5
days, respectively. The nymphal viability ranged from 77 to 96%, and was highest
at 25 degrees C. Females reared at 28 degrees C initiated reproduction earlier
(16.4 days), but the reproductive period was similar in all temperatures (~16.2
days). Females produced more nymphs at 27 and 28 degrees C (440 and 292 neonates)
than at 25 degrees C (277 neonates), although they lived longer at 25 degrees C
(63 days). Ferrisia virgata females exhibited only sexual reproduction. Thus,
only mated females produced offspring, whereas unmated females died without
reproducing. Therefore, the studied population of F. virgata exhibited only
sexual reproduction with high survival and offspring production when fed cotton.
Furthermore, pumpkin is a feasible host for mass rearing this mealybug species in
the laboratory, an opening avenue for future studies.
PMID- 27193397
TI - Peptide-based systems analysis of inflammation induced myeloid-derived suppressor
cells reveals diverse signaling pathways.
AB - A better understanding of molecular signaling between myeloid-derived suppressor
cells (MDSC), tumor cells, T-cells, and inflammatory mediators is expected to
contribute to more effective cancer immunotherapies. We focus on plasma membrane
associated proteins, which are critical in signaling and intercellular
communication, and investigate changes in their abundance in MDSC of tumor
bearing mice subject to heightened versus basal inflammatory conditions. Using
spectral counting, we observed statistically significant differential abundances
for 35 proteins associated with the plasma membrane, most notably the pro
inflammatory proteins S100A8 and S100A9 which induce MDSC and promote their
migration. We also tested whether the peptides associated with canonical pathways
showed a statistically significant increase or decrease subject to heightened
versus basal inflammatory conditions. Collectively, these studies used bottom-up
proteomic analysis to identify plasma membrane associated pro-inflammatory
molecules and pathways that drive MDSC accumulation, migration, and suppressive
potency.
PMID- 27193399
TI - Phenological Patterns and Preferences for Aromatic Compounds by Male Euglossine
Bees (Hymenoptera, Apidae) in Two Coastal Ecosystems of the Brazilian Atlantic
Forest.
AB - In order to investigate phenological patterns and seasonal and geographic
variations in the preference for fragrances of Euglossini males, monthly sampling
was carried out from August 2007 to July 2009 in two coastal areas of Atlantic
Forest in Ubatuba, northern coast of the state of Sao Paulo. Fourteen aromatic
baits were used, 3 of them in the first year (August 2007 to July 2008) and the
other 11 in the second year (August 2008 to July 2009). A total of 1,475
individuals from 22 species were collected. The highest frequency of the
individuals of the majority of the sampled species occurred in the hot/super
humid season. However, Eulaema cingulata (Fabricius) showed peaks of abundance in
the cold/less-humid season on Anchieta Island. Seasonal variation in fragrance
choice by males was not registered. Males of El. cingulata showed preference for
beta-ionone on Anchieta Island and for benzyl acetate in the Picinguaba region,
characterizing the single example of geographic variation in preference for scent
baits we recorded.
PMID- 27193400
TI - Larval Distribution and Behavior of Chrysomya rufifacies (Macquart) (Diptera:
Calliphoridae) Relative to Other Species on Florida Black Bear (Carnivora:
Ursidae) Decomposing Carcasses.
AB - Larval interactions of dipteran species, blow flies in particular, were observed
and documented daily over time and location on five black bear carcasses in
Gainesville, FL, USA, from June 2002 - September 2004. Cochliomyia macellaria
(Fabricius) or Chrysomya megacephala (Fabricius) larvae were collected first,
after which Chrysomya rufifacies (Macquart) oviposited on the carcasses in
multiple locations (i.e., neck, anus, and exposed flesh) not inhabited already by
the other blow fly larvae. Within the first week of decomposition, C. rufifacies
larvae grew to >=12 mm, filling the carcasses with thousands of larvae and
replacing the other calliphorid larvae either through successful food source
competition or by predation. As a result, C. macellaria and C. megacephala were
not collected past their third instar feeding stage. The blow fly species, C.
megacephala, C. macellaria, Lucilia caeruleiviridis (Macquart), Phormia regina
(Meigen), Lucilia sericata (Meigen), and C. rufifacies, completed two
developmental cycles in the 88.5-kg carcass. This phenomenon might serve to
complicate or prevent the calculation of an accurate postmortem interval.
PMID- 27193401
TI - Skipper Richness (Hesperiidae) Along Elevational Gradients in Brazilian Atlantic
Forest.
AB - Hesperiidae are claimed to be a group of elusive butterflies that need major
effort for sampling, thus being frequently omitted from tropical butterfly
surveys. As no studies have associated species richness patterns of butterflies
with environmental gradients of high altitudes in Brazil, we surveyed Hesperiidae
ensembles in Serra do Mar along elevational transects (900-1,800 m above sea
level) on three mountains. Transects were sampled 11-12 times on each mountain to
evaluate how local species richness is influenced by mountain region, vegetation
type, and elevational zones. Patterns were also analyzed for the subfamilies, and
after disregarding species that exhibit hilltopping behavior. Species richness
was evaluated by the observed richness, Jacknife2 estimator and Chao 1 estimator
standardized by sample coverage. Overall, 155 species were collected, but
extrapolation algorithms suggest a regional richness of about 220 species.
Species richness was far higher in forest than in early successional vegetation
or grassland. Richness decreased with elevation, and was higher on Anhangava
mountain compared with the two others. Patterns were similar between observed and
extrapolated Jacknife2 richness, but vegetation type and mountain richness became
altered using sample coverage standardization. Hilltopping species were more
easily detected than species that do not show this behavior; however, their
inclusion did neither affect estimated richness nor modify the shape of the
species accumulation curve. This is the first contribution to systematically
study highland butterflies in southern Brazil where all records above 1,200 m are
altitudinal extensions of the known geographical ranges of skipper species in the
region.
PMID- 27193403
TI - Effect of Lunar Phases, Tides, and Wind Speed on the Abundance of Diptera
Calliphoridae in a Mangrove Swamp.
AB - Abiotic factors, such as lunar phases and tides, have a significant effect on
insect development. Reproduction and immature development are usually interlinked
to these abiotic factors. The tide is at its highest levels at full moon or new
moon, hindering the feeding of the immature or causing their drowning. The
oviposition by adult females is also compromised on these days because much of
the available food is submerged. Another important abiotic factor is the wind,
which displaces odoriferous particles in the air. Wind speed and direction are
important elements to indicate potential sources of food for insects. I report on
the effects of lunar phases, tides, and wind speed on the Calliphoridae fauna in
mangrove swamps. The different species collected were identified, and the
predominant species in the area were quantified. A total of 1,710 flies were
collected over a 1-year period. Six Calliphoridae flies, Chloroprocta idioidea
(Robineau-Desvoidy), Chrysomya megacephala (Fabricius), Chrysomya albiceps
(Wiedemann), Chrysomya putoria (Wiedemann), Cochliomyia macellaria (Fabricius),
and Lucilia eximia (Wiedemann) were collected. Data indicated that lunar phases
have a significant effect on the abundance of C. albiceps (r = 0.39, p < 0.01),
and that the variation of the tides also affected the abundance of C. putoria (r
= 0.40, p < 0.00), C. macellaria (r = 0.41, p < 0.00), and C. idioidea (r = 0.31,
p < 0.04). The wind speed, however, did not affect these species.
PMID- 27193402
TI - Evidence for the Deflective Function of Eyespots in Wild Junonia evarete Cramer
(Lepidoptera, Nymphalidae).
AB - Junonia evarete Cramer is a fast-flying butterfly that perches on the ground with
wings opened exhibiting four eyespots close to wing borders. These eyespots
presumably function either to intimidate predators, like insectivorous birds, or
to deflect bird attacks to less vital parts of the body. We assessed the form,
frequency, and location of beak marks on the wings of wild butterflies in central
Brazil during two not consecutive years. We found that almost 50% of males and
80% of females bore signals of predator attacks (wing tears), most of them
consisting of partially or totally V-shaped forms apparently produced by birds.
Males were significantly less attacked and showed a lower proportion of attacks
on eyespots than females, suggesting they are better to escape bird attacks. In
contrast, females were heavily attacked on eyespots. Eyespot tears in females
were higher (and significant different) than expected by chance, indicating that
birds do attempt to reach the eyespots when striking on these butterflies. Other
comparisons involving the proportion of tears directed or not directed to
eyespots in males and females are presented and discussed.
PMID- 27193404
TI - Floral Preference of Melipona eburnea Friese (Hymenoptera: Apidae) in a Colombian
Andean Region.
AB - Melipona eburnea Friese is a stingless bee kept in some regions of Colombia,
where it is reported to be vulnerable to extinction due to habitat disturbance.
To contribute to raising conservation strategies, the aim of this study was to
identify the floral preferences of this species using melissopalynological
analysis. A total of 31 pollen pot samples and 37 honey samples were taken from
March 2009 through March 2010 from four colonies in Fusagasuga, Colombia. We
found 92 pollen types: 17 from pollen pot samples, 39 from honey samples
(indicating the sources of nectar), and 36 in both types of samples. The most
frequent pollen types in the pollen pot samples were Myrcia type (100%),
Eucalyptus globulus (96.9%), and Fraxinus uhdei (96.9%). The most frequent pollen
types in honey samples were E. globulus (97.4%) and Myrcia type (94.9%). The
pollen types corresponded mainly to native plants (68%), trees (44.5%), plants
whose sexual system is hermaphroditic (56.5%), and plants with inflorescences
(76.2%). The most frequent shapes of the flowers were brush-like (type Myrtaceae)
and dish-like (type Asteraceae), and the preferred flower colors were white or
cream (52.2%). In general, we found that M. eburnea showed a strong preference
for trees of the family Myrtaceae to obtain nectar and pollen, including native
and introduced species. Some other families are contributing significantly, such
as Melastomataceae for pollen collection and Asteraceae for nectar. These results
highlight the key plant species for the diet of M. eburnea.
PMID- 27193405
TI - Erratum to: Floral Preference of Melipona eburnea Friese (Hymenoptera: Apidae) in
a Colombian Andean Region.
PMID- 27193406
TI - New Species and New Records of Dexosarcophaga Townsend (Diptera: Sarcophagidae)
from Brazil with a Key to Species of the Subgenus Bezzisca.
AB - Dexosarcophaga tupinamba n. sp. is described based on male specimens from the
Brazilian states of Para and Maranhao; Dexosarcophaga bermudezi Silva & Mello
Patiu is newly recorded from Para and South America; and Dexosarcophaga
avispaensis Mello is newly recorded from Para (new to Brazil). The Brazilian
species Dexosarcophaga limitata (Lopes) and Dexosarcophaga pusilla (Lopes) are
both recorded from Para, which are new records from the Brazilian Amazon,
Dexosarcophaga aurifacies (Lopes) is newly recorded from the state of Alagoas and
Dexosarcophaga carvalhoi (Lopes) is newly recorded from the states of Mato
Grosso, Maranhao, and Para. A key to the identification of males of the subgenus
Bezzisca is provided.
PMID- 27193407
TI - Description of a New Species of the Andean Butterfly Genus Forsterinaria Gray
(Lepidoptera: Nymphalidae) with Considerations on an Apparently New Structure in
Male Genitalia.
AB - The butterfly genus Forsterinaria Gray is the only strictly montane
representative of the diverse Neotropical subtribe Euptychiina (Nymphalidae,
Satyrinae), with 24 described species. Recent research in some of the most
isolated and highly diverse Andean regions, such as central Peru, show that its
total species richness is still underestimated. An example is the new species
described here, Forsterinaria emo n. sp., which is particularly interesting
because of an unusual structure discovered in its male genitalia which consists
of a bunch of bristle-like processes, composing a fringe-like formation on the
dorsum of the tegumen. No similar, homologous structure was found in any
congener, nor indeed, in any species of diurnal Lepidoptera. Scanning electron
microscope studies revealed that the microstructure of the processes resembles a
membrane lining the tegumen. Its function is unknown but two hypotheses are
discussed based on a comparative study with other genital structures of
butterflies. We argue that it may help stabilizing the partners in the process of
mating or it may serve as a 'mating plug', preventing the female from multiple
copulations.
PMID- 27193408
TI - Susceptibility of Nezara viridula (L.) (Hemiptera: Pentatomidae) Egg Masses of
Different Sizes to Parasitism by Trissolcus basalis (Woll.) (Hymenoptera:
Platygastridae) in the Field.
AB - Egg masses of Nezara viridula (L.) are commonly parasitized by Trissolcus basalis
(Woll.), and we investigated the role of size of egg masses on parasitization by
T. basalis. Sentinel egg masses were exposed to parasitism in the field for 6-7
days, when they were collected for evaluation of parasitoid emergence. We
recorded the number of eggs per egg mass, the number of emerged hosts, and the
number of empty and parasitized eggs. We calculated the proportion of attacked
host egg masses (DE), the proportion of parasitized eggs per attacked egg mass
(PE), and total parasitism (PI). The total number of egg masses exposed to
parasitism was 330. The minimum, mean, and maximum egg mass sizes were 25, 75.2,
and 111, respectively. DE and PE varied widely between different fields, and they
were independent of egg mass size. In 14.2% of all parasitized egg masses, we
found simultaneous emergence of T. basalis and N. viridula independently of host
egg mass size. PE exhibited low variability compared with PI and DE, which were
linearly related. PI and DE values from other field studies are consistent with
the linear relationship, suggesting that PI is mostly related to the proportion
of the DE. This also suggests that total parasitism is independent of egg mass
size, of possible differences in plant species, and T. basalis density and
strains.
PMID- 27193409
TI - Evaluation of Cold and Thermal Fogging Spraying Methods for Mosquito Control.
AB - The efficacy of three pyrethroid insecticides (lambda-cyhalothrin, deltamethrin,
and cyphenothrin) in cold (CF) and thermal fogging (TF) applications against
caged adults of Culex pipiens Linnaeus were evaluated under hot-dry conditions in
Riyadh, Saudi Arabia. The efficacy of CF and TF at different distances from the
release point (15, 30, 45, and 60 m) and height (1 and 2 m) was also investigated
by evaluating adult mortality after 24 h of exposure. Our data indicated that TF
was more efficacious than CF. While the distance from the spray path did not
affect TF efficacy, CF showed decreased efficacy with the increase in distance
from the spray path. Deltamethrin efficacy was significantly affected by both the
application method and distance from the spray path, whereas lambda-cyhalothrin
was only affected by the spray method.
PMID- 27193410
TI - Resistance of Sugarcane Cultivars to Mahanarva fimbriolata (Stal) (Hemiptera:
Cercopidae).
AB - The spittlebug Mahanarva fimbriolata (Stal) (Hemiptera: Cercopidae) is one of the
most important pests of the sugarcane crop in Brazil. Despite of its importance,
there is currently a lack of information regarding sugarcane cultivars'
resistance to the spittlebug. Therefore, our objective was to evaluate the
response of sugarcane genotypes to this species. Two experiments were carried out
under laboratory conditions using a random block design with treatments in a
factorial arrangement of 2 * 13 (experiment 1) and 2 * 12 (experiment 2), with
six replicates. The first factor included two levels of infestation (infested and
noninfested plants with spittlebugs), while the second consisted of the
cultivars. Nymph survival varied from 47.9 to 84.5%, indicating that there are
different levels of antibiosis to M. fimbriolata among the tested cultivars. The
highest degree of antibiosis was found in cultivars IACSP96-7586 and IACSP96
2008, in which nymph survival was close to 48%. IACSP96-7586 also presented some
degree of tolerance, but IACSP96-7569 and IACSP97-6682 stood out as the most
tolerant cultivars to the pest, showing the lowest reduction in weight of
aboveground biomass. On average, spittlebug infestations caused a significant
reduction in relative leaf chlorophyll content and aboveground biomass weight.
PMID- 27193411
TI - Family Physicians' Knowledge, Attitudes, and Practices Toward Colorectal Cancer
Screening.
AB - The purpose of this study was to assess family physicians' knowledge, attitudes,
and practices toward colorectal cancer (CRC) screening. The population in this
cross-sectional study consisted of 290 family physicians working in Samsun,
Turkey, contacted between 15 June and 15 July 2015 and agreeing to participate. A
questionnaire prepared by the authors on the basis of the relevant literature was
applied at face-to-face interviews. The first part of the questionnaire inquired
into sociodemographic information, while the second contained questions
evaluating family physicians' knowledge, attitudes, and practices toward CRC
screening. Physicians completed the questionnaire in approximately 10 min. 65.9 %
of the family physicians in the study were men. Mean age of the participants was
43.40 +/- 6.54 years, and mean number of years in service was 18.43 +/- 6.42. The
average number of patients seen by physicians on a daily basis was 51-99. CRC
screening was performed by 83.1 % of physicians. The fecal occult blood test
(FOBT) was recommended at the correct frequency by 30.7 % of physicians and
colonoscopy by 11.7 %. A further 68.6 % of physicians followed no CRC guideline.
Only 3.8 % of those reporting using a guideline were able to name it. The great
majority of physicians in this study apply CRC screening. However, family
physicians lack sufficient information concerning the ages at which screening
tests should be started and concluded and how frequently they should be
performed. They also do not attach sufficient importance to CRC guidelines. This
results in excessive demand for screening tests.
PMID- 27193412
TI - Barriers to Completing Delayed Breast Reconstruction Following Mastectomy: a
Critical Need for Patient and Clinician Education.
AB - Rates of breast reconstruction following mastectomy vary widely, and little is
known about why women who originally express an interest in breast reconstruction
do not receive it. Improved documentation of clinical decision-making is one of
the potential benefits of the electronic health record (EHR), and may serve as a
tool to enhance patient-centered, clinical outcomes research. The goals of this
study were to explore patterns in delayed reconstruction (DR), identify barriers
to follow through, and to determine the adequacy of EHR documentation in
providing information about decision-making for breast reconstruction.
Retrospective EHR review of women undergoing mastectomy, 2008-2012, was conducted
in an academic medical center in New England. Data included patient demographics,
cancer stage, co-morbidity index, post-mastectomy reconstruction status, and
documented decision-making regarding reconstruction. Of 367 women who had
undergone a total mastectomy, 219 did not receive immediate reconstruction. Of
these, 24.6 % expressed no interest in DR, 21.9 % expressed interest but were
still pending the procedure, and 5.9 % had completed DR. Of decision-making
regarding breast reconstruction, 47.5 % lacked documentation. Median follow-up
was 34 months. Reasons for not following through with DR included poor timing (25
%), indecision (17 %), desired method of reconstruction not available at treating
facility (10 %), persistent obesity (8.3 %), continued smoking (4 %), and reason
not specified (35 %). Many women do not receive breast reconstruction despite
expressing an initial interest in the procedure. Reasons were multi-factorial and
the extent of documentation was inconsistent. Further exploration of potential
barriers to breast reconstruction as well as opportunities to enhance shared
decision-making may serve to improve patient experience and satisfaction
following mastectomy.
PMID- 27193413
TI - Reflections: Rethinking the Meaning of Competence.
PMID- 27193414
TI - Survivorship Challenges and Information Needs after Radiotherapy for Oral Cancer.
AB - Oral cancer (OC) treatment can lead to considerable functional impairment,
psychological distress, and decrements in quality of life. Given that limited
information and support services are available for cancer survivors, many are
turning to the Internet. However, little is known about the specific information
and service needs of OC survivors. We conducted a descriptive study to (1)
characterize the associations between OC survivor functional problems and
distress and (2) describe the Internet use of OC survivors, their satisfaction
with existing sources of information/support, and their unmet information and
service needs. Ninety-three oral cancer survivors completed cross-sectional
surveys within 1-year of completing radiotherapy. Clinical levels of distress
were 10 % for depression and 16 % for anxiety. Dental health, smell, and range of
motion problems were significant (p < .05) determinants of both depression and
anxiety symptoms. Eighty-three percent of survivors used the Internet; most used
it to obtain health-related information or support. Unmet information needs
included how to live a healthy lifestyle after treatment (87 %), strategies for
dealing with eating and speaking problems (81 %), and information about what to
expect in terms of side effects after treatment (76 %). Findings suggest that
interventions that teach survivors coping and problem-solving skills to manage
and cope with functional impairments may help to alleviate distress. Results of
this study support the need for psychoeducational interventions for this
population and showcase the potential of the Internet as a feasible mode for
future dissemination.
PMID- 27193415
TI - Medication-related adverse events during hospitalization: a retrospective patient
record review study in The Netherlands.
AB - PURPOSE: Medication-related adverse events (MRAEs) are an important priority for
patient safety. Results from Dutch AE studies showed that-despite various
improvement initiatives-the incidence of preventable MRAEs did not decline. The
aim of this study is to describe the characteristics of MRAEs during
hospitalizations using national patient data from records of patients admitted to
Dutch hospitals in 2008 and 2011/2012. METHODS: Trained nurses and physicians
reviewed the randomly selected records of 8071 patients admitted to one of 20
hospitals in 2008 or 2011/2012 during a two-stage review process. Patient and
admission characteristics were collected. After identification of a MRAE,
physicians determined their potential preventability, drug type, related
prescribing factors, and potential consequences. RESULTS: The physicians
identified 928 adverse events (AEs) in 857 admissions, of which 218 (15.2%) were
medication-related. They judged 55 (18.4%) of these as preventable.
Preventability of MRAEs was high in anticoagulant treatment (42.5%). Haematoma
(39.0%) and intra-cerebral haemorrhage (25.5%) were common types of anticoagulant
related AEs. Anticoagulant-related AEs were often related to dosage factors
(46.9%) and often resulted in an intervention (80.2%), of which 40.2% was judged
as preventable. CONCLUSIONS: This study provided detailed information on MRAEs
during hospital admissions in The Netherlands. A substantial proportion of AEs
was medication-related (15.2%), of which 18.4% was judged to be preventable. As
preventability in MRAEs was especially high in anticoagulant treatment (42.5%),
those medications are a threat to patient safety. Future research and new safety
programs should focus on prevention of AEs related to this medication group.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27193416
TI - Endocannabinoid signaling regulates regenerative axon navigation in
Caenorhabditis elegans via the GPCRs NPR-19 and NPR-32.
AB - The axon regeneration ability of neurons depends on the interplay of factors that
promote and inhibit regeneration. In Caenorhabditis elegans, axon regeneration is
promoted by the JNK MAP kinase (MAPK) pathway. Previously, we found that the
endocannabinoid anandamide (AEA) inhibits the axon regeneration response of motor
neurons after laser axotomy by suppressing the JNK signaling pathway. Here, we
show that the G-protein-coupled receptors (GPCRs) NPR-19 and NPR-32 inhibit axon
regeneration in response to AEA. Furthermore, we show that sensory neuron
expression of the nape-1 gene, which encodes an enzyme synthesizing AEA, causes
the regenerating motor axons to avoid sensory neurons and this avoidant response
depends on NPR-19 and NPR-32. These results indicate that the navigation of
regenerating axons is modulated by the action of AEA on NPR-19/32 GPCRs.
PMID- 27193418
TI - Helminth parasites in black rats (Rattus rattus) and brown rats (Rattus
norvegicus) from different environments in the Netherlands.
AB - BACKGROUND: Rattus norvegicus (brown rat) and Rattus rattus (black rat) are known
carriers of bacteria, viruses, and parasites of zoonotic and veterinary
importance. Moreover, rats may play a role in the transmission of muscle larvae
of the zoonotic nematode Trichinella spiralis to farm animals. We aimed to study
the intestinal and intramuscular helminths in wild rats from three different
environments to assess the relevance of rats as carrier of zoonotic parasites for
public health. MATERIALS AND METHODS: Wild brown rats (117 individuals) and black
rats (44 individuals) were captured at farms, in suburban and in rural
environments in the Netherlands. Intestinal helminths were isolated and
identified morphologically. Artificial digestion was used to isolate muscle
larvae. RESULTS AND DISCUSSION: Morphological analysis of rat intestinal contents
yielded six nematode species (Syphacia muris, Heterakis spumosa, Aonchotheca
murissylvatici, Trichuris muris, Nippostrongylus brasiliensis, and Strongyloides
sp.), three cestode species (Hymenolepis diminuta, H. nana and Hymenolepis
(=Rodentolepis) fraterna), and four trematode species (Plagiorchis muris,
Plagiorchis proximus, Echinostoma chloropodis, and Notocotylus imbricatus).Black
rats at farms displayed the lowest intestinal helminth species variation (six
species) and carried overall on average 0.93 species simultaneously. In
comparison, brown rats at farms carried seven helminth species and 1.91 species
simultaneously. Brown rats from suburban environments displayed the highest
species variation (11 species) at 1.82 simultaneous helminth species. Absence of
trematodes from rats at farms may suggest limited exchange of rats between farms
and surrounding wet rural environments. We report four species of veterinary
(Syphacia muris) or zoonotic relevance (Hymenolepis diminuta, Hymenolepis nana
and Plagiorchis muris). We did not find Trichinella muscle larvae, consistent
with long-term prevalence in Dutch wild rats.
PMID- 27193417
TI - Superficial Mycoses Associated with Diaper Dermatitis.
AB - Diapers create particular conditions of moisture and friction, and with urine and
feces come increased pH and irritating enzymes (lipases and proteases). Fungi can
take advantage of all these factors. Candida yeasts, especially C. albicans, are
responsible for the most frequent secondary infections and are isolated in more
than 80 % of cases. Correct diagnosis is important for ensuring the correct
prescription of topical antimycotics. Nystatin, imidazoles and ciclopirox are
effective. It is important to realize there are resistant strains. Dermatophytes
can infect the diaper area, with the most common agent being Epidermophyton
floccosum. The clinical characteristics of dermatophytosis are different from
those of candidiasis, and it can be diagnosed and treated simply. Malassezia
yeasts can aggravate conditions affecting the diaper area, such as seborrheic
dermatitis, atopic dermatitis, and inverse psoriasis. Additional treatment is
recommended in this case, because they usually involve complement activation and
increased specific IgE levels. Erythrasma is a pseudomycosis that is
indistinguishable from candidiasis and may also occur in large skin folds. It is
treated with topical antibacterial products and some antimycotics.
PMID- 27193419
TI - Methicillin resistance gene diversity in staphylococci isolated from captive and
free-ranging wallabies.
AB - BACKGROUND: Infection with methicillin-resistant staphylococci (MRS) can be life
threatening in humans and its presence in animals is a cause for public health
concern. The aim of this study was to measure the prevalence of MRS in captive
and free-ranging wallabies over a 16-month period in South Australia, Australia.
MATERIALS AND METHODS: Eighty-nine purified staphylococcal isolates recovered
from 98 captive and free-ranging wallabies' anterior nasal swabs were used in
this study. All isolates were tested for the presence of the mecA, mecA1, and
mecC genes. Multiplex PCR-directed SCCmec-typing, ccrB-typing, and determination
of the minimal inhibitory concentration of oxacillin were performed on mec
positive isolates. RESULTS AND DISCUSSION: In total, 11 non-Staphylococcus aureus
MRS were isolated from 7 out of 98 animals, corresponding to a 7.1% carriage
rate. The SCCmec types I, III, and V were identified by multiplex PCR and
sequencing of the ccrB gene. This is the first report of MRS carriage in both
captive and free-ranging wallabies in Australia. These data demonstrate a low
prevalence of MRS and no association between wallaby captivity status and MRS
carriage could be assigned. These animals may act as a reservoir for the exchange
of genetic elements between staphylococci. Furthermore, the mecA genes of animal
isolates were identical to that found in human MRS strains and thus the
possibility of zoonotic transfer must be considered.
PMID- 27193421
TI - Integrase strand transferase inhibitors: the preferred antiretroviral regimen in
HIV-positive renal transplantation.
AB - In the era of antiretroviral therapy, people living with HIV/AIDS live longer and
are subject to co-morbidities that affect the general population, such as chronic
kidney disease. An increasing number of people living with HIV/AIDS with end
stage renal disease are candidates for renal transplantation. Prior experience
demonstrated that HIV-positive renal transplant recipients had acceptable
survival but graft survival was decreased and rejection rates were increased,
possibly due to suboptimal management of immunosuppressive medications in the
face of drug interactions with antiretroviral therapy, particularly protease
inhibitors and non-nucleoside reverse transcriptase inhibitors. Integrase strand
transferase inhibitors are advantageous since they avoid drug-drug interactions
with immunosuppressive drugs such as calcineurin inhibitors. We report clinical
outcomes of 12 HIV-positive patients who underwent 13 kidney transplantations at
our institution between 2000 and 2015. Cumulative survival was 75%, one-year and
three-year survival were 100% and 63%. Integrase strand transferase inhibitor
based regimens were used in nine patients, of which eight survived. In patients
on integrase strand transferase inhibitor, there was 100% graft survival and two
had allograft rejection. In contrast, graft failure occurred in three patients on
non-integrase strand transferase inhibitor-based regimens. Based on our study
findings and on previously published data, we conclude that integrase strand
transferase inhibitor-based therapy, preferably instituted prior to
transplantation, is the preferred antiretroviral regimen in HIV-positive renal
transplantation.
PMID- 27193420
TI - Motor Training Promotes Both Synaptic and Intrinsic Plasticity of Layer II/III
Pyramidal Neurons in the Primary Motor Cortex.
AB - Motor skill training induces structural plasticity at dendritic spines in the
primary motor cortex (M1). To further analyze both synaptic and intrinsic
plasticity in the layer II/III area of M1, we subjected rats to a rotor rod test
and then prepared acute brain slices. Motor skill consistently improved within 2
days of training. Voltage clamp analysis showed significantly higher alpha-amino
3-hydroxy-5-methyl-4-isoxazolepropionic acid/N-methyl-d-aspartate (AMPA/NMDA)
ratios and miniature EPSC amplitudes in 1-day trained rats compared with
untrained rats, suggesting increased postsynaptic AMPA receptors in the early
phase of motor learning. Compared with untrained controls, 2-days trained rats
showed significantly higher miniature EPSC amplitude and frequency. Paired-pulse
analysis further demonstrated lower rates in 2-days trained rats, suggesting
increased presynaptic glutamate release during the late phase of learning. One
day trained rats showed decreased miniature IPSC frequency and increased paired
pulse analysis of evoked IPSC, suggesting a transient decrease in presynaptic
gamma-aminobutyric acid (GABA) release. Moreover, current clamp analysis revealed
lower resting membrane potential, higher spike threshold, and deeper
afterhyperpolarization in 1-day trained rats-while 2-days trained rats showed
higher membrane potential, suggesting dynamic changes in intrinsic properties.
Our present results indicate dynamic changes in glutamatergic, GABAergic, and
intrinsic plasticity in M1 layer II/III neurons after the motor training.
PMID- 27193422
TI - Are HIV-positive young black MSM having safer sex than those who are HIV
negative?
AB - In the United States, young Black men who have sex with men (YBMSM) bear the
single largest burden of the HIV/AIDS epidemic. Whether HIV-positive men in this
population practice relatively safer sex than their HIV negative counterparts has
not been recently investigated. The purpose of this study was to compare selected
sexual risk behaviors between YBMSM who are HIV-positive to their HIV-negative
counterparts of the same geographic location in the southern US. A convenience
sample of 600 YBMSM completed a computer-assisted self-interview in a private
area of a clinic dedicated to sexual health. Frequency/prevalence of 16 sexual
risk behaviors was compared between men who were HIV-positive and those who were
HIV-negative at the time. Bivariate associations were tested in regression models
adjusted for age and having a main male sex partner. One-quarter of the sample
(25.5%) was HIV-positive at study enrollment. Remarkably few differences in
sexual risk behaviors were observed. HIV-positive men were less likely to report
recent sex with a woman ( P = .003), and they were more likely to report recent
sex with persons known to be HIV-positive ( P < .001). Of 16 assessed outcome
measures, these two significant findings represented the only significant
differences in the adjusted analyses. YBMSM residing in the southern US may
experience high levels of HIV exposure risk or risk of exposing others to HIV. A
particularly urgent need exists to improve post-diagnostic HIV prevention efforts
for HIV-positive YBMSM.
PMID- 27193423
TI - Isolation and characterization of hair follicle stem cells from Arbas Cashmere
goat.
AB - In this study, highly purified hair follicle stem cells from Arbas Cashmere goat
(gHFSCs) were isolated using enzyme digestion and adhesion to type IV collagen.
The biological characteristics of the gHFSCs were identified by morphological
observation, growth curve, markers assay and differentiation in vitro. The gHFSCs
were in small cell size with typical cobblestone morphology, good adhesion and
high refractive index. Immunocytochemistry staining showed the cells were
expressing Krt15, Krt19, CD34, Itgbeta1 and Krt14. Cell growth curve indicated
that cultured gHFSCs had strong proliferation ability. Krt14 and CD34 were high
expressed at the mRNA level, respectively, 39.68 and 24.37 times of the Cashmere
goat keratinocytes, and krt15 expression was 5.62 times and itgbeta1 expression
was 1.81 times higher (p < 0.01). Western blot detected the expression of all the
above markers. After osteogenic induction, the cells were positive for Von Kossa
staining and expressed Osteocalcin. Sulfated proteoglycans in cartilaginous
matrices were positively stained by Alcian blue after chondrogenic induction and
COL2A1 was expressed. In myogenic induction, Hoechst 33342 staining evidenced
cytoplasm fusion and positive expression of MyoG was detected by
immunocytochemistry.
PMID- 27193426
TI - D-A-D-type narrow-bandgap small-molecule photovoltaic donors: pre-synthesis
virtual screening using density functional theory.
AB - A new series of D-A-D-type small-molecule photovoltaic donors are designed and
virtually screened before synthesis using time-dependent density functional
theory calculations carefully validated against various polymeric and molecular
donors. In this series of new design, benzodithiophene is kept as D to achieve
the optimum highest-occupied molecular orbital energy level, while
thienopyrroledione is initially chosen as A but later replaced by difluorinated
benzodiathiazole or its selenide derivative to achieve the optimum band gap. The
D-A-D core is end-capped by pyridone units which could not only enhance their
self-assembly via hydrogen bonds but also play a role as an acceptor (A') to form
an extended A'-D-A-D-A' small-molecule donor.
PMID- 27193425
TI - The movement of water and cryoprotectants across the plasma membrane of mammalian
oocytes and embryos and its relevance to vitrification.
AB - The permeability of the plasma membrane to water and cryoprotectants is one of
the most important factors for determining suitable conditions for vitrification
of mammalian oocytes and embryos. In mouse oocytes and early stage embryos, water
and cryoprotectants move slowly, principally by simple diffusion. In contrast, in
morulae (and probably blastocysts), water, glycerol, and ethylene glycerol move
rapidly, principally by facilitated diffusion via aquaporin 3, and DMSO moves
rapidly via channels other than aquaporin 3. However, propylene glycol moves
principally by simple diffusion. In cows and pigs, similar results were obtained.
However, in bovine morulae, DMSO moves principally by simple diffusion. In pigs,
permeability to water, glycerol, and ethylene glycol increases not at the morula
stage but at the blastocyst stage, and increases further at the expanded
blastocyst stage. Therefore, in general, the permeability of mammalian oocytes
and early stage embryos to water and cryoprotectants is low. Then, at later
stages, the permeability to water and some cryoprotectants markedly increases and
occurs by facilitated diffusion via channels, although there are some species
specific differences.
PMID- 27193427
TI - The current topics of surgical pathology of breast cancer in Japan.
PMID- 27193428
TI - Editorial: Towards New Approaches in Alzheimer's Research and Alzheimer's
Disease.
PMID- 27193424
TI - Endogenous and tumour-derived microRNAs regulate cross-presentation in dendritic
cells and consequently cytotoxic T cell function.
AB - Dendritic cells (DCs) are potent antigen presenting cells (APCs). They are also
specialized in the induction of cytotoxic T lymphocyte mediated responses against
extracellular antigens, including tumour-specific antigens, by presenting peptide
Major Histocompatibility Complex (MHC) I complexes to naive CD8+ T cells in
lymphoid tissues, a process called cross-presentation. Emerging evidence suggests
that the efficiency of cross-presentation can be influenced by a unique set of
microRNAs (miRNAs). Some are differentially expressed in the course of
morphological and functional development of DCs while tumorigenic miRNAs (onco
miRs) can be delivered to and inserted into DCs via exosomes. The latter
reprogram the miRNA repertoire of DCs, transforming them from effective APCs to
negative modulators of immunity, ultimately aiding cancers to evade host
immunity. On the other hand, endogenous microRNAs can influence cross
presentation either positively or negatively. In this review, we discuss the
possible mechanisms by which specific miRNAs influence cross-presentation as well
as the viability of manipulating the expression of miRNAs that regulate DC cross
presentation as a potential cancer immunotherapy intervention.
PMID- 27193429
TI - Branched-chain amino acids regulate insulin-like growth factor-binding protein 1
(IGFBP1) production by decidua and influence trophoblast migration through
IGFBP1.
AB - STUDY QUESTION: Do branched-chain amino acids (BCAAs) influence the migration of
human extravillous trophoblast (EVT) cells through changes in insulin-like growth
factor-binding protein 1 (IGFBP1) production in decidual cells? STUDY FINDING:
Decidua-derived IGFBP1 had a stimulating effect on migration of EVT. WHAT IS
KNOWN ALREADY: IGFBP1 is abundantly secreted from human decidual cells and
influences trophoblast migration in human placenta of early pregnancy. In hepatic
cells, the expression of IGFBP1 is influenced by nutritional status and BCAAs
regulate IGFBP1 production. STUDY DESIGN, SAMPLES/MATERIALS, METHODS: This is a
laboratory-based study using human decidual cells and trophoblast cells isolated
from placental tissue of early pregnancy (n = 50) and grown as primary cultures.
Production of IGFBP1 from decidual cells was examined by enzyme-linked
immunosorbent assay and immunoblotting after incubation with or without BCAAs.
EVT migration was evaluated using the media conditioned by decidual cells. The
effect of conditioned media on phosphorylation of focal adhesion kinase (FAK) in
EVT was also analyzed by immunoblotting. The same experiments were repeated in
the presence of RGD peptide, which inhibits IGFBP1 binding to alpha5beta1
integrin. An EVT migration assay and the immunoblotting of phosphorylated FAK
were also conducted with exogenous IGFBP1. The effect of the conditioned media on
cytotrophoblast cell number was also assessed using WST-1 in a cell proliferation
assay. MAIN RESULTS AND THE ROLE OF CHANCE: Deprivation of BCAAs on decidual
cells significantly suppressed IGFBP1 secretion (P < 0.05, versus BCAA+).
Exogenous IGFBP1-stimulated EVT migration (P < 0.05) and phosphorylation of FAK
(P < 0.05), and the RGD peptide inhibited these effects. EVT migration and
phosphorylation of FAK were stimulated by the conditioned media, presumably by
IGFBP1 in the media. RGD treatment abrogated the stimulating effects of
conditioned media. The conditioned media deprived of BCAAs had suppressive
effects on EVT migration (P < 0.05, versus BCAA+) and phosphorylation of FAK (P <
0.05, versus BCAA+). The conditioned media did not affect number of
cytotrophoblast cells. LIMITATIONS, REASONS FOR CAUTION: The conclusions are
based on in vitro experiments with human decidual cells and trophoblast cells
isolated from placental tissue of early pregnancy, and we were unable to
ascertain whether these mechanisms actually operate in vivo. We investigated the
effect of decidua-derived IGFBP1 on EVT migration, however, we cannot completely
rule out the possibility that endogenous IGF could also influence cell migration.
WIDER IMPLICATIONS OF FINDINGS: Interruption of the BCAA supply to uterine
decidual cells in early pregnancy may suppress EVT migration through reduced
IGFBP1 secretion, which may be one of the pathophysiological conditions
responsible for pre-eclampsia. LARGE SCALE DATA: None. STUDY FUNDING/ AND
COMPETING INTERESTS: All funds were obtained through Kyorin University School of
Medicine. The authors have no conflict of interest to declare.
PMID- 27193430
TI - Exploring choriocapillaris under reticular pseudodrusen using OCT-Angiography.
AB - PURPOSE: To evaluate if choriocapillaris (CC) vessel density and CC decorrelation
signal index are compromised in eyes with reticular pseudodrusen (RPD) using
optical coherence tomography angiography (OCT-A). METHODS: Decorrelation values
in OCT-A CC images of 20 RPD patients were measured in the outer superior and the
outer inferior sector of the EDTRS grid and compared to age-matched healthy
controls. CC vessel density and CC decorrelation signal index were measured
within a 30 MUm and a 10 MUm OCT-A CC slab. CC data were correlated to number of
RPD lesions, predominantly present RPD stage, predominantly present RPD type,
retinal area affected by RPD and choroidal thickness (CT). RESULTS: CC vessel
density and CC decorrelation signal index decreased in correlation to advancing
age in healthy subjects particularly in subjects older than 60 years (CC vessel
density: 30 MUm: p=0.0019; 10 MUm: p=0.0014; CC decorrelation signal index: 30
MUm: p=0.0005; 10 MUm: p=0.0003). In the RPD group, CC vessel density (outer
superior sector, 10 MUm: 98.299) and CC decorrelation signal index (89.07) were
significantly reduced compared to controls (99.203, p=0.0002; 98.09, p=0.0010).
The number of RPD lesions was correlated to a reduced CC vessel density (30 MUm:
p=0.0355) but not to changes in CC decorrelation signal index. No correlations
were found between CC parameters and either RPD stage, RPD type, size of RPD
affected area or CT. CONCLUSIONS: OCT-A reveals a distinct reduction in CC vessel
density and CC decorrelation signal index in eyes affected by RPD, which
emphasizes the relevance of the CC layer in RPD pathogenesis.
PMID- 27193431
TI - Ability of an intentionally smaller anterior than posterior gap to reduce the
sagittal tibial slope in opening wedge high tibial osteotomy.
AB - BACKGROUND: We utilized in vivo 3- dimensional (D) computed tomography (CT) to
determine whether the preoperatively planned anterior and posterior opening gap
heights correlated with the real gaps following opening wedge high tibial
osteotomy (HTO), as well as the relationships between anterior and posterior gap
heights and change in sagittal tibial slope. METHODS: This prospective study
involved 41 patients (41 knees) undergoing navigation HTO for primary medial
osteoarthritis. Mechanical axis (MA), weight-bearing line (WBL) ratio, and
posterior tibial slope were measured on radiographs preoperatively and after 3
months. The anterior and posterior opening gaps created by osteotomy were
measured using in vivo 3D CT and the patients were classified into a larger
anterior or posterior gap group. RESULTS: Of the 41 patients, 24 (59 %) had
larger anterior and 17 (41 %) had larger posterior gaps. There were no between
group differences in preoperative and postoperative slopes, or in change in
slope. The correlation between preoperatively planned and postoperative posterior
gaps was good, whereas the correlation of anterior gaps was only fair. Bland
Altman plots showed poor agreement for both preoperative and postoperative
anterior and posterior gaps. The mean systematic difference (bias) was 2.3 mm (p
< 0.001) for anterior and -1.0 mm (p = 0.033) for posterior gaps. CONCLUSIONS:
Preoperatively calculated opening gaps, which were planned to be larger
posteriorly than anteriorly to minimize the change in slope after surgery, did
not correspond with postoperative opening gaps on 3D CT. In addition,
postoperative tibial slope did not increase, even when the anterior gap was
larger than the posterior gap. TRIAL REGISTRATION: TRIAL REGISTRATION NUMBER:
KCT0001905 , April 29, 2016.
PMID- 27193432
TI - UK Views toward Breastfeeding in Public: An Analysis of the Public's Response to
the Claridge's Incident.
AB - BACKGROUND: The embarrassment that UK mothers experience when breastfeeding in
public has often been cited as a key factor in the decision of the mother to
discontinue breastfeeding. There is convincing evidence that many UK residents
are not comfortable with women breastfeeding in public; however, little is known
about the underlying reasons for this discomfort. OBJECTIVE: This study aimed to
assess views on breastfeeding in public in the United Kingdom and to understand
why some UK residents object to this practice. METHODS: The comments sections of
news media websites and parenting forums were systematically identified and
reviewed for statements made in response to an incident widely reported in the
British press: a woman was asked to cover up while breastfeeding in public at
Claridge's, a London luxury hotel. Of these, 805 comments (73 108 words) met the
inclusion criteria and were thematically analyzed. RESULTS: The majority of
commenters were supportive of "discreet" breastfeeding in public, but a
significant portion felt that breastfeeding in public is always inappropriate.
Sexualization of the breast was mainly evoked as something others may experience
while viewing a breastfeeding mother, rather than to reflect the commenters' own
views. Common justifications cited against breastfeeding in public were
onlookers' embarrassment (not knowing where to look) and disgust (at bodily
fluids and/or functions). CONCLUSION: Campaigns portraying breastfeeding in
public as normal and desirable with a focus on human milk as food rather than a
bodily fluid may improve societal acceptance of breastfeeding in public.
PMID- 27193433
TI - Comparison of progression to end-stage renal disease requiring dialysis after
partial or radical nephrectomy for renal cell carcinoma in patients with severe
chronic kidney disease.
AB - PURPOSE: We analyzed trends related to surgical approach for renal cell carcinoma
(RCC), including partial nephrectomy (PN) or radical nephrectomy (RN), in
patients with stage 4 chronic kidney disease (CKD), and identified predictors for
postoperative progression to end-stage renal disease (ESRD) requiring permanent
dialysis. METHODS: We enrolled patients with stage 4 CKD who underwent surgery
for non-metastatic RCC. We compared their characteristics according to surgical
approach (PN vs. RN). Moreover, predictors for postoperative progression to
requiring permanent dialysis were determined using multivariable analyses. The
Charlson comorbidity index (CCI) was adjusted for age. RESULTS: Fifty-one
patients (PN 23, RN 28) were evaluated in the present study. Their mean
preoperative estimated glomerular filtration rate (eGFR) was 24 ml/min/1.73 m(2),
and four patients had a solitary kidney. Three of 23 patients (13 %) who
underwent PN progressed to requiring dialysis after surgery after a median 16
months. In contrast, 13 of 28 patients (46 %) who underwent RN developed dialysis
immediately after surgery (median 2 days). Patients who underwent PN had lower T
stages (T1, PN 100 % vs. RN 50 %, p = 0.004) and smaller tumors (31 mm vs. 65 mm,
p < 0.0001) than did those who underwent RN. RN and lower preoperative eGFR
significantly predicted progression to requiring dialysis, while tumor size and
CCI did not. CONCLUSIONS: PN tended to be selected for patients with lower T
stage and smaller tumors in the limited cohort of stage 4 CKD patients. PN had a
significant benefit of preventing dialysis in the multivariable analysis.
PMID- 27193434
TI - Switching from subcutaneous insulin injection to oral vildagliptin administration
in hemodialysis patients with type 2 diabetes: a pilot study.
AB - We conducted this pilot study to examine efficacy and safety of switching from
subcutaneous injection of insulin to oral administration of a DPP-4 inhibitor,
vildagliptin, in type 2 diabetic patients undergoing hemodialysis. Consecutive
type 2 diabetic patients on hemodialysis who were switched from insulin to
vildagliptin between August 2010 and April 2011 were extracted from the hospital
database. In patients whose post-switch increase in glycated albumin (GA) levels
was <1.5 % without resuming insulin at least 24 weeks, the switch was defined as
efficacious. In patients who resumed insulin therapy due to worsening of glycemic
control or in patients whose GA levels increased by 1.5 % or more, the switch was
considered inefficacious. To predict patients in whom switch to vildagliptin
proved efficacious, receiver-operating characteristic (ROC) analysis and logistic
regression analysis were performed. A total of 20 patients were extracted;
insulin dose was 12 +/- 4 units/day; levels of GA and HbA1c was 21.0 +/- 3.7 %
and 6.5 +/- 0.6 %, respectively. Among them, 11 patients were efficaciously
switched to vildagliptin. ROC analysis and logistic analysis showed that patients
with a shorter duration of diabetes, as well as lower levels of GA and HbA1c,
appeared to have a higher likelihood of successful treatment switches. None of
the patients developed hypoglycemic symptoms, ketoacidosis, or serious adverse
events. In conclusion, efficacious change from insulin to vildagliptin was
possible in approximately a half of type 2 diabetic dialysis patients. Long-term
follow-up studies including large number of patients are needed to confirm these
results.
PMID- 27193436
TI - Effects of mineralocorticoid receptor antagonists on left ventricular mass in
chronic kidney disease patients: a systematic review and meta-analysis.
AB - BACKGROUND: Mineralocorticoid receptor antagonists (MRAs) are used widely in
treatment of heart failure, but their effects on cardiovascular complications and
mortality of chronic kidney disease (CKD) are not well known. Thus, we aim to
assess such therapeutic effects of MRAs on CKD. METHODS: Electronic literature
published in any language until Dec 31, 2015, was systematically searched on
PubMed, Embase, and Cochrane Central Register of Controlled Trials. Primary
outcome was left ventricular mass (LVM) or LVM index (LVMI), and secondary
outcome was all-cause mortality and major adverse cardiovascular events (MACEs).
Results of continuous outcomes were pooled using mean difference (MD) and
standard mean difference (SMD). Risk ratios (RRs) with 95 % confidence intervals
(CIs) were pooled using a random- or fixed-effects model. RESULTS: Totally 12
studies (6 randomized controlled trials with 1003 participants) involving 4935
patients were included. MRA treatment versus non-MRA treatment resulted in a
significant change of 0.93 SMD (standard mean difference) in LVM (LVMI), a
significant reduction of 22 % in all-cause mortality, a significant reduction of
incidence of MACEs (RR 0.65, P = 0.001), significantly higher prevalence rates of
hyperkalemia (>5.5 mmol/L), but no significant change in prevalence rates of
severe hyperkalemia (>6.0 mmol/L). CONCLUSION: MRA benefits CKD patients in terms
of LVMI, all-cause mortality, and MACEs with no incidence of severe hyperkalemia.
Nevertheless, the real effects of MRAs on cardiovascular events and mortality as
well as their safety in CKD patients should be identified by further studies with
prospective and large-sample clinical trials.
PMID- 27193435
TI - The importance of success prediction in angioaccess surgery.
AB - Access to the circulation is an "Achilles' heel" of chronic hemodialysis.
According to the current guidelines, autologous arteriovenous fistula is the best
choice available. However, the impossibility of immediate use and the high rate
of non-matured fistulas place fistula far from an ideal hemodialysis vascular
access. The first attempt at constructing an angioaccess should result in
functional access as much as possible. After failed attempts, patients and
nephrologists lose their patience and confidence, which results in high
percentage of central venous catheter use. Predictive models could help, but
clinical judgment still remains crucial. Early referral to the nephrologist and
vascular access surgeon, careful preoperative examinations, preparation of
patients and duplex sonography mapping of the vessels are very important in the
preoperative stage. In the operative stage, it is crucial to understand that
angioaccess procedures should not be considered as minor procedures and these
operations must be performed by surgeons with demonstrable interest and
experience. In the postoperative stage, appropriate surveillance of the
maturation process is also important, as well as good cannulation skills of the
dialysis staff. The purpose of this review article is to stress the importance of
success prediction in order to avoid unsuccessful attempts in angioaccess
surgery.
PMID- 27193437
TI - Upper urinary tract urothelial carcinoma behaviors in patients with end-stage
renal disease after kidney transplantation in Taiwan.
AB - PURPOSE: To determine upper urinary tract urothelial carcinoma (UT-UC) behavior
in patients with end-stage renal disease (ESRD) after kidney transplantation
(KT). METHODS: From 2005 to 2012, 117 ESRD patients with localized UT-UC
underwent nephroureterectomy at our institution. We divide these patients into
two groups according to having had KT or not. Kaplan-Meier survival plot and
multivariate analysis were used to evaluate whether kidney transplantation
interfered with the UT-UC outcome. RESULTS: The mean age was younger in ESRD with
KT group (p = 0.001), but there was no difference in gender, smoking, or previous
bladder cancer history between these two groups. The pathological features were
more aggressive in ESRD with KT group compared to those without KT in regard to
incidence of carcinoma in situ (p = 0.025) and multifocality (p = 0.001). Kidney
transplantation did not interfere with the oncological outcome of UT-UC in ESRD
patients. There were no differences in oncological outcome. Five-year metastasis
free survival rate was comparable. CONCLUSION: In our sample, for patients with
ESRD after kidney transplantation, the postoperative onset of UT-UC was younger
and the pathological features were more aggressive. Standard surgical
intervention resulted in satisfactory and similar outcome as did ESRD.
PMID- 27193438
TI - Influence of volume administration on Doppler-based renal resistive index, renal
hemodynamics and renal function in medical intensive care unit patients with
septic-induced acute kidney injury: a pilot study.
AB - PURPOSE: Impact of volume challenge (VC) on renal hemodynamics and renal function
in patients with septic-induced acute kidney injury in addition to transpulmonary
thermodilution (TPTD)-derived hemodynamic parameters. METHODS: Systemic
hemodynamic parameters derived from TPTD, Doppler-based resistive index (RI)
urine output, creatinine and urea levels were obtained before, after and 24 h
after VC. RESULTS: Heart rate (p < 0.045), systolic blood pressure (p < 0.030)
and mean arterial pressure (p < 0.001) were significantly altered after VC in VC
responders compared to baseline immediately after VC but not after 24 h (p =
0.719; p = 0.576; p = 0.435).TPTD-derived cardiac function parameter cardiac
index significantly increased after VC (p < 0.001) as well after 24 h (p < 0.02)
in the responder group. Stroke volume index also significantly increased after VC
(0.033) in responders immediately after VC, but not after 24 h of VC (p < 0.073).
No significant changes could be observed in the non-responder group.Renal RI was
not significantly different between VC responders and VC non-responders (p =
0.55) immediately after VC and after 24 h (p = 0.65).Creatinine levels in VC
responders significantly decreased after 24 h (p < 0.001). Urine output increased
from 400 to 542 ml/d in responders, but not statistically significant (p = 0.09).
Vasopressor dose in VC responders was statistically significantly lower after 24
h (p < 0.001) compared to baseline. CONCLUSIONS: Responders to VC with septic
induced AKI can benefit from an optimized hemodynamic environment. The resistive
index to guide fluid therapy for renal hemodynamic management may be limited by
the small magnitude of the changes.
PMID- 27193440
TI - Endogenous Estrogens, Estrogen Metabolites, and Breast Cancer Risk in
Postmenopausal Chinese Women.
AB - BACKGROUND: The role of estrogen metabolism in determining breast cancer risk and
differences in breast cancer rates between high-incidence and low-incidence
nations is poorly understood. METHODS: We measured urinary concentrations of
estradiol and estrone (parent estrogens) and 13 estrogen metabolites formed by
irreversible hydroxylation at the C-2, C-4, or C-16 positions of the steroid ring
in a nested case-control study of 399 postmenopausal invasive breast cancer case
participants and 399 matched control participants from the population-based
Shanghai Women's Health Study cohort. Odds ratios (ORs) and 95% confidence
intervals (CIs) of breast cancer by quartiles of metabolic pathway groups,
pathway ratios, and individual estrogens/estrogen metabolites were estimated by
multivariable conditional logistic regression. Urinary estrogen/estrogen
metabolite measures were compared with those of postmenopausal non-hormone-using
Asian Americans, a population with three-fold higher breast cancer incidence
rates. All statistical tests were two-sided. RESULTS: Urinary concentrations of
parent estrogens were strongly associated with breast cancer risk (ORQ4vsQ1 =
1.94, 95% CI = 1.21 to 3.12, Ptrend = .01). Of the pathway ratios, the 2
pathway:total estrogens/estrogen metabolites and 2-pathway:parent estrogens were
inversely associated with risk (ORQ4vsQ1 = 0.57, 95% CI = 0.35 to 0.91, Ptrend =
.03, and ORQ4vsQ1 = 0.61, 95% CI = 0.37 to 0.99, Ptrend = .04, respectively).
After adjusting for parent estrogens, these associations remained clearly inverse
but lost statistical significance (ORQ4vsQ1 = 0.65, 95% CI = 0.39 to 1.06, Ptrend
= .12 and ORQ4vsQ1 = 0.76, 95% CI = 0.44 to 1.32, Ptrend = .28). The urinary
concentration of all estrogens/estrogen metabolites combined in Asian American
women was triple that in Shanghai women. CONCLUSIONS: Lower urinary parent
estrogen concentrations and more extensive 2-hydroxylation were each associated
with reduced postmenopausal breast cancer risk in a low-risk nation. Markedly
higher total estrogen/estrogen metabolite concentrations in postmenopausal United
States women (Asian Americans) than in Shanghai women may partly explain higher
breast cancer rates in the United States.
PMID- 27193439
TI - Effects of magnesium supplementation on electrophysiological remodeling of
cardiac myocytes in L-NAME induced hypertensive rats.
AB - Hypertension is one of the major risk factors of cardiac hypertrophy and
magnesium deficiency is suggested to be a contributing factor in the progression
of this complication. In this study, we aimed to investigate the relationship
between intracellular free Mg(2+) levels and electrophysiological changes
developed in the myocardium of L-NAME induced hypertensive rats. Hypertension was
induced by administration of 40 mg/kg of L-NAME for 6 weeks, while magnesium
treated rats fed with a diet supplemented with 1 g/kg of MgO for the same period.
L-NAME administration for 6 weeks elicited a significant increase in blood
pressure which was corrected with MgO treatment; thereby cardiac hypertrophy
developing secondary to hypertension was prevented. Cytosolic free magnesium
levels of ventricular myocytes were significantly decreased with hypertension and
magnesium administration restored these changes. Hypertension significantly
decreased the fractional shortening with slowing of shortening kinetics in left
ventricular myocytes whereas magnesium treatment was capable of restoring
hypertension-induced contractile dysfunction. Long-term magnesium treatment
significantly restored the hypertension-induced prolongation in action potentials
of ventricular myocytes and suppressed Ito and Iss currents. In contrast,
hypertension dependent decrement in intracellular Mg(2+) level did not cause a
significant change in L-type Ca(2+) currents, SR Ca(2+) content and NCX activity.
Nevertheless, hypertension mediated increase in superoxide anion, hydrogen
peroxide and protein oxidation mitigated with magnesium treatment. In conclusion,
magnesium administration improves mechanical abnormalities observed in
hypertensive rat ventricular myocytes due to reduced oxidative stress. It is
likely that, changes in intracellular magnesium balance may contribute to the
pathophysiology of chronic heart diseases.
PMID- 27193441
TI - A single point in protein trafficking by Plasmodium falciparum determines the
expression of major antigens on the surface of infected erythrocytes targeted by
human antibodies.
AB - Antibodies to blood-stage antigens of Plasmodium falciparum play a pivotal role
in human immunity to malaria. During parasite development, multiple proteins are
trafficked from the intracellular parasite to the surface of P. falciparum
infected erythrocytes (IEs). However, the relative importance of different
proteins as targets of acquired antibodies, and key pathways involved in
trafficking major antigens remain to be clearly defined. We quantified antibodies
to surface antigens among children, adults, and pregnant women from different
malaria-exposed regions. We quantified the importance of antigens as antibody
targets using genetically engineered P. falciparum with modified surface antigen
expression. Genetic deletion of the trafficking protein skeleton-binding protein
1 (SBP1), which is involved in trafficking the surface antigen PfEMP1, led to a
dramatic reduction in antibody recognition of IEs and the ability of human
antibodies to promote opsonic phagocytosis of IEs, a key mechanism of parasite
clearance. The great majority of antibody epitopes on the IE surface were SBP1
dependent. This was demonstrated using parasite isolates with different genetic
or phenotypic backgrounds, and among antibodies from children, adults, and
pregnant women in different populations. Comparisons of antibody reactivity to
parasite isolates with SBP1 deletion or inhibited PfEMP1 expression suggest that
PfEMP1 is the dominant target of acquired human antibodies, and that other P.
falciparum IE surface proteins are minor targets. These results establish SBP1 as
part of a critical pathway for the trafficking of major surface antigens targeted
by human immunity, and have key implications for vaccine development, and
quantifying immunity in populations.
PMID- 27193444
TI - Traumatic Brain Injury in Children: Role of CDRs-PECARN as a Clinical Predictive
Resource for Evaluation of Intracranical Lesions and Neuropsychiatric Outcomes.
AB - Cranial computed tomography (CT) is considered the gold standard for the
diagnosis of traumatic brain injury (TBI). The aim of this study was to evaluate
if the clinical decision rules proposed by the Pediatric Emergency Care Applied
Research Network (CDRs-PECARN) are really able to identify the patients who do
not need cranial CT. This study investigates the neuropsychiatric outcome after
TBI according to a pediatric version of the Glasgow Outcome Scale-Extended (GOS-E
Peds). We calculated the sensitivity, specificity, negative predictive value
(NPV) and positive predictive value of the CDRs-PECARN in 2 age groups.
Sensitivity was very high in both groups, and the NPV was very useful for
predicting which subjects, of those who presented without CDRs- PECARN, would
have a negative cranial CT. We also evaluated the correlations between the GOS-E
Peds and Glasgow Coma Scale and between the GOS-E Peds and cranial CT scan. Our
study confirms the validation of the PECARN TBI prediction rules as a clinical
instrument which can play a significant role in CT decision-making for children
with TBI. It also demonstrates that the GOS-E Peds is a valid pediatric outcome
scale for children with TBI, despite some important limitations.
PMID- 27193443
TI - Shoot- and root-borne cytokinin influences arbuscular mycorrhizal symbiosis.
AB - The arbuscular mycorrhizal (AM) symbiosis is functionally important for the
nutrition and growth of most terrestrial plants. Nearly all phytohormones are
employed by plants to regulate the symbiosis with AM fungi, but the regulatory
role of cytokinin (CK) is not well understood. Here, we used transgenic tobacco
(Nicotiana tabacum) with a root-specific or constitutive expression of CK
degrading CKX genes and the corresponding wild-type to investigate whether a
lowered content of CK in roots or in both roots and shoots influences the
interaction with the AM fungus Rhizophagus irregularis. Our data indicates that
shoot CK has a positive impact on AM fungal development in roots and on the root
transcript level of an AM-responsive phosphate transporter gene (NtPT4). A
reduced CK content in roots caused shoot and root growth depression following AM
colonization, while neither the uptake of phosphorus or nitrogen nor the root
transcript levels of NtPT4 were significantly affected. This suggests that root
CK may restrict the C availability from the roots to the fungus thus averting
parasitism by AM fungi. Taken together, our study indicates that shoot- and root
borne CK have distinct roles in AM symbiosis. We propose a model illustrating how
plants may employ CK to regulate nutrient exchange with the ubiquitous AM fungi.
PMID- 27193445
TI - Molecular identification of iridoviruses infecting various sturgeon species in
Europe.
AB - Iridoviridae are known to cause disease in sturgeons in North America. Here,
histological and molecular methods were used to screen for this family of virus
in sturgeons from various European farms with low-to-high morbidity. Some
histological samples revealed basophilic cells in the gill and labial epithelia,
strongly suggesting the accumulation of iridovirus particles. Newly developed
generic PCR tests targeting the major capsid protein (MCP) gene of sturgeon
iridoviruses identified in North America, namely the white sturgeon iridovirus
and the Namao virus (NV), produced positive signals in most samples from four
sturgeon species: Russian (Acipenser gueldenstaedtii), Siberian (A. baerii),
Adriatic (A. naccarii) and beluga (Huso huso). The sequences of the PCR products
were generally highly similar one another, with nucleotide identities greater
than 98%. They were also related to (74-88%), although distinct from, American
sturgeon iridoviruses. These European viruses were thus considered variants of a
single new virus, provisionally named Acipenser iridovirus-European (AcIV-E).
Moreover, three samples infected with AcIV-E showed genetic heterogeneity, with
the co-existence of two sequences differing by five nucleotides. One of our
European samples carried a virus distinct from AcIV-E, but closely related to NV
identified in Canada (95%). This study demonstrates the presence of two distinct
sturgeon iridoviruses in Europe: a new genotype AcIV-E and an NV-related virus.
PMID- 27193447
TI - Imidazolylpyridine-In(OTf)3 catalyzed enantioselective allylation of ketimines
derived from isatins.
AB - An enantioselective In(OTf)3-catalyzed allylation of ketimines derived from
isatins in the presence of an imidazolylpyridine ligand is described. The
reaction proceeded smoothly under mild conditions and resulted in 3-allyl 3
aminooxindoles with good yields and moderate to excellent enantioselectivities
(up to 97% ee).
PMID- 27193442
TI - Pre-diagnostic meat and fibre intakes in relation to colorectal cancer survival
in the European Prospective Investigation into Cancer and Nutrition.
AB - Improvements in colorectal cancer (CRC) detection and treatment have led to
greater numbers of CRC survivors, for whom there is limited evidence on which to
provide dietary guidelines to improve survival outcomes. Higher intake of red and
processed meat and lower intake of fibre are associated with greater risk of
developing CRC, but there is limited evidence regarding associations with
survival after CRC diagnosis. Among 3789 CRC cases in the European Prospective
Investigation into Cancer and Nutrition (EPIC) cohort, pre-diagnostic consumption
of red meat, processed meat, poultry and dietary fibre was examined in relation
to CRC-specific mortality (n 1008) and all-cause mortality (n 1262) using
multivariable Cox regression models, adjusted for CRC risk factors. Pre
diagnostic red meat, processed meat or fibre intakes (defined as quartiles and
continuous grams per day) were not associated with CRC-specific or all-cause
mortality among CRC survivors; however, a marginal trend across quartiles of
processed meat in relation to CRC mortality was detected (P 0.053). Pre
diagnostic poultry intake was inversely associated with all-cause mortality among
women (hazard ratio (HR)/20 g/d 0.92; 95 % CI 0.84, 1.00), but not among men (HR
1.00; 95 % CI 0.91, 1.09) (P for heterogeneity=0.10). Pre-diagnostic intake of
red meat or fibre is not associated with CRC survival in the EPIC cohort. There
is suggestive evidence of an association between poultry intake and all-cause
mortality among female CRC survivors and between processed meat intake and CRC
specific mortality; however, further research using post-diagnostic dietary data
is required to confirm this relationship.
PMID- 27193448
TI - Ionic Conduction in Lithium Ion Battery Composite Electrode Governs Cross
sectional Reaction Distribution.
AB - Composite electrodes containing active materials, carbon and binder are widely
used in lithium-ion batteries. Since the electrode reaction occurs preferentially
in regions with lower resistance, reaction distribution can be happened within
composite electrodes. We investigate the relationship between the reaction
distribution with depth direction and electronic/ionic conductivity in composite
electrodes with changing electrode porosities. Two dimensional X-ray absorption
spectroscopy shows that the reaction distribution is happened in lower porosity
electrodes. Our developed 6-probe method can measure electronic/ionic
conductivity in composite electrodes. The ionic conductivity is decreased for
lower porosity electrodes, which governs the reaction distribution of composite
electrodes and their performances.
PMID- 27193446
TI - Single molecule targeted sequencing for cancer gene mutation detection.
AB - With the rapid decline in cost of sequencing, it is now affordable to examine
multiple genes in a single disease-targeted clinical test using next generation
sequencing. Current targeted sequencing methods require a separate step of
targeted capture enrichment during sample preparation before sequencing. Although
there are fast sample preparation methods available in market, the library
preparation process is still relatively complicated for physicians to use
routinely. Here, we introduced an amplification-free Single Molecule Targeted
Sequencing (SMTS) technology, which combined targeted capture and sequencing in
one step. We demonstrated that this technology can detect low-frequency mutations
using artificially synthesized DNA sample. SMTS has several potential advantages,
including simple sample preparation thus no biases and errors are introduced by
PCR reaction. SMTS has the potential to be an easy and quick sequencing
technology for clinical diagnosis such as cancer gene mutation detection,
infectious disease detection, inherited condition screening and noninvasive
prenatal diagnosis.
PMID- 27193450
TI - Cancer Stem Cells: Basic Concepts and Therapeutic Implications.
AB - Different mechanisms contribute to intratumor heterogeneity, including genetic
mutations, the microenvironment, and the existence of subpopulations of cancer
cells with increased renewal capacity and the ability to recapitulate the
heterogeneity found in primary tumors, which are referred to as cancer stem cells
(CSCs). In this review, we discuss how the concept of CSCs has been defined, what
assays are currently used to define the functional properties of CSCs, what
intrinsic and extrinsic mechanisms regulate CSC functions, how plastic CSCs are,
and the importance of epithelial-to-mesenchymal transition in conferring CSC
properties. Finally, we discuss the mechanisms by which CSCs may resist medical
therapy and contribute to tumor relapse.
PMID- 27193449
TI - Discourse, ideas and power in global health policy networks: political attention
for maternal and child health in the millennium development goal era.
AB - BACKGROUND: Maternal and child health issues have gained global political
attention and resources in the past 10 years, due in part to their prominence on
the Millennium Development Goal agenda and the use of evidence-based advocacy by
policy networks. This paper identifies key factors for this achievement, and
raises questions about prospective challenges for sustaining attention in the
transition to the post-2015 Sustainable Development Goals, far broader in scope
than the Millennium Development Goals. METHODS: This paper relies on participant
observation methods and document analysis to develop a case study of the
behaviours of global maternal and child health advocacy networks during 2005
2015. RESULTS: The development of coordinated networks of heterogeneous actors
facilitated the rise in attention to maternal and child health during the past 10
years. The strategic use of epidemiological and economic evidence by these
networks enabled policy attention and promoted network cohesion. The time-bound
opportunity of reaching the 2015 Millennium Development Goals created a window of
opportunity for joint action. As the new post-2015 goals emerge, networks seek to
sustain attention by repositioning their framing of issues, network structures,
and external alliances, including with networks that lay both inside and outside
of the health domain. CONCLUSIONS: Issues rise on global policy agendas because
of how ideas are constructed, portrayed and positioned by actors within given
contexts. Policy networks play a critical role by uniting stakeholders to promote
persuasive ideas about policy problems and solutions. The behaviours of networks
in issue-framing, member-alignment, and strategic outreach can force open windows
of opportunity for political attention -- or prevent them from closing.
PMID- 27193452
TI - Pathogenesis of Myeloproliferative Disorders.
AB - Myeloproliferative neoplasms (MPNs) are a set of chronic hematopoietic neoplasms
with overlapping clinical and molecular features. Recent years have witnessed
considerable advances in our understanding of their pathogenetic basis. Due to
their protracted clinical course, the evolution to advanced hematological
malignancies, and the accessibility of neoplastic tissue, the study of MPNs has
provided a window into the earliest stages of tumorigenesis. With the discovery
of mutations in CALR, the majority of MPN patients now bear an identifiable
marker of clonal disease; however, the mechanism by which mutated CALR perturbs
megakaryopoiesis is currently unresolved. We are beginning to understand better
the role of JAK2(V617F) homozygosity, the function of comutations in epigenetic
regulators and spliceosome components, and how these mutations cooperate with
JAK2(V617F) to modulate MPN phenotype.
PMID- 27193453
TI - The Role of Surgical Pathology in Guiding Cancer Immunotherapy.
AB - The recognition that the immune system can identify and destroy tumor cells has
driven a paradigm shift in our understanding of human cancer. Therapies designed
to enhance this capacity, including cancer vaccines and coinhibitory receptor
blockade, have demonstrated clinical efficacy in treating tumors refractory to
conventional therapy. In this review, we discuss how the analysis of the immune
microenvironment in primary tissue biopsy samples can be used to stratify
patients according to clinical outcome, identify patients likely to benefit from
specific immunotherapies, and tailor combination immunotherapy to individual
patients and tumor types. As immunotherapy gains in complexity and is used in
combination with agents that target oncogenic, intracellular signaling pathways,
diagnostic pathologists will play an increasingly important part in identifying
and quantifying cellular and molecular biomarkers in tissue samples that reflect
the nature and magnitude of the antitumor immune response.
PMID- 27193454
TI - Obesity, Inflammation, and Cancer.
AB - Obesity, a worldwide epidemic, confers increased risk for multiple serious
conditions, including cancer, and is increasingly recognized as a growing cause
of preventable cancer risk. Chronic inflammation, a well-known mediator of
cancer, is a central characteristic of obesity, leading to many of its
complications, and obesity-induced inflammation confers additional cancer risk
beyond obesity itself. Multiple mechanisms facilitate this strong association
between cancer and obesity. Adipose tissue is an important endocrine organ,
secreting several hormones, including leptin and adiponectin, and chemokines that
can regulate tumor behavior, inflammation, and the tumor microenvironment.
Excessive adipose expansion during obesity causes adipose dysfunction and
inflammation to increase systemic levels of proinflammatory factors. Cells from
adipose tissue, such as cancer-associated adipocytes and adipose-derived stem
cells, enter the cancer microenvironment to enhance protumoral effects.
Dysregulated metabolism that stems from obesity, including insulin resistance,
hyperglycemia, and dyslipidemia, can further impact tumor growth and development.
This review describes how adipose tissue becomes inflamed in obesity, summarizes
ways these mechanisms impact cancer development, and discusses their role in four
adipose-associated cancers that demonstrate elevated incidence or mortality in
obesity.
PMID- 27193455
TI - Hematopoietic Stem Cell Niche in Health and Disease.
AB - Regulation of stem cells in adult tissues is a key determinant of how well an
organism can respond to the stresses of physiological challenge and disease. This
is particularly true of the hematopoietic system, where demands on host defenses
can call for an acute increase in cell production. Hematopoietic stem cells
receive the regulatory signals for cell production in adult mammals in the bone
marrow, a tissue with higher-order architectural and functional organization than
previously appreciated. Here, we review the data defining particular structural
components and heterologous cells in the bone marrow that participate in
hematopoietic stem cell function. Further, we explore the case for stromal
hematopoietic cell interactions contributing to neoplastic myeloid disease. As
the hematopoietic regulatory networks in the bone marrow are revealed, it is
anticipated that strategies will emerge for how to enhance or inhibit production
of specific blood cells. In that way, the control of hematopoiesis will enter the
domain of therapies to modulate broad aspects of hematopoiesis, both normal and
malignant.
PMID- 27193451
TI - Hypoxia and Mucosal Inflammation.
AB - Sites of inflammation are defined by significant changes in metabolic activity.
Recent studies have suggested that O2 metabolism and hypoxia play a prominent
role in inflammation so-called "inflammatory hypoxia," which results from a
combination of recruited inflammatory cells (e.g., neutrophils and monocytes),
the local proliferation of multiple cell types, and the activation of multiple O2
consuming enzymes during inflammation. These shifts in energy supply and demand
result in localized regions of hypoxia and have revealed the important function
off the transcription factor HIF (hypoxia-inducible factor) in the regulation of
key target genes that promote inflammatory resolution. Analysis of these pathways
has provided multiple opportunities for understanding basic mechanisms of
inflammation and has defined new targets for intervention. Here, we review recent
work addressing tissue hypoxia and metabolic control of inflammation and
immunity.
PMID- 27193456
TI - Mechanisms of MicroRNAs in Atherosclerosis.
AB - The maladaptation of endothelial cells to disturbed flow at arterial bifurcations
increases permeability for lipoproteins. Additional injury by chemically modified
lipoproteins disrupts the continuous repair of maladapted endothelial cells and
triggers intimal macrophage accumulation. Macrophages remove modified
lipoproteins from the extracellular space until the cholesterol overload leads to
macrophage death and insufficient efferocytosis. This macrophage failure promotes
the progression to advanced lesions by formation of a lipid-rich necrotic core,
which may rupture and cause myocardial infarction and stroke. In this article, we
summarize the fundamental roles of microRNAs (miRNAs) in the regulation of
endothelial maladaptation and macrophage failure during atherosclerosis. We
describe how miRNAs coordinate the mutual interaction between chronic endothelial
repair and endothelial senescence and mechanistically link the regulation of
macrophage cholesterol homeostasis with defective efferocytosis. Lastly, we
discuss how miRNAs may challenge and extend current theories about
atherosclerosis.
PMID- 27193458
TI - Inflammatory myofibroblastic tumour of sigmoid mesocolon in a child.
PMID- 27193457
TI - Serotype-specific differences in short- and longer-term mortality following
invasive pneumococcal disease.
AB - Invasive pneumococcal disease (IPD), caused by infection with Streptococcus
pneumoniae, has a substantial global burden. There are over 90 known serotypes of
S. pneumoniae with a considerable body of evidence supporting serotype-specific
mortality rates immediately following IPD. This is the first study to consider
the association between serotype and longer-term mortality following IPD. Using
enhanced surveillance data from the North East of England we assessed both the
short-term (30-day) and longer-term (?7 years) independent adjusted associations
between individual serotypes and mortality following IPD diagnosis using logistic
regression and extended Cox proportional hazards models. Of the 1316 cases
included in the analysis, 243 [18.5%, 95% confidence interval (CI) 16.4-20.7]
died within 30 days of diagnosis. Four serotypes (3, 6A, 9N, 19 F) were
significantly associated with overall increased 30-day mortality. Effects were
observable only for older adults (?60 years). After extension of the window to 12
months and 36 months, one serotype was associated with significantly increased
mortality at 12 months (19 F), but no individual serotypes were associated with
increased mortality at 36 months. Two serotypes had statistically significant
hazard ratios (HR) for longer-term mortality: serotype 1 for reduced mortality
(HR 0.51, 95% CI 0.30-0.86) and serotype 9N for increased mortality (HR 2.30, 95%
CI 1.29-4.37). The association with serotype 9N was no longer observed after
limiting survival analysis to an observation period starting 30 days after
diagnosis. This study supports the evidence for associations between serotype and
short-term (30-day) mortality following IPD and provides the first evidence for
the existence of statistically significant associations between individual
serotypes and longer-term variation in mortality following IPD.
PMID- 27193459
TI - Clean cut surgery.
PMID- 27193460
TI - Do gray wolves (Canis lupus) support pack mates during aggressive inter-pack
interactions?
AB - For group-living mammals, social coordination increases success in everything
from hunting and foraging (Crofoot and Wrangham in Mind the Gap, Springer,
Berlin, 2010; Bailey et al. in Behav Ecol Sociobiol 67:1-17, 2013) to agonism
(Mosser and Packer in Anim Behav 78:359-370, 2009; Wilson et al. in Anim Behav
83:277-291, 2012; Cassidy et al. in Behav Ecol 26:1352-1360, 2015). Cooperation
is found in many species and, due to its low costs, likely is a determining
factor in the evolution of living in social groups (Smith in Anim Behav 92:291
304, 2014). Beyond cooperation, many mammals perform costly behaviors for the
benefit of group mates (e.g., parental care, food sharing, grooming). Altruism is
considered the most extreme case of cooperation where the altruist increases the
fitness of the recipient while decreasing its own fitness (Bell in Selection: the
mechanism of evolution. Oxford University Press, Oxford 2008). Gray wolf life
history requires intra-pack familiarity, communication, and cooperation in order
to succeed in hunting (MacNulty et al. in Behav Ecol doi: 10.1093/beheco/arr159
2011) and protecting group resources (Stahler et al. in J Anim Ecol 82: 222-234,
2013; Cassidy et al. in Behav Ecol 26:1352-1360, 2015). Here, we report 121
territorial aggressive inter-pack interactions in Yellowstone National Park
between 1 April 1995 and 1 April 2011 (>5300 days of observation) and examine
each interaction where one wolf interferes when its pack mate is being attacked
by a rival group. This behavior was recorded six times (17.6 % of interactions
involving an attack) and often occurred between dyads of closely related
individuals. We discuss this behavior as it relates to the evolution of
cooperation, sociality, and altruism.
PMID- 27193462
TI - [German urologists are open to new ways of making wise decisions].
PMID- 27193461
TI - P-Glycoprotein Activity in Steroid-Responsive vs. Steroid-Resistant Nephrotic
Syndrome.
AB - OBJECTIVES: To explore the expression of P-glycoprotein (P-gp) in the peripheral
blood nucleated cells (PBNCs) of children with nephrotic syndrome in relation to
their clinical response to glucocorticoid treatment. METHODS: Thirty-six children
with nephrotic syndrome (20 cases of steroid-responsive and 16 cases of steroid
resistant) were examined. All the participants were subjected to complete history
taking, thorough clinical examination, laboratory investigations (24-h urinary
protein, serum albumin, complete blood count with differential white blood cell
count, serum cholesterol, serum urea, serum creatinine) and functional assay of P
gp using FACS Calibur flowcytometry. P-gp assay was done in both groups during
remission. RESULTS: P-gp activity was significantly higher in steroid-resistant
than steroid-sensitive cases. CONCLUSIONS: P-gp can be used as a predictor of
outcome, as a part of laboratory evaluation of the cases before starting steroid
therapy, so as to determine whether to use alternative line of therapy or use one
of the P-gp inhibitors with steroid therapy.
PMID- 27193463
TI - Disease burden of ischaemic heart disease from short-term outdoor air pollution
exposure in Tianjin, 2002-2006.
AB - AIMS: To obtain a reliable estimation of the health impact of air pollution, we
explored the effects of air pollutants on years of life lost from ischaemic heart
disease in Tianjin, China. METHODS AND RESULTS: We conducted a retrospective
ecological analysis using daily time series set in six urban districts in
Tianjin. Our data included 28,365 ischaemic heart disease deaths registered in
2002-2006. The main outcome measures were years of life lost and deaths from
ischaemic heart disease. We found mean concentrations of daily particulate matter
with an aerodynamic diameter of less than 10 um in size (PM10), sulfur dioxide
and nitrogen dioxide of 125.6 ug/m3, 78.7 ug/m3, 55.1 ug/m3, respectively.
Interquartile range increases of PM10, sulfur dioxide and nitrogen dioxide were
associated with increases in years of life lost from ischaemic heart disease of
13.8, 4.8 and 22.7 years in single pollutant models, respectively. The effects
associated with an interquartile range increase in PM10 were greater in women
than men. During the 5 years, there were 27,485 years and 1252 deaths advanced by
PM10 pollution over expected rates when daily levels did exceed the World Health
Organization air quality guidelines. That is to say, on average, 63.12 hours per
person were lost by air pollution in the 5-year period in Tianjin. CONCLUSIONS:
Short-term exposure to air pollution has a significant impact on ischaemic heart
disease years of life lost and mortality in Tianjin, particularly among women.
Air quality improvement has become necessary to protect public health.
PMID- 27193464
TI - Current situation and future usage of anticancer drug databases.
AB - Cancer is a deadly disease with increasing incidence and mortality rates and
affects the life quality of millions of people per year. The past 15 years have
witnessed the rapid development of targeted therapy for cancer treatment, with
numerous anticancer drugs, drug targets and related gene mutations been
identified. The demand for better anticancer drugs and the advances in database
technologies have propelled the development of databases related to anticancer
drugs. These databases provide systematic collections of integrative information
either directly on anticancer drugs or on a specific type of anticancer drugs
with their own emphases on different aspects, such as drug-target interactions,
the relationship between mutations in drug targets and drug
resistance/sensitivity, drug-drug interactions, natural products with anticancer
activity, anticancer peptides, synthetic lethality pairs and histone deacetylase
inhibitors. We focus on a holistic view of the current situation and future usage
of databases related to anticancer drugs and further discuss their strengths and
weaknesses, in the hope of facilitating the discovery of new anticancer drugs
with better clinical outcomes.
PMID- 27193465
TI - Safety and QOL in Patients with Advanced NET in a Phase 3b Expanded Access Study
of Everolimus.
AB - BACKGROUND/AIMS: An open-label, multi-center, expanded access study was conducted
in patients with advanced neuroendocrine tumors (NET) treated with everolimus (10
mg/day) to assess safety and health-related quality of life (HRQOL). METHODS: Of
the 246 patients enrolled, 126 have pancreatic NET (pNET) and 120 have non-pNET.
Patients continued treatment until disease progression, unacceptable toxicity,
death, until commercial availability of everolimus, or May 2012, whichever came
first. Adverse events (AEs) were analyzed according to Common Terminology
Criteria version 4.0. HRQOL was assessed at baseline, for three 28-day cycles,
and then at every three cycles until end of treatment (EOT) with EQ-5D, EORTC QLQ
C30, and EORTC QLQ-GINET21 instruments. RESULTS: The most common grade 3 or 4 AEs
included hyperglycemia, infections, stomatitis, fatigue, and abdominal pain. In
patients with pNET, mean (+/- SD) EQ VAS score remained stable at EOT (baseline,
68.8 +/- 19.9 vs. EOT, 66.5 +/- 20.6) without clinically significant change in
QLQ-C30 global health status (change from baseline, - 3.9; n = 86). For patients
with non-pNET, a reduction in EQ VAS score (63.9 +/- 19.0 vs. 55.3 +/- 23.0) with
clinically significant changes in QLQ-C30 global health status (-13.0; n = 69)
was seen by EOT. EQ-5D utility scores remained stable in patients with pNET and a
moderate decrease was reported by patients with non-pNET. CONCLUSIONS: The safety
profile of everolimus was consistent with the previous studies without adversely
affecting HRQOL in pNET. Lower baseline HRQOL scores and more frequent
comorbidities might have contributed to the worse outcomes in non-pNET. TRIAL
REGISTRATION: EudraCT no. 2010-023032-17.
PMID- 27193466
TI - Bone mineral density in patients with mucopolysaccharidosis type III.
AB - Mucopolysaccharidosis type III (MPS III) is a neurodegenerative disorder. In MPS
III patients, heparan sulfate accumulates in many tissues especially the central
nervous system. There are limited data regarding bone involvement in MPS III
compared to other MPS types. The aim of this study was to evaluate bone mineral
density (BMD) and the prevalence of low bone mass, and to explore the association
between BMD, vitamin D levels, bone fracture, and patient characteristics in MPS
III. A clinical assessment and interview was held to obtain data about family
history, height, weight, body mass index (BMI), nutrition, walking capacity, bone
fracture, epilepsy, and medical therapy of 15 patients with MPS III. Height,
weight, and BMI z scores were calculated. Laboratory tests including 25
hydroxyvitamin D (25-OH-D) were measured. BMD measurements for the lumbar spine
were obtained using dual-energy X-ray absorptiometry (DXA). BMD z scores were
adjusted for height-for-age z score (HAZ) to provide correction for height
deficits. Lumbar spine BMD z score was low (<-1) in five patients for
chronological age and normalized in two of five patients after adjustment for
HAZ. Three patients continued to have low BMD; these were older than the other
patients and one had a history of long bone fracture. Two of these patients were
observed to have lost walking capacity at 10 and 14 years, and the other was
walking with support. Six patients had deficient, and three patients had
insufficient levels of 25-OH-D. Two osteoporotic patients had significantly lower
levels of 25-OH-D. We found that older patients with immobility are at high risk
of osteoporosis and bone fracture, and vitamin D deficiencies/insufficiencies are
widely seen. We recommend monitoring BMD by DXA and checking vitamin D metabolism
to assess low bone mass and fracture risk in older MPS III patients with
immobility.
PMID- 27193467
TI - Relationship between soy milk intake and radiographic knee joint space narrowing
and osteophytes.
AB - The purpose of this study was to examine the cross-sectional association between
dietary soy milk intake and the prevalence of radiographic knee joint space
narrowing (JSN) and osteophytes (OST). Soy milk intake was assessed using a
validated semiquantitative food frequency questionnaire and classified into three
categories: never, =once a day. JSN and OST were assessed
individually based on the Osteoarthritis Research Society International atlas.
Multivariable logistic models were used after adjusting for potentially
confounding factors. A total of 5764 subjects were included. Both the models
suggested a significant inverse association between soy milk intake and OST. In
model 1 (adjusted for age, body mass index, and sex), the prevalence of OST
decreased in ">=once a day" category (OR 0.52, 95 % CI 0.28-0.98, P = 0.042) and
"=once a day" category: OR 0.49, 95 % CI 0.26-0.92, P = 0.026; " 0.05). Subjects in Group II
experienced, on average, more blood loss than subjects in Group I, 355.50 ml and
238.90 ml, respectively. CONCLUSION: The use of multi-drug combination may offer
significant advantage of reducing patients' mean arterial pressure. However, this
has no significant effect on reducing blood loss or surgery time in comparison to
sevoflurane alone.
PMID- 27193473
TI - Genome-wide identification and comparative analysis of the cation proton
antiporters family in pear and four other Rosaceae species.
AB - The monovalent cation proton antiporters (CPAs) play essential roles in plant
nutrition, development, and signal transduction by regulating ion and pH
homeostasis of the cell. The CPAs of plants include the Na(+)/H(+) exchanger,
K(+) efflux antiporter, and cation/H(+) exchanger families. However, currently,
little is known about the CPA genes in Rosaceae species. In this study, 220 CPA
genes were identified from five Rosaceae species (Pyrus bretschneideri, Malus
domestica, Prunus persica, Fragaria vesca, and Prunus mume), and 53 of which came
from P. bretschneideri. Phylogenetic, structure, collinearity, and gene
expression analyses were conducted on the entire CPA genes of pear. Gene
expression data showed that 35 and 37 CPA genes were expressed in pear fruit and
pollen tubes, respectively. The transcript analysis of some CPA genes under
abiotic stress conditions revealed that CPAs may play an important role in pollen
tubes growth. The results presented here will be useful in improving
understanding of the complexity of the CPA gene family and will promote
functional characterization in future studies.
PMID- 27193475
TI - Cephalometrics in Stickler syndrome: Objectification of the typical facial
appearance.
AB - INTRODUCTION: Stickler syndrome is a connective tissue disorder characterized by
orofacial, ocular, skeletal and auditory symptoms. The orofacial phenotype mainly
consists of midfacial hypoplasia, micrognathia and cleft palate. Large phenotypic
variability is evident though. Few studies have tried to substantiate the typical
facial appearance in Stickler syndrome patients. METHODS: Molecularly confirmed
Stickler patients were invited to undergo cephalometric analysis based on a
lateral radiograph in standardized conditions. Angular and linear measurements
were performed according to Steiner's and Sassouni's analysis and compared with
age- and gender-matched reference values. RESULTS: Thirteen patients aged 10-62y
were included, twelve of whom had type 1 Stickler syndrome (COL2A1 mutation) and
one type 2 Stickler syndrome (COL11A1 mutation). The position of maxilla and
mandible relative to the cranial base was not significantly different from the
reference population (S-N-A: p = 0.73, S-N-B: p = 0.43). The mandibular plane and
y-axis showed an elevated angle with the cranial base in most patients, although
not significant for the total group (S-N to Go-Me: p = 0.20, S-N to S-Gn: p =
0.18). Dental analysis was normal, except for a higher overjet value (p = 0.006)
and a higher angle between occlusal plane and Frankfort plane (p = 0.022).
CONCLUSION: Cephalometric analysis was not able to thoroughly prove the abnormal
facial appearance in Stickler syndrome. The majority of patients had normal
dentofacial proportions. The most frequently observed anomaly in our series is a
rather short and posteriorly rotated mandible, but clinical variability is high.
PMID- 27193476
TI - Radiotherapy for oral cancer decreases the cutaneous expression of host defence
peptides.
AB - INTRODUCTION: Bacterial resistance against antibiotics has become an increasing
challenge in the treatment of cutaneous infections. Consequences can be severe,
especially in infected wounds following previous local radiotherapy. Certain
endogenous peptide antibiotics, the host defence peptides (HDPs), exhibit broad
spectrum antimicrobial activity and promote wound healing. Their use as
supplements to conventional antibiotics is a current topic of discussion;
however, knowledge of their quantities in healthy and compromised tissue is a
prerequisite for such discussion. To date, no data concerning HDP quantities in
irradiated skin are available. METHODS: Expression profiles of the genes encoding
HDPs, namely human beta-defensin-1 (DEFB1, hBD-1), beta-defensin-2 (DEFB4A, hBD
2), beta-defensin-3 (DEFB103, hBD-3) and S100A7, were assessed in samples of non
irradiated and irradiated neck. RESULTS: A reduction in the expression of all of
the examined genes was observed in irradiated skin when compared with non
irradiated skin (statistically significant in the case of S100A7, P = 0.013).
Immunohistochemistry revealed differences in HDP distribution with respect to the
epithelial layers. CONCLUSION: The study demonstrates a significant reduction in
HDP gene expression in neck skin as a result of radiotherapy. These findings
might represent a starting point for novel treatments of cutaneous infections in
irradiated patients, such as topical supplementation of synthetic HDP.
PMID- 27193477
TI - Is a computer-assisted design and computer-assisted manufacturing method for
mandibular reconstruction economically viable?
AB - The design and manufacture of patient-specific mandibular reconstruction plates,
particularly in combination with cutting guides, has created many new
opportunities for the planning and implementation of mandibular reconstruction.
Although this surgical method is being used more widely and the outcomes appear
to be improved, the question of the additional cost has to be discussed. To
evaluate the cost generated by the management of this technology, we studied a
cohort of patients treated for mandibular neoplasms. The population was divided
into two groups of 20 patients each who were undergoing a 'traditional' freehand
mandibular reconstruction or a computer-aided design/computer-aided manufacturing
(CAD-CAM) mandibular reconstruction. Data concerning operation time,
complications, and days of hospitalisation were used to evaluate costs related to
the management of these patients. The mean operating time for the CAD-CAM group
was 435 min, whereas that for the freehand group was 550.5 min. The total
difference in terms of average time gain was 115.5 min. No microvascular
complication occurred in the CAD-CAM group; two complications (10%) were observed
in patients undergoing freehand reconstructions. The mean overall lengths of
hospital stay were 13.8 days for the CAD-CAM group and 17 days for the freehand
group. Finally, considering that the institutional cost per minute of theatre
time is ?30, the money saved as a result of the time gained was ?3,450. This cost
corresponds approximately to the total price of the CAD-CAM surgery. In
conclusion, we believe that CAD-CAM technology for mandibular reconstruction will
become a widely used reconstructive method and that its cost will be covered by
gains in terms of surgical time, quality of reconstruction, and reduced
complications.
PMID- 27193478
TI - Is there a correlation between the clinical findings and the radiological
findings in chronic maxillary sinus atelectasis?
AB - OBJECTIVE: Silent sinus syndrome (SSS) is a clinical syndrome that occurs as a
result of chronic maxillary sinus atelectasis (CMA) and is seen with progressive
enophthalmos and hypoglobus. The aim of this study was to investigate the
correlation between radiological findings and clinical findings in patients with
radiologically asymmetrical reduced maxillary sinus volume. MATERIAL AND METHOD:
A comparison was made of patients with CMA through evaluation of paranasal sinus
computed tomography, magnetic resonance imaging examination of maxillary sinus
volume of the CMA side and the contralateral side, thickness of the retroantral
fat tissue, infraorbital bone curve, uncinate process lateralisation measurement,
middle concha diameter, and calculation of the change in location of the inferior
rectus muscle. RESULTS: The study included 16 patients. Although a statistically
significant difference was determined between the healthy and the pathological
sides in respect to maxillary sinus volume, thickness of the retroantral fat
tissue, infraorbital bone curve, uncinate process lateralisation measurement, and
middle concha diameter (p = 0.00, p = 0.002, p = 0.020, p = 0.020, p = 0.007), no
significant difference was determined in respect to the change in location of the
inferior rectus muscle (p = 0.154). A positive correlation was determined between
the increase in sulcus depth and maxillary sinus volume and inferior orbital bone
curve (p < 0.05). CONCLUSION: In CMA patients suspected of having SSS,
radiological maxillary sinus volume analysis, determination of retroantral fat
thickness, measurement of the infraorbital bone curve, and measurement of the
uncinate process lateralisation can be used as objective tests. However, it
should be kept in mind that radiological findings may not always be compatible
with the ophthalmological examination findings.
PMID- 27193479
TI - Influence of repeat surgery on treatment time in the interdisciplinary management
of impacted maxillary canines: A retrospective cohort study.
AB - PURPOSE: To evaluate the effect of repeat surgery on treatment time in the
interdisciplinary management of impacted maxillary canines. MATERIAL AND METHODS:
This retrospective cohort study enrolled patients referred for computed
tomographic examination for impacted maxillary canines within a 5-year
observational period. The occurrence of repeat surgery was analysed with regard
to treatment time, canine location, and surgical exposure technique. RESULTS: A
total of 55 patients with 79 impacted maxillary canines were analysed. Of those,
83.6% did not present with complications that would have prompted repeat surgery
during their treatment. For patients requiring repeat surgery, time until
clinically visible movement of the canine was significantly longer (p < 0.001),
whereas time between initial movement and eruption into the oral cavity was
significantly shorter (p < 0.001). Overall treatment time did not differ
significantly (p = 0.13). An open surgical exposure technique was associated with
a significantly lower occurrence of repeat surgeries (p = 0.03). Bilateral
impaction of canines significantly prolonged overall treatment time (p = 0.01).
CONCLUSIONS: In the event of initial treatment failure during the
interdisciplinary management of impacted maxillary canines, repeat surgery should
be considered, which has limited effect on overall treatment time. An open
surgical exposure technique is preferable.
PMID- 27193480
TI - Implant supported dental rehabilitation following segmental mandibular
reconstruction- quality of life outcomes of a prospective randomized trial.
AB - PURPOSE: The primary objective of this study was to assess the difference in
quality of life (QoL) in patients with dental rehabilitation using two or four
implant-supported overdentures following segmental mandibulectomy defect
reconstruction with fibula free flap. MATERIAL AND METHODS: This prospective,
parallel designed, randomized clinical study was conducted with a 1:1 ratio. At
baseline, all participants already had fibula flap reconstruction for segmental
defects of the mandible and rehabilitation with conventional (non-implant
supported) removable partial dentures. The participants were then randomized into
two groups. Group I received implant supported overdentures on two implants, and
Group II received four implants. QoL outcomes were evaluated using standardized
questionnaires (EORTC_QLQ c30, H&N35, OHIP, DSI). Outcomes of treatment were
evaluated at 6 months (T1) and 1 year (T2) following rehabilitation. RESULTS: A
total of 52 patients were randomized into two treatment groups (26 each). After
accounting for the loss to lack of follow-up, 22 patients in Group I and 24
patients in Group II were evaluated for QoL at the end of the study. There was a
significant improvement in QoL with implant-assisted dental rehabilitation.
However there were no significant differences in QoL between the two-implant and
four-implant groups. CONCLUSION: Implant-supported removable overdentures improve
QoL outcomes in patients with reconstructed mandibles. This study showed no
significant difference in QoL outcomes in patients with two- or four-implant
supported removable prostheses.
PMID- 27193481
TI - The Good, the Bad, and the Ugly: Professional Perceptions of Jury Decision-making
Research Practices.
PMID- 27193482
TI - Evaluation of cephalexin failure rates in morbidly obese patients with
cellulitis.
AB - WHAT IS KNOWN AND OBJECTIVE: Identification of adequate antimicrobial dosing
regimens for morbidly obese patients is essential given the simultaneous increase
in morbid obesity and cellulitis prevalence in recent years. Insufficient data
currently exist to describe the effectiveness of extrapolating traditional
antibiotic dosing strategies to morbidly obese patients with cellulitis. The
primary objective of this study was to compare therapeutic failure rates in non
obese and morbidly obese patients with cellulitis when treated with cephalexin at
standard dosing. METHODS: This was a single-centre, retrospective cohort
analysis. Adult patients hospitalized or under inpatient observation at a 1265
bed academic medical centre who received cephalexin monotherapy for non-purulent
cellulitis from 2005 to 2015 were evaluated for inclusion. Patients were divided
into two cohorts based on body mass index (BMI), where BMI <30 kg/m(2) was
defined as non-obese and BMI >=40 kg/m(2) as morbidly obese. Patients with
critical risk factors for purulent or polymicrobial cellulitis were excluded. The
primary outcome, therapeutic failure, was defined as a need for extended or
additional antimicrobial therapy, surgical intervention, emergency department
visit, or re-hospitalization within two to thirty days after cephalexin
initiation. RESULTS AND DISCUSSION: A total of 94 patients (69 non-obese and 25
morbidly obese) met inclusion and exclusion criteria, which was below the
estimated sample size needed to reach desired power. The rate of therapeutic
failure in the morbidly obese group was similar to the non-obese group (20% vs.
14.5%, P = 0.53). Patients most commonly had extended or additional antibiotics
prescribed in response to therapeutic failure with cephalexin. WHAT IS NEW AND
CONCLUSION: Cephalexin failure rates for cellulitis did not differ statistically
between morbidly obese and non-obese patients. The underpowered nature of this
study is a limitation. Until further study with a larger sample size is
completed, empiric adjustment of cephalexin dosing based solely on BMI may not be
necessary.
PMID- 27193483
TI - Iron Alkynyl Helicenes: Redox-Triggered Chiroptical Tuning in the IR and Near-IR
Spectral Regions and Suitable for Telecommunications Applications.
AB - The combination of a bis-alkynyl-helicene moiety with two iron centers leads to
novel electroactive species displaying unprecedented redox-triggered chiroptical
switching. Upon oxidation, strong changes of vibrational modes (either local or
extended coupled modes) are detected by vibrational circular dichroism and Raman
optical activity. Remarkably, the sign of the optical rotation at 1.54 um (that
is, at wavelengths typically used for telecommunications) changes upon oxidation
while the topology and stereochemistry of the helicene remain unchanged.
PMID- 27193485
TI - Quantifying Neuromuscular Fatigue Induced by an Intense Training Session in Rugby
Sevens.
AB - PURPOSE: To compare the sensitivity of a sprint vs a countermovement-jump (CMJ)
test after an intense training session in international rugby sevens players, as
well as analyze the effects of fatigue on sprint acceleration. METHODS: Thirteen
international rugby sevens players completed two 30-m sprints and a set of 4
repetitions of CMJ before and after a highly demanding rugby sevens training
session. RESULTS: Change in CMJ height was unclear (-3.6%; +/-90% confidence
limits 11.9%. Chances of a true positive/trivial/negative change: 24/10/66%),
while a very likely small increase in 30-m sprint time was observed (1.0%; +/
0.7%, 96/3/1%). A very likely small decrease in the maximum horizontal
theoretical velocity (V0) (-2.4; +/-1.8%, 1/4/95%) was observed. A very large
correlation (r = -.79 +/- .23) between the variations of V0 and 30-m-sprint
performance was also observed. Changes in 30-m sprint time were negatively and
very largely correlated with the distance covered above the maximal aerobic speed
(r = -.71 +/- .32). CONCLUSIONS: The CMJ test appears to be less sensitive than
the sprint test, which casts doubts on the usefulness of a vertical-jump test in
sports such as rugby that mainly involve horizontal motions. The decline in
sprint performance relates more to a decrease in velocity than in force
capability and is correlated with the distance covered at high intensity.
PMID- 27193486
TI - High-Dose Fluoride Induces Apoptosis and Inhibits Ameloblastin Secretion in
Primary Rat Ameloblast.
AB - The objectives of this study are to establish the in vitro culture system for rat
primary ameloblast and to investigate the effects of fluoride on cell viability,
apoptosis, and ameloblastin (AMBN) secretion of primary rat ameloblast in vitro.
Ameloblast was isolated from the tooth germ of the maxillomandibular molar and
cultured in vitro. Cells were treated with NaF at 0.4, 0.8, 1.6, 3.2, and 6.4 mM
for 24, 48, and 72 h, respectively. Cell viability was measured by MTT assay and
apoptosis was tested by flow cytometry. The activation of Fas ligand (FasL)/Fas
pathway was detected using immunoblotting for FasL, Fas, cleaved caspase-8,
cleaved caspase-3, and cleaved PARP. Secretion of AMBN in culture medium was
measured using ELISA. Primary rat ameloblast was successfully isolated and
cultured. The effects of low-dose fluoride on cell viability were bi-phasic,
while high-dose fluoride resulted in decreased cell viability uniformly. Fluoride
induced ameloblast apoptosis via activation of FasL/Fas signaling pathway and
diminished secretion of AMBN by ameloblast. Fluoride could decrease ameloblast
viability, induce ameloblast apoptosis via activating FasL/Fas signaling pathway,
and reduce AMBN secretion.
PMID- 27193484
TI - Structural and functional insights into the E3 ligase, RNF126.
AB - RNF126 is an E3 ubiquitin ligase that collaborates with the BAG6 sortase complex
to ubiquitinate hydrophobic substrates in the cytoplasm that are destined for
proteasomal recycling. Composed of a trimeric complex of BAG6, TRC35 and UBL4A
the BAG6 sortase is also associated with SGTA, a co-chaperone from which it can
obtain hydrophobic substrates. Here we solve the solution structure of the RNF126
zinc finger domain in complex with the BAG6 UBL domain. We also characterise an
interaction between RNF126 and UBL4A and analyse the competition between SGTA and
RNF126 for the N-terminal BAG6 binding site. This work sheds light on the sorting
mechanism of the BAG6 complex and its accessory proteins which, together, decide
the fate of stray hydrophobic proteins in the aqueous cytoplasm.
PMID- 27193487
TI - Biomarkers for dementia and mild cognitive impairment in Parkinson's disease.
AB - Cognitive decline is one of the most frequent and disabling nonmotor features of
Parkinson's disease. Around 30% of patients with Parkinson's disease experience
mild cognitive impairment, a well-established risk factor for the development of
dementia. However, mild cognitive impairment in patients with Parkinson's disease
is a heterogeneous entity that involves different types and extents of cognitive
deficits. Because it is not currently known which type of mild cognitive
impairment confers a higher risk of progression to dementia, it would be useful
to define biomarkers that could identify these patients to better study disease
progression and possible interventions. In this sense, the identification among
patients with Parkinson's disease and mild cognitive impairment of biomarkers
associated with dementia would allow the early detection of this process. This
review summarizes studies from the past 25 years that have assessed the potential
biomarkers of dementia and mild cognitive impairment in Parkinson's disease
patients. Despite the potential importance, no biomarker has as yet been
validated. However, features such as low levels of epidermal and insulin-like
growth factors or uric acid in plasma/serum and of Abeta in CSF, reduction of
cerebral cholinergic innervation and metabolism measured by PET mainly in
posterior areas, and hippocampal atrophy in MRI might be indicative of distinct
deficits with a distinct risk of dementia in subgroups of patients. Longitudinal
studies combining the existing techniques and new approaches are needed to
identify patients at higher risk of dementia. (c) 2016 International Parkinson
and Movement Disorder Society.
PMID- 27193489
TI - In memoriam: Prof. Dr. Edwaldo Eduardo Camargo (1938-2016).
PMID- 27193490
TI - Metal complexes with di(N-heterocyclic carbene) ligands bearing a rigid ortho-,
meta or para-phenylene bridge.
AB - Three novel dinuclear bis-dicarbene silver(i) complexes of general formula
[Ag2(MeIm-phenylene-MeIm)2](PF6)2 (Im = imidazol-2-ylidene) were synthesized. The
corresponding copper(i) and gold(i) complexes were obtained by transmetalation of
the di(N-heterocyclic carbene) ligand from the silver(i) species, and both
coordination geometry and stoichiometry are maintained for all three group 11
metals as expected. The photophysical properties of the Ag(i) and Au(i) complexes
were also investigated and discussed; in particular the most strongly emitting
complex was also studied via DFT calculations. In addition, the ruthenium(ii) and
iridium(iii) complexes [RuCl(MeIm-(o-phenylene)-MeIm)(p-cym)](PF6) and
[IrClCp*(MeIm-(o-phenylene)-MeIm)](PF6) were prepared and shown to present in
these cases a chelating coordination of the di(N-heterocyclic carbene) ligand.
PMID- 27193488
TI - Checkpoint Inhibitors and Other Immune Therapies for Hodgkin and Non-Hodgkin
Lymphoma.
AB - OPINION STATEMENT: Treatment for relapsed/refractory (R/R) Hodgkin and non
Hodgkin lymphoma remains challenging. The introduction of rituximab to B cell non
Hodgkin lymphoma (B-NHL) treatment significantly improved patients' response rate
and survival; however, approximately one third of patients with diffuse large B
cell lymphoma, the most common B-NHL subtype, still have a relapse or become
refractory after first-line therapy. More recently, antibody therapies and small
molecule inhibitors were approved for treating R/R lymphomas; these agents
include brentuximab vedotin, ibrutinib, and idelalisib. Immune checkpoint
inhibitors and other immune therapies are emerging treatments currently being
evaluated in various clinical trials for their efficacy against lymphoid
malignancies. Striking results from these treatment modalities have been observed
in solid tumors, and evidence is accumulating to support their use in various
lymphomas. The most exciting results from immune checkpoint inhibitor therapy
have been seen in patients with R/R Hodgkin lymphoma, in whom the overall
response rate has reached 60-80 %. Results in NHL are more similar to those seen
in other solid malignancies, ranging between 20 and 40 %, depending on the
histology. Formal approval of these drugs is being awaited, as are the results of
combination therapy with checkpoint inhibitors and other treatment modalities,
including conventional chemotherapy, small-molecule inhibitors, and other immune
therapies. Although response rates have been promising, attention must be paid to
the management of unique immune-related adverse events, which warrant close
monitoring in some cases. Identification of biomarkers that predict response or
severe adverse events using either the tumor specimen or peripheral blood would
aid in selecting patients suited for these types of treatment as well as
determining the ideal sequence of treatment within the realm of immune therapies.
PMID- 27193492
TI - Integrin beta4 is a major target antigen in pure ocular mucous membrane
pemphigoid.
AB - Previous studies of ocular mucous membrane pemphigoid (OMMP) have identified
several components of the basement membrane zone to be autoantigens, including
integrin beta4. However, there are no extensive or definitive reported studies
that address this, particularly in pure OMMP. To clarify the major autoantigens
in pure OMMP. In this study, we examined sera from 43 pure OMMP patients for both
IgG and IgA antibodies using newly developed immunoblotting analyses with a
hemidesmosome-rich fraction and various recombinant proteins of integrin
alpha6beta4, in addition to our routine immune-serological tests. Using a
hemidesmosome-rich fraction, sera from patients with pure OMMP demonstrated
reactivity of IgG and/or IgA antibodies to integrin beta4, BP180 and laminin-332.
The reactivity of pure OMMP sera to integrin beta4 was further confirmed by
immunoblotting using integrin beta4 recombinant proteins. Using concentrated
supernatant of HaCaT cells, only one serum sample showed positive IgG and IgA
reactivity to LAD-1, the ectodomain of BP180. None of the pure OMMP sera reacted
with any autoantigens on immunoblotting using normal human epidermal or dermal
extracts, or purified human laminin-332. Integrin beta4 was considered to be the
major and specific autoantigen for pure OMMP. The new methods established in this
study are useful for detection of various autoantigens, particularly integrin
beta4.
PMID- 27193491
TI - Long-term outcome following regenerative periodontal treatment of intrabony
defects.
AB - This study aimed to evaluate the long-term outcome of the regenerative
periodontal therapy of an intrabony defect in terms of tooth survival and
clinical attachment level (CAL) stability. The association between failure and
patient as well as tooth variables was assessed. Treatment records of the
patients who received regenerative surgery and re-evaluation at 1-year post
surgery were screened. Patient and tooth variables, defect characteristics, and
types of treatments were collected. Periodontal parameters were retrieved before
regenerative surgery (baseline), 1-year post-surgery, and every visits of
supportive periodontal treatment (SPT) until failure, including tooth loss or CAL
loss >=2 mm was found. In this study, treatment records from 89 patients were
included. These patients continued SPT from 0.5-11.16 years. Of these patients,
92.1 % survived from tooth loss, while 61.8 % survived from CAL loss >=2 mm
compared to 1-year post-surgery. At the sites with residual pocket depth <5 mm,
patients attending SPT >80 % had a significantly less percentage of teeth with
CAL loss >=2 mm compared to 1-year post-surgery than those attending SPT <80 %.
However, at the sites with residual pocket depth >=5 mm, no significant
difference in the percentage of teeth with CAL loss >=2 mm was found between
patients attending SPT >80 % or <80 %. Smoking, patient's compliance, and
residual pocket depth after regenerative surgery were significantly associated
with tooth loss. However, these factors were not significantly associated with
CAL loss compared to baseline or 1-year post-surgery.
PMID- 27193493
TI - Characterization of Kidney and Skeleton Phenotypes of Mice Double Heterozygous
for Foxc1 and Foxc2.
AB - Foxc1 and Foxc2 play key roles in mouse development. Foxc1 mutant mice develop
duplex kidneys with double ureters, and lack calvarial and sternal bones. Foxc2
null mice have been reported to have glomerular abnormalities in the kidney and
axial skeletal anomalies. Expression patterns of Foxc1 and Foxc2 overlap
extensively and are believed to have interactive roles. However, cooperative
roles of these factors in glomerular and skeletal development are unknown.
Therefore, we examined the kidneys and skeleton of mice that were double
heterozygous for Foxc1 and Foxc2. Double heterozygotes were generated by mating
single heterozygotes for Foxc1 and Foxc2. Newborn double heterozygous mice showed
many anomalies in the kidney and urinary tract resembling Foxc1 phenotypes,
including duplex kidneys, double ureters, hydronephrosis and mega-ureter. Some
mice had hydronephrosis alone. In addition to these macroscopic anomalies, some
mice had abnormal glomeruli and disorganized glomerular capillaries observed in
Foxc2 phenotypes. Interestingly, these mice also showed glomerular cysts not
observed in the single-gene knockout of either Foxc1 or Foxc2 but observed in
conditional knockout of Foxc2 in the kidney. Serial section analysis revealed
that all cystic glomeruli were connected to proximal tubules, precluding the
possibility of atubular glomeruli resulting in cyst formation. Dorsally opened
vertebral arches and malformations of sternal bones in the double heterozygotes
were phenotypes similar to Foxc1 null mice. Absent or split vertebral bodies in
the double heterozygotes were phenotypes similar to Foxc2 null mice, whilst
hydrocephalus noted in the Foxc1 phenotype was not observed. Thus, Foxc1 and
Foxc2 have a role in kidney and axial skeleton development. These transcription
factors might interact in the regulation of the embryogenesis of these organs.
PMID- 27193496
TI - Indocyanine Green Fluorescence Navigation Thoracoscopic Metastasectomy for
Pulmonary Metastasis of Hepatocellular Carcinoma.
AB - Indocyanine green can selectively accumulate in primary hepatocellular carcinoma
(HCC) and extrahepatic metastases. We report a patient who underwent resection of
pulmonary metastasis of HCC using a thoracoscopic near-infrared imaging system
and fluorescent navigation surgery. A 66-year-old man with suspicion of pulmonary
metastasis of HCC was referred to our hospital. Indocyanine green was injected
intravenously at a dose of 0.5 mg/kg body weight, 20 h before thoracoscopic
surgery. An endoscopic indocyanine green near-infrared fluorescence imaging
system showed clear blue fluorescence, indicating pulmonary metastasis of HCC in
a lingular segment. We performed wide wedge resection using the fluorescence
image for navigation to confirm the surgical margins. The specimen was
histologically confirmed as a pulmonary metastasis of HCC. In conclusion,
thoracoscopic indocyanine green near-infrared fluorescence imaging for pulmonary
metastases of HCC is useful in identifying tumor locations and ensuring resection
margins.
PMID- 27193497
TI - Short-term ubiquinol supplementation reduces oxidative stress associated with
strenuous exercise in healthy adults: A randomized trial.
AB - Studies about Coenzyme Q10 (CoQ10 ) supplementation on strenuous exercise are
scarce, especially those related with oxidative stress associated with physical
activity and virtually nonexistent with the reduced form, Ubiquinol. The
objective of this study was to determine, for the first time, whether a short
term supplementation with Ubiquinol can prevent oxidative stress associated to
strenuous exercise. The participants (n = 100 healthy and well trained, but not
on an elite level) were classified in two groups: Ubiquinol (experimental group),
and placebo group (control). The protocol consisted of conducting two identical
strenuous exercise tests with a rest period between tests of 24 h. Blood and
urine samples were collected from the participants before supplementation (basal
value) (T1), after supplementation (2 weeks) (T2), after first physical exercise
test (T3), after 24 h of rest (T4), and after second physical exercise test
(T5).The increase observed in the lactate, isoprostanes, DNA damage, and
hydroperoxide levels reveals the severity of the oxidative damage induced by the
exercise. There was a reduction in the isoprostanes, 8-OHdG, oxidized LDL, and
hydroperoxydes in the supplemented Ubiquinol group, an increase in total
antioxidant status, fat soluble antioxidant (both plasma and membrane), and CAT
activity. Also, NO in the Ubiquinol-supplemented group was maintained within a
narrow range. Oxidative stress induced by strenuous exercise is accumulative and
increases transiently in subsequent sessions of physical activity. A short-term
supplementation (2 weeks) with Ubiquinol (200 mg/day) before strenuous exercise,
decreases oxidative stress and increases plasma NO, fact that could improve
endothelial function, energetic substrate supply, and muscle recovery after
strenuous exercise. (c) 2016 BioFactors, 42(6):612-622, 2016.
PMID- 27193498
TI - Expandable Scaffold Improves Integration of Tissue-Engineered Cartilage: An In
Vivo Study in a Rabbit Model.
AB - One of the major limitations of tissue-engineered cartilage is poor integration
of chondrocytes and scaffold structures with recipient tissue. To overcome this
limitation, an expandable scaffold with a honeycomb-like structure has been
developed using microfluidic technology. In this study, we evaluated the
performance of this expandable gelatin scaffold seeded with rabbit chondrocytes
in vivo. The chondrocyte/scaffold constructs were implanted into regions of
surgically introduced cylindrical osteochondral defects in rabbit femoral
condyles. At 2, 4, and 6 months postsurgery, the implanted constructs were
evaluated by gross and histological examinations. As expected, the osteochondral
defects, which were untreated or transplanted with blank scaffolds, showed no
signs of repair, whereas the defects transplanted with chondrocyte/scaffold
constructs showed significant cartilage regeneration. Furthermore, the expandable
scaffolds seeded with chondrocytes had more regenerated cartilage tissue and
better integration with the recipient tissue than autologous chondrocyte
implantation. Biomechanical tests revealed that the chondrocyte/scaffold group
had the highest compressive strength among all groups at all three time points
and endured a similar compressive force to normal cartilage after 6 months of
implantation. Histological examinations revealed that the chondrocytes were
distributed uniformly within the scaffolds, maintained a normal phenotype, and
secreted functional components of the extracellular matrix. Histomorphometric
assessment showed a remarkable total interface of up to 87% integration of the
expandable scaffolds with the host tissue at 6 months postoperation. In
conclusion, the expandable scaffolds improved chondrocyte/scaffold construct
integration with the host tissue and were beneficial for cartilage repair.
PMID- 27193500
TI - Covalent non-fused tetrathiafulvalene-acceptor systems.
AB - Covalent donor-acceptor (D-A) systems have significantly contributed to the
development of many organic materials and to molecular electronics.
Tetrathiafulvalene (TTF) represents one of the most widely studied donor
precursors and has been incorporated into the structure of many D-A derivatives
with the objective of obtaining redox control and modulation of the
intramolecular charge transfer (ICT), in order to address switchable emissive
systems and to take advantage of its propensity to form regular stacks in the
solid state. In this review, we focus on the main families of non-fused TTF
acceptors, which are classified according to the nature of the acceptor: nitrogen
containing heterocycles, BODIPY, perylenes and electron poor unsaturated
hydrocarbons, as well as radical acceptors. We describe herein the most
representative members of each family with a brief mention of their synthesis and
a special focus on their D-A characteristics. Special attention is given to ICT
and its modulation, fluorescence quenching and switching, photoconductivity,
bistability and spin distribution by discussing and comparing spectroscopic and
electrochemical features, photophysical properties, solid-state properties and
theoretical calculations.
PMID- 27193495
TI - A cure for the blues: opsin duplication and subfunctionalization for short
wavelength sensitivity in jewel beetles (Coleoptera: Buprestidae).
AB - BACKGROUND: Arthropods have received much attention as a model for studying opsin
evolution in invertebrates. Yet, relatively few studies have investigated the
diversity of opsin proteins that underlie spectral sensitivity of the visual
pigments within the diverse beetles (Insecta: Coleoptera). Previous work has
demonstrated that beetles appear to lack the short-wavelength-sensitive (SWS)
opsin class that typically confers sensitivity to the "blue" region of the light
spectrum. However, this is contrary to established physiological data in a number
of Coleoptera. To explore potential adaptations at the molecular level that may
compensate for the loss of the SWS opsin, we carried out an exploration of the
opsin proteins within a group of beetles (Buprestidae) where short-wave
sensitivity has been demonstrated. RNA-seq data were generated to identify opsin
proteins from nine taxa comprising six buprestid species (including three
male/female pairs) across four subfamilies. Structural analyses of recovered
opsins were conducted and compared to opsin sequences in other insects across the
main opsin classes-ultraviolet, short-wavelength, and long-wavelength. RESULTS:
All nine buprestids were found to express two opsin copies in each of the
ultraviolet and long-wavelength classes, contrary to the single copies recovered
in all other molecular studies of adult beetle opsin expression. No SWS opsin
class was recovered. Furthermore, the male Agrilus planipennis (emerald ash borer
EAB) expressed a third LWS opsin at low levels that is presumed to be a larval
copy. Subsequent homology and structural analyses identified multiple amino acid
substitutions in the UVS and LWS copies that could confer short-wavelength
sensitivity. CONCLUSIONS: This work is the first to compare expressed opsin genes
against known electrophysiological data that demonstrate multiple peak
sensitivities in Coleoptera. We report the first instance of opsin duplication in
adult beetles, which occurs in both the UVS and LWS opsin classes. Through
structural comparisons of known insect opsins, we suggest that opsin duplication
and amino acid variation within the chromophore binding pocket explains
sensitivity in the short-wavelength portion of the visible light spectrum in
these species. These findings are the first to reveal molecular complexity of the
color vision system within beetles.
PMID- 27193501
TI - Implementing a culturally appropriate birthing policy: Ethnographic analysis of
the experiences of skilled birth attendants in Peru.
AB - Policies about skilled birth attendants (SBA) need to account for cultural
acceptability of care rendered by SBAs. Few studies have assessed these policies
from the perspective of SBAs. I identify challenges and analyze the experiences
of SBAs implementing a culturally appropriate birth care policy in Peru. I
collected data during 15 months of ethnographic research: conducting semi
structured interviews and informal conversations with 5 SBAs in 2 villages and
with health officials. I compared interview results with my observations of
consultations and of labor and delivery. Weak institutional support, lack of
training, negative perceptions of the policy inhibited implementation, as did
detrimental effects on future personal and professional opportunities. SBAs in
Peru face many challenges when trying to establish intercultural birth care. My
recommendations include provision of focused training, promoting a more diverse
workforce, and increasing community engagement.Journal of Public Health Policy
advance online publication, 19 May 2016; doi:10.1057/jphp.2016.19.
PMID- 27193502
TI - A review of health literacy: Definitions, interpretations, and implications for
policy initiatives.
AB - Definitions and interpretations of 'health literacy' have important implications
for the delivery of health care and for health policy-related initiatives. We
conducted a systematic review and critical analysis to determine the extent to
which definitions of health literacy differ in the academic literature, the
similarities and differences across definitions, and possible interpretations for
the most commonly used definitions. We identified 250 different definitions of
health literacy and grouped them into three categories: (i) most commonly used
definitions (n=6), (ii) modified versions of these most commonly used definitions
(n=133), and (iii) 'other' definitions (n=111). We found the most commonly used
definitions to be open to multiple interpretations and to reflect underlying
assumptions that are not always justifiable. Attention is needed to the ways in
which differing definitions and interpretations of health literacy may affect
patient care and the delivery of health literacy-related policy
initiatives.Journal of Public Health Policy advance online publication, 19 May
2016; doi:10.1057/jphp.2016.18.
PMID- 27193499
TI - Rapid Inhibition Profiling in Bacillus subtilis to Identify the Mechanism of
Action of New Antimicrobials.
AB - Increasing antimicrobial resistance has become a major public health crisis. New
antimicrobials with novel mechanisms of action (MOA) are desperately needed. We
previously developed a method, bacterial cytological profiling (BCP), which
utilizes fluorescence microscopy to rapidly identify the MOA of antimicrobial
compounds. BCP is based upon our discovery that cells treated with antibiotics
affecting different metabolic pathways generate different cytological signatures,
providing quantitative information that can be used to determine a compound's
MOA. Here, we describe a system, rapid inhibition profiling (RIP), for creating
cytological profiles of new antibiotic targets for which there are currently no
chemical inhibitors. RIP consists of the fast, inducible degradation of a target
protein followed by BCP. We demonstrate that degrading essential proteins in the
major metabolic pathways for DNA replication, transcription, fatty acid
biosynthesis, and peptidoglycan biogenesis in Bacillus subtilis rapidly produces
cytological profiles closely matching that of antimicrobials targeting the same
pathways. Additionally, RIP and antibiotics targeting different steps in fatty
acid biosynthesis can be differentiated from each other. We utilize RIP and BCP
to show that the antibacterial MOA of four nonsteroidal anti-inflammatory
antibiotics differs from that proposed based on in vitro data. RIP is a versatile
method that will extend our knowledge of phenotypes associated with inactivating
essential bacterial enzymes and thereby allow for screening for molecules that
inhibit novel essential targets.
PMID- 27193503
TI - Nickel-Catalyzed Alkylative Cross-Coupling of Anisoles with Grignard Reagents via
C-O Bond Activation.
AB - We report nickel-catalyzed cross-coupling of methoxyarenes with alkylmagnesium
halides, in which a methoxy group is eliminated. A wide range of alkyl groups,
including those bearing beta-hydrogens, can be introduced directly at the ipso
position of anisole derivatives. We demonstrate that the robustness of a methoxy
group allows this alkylation protocol to be used to synthesize elaborate
molecules by combining it with traditional cross-coupling reactions or oxidative
transformation. The success of this method is dependent on the use of
alkylmagnesium iodides, but not chlorides or bromides, which highlights the
importance of the halide used in developing catalytic reactions using Grignard
reagents.
PMID- 27193504
TI - Highly conformal fabrication of nanopatterns on non-planar surfaces.
AB - While the number of techniques for patterning materials at the nanoscale
exponentially increases, only a handful of methods approach the conformal
patterning of strongly non-planar surfaces. Here, using the direct surface self
assembly of colloids by electrostatics, we produce highly conformal bottom-up
nanopatterns with a short-range order. We illustrate the potential of this
approach by devising functional nanopatterns on highly non-planar substrates such
as pyramid-textured silicon substrates and inherently rough polycrystalline
films. We further produce functionalized polycrystalline thin-film silicon solar
cells with enhanced optical performance. The perspective presented here to
pattern essentially any surface at the nanoscale, in particular surfaces with
high inherent roughness or with microscale features, opens new possibilities in a
wide range of advanced technologies from affordable photovoltaics and
optoelectronics to cellular engineering.
PMID- 27193506
TI - Potential human health risk assessment of trace metals via the consumption of
marine fish in Persian Gulf.
AB - This study was carried out to evaluate the concentration of trace metals (Cd, Cu,
Ni, Pb and Zn) in the muscle of four fish species from the Persian Gulf. Trace
metals were analyzed using atomic absorption spectroscopy and consumption rates
advisory for minimizing chronic systemic effects in children and adults were
estimated. The metals concentrations in analyzed fish samples were lower than
legal limits. Cadmium target hazard quotient values suggested that the threshold
to avoid the potential risk for children health is an exposure level lower than 3
meals per week. Hazard index values based on four metals (not including Pb) for
the child age class were higher than those of the adult age class, suggesting
that children may suffer from a higher health risk. This study provides
information about the consumption limits of certain metals, in particular Cd,
necessary for minimizing potential health risks resulting from human consumption.
PMID- 27193505
TI - Synthesis, antimicrobial and anticonvulsant screening of small library of
tetrahydro-2H-thiopyran-4-yl based thiazoles and selenazoles.
AB - Synthesis and investigation of antimicrobial activity of 22 novel thiazoles and
selenazoles derived from dihydro-2H-thiopyran-4(3H)-one are presented.
Additionally, anticonvulsant activity of six derivatives is examinated. Among the
derivatives, compounds 4a-f, 4i, 4k, 4 l, 4n, 4o-s and 4v have very strong
activity against Candida spp. with MIC = 1.95-15.62 MUg/ml. In the case of
compounds 4a-f, 4i, 4k, 4 l, 4n, 4o, 4r and 4s, the activity is very strong
against some strains of Candida spp. isolated from clinical materials, with MIC =
0.98 to 15.62 MUg/ml. Additionally, compounds 4n-v are found to be active against
Gram-positive bacteria with MIC = 7.81-62.5 MUg/ml. The results of anticonvulsant
screening reveal that compounds 4a, 4b, 4m and 4n demonstrate a statistically
significant anticonvulsant activity in the pentylenetetrazole model, whereas
compounds 4a and 4n showed protection in 6-Hz psychomotor seizure model.
Noteworthy, none of these compounds impaired animals' motor skills in the rotarod
test. We also performed quantum chemical calculation of interaction and binding
energies in complex of 4a with cyclodextrin.
PMID- 27193507
TI - Nano-electro-mechanical pump: Giant pumping of water in carbon nanotubes.
AB - A fully controllable nano-electro-mechanical device that can pump fluids at
nanoscale is proposed. Using molecular dynamics simulations, we show that an
applied electric field to an ion@C60 inside a water-filled carbon nanotube can
pump water with excellent efficiency. The key physical mechanism governing the
fluid pumping is the conversion of electrical energy into hydrodynamic flow with
efficiencies as high as 64%. Our results show that water can be compressed up to
7% higher than its bulk value by applying electric fields. High flux of water (up
to 13,000 molecules/ns) is obtained by the electro-mechanical, piston-cylinder
like moving mechanism of the ion@C60 in the CNT. This large flux results from the
piston-like mechanism, compressibility of water (increase in density of water due
to molecular ordering), orienting dipole along the electric field and efficient
electrical to mechanical energy conversion. Our findings can pave the way towards
efficient energy conversion, pumping of fluids at nanoscale, and drug delivery.
PMID- 27193509
TI - Under-Liquid Self-Assembly of Submerged Buoyant Polymer Particles.
AB - The self-assembly of submerged cold-plasma-treated polyethylene beads (PBs) is
reported. The plasma-treated immersed millimetrically sized PBs formed well
ordered 2D quasicrystalline structures. The submerged floating of "light"
(buoyant) PBs is possible because of the energy gain achieved by the wetting of
the high-energy plasma-treated polymer surface prevailing over the energy loss
due to the upward climb of the liquid over the beads. The capillary "immersion"
attraction force is responsible for the observed self-assembly. The observed 2D
quasicrystalline structures demonstrate "dislocations" and "point defects". The
mechanical vibration of self-assembled rafts built of PBs leads to the healing of
point defects. The immersion capillary lateral force governs the self-assembly,
whereas the elastic force is responsible for the repulsion of polymer beads.
PMID- 27193508
TI - Intraprocedural balloon dilation of the direct flow medical transcatheter aortic
valve: First United States experience.
AB - Optimization of hemodynamics during transcatheter aortic valve replacement (TAVR)
using the commercially available balloon expandable and self-expanding valves is
often accomplished through post-dilation of the valves' metallic frame. The
direct flow medical (DFM) valve is a uniquely designed second-generation TAVR
prosthetic without a rigid metallic frame. It is not widely known whether balloon
dilation of this valve is beneficial when valve hemodynamics after initial
deployment suboptimal due to valve underexpansion. We present the first two US
patients who underwent TAVR using the DFM valve who had underexpansion in the
setting of bulky leaflet calcification that resulted in elevated valve gradients
and were treated successfully using intraprocedural balloon dilation. (c) 2016
Wiley Periodicals, Inc.
PMID- 27193511
TI - Triboluminescence dominated by crystallographic orientation.
AB - Triboluminescence (TL) is an optical phenomenon that has a long and varied
history with broad applications, such as damage detection, X-ray source, and mass
health monitoring sensor. So far, the properties and mechanisms of TL remain not
completely understood. The TL properties emitted during the sliding contact
between Al2O3 and SiO2 surfaces were studied along different crystallographic
orientations. In this study, the TL intensity of Al2O3 was significantly enhanced
as Al2O3 surface was along a particular crystallographic orientation, which is an
unconventional phenomenon. TL enhancement of Al2O3 was not affected by air
atmosphere and atomic stocking mode of Al2O3. The enhancement mechanism of Al2O3
may be influenced by the surface state of Al2O3. This work provides a new method
to control the intensity of TL and novel ideas to elucidate the TL mechanism.
PMID- 27193510
TI - Dermal adipocytes' claim for fame in psoriasis.
PMID- 27193513
TI - Revealing oxidative damage to enzymes of carbohydrate metabolism in yeast: An
integration of 2D DIGE, quantitative proteomics, and bioinformatics.
AB - Clinical usage of lidocaine, a pro-oxidant has been linked with severe, mostly
neurological complications. The mechanism(s) causing these complications is
independent of the blockade of voltage-gated sodium channels. The budding yeast
Saccharomyces cerevisiae lacks voltage-gated sodium channels, thus provides an
ideal system to investigate lidocaine-induced protein and pathway alterations.
Whole-proteome alterations leading to these complications have not been
identified. To address this, S. cerevisiae was grown to stationary phase and
exposed to an LC50 dose of lidocaine. The differential proteomes of lidocaine
treatment and control were resolved 6 h post exposure using 2D DIGE. Amine
reactive dyes and carbonyl reactive dyes were used to assess protein abundance
and protein oxidation, respectively. Quantitative analysis of these dyes (? 1.5
fold alteration, p ? 0.05) revealed a total of 33 proteoforms identified by MS
differing in abundance and/or oxidation upon lidocaine exposure. Network analysis
showed enrichment of apoptotic proteins and cell wall maintenance proteins, while
the abundance of proteins central to carbohydrate metabolism, such as
triosephosphate isomerase and glyceraldehyde-3-phosphate dehydrogenase, and redox
proteins superoxide dismutase and peroxiredoxin were significantly decreased.
Enzymes of carbohydrate metabolism, such as phosphoglycerate kinase and enolase,
the TCA cycle enzyme aconitase, and multiple ATP synthase subunits were found to
be oxidatively modified. Also, the activity of aconitase was found to be
decreased. Overall, these data suggest that toxic doses of lidocaine induce
significant disruption of glycolytic pathways, energy production, and redox
balance, potentially leading to cell malfunction and death.
PMID- 27193514
TI - Clinically-oriented proteomic investigation of sickle cell disease: Opportunities
and challenges.
AB - Sickle cell disease (SCD) is an autosomal recessively inherited beta
hemoglobinopathy causing a sickling hemoglobin (HbS) to be expressed in the
erythrocyte. Due its unique biophysical properties and tendency to form polymers
in deoxygenated conditions, HbS causes dramatic erythrocyte deformation and
damage ultimately leading to diffuse hemolysis, vasco-occlusion, and vasculopathy
in affected individuals. Albeit SCD was the first molecular disease identified in
the human several decades ago, the progress in caring for patients with SCD has
been globally limited and faces considerable biological, medical, psychological,
and economic challenges. The aim of this review is to illustrate the
opportunities lying ahead for proteomic discovery in SCD. It also establishes a
tentative conceptual framework for clinically oriented investigations. The
ultimate target is the translation of findings into validated and actionable
improvements at the bedside. Thanks to significant technological advances,
proteomics is poised to play an important role for patients affected by
hematological disorders, and SCD could be a paradigm for impactful research.
PMID- 27193512
TI - Improving the uptake of pre-travel health advice amongst migrant Australians:
exploring the attitudes of primary care providers and migrant community groups.
AB - BACKGROUND: Migrant travellers who return to their country of origin to visit
family and friends (VFR) are less likely to seek travel-related medical care and
are less likely to adhere to recommended medications and travel precautions.
Through this study, we aimed to get an understanding of the views of stakeholders
from community migrant centres and primary care providers on barriers for
migrants, particularly from non-English speaking backgrounds, in accessing travel
health advice and the strategies that could be used to engage them. METHODS: A
qualitative study involving 20 semi-structured interviews was undertaken in
Sydney, Australia between January 2013 and September 2014. Thematic analysis was
undertaken. RESULTS: Language barriers, a lower perceived risk of travel-related
infections and the financial costs of seeking pre-travel health care were
nominated as being the key barriers impacting on the uptake of pre-travel health
advice and precautions. To overcome pre-existing language barriers, participants
advocated for the use of bilingual community educators, community radio, ethnic
newspapers and posters in the dissemination of pre-travel health information.
CONCLUSIONS: Travel is a major vector of importation of infectious diseases into
Australia, and VFR travellers are at high risk of infection. Collaboration
between the Government, primary care physicians, migrant community groups and
migrants themselves is crucial if we are to be successful in reducing travel
related risks among this subgroup of travellers.
PMID- 27193515
TI - Functional and Taxonomic Diversity of Stinging Wasps in Brazilian Atlantic
Rainforest Areas.
AB - Vespoidea are the most functionally diverse superfamily of Hymenoptera.
Ecological studies involving this family are primarily based on eusocial groups,
including ants and social paper wasps. In the present study, we examine stinging
wasp (Vespoidea) faunal diversity in the Atlantic Rain Forest, which is one of
the most diverse and threatened ecosystems in the World. Three conservation areas
were sampled employing a standardized sample protocol. Families and functional
groups of Vespoidea were collected in each area, with the exception ants
(Formicidae), and analyzed using diversity analyses, to generate taxonomic
diversity and distinctness indices. Results indicated Pompilidae was the most
diverse family, and the idiobiont parasitoid type was the most diverse functional
group in the three study areas. Nucleo Picinguaba of the Parque Estadual da Serra
do Mar was taxonomically and functionally the most diverse and species rich area.
Parque Estadual Intervales showed the highest number of dominant species and
diversity of koinobiont parasitoids, while the Rebio Sooretama exhibited a
decrease in several diversity parameters.
PMID- 27193517
TI - Workers' Extra-Nest Behavioral Changes During Colony Fission in Dinoponera
quadriceps (Santschi).
AB - Ant colonies can reproduce by two strategies: independent foundation, wherein the
queen starts a new colony alone, and dependent foundation, in which workers
assist the queen. In the queenless species Dinoponera quadriceps (Santschi), the
colony reproduces obligatorily by fission, a type of dependent foundation, but
this process is not well understood. This study describes a colony fission event
of D. quadriceps in the field and analyzes the influence of the fission process
on workers' extra-nest behavior. Based on observations of workers outside the
nest, five distinct stages were identified: monodomic stage, polydomic stage,
split stage, conflict stage, and post-conflict stage. The colony was initially
monodomic and then occupied a second nest before it split into two independent
colonies, indicating a gradual and opportunistic dependent foundation. After the
fission event, the daughter colony had aggressive conflicts with the parental
colony, resulting in the latter's disappearance. Colony fission affected workers'
extra-nest behavior by increasing the frequency of rubbing the gaster against the
substrate (which probably has a chemical marking function) and by decreasing the
frequency of foraging during the split stage. After the fission event, the number
of foragers was halved and foragers remained nearer to the nest during extra-nest
activity. The spatial closeness of the parental and daughter colonies led to
competition that caused the extinction or migration of the parental colony.
Intraspecific competition was indicated by foraging directionality at the colony
level, whereby areas of neighbor colonies were avoided; this directionality was
stronger while both colonies coexisted.
PMID- 27193516
TI - Identification and Expression Profile of Two Putative Odorant-Binding Proteins
from the Neotropical Brown Stink Bug, Euschistus heros (Fabricius) (Hemiptera:
Pentatomidae).
AB - This work presents the first identification of putative odorant-binding proteins
(OBPs) from a member of the Pentatomidae, i.e. the brown stink bug Euschistus
heros (Fabricius), an important pest of soybean in Brazil. Antennae from both
sexes of E. heros adults (12 days old and unmated) were used to construct a cDNA
library, from which two transcripts encoding putative E. heros OBPs (EherOBPs)
were identified. The expression levels of EherOBP1 and EherOBP2 were found to be
higher in male antennae than in female and there was difference in expression in
legs, wings, and abdomens of the two sexes. The histolocalization of EherOBP1 and
EherOBP2 transcripts in antennae also showed a sexual dimorphism in the
chemoreception system, with different expression sites in the antennal segments
between males and females, occurring predominantly at the base of the sensillum.
The implications of these findings for stink bug chemoreception are discussed.
PMID- 27193518
TI - Blowflies (Diptera, Calliphoridae) Associated with Pig Carcasses in a Caatinga
Area, Northeastern Brazil.
AB - Studies that focused on Calliphoridae associated with pig carcasses are abundant
in southern and southeastern Brazil; however, there are few in northeast. Here,
we present an inventory of the blowfly species associated with the stages of
decomposition of pig carcasses in a caatinga area during dry and rainy seasons.
The study took place at the Private Reserve for the Environmental Inheritance
"Fazenda Almas," state of Paraiba, Brazil. Using a modified version of the
Shannon trap, 32,909 adult specimens belonging to eight species were captured.
During the dry season, Cochliomyia macellaria (Fabricius) (52.2%) and Chrysomya
albiceps (Wiedemann) (39.9%) were the most abundant species. In the rainy season,
when the majority of individuals were captured (93.7%), Chloroprocta idioidea
(Robineau-Desvoidy) (71.1%) was the most abundant. Five decomposition stages were
recognized, being the active decay the most attractive to colonization by
blowflies, except for Lucilia eximia (Wiedemann), which was more abundant in the
bloated stage.
PMID- 27193519
TI - Resource Utilization and Temporal Segregation of Scarabaeinae (Coleoptera,
Scarabaeidae) Community in a Caatinga Fragment.
AB - We characterized dung beetles food preference and diel activity and examined the
way such characteristics may structure a Scarabaeinae community in a dry forest.
We sampled a fragment of Arboreal Caatinga in Milagres, Bahia, Brazil, during the
dry and wet seasons, using baited pitfall (bovine spleen, human feces, cow dung,
and rotten banana). Species were classified by activity (nocturnal and diurnal)
and food preference (coprophagous, necrophagous, saprophagous, copro
necrophagous, and generalist). In total, 1,581 individuals belonging to 16
morphospecies were sampled, with six new records for Caatinga. The dung beetles
were mainly from generalist and coprophagous species; seven species presented
nocturnal activity, and five were diurnal. There was higher species richness
during the day and greater abundance during the night. Species composition
differences were influenced by functional guilds and beetle size according to
temporal segregation. These factors may be related to physiological,
morphological, and behavioral differences.
PMID- 27193520
TI - The Usefulness of the Sting Apparatus in Phylogenetic Reconstructions in Vespids,
with Emphasis on the Epiponini: More Support for the Single Origin of Eusociality
in the Vespidae.
AB - This study aimed at testing the utility of characters derived from chitinous
structures of the sting apparatus for elucidating relationships among the genera
of Epiponini. The characters were obtained from the spiracular and quadrate
plates, gonostylus, and sting. The data matrix was analyzed using parsimony with
equal and implied weighting. Sting characters were also optimized on the tree of
Wenzel & Carpenter (1994). Consensus of analysis using equal weights parsimony
resulted in a tree with low resolution, but the use of implied weighting improved
the results and a consensus tree with a better resolution was obtained. Implied
weighting analysis showed an interesting result with Vespinae and Epiponini (the
taxa that present the highest degree of sociality) together in a clade. The
overall uniformity in morphology of sting apparatus and a possible influence of
sociality on morphology could explain these results. The evolution of some
characters is discussed.
PMID- 27193521
TI - A Review of the Copitarsia decolora (Guenee) (Lepidoptera: Noctuidae) Species
Complex with the Description of a New Species from Chile and Argentina.
AB - Copitarsia gibberosa n. sp. is described from Chile and Argentina. Morphological
characters are discussed to differentiate it from Copitarsia decolora (Guenee),
Copitarsia incommoda (Walker), and Copitarsia corruda (Pogue & Simmons).
Copitarsia corruda has its status revised based on CO1 and morphology. Copitarsia
paraturbata Castillo & Angulo is a new synonym of C. incommoda based on
morphology. Copitarsia uncilata Burgos & Leiva is a new synonym of C. decolora
based on morphology. A review of recent literature revealed a misunderstanding of
the complex of species related to C. decolora, and these papers are evaluated and
species are identified. Host plant utilization is discussed between C. decolora
and C. corruda. Adults and male and female genitalia are illustrated to
differentiate between the species in the C. decolora species complex. Keys to
male and females based on genitalic morphology are given. Distribution maps of
collected specimens are provided.
PMID- 27193522
TI - Side Effects of Neem Oil on the Midgut Endocrine Cells of the Green Lacewing
Ceraeochrysa claveri (Navas) (Neuroptera: Chrysopidae).
AB - We described the ultrastructure of Ceraeochrysa claveri (Navas) midgut endocrine
cells in larva, pupa, and adult, and evaluated the side effects of ingested neem
oil, a botanical insecticide obtained from the seeds of the neem tree
(Azadirachta indica), on these cells. During the larval period, C. claveri were
fed (ad libitum) Diatraea saccharalis (F.) eggs treated with neem oil at
concentrations of 0.5%, 1%, or 2%. Transmission electron microscopy showed that
two subtypes of endocrine cells, namely granular and vesicular, occurred in the
midgut epithelium during the three stages of the life cycle. Both cell types did
not reach the midgut lumen and were positioned basally in the epithelium. The
endocrine cells did not show extensive infoldings of the basal plasma membrane,
and there were numerous secretory granules in the basal region of the cytoplasm.
In the granular endocrine cells, the granules were completely filled with a dense
matrix. In the vesicular endocrine cells, the main secretory products consisted
of haloed vesicles. Ultrastructural examination indicated that only the granular
endocrine cells exhibited signs of morphologic changes of cell injury present in
all life cycle stages after the larvae were chronically exposed to neem oil by
ingestion. The major cellular damage consisted of dilatation and vesiculation of
the rough endoplasmic reticulum and the development of smooth endoplasmic
reticulum and mitochondrial swelling. Our data suggest that cytotoxic effects on
midgut endocrine cells can contribute to a generalized disruption of the
physiological processes in this organ due to a general alteration of endocrine
function.
PMID- 27193523
TI - Further Ergatoid Gyne Records in the Ant Tribe Dacetini (Formicidae: Myrmicinae).
AB - Variants of gynes and workers occur in a substantial number of ant species;
however, dacetine ergatoid gynes have been recorded just once in the literature
thus far. In the present paper, we provide abridged descriptions of five ergatoid
female reproductives in five species of Neotropical dacetines belonging to two
genera: Acanthognathus brevicornis Smith, Acanthognathus ocellatus Mayr,
Acanthognathus rudis Brown & Kempf, Strumigenys cosmostela Kempf, and Strumigenys
hindenburgi Forel. We also compare the ergatoids with conspecific gynes and
workers.
PMID- 27193524
TI - Allometry for Sexual Size Dimorphism in Stoneflies Defies the Rensch's Rule.
AB - The Rensch's rule predicts that male-biased sexual size dimorphism (SSD)
increases with body size, while female-biased SSD (FBSSD) decreases. In insects,
many groups follow this rule, but the evidence suggests that it is taxon
dependent and that the inverse of the rule can occur in species with FBSSD.
Therefore, we conducted this study with Gripopterygidae stoneflies (Plecoptera)
to describe their pattern of SSD and determine if they follow the Rensch's rule.
Our data suggest that stoneflies exhibit FBSSD and do not follow the rule, but a
reverse pattern. Our results corroborate other studies that suggest that the
Rensch's rule is taxon based and that groups with FBSSD usually fail to obey the
rule.
PMID- 27193525
TI - Temperature-Dependent Parasitism, Survival, and Longevity of Five Species of
Trichogramma Westwood (Hymenoptera: Trichogrammatidae) Associated with Anticarsia
gemmatalis Hubner (Lepidoptera: Noctuidae).
AB - Egg parasitoids of the genus Trichogramma Westwood play an important role in the
control of the velvetbean caterpillar Anticarisa gemmatalis Hubner in soybean
crops in Southern Brazil. The effectiveness of Trichogramma species as biocontrol
agents is dependent of several factors, but their adaptation to the climatic
conditions of the region where they will be released is one of the most
important. In this context, this study evaluated the effects of five constant
temperatures ranging from 14 to 30 degrees C on parasitism, age-specific
survival, progeny production and longevity of Trichogramma pretiosum Riley,
Trichogramma atopovirilia Oatman & Platner, Trichogramma acacioi Brun, Moraes &
Soares, Trichogramma lasallei Pinto, and Trichogramma rojasi Nagaraja &
Nagarkatti. We demonstrate that temperature differently affected the species of
Trichogramma investigated when using eggs of the velvetbean caterpillar. We also
demonstrate that T. pretiosum and T. atopovirilia are better adapted to a wide
range of temperatures than the other species evaluated, and are therefore better
suited as biocontrol agents for applied biological control programs of A.
gemmatalis.
PMID- 27193526
TI - Biology of the Two-Spotted Spider Mite on Strawberry Plants.
AB - The two-spotted spider mite, Tetranychus urticae Koch, is commonly found on
strawberry crops (Fragaria x ananassa). Strawberry plants have defensive
mechanisms, which in turn influence the behavior of herbivores. The oviposition
and development of the two-spotted spider mite were evaluated on the leaf disks
of the cultivars 'Aromas,' 'Camarosa,' 'Camino Real,' 'Diamante,' 'Diamante 10,'
'Diamante 50,' 'Festival,' and 'Seascape.' It was observed that on cultivars such
as 'Aromas,' 'Camarosa,' and 'Seascape,' immature survivorship was higher, but no
difference was found during the developmental period from egg to adult of T.
urticae. The immature development time was also longer on 'Camarosa.' Females
laid more eggs on 'Seascape' (8.4 eggs/day), and the least on 'Camarosa' (1.0
egg/day). Mortality was higher at the larval stage and reached more than 50% in
three cultivars 'Camarosa,' 'Diamante,' and 'Seascape.' Thus, the cultivars
'Camarosa,' 'Diamante,' and 'Seascape' were the ones that mostly affected the
survival, development, and reproduction of T. urticae.
PMID- 27193527
TI - Records of Natural Enemies of Bemisia tabaci (Genn.) (Hemiptera: Aleyrodidae)
Biotype B in Brazil.
AB - Collections of natural enemies of Bemisia tabaci biotype B (Genn.) (Hemiptera:
Aleyrodidae) were made in Lavras, state of Minas Gerais, Brazil. In the
greenhouse, 6,495 predators and 16,628 parasitoids belonging to three families
were collected. In the field, 267 predators and 344 parasitoids belonging to five
families were found. For the first time in Brazil, five species of predators
associated with this whitefly were reported. Because of the diversity of natural
enemies of B. tabaci biotype B recorded, this study points out the importance of
these data for studies on integrated pest management.
PMID- 27193528
TI - Atraumatic splenic rupture, an underrated cause of acute abdomen.
PMID- 27193529
TI - Multidetector CT of expected findings and early postoperative complications after
current techniques for ventral hernia repair.
AB - Current techniques for ventral hernia repair (VHR) rely on prosthetic mesh
implantation and are increasingly performed laparoscopically. Potentially serious
iatrogenic complications may occur following VHR, though these are rare compared
to the vast number of procedures performed each year. This paper provides an
overview of contemporary open and laparoscopic surgical techniques and
biomaterials, then reviews and illustrates the expected postoperative imaging
appearances, and common and unusual early complications after VHR. Emphasis is
placed on multidetector computed tomography (CT), which comprehensively
visualizes the operated anterior abdominal wall and deeper intra-abdominal
structures. CT consistently allows diagnosis of postoperative seromas, abdominal
wall abscesses and fistulas, haemorrhages with or without active bleeding, bowel
obstruction, peritonitis and recurrent hernias, and thus providing a reliable
basis for an appropriate choice between conservative, interventional, or surgical
treatment. Familiarity with early post-surgical CT is warranted to avoid
misinterpretation of the expected imaging appearance and correctly elucidate
postoperative complications after VHR. TEACHING POINTS: * Open and laparoscopic
repair of ventral hernias rely on prosthetic mesh implantation. * Potentially
serious iatrogenic complications occasionally occur after ventral hernioplasty. *
Multidetector CT consistently evaluates the operated abdominal wall and deeper
structures. * Familiarity with the expected early postoperative imaging
appearance is required. * Complications include seroma, infections, haemorrhage,
bowel obstruction, peritonitis, and recurrence.
PMID- 27193530
TI - Effective techniques for changing physical activity and healthy eating intentions
and behaviour: A systematic review and meta-analysis.
AB - PURPOSE: The primary aim of this study was to review the evidence on the impact
of a change in intention on behaviour and to identify (1) behaviour change
techniques (BCTs) associated with changes in intention and (2) whether the same
BCTs are also associated with changes in behaviour. METHODS: A systematic review
was conducted to identify interventions that produced a significant change in
intention and assessed the impact of this change on behaviour at a subsequent
time point. Each intervention was coded using a taxonomy of BCTs targeting
healthy eating and physical activity. A series of meta-regression analyses were
conducted to identify effective BCTs. RESULTS: In total, 25 reports were
included. Interventions had a medium-to-large effect on intentions (d+ = 0.64)
and a small-to-medium effect (d+ = 0.41) on behaviour. One BCT, 'provide
information on the consequences of behaviour in general', was significantly
associated with a positive change in intention. One BCT, 'relapse
prevention/coping planning', was associated with a negative change in intention.
No BCTs were found to have significant positive effects on behaviour. However,
one BCT, 'provide feedback on performance', was found to have a significant
negative effect. BCTs aligned with social cognitive theory were found to have
significantly greater positive effects on intention (d+ = 0.83 vs. 0.56, p <
.05), but not behaviour (d+ = 0.35 vs. 0.23, ns), than those aligned with the
theory of planned behaviour. CONCLUSIONS: Although the included studies support
the notion that a change in intention is associated with a change in behaviour,
this review failed to produce evidence on how to facilitate behaviour change
through a change in intention. Larger meta-analyses incorporating interventions
targeting a broader range of behaviours may be warranted. Statement of
contribution What is already known on this subject? Prior research on the causal
relationship between intention and behaviour has produced mixed findings. Further
experimental research to determine the precise nature of these variables is
clearly warranted. However, precise guidance on how to change intention is still
lacking. What does this study add? This study aimed to identify behaviour change
techniques associated with changes in intention and behaviour. Techniques with
positive effects on intention were identified; however, these did not have an
impact on behaviour. Larger meta-analyses incorporating interventions targeting a
broader range of behaviours may be warranted.
PMID- 27193531
TI - Competing covalent and ionic bonding in Ge-Sb-Te phase change materials.
AB - Ge2Sb2Te5 and related phase change materials are highly unusual in that they can
be readily transformed between amorphous and crystalline states using very fast
melt, quench, anneal cycles, although the resulting states are extremely long
lived at ambient temperature. These states have remarkably different physical
properties including very different optical constants in the visible in strong
contrast to common glass formers such as silicates or phosphates. This behavior
has been described in terms of resonant bonding, but puzzles remain, particularly
regarding different physical properties of crystalline and amorphous phases. Here
we show that there is a strong competition between ionic and covalent bonding in
cubic phase providing a link between the chemical basis of phase change memory
property and origins of giant responses of piezoelectric materials (PbTiO3,
BiFeO3). This has important consequences for dynamical behavior in particular
leading to a simultaneous hardening of acoustic modes and softening of high
frequency optic modes in crystalline phase relative to amorphous. This different
bonding in amorphous and crystalline phases provides a direct explanation for
different physical properties and understanding of the combination of long time
stability and rapid switching and may be useful in finding new phase change
compositions with superior properties.
PMID- 27193533
TI - The Biophysics of Infection.
AB - Our understanding of the processes involved in infection has grown enormously in
the past decade due in part to emerging methods of biophysics. This new insight
has been enabled through advances in interdisciplinary experimental technologies
and theoretical methods at the cutting-edge interface of the life and physical
sciences. For example, this has involved several state-of-the-art biophysical
tools used in conjunction with molecular and cell biology approaches, which
enable investigation of infection in living cells. There are also new, emerging
interfacial science tools which enable significant improvements to the resolution
of quantitative measurements both in space and time. These include single
molecule biophysics methods and super-resolution microscopy approaches. These new
technological tools in particular have underpinned much new understanding of
dynamic processes of infection at a molecular length scale. Also, there are many
valuable advances made recently in theoretical approaches of biophysics which
enable advances in predictive modelling to generate new understanding of
infection. Here, I discuss these advances, and take stock on our knowledge of the
biophysics of infection and discuss where future advances may lead.
PMID- 27193532
TI - Hyponatremia in patients with systemic lupus erythematosus.
AB - The aim of this study was to determine whether decreased serum sodium
concentration could be associated with the disease activity in SLE. We
retrospectively analyzed the data of the two independent cohorts of children and
adults with SLE in two centers. Hyponatremia was associated with serum chloride
(p = 0.004), albumin (p = 0.002) and SLE disease activity index (SLEDAI) (p =
0.026) in children with SLE. Serum sodium levels were correlated negatively with
ESR (p =0.001) and positively with serum albumin levels (p < 0.0001) and C3 (p =
0.008) in children with SLE and those levels were correlated negatively with
serum interleukin-6 levels (p = 0.003) in adults with SLE. Independent risk
factors for the development of hyponatremia were the decreased serum C3 levels
(OR 1.069, p = 0.031), the decreased serum chloride levels (OR 2.054, p = 0.006)
and increased erythrocyte sedimentation rate (ESR) (OR 1.066, p = 0.03) in
children with SLE and increased C-reactive protein (CRP) (OR 1.480, p = 0.023) in
combined cohorts with SLE by multiple logistic regression analyses. Our study
firstly showed that hyponatremia could reflect a disease activity and severe
inflammation of SLE.
PMID- 27193534
TI - Single-Molecule Observation of DNA Replication Repair Pathways in E. coli.
AB - The method of action of many antibiotics is to interfere with DNA replication
quinolones trap DNA gyrase and topoisomerase proteins onto DNA while
metronidazole causes single- and double-stranded breaks in DNA. To understand how
bacteria respond to these drugs, it is important to understand the repair
processes utilised when DNA replication is blocked. We have used tandem lac
operators inserted into the chromosome bound by fluorescently labelled lac
repressors as a model protein block to replication in E. coli. We have used dual
colour, alternating-laser, single-molecule narrowfield microscopy to quantify the
amount of operator at the block and simultaneously image fluorescently labelled
DNA polymerase. We anticipate use of this system as a quantitative platform to
study replication stalling and repair proteins.
PMID- 27193535
TI - Investigating the Swimming of Microbial Pathogens Using Digital Holography.
AB - To understand much of the behaviour of microbial pathogens, it is necessary to
image living cells, their interactions with each other and with host cells.
Species such as Escherichia coli are difficult subjects to image: they are
typically microscopic, colourless and transparent. Traditional cell visualisation
techniques such as fluorescent tagging or phase-contrast microscopy give
excellent information on cell behaviour in two dimensions, but no information
about cells moving in three dimensions. We review the use of digital holographic
microscopy for three-dimensional imaging at high speeds, and demonstrate its use
for capturing the shape and swimming behaviour of three important model
pathogens: E. coli, Plasmodium spp. and Leishmania spp.
PMID- 27193536
TI - What Is the 'Minimum Inhibitory Concentration' (MIC) of Pexiganan Acting on
Escherichia coli?-A Cautionary Case Study.
AB - We measured the minimum inhibitory concentration (MIC) of the antimicrobial
peptide pexiganan acting on Escherichia coli , and found an intrinsic variability
in such measurements. These results led to a detailed study of the effect of
pexiganan on the growth curve of E. coli, using a plate reader and manual plating
(i.e. time-kill curves). The measured growth curves, together with single-cell
observations and peptide depletion assays, suggested that addition of a sub-MIC
concentration of pexiganan to a population of this bacterium killed a fraction of
the cells, reducing peptide activity during the process, while leaving the
remaining cells unaffected. This pharmacodynamic hypothesis suggests a
considerable inoculum effect, which we quantified. Our results cast doubt on the
use of the MIC as 'a measure of the concentration needed for peptide action' and
show how 'coarse-grained' studies at the population level give vital information
for the correct planning and interpretation of MIC measurements.
PMID- 27193537
TI - Evolution of Drug Resistance in Bacteria.
AB - Resistance to antibiotics is an important and timely problem of contemporary
medicine. Rapid evolution of resistant bacteria calls for new preventive measures
to slow down this process, and a longer-term progress cannot be achieved without
a good understanding of the mechanisms through which drug resistance is acquired
and spreads in microbial populations. Here, we discuss recent experimental and
theoretical advances in our knowledge how the dynamics of microbial populations
affects the evolution of antibiotic resistance . We focus on the role of spatial
and temporal drug gradients and show that in certain situations bacteria can
evolve de novo resistance within hours. We identify factors that lead to such
rapid onset of resistance and discuss their relevance for bacterial infections.
PMID- 27193538
TI - Using Biophysics to Monitor the Essential Protonmotive Force in Bacteria.
AB - Protonmotive force is an essential biological energy format in all levels of
cells. Protonmotive force comprises electrical and chemical potential difference
across biological membrane. In bacteria, protonmotive force couples to metabolism
and ATP production. Moreover, protonmotive force directly provides driving energy
of bacterial flagellar motor that is critical for bacterial motility and
infection. Due to the small size of bacterial cells, there were limited
experimental tools to measure protonmotive force in bacteria. Recent developments
of optical membrane potential and intracellular pH indicators provide valuable
information on bacterial studies. These new biophysical techniques allow us to
monitor the protonmotive force even in single bacterial cell level that shed the
light of next generation single-cell physiological experiments towards the
understanding of bacterial infection process.
PMID- 27193539
TI - The Type I Restriction Enzymes as Barriers to Horizontal Gene Transfer:
Determination of the DNA Target Sequences Recognised by Livestock-Associated
Methicillin-Resistant Staphylococcus aureus Clonal Complexes 133/ST771 and 398.
AB - The Type I DNA restriction-modification (RM) systems of Staphylococcus aureus are
known to act as a significant barrier to horizontal gene transfer between S.
aureus strains belonging to different clonal complexes. The livestock-associated
clonal complexes CC133/771 and CC398 contain Type I RM systems not found in human
MRSA strains as yet but at some point transfer will occur. When this does take
place, horizontal gene transfer of resistance will happen more easily between
these strains. The reservoir of antibiotic resistance, virulence and host
adaptation genes present in livestock-associated MRSA will then potentially
contribute to the development of newly evolving MRSA clones. The target sites
recognised by the Type I RM systems of CC133/771 and CC398 were identified as
CAG(N)5RTGA and ACC(N)5RTGA, respectively. Assuming that these enzymes recognise
the methylation state of adenine, the underlined A and T bases indicate the
unique positions of methylation. Target methylation points for enzymes from CC1
were also identified. The methylation points for CC1-1 are CCAY(N)5TTAA and those
for CC1-2 are CCAY(N)6 TGT with the underline indicating the adenine methylation
site thus clearing up the ambiguity noted previously (Roberts et al. 2013,
Nucleic Acids Res 41:7472-7484) for the half sites containing two adenine bases.
PMID- 27193540
TI - Biomechanical Analysis of Infectious Biofilms.
AB - The removal of infectious biofilms from tissues or implanted devices and their
transmission through fluid transport systems depends in part of the mechanical
properties of their polymeric matrix. Linking the various physical and chemical
microscopic interactions to macroscopic deformation and failure modes promises to
unveil design principles for novel therapeutic strategies targeting biofilm
eradication, and provide a predictive capability to accelerate the development of
devices, water lines, etc, that minimise microbial dispersal. Here, our current
understanding of biofilm mechanics is appraised from the perspective of
biophysics , with an emphasis on constitutive modelling that has been highly
successful in soft matter. Fitting rheometric data to viscoelastic models has
quantified linear and nonlinear stress relaxation mechanisms, how they vary
between species and environments, and how candidate chemical treatments alter the
mechanical response. The rich interplay between growth, mechanics and
hydrodynamics is just becoming amenable to computational modelling and promises
to provide unprecedented characterisation of infectious biofilms in their native
state.
PMID- 27193541
TI - Designing a Single-Molecule Biophysics Tool for Characterising DNA Damage for
Techniques that Kill Infectious Pathogens Through DNA Damage Effects.
AB - Antibiotics such as the quinolones and fluoroquinolones kill bacterial pathogens
ultimately through DNA damage. They target the essential type IIA topoisomerases
in bacteria by stabilising the normally transient double-strand break state which
is created to modify the supercoiling state of the DNA. Here we discuss the
development of these antibiotics and their method of action. Existing methods for
DNA damage visualisation, such as the comet assay and immunofluorescence imaging
can often only be analysed qualitatively and this analysis is subjective. We
describe a putative single-molecule fluorescence technique for quantifying DNA
damage via the total fluorescence intensity of a DNA origami tile fully saturated
with an intercalating dye, along with the optical requirements for how to
implement these into a light microscopy imaging system capable of single-molecule
millisecond timescale imaging. This system promises significant improvements in
reproducibility of the quantification of DNA damage over traditional techniques.
PMID- 27193542
TI - Bacterial Surfaces: Front Lines in Host-Pathogen Interaction.
AB - All bacteria are bound by at least one membrane that acts as a barrier between
the cell's interior and the outside environment. Surface components within and
attached to the cell membrane are essential for ensuring that the overall
homeostasis of the cell is maintained. However, many surface components of the
bacterial cell also have an indispensable role mediating interactions of the
bacteria with their immediate environment and as such are essential to the
pathogenesis of infectious disease. During the course of an infection, bacterial
pathogens will encounter many different ecological niches where environmental
conditions such as salinity, temperature, pH, and the availability of nutrients
fluctuate. It is the bacterial cell surface that is at the front-line of these
host-pathogen interactions often protecting the bacterium from hostile
surroundings but at the same time playing a critical role in the adherence to
host tissues promoting colonization and subsequent infection. To deal effectively
with the changing environments that pathogens may encounter in different
ecological niches within the host many of the surface components of the bacterial
cell are subject to phenotypic variation resulting in heterogeneous
subpopulations of bacteria within the clonal population. This dynamic phenotypic
heterogeneity ensures that at least a small fraction of the population will be
adapted for a particular circumstance should it arise. Diversity within the
clonal population has often been masked by studies on entire bacterial
populations where it was often assumed genes were expressed in a uniform manner.
This chapter, therefore, aims to highlight the non-uniformity in certain cell
surface structures and will discuss the implication of this heterogeneity in
bacterial-host interaction. Some of the recent advances in studying bacterial
surface structures at the single cell level will also be reviewed.
PMID- 27193543
TI - Biophysical Approaches to Bacterial Gene Regulation by Riboswitches.
AB - The last decade has witnessed the discovery of a variety of non-coding RNA
sequences that perform a broad range of crucial biological functions. Among
these, the ability of certain RNA sequences, so-called riboswitches, has
attracted considerable interest. Riboswitches control gene expression in response
to the concentration of particular metabolites to which they bind without the
need for any protein. These RNA switches not only need to adopt a very specific
tridimensional structure to perform their function, but also their sequence has
been evolutionary optimized to recognize a particular metabolite with high
affinity and selectivity. Thus, riboswitches offer a unique opportunity to get
fundamental insights into RNA plasticity and how folding dynamics and ligand
recognition mechanisms have been efficiently merged to control gene regulation.
Because riboswitch sequences have been mostly found in bacterial organisms
controlling the expression of genes associated to the synthesis, degradation or
transport of crucial metabolites for bacterial survival, they offer exciting new
routes for antibiotic development in an era where bacterial resistance is more
than ever challenging conventional drug discovery strategies. Here, we give an
overview of the architecture, diversity and regulatory mechanisms employed by
riboswitches with particular emphasis on the biophysical methods currently
available to characterise their structure and functional dynamics.
PMID- 27193544
TI - Bugs on a Slippery Plane : Understanding the Motility of Microbial Pathogens with
Mathematical Modelling.
AB - Many pathogenic microorganisms live in close association with surfaces, typically
in thin films that either arise naturally or that they themselves create. In
response to this constrained environment, the cells adjust their behaviour and
morphology, invoking communication channels and inducing physical phenomena that
allow for rapid colonization of biomedically relevant surfaces or the promotion
of virulence factors. Thus, it is very important to measure and theoretically
understand the key mechanisms for the apparent advantage obtained from swimming
in thin films. We discuss experimental measurements of flows around a
peritrichously flagellated bacterium constrained in a thin film, derive a
simplified mathematical theory and Green's functions for flows in a thin film
with general slip boundary conditions, and establish connections between
theoretical and experimental results. This article aims to highlight the
importance of mathematics as a tool to unlock qualitative mechanisms associated
with experimental observations in the medical and biological sciences.
PMID- 27193546
TI - How Biophysics May Help Us Understand the Flagellar Motor of Bacteria Which Cause
Infections.
AB - Motor proteins are molecules which convert chemical energy to mechanical work and
are responsible for motility across all levels: for transport within a cell, for
the motion of an individual cell in its surroundings, and for movement in
multicellular aggregates, such as muscles. The bacterial flagellar motor is one
of the canonical examples of a molecular complex made from several motor
proteins, which self-assembles on demand and provides the locomotive force for
bacteria. This locomotion provides a key aspect of bacteria's prevalence. Here,
we outline the biophysics behind the assembly, the energetics, the switching and
the rotation of this remarkable nanoscale electric motor that is Nature's first
wheel.
PMID- 27193545
TI - Transcription Regulation and Membrane Stress Management in Enterobacterial
Pathogens.
AB - Transcription regulation in a temporal and conditional manner underpins the
lifecycle of enterobacterial pathogens. Upon exposure to a wide array of
environmental cues, these pathogens modulate their gene expression via the RNA
polymerase and associated sigma factors. Different sigma factors, either involved
in general 'house-keeping' or specific responses, guide the RNA polymerase to
their cognate promoter DNAs. The major alternative sigma54 factor when activated
helps pathogens manage stresses and proliferate in their ecological niches. In
this chapter, we review the function and regulation of the sigma54-dependent
Phage shock protein (Psp) system-a major stress response when Gram-negative
pathogens encounter damages to their inner membranes. We discuss the recent
development on mechanisms of gene regulation, signal transduction and stress
mitigation in light of different biophysical and biochemical approaches.
PMID- 27193547
TI - Mechanics of Bacterial Cells and Initial Surface Colonisation.
AB - The mechanical properties of bacterial cells play an important role in crucial
bacterial processes such as cell growth, colonisation and biofilm formation.
Recent developments in the field of nanotechnology and atomic force microscopy
(AFM) have made it possible to observe, characterise and understand the
nanomechanic behaviour of live bacterial cells as never before. Unlike
traditional techniques, AFM makes it possible to employ living bacteria in their
physiological environment with minimal or no sample preparation. The technique of
AFM nanoindentation opens new possibilities to study bacterial cell wall
stiffness under different mechanical and buffer conditions. Also, by attaching
bacterial cells to functionalised AFM cantilevers, single-cell force spectroscopy
(SCFS) can be used to measure the adhesion of bacteria to biological and non
biological substrates at the nano-newton and pico-newton scale, and provide
specific information on receptor-ligand interactions. By studying the biophysics
of the bacterial-surface interaction with the abovementioned techniques, it has
been possible to gain new insight on the early stages of bacterial colonisation
and biofilm formation.
PMID- 27193548
TI - Neutron Reflectivity as a Tool for Physics-Based Studies of Model Bacterial
Membranes.
AB - The principles of neutron reflectivity and its application as a tool to provide
structural information at the (sub-) molecular unit length scale from models for
bacterial membranes are described. The model membranes can take the form of a
monolayer for a single leaflet spread at the air/water interface, or bilayers of
increasing complexity at the solid/liquid interface. Solid-supported bilayers
constrain the bilayer to 2D but can be used to characterize interactions with
antimicrobial peptides and benchmark high throughput lab-based techniques.
Floating bilayers allow for membrane fluctuations, making the phase behaviour
more representative of native membranes. Bilayers of varying levels of
compositional accuracy can now be constructed, facilitating studies with aims
that range from characterizing the fundamental physical interactions, through to
the characterization of accurate mimetics for the inner and outer membranes of
Gram-negative bacteria. Studies of the interactions of antimicrobial peptides
with monolayer and bilayer models for the inner and outer membranes have revealed
information about the molecular control of the outer membrane permeability, and
the mode of interaction of antimicrobials with both inner and outer membranes.
PMID- 27193549
TI - Mechanisms of Salmonella Typhi Host Restriction.
AB - Salmonella enterica serovar Typhi (S. Typhi) is the cause of typhoid fever, a
life-threatening bacterial infection that is very common in the developing world.
Recent spread of antimicrobial resistant isolates of S. Typhi makes typhoid
fever, a global public health risk. Despite being a common disease, still very
little is known about the molecular mechanisms underlying typhoid fever and S.
Typhi pathogenesis. In contrast to other Salmonellae, S. Typhi can only infect
humans. The molecular bases of this human restriction are mostly unknown. Recent
studies identified a novel pathway that contributes to S. Typhi human restriction
and is required for killing S. Typhi in macrophages of nonsusceptible species.
The small Rab GTPase Rab32 and its guanine nucleotide exchange factor BLOC-3 are
the critical components of this pathway. These proteins were already well known
as important regulators of intracellular membrane transport. In particular, they
are central for the transport of enzymes that synthetize melanin in pigment
cells. The recent findings that Rab32 and BLOC-3 are required for S. Typhi host
restriction point out to a novel mechanism restricting the growth of bacterial
pathogen, dependent on the transport of still unknown molecule(s) to the S. Typhi
vacuole. The identification of this novel antimicrobial pathway constitutes a
critical starting point to study molecular mechanisms killing bacterial pathogens
and possibly identify novel antimicrobial molecules.
PMID- 27193550
TI - Insights into Biological Complexity from Simple Foundations.
AB - We discuss an overtly "simple approach" to complex biological systems borrowing
selectively from theoretical physics. The approach is framed by three maxims, and
we show examples of its success in two different applications: investigating
cellular robustness at the level of gene regulatory networks and quantifying rare
events of DNA replication errors.
PMID- 27193551
TI - Force Spectroscopy in Studying Infection.
AB - Biophysical force spectroscopy tools-for example, optical tweezers, magnetic
tweezers, atomic force microscopy-have been used to study elastic, mechanical,
conformational and dynamic properties of single biological specimens from single
proteins to whole cells to reveal information not accessible by ensemble average
methods such as X-ray crystallography, mass spectroscopy, gel electrophoresis and
so on. Here, we review the application of these tools on a range of infection
related questions from antibody-inhibited protein processivity to virus-cell
adhesion. In each case, we focus on how the instrumental design tailored to the
biological system in question translates into the functionality suitable for that
particular study. The unique insights that force spectroscopy has gained to
complement knowledge learned through population averaging techniques in
interrogating biomolecular details prove to be instrumental in therapeutic
innovations such as those in structure-based drug design.
PMID- 27193552
TI - Imaging Immunity in Lymph Nodes: Past, Present and Future.
AB - Immune responses occur as a result of stochastic interactions between a plethora
of different cell types and molecules that regulate the migration and function of
innate and adaptive immune cells to drive protection from pathogen infection. The
trafficking of immune cells into peripheral tissues during inflammation and then
subsequent migration to draining lymphoid tissues has been quantitated using
radiolabelled immune cells over 40 years ago. However, how these processes lead
to efficient immune responses was unclear. Advances in physics (multi-photon),
chemistry (probes) and biology (animal models) have provided immunologists with
specialized tools to quantify the molecular and cellular mechanisms driving
immune function in lymphoid tissues through directly visualising cellular
behaviours in 3-dimensions over time. Through the temporal and spatial resolution
of multi-photon confocal microscopy immunologists have developed new insights
into normal immune homeostasis, host responses to pathogens, anti-tumour immune
responses and processes driving development of autoimmune pathologies, by the
quantification of the interactions and cellular migration involved in adaptive
immune responses. Advances in deep tissue imaging, including new fluorescent
proteins, increased resolution, speed of image acquisition, sensitivity, number
of signals and improved data analysis techniques have provided unprecedented
capacity to quantify immune responses at the single cell level. This quantitative
information has facilitated development of high-fidelity mathematical and
computational models of immune function. Together this approach is providing new
mechanistic understanding of immune responses and new insights into how immune
modulators work. Advances in biophysics have therefore revolutionised our
understanding of immune function, directly impacting on the development of next
generation immunotherapies and vaccines, and is providing the quantitative basis
for emerging technology of simulation-guided experimentation and
immunotherapeutic design.
PMID- 27193553
TI - Novel Approaches to Manipulating Bacterial Pathogen Biofilms: Whole-Systems
Design Philosophy and Steering Microbial Evolution.
AB - Understanding and manipulating bacterial biofilms is crucial in medicine, ecology
and agriculture and has potential applications in bioproduction, bioremediation
and bioenergy. Biofilms often resist standard therapies and the need to develop
new means of intervention provides an opportunity to fundamentally rethink our
strategies. Conventional approaches to working with biological systems are, for
the most part, "brute force", attempting to effect control in an input and effort
intensive manner and are often insufficient when dealing with the inherent non
linearity and complexity of living systems. Biological systems, by their very
nature, are dynamic, adaptive and resilient and require management tools that
interact with dynamic processes rather than inert artefacts. I present an
overview of a novel engineering philosophy which aims to exploit rather than
fight those properties, and hence provide a more efficient and robust
alternative. Based on a combination of evolutionary theory and whole-systems
design, its essence is what I will call systems aikido; the basic principle of
aikido being to interact with the momentum of an attacker and redirect it with
minimal energy expenditure, using the opponent's energy rather than one's own. In
more conventional terms, this translates to a philosophy of equilibrium
engineering, manipulating systems' own self-organisation and evolution so that
the evolutionarily or dynamically stable state corresponds to a function which we
require. I illustrate these ideas with a description of a proposed manipulation
of environmental conditions to alter the stability of co-operation in the context
of Pseudomonas aeruginosa biofilm infection of the cystic fibrosis lung.
PMID- 27193556
TI - Computational Systems Biomedicine.
PMID- 27193554
TI - Prognostic factors in recurrent glioblastoma patients treated with bevacizumab.
AB - The value of bevacizumab (BEV) in recurrent glioblastoma is unclear. Imaging
parameters and progression-free survival (PFS) are problematic endpoints. Few
data exist on clinical factors influencing overall survival (OS) in unselected
patients with recurrent glioblastoma exposed to BEV. We retrospectively analyzed
174 patients with recurrent glioblastoma treated with BEV at two German brain
tumor centers. We evaluated general patient characteristics, MGMT status,
pretreatment, concomitant oncologic treatment and overall survival. Karnofsky
performance score, number of prior chemotherapies, number of prior recurrences
and combined treatment with irinotecan (IRI) were significantly associated with
OS in univariate analysis. We did not find differences in OS related to sex, age,
histology, MGMT status, prior surgical treatment or number of prior
radiotherapies. Combined treatment with IRI and higher KPS both remained
significantly associated with prolonged survival in multivariate analysis, but
patients receiving IRI co-treatment had less advanced disease. Grouping into
clinically relevant categories revealed an OS of 16.9 months from start of BEV in
patients with first recurrence and KPS >= 80 % (n = 25). In contrast, in patients
with second recurrence and KPS < 80 %, OS was 3.6 months (n = 27). Our
observational data support an early use of BEV in patients with good performance
status. The benefit of co-treatment with IRI in our cohort seems to be the result
of biased patient recruitment.
PMID- 27193555
TI - Transforming growth factor-beta and stem cell markers are highly expressed around
necrotic areas in glioblastoma.
AB - Invasion into surrounding normal brain and resistance to genotoxic therapies are
the main devastating aspects of glioblastoma (GBM). These biological features may
be associated with the stem cell phenotype, which can be induced through a
dedifferentiation process known as epithelial-mesenchymal transition (EMT). We
show here that tumor cells around pseudopalisading necrotic areas in human GBM
tissues highly express the most important EMT inducer, transforming growth factor
(TGF-beta), concurrently with the EMT-related transcriptional factor, TWIST. In
addition, the stem cell markers CD133 and alkaline phosphatase (ALPL) were also
highly expressed around necrotic foci in GBM tissues. The high expression of TGF
beta around necrotic regions was significantly correlated with shorter
progression-free survival and overall survival in patients with GBM. High
expression of stem cell markers, ALPL, CD133, and CD44 was also correlated with
poor outcomes. These results collectively support the hypothesis that tissue
hypoxia induces the stem cell phenotype through TGF-beta-related EMT and
contributes to the poor outcome of GBM patients.
PMID- 27193557
TI - The validity and reliability of a signal impact assessment tool.
AB - BACKGROUND: The Netherlands Pharmacovigilance Centre Lareb uses an operating
Signal Impact Assessment Tool (SIAT) as aid in signal selection. SIAT prioritized
signals into one of four categories: strong/moderate signal strength and
similarly health impact. Although the SIAT has been used for many years, validity
and reliability was never explored. PURPOSE: The aim of this study is to test the
validity and reliability of the operating and weight-adjusted SIAT. METHOD: For
validity testing, judgments of a Delphi panel of three pharmacovigilance experts
were used as a 'gold standard'. First, the panel judged the weighting of the
items included in the SIAT. Then, during two phases, the panel rated 40 signals
in one of the four categories. Two researchers scored the signals using the SIAT.
Panel judgments were compared with scores for the operating and weight-adjusted
SIAT. Inter- and intra-observer variability was also tested. The Cohen's Kappa
coefficient (k) was calculated. At least substantial agreement (k > 0.6) was
considered to be necessary for an acceptable reliability. RESULTS: Validity did
not meet predefined criteria: operating and weight-adjusted tool, respectively, k
phase1 = 0.83 and 0.83, k-phase2 = 0.18 and 0.36. Differences were found for
signal strength and health impact. Inter- and intra-observer variabilities were
good, k of 0.78 and 0.72, respectively. CONCLUSIONS: The SIAT was found to have
an insufficient validity and proper reliability. Although SIAT scores should not
be decisive in the decision making process, items included can be used as an aid
to decide which signals deserve further investigation. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27193559
TI - Sebacinales - one thousand and one interactions with land plants.
AB - 20 I 21 II 21 III 23 IV 29 V 33 VI 35 36 36 References 36 SUMMARY: Root
endophytism and mycorrhizal associations are complex derived traits in fungi that
shape plant physiology. Sebacinales (Agaricomycetes, Basidiomycota) display
highly diverse interactions with plants. Although early-diverging Sebacinales
lineages are root endophytes and/or have saprotrophic abilities, several more
derived clades harbour obligate biotrophs forming mycorrhizal associations.
Sebacinales thus display transitions from saprotrophy to endophytism and to
mycorrhizal nutrition within one fungal order. This review discusses the genomic
traits possibly associated with these transitions. We also show how molecular
ecology revealed the hyperdiversity of Sebacinales and their evolutionary
diversification into two sister families: Sebacinaceae encompasses mainly
ectomycorrhizal and early-diverging saprotrophic species; the second family
includes endophytes and lineages that repeatedly evolved ericoid, orchid and
ectomycorrhizal abilities. We propose the name Serendipitaceae for this family
and, within it, we transfer to the genus Serendipita the endophytic cultivable
species Piriformospora indica and P. williamsii. Such cultivable Serendipitaceae
species provide excellent models for root endophytism, especially because of
available genomes, genetic tractability, and broad host plant range including
important crop plants and the model plant Arabidopsis thaliana. We review
insights gained with endophytic Serendipitaceae species into the molecular
mechanisms of endophytism and of beneficial effects on host plants, including
enhanced resistance to abiotic and pathogen stress.
PMID- 27193558
TI - Therapeutic surfactant-stripped frozen micelles.
AB - Injectable hydrophobic drugs are typically dissolved in surfactants and non
aqueous solvents which can induce negative side-effects. Alternatives like 'top
down' fine milling of excipient-free injectable drug suspensions are not yet
clinically viable and 'bottom-up' self-assembled delivery systems usually
substitute one solubilizing excipient for another, bringing new issues to
consider. Here, we show that Pluronic (Poloxamer) block copolymers are amenable
to low-temperature processing to strip away all free and loosely bound
surfactant, leaving behind concentrated, kinetically frozen drug micelles
containing minimal solubilizing excipient. This approach was validated for
phylloquinone, cyclosporine, testosterone undecanoate, cabazitaxel and seven
other bioactive molecules, achieving sizes between 45 and 160 nm and drug to
solubilizer molar ratios 2-3 orders of magnitude higher than current
formulations. Hypertonic saline or co-loaded cargo was found to prevent
aggregation in some cases. Use of surfactant-stripped micelles avoided potential
risks associated with other injectable formulations. Mechanistic insights are
elucidated and therapeutic dose responses are demonstrated.
PMID- 27193560
TI - Rewards of Persistence: Manometric Clues to Cancer.
PMID- 27193561
TI - Endoscopic Features and Eosinophil Density Are Associated with Food Impaction in
Adults with Esophageal Eosinophilia.
AB - BACKGROUND AND AIMS: Food impaction has been described in both eosinophilic
esophagitis and proton pump inhibitor-responsive esophageal eosinophilia. The
association between endoscopic/histologic features of esophageal eosinophilia and
food impaction remains unclear. We aimed to identify clinical, endoscopic, and
histologic findings associated with a history of food impaction in esophageal
eosinophilia. METHODS: This was a retrospective cohort study of adult esophageal
eosinophilia patients at a tertiary center in 6/2005-10/2014. Only patients with
>=15 eosinophils/high-power field on mucosal biopsies were included.
Demographics, comorbidities, symptoms, endoscopic/histologic findings on initial
endoscopy, and history of food impaction were reviewed. Statistical analyses were
performed using Fisher's exact test (univariate) and forward stepwise logistic
regression (multivariate). RESULTS: 400 patients (42 +/- 14 years, 61 % male)
were included, with 78 (20 %) having food impaction history. On univariate
analyses, rings (62 vs 42 %, p = 0.003), erosions (12 vs 5 %, p = 0.03),
eosinophil density on biopsy (40 [IQR = 30-50] vs 30 [IQR = 15-50], p = 0.004),
and dysphagia (88 vs 62 %, p < 0.0001) were more prevalent among patients with
food impaction history, while heartburn (10 vs 33 %, p < 0.0001) and abdominal
pain (1 vs 12 %, p = 0.002) were less common. On multivariate analysis, rings (OR
2.6, p = 0.002), erosions (OR 3.2, p = 0.02), and eosinophil density (beta
coefficient = 0.01, p = 0.04) remained associated with food impaction.
CONCLUSIONS: Findings of rings and erosions on endoscopy and increased eosinophil
density on histology were independently associated with a history of food
impaction in adult esophageal eosinophilia patients. Food impaction may result
from both active inflammation (erosions and increased eosinophil density) and
chronic fibrostenotic changes (rings).
PMID- 27193562
TI - Endoscopic Gastric Food Retention in Relation to Scintigraphic Gastric Emptying
Delays and Clinical Factors.
AB - BACKGROUND: Gastric food residue frequently is observed on endoscopy despite
fasting. AIMS: To delineate factors promoting endoscopic food retention in the
stomach. METHODS: Two series of analyses were performed. Magnitudes of retained
food in 834 patients from an endoscopy database were related to obstructive
versus non-obstructive etiologies and gastric emptying findings. Emptying delays
in 619 patients from a scintigraphy database were associated with endoscopic food
retention, gastroparesis etiologies, and medications that modify gastric transit.
RESULTS: On endoscopy, 310 (37 %) had large, 338 (41 %) showed medium, and 103
(12 %) exhibited small amounts of retained food in the stomach. Of 433 patients
with definable etiologies of food retention, 106 (24 %) had obstructive causes.
One hundred three of 327 (31 %) with non-obstructive conditions underwent
scintigraphy showing mean 52 +/- 29 % 4-h retention. From the scintigraphy
database, 164/619 patients (26 %) with delayed emptying exhibited food retention
on endoscopy. Four-hour scintigraphic retention was greater with versus without
retained food (41 +/- 25 vs. 32 +/- 22 %, P < 0.001). Retained food occurred more
frequently with postsurgical (28/69, 41 %) versus diabetic (33/139, 24 %) and
idiopathic (65/294, 22 %) gastroparesis (P = 0.006). Opiate use was more
prevalent with increasing food retention (P = 0.02), while other medications that
delay or accelerate emptying did not relate to retained food. CONCLUSIONS:
Gastric food retention has obstructive and non-obstructive causes, and is found
in one-quarter of gastroparesis, especially postsurgical cases. Gastric emptying
delays correlate with amounts of retained food on endoscopy. Retention is
influenced by opiates, but not other medications. These analyses delineate
pathogenic factors promoting gastric food retention.
PMID- 27193565
TI - Pursuing a Clinical Academic Career During Gastroenterology Fellowship.
PMID- 27193564
TI - Extra-Gastrointestinal Manifestations of Inflammatory Bowel Disease May Be Less
Common Than Previously Reported.
AB - BACKGROUND AND AIMS: Extra-intestinal manifestations are well recognized in
inflammatory bowel disease (IBD). To what extent the commonly recognized extra
intestinal manifestations seen in IBD patients are attributable to IBD is,
however, not clear due to the limited number of controlled studies published.
METHODS: We have conducted a study of these manifestations using electronic
primary care records. We have identified extra-intestinal manifestations in IBD
and non-IBD patients and derived odds ratios (ORs) using conditional logistic
regression. RESULTS: A total of 56,097 IBD patients (32.5 % Crohn's disease, 48.3
% ulcerative colitis (UC) and 19.2 % not classified) were matched to 280,382 non
IBD controls. We found records of pyoderma gangrenosum (OR = 29.24), erythema
nodosum (OR = 5.95), primary sclerosing cholangitis (OR = 188.25), uveitis (OR =
2.81), ankylosing spondylitis (OR = 7.07), sacroiliitis (OR = 2.79) and non
rheumatoid inflammatory arthritides (OR = 2.66) to be associated with IBD. One or
more of these was recorded in 8.1 % of IBD patients and 2.3 % of controls. Non
specific arthritides were present in many more patients, affecting 30 % of IBD
patients and 23.8 % of controls overall. We also found weaker associations with a
number of conditions not generally considered to be extra-intestinal
manifestations including psoriasis, ischemic heart disease, multiple sclerosis
and hay fever. CONCLUSION: Although "classical" extra-intestinal manifestations
are strongly associated with IBD, most IBD patients remain unaffected.
Arthropathies, perceived to be the commonest extra-intestinal manifestation, are
not strongly associated with IBD, and the proportion of arthropathies
attributable to IBD is likely to be small.
PMID- 27193563
TI - Weight Change and Obesity Are Associated with a Risk of Adenoma Recurrence.
AB - BACKGROUND: Obesity is reportedly a risk factor for colorectal adenoma. However,
the influence of weight change and obesity on colorectal adenoma recurrence is
unclear. AIM: To investigate whether weight change and obesity are associated
with recurrence and development of colorectal adenoma. PATIENTS AND METHODS: Of
62,171 asymptomatic subjects who underwent a colonoscopy for a heath checkup
between 2010 and 2011, this longitudinal study was performed with the 5297
subjects who underwent another colonoscopy between 2012 and 2013. The risk of
recurrence or development of adenoma according to quartiles of weight change (<
1.6, -1.6 to 0.1, 0.2-1.8, and >=1.9 kg) and baseline BMI categories (<25, 25-29,
and >=30 kg/m(2)) was assessed using Cox proportional hazards modeling. RESULTS:
The average period between visits 1 and 2 was 2.2 +/- 0.6 years. Among the 2176
subjects with adenomas, the risk of recurrence of any adenoma increased with
increasing weight change quartiles (p for trend = 0.030), whereas the risk of
recurrence of advanced adenoma was not associated with weight change (p for trend
= 0.852). The risk of recurrence of advanced adenoma increased with increasing
baseline BMI categories (p for trend = 0.029). Among 3121 subjects with no
adenoma, the risk of developing any adenoma increased with increasing baseline
BMI categories (p for trend <0.001). However, the risk of developing any or
advanced adenoma was not associated with weight change. CONCLUSIONS: Weight
change over 2.2 years affected adenoma recurrence and obesity was related to
advanced adenoma recurrence and adenoma development.
PMID- 27193566
TI - Which patient- and physician-related factors are associated with guideline
adherent initiation of adjuvant endocrine therapy? Results of the prospective
multi-centre cohort study BRENDA II.
AB - BACKGROUND: We analysed factors that might influence patients' and physicians'
decisions against the initiation of guideline adherent adjuvant endocrine therapy
(ET). METHODS: In a prospective multi-centre study, including four certified
breast cancer centres in Germany, patients with primary breast cancer were
included from 2009 to 2012. Patients completed a questionnaire prior to surgery,
adjuvant therapy, and 6 months after adjuvant therapy. This questionnaire
assessed health-related quality of life (QoL), psychiatric co-morbidity,
demographic characteristics, and the intensity of fear for ET. Guideline
adherence was classified based on an algorithm derived from international
guidelines. The tumour board's (TB) decisions against or for ET was documented.
The TB was blinded regarding the guideline results. RESULTS: In 666 patients,
adjuvant ET was indicated according to the guideline recommendations. The TB
decided in 92.3 % (n = 615) of those that adjuvant ET was indicated. TB's
decision against ET was associated with the younger age of patients (OR = 0.5; 95
% CI 0.3-0.9) and poor QoL (OR = 1.7; 95 % CI 1.0-2.8). In 93 patients, ET was
not indicated according to the guidelines, and the TB decided in 84 of those not
to prescribe ET. The TB decided in 93.4 % of the cases according to the
guidelines. Of the patients, where the TB prescribed ET, 5 % (n = 31) decided
against ET. This decision was associated with fear of ET (OR = 2.2; 95 % CI 1.0
5.2) and higher age (OR 9; 95 % CI 1.0-48.1). Psychiatric co-morbidity (OR = 1.8;
95 % CI 0.7-4.2), poor QoL (OR = 0.4; 95 % CI 0.2-1.2), and education (OR = 1.2;
95 % CI 0.5-2.6) were not associated with the decision. DISCUSSION: Guideline
adherent implementation of adjuvant ET is high. Physicians' decision against ET
is mainly associated with patients' younger age and poor quality of life, whereas
patients' decision, once the TB decided to initiate ET and if ET is indicated by
guidelines, is associated with higher age and fear of ET.
PMID- 27193568
TI - Development and Assessment of a Gas Chromatographic Based Method for the
Quantification of Thymol from Cream Based Formulation.
AB - INTRODUCTION: Herbal medicines have been used for different illnesses. However,
standardization of these medicaments should be done before introducing for
treatment purposes. Ajwain an essential oil, is traditionally used for
neuropathic pain. OBJECTIVE: To develop and assess a gas chromatographic-based
method for the quantification of thymol in Ajwain essential oil, current work was
performed. METHODOLOGY: Both pure thymol and Ajwain creams were prepared and
subjected to hydrodistillation method under temperature-controlled procedure to
re-extract the applied essential oil and pure thymol. Previously, Ajwain seeds
essential oil composition was analyzed and identified using GC/MS. After re
extraction, GC/FID was applied quantitatively to determine the thymol content in
the Ajwain and thymol creams. The parameters represented in International
Conference on Harmonization (ICH) guidelines were considered for the
determination. RESULTS: Thymol content in a 50 g laminated tube of Ajwain cream
was calculated as 2.34 g +/- 0.02. Regarding the total thymol content of a 50 g
laminated tube of Thymol cream (2.43 g), recovery percent for Ajwain cream was
calculated as 96.29 %. CONCLUSION: Using hydrodistillation for an essential oil-
containing cream sample via Clevenger proved to be a simple and convenient method
to work up and extract active volatile components of such semisolid formulation.
However, the extraction yield was profoundly related to the condenser
temperature. The current employed determination method is introduced as a rapid
and reliable method and thus, can be suggested for the quality control assessment
of phytopharmaceutical semisolid preparations containing thymol and similar
volatile constituents.
PMID- 27193567
TI - Influenza vaccination for patients with chronic obstructive pulmonary disease:
understanding immunogenicity, efficacy and effectiveness.
AB - Influenza infection is an important cause of global mortality and morbidity with
the greatest impact on older people and those with chronic disease. Patients with
chronic obstructive pulmonary disease (COPD) are particularly vulnerable to
influenza, with evidence for increased incidence and severity of infection. In
this patient group influenza is associated with exacerbations and pneumonia which
result in a significant healthcare burden and premature mortality. Influenza
vaccination and in particular the use of the seasonal trivalent influenza vaccine
(TIV) is recommended for patients with COPD. The evidence base for its effects in
this population is, however, limited. Available data suggest that immunogenicity
is variable in COPD but the underlying mechanisms are not completely understood.
The contribution of age, disease severity, comorbidity and treatments to vaccine
responses has only been investigated in a limited manner. Existing data suggest
that key immune mechanisms governing T- and B-cell responses are adversely
affected by these factors. The efficacy of TIV has been studied in a number of
small clinical trials which form the basis of a Cochrane review. Here evidence
for effect is conflicting depending on individual trial design and inclusions.
Overall, TIV offers protection against influenza infection in the trial setting
but further studies are required to stratify patients and enable prediction of
inadequate responses. Larger-scale clinical studies have largely been
observational and have often been conducted in consort with pneumonia
vaccination. Overall the mortality benefit of TIV in COPD is suggested by a
number studies but the impact on exacerbation prevention is less clear. Influenza
vaccination currently plays an important role in disease prevention in COPD.
However, we postulate that a more in-depth understanding of mechanisms of
response in the context of a highly heterogeneous disease will lead to a more
informed approach to vaccination and greater benefit for the individual patient.
PMID- 27193569
TI - Information and communication technology demands at work: the association with
job strain, effort-reward imbalance and self-rated health in different socio
economic strata.
AB - PURPOSE: The use of information and communication technology (ICT) is common in
modern working life. ICT demands may give rise to experience of work-related
stress. Knowledge about ICT demands in relation to other types of work-related
stress and to self-rated health is limited. Consequently, the aim of this study
was to examine the association between ICT demands and two types of work-related
stress [job strain and effort-reward imbalance (ERI)] and to evaluate the
association between these work-related stress measures and self-rated health, in
general and in different SES strata. METHODS: This study is based on cross
sectional data from the Swedish Longitudinal Occupational Survey of Health
collected in 2014, from 14,873 gainfully employed people. ICT demands, job
strain, ERI and self-rated health were analysed as the main measures. Sex, age,
SES, lifestyle factors and BMI were used as covariates. RESULTS: ICT demands
correlated significantly with the dimensions of the job strain and ERI models,
especially with the demands (r = 0.42; p < 0.01) and effort (r = 0.51; p < 0.01)
dimensions. ICT demands were associated with suboptimal self-rated health, also
after adjustment for age, sex, SES, lifestyle and BMI (OR 1.49 [95 % CI 1.36
1.63]), but job strain (OR 1.93 [95 % CI 1.74-2.14) and ERI (OR 2.15 [95 % CI
1.95-2.35]) showed somewhat stronger associations with suboptimal self-rated
health. CONCLUSION: ICT demands are common among people with intermediate and
high SES and associated with job strain, ERI and suboptimal self-rated health.
ICT demands should thus be acknowledged as a potential stressor of work-related
stress in modern working life.
PMID- 27193570
TI - The minimal sequence essential for replication and movement of Cotton leaf curl
Multan betasatellite DNA by a helper virus in plant cells.
AB - Betasatellites are single-stranded circular DNAs associated with a number of
monopartite begomoviruses. Betasatellites rely on the helper begomoviruses for
replication and movement in plant tissues and plant-to-plant transmission by
vectors. Their genomes are approximately half the size of the helper viruses and
consist of three main regions including the betaC1 gene, an adenine-rich (A-rich)
region, and the satellite conserved region (SCR). In this study, we investigated
the minimal sequences required for Cotton leaf curl Multan betasatellite (CLCuMB)
replication and movement. Mutational analysis of CLCuMB DNA genome indicated that
betaC1 gene and A-rich region were not required for trans-replication and
movement of CLCuMB in host plants by a helper virus. Deletion of betaC1 gene and
a fragment (135 nt in length) upstream of this gene impaired CLCuMB replication.
However, CLCuMB mutant with deletion of betaC1 gene and a further 163 nucleotides
replicated at a lower level as compared to the wild-type betasatellite. This
suggests that there are essential elements in the fragment upstream of betaC1
gene, which are required for the replication of CLCuMB rather than the size
limitation of CLCuMB DNA.
PMID- 27193571
TI - How necessary is to analyze PTPN11 gene in fetuses with first trimester cystic
hygroma and normal karyotype?
AB - Cystic hygroma (CH) is a vascular-lymphatic malformation and can occur either as
an isolated finding or as a part of a syndrome. The incidence of CH is about
1:1000-1:6000 births. Ultrasonographic diagnosis of CH is usually obtained in the
first trimester, and the lesion can appear in septated or non-septated forms.
Increased nuchal translucency and CH have been associated with a wide range of
structural and genetic abnormalities. Most of CHs are associated with a number of
chromosomal abnormalities especially Trisomy 21, 13, 18 and Turner syndrome.
Besides, the associations between CH and non-chromosomal syndromes were also
reported and Noonan Syndrome (NS) is one of the leading causes. Approximately 50%
of NS cases are caused by mutations in the PTPN11 gene. A novel PTPN11 mutation
defined in two separate fetuses with CH and associated with NS phenotype is being
reported here.
PMID- 27193572
TI - Vitamin D deficiency in an inpatient forensic intellectual disability service.
AB - No research has examined vitamin D deficiency among inpatients within forensic
intellectual disability services, despite their potentially increased risk. Tests
of serum 25(OHD) concentration in blood are routinely offered to patients within
the service as part of the admission and annual physical health check. Results
were classified as deficient <25, insufficient <50, sufficient 50-75 or optimal
>75. Deficient or insufficient patients were offered supplement treatment and
retested within 6 months. Levels were compared between groups: level of security
and gender. At baseline, 87% of patients were deficient or insufficient, whilst
13% were sufficient or optimal. At follow-up, 53% had sufficient or optimal
levels. However, some patients remained deficient (13%) or insufficient (34%) due
to non-compliance with treatment. Women appeared more likely to be deficient.
High levels of vitamin D deficiency were found among this population. Vitamin D
screening and treatment is a simple and effective way of improving the physical
health of this population.
PMID- 27193574
TI - If I tweet will you cite? The effect of social media exposure of articles on
downloads and citations.
AB - OBJECTIVES: We sought to investigate whether exposing scientific papers to social
media (SM) has an effect on article downloads and citations. METHODS: We
randomized all International Journal of Public Health (IJPH) original articles
published between December 2012 and December 2014 to SM exposure (blog post,
Twitter and Facebook) or no exposure at three different time points after first
online publication. RESULTS: 130 papers (SM exposure = 65, control = 65) were
randomized. The number of downloads did not differ significantly between groups
(p = 0.60) nor did the number of citations (p = 0.88). Adjusting for length of
observation and paper's geographical origin did not change these results. There
was no difference in the number of downloads and citations between the SM
exposure and control group when we stratified for open access status. The number
of downloads and number of citations were significantly correlated in both
groups. CONCLUSIONS: SM exposure did not have a significant effect on traditional
impact metrics, such as downloads and citations. However, other metrics may
measure the added value that social media might offer to a scientific journal,
such as wider dissemination.
PMID- 27193573
TI - Hidden burden of non-medical spending associated with inpatient care among the
poor in Afghanistan.
AB - OBJECTIVES: To elucidate the household payments required for medical and non
medical spending for inpatient health care and examine the pattern of household
payments according to household economic status and the degree of remoteness of
the area of residence. METHODS: The subjects were 5490 individuals included in a
nationally representative survey in 2010. Their medical (diagnosis and medicine)
and non-medical (accommodation and transportation) expenses for their most recent
hospitalization were analyzed. RESULTS: Compared with the richest group, the
poorest group paid less for diagnosis and medicine (AOR = 0.37, P < 0.001; AOR =
0.78, P = 0.009, respectively), paid similar amounts for accommodation (AOR =
1.19, P = 0.164), and more for transportation (AOR = 2.09, P < 0.001). Residents
in urban areas paid less than residents in rural areas for accommodation and
transportation (AOR = 0.73, P < 0.001; AOR = 0.58, P < 0.001, respectively).
CONCLUSIONS: Poor households paid less for diagnosis and medicine, but more for
transportation related to inpatient care. Non-medical spending for inpatient care
among the poor should be considered for affordable and accessible health-care
utilization.
PMID- 27193576
TI - Answers to the Letter to the Editor of H. Shantanna et al. concerning
"Particulate and non-particulate steroids in spinal epidurals: a systematic
review and meta-analysis" by Feeley IH, Healy EF, Noel J, Kiely PJ, Murphy TM
(Eur Spine J; 2016): DOI 10.1007/s00586-016-4437-0.
PMID- 27193575
TI - Impact of the Tunisian Revolution on homicide and suicide rates in Tunisia.
AB - OBJECTIVES: To analyze the impact of the Tunisian Revolution on suicide and
homicide patterns in Tunisia. METHODS: It is a retrospective, cross-sectional
study, including all the cases of homicides and suicides that occurred during an
8-year period (2007-2014) in Northern Tunisia. We compared data before and after
the revolution. RESULTS: After the revolution, the number of suicides rose 1.7
times, with a prevalence rising from 1.8 to 3.12 suicides per 100,000 persons per
year. Homicides rose 1.3 times after the revolution. For both manner of death,
victims were mostly males, aged between 20 and 39 years, living in urban areas.
Hanging and self-immolation rose, respectively, 1.8 and 3 times after 2011. We
observed suicide cases most frequently occurred in public places and in front of
public administration after 2011. Homicide victims' profile and circumstances
showed a single variation which is an increase in number of cases happening in
rural areas. CONCLUSIONS: Our results proved a short-term impact of the
transition period on homicides and suicides. Urgent preventive measures are
needed especially to decrease the suicide rates.
PMID- 27193577
TI - Letter to the Editor concerning "Particulate and non-particulate steroids in
spinal epidurals: a systematic review and meta-analysis" by Feeley IH, Healy EF,
Noel J, Kiely PJ, and Murphy TM (Eur Spine J; 2016): DOI 10.1007/s00586-016-4437
0.
PMID- 27193578
TI - Major postoperative complications are associated with impaired long-term survival
after gastro-esophageal and pancreatic cancer surgery: a complete national cohort
study.
AB - BACKGROUND: Some studies have reported an association between complications and
impaired long-term survival after cancer surgery. We aimed to investigate how
major complications are associated with overall survival after gastro-esophageal
and pancreatic cancer surgery in a complete national cohort. METHODS: All
esophageal-, gastric- and pancreatic resections performed for cancer in Norway
between January 1, 2008, and December 1, 2013 were identified in the Norwegian
Patient Registry together with data concerning major postoperative complications
and survival. RESULTS: When emergency cases were excluded, there were 1965
esophageal-, gastric- or pancreatic resections performed for cancer in Norway
between 1 January 2008, and 1 December 2013. A total of 248 patients (12.6 %)
suffered major postoperative complications. Complications were associated both
with increased early (90 days) mortality (OR = 4.25, 95 % CI = 2.78-6.50), and
reduced overall survival when patients suffering early mortality were excluded
(HR = 1.23, 95 % CI = 1.01-1.50). CONCLUSIONS: Major postoperative complications
are associated with impaired long-term survival after gastro-esophageal and
pancreatic cancer surgery.
PMID- 27193579
TI - Molten-salt treatment of waste biomass for preparation of carbon with enhanced
capacitive properties and electrocatalytic activity towards oxygen reduction.
AB - Carbon powders are building blocks for electrochemical energy storage/conversion
devices. Green, cost-affordable and facile preparation of carbon with applicable
electrochemical properties is therefore essential for effective utilization of
fluctuating renewable energy. Herein, the preparation of carbon nanoflakes via
impregnation of waste biomass i.e. boiled coffee beans in molten Na2CO3-K2CO3
(with equal mass) at 800 degrees C and molten CaCl2 at 850 degrees C is
reported. The microstructure and surface chemistry of the obtained carbons are
specified. The correlations between synthetic conditions and
microstructure/surface chemistry of the obtained carbons are rationalized. The
derived carbon nanosheets are tested and compared as active materials for
supercapacitors in a configuration of symmetric full cells in 1 M MeEt3NBF4 in
acetonitrile and electrocatalysts towards the oxygen reduction reaction (ORR) in
O2-saturated 0.1 M aqueous KOH. Despite the lower surface area, the carbon
nanosheets derived in molten Na2CO3-K2CO3 exhibit enhanced capacitive properties
and electrocatalytic ORR activity. The present study highlights the importance of
thermal media on the microstructure, surface chemistry and electrochemistry of
carbon from biomass.
PMID- 27193580
TI - Applying the COM-B model to creation of an IT-enabled health coaching and
resource linkage program for low-income Latina moms with recent gestational
diabetes: the STAR MAMA program.
AB - BACKGROUND: One of the fastest growing risk groups for early onset of diabetes is
women with a recent pregnancy complicated by gestational diabetes, and for this
group, Latinas are the largest at-risk group in the USA. Although evidence-based
interventions, such as the Diabetes Prevention Program (DPP), which focuses on
low-cost changes in eating, physical activity and weight management can lower
diabetes risk and delay onset, these programs have yet to be tailored to
postpartum Latina women. This study aims to tailor a IT-enabled health
communication program to promote DPP-concordant behavior change among postpartum
Latina women with recent gestational diabetes. The COM-B model (incorporating
Capability, Opportunity, and Motivational behavioral barriers and enablers) and
the Behavior Change Wheel (BCW) framework, convey a theoretically based approach
for intervention development. We combined a health literacy-tailored health IT
tool for reaching ethnic minority patients with diabetes with a BCW-based
approach to develop a health coaching intervention targeted to postpartum Latina
women with recent gestational diabetes. Current evidence, four focus groups (n =
22 participants), and input from a Regional Consortium of health care providers,
diabetes experts, and health literacy practitioners informed the intervention
development. Thematic analysis of focus group data used the COM-B model to
determine content. Relevant cultural, theoretical, and technological components
that underpin the design and development of the intervention were selected using
the BCW framework. RESULTS: STAR MAMA delivers DPP content in Spanish and English
using health communication strategies to: (1) validate the emotions and
experiences postpartum women struggle with; (2) encourage integration of
prevention strategies into family life through mothers becoming intergenerational
custodians of health; and (3) increase social and material supports through
referral to social networks, health coaches, and community resources.
Feasibility, acceptability, and health-related outcomes (weight loss, physical
activity, consumption of healthy foods, breastfeeding, and glucose screening)
will be evaluated at 9 months postpartum using a randomized controlled trial
design. CONCLUSIONS: STAR MAMA provides a DPP-based intervention that integrates
theory-based design steps. Through systematic use of behavioral theory to inform
intervention development, STAR MAMA may represent a strategy to develop health IT
intervention tools to meet the needs of diverse populations. TRIAL REGISTRATION:
ClinicalTrials.gov NCT02240420.
PMID- 27193581
TI - Latonduine Analogs Restore F508del-Cystic Fibrosis Transmembrane Conductance
Regulator Trafficking through the Modulation of Poly-ADP Ribose Polymerase 3 and
Poly-ADP Ribose Polymerase 16 Activity.
AB - Cystic fibrosis (CF) is a major lethal genetic disease caused by mutations in the
CF transmembrane conductance regulator gene (CFTR). This encodes a chloride ion
channel on the apical surface of epithelial cells. The most common mutation in
CFTR (F508del-CFTR) generates a protein that is misfolded and retained in the
endoplasmic reticulum. Identifying small molecules that correct this CFTR
trafficking defect is a promising approach in CF therapy. However, to date only
modest efficacy has been reported for correctors in clinical trials. We
identified the marine sponge metabolite latonduine as a corrector. We have now
developed a series of latonduine derivatives that are more potent F508del-CFTR
correctors with one (MCG315 [2,3-dihydro-1H-2-benzazepin-1-one]) having 10-fold
increased corrector activity and an EC50 of 72.25 nM. We show that the latonduine
analogs inhibit poly-ADP ribose polymerase (PARP) isozymes 1, 3, and 16. Further
our molecular modeling studies point to the latonduine analogs binding to the
PARP nicotinamide-binding domain. We established the relationship between the
ability of the latonduine analogs to inhibit PARP-16 and their ability to correct
F508del-CFTR trafficking. We show that latonduine can inhibit both PARP-3 and -16
and that this is necessary for CFTR correction. We demonstrate that latonduine
triggers correction by regulating the activity of the unfolded protein response
activator inositol-requiring enzyme (IRE-1) via modulation of the level of its
ribosylation by PARP-16. These results establish latonduines novel site of action
as well as its proteostatic mechanism of action.
PMID- 27193582
TI - Mechanisms of Action of Novel Influenza A/M2 Viroporin Inhibitors Derived from
Hexamethylene Amiloride.
AB - The increasing prevalence of influenza viruses with resistance to approved
antivirals highlights the need for new anti-influenza therapeutics. Here we
describe the functional properties of hexamethylene amiloride (HMA)-derived
compounds that inhibit the wild-type and adamantane-resistant forms of the
influenza A M2 ion channel. For example, 6-(azepan-1-yl)-N
carbamimidoylnicotinamide ( 9: ) inhibits amantadine-sensitive M2 currents with 3
to 6-fold greater potency than amantadine or HMA (IC50 = 0.2 vs. 0.6 and 1.3 uM,
respectively). Compound 9: competes with amantadine for M2 inhibition, and
molecular docking simulations suggest that 9: binds at site(s) that overlap with
amantadine binding. In addition, tert-butyl 4'-(carbamimidoylcarbamoyl)-2',3
dinitro-[1,1'-biphenyl]-4-carboxylate ( 27: ) acts both on adamantane-sensitive
and a resistant M2 variant encoding a serine to asparagine 31 mutation (S31N)
with improved efficacy over amantadine and HMA (IC50 = 0.6 uM and 4.4 uM,
respectively). Whereas 9: inhibited in vitro replication of influenza virus
encoding wild-type M2 (EC50 = 2.3 uM), both 27: and tert-butyl 4'
(carbamimidoylcarbamoyl)-2',3-dinitro-[1,1'-biphenyl]-4-carboxylate ( 26: )
preferentially inhibited viruses encoding M2(S31N) (respective EC50 = 18.0 and
1.5 uM). This finding indicates that HMA derivatives can be designed to inhibit
viruses with resistance to amantadine. Our study highlights the potential of HMA
derivatives as inhibitors of drug-resistant influenza M2 ion channels.
PMID- 27193584
TI - RE: Serum Lipids, Lipoproteins, and Risk of Breast Cancer: A Nested Case-Control
Study Using Multiple Time Points.
PMID- 27193583
TI - A PUFA-rich diet improves fat oxidation following saturated fat-rich meal.
AB - PURPOSE: To determine substrate oxidation responses to saturated fatty acid (SFA)
rich meals before and after a 7-day polyunsaturated fatty acid (PUFA)-rich diet
versus control diet. METHODS: Twenty-six, normal-weight, adults were randomly
assigned to either PUFA or control diet. Following a 3-day lead-in diet,
participants completed the pre-diet visit where anthropometrics and resting
metabolic rate (RMR) were measured, and two SFA-rich HF meals (breakfast and
lunch) were consumed. Indirect calorimetry was used to determine fat oxidation
(Fox) and energy expenditure (EE) for 4 h after each meal. Participants then
consumed a PUFA-rich diet (50 % carbohydrate, 15 % protein, 35 % fat, of which 21
% of total energy was PUFA) or control diet (50 % carbohydrate, 15 % protein, 35
% fat, of which 7 % of total energy was PUFA) for the next 7 days. Following the
7-day diet, participants completed the post-diet visit. RESULTS: From pre- to
post-PUFA-rich diet, there was no change in RMR (16.3 +/- 0.8 vs. 16.4 +/- 0.8
kcal/20 min) or in incremental area under the curve for EE (118.9 +/- 20.6-126.9
+/- 14.1 kcal/8h, ns). Fasting respiratory exchange ratio increased from pre- to
post-PUFA-rich diet only (0.83 +/- 0.1-0.86 +/- 0.1, p < 0.05). The postprandial
change in Fox increased from pre- to post-visit in PUFA-rich diet (0.03 +/- 0.1
0.23 +/- 0.1 g/15 min for cumulative Fox; p < 0.05), whereas controls showed no
change. CONCLUSIONS: Adopting a PUFA-rich diet initiates greater fat oxidation
after eating occasional high SFA meals compared to a control diet, an effect
achieved in 7 days.
PMID- 27193585
TI - Retroclival Pneumocephalus Associated with Bilateral Abducens Palsy in a Child.
AB - Traumatic brain injury (TBI) is less common in children than in adults. Posterior
fossa lesions are even more uncommon, but, when present, are usually epidural
hematomas. These lesions, even when small, may have a bad outcome because of the
possibility of compression of the important structures that the infratentorial
compartment contains, such as the brainstem and cranial nerves, and the
constriction of the fourth ventricle, causing acute hydrocephalus. Although
unusual, posterior fossa lesions are increasingly being diagnosed because of the
better quality of and easier access to cranial tomography. In this paper, we
report a case of a 12-year-old male patient who had suffered a TBI and presented
with several pneumocephali, one of them in the retroclival region, causing a mass
effect and then compression of the sixth cranial nerve which is the most
susceptible to these injuries. We discuss these traumatic posterior fossa
lesions, with an emphasis on retroclival pneumocephalus, not yet described in the
literature in association with bilateral abducens palsy. In addition, we discuss
associated lesions and the trauma mechanism.
PMID- 27193586
TI - Profile of adult and pediatric neurocysticercosis cases observed in five Southern
European centers.
AB - In Europe the management of neurocysticercosis (NCC) is challenging because
health care providers are unaware of this condition, thus leading to diagnostic
delay and mismanagement. The aim of this study is to retrospectively review the
cases of NCC observed in five centers located in Florence, Negrar (Italy) and
Barcelona (Spain). A total of 81 subjects with NCC were evaluated in the period
1980-2013. By applying the Del Brutto's criteria 39 cases (48.1 %) were
classified as definitive cases, 31 (38.8 %) as probable cases and 11 (13.6 %) did
not satisfy the diagnostic criteria. Continent of origin was known for 80
subjects. Latin America and Asia were the most frequent continents of origin (n =
37; 46.3 % and n = 22; 27.5 %) followed by Europe (n = 14; 17.5 %) and Africa (n
= 7; 8.8 %). Compared with adults, paediatric patients were more likely to have
eosinophilia, to have other parasitic infections, to be asymptomatic, to not be
treated with antiepileptic drugs or analgesic and to heal. The study shows that
there are some hurdles in the management of NCC in Europe. A not negligible
portion of patients diagnosed at reference centers do not fully satisfy Del
Brutto's diagnostic criteria. The higher portion of asymptomatic subjects found
among the paediatric group is probably related to an ongoing serological
screening among adopted children coming from endemic regions. The value of such a
serological screening should be better assessed by a further cost-effective
analysis.
PMID- 27193588
TI - Naming no names: Comments on the taxonomy of small piroplasmids in canids.
AB - Based on phylogenetic analyses, various taxonomic changes have recently been
proposed for tick-transmitted small piroplasmids, including descriptions of new
species. It is however essential that any such changes comply with the
International Code of Zoological Nomenclature. Unfortunately, this has not been
the case, and some recently proposed names are therefore invalid. The use of
informal clade names is necessary until formal valid descriptions are available.
PMID- 27193587
TI - Long-term whole-body vibration training in two late-onset Pompe disease patients.
AB - The treatment of late-onset Pompe disease (LOPD) relies on enzyme replacement
therapy (ERT) and physiotherapy but the most appropriate exercise program is not
yet established. Whole-body vibration training (WBVT) has showed promising
results, improving motor performances in various populations. Our aim is to
assess the effects of WBVT performed by two LOPD patients in addition to ERT and
physiotherapy. A side-alternating WBVT lasting 2 years; clinical assessments
included: manual muscle testing (MRC sumscore), knee extension and arm flection
isometric strength (multi-muscle tester M3diagnos), timed function tests (10 m
walking, standing-up from chair, ascending 4-steps), 6 min walking (6 MWT), motor
disability (Walton Gardner-Medwin scale), pulmonary function. Follow-up
evaluations performed for 9 years since ERT start (pre-WBVT and post-WBVT) are
reported for comparison. MRC sumscore improved in both patients (Pt.1:41 -> 48,
Pt.2:42 -> 47) as isometric strength of knee extension (Pt.1: + 62 %, Pt.2: + 26
%) and arm flection (Pt.1: + 88 %, Pt.2: + 66 %), 6 MWT improved in Pt.1 (+75 m).
Timed function tests did not greatly change. Patients reported no significant CK
elevation or WBVT-related complaints. WBVT may be safely used in LOPD and seems
to moderately boost muscle strength in patients receiving ERT and physiotherapy
for more than 3 years. Larger cohorts should be studied to better assess WBVT
potential as adjunctive exercise tool in LOPD.
PMID- 27193589
TI - Backbone (1)H, (13)C and (15)N resonance assignments of the OB domain of the
single stranded DNA-binding protein hSSB1 (NABP2/OBFC2B) and chemical shift
mapping of the DNA-binding interface.
AB - Single-stranded DNA-binding proteins (SSBs) are highly important in DNA
metabolism and play an essential role in all major DNA repair pathways. SSBs are
generally characterised by the presence of an oligonucleotide binding (OB) fold
which is able to recognise single-stranded DNA (ssDNA) with high affinity. We
discovered two news SSBs in humans (hSSB1 and hSSB2) that both contain a single
OB domain followed by a divergent spacer region and a charged C-terminus. We have
extensively characterised one of these, hSSB1 (NABP2/OBFC2B), in numerous
important DNA processing events such as, in DNA double-stranded break repair and
in the response to oxidative DNA damage. Although the structure of hSSB1 bound to
ssDNA has recently been determined using X-ray crystallography, the detailed
atomic level mechanism of the interaction of hSSB1 with ssDNA in solution has not
been established. In this study we report the solution-state backbone chemical
shift assignments of the OB domain of hSSB1. In addition, we have utilized NMR to
map the DNA-binding interface of hSSB1, revealing major differences between
recognition of ssDNA under physiological conditions and in the recently
determined crystal structure. Our NMR data in combination with further
biophysical and biochemical experiments will allow us to address these
discrepancies and shed light onto the structural basis of DNA-binding by hSSB1 in
solution.
PMID- 27193590
TI - Letter from the New Editor-in-Chief.
PMID- 27193591
TI - Genetic Characterization of Turkish Snake Melon (Cucumis melo L. subsp. melo
flexuosus Group) Accessions Revealed by SSR Markers.
AB - Snake melon is an important cucurbit crop especially in the Southeastern and the
Mediterranean region of Turkey. It is consumed as fresh or pickled. The
production is mainly done with the local landraces in the country. Turkey is one
of the secondary diversification centers of melon and possesses valuable genetic
resources which have different morphological characteristics in case of snake
melon. Genetic diversity of snake melon genotypes collected from different
regions of Turkey and reference genotypes obtained from World Melon Gene Bank in
Avignon-France was examined using 13 simple sequence repeat (SSR) markers. A
total of 69 alleles were detected, with an average of 5.31 alleles per locus. The
polymorphism information content of SSR markers ranged from 0.19 to 0.57 (average
0.38). Based on cluster analysis, two major groups were defined. The first major
group included only one accession (61), while the rest of all accessions grouped
in the second major group and separated into different sub-clusters. Based on SSR
markers, cluster analysis indicated that considerably high genetic variability
exists among the examined accessions; however, Turkish snake melon accessions
were grouped together with the reference snake melon accessions.
PMID- 27193592
TI - Lessons from the fatal French study BIA-10-2474.
PMID- 27193594
TI - Cognitive Psychophysiological Substrates of Affective Temperaments.
AB - Affective temperaments are the subclinical manifestations or phenotypes of mood
states and hypothetically represent one healthy end of the mood disorder
spectrum. However, there is a scarcity of studies investigating the
neurobiological basis of affective temperaments. One fundamental aspect of
temperament is the behavioral reactivity to environmental stimuli, which can be
effectively evaluated by use of cognitive event-related potentials (ERPs)
reflecting the diversity of information processing. The aim of the present study
is to explore the associations between P300 and the affective temperamental
traits in healthy individuals. We recorded the P300 ERP waves using an auditory
oddball paradigm in 50 medical student volunteers (23 females, 27 males).
Participants' affective temperaments were evaluated using the Temperament
Evaluation of Memphis, Pisa, Paris, and San Diego-auto questionnaire version
(TEMPS-A). In bivariate analyses, depressive temperament score was significantly
correlated with P300 latency ( rs = 0.37, P < .01). In a multiple linear
regression analysis, P300 latency showed a significant positive correlation with
scores of depressive temperament (beta = 0.40, P < .01) and a significant
negative one with scores of cyclothymic temperament (beta = -0.29, P = .03).
Affective temperament scores were not associated with P300 amplitude and reaction
times. These results indicate that affective temperaments are related to
information processing in the brain. Depressive temperament may be characterized
by decreased physiological arousal and slower information processing, while the
opposite was observed for cyclothymic temperament.
PMID- 27193593
TI - Genome engineering and plant breeding: impact on trait discovery and development.
AB - KEY MESSAGE: New tools for the precise modification of crops genes are now
available for the engineering of new ideotypes. A future challenge in this
emerging field of genome engineering is to develop efficient methods for allele
mining. Genome engineering tools are now available in plants, including major
crops, to modify in a predictable manner a given gene. These new techniques have
a tremendous potential for a spectacular acceleration of the plant breeding
process. Here, we discuss how genetic diversity has always been the raw material
for breeders and how they have always taken advantage of the best available
science to use, and when possible, increase, this genetic diversity. We will
present why the advent of these new techniques gives to the breeders extremely
powerful tools for crop breeding, but also why this will require the breeders and
researchers to characterize the genes underlying this genetic diversity more
precisely. Tackling these challenges should permit the engineering of optimized
alleles assortments in an unprecedented and controlled way.
PMID- 27193595
TI - Race, Medical Mistrust, and Segregation in Primary Care as Usual Source of Care:
Findings from the Exploring Health Disparities in Integrated Communities Study.
AB - Compared to White Americans, African-Americans are less likely to use primary
care (PC) as their usual source of care. This is generally attributed to race
differences in socioeconomic status and in access to primary care services.
Little is known about the relationship between race differences in medical
mistrust and the usual source of care disparity. Using data from the Exploring
Health Disparities in Integrated Communities (EHDIC) study, we examined the role
of medical mistrust in choosing usual source of care in 1408 black and white
adults who were exposed to the same healthcare facilities and low-income racially
integrated community. Multinomial logistic regression models were estimated to
examine the relationship between race, medical mistrust, and usual source of
care. After adjusting for demographic and health-related factors, African
Americans were more likely than whites to use the emergency department (ED)
(relative risk ratio [RRR] = 1.43 (95 % confidence interval (CI) [1.06-1.94]))
and hospital outpatient department (RRR1.50 (95 %CI [1.10-2.05])) versus primary
care as a usual source of care. When medical mistrust was added to the model, the
gap between African-Americans' and whites' risk of using the ED versus primary
care as a usual source of care closed (RRR = 1.29; 95 % CI [0.91-1.83]). However,
race differences in the use of the hospital outpatient department remained even
after accounting for medical mistrust (RRR = 1.67; 95 % CI [1.16-2.40]).
Accounting for medical mistrust eliminated the ED-as-usual-source of care
disparity. This study highlights the importance of medical mistrust as an
intervention point for decreasing ED use as a usual source of care by low-income,
urban African-Americans.
PMID- 27193596
TI - Influence of cysteine 164 on active site structure in rat cysteine dioxygenase.
AB - Cysteine dioxygenase is a non-heme mononuclear iron enzyme with unique structural
features, namely an intramolecular thioether cross-link between cysteine 93 and
tyrosine 157, and a disulfide bond between substrate L-cysteine and cysteine 164
in the entrance channel to the active site. We investigated how these
posttranslational modifications affect catalysis through a kinetic,
crystallographic and computational study. The enzyme kinetics of a C164S variant
are identical to WT, indicating that disulfide formation at C164 does not
significantly impair access to the active site at physiological pH. However, at
high pH, the cysteine-tyrosine cross-link formation is enhanced in C164S. This
supports the view that disulfide formation at position 164 can limit access to
the active site. The C164S variant yielded crystal structures of unusual clarity
in both resting state and with cysteine bound. Both show that the iron in the
cysteine-bound complex is a mixture of penta- and hexa-coordinate with a water
molecule taking up the final site (60 % occupancy), which is where dioxygen is
believed to coordinate during turnover. The serine also displays stronger
hydrogen bond interactions to a water bound to the amine of the substrate
cysteine. However, the interactions between cysteine and iron appear unchanged.
DFT calculations support this and show that WT and C164S have similar binding
energies for the water molecule in the final site. This variant therefore
provides evidence that WT also exists in an equilibrium between penta- and hexa
coordinate forms and the presence of the sixth ligand does not strongly affect
dioxygen binding.
PMID- 27193599
TI - Giant mesenterial gastrointestinal stromal tumour mimicking a permagna hernia: a
wolf in sheep's clothing.
PMID- 27193597
TI - Mapping adipose and muscle tissue expression quantitative trait loci in African
Americans to identify genes for type 2 diabetes and obesity.
AB - Relative to European Americans, type 2 diabetes (T2D) is more prevalent in
African Americans (AAs). Genetic variation may modulate transcript abundance in
insulin-responsive tissues and contribute to risk; yet, published studies
identifying expression quantitative trait loci (eQTLs) in African ancestry
populations are restricted to blood cells. This study aims to develop a map of
genetically regulated transcripts expressed in tissues important for glucose
homeostasis in AAs, critical for identifying the genetic etiology of T2D and
related traits. Quantitative measures of adipose and muscle gene expression, and
genotypic data were integrated in 260 non-diabetic AAs to identify expression
regulatory variants. Their roles in genetic susceptibility to T2D, and related
metabolic phenotypes, were evaluated by mining GWAS datasets. eQTL analysis
identified 1971 and 2078 cis-eGenes in adipose and muscle, respectively. Cis
eQTLs for 885 transcripts including top cis-eGenes CHURC1, USMG5, and ERAP2 were
identified in both tissues. 62.1 % of top cis-eSNPs were within +/-50 kb of
transcription start sites and cis-eGenes were enriched for mitochondrial
transcripts. Mining GWAS databases revealed association of cis-eSNPs for more
than 50 genes with T2D (e.g. PIK3C2A, RBMS1, UFSP1), gluco-metabolic phenotypes
(e.g. INPP5E, SNX17, ERAP2, FN3KRP), and obesity (e.g. POMC, CPEB4). Integration
of GWAS meta-analysis data from AA cohorts revealed the most significant
association for cis-eSNPs of ATP5SL and MCCC1 genes, with T2D and BMI,
respectively. This study developed the first comprehensive map of adipose and
muscle tissue eQTLs in AAs (publically accessible at
https://mdsetaa.phs.wakehealth.edu ) and identified genetically regulated
transcripts for delineating genetic causes of T2D, and related metabolic
phenotypes.
PMID- 27193600
TI - New variant of acute promyelocytic leukemia with IRF2BP2-RARA fusion.
AB - We present an acute promyelocytic leukemia (APL) patient with two subtypes of
IRF2BP2-RARA, in which the IRF2BP2 gene showed completely new breakpoints. Bone
marrow examination revealed morphologic features indicative of APL. However,
promyelocytic leukemia-RARA fusion was not detected. A paired-end mRNA sequencing
followed by RT-PCR and direct sequencing revealed two types of fusion transcripts
between exon 1B of IRF2BP2 and exon 3 of RARA. The patient received all-trans
retinoic acid and conventional chemotherapy, but showed resistance. This is the
second report of IRF2BP2 involvement in APL, and we describe various breakpoints
for the IRF2BP2-RARA fusion gene.
PMID- 27193598
TI - The simple neuroendocrine-immune regulatory network in oyster Crassostrea gigas
mediates complex functions.
AB - The neuroendocrine-immune (NEI) regulatory network is a complex system, which
plays an indispensable role in the immunity of the host. In the present study,
the bioinformatical analysis of the transcriptomic data from oyster Crassostrea
gigas and further biological validation revealed that oyster TNF (CgTNF-1
CGI_10018786) could activate the transcription factors NF-kappaB and HSF (heat
shock transcription factor) through MAPK signaling pathway, and then regulate
apoptosis, redox reaction, neuro-regulation and protein folding in oyster
haemocytes. The activated immune cells then released neurotransmitters including
acetylcholine, norepinephrine and [Met(5)]-enkephalin to regulate the immune
response by arising the expression of three TNF (CGI_10005109, CGI_10005110 and
CGI_10006440) and translocating two NF-kappaB (Cgp65, CGI_10018142 and CgRel,
CGI_10021567) between the cytoplasm and nuclei of haemocytes. Neurotransmitters
exhibited the immunomodulation effects by influencing apoptosis and phagocytosis
of oyster haemocytes. Acetylcholine and norepinephrine could down-regulate the
immune response, while [Met(5)]-enkephalin up-regulate the immune response. These
results suggested that the simple neuroendocrine-immune regulatory network in
oyster might be activated by oyster TNF and then regulate the immune response by
virtue of neurotransmitters, cytokines and transcription factors.
PMID- 27193601
TI - Metastatic renal cell carcinoma imaging evaluation in the era of anti-angiogenic
therapies.
AB - During the last decade, the arsenal of anti-angiogenic (AAG) agents used to treat
metastatic renal cell carcinoma (RCC) has grown and revolutionized the treatment
of metastatic RCC, leading to improved overall survival compared to conventional
chemotherapy and traditional immunotherapy agents. AAG agents include inhibitors
of vascular endothelial growth factor receptor signaling pathways and mammalian
target of rapamycin inhibitors. Both of these classes of targeted agents are
considered cytostatic rather than cytotoxic, inducing tumor stabilization rather
than marked tumor shrinkage. As a result, decreases in tumor size alone are often
minimal and/or occur late in the course of successful AAG therapy, while tumor
devascularization is a distinct feature of AAG therapy. In successful AAG
therapy, tumor devascularization manifests on computed tomography images as a
composite of a decrease in tumor size, a decrease in tumor attenuation, and the
development of tumor necrosis. In this article, we review Response Evaluation
Criteria in Solid Tumors (RECIST)-the current standard of care for tumor
treatment response assessment which is based merely on changes in tumor length
and its assessment of metastatic RCC tumor response in the era of AAG therapies.
We then review the features of an ideal tumor imaging biomarker for predicting
metastatic RCC response to a particular AAG agent and serving as a longitudinal
tumor response assessment tool. Finally, a discussion of the more recently
proposed imaging response criteria and new imaging trends in metastatic RCC
response assessment will be reviewed.
PMID- 27193602
TI - Bending the Cost Curve in Childhood Cancer.
AB - Healthcare for children with cancer costs significantly more than other children.
Cost reduction efforts aimed toward relatively small populations of patients that
use a disproportionate amount of care, like childhood cancer, could have a
dramatic impact on healthcare spending. The aims of this review are to provide
stakeholders with an overview of the drivers of financial costs of childhood
cancer and to identify possible directions to curb or decrease these costs. Costs
are incurred throughout the spectrum of care. Recent trends in pharmaceutical
costs, evidence identifying the contribution of administration costs, and overuse
of surveillance studies are described. Awareness of cost and value, i.e., the
outcome achieved per dollar or burden spent, in delivery of care and research is
necessary to bend the cost curve. Incorporation of these dimensions of care
requires methodology development, prioritization, and ethical balance.
PMID- 27193603
TI - Allogeneic Liver Transplantation and Subsequent Syngeneic Hepatocyte
Transplantation in a Rat Model: Proof of Concept for in vivo Tissue Engineering.
AB - OBJECTIVES: Stable long-term functioning of liver cells after transplantation in
humans is still not achieved successfully. A new approach for successful
engraftment of liver cells may be the transplantation of syngeneic cells into an
allogeneic liver graft. We therefore developed a new rat model for combined liver
and liver cell transplantation (cLCTx) under stable immunosuppression. MATERIALS
AND METHODS: After inducing a mitotic block, liver grafts from female donor rats
(Dark Agouti) were transplanted into female recipients (Lewis). In male Lewis
rats, liver cell proliferation was induced with subsequent cell isolation and
transplantation into female recipients after organ transplantation. Y-chromosome
detection of the transplanted male cells was performed by quantitative polymerase
chain reaction (qPCR) and fluorescence in situ hybridization (FisH) with
localization of transplanted cells by immunohistochemistry. RESULTS:
Immunohistochemistry demonstrated the engraftment of transplanted cells, as
confirmed by FisH, showing repopulation of the liver graft with 15.6% male cells
(+/- 1.8 SEM) at day 90. qPCR revealed 14.15% (+/- 5.09 SEM) male DNA at day 90.
CONCLUSION: Engraftment of transplanted syngeneic cells after cLCTx was achieved
for up to 90 days under immunosuppression. Immunohistochemistry indicated cell
proliferation, and the FisH results were partly confirmed by qPCR. This new
protocol in rats appears feasible for addressing long-term functioning and
eventually the induction of operational tolerance in the future.
PMID- 27193605
TI - Disease caused by Yersinia ruckeri serotype O2b found in Chilean-farmed coho
salmon, Oncorhynchus kisutch (Walbaum, 1792).
PMID- 27193604
TI - Effects of light environment during growth on the expression of cone opsin genes
and behavioral spectral sensitivities in guppies (Poecilia reticulata).
AB - BACKGROUND: The visual system is important for animals for mate choice, food
acquisition, and predator avoidance. Animals possessing a visual system can sense
particular wavelengths of light emanating from objects and their surroundings and
perceive their environments by processing information contained in these visual
perceptions of light. Visual perception in individuals varies with the absorption
spectra of visual pigments and the expression levels of opsin genes, which may be
altered according to the light environments. However, which light environments
and the mechanism by which they change opsin expression profiles and whether
these changes in opsin gene expression can affect light sensitivities are largely
unknown. This study determined whether the light environment during growth
induced plastic changes in opsin gene expression and behavioral sensitivity to
particular wavelengths of light in guppies (Poecilia reticulata). RESULTS:
Individuals grown under orange light exhibited a higher expression of long
wavelength-sensitive (LWS) opsin genes and a higher sensitivity to 600-nm light
than those grown under green light. In addition, we confirmed that variations in
the expression levels of LWS opsin genes were related to the behavioral
sensitivities to long wavelengths of light. CONCLUSIONS: The light environment
during the growth stage alters the expression levels of LWS opsin genes and
behavioral sensitivities to long wavelengths of light in guppies. The plastically
enhanced sensitivity to background light due to changes in opsin gene expression
can enhance the detection and visibility of predators and foods, thereby
affecting survival. Moreover, changes in sensitivities to orange light may lead
to changes in the discrimination of orange/red colors of male guppies and might
alter female preferences for male color patterns.
PMID- 27193606
TI - Cereal fibre intake and risk of mortality from all causes, CVD, cancer and
inflammatory diseases: a systematic review and meta-analysis of prospective
cohort studies.
AB - Dietary fibre intake has been associated with a lower risk of mortality; however,
findings on the association of different sources of dietary fibre with mortality
are conflicting. We performed a systematic review and meta-analysis of the
prospective cohort studies to assess the relation between cereal fibre intake and
cause-specific mortality. Medline/PubMed, SCOPUS, EMBASE, ISI web of Science and
Google scholar were searched up to April 2015. Eligible prospective cohort
studies were included if they provided hazard ratios (HR) or relative risks (RR)
and corresponding 95 % CI for the association of cereal fibre intake and
mortality from all causes, CVD, cancer and inflammatory diseases. The study
specific HR were pooled by using the random-effects model. In total, fourteen
prospective studies that examined the association of cereal fibre intake with
mortality from all causes (n 48 052 death), CVD (n 16 882 death), cancer (n 19
489 death) and inflammatory diseases (n 1092 death) were included. The pooled
adjusted HR of all-cause mortality for the highest v. the lowest category of
cereal fibre intake was 0.81 (95 % CI 0.79, 0.83). Consumption of cereal fibre
intake was associated with an 18 % lower risk of CVD mortality (RR 0.82; 95 % CI
0.78, 0.86). Moreover, an inverse significant association was observed between
cereal fibre intake and risk of death from cancer (RR 0.85; 95 % CI 0.81, 0.89).
However, no significant association was seen between cereal fibre intake and
inflammation-related mortality. This meta-analysis provides further evidence that
cereal fibre intake was protectively associated with mortality from all causes,
CVD and cancer.
PMID- 27193607
TI - Concurrent Longitudinal EPR Monitoring of Tissue Oxygenation, Acidosis, and
Reducing Capacity in Mouse Xenograft Tumor Models.
AB - Tissue oxygenation, extracellular acidity, and tissue reducing capacity are among
crucial parameters of tumor microenvironment (TME) of significant importance for
tumor pathophysiology. In this paper, we demonstrate the complementary
application of particulate lithium octa-n-butoxy-naphthalocyanine and soluble
nitroxide paramagnetic probes for monitoring of these TME parameters using
electron paramagnetic resonance (EPR) technique. Two different types of
therapeutic interventions were studied: hypothermia and systemic administration
of metabolically active drug. In summary, the results demonstrate the utility of
EPR technique for non-invasive concurrent longitudinal monitoring of
physiologically relevant chemical parameters of TME in mouse xenograft tumor
models, including that under therapeutic intervention.
PMID- 27193609
TI - A Review of 3D Printing Techniques and the Future in Biofabrication of Bioprinted
Tissue.
AB - 3D printing has been around in the art, micro-engineering, and manufacturing
worlds for decades. Similarly, research for traditionally engineered skin tissue
has been in the works since the 1990s. As of recent years, the medical field also
began to take advantage of the untapped potential of 3D printing for the
biofabrication of tissue. To do so, researchers created a set of goals for
fabricated tissues based on the characteristics of natural human tissues and
organs. Fabricated tissue was then measured against this set of standards.
Researchers were interested in not only creating tissue that functioned like
natural tissues but in creating techniques for 3D printing that would print
tissues quickly, efficiently, and ultimately result in the ability to mass
produce fabricated tissues. Three promising methods of 3D printing emerged from
their research: thermal inkjet printing with bioink, direct-write bioprinting,
and organ printing using tissue spheroids. This review will discuss all three
printing techniques, as well as their advantages, disadvantages, and the
possibility of future advancements in the field of tissue fabrication.
PMID- 27193608
TI - Hypoxia Augments Increased HIF-1alpha and Reduced Survival Protein p-Akt in
Gelsolin (GSN)-Dependent Cardiomyoblast Cell Apoptosis.
AB - Cytoskeleton filaments play an important role in cellular functions such as
maintaining cell shape, cell motility, intracellular transport, and cell
division. Actin-binding proteins (ABPs) have numerous functions including
regulation of actin filament nucleation, elongation, severing, capping, cross
linking, and actin monomer sequestration. Gelsolin (GSN) is one of the actin
binding proteins. Gelsolin (GSN) is one of the actin-binding proteins that
regulate cell morphology, differentiation, movement, and apoptosis. GSN also
regulates cell morphology, differentiation, movement, and apoptosis. In this
study, we have used H9c2 cardiomyoblast cell and H9c2-GSN stable clones to
understand the roles and mechanisms of GSN overexpression in hypoxia-induced
cardiomyoblast cell death. The data show that hypoxia or GSN overexpression
induces HIF-1alpha expression and reduces the expression of survival markers p
Akt and Bcl-2 in H9c2 cardiomyoblast cells. Under hypoxic conditions, GSN
overexpression further reduces p-Akt expression and elevates total as well as
cleaved GSN levels and HIF-1alpha levels. In addition, GSN overexpression
enhances apoptosis in cardiomyoblasts under hypoxia. Hypoxic challenge further
induced activated caspase-3 and cell death that was attenuated after GSN knock
down, which implies that GSN is a critical therapeutic target against hypoxia
induced cardiomyoblast cell death.
PMID- 27193611
TI - A novel smart supramolecular organic gelator exhibiting dual-channel responsive
sensing behaviours towards fluoride ion via gel-gel states.
AB - A novel smart supramolecular organic gelator G-16 containing anion and metal
coordination ability has been designed and synthesized. It shows excellent and
robust gelation capability as a strong blue fluorescent supramolecular organic
gel OG in DMF. Addition of Zn(2+) produced Zn(2+)-coordinated supramolecular
metallogel OG-Zn. Organic gel OG and organometallic gel OG-Zn exhibited efficient
and different sensing behaviors towards fluoride ion due to the variation in self
assembling nature. Supramolecular metallogel OG-Zn displayed specific selectivity
for fluoride ion and formed OG-Zn-F with dramatic color change from blue to blue
green in solution and gel to gel states. Furthermore after directly addition of
fluoride into OG produced fluoride containing organic gel OG-F with drastically
modulation in color from blue to greenish yellow fluorescence via strong
aggregation-induced emission (AIE) property. A number of experiments were
conducted such as FTIR, (1)H NMR, and UV/Vis spectroscopies, XRD, SEM and
rheology. These results revealed that the driving forces involved in self
assembly of OG, OG-Zn, OG-Zn-F and OG-F were hydrogen bonding, metal
coordination, pi-pi interactions, and van der Waal forces. In contrast to the
most anion responsive gels, particularly fluoride ion responsive gels showed gel
sol state transition on stimulation by anions, the gel state of OG and OG-Zn did
not show any gel-to-sol transition during the whole F(-) response process.
PMID- 27193612
TI - Isolation of human salivary extracellular vesicles by iodixanol density gradient
ultracentrifugation and their characterizations.
AB - Diagnostic methods that focus on the extracellular vesicles (EVs) present in
saliva have been attracting great attention because of their non-invasiveness.
EVs contain biomolecules such as proteins, messenger RNA (mRNA) and microRNA
(miRNA), which originate from cells that release EVs, making them an ideal source
for liquid biopsy. Although there have been many reports on density-based
fractionation of EVs from blood and urine, the number of reports on EVs from
saliva has been limited, most probably because of the difficulties in separating
EVs from viscous saliva using density gradient centrifugation. This article
establishes a protocol for the isolation of EVs from human saliva using density
gradient centrifugation. The fractionated salivary EVs were characterized by
atomic force microscopy, western blot and reverse transcription polymerase chain
reaction. The results indicate that salivary EVs have a smaller diameter (47.8+/
12.3 nm) and higher density (1.11 g/ml) than EVs isolated from conditioned cell
media (74.0+/-23.5 nm and 1.06 g/ml, respectively). Additionally, to improve the
throughput of density-based fractionation of EVs, the original protocol was
further modified by using a fixed angle rotor instead of a swinging rotor. It was
also confirmed that several miRNAs were expressed strongly in the EV-marker
expressing fractions.
PMID- 27193610
TI - Benefit and Risk of Tofacitinib in the Treatment of Rheumatoid Arthritis: A Focus
on Herpes Zoster.
AB - The biologics have revolutionized the treatment of rheumatoid arthritis (RA).
However, there are still patients that are difficult to control and a cure is
still not achievable. Tofacitinib, a Janus kinase (JAK) inhibitor is an orally
available, new-in-class, disease-modifying anti-rheumatic drug with similar
efficacy to biologics. JAK is activated by multiple cytokines involved in the
pathology of RA, and affects non-immune and immune cells, mainly the lymphocytes.
Besides its anti-rheumatic effect, the recent focus has been on adverse events.
As with other biologics, serious infections have been observed especially with
patients with lymphopenia, consistent with the mechanism of action. The major
difference in adverse events from other disease-modifying anti-rheumatic drugs is
the prominent increase in the occurrence of herpes zoster; it is increased
worldwide, especially in Asia. There are other concerns such as malignancies and
hyperlipidemia that may cause cardiovascular events that deserve further
attention. The first JAK inhibitor for RA is demonstrating great benefit along
with some risk, providing insights into the post-biologic era.
PMID- 27193613
TI - Improving hand hygiene compliance in child daycare centres: a randomized
controlled trial.
AB - Gastrointestinal and respiratory infections in children attending daycare centres
(DCCs) are common and compliance with hand hygiene (HH) guidelines to prevent
infections is generally low. An intervention was developed to increase HH
compliance and reduce infections in DCCs. The objective of this paper was to
evaluate the effectiveness of this intervention on HH compliance. The
intervention was evaluated in a two-arm cluster randomized controlled trial in 71
DCCs in The Netherlands. Thirty-six DCCs received the intervention including: (1)
HH products; (2) training about HH guidelines; (3) two team training sessions
aimed at goal setting and formulating HH improvement activities; and (4)
reminders and cues for action (posters/stickers). Intervention DCCs were compared
to 35 control DCCs that continued usual practice. HH compliance of caregivers and
children was observed at baseline and at 1, 3 and 6 months follow-up. Using
multilevel logistic regression, odds ratios (ORs) with 95% confidence intervals
(CIs) were obtained for the intervention effect. Of 795 caregivers, 5042 HH
opportunities for caregivers and 5606 opportunities for supervising children's HH
were observed. At 1 month follow-up caregivers' compliance in intervention DCCs
was 66% vs. 43% in control DCCs (OR 6.33, 95% CI 3.71-10.80), and at 6 months 59%
vs. 44% (OR 4.13, 95% CI 2.33-7.32). No effect of the intervention was found on
supervising children's HH (36% vs. 32%; OR 0.64, 95% CI 0.18-2.33). In
conclusion, HH compliance of caregivers increased due to the intervention,
therefore dissemination of the intervention can be considered.
PMID- 27193614
TI - Insect Seed Predators in Erythrina falcata (Fabaceae): Identification of
Predatory Species and Ecological Consequences of Asynchronous Flowering.
AB - Seed predation by insects exerts negative effects on plant reproduction by
limiting the supply of seeds and preventing germination. Seed predators of the
family Fabaceae are usually generalists, which increases the rate of predation.
One strategy to minimize seed predation, developed by plants from temperate
regions, is "escape in time," i.e., flowering before or after the peak of
predation. For tropical species, few studies have investigated the strategies
used by plants to minimize seed predation. Here, using Erythrina falcata, a
tropical species of Fabaceae, we test three main hypotheses: (i) escape in time
is a mechanism used by E. falcata to minimize seed predation, (ii) the predators
of E. falcata seeds are generalists, and (iii) the biometric variables of the
pods can influence seed predation. In order to test these hypotheses, we
determined the flowering time of E. falcata, rate of seed predation, the
predators insects, and biometric variables of the pods. The analyzed trees were
grouped into three classes: "early," "peak," and "late" flowering. The average
seed predation rates on trees in the early and late classes were 65% and 50%,
respectively, and in the peak class, 80%; thus, our first hypothesis can be
accepted. Three species of Lepidoptera and two of Coleoptera were found preying
on E. falcata seeds. These species were observed to be generalist predators;
thus, our second hypothesis can be accepted. The biometric variables of the pods
cannot influence seed predation rate. The ecological consequences of asynchronous
flowering on plants and insects are discussed.
PMID- 27193615
TI - Sperm Bundles in the Seminal Vesicle of the Crematogaster victima (Smith) Adult
Males (Hymenoptera: Formicidae).
AB - This study establishes the presence of spermatodesm in the seminal vesicles of
sexually mature males of Crematogaster victima (Smith). In this species, the
spermatozoa are maintained together by an extracellular matrix in which the
acrosomal regions are embedded. This characteristic has not yet been observed in
any other Aculeata. However, the sperm morphology in this species is similar to
that described for other ants. The spermatozoa measure on average 100 MUm in
length, and the number of sperm per bundle is up to 256. They are composed of a
head formed by the acrosome and nucleus; this is followed by the flagellum, which
is formed by the centriolar adjunct, an axoneme with a 9 + 9 + 2 microtubule
pattern, two mitochondrial derivatives, and two accessory bodies. The acrosome is
formed by the acrosomal vesicle and perforatorium. The nucleus is filled with
compact chromatin with many areas of thick and non-compacted filaments. Both
mitochondrial derivatives have the same shape and diameters. The presence of
sperm bundles in sexually mature males differentiates C. victima from other ants;
however, the similarities in the sperm ultrastructure support the monophyly of
this insect group.
PMID- 27193617
TI - Paulogramma hydarnis (n. comb.) (Nymphalidae: Biblidinae): Distribution,
Systematic Position, and Conservation Status of a Rare and Endangered Butterfly.
AB - The nymphalid Paulogramma hydarnis (Godart) (n. comb., previously in the genus
Callicore) is an endangered butterfly present in a few montane sites in the
Atlantic Forest in the Southeastern Brazil. The precise systematic position of P.
hydarnis was previously unknown. Based on molecular data, we find that it is
sister to Paulogramma pygas (Godart) (n. comb., also previously in Callicore), a
common and widespread species in the Neotropics. In addition, we find that
Callicore is not monophyletic and that "Callicore" hydarnis (along with other
species) is more related to the genus Paulogramma, and should thus be placed in
that genus. The genus Paulogramma is now composed by the following species:
Paulogramma pyracmon (Godart), Paulogramma eunomia (Hewitson) n. comb.,
Paulogramma hydarnis (Godart) n. comb., Paulogramma hystaspes (Fabricius) n.
comb., Paulogramma pygas (Godart) n. comb., and Paulogramma tolima (Hewitson,
1852) n. comb. Museum specimens and field data report P. hydarnis in four sites
in Southeastern Brazil. Recently, P. hydarnis was recorded for the first time at
Parque Nacional do Caparao, states of Espirito Santo and Minas Gerais, expanding
its distribution about 200 km northward of the previously known limit. Although
regularly recorded in some sites, most records are historic, before the 1960s,
and the current conservation situation of this species is delicate, deserving
attention.
PMID- 27193616
TI - Two New Species of the Genus Xistra Bolivar (Orthoptera: Tetrigidae) from China.
AB - Two new species of the tetrigid genus Xistra Bolivar from China, namely Xistra
oculata Li, Deng et Zheng n. sp. and Xistra brachynota Li, Deng et Zheng n. sp.
are described. An updated key to all known species of the genus is given.
PMID- 27193618
TI - Three New Species of the Genus Alulatettix Liang from China (Orthoptera:
Tetrigidae).
AB - Characters of the tetrigid genus Alulatettix Liang are redescribed. Three new
species, Alulatettix nigromarginalis n. sp., Alulatettix flavotibialis n. sp.,
and Alulatettix tianzhushanensis n. sp., are described. An updated key to the
species of this genus is provided.
PMID- 27193619
TI - Description of a New Species of Neoadoxoplatys and Immature Stages of
Neoadoxoplatys saileri Kormilev (Heteroptera: Pentatomidae) Associated with
Bamboo.
AB - Neoadoxoplatys thomasi is described as a new species from Mexico and Venezuela.
The immature stages of Neoadoxoplatys saileri Kormilev are presented for the
first time. Immature stages are described and illustrated, some bamboo species of
Guadua are recorded as hosts, distributional records in Mexico are also included;
scanning electron micrographs of the eggs of N. saileri are provided. A key to
separate the four known species of Neoadoxoplatys is included.
PMID- 27193620
TI - Morphometric Variation on the Cypress Aphid Cinara cupressi (Buckton) (Hemiptera:
Aphididae) Associated to Urban Trees.
AB - Cinara cupressi (Buckton) is an important aphid pest of the Cupressaceae family,
originally reported in Chile in 2003. Since then, it has spread over 4,000 km,
contributing to conservation issues, mostly associated with native and urban
trees of the Cupressaceae. In the present work, the morphometric variation of C.
cupressi was examined to determine if the species present in Chile corresponds to
a specific entity, and to identify variations among specimens from different
localities in the study area. Colonies were collected from urban trees from
northern, central, and southern Chile. Morphometric data for 14 characters in
aphids from 63 localities in all the distribution ranges were measured and
analyzed by multivariate analysis. Results showed that the species present in
Chile corresponds to C. cupressi like a single specific entity, showing no
morphological variation across the regions sampled. Our data will be discussed
within the context of correct taxonomic identification for the implementation of
effective biological control strategies.
PMID- 27193621
TI - Allelic Variability in the Intronic Region of the Fibroin Heavy-Chain Gene in
Silkworm Bombyx mori L. Strains of Brazilian Germplasm Bank.
AB - The domesticated silkworm Bombyx mori L. is currently found only in germplasm
banks. Therefore, characterization and conservation of this genetic resource is
crucial. Based on previous studies that revealed nucleotide differences in
silkworm strains, the intron of the fibroin heavy chain gene (H-fib) can be used
for molecular silkworm characterization. The H-fib gene has two exons and a
unique intron, and encodes the principal component of the silk fiber, the fibroin
heavy chain. Therefore, this study aimed to identify the genetic variability of
the unique intron of H-fib gene of 20 silkworm strains maintained at the
Universidade Estadual de Maringa Brazilian Germplasm Bank (UBGB) by conformation
sensitive gel electrophoresis (CSGE) and nucleotide sequencing. Genomic DNA
extracted from silkworm moths was PCR amplified. CSGE revealed that most of the
analyzed silkworm strains had only homoduplex molecules. However, DNA from the
Japanese strains B106, B82, and M12-2 had two extra DNA fragments produced by
heteroduplex molecules, revealing variation between alleles. Sequencing of the H
fib intron was used to confirm the variation previously detected by CSGE and
detected a significant polymorphism characterized by a 17-base pair (bp)
deletion, a 2-bp insertion, and eight nucleotide substitutions. Although genetic
and allelic variability was detected in some silkworm strains, the intron of the
H-fib gene revealed not to be the best molecular marker for the characterization
of B. mori strains from UBGB.
PMID- 27193622
TI - Morphology and Distribution of Sensilla on the Antennae of Hylamorpha elegans
Burmeister (Coleoptera: Scarabaeidae).
AB - Considering that sensilla constitute important functional elements of sensory
systems in insects, the aim of this study was to determine the type and
distribution of sensilla in the antennae of Hylamorpha elegans Burmeister
examined by scanning electron microscopy. Hylamorpha elegans antennae are
lamellate and consist of the scape, pedicel, and flagellum. The antennal club of
this beetle consists of three terminal plates: proximal, middle, and distal
lamellae. Four types of sensilla were observed in the lamellae from both sexes:
sensilla trichoidea, chaetica, coeloconica, and placodea. Antennal length was
larger in males than in females, and significant sexual variation in the number
of sensilla placodea and sensilla coeloconica was observed.
PMID- 27193624
TI - Characterization of Injury Caused by Edessa meditabunda (F.), Chinavia
impicticornis (Stal), and Piezodorus guildinii (West.) (Hemiptera: Pentatomidae)
to Soybean.
AB - Field cage trials were carried out in Ponta Grossa, Parana, season 2010/2011,
aiming to characterize and evaluate the injury caused by Edessa meditabunda (F.),
Chinavia impicticornis (Stal), and Piezodorus guildinii (West.) (Hemiptera:
Pentatomidae) to soybean. Non-infested plants were compared with infested plants
with 2 and 3 adults/m (number of adult specimens per linear meter) at the R5.1
R9, R5.3-R9, R6-R9, and R7-R9 soybean growing stages. The following variables
were analyzed: foliar retention, seed germination, and injury levels estimated by
the tetrazolium test. Foliar retention index ranged from 2.3 to 4.1 in plants
infested with P. guildinii and from 2.6 to 3.3 in plants infested with E.
meditabunda and C. impicticornis, respectively. The lowest seed germination
ranged from 66.5 to 76.5% and was observed in seeds from plants infested with 2
adults of C. impicticornis at R5.3-R9 and 3 adults of P. guildinii (R5.1-R9) and
E. meditabunda (R7-R9). The highest average percentage of injury level for seeds
occurred with seeds from plants infested at R5.1 with 2 or 3 adults/m of P.
guildinii, ranging from 22.6 to 25.0% and from 63.6 to 68.0% in the first and
second trials, respectively. Edessa meditabunda caused the highest damage to the
seeds of plants infested at R7 (34.0 and 41.4%), and 2 adults/m of C.
impicticornis caused the highest number of damaged seeds (54.0%) in plants
infested at R6. Although both species were less harmful than P. guildinii, they
could be a constraint factor for grain and seed commercialization.
PMID- 27193623
TI - Molecular Characterization and In Silico Analysis of the Pheromone-Binding
Protein of the European Grapevine Moth Lobesia botrana (Denis & Schiffermuller)
(Lepidoptera, Tortricidae).
AB - The European grapevine moth Lobesia botrana (Denis & Schiffermuller) is an
economically important insect in Europe. The species invaded vineyards in Chile,
Argentina, and California during 2008-2010 causing severe problems. A major
component of the sex pheromone, (E,Z)-7,9-dodecadienyl acetate (E7,Z9-12:Ac), is
used in a mating disruption technique when grapevine moth populations are low or
to monitor pest numbers. It is thought that these sexual pheromones are blends of
volatiles that typically are specific to a species and are transported in the
insect antenna by pheromone-binding proteins (PBPs) across the sensillar lymph to
the olfactory receptors. Currently, an increasing number of Lepidopteran PBPs are
being identified and cloned. However, there are no studies of the olfactory
system and of proteins involved in the olfactory perception of L. botrana at the
molecular level. In the present study, we report, for the first time, the
sequence of a PBP from L. botrana (LbotPBP), which was determined using reverse
transcription technology. Homology modeling was used to generate the three
dimensional protein structure. The model suggests that PBP consists of six alpha
helices as follows: Lys2-Met23 (alpha1), Thr28-Phe36 (alpha2), Arg46-Leu59
(alpha3), His70-Asn80 (alpha4), Glu84-Asn100 (alpha5), and Cys108-Lys125
(alpha6), held together by three disulfide bridges, Cys19-Cys54, Cys50-Cys108,
and Cys97-Cys117. Docking simulations based on this model suggested that Trp114
is a key residue in the recognition of acetate pheromones, such as E7,Z9-12:Ac.
In silico results in this study are consistent with previous findings in which
E7,Z9-12:Ac acts as the most active compound in behavioral and
electroantennographic assays.
PMID- 27193625
TI - Ecological Characterization of White Grubs (Coleoptera: Melolonthidae) Community
in Cultivated and Noncultivated Fields.
AB - Comparative studies on the density and diversity of white grubs community
(Coleoptera: Melolonthidae) occurring in cultivated and noncultivated fields of
the Planalto region of the state of Rio Grande do Sul, Brazil, are presented.
Sampling was carried out in 23 municipalities during the 2009 and 2010 winter
seasons. Cultivated and noncultivated fields were chosen in each locality.
Melolontid larvae were collected for identification and counted to determine the
population density. A mean of 12.9 larvae m(-2) were collected in cultivated
areas against 10.5 larvae m(-2) in noncultivated areas. The latter were more
diverse (H' = 2.52) than cultivated areas (H' = 2.26). Despite the high evenness
index (J = 0.75 noncultivated and J = 0.74 cultivated), faunistic parameters
indicated Cyclocephala flavipennis Arrow and Diloboderus abderus Sturm as an
extremely dominant species in cultivated areas. These results showed that the
population density of white grubs increases, and their community composition is
affected in cultivated areas.
PMID- 27193626
TI - First Record of Mysaromima liquescens Meyric (Lepidoptera: Elaschistidae)
Damaging Parica (Schizolobium parahyba var. amazonicum).
AB - We report Parica as the first host recorded for Mysaromima liquescens Meyrick,
and the damaging potential of M. liquescens larvae as borers in Nova Canaa do
Norte, state of Mato Grosso, Brazil.
PMID- 27193627
TI - Storage of Euschistus heros Eggs (Fabricius) (Hemiptera: Pentatomidae) in Liquid
Nitrogen for Parasitization by Telenomus podisi Ashmead (Hymenoptera:
Platygastridae).
AB - Records in the literature with regard to the influence of freezing of pentatomid
eggs on parasitism by microhymenopterans are scarce. In this research, we
compared the storage of Euschistus heros (Fabricius) (Hemiptera: Pentatomidae)
eggs in liquid nitrogen for different periods with the objective of optimizing
the multiplication of Telenomus podisi Ashmead (Hymenoptera: Platygastridae) in
the laboratory. Fresh eggs of E. heros were exposed (S3, S6) or not (NS3, NS6) to
UV light for 30 min and stored in 1.5-mL plastic vials in liquid nitrogen either
for 3 (S3, NS3) or 6 months (S6, NS6), and egg suitability to parasitoid
development was compared to control eggs exposed (SC) or not (NSC) to UV
treatment. Global data analysis showed that E. heros eggs stored in liquid
nitrogen with or without UV treatment, for 3 or 6 months, were suitable for T.
podisi parasitization.
PMID- 27193629
TI - First Discovery of Polycarpine, Polycarpaurines A and C, and Their Derivatives as
Novel Antiviral and Antiphytopathogenic Fungus Agents.
AB - Marine natural products polycarpine, polycarpaurines A and C, and their
derivatives were designed, synthesized, and characterized on the basis of (1)H
NMR and mass spectroscopy. The antiviral and antiphytopathogenic fungus
activities of these alkaloids were first evaluated. Polycarpine derivative 1g
displayed excellent in vivo antiviral activity against TMV (inactivation
inhibitory effect, 57%/500 MUg mL(-1) and 19%/100 MUg mL(-1); curative inhibitory
effect, 62%/500 MUg mL(-1) and 23%/100 MUg mL(-1); and protection inhibitory
effect, 56%/500 MUg mL(-1) and 29%/100 MUg mL(-1)), which is evidently higher
than the activity of ribavirin (inactivation inhibitory effect, 37%/500 MUg mL(
1) and 9%/100 MUg mL(-1); curative inhibitory effect, 36%/500 MUg mL(-1) and
13%/100 MUg mL(-1); and protection inhibitory effect, 39%/500 MUg mL(-1) and
17%/100 MUg mL(-1)), thus emerging as a new lead compound for antiviral research
against TMV. Fungicidal testing in vitro showed that most of the compounds
displayed good fungicidal activity against plant pathogenic fungi. Further in
vivo fungicidal testing indicated that compounds 6a, 6f, and 8a-c displayed good
fungicidal activity. Current results provide support for the development of
polycarpine alkaloids as novel agrochemicals.
PMID- 27193628
TI - Comparative analysis of the integument transcriptomes of the black dilute mutant
and the wild-type silkworm Bombyx mori.
AB - The insect cuticle is a critical protective shell that is composed predominantly
of chitin and various cuticular proteins and pigments. Indeed, insects often
change their surface pigment patterns in response to selective pressures, such as
threats from predators, sexual selection and environmental changes. However, the
molecular mechanisms underlying the construction of the epidermis and its
pigmentation patterns are not fully understood. Among Lepidoptera, the silkworm
is a favorable model for color pattern research. The black dilute (bd) mutant of
silkworm is the result of a spontaneous mutation; the larval body color is
notably melanized. We performed integument transcriptome sequencing of the wild
type strain Dazao and the mutant strains +/bd and bd/bd. In these experiments,
during an early stage of the fourth molt, a stage at which approximately 51% of
genes were expressed genome wide (RPKM >=1) in each strain. A total of 254 novel
transcripts were characterized using Cuffcompare and BLAST analyses. Comparison
of the transcriptome data revealed 28 differentially expressed genes (DEGs) that
may contribute to bd larval melanism, including 15 cuticular protein genes that
were remarkably highly expressed in the bd/bd mutant. We suggest that these
significantly up-regulated cuticular proteins may promote melanism in silkworm
larvae.
PMID- 27193631
TI - Rejuvenation Biotechnology: The Industry Emerges, but Short-Termism Looms.
PMID- 27193630
TI - Rule based fuzzy logic approach for classification of fibromyalgia syndrome.
AB - Fibromyalgia syndrome (FMS) is a chronic muscle and skeletal system disease
observed generally in women, manifesting itself with a widespread pain and
impairing the individual's quality of life. FMS diagnosis is made based on the
American College of Rheumatology (ACR) criteria. However, recently the
employability and sufficiency of ACR criteria are under debate. In this context,
several evaluation methods, including clinical evaluation methods were proposed
by researchers. Accordingly, ACR had to update their criteria announced back in
1990, 2010 and 2011. Proposed rule based fuzzy logic method aims to evaluate FMS
at a different angle as well. This method contains a rule base derived from the
1990 ACR criteria and the individual experiences of specialists. The study was
conducted using the data collected from 60 inpatient and 30 healthy volunteers.
Several tests and physical examination were administered to the participants. The
fuzzy logic rule base was structured using the parameters of tender point count,
chronic widespread pain period, pain severity, fatigue severity and sleep
disturbance level, which were deemed important in FMS diagnosis. It has been
observed that generally fuzzy predictor was 95.56 % consistent with at least of
the specialists, who are not a creator of the fuzzy rule base. Thus, in diagnosis
classification where the severity of FMS was classified as well, consistent
findings were obtained from the comparison of interpretations and experiences of
specialists and the fuzzy logic approach. The study proposes a rule base, which
could eliminate the shortcomings of 1990 ACR criteria during the FMS evaluation
process. Furthermore, the proposed method presents a classification on the
severity of the disease, which was not available with the ACR criteria. The study
was not limited to only disease classification but at the same time the
probability of occurrence and severity was classified. In addition, those who
were not suffering from FMS were evaluated for their conditions in other patient
groups.
PMID- 27193634
TI - Surgery for epilepsy: a systematic review of current evidence.
AB - This seminar discusses the history and development of techniques for surgical
intervention for people with refractory focal epilepsy. Published surgical
success rates and prognostic factors associated with post-operative seizure
freedom from individual studies have been variable and contradictory. We present
here the key findings of a Cochrane systematic review of all evidence published
since the introduction of magnetic resonance imaging (MRI) to pre-operative
surgical assessment in 1984. Our findings show the usefulness of uncontrolled
case series is now past. Future studies with a prospective controlled design
should focus on specific research questions to help improve results and provide
better-informed advice.
PMID- 27193635
TI - Label-free analysis of mRNA capping efficiency using RNase H probes and LC-MS.
AB - A label-free method for determining the 5'-end cap identity and orientation of a
messenger RNA (mRNA) is described. Biotin-tagged probes that were complementary
to the 5' end of target mRNA were used with RNase H to cleave the 5' end of the
mRNA. The cleaved end sequence was isolated using streptavidin-coated magnetic
beads and then analyzed by LC-MS. Quantitative and qualitative information on the
5' cap was determined from the unique mass of the isolated cleaved sequence. This
approach, combined with the use of 5' RNA pyrophosphohydrolase, was also used to
ascertain the orientation of the 5' cap. The assay showed low-picomole
sensitivity for detecting capping reaction impurities. Uncapped triphosphate
mRNA, spiked into 100 pmol of capped mRNA, could be detected over the tested
range of 0.5 to 25 % with a linear response. The capping efficiency of several
vaccinia-capped mRNA preparations was determined to be between 88 and 98 %
depending on the modification type and length of the mRNA. mRNA of 2.2K and 9K
nucleotides in length and containing the modified nucleotides pseudouridine and 5
methylcytidine were all successfully analyzed, demonstrating the utility of the
technique to study mRNA capping. Graphical abstract mRNA 5' end analysis with
RNAse H cleavage and capture probe.
PMID- 27193636
TI - An All-Solid-State Fiber-Shaped Aluminum-Air Battery with Flexibility,
Stretchability, and High Electrochemical Performance.
AB - Owing to the high theoretical energy density of metal-air batteries, the aluminum
air battery has been proposed as a promising long-term power supply for
electronics. However, the available energy density from the aluminum-air battery
is far from that anticipated and is limited by current electrode materials.
Herein we described the creation of a new family of all-solid-state fiber-shaped
aluminum-air batteries with a specific capacity of 935 mAh g(-1) and an energy
density of 1168 Wh kg(-1) . The synthesis of an electrode composed of cross
stacked aligned carbon-nanotube/silver-nanoparticle sheets contributes to the
remarkable electrochemical performance. The fiber shape also provides the
aluminum-air batteries with unique advantages; for example, they are flexible and
stretchable and can be woven into a variety of textiles for large-scale
applications.
PMID- 27193637
TI - The genetic evolution of skin squamous cell carcinoma: tumor suppressor identity
matters.
PMID- 27193639
TI - Zika must remain a high priority.
PMID- 27193640
TI - Second thoughts.
PMID- 27193638
TI - Malignant isolated cortical vein thrombosis with type II protein S deficiency: a
case report.
AB - BACKGROUND: The incidence of cerebral venous thrombosis (CVT) is low, and in
particular, isolated cortical vein thrombosis (ICVT) is very rare. The diagnosis
of ICVT is difficult by using conventional computed tomography (CT) and magnetic
resonance imaging (MRI). However, with appropriate treatment, ICVT has a good
prognosis. CASE PRESENTATION: Herein, we present a rare case of a 40-year-old
woman with ICVT and type II protein S (PS) deficiency, who experienced a stroke.
She initially presented with generalized convulsions. A CT scan showed
intracerebral hemorrhage (ICH) in the left temporoparietal region. However, her
condition rapidly deteriorated and she went into a coma approximately 20 h after
admission. A second CT scan revealed significant ICH expansion and transfalcine
herniation. Decompressive hemicraniectomy with duraplasty was performed, and ICVT
was confirmed owing to abnormal vascular tone and black appearance of the
cortical vein. She underwent anticoagulation therapy and rehabilitation, and
gradually recovered. CONCLUSION: We experienced an extremely rare case of
isolated cortical vein thrombosis related with type II PS deficiency. CT-digital
subtraction angiography is a useful supportive technique in the diagnosis of
ICVT. Decompressive hemicraniectomy is effective for hemorrhage extension cases,
and ICVT with hemorrhage might require early anticoagulation therapy.
PMID- 27193641
TI - Open medicine.
PMID- 27193642
TI - Teach students the biology of their time.
PMID- 27193654
TI - Gridlock over Italy's olive tree deaths starts to ease.
PMID- 27193655
TI - Demotion of science ministry angers beleaguered Brazilian researchers.
PMID- 27193656
TI - Boaty McBoatfleet: British ship joins wave of rugged polar vessels.
PMID- 27193657
TI - Mirror-image enzyme copies looking-glass DNA.
PMID- 27193658
TI - Bankruptcy filing worries developers of nanoparticle cancer drugs.
PMID- 27193659
TI - Mixed-up metals make for stronger, tougher, stretchier alloys.
PMID- 27193660
TI - The race to create super-crops.
PMID- 27193661
TI - Policy: Global standards for stem-cell research.
PMID- 27193662
TI - Twelve principles for open innovation 2.0.
PMID- 27193663
TI - Correction.
PMID- 27193666
TI - Arts: California on camera.
PMID- 27193667
TI - China: Prevent misuse of eco-compensation.
PMID- 27193668
TI - Alien species: EU list should add potential invasives.
PMID- 27193669
TI - Scientific record: Speed publication of self-corrections.
PMID- 27193670
TI - Pollution: Clean up the air in Kathmandu.
PMID- 27193671
TI - Transport: Nicaragua Canal may not benefit shipping.
PMID- 27193672
TI - Harry Elderfield (1943-2016).
PMID- 27193673
TI - Neuroscience: Virtual reality explored.
PMID- 27193674
TI - Drug discovery: Fighting evolution with chemical synthesis.
PMID- 27193675
TI - Neutrino physics: A deliberate mix-up in flavour.
PMID- 27193676
TI - Astrophysics: Illuminating brown dwarfs.
PMID- 27193677
TI - Structural biology: Snapshots of transcription initiation.
PMID- 27193678
TI - Reproducible pharmacogenomic profiling of cancer cell line panels.
AB - The use of large-scale genomic and drug response screening of cancer cell lines
depends crucially on the reproducibility of results. Here we consider two
previously published screens, plus a later critique of these studies. Using
independent data, we show that consistency is achievable, and provide a
systematic description of the best laboratory and analysis practices for future
studies.
PMID- 27193679
TI - A platform for the discovery of new macrolide antibiotics.
AB - The chemical modification of structurally complex fermentation products, a
process known as semisynthesis, has been an important tool in the discovery and
manufacture of antibiotics for the treatment of various infectious diseases.
However, many of the therapeutics obtained in this way are no longer effective,
because bacterial resistance to these compounds has developed. Here we present a
practical, fully synthetic route to macrolide antibiotics by the convergent
assembly of simple chemical building blocks, enabling the synthesis of diverse
structures not accessible by traditional semisynthetic approaches. More than 300
new macrolide antibiotic candidates, as well as the clinical candidate
solithromycin, have been synthesized using our convergent approach. Evaluation of
these compounds against a panel of pathogenic bacteria revealed that the majority
of these structures had antibiotic activity, some efficacious against strains
resistant to macrolides in current use. The chemistry we describe here provides a
platform for the discovery of new macrolide antibiotics and may also serve as the
basis for their manufacture.
PMID- 27193680
TI - Structure of the T4 baseplate and its function in triggering sheath contraction.
AB - Several systems, including contractile tail bacteriophages, the type VI secretion
system and R-type pyocins, use a multiprotein tubular apparatus to attach to and
penetrate host cell membranes. This macromolecular machine resembles a stretched,
coiled spring (or sheath) wound around a rigid tube with a spike-shaped protein
at its tip. A baseplate structure, which is arguably the most complex part of
this assembly, relays the contraction signal to the sheath. Here we present the
atomic structure of the approximately 6-megadalton bacteriophage T4 baseplate in
its pre- and post-host attachment states and explain the events that lead to
sheath contraction in atomic detail. We establish the identity and function of a
minimal set of components that is conserved in all contractile injection systems
and show that the triggering mechanism is universally conserved.
PMID- 27193681
TI - Transcription initiation complex structures elucidate DNA opening.
AB - Transcription of eukaryotic protein-coding genes begins with assembly of the RNA
polymerase (Pol) II initiation complex and promoter DNA opening. Here we report
cryo-electron microscopy (cryo-EM) structures of yeast initiation complexes
containing closed and open DNA at resolutions of 8.8 A and 3.6 A, respectively.
DNA is positioned and retained over the Pol II cleft by a network of interactions
between the TATA-box-binding protein TBP and transcription factors TFIIA, TFIIB,
TFIIE, and TFIIF. DNA opening occurs around the tip of the Pol II clamp and the
TFIIE 'extended winged helix' domain, and can occur in the absence of TFIIH.
Loading of the DNA template strand into the active centre may be facilitated by
movements of obstructing protein elements triggered by allosteric binding of the
TFIIE 'E-ribbon' domain. The results suggest a unified model for transcription
initiation with a key event, the trapping of open promoter DNA by extended
protein-protein and protein-DNA contacts.
PMID- 27193683
TI - An irradiated brown-dwarf companion to an accreting white dwarf.
AB - Interacting compact binary systems provide a natural laboratory in which to study
irradiated substellar objects. As the mass-losing secondary (donor) in these
systems makes a transition from the stellar to the substellar regime, it is also
irradiated by the primary (compact accretor). The internal and external energy
fluxes are both expected to be comparable in these objects, providing access to
an unexplored irradiation regime. The atmospheric properties of donors are
largely unknown, but could be modified by the irradiation. To constrain models of
donor atmospheres, it is necessary to obtain accurate observational estimates of
their physical properties (masses, radii, temperatures and albedos). Here we
report the spectroscopic detection and characterization of an irradiated
substellar donor in an accreting white-dwarf binary system. Our near-infrared
observations allow us to determine a model-independent mass estimate for the
donor of 0.055 +/- 0.008 solar masses and an average spectral type of L1 +/- 1,
supporting both theoretical predictions and model-dependent observational
constraints that suggest that the donor is a brown dwarf. Our time-resolved data
also allow us to estimate the average irradiation-induced temperature difference
between the dayside and nightside of the substellar donor (57 kelvin) and the
maximum difference between the hottest and coolest parts of its surface (200
kelvin). The observations are well described by a simple geometric reprocessing
model with a bolometric (Bond) albedo of less than 0.54 at the 2sigma confidence
level, consistent with high reprocessing efficiency, but poor lateral heat
redistribution in the atmosphere of the brown-dwarf donor. These results add to
our knowledge of binary evolution, in that the donor has survived the transition
from the stellar to the substellar regime, and of substellar atmospheres, in that
we have been able to test a regime in which the irradiation and the internal
energy of a brown dwarf are comparable.
PMID- 27193684
TI - Repeated large-scale retreat and advance of Totten Glacier indicated by inland
bed erosion.
AB - Climate variations cause ice sheets to retreat and advance, raising or lowering
sea level by metres to decametres. The basic relationship is unambiguous, but the
timing, magnitude and sources of sea-level change remain unclear; in particular,
the contribution of the East Antarctic Ice Sheet (EAIS) is ill defined,
restricting our appreciation of potential future change. Several lines of
evidence suggest possible collapse of the Totten Glacier into interior basins
during past warm periods, most notably the Pliocene epoch, causing several metres
of sea-level rise. However, the structure and long-term evolution of the ice
sheet in this region have been understood insufficiently to constrain past ice
sheet extents. Here we show that deep ice-sheet erosion-enough to expose basement
rocks-has occurred in two regions: the head of the Totten Glacier, within 150
kilometres of today's grounding line; and deep within the Sabrina Subglacial
Basin, 350-550 kilometres from this grounding line. Our results, based on ICECAP
aerogeophysical data, demarcate the marginal zones of two distinct quasi-stable
EAIS configurations, corresponding to the 'modern-scale' ice sheet (with a
marginal zone near the present ice-sheet margin) and the retreated ice sheet
(with the marginal zone located far inland). The transitional region of 200-250
kilometres in width is less eroded, suggesting shorter-lived exposure to eroding
conditions during repeated retreat-advance events, which are probably driven by
ocean-forced instabilities. Representative ice-sheet models indicate that the
global sea-level increase resulting from retreat in this sector can be up to 0.9
metres in the modern-scale configuration, and exceeds 2 metres in the retreated
configuration.
PMID- 27193682
TI - Near-atomic resolution visualization of human transcription promoter opening.
AB - In eukaryotic transcription initiation, a large multi-subunit pre-initiation
complex (PIC) that assembles at the core promoter is required for the opening of
the duplex DNA and identification of the start site for transcription by RNA
polymerase II. Here we use cryo-electron microscropy (cryo-EM) to determine near
atomic resolution structures of the human PIC in a closed state (engaged with
duplex DNA), an open state (engaged with a transcription bubble), and an
initially transcribing complex (containing six base pairs of DNA-RNA hybrid). Our
studies provide structures for previously uncharacterized components of the PIC,
such as TFIIE and TFIIH, and segments of TFIIA, TFIIB and TFIIF. Comparison of
the different structures reveals the sequential conformational changes that
accompany the transition from each state to the next throughout the transcription
initiation process. This analysis illustrates the key role of TFIIB in
transcription bubble stabilization and provides strong structural support for a
translocase activity of XPB.
PMID- 27193685
TI - Deep-sea diversity patterns are shaped by energy availability.
AB - The deep ocean is the largest and least-explored ecosystem on Earth, and a
uniquely energy-poor environment. The distribution, drivers and origins of deep
sea biodiversity remain unknown at global scales. Here we analyse a database of
more than 165,000 distribution records of Ophiuroidea (brittle stars), a dominant
component of sea-floor fauna, and find patterns of biodiversity unlike known
terrestrial or coastal marine realms. Both patterns and environmental predictors
of deep-sea (2,000-6,500 m) species richness fundamentally differ from those
found in coastal (0-20 m), continental shelf (20-200 m), and upper-slope (200
2,000 m) waters. Continental shelf to upper-slope richness consistently peaks in
tropical Indo-west Pacific and Caribbean (0-30 degrees ) latitudes, and is well
explained by variations in water temperature. In contrast, deep-sea species show
maximum richness at higher latitudes (30-50 degrees ), concentrated in areas of
high carbon export flux and regions close to continental margins. We reconcile
this structuring of oceanic biodiversity using a species-energy framework, with
kinetic energy predicting shallow-water richness, while chemical energy (export
productivity) and proximity to slope habitats drive deep-sea diversity. Our
findings provide a global baseline for conservation efforts across the sea floor,
and demonstrate that deep-sea ecosystems show a biodiversity pattern consistent
with ecological theory, despite being different from other planetary-scale
habitats.
PMID- 27193686
TI - Local fitness landscape of the green fluorescent protein.
AB - Fitness landscapes depict how genotypes manifest at the phenotypic level and form
the basis of our understanding of many areas of biology, yet their properties
remain elusive. Previous studies have analysed specific genes, often using their
function as a proxy for fitness, experimentally assessing the effect on function
of single mutations and their combinations in a specific sequence or in different
sequences. However, systematic high-throughput studies of the local fitness
landscape of an entire protein have not yet been reported. Here we visualize an
extensive region of the local fitness landscape of the green fluorescent protein
from Aequorea victoria (avGFP) by measuring the native function (fluorescence) of
tens of thousands of derivative genotypes of avGFP. We show that the fitness
landscape of avGFP is narrow, with 3/4 of the derivatives with a single mutation
showing reduced fluorescence and half of the derivatives with four mutations
being completely non-fluorescent. The narrowness is enhanced by epistasis, which
was detected in up to 30% of genotypes with multiple mutations and mostly
occurred through the cumulative effect of slightly deleterious mutations causing
a threshold-like decrease in protein stability and a concomitant loss of
fluorescence. A model of orthologous sequence divergence spanning hundreds of
millions of years predicted the extent of epistasis in our data, indicating
congruence between the fitness landscape properties at the local and global
scales. The characterization of the local fitness landscape of avGFP has
important implications for several fields including molecular evolution,
population genetics and protein design.
PMID- 27193689
TI - No observable conformational changes in PSII.
PMID- 27193690
TI - The interaction of Hg(2+) and trivalent ions with two new fluorescein bio
inspired dual colorimetric/fluorimetric probes.
AB - Two new luminescent compounds containing fluorescein-amino acid units have been
designed and synthesized via an ester linkage between a fluorescein ethyl ester
and Boc-Ser(TBDMS)-OH or Boc-Cys(4-MeBzl)-OH, and their photophysical properties
have been explored. The optical response of both compounds (2 and 3) towards the
metal ions Na(+), K(+), Hg(+), Ag(+), Ca(2+), Co(2+), Ni(2+), Cu(2+), Zn(2+),
Cd(2+), Pb(2+), Hg(2+), Al(3+), Fe(3+), Ga(3+)and Cr(3+) was investigated in pure
acetonitrile and in acetonitrile/water mixtures. A strong CHEF (Chelation
Enhanced Fluorescence) effect was observed with all the trivalent metals and
Hg(2+) ions in both solvents. UV-vis absorption, steady state and time resolved
emission spectroscopy methods were employed. The results show the formation of
mononuclear complexes with Al(3+), Fe(3+), Ga(3+), Cr(3+), and Hg(2+).
Theoretical calculation using Density Functional Theory was performed in order to
obtain atomistic insights into the coordination geometry of Al(3+) and Hg(2+) to
the fluorescein 3, which is in accordance with the experimental stoichiometry
results obtained in the Job's plot method. Among the active cations, the minimum
detectable amount is under 1 MUM for most of the cases in both absorption and
fluorescence spectroscopy methods.
PMID- 27193691
TI - Genetically diverse CC-founder mouse strains replicate the human influenza gene
expression signature.
AB - Influenza A viruses (IAV) are zoonotic pathogens that pose a major threat to
human and animal health. Influenza virus disease severity is influenced by viral
virulence factors as well as individual differences in host response. We analyzed
gene expression changes in the blood of infected mice using a previously defined
set of signature genes that was derived from changes in the blood transcriptome
of IAV-infected human volunteers. We found that the human signature was
reproduced well in the founder strains of the Collaborative Cross (CC) mice, thus
demonstrating the relevance and importance of mouse experimental model systems
for studying human influenza disease.
PMID- 27193687
TI - An obligatory role for neurotensin in high-fat-diet-induced obesity.
AB - Obesity and its associated comorbidities (for example, diabetes mellitus and
hepatic steatosis) contribute to approximately 2.5 million deaths annually and
are among the most prevalent and challenging conditions confronting the medical
profession. Neurotensin (NT; also known as NTS), a 13-amino-acid peptide
predominantly localized in specialized enteroendocrine cells of the small
intestine and released by fat ingestion, facilitates fatty acid translocation in
rat intestine, and stimulates the growth of various cancers. The effects of NT
are mediated through three known NT receptors (NTR1, 2 and 3; also known as
NTSR1, 2, and NTSR3, respectively). Increased fasting plasma levels of pro-NT (a
stable NT precursor fragment produced in equimolar amounts relative to NT) are
associated with increased risk of diabetes, cardiovascular disease and mortality;
however, a role for NT as a causative factor in these diseases is unknown. Here
we show that NT-deficient mice demonstrate significantly reduced intestinal fat
absorption and are protected from obesity, hepatic steatosis and insulin
resistance associated with high fat consumption. We further demonstrate that NT
attenuates the activation of AMP-activated protein kinase (AMPK) and stimulates
fatty acid absorption in mice and in cultured intestinal cells, and that this
occurs through a mechanism involving NTR1 and NTR3 (also known as sortilin).
Consistent with the findings in mice, expression of NT in Drosophila midgut
enteroendocrine cells results in increased lipid accumulation in the midgut, fat
body, and oenocytes (specialized hepatocyte-like cells) and decreased AMPK
activation. Remarkably, in humans, we show that both obese and insulin-resistant
subjects have elevated plasma concentrations of pro-NT, and in longitudinal
studies among non-obese subjects, high levels of pro-NT denote a doubling of the
risk of developing obesity later in life. Our findings directly link NT with
increased fat absorption and obesity and suggest that NT may provide a prognostic
marker of future obesity and a potential target for prevention and treatment.
PMID- 27193693
TI - PANTHER-PSEP: predicting disease-causing genetic variants using position-specific
evolutionary preservation.
AB - PANTHER-PSEP is a new software tool for predicting non-synonymous genetic
variants that may play a causal role in human disease. Several previous variant
pathogenicity prediction methods have been proposed that quantify evolutionary
conservation among homologous proteins from different organisms. PANTHER-PSEP
employs a related but distinct metric based on 'evolutionary preservation':
homologous proteins are used to reconstruct the likely sequences of ancestral
proteins at nodes in a phylogenetic tree, and the history of each amino acid can
be traced back in time from its current state to estimate how long that state has
been preserved in its ancestors. Here, we describe the PSEP tool, and assess its
performance on standard benchmarks for distinguishing disease-associated from
neutral variation in humans. On these benchmarks, PSEP outperforms not only
previous tools that utilize evolutionary conservation, but also several highly
used tools that include multiple other sources of information as well. For
predicting pathogenic human variants, the trace back of course starts with a
human 'reference' protein sequence, but the PSEP tool can also be applied to
predicting deleterious or pathogenic variants in reference proteins from any of
the ~100 other species in the PANTHER database. AVAILABILITY AND IMPLEMENTATION:
PANTHER-PSEP is freely available on the web at
http://pantherdb.org/tools/csnpScoreForm.jsp Users can also download the command
line based tool at ftp://ftp.pantherdb.org/cSNP_analysis/PSEP/ CONTACT:
pdthomas@usc.edu SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 27193694
TI - Nucleophilic Iododifluoromethylation of Carbonyl Compounds Using Difluoromethyl 2
Pyridyl Sulfone.
AB - A new, efficient method for iododifluoromethylation of carbonyl compounds
utilizing difluoromethyl 2-pyridyl sulfone (2-PySO2CF2H) is described. This
transformation is achieved by a nucleophilic addition of 2-PySO2CF2H with
carbonyl compounds and a subsequent iodination of sulfinate, which is generated
in situ by a novel zinc-mediated depyridination reaction. The method employs mild
reaction conditions, exhibits excellent functional-group tolerance, and can be
used in the synthesis of various iododifluoromethylated carbinols.
PMID- 27193695
TI - Compared to femoral venous access, upper extremity right heart catheterization
reduces time to ambulation: A single center experience.
AB - OBJECTIVES: To determine ambulation times after right heart catheterization (RHC)
via upper extremity access compared to femoral venous access. BACKGROUND:
Transradial coronary angiography has been associated with shorter times to
ambulation. We hypothesized that RHC from the upper extremity would be similarly
associated with shorter ambulation times when compared to traditional femoral
access. METHODS: We performed a single-center retrospective analysis of 379
consecutive patients who underwent a variety of diagnostic and interventional
left- and right-heart procedures through upper extremity and femoral access
sites. RESULTS: The time to ambulation for RHC through the arm veins versus the
femoral vein was lower (42.6 min +/- 14.2 vs. 175.0 min +/- 65.0, P < 0.001).
Fluoroscopy times (8.5 min +/- 6.8 vs. 12.8 min +/- 8.4, P < 0.001) and radiation
doses (64.1 Gy cm-2 +/- 60.0 vs. 108.5 Gy cm-2 +/- 71.6, P < 0.001) were
reduced in the radial compared to femoral group, respectively. In multivariate
analyses, upper arm access (P < 0.0001), lower heparin dose (P = 0.032),
inpatient status (P = 0.01), and concurrent PCI (P = 0.03) were associated with
shorter times to ambulation. CONCLUSIONS: Right heart catheterization from the
upper extremity is strongly associated with shorter times to ambulation. (c) 2016
Wiley Periodicals, Inc.
PMID- 27193692
TI - Microsurgical resection of pineal region tumors.
AB - The extensive variety of possible histologic subtypes makes it imperative to
establish a tissue diagnosis in patients with pineal region tumors. Management
decisions regarding adjuvant therapy, prognosis, and follow-up strategies vary
with the histologic diagnosis. Specialized surgical and stereotactic techniques
have evolved to provide the neurosurgeon with an array of safe and effective
options for obtaining a tissue diagnosis. Advanced microsurgical techniques
combined with improved preoperative management and postoperative critical care
methods have made aggressive surgical resection a mainstay of management.
Aggressive surgical resection has resulted in excellent long-term prognoses for
nearly all patients with benign tumors and a large percentage of patients with
malignant tumors. However, pineal region surgery remains fraught with potential
pitfalls, and these favorable results are dependent on an advanced level of
surgical expertise.
PMID- 27193696
TI - Triclosan-induced genes Rv1686c-Rv1687c and Rv3161c are not involved in triclosan
resistance in Mycobacterium tuberculosis.
AB - A key issue towards developing new chemotherapeutic approaches to fight
Mycobacterium tuberculosis is to understand the mechanisms underlying drug
resistance. Previous studies have shown that genes Rv1686c-Rv1687c and Rv3161c,
predicted to encode an ATP-binding cassette transporter and a dioxygenase
respectively, are induced in the presence of triclosan and other antimicrobial
compounds. Therefore a possible role in drug resistance has been suggested for
the products of these genes although no functional studies have been done. The
aim of the present study was to clarify the role of Rv1686c-Rv1687c and Rv3161c
in M. tuberculosis resistance to triclosan and other drugs. To this end,
deficient mutants and overproducing strains for both systems were constructed and
their minimal inhibitory concentration (MIC) against over 20 compounds, including
triclosan, was evaluated. Unexpectedly, no differences between the MIC of these
strains and the wild-type H37Rv were observed for any of the compounds tested.
Moreover the MIC of triclosan was not affected by efflux pump inhibitors that
inhibit the activity of transporters similar to the one encoded by Rv1686c
Rv1687c. These results suggest that none of the two systems is directly involved
in M. tuberculosis resistance to triclosan or to any of the antimicrobials
tested.
PMID- 27193698
TI - Sunlight as an energetic driver in the synthesis of molecules necessary for life.
AB - Solar radiation was overwhelmingly the largest source of energy on the early
Earth. Energy provided by the Sun has the potential to access different
chemistries than energy provided by other sources, such as hydrothermal vents,
because of the unique characteristics of photochemistry that differentiate it
from conventional thermal chemistry. This review considers how sunlight-driven
reactions can abiotically generate prebiotic molecules necessary for the
evolution of life. We discuss briefly the characteristics of the early Sun and
the likely environmental conditions on the early Earth because photochemistry is
both environment- and molecule-specific. An overview of the fundamental
principles of photophysics and photochemistry is followed by discussion of a
selection of prebiotically-relevant examples of photochemical reactions, focusing
on syntheses that lead to the production of cellular components (e.g. sugars,
lipids, and biopolymer precursors). The role of photostability as an evolutionary
driving force is also considered. These examples highlight the ability of simple
organic molecules to harness solar energy and convert it into high-energy
chemical bonds, generating molecular complexity.
PMID- 27193697
TI - The Contribution of Skilled Nursing Facilities to Hospitals' Readmission Rate.
AB - OBJECTIVE: To examine the relative influence of hospital and skilled nursing
facilities (SNFs) on 30-day rehospitalization. DATA SOURCES/SETTINGS: Elderly
Medicare beneficiaries newly admitted to a SNF following hospitalization. STUDY
DESIGN: We ranked hospitals and SNFs into quartiles based on previous years'
adjusted rehospitalization rates (ARRs) and examined how rehospitalizations from
a given hospital vary depending upon the admitting SNF ARR quartile. We examined
whether the availability of SNFs with low rehospitalization rates influenced
hospitals' SNF readmission rates and whether changes in a hospital's ARR over 3
years is associated with changes in the SNFs to which they discharge. PRINCIPAL
FINDINGS: Hospital readmission rates from SNFs varied 5 percentage points between
patients discharged to SNFs in the lowest and the highest rehospitalization
quartiles. Low rehospitalization rate hospitals sent a larger fraction of their
patients to the lowest rehospitalization SNFs available in the area. A 10 percent
increase in hospital's share of discharges to the lowest rehospitalization
quartile SNFs is associated with a 1 percentage point reduction in hospital's
ARR. CONCLUSIONS: The SNF rehospitalization rate has greater influence on
patients' risk of rehospitalization than the discharging hospital. Identifying
high-performing SNFs may be a powerful strategy for hospitals to reduce
rehospitalizations.
PMID- 27193699
TI - Multiple post-domestication origins of kabuli chickpea through allelic variation
in a diversification-associated transcription factor.
AB - Chickpea (Cicer arietinum) is among the founder crops domesticated in the Fertile
Crescent. One of two major forms of chickpea, the so-called kabuli type, has
white flowers and light-colored seed coats, properties not known to exist in the
wild progenitor. The origin of the kabuli form has been enigmatic. We genotyped a
collection of wild and cultivated chickpea genotypes with 538 single nucleotide
polymorphisms (SNPs) and examined patterns of molecular diversity relative to
geographical sources and market types. In addition, we examined sequence and
expression variation in candidate anthocyanin biosynthetic pathway genes. A
reduction in genetic diversity and extensive genetic admixture distinguish
cultivated chickpea from its wild progenitor species. Among germplasm, the kabuli
form is polyphyletic. We identified a basic helix-loop-helix (bHLH) transcription
factor at chickpea's B locus that conditions flower and seed colors, orthologous
to Mendel's A gene of garden pea, whose loss of function is associated
invariantly with the kabuli type of chickpea. From the polyphyletic distribution
of the kabuli form in germplasm, an absence of nested variation within the bHLH
gene and invariant association of loss of function of bHLH among the kabuli type,
we conclude that the kabuli form arose multiple times during the phase of
phenotypic diversification after initial domestication of cultivated chickpea.
PMID- 27193700
TI - High-energy side-peak emission of exciton-polariton condensates in high density
regime.
AB - In a standard semiconductor laser, electrons and holes recombine via stimulated
emission to emit coherent light, in a process that is far from thermal
equilibrium. Exciton-polariton condensates-sharing the same basic device
structure as a semiconductor laser, consisting of quantum wells coupled to a
microcavity-have been investigated primarily at densities far below the Mott
density for signatures of Bose-Einstein condensation. At high densities
approaching the Mott density, exciton-polariton condensates are generally thought
to revert to a standard semiconductor laser, with the loss of strong coupling.
Here, we report the observation of a photoluminescence sideband at high densities
that cannot be accounted for by conventional semiconductor lasing. This also
differs from an upper-polariton peak by the observation of the excitation power
dependence in the peak-energy separation. Our interpretation as a persistent
coherent electron-hole-photon coupling captures several features of this
sideband, although a complete understanding of the experimental data is lacking.
A full understanding of the observations should lead to a development in non
equilibrium many-body physics.
PMID- 27193703
TI - N-acyl dopamines induce cell death in PC12 cell line via induction of nitric
oxide generation and oxidative stress.
AB - It was shown that dopamine amides of arachidonic, oleic, and docosahexaenoic
acids exhibit toxicity with respect to PC12 pheochromocytoma cell line. The
mechanism of realization of the cytotoxic effect of acyl dopamines is the
induction of oxidative stress. This event is preceded by triggering the synthesis
of nitric oxide.
PMID- 27193705
TI - New family of pectinase genes PGU1b-PGU3b of the pectinolytic yeast Saccharomyces
bayanus var. uvarum.
AB - Using yeast genome databases and literature data, we have conducted a
phylogenetic analysis of pectinase PGU genes from Saccharomyces strains assigned
to the biological species S. arboricola, S. bayanus (var. uvarum), S. cariocanus,
S. cerevisiae, S. kudriavzevii, S. mikatae, S. paradoxus, and hybrid taxon S.
pastorianus (syn. S. carlsbergensis). Single PGU genes were observed in all
Saccharomyces species, except S. bayanus. The superfamily of divergent PGU genes
has been documented in S. bayanus var. uvarum for the first time. Chromosomal
localization of new PGU1b, PGU2b, and PGU3b genes in the yeast S. bayanus var.
uvarum has been determined by molecular karyotyping and Southern hybridization.
PMID- 27193704
TI - Advanced lymphoblastic clones detection in T-cell leukemia.
AB - T cell acute lymphoblastic leukemia (T-ALL) is an aggressive malignant neoplasm
of the lymphocyte precursors that suffered malignant transformation arresting the
lymphoid cell differentiation. Clinical studies revealed monoor, more rarely,
oligoclonal nature of the disease. A precise identification of malignant clone
markers is both the crucial stage of early diagnostics and the essential
prognostic factor for therapeutic treatment. Here we present an improved system
for unbiased detection of lymphoblastic clones in bone marrow aspirates of T-ALL
patients. The system based on multiplex PCR of rearranged T-cell receptor locus
(TRB) and straightforward sequencing of the resulted PCR fragments. Testing of
the system on genomic DNA from Jurkat cell line and four clinical bone marrow
aspirates revealed a set of unique TRB rearrangements that precisely characterize
each of tested samples. Therefore, the outcome of the system produces highly
informative molecular genetic markers for further monitoring of minimal residual
disease in T-ALL patients.
PMID- 27193706
TI - Antitumor effect of arabinogalactan and platinum complex.
AB - The article presents the results of investigation of antitumor properties of
platinum-arabinogalactan complex. We showed the ability of the complex to inhibit
the growth of Ehrlich ascites tumor cells. It is found that the distribution of
the platinum-arabinogalactan complex is not specific only for tumor cells in
mice. The complex was found in all tissues and organs examined (ascites cells,
embryonic cells, kidney, and liver). The mechanism of action of the
arabinogalactan-platinum complex may be similar to cisplatin as the complex is
able to accumulate in tumor cells.
PMID- 27193707
TI - The functional activity of hypothalamic signaling systems in rats with neonatal
diabetes mellitus treated with metformin.
AB - The effect of the two-month metformin treatment (200 mg/kg/day) of rats with the
neonatal model of type 2 diabetes mellitus on the functional activity of
hypothalamic signaling systems was studied. It was shown that metformin treatment
restored the sensitivity of hypothalamic adenylyl cyclase signaling system to
agonists of the type 4 melanocortin receptor and the type 2 dopamine receptor but
did not influence significantly the functions of the insulin signaling system.
These data suggest new targets and mechanisms of metformin action in the CNS,
which may mediate its restoring effect on energy homeostasis impaired in diabetic
pathology.
PMID- 27193708
TI - Change in the selection of microRNA strands during DNA damage induction.
AB - It was first shown that DNA damage induction in mitomycin C-treated HeLa cells
leads to a change in the selection of 5p and 3p microRNA duplex strands in the
formation of the RNA-induced silencing complex (RISC).
PMID- 27193702
TI - The Roles of the Olivocerebellar Pathway in Motor Learning and Motor Control. A
Consensus Paper.
AB - For many decades, the predominant view in the cerebellar field has been that the
olivocerebellar system's primary function is to induce plasticity in the
cerebellar cortex, specifically, at the parallel fiber-Purkinje cell synapse.
However, it has also long been proposed that the olivocerebellar system
participates directly in motor control by helping to shape ongoing motor commands
being issued by the cerebellum. Evidence consistent with both hypotheses exists;
however, they are often investigated as mutually exclusive alternatives. In
contrast, here, we take the perspective that the olivocerebellar system can
contribute to both the motor learning and motor control functions of the
cerebellum and might also play a role in development. We then consider the
potential problems and benefits of it having multiple functions. Moreover, we
discuss how its distinctive characteristics (e.g., low firing rates,
synchronization, and variable complex spike waveforms) make it more or less
suitable for one or the other of these functions, and why having multiple
functions makes sense from an evolutionary perspective. We did not attempt to
reach a consensus on the specific role(s) the olivocerebellar system plays in
different types of movements, as that will ultimately be determined
experimentally; however, collectively, the various contributions highlight the
flexibility of the olivocerebellar system, and thereby suggest that it has the
potential to act in both the motor learning and motor control functions of the
cerebellum.
PMID- 27193709
TI - The inhibitors of Arp2/3 complex and WASP proteins modulate the effect of
glutoxim on Na(+) transport in frog skin.
AB - Using voltage-clamp technique, the involvement of WASP proteins and Arp2/3
complex in the effect of immunomodulator drug glutoxim on Na(+) transport in frog
skin was investigated. It was shown for the first time that preincubation of the
skin with the N-WASP inhibitor wiskostatin or the Arp2/3 complex inhibitor CK
0944666 significantly decreases the stimulatory effect of glutoxim on Na(+)
transport. The data suggest the involvement of actin filament polymerization and
branching in the glutoxim effect on Na(+) transport in frog skin.
PMID- 27193710
TI - Temperature dependence of protein fluorescence in Rb. sphaeroides reaction
centers frozen to 80 K in the dark or on the actinic light as the indicator of
protein conformational dynamics.
AB - The differences in the average fluorescence lifetime (tauav) of tryptophanyls in
photosynthetic reaction center (RC) of the purple bacteria Rb. sphaeroides frozen
to 80 K in the dark or on the actinic light was found. This difference
disappeared during subsequent heating at the temperatures above 250 K. The
computer-based calculation of vibration spectra of the tryptophan molecule was
performed. As a result, the normal vibrational modes associated with
deformational vibrations of the aromatic ring of the tryptophan molecule were
found. These deformational vibrations may be active during the nonradiative
transition of the molecule from the excited to the ground state. We assume that
the differences in tauav may be associated with the change in the activity of
these vibration modes due to local variations in the microenvironment of
tryptophanyls during the light activation.
PMID- 27193711
TI - Peroxiredoxin 6 is a natural radioprotector.
AB - After injection of 20 mg/kg peroxiredoxin 6 to male Kv:SHK mice 15 min before X
ray irradiation in the range of lethal doses (7-10 Gy), the mice remained alive
for 30 days, whereas the mortality of the control animals was 100%. In the
irradiated animals, peroxiredoxin 6 decreased the severity of radiation-induced
leucopenia, granulocytopenia, and thrombocytopenia, increased the number of blood
corpuscles, and prevented the mass death of epithelial cells and the destruction
of the small intestine. Thus, peroxiredoxin 6 can be regarded as a prophylactic
radioprotective agent.
PMID- 27193712
TI - EPR spectroscopy solutions for assessment of decellularization of intrathoracic
organs and tissues.
AB - Using EPR spectroscopy it was established that the determination of the
concentration of paramagnetic centers in lyophilized tissues allows indirect
evaluation of the quality of decellularization of intrathoracic organs
(diaphragm, heart, and lungs), since the content of paramagnetic particles in
them can serve as a criterion of cell viability and points to the necessity to
repeat decellularization. Experiments in rats showed that the EPR spectra of the
native thoracic organs contained paramagnetic centers with g-factor values
ranging from 2.007 to 2.011 at a concentration of 10(-8) to 6.62 * 10(-7) mol/g
of lyophilized tissue, whereas in all decellularized tissues of the same organs
paramagnetic particles were not detected.
PMID- 27193714
TI - Transcription factor Oct-1 stimulates the release of Mts1/S100A4 protein by the
cancer cells.
AB - The effect of the transcription factor Oct-1 (POU2F1) on the expression of the
tumor cell marker metastasin (Mts1/S100A4) was studied. Comparative analysis of
various tumor lines showed no clear correlation between the expression level of
Mts1/S100A4 and the content of Oct-1. However, at stable transfection of tumor
cells with Oct-1A, Oct-1L, and Oct-1X isoforms we detected an elevated level of
Oct-1, which stimulated Mts1/S100A4 secretion. These findings extend our
understanding of the molecular mechanisms of the tumorigenic effect of Oct-1.
PMID- 27193713
TI - New monoclonal antibodies to the Ebola virus glycoprotein: Identification and
analysis of the amino acid sequence of the variable domains.
AB - We determined the nucleotide and amino acid sequences of variable domains of
three new monoclonal antibodies to the glycoprotein of Ebola virus capsid. The
framework and hypervariable regions of immunoglobulin heavy and light chains were
identified. The primary structures were confirmed using massspectrometry
analysis. Immunoglobulin database search showed the uniqueness of the sequences
obtained.
PMID- 27193715
TI - Pleiotropic effect of thyroid hormones on gene expression in fish as exemplified
from the blue bream Ballerus ballerus (Cyprinidae): Results of transcriptomic
analysis.
AB - A pronounced pleiotropic effect of thyroid hormones on the regulation of gene
expression in fish in postembryogenesis was demonstrated for the first time using
larvae and juveniles of the blue bream Ballerus ballerus as an example. Genome
wide transcriptome sequencing (RNA-seq) identified 1212 differentially expressed
genes in the brain and liver of fish kept in triiodothyronine solution (0.25
ng/mL). Our data show that the regulation of gene expression by thyroid hormones
is widespread in nature: it involves not only the structural genes but also the
regulatory genes. A significant number of genes under the control of thyroid
hormones are involved in the determination of morphological traits.
PMID- 27193716
TI - The oxidative modification of cellular fibrin-stabilizing factor.
AB - For the first time, the induced oxidative modification of cellular fibrin
stabilizing factor (cFXIII) has been studied. According to the electrophoresis
analysis, the conversion of oxidized cFXIII into FXIIIa resulted in producing the
enzyme that significantly lost the initial enzymatic activity. At the same time,
FXIIIa subjected to induced oxidation was completely devoid of enzymatic
activity. The results of FTIR spectroscopy showed that the oxidation of cFXIII or
FXIIIa was accompanied by profound changes both in chemical and spatial
structures of the protein. The results of this study are in good agreement with
our earlier assumption regarding the antioxidant role of the regulatory subunits
B of plasma fibrin-stabilizing factor.
PMID- 27193717
TI - Mechanism of caspase-2 activation upon DNA damage.
AB - The mechanism of caspase-2 activation in response to DNA damage was studied using
human ovarian cancer cells Caov-4 treated with chemotherapeutic agent cisplatin.
It was shown that mutations of the three cleavage sites of caspase-2 do not
affect the assembly of the macromolecular complex of caspase-2 and its
activation, but, conversely, stabilize this complex, most likely, via the
inhibition of the dissociation of the active caspase-2.
PMID- 27193718
TI - Impact of tightly focused femtosecond laser pulses on nucleolus-like bodies of
mouse GV oocyte and the ability of mouse oocytes to mature.
AB - Using femtosecond laser radiation, nucleolus-like bodies (NLBs) of mouse oocytes
were locally dissected without damage to zona pellucida, cytoplasmic membrane,
nuclear membrane, and nucleoplasm surrounding NLB. It was found that, after
dissection of 2.7 * 10(-11) cm(3) of NLB material, which is approximately 5.2% of
10 MUm NLB volume, the probability of germinal vesicle oocyte development to
metaphase II stage of meiosis decreased 3-7 times compared to the non-treated
oocytes. This result indicates that NLB material organization is significant for
mouse oocyte maturation.
PMID- 27193720
TI - Transcriptional control of insulin-sensitive glucose carrier Glut4 expression in
adipose tissue cells.
AB - In search for new targets for obesity treatment, we have studied the effect of
several transcription factors on the conversion of murine preadipocytes from the
3T3-L1 cell line into adipocytes. We have found that knockdown of Prep1 gene
expression affects adipogenic differentiation and results in significant increase
in the insulin-sensitive glucose carrier Glut4 gene expression.
PMID- 27193719
TI - Investigation of the effect of alpha-melanocyte-stimulating hormone on
proliferation and early stages of differentiation of human induced pluripotent
stem cells.
AB - We have studied the influence of alpha-melanocyte-stimulating hormone (alpha-MSH)
on proliferation and early stages of differentiation of human induced pluripotent
stem cells (iPSc). We have demonstrated that alpha-MSH receptor genes are
expressed in undifferentiated iPSc. The expression levels of MCR1, MCR2, and MCR3
increased at the embryoid body (EB) formation stage. The formation of neural
progenitors was accompanied by elevation of MCR2, MCR3, and MCR4 expression.
alpha-MSH had no effect on EB generation and iPSc proliferation at concentrations
ranging from 1 nM to 10 MUM. At the same time, alpha-MSH increased the generation
of neural rosettes in human iPSc cultures more than twice.
PMID- 27193721
TI - Hypoxia as pathogenic factor affecting the eye tissues: The selective apoptotic
damage of the conjunctiva and anterior epithelium of the cornea.
AB - The effect of acute hypoxia on the occurrence of apoptosis in eye cells in rats
placed in a pressure chamber was studied. Selective primary lesion of cells of
the conjunctiva and the anterior corneal epithelium was found. A possible role of
the simulated hypoxic conditions in the dry eye syndrome pathogenesis, which is
accompanied by primary lesion of cells in the anterior eye surface tissues is
discussed.
PMID- 27193722
TI - Secretory activity of the brain and peripheral organs: Spontaneous and stimulated
release of noradrenaline in the ontogenesis of rats.
AB - Spontaneous and K(+)-stimulated release of noradrenaline from the hypothalamus,
adrenal gland, and organ of Zuckerkandl under their flowing incubation was
investigated in the perinatal period of ontogenesis of rats. The results suggest
that, during the investigated period of ontogenesis, adrenal glands are the main
source of noradrenaline in the blood, whereas the contributions of the organ of
Zuckerkandl and the brain are not as significant and change during this period.
PMID- 27193724
TI - The level of the Phf10 protein, a PBAF chromatin-remodeling complex subunit,
correlates with the Mts1/S100A4 expression in human cancer cell lines.
AB - Mts1 (S100A4) protein is a marker of metastatic tumor cells, which is associated
with a poor diagnostic prognosis for cancer progression. Therefore, it is
important to study the S100A4 gene expression. According to our preliminary data,
PHF10, a PBAF remodeling complex component, can play an important role in the
transcription regulation of the S100A4 gene. We studied the expression of S100A4
and the total PHF10 protein in some cell lines. We have found that, in the cell
lines studied, the PHF10 expression is correlated with the S100A4 expression.
PMID- 27193723
TI - Expression and purification of an engineered human endothelin receptor B in a
monomeric form.
AB - In humans, two endothelin receptors, ETa and ETb, are activated by three
endogenous 21-mer cyclic peptides, ET-1, ET-2, and ET-3, which control various
physiological processes, including vasoconstriction, vasodilation, and
stimulation of cell proliferation. The first stage of this study it to produce a
stable solubilized and purified receptor in a monodisperse state. This article is
focused on the engineering, expression, purification, and characterization of the
endothelin receptor B for subsequent structural and functional studies.
PMID- 27193725
TI - Elaboration of the Gothenburg model of person-centred care.
AB - BACKGROUND: Person-centred care (PCC) is increasingly advocated as a new way of
delivering health care, but there is little evidence that it is widely practised.
The University of Gothenburg Centre for Person-Centred Care (GPCC) was set up in
2010 to develop and implement person-centred care in clinical practice on the
basis of three routines. These routines are based on eliciting the patient's
narrative to initiate a partnership; working the partnership to achieve commonly
agreed goals; and using documentation to safeguard the partnership and record the
person's narrative and shared goals. OBJECTIVE: In this paper, we aimed to
explore professionals' understanding of PCC routines as they implement the GPCC
model in a range of different settings. METHODS: We conducted a qualitative study
and interviewed 18 clinician-researchers from five health-care professions who
were working in seven diverse GPCC projects. RESULTS: Interviewees' accounts of
PCC emphasized the ways in which persons are seen as different from patients; the
variable emphasis placed on the person's goals; and the role of the person's own
resources in building partnerships. CONCLUSION: This study illustrates what is
needed for health-care professionals to implement PCC in everyday practice: the
recognition of the person is as important as the specific practical routines.
Interviewees described the need to change the clinical mindset and to develop the
ways of integrating people's narratives with clinical practice.
PMID- 27193726
TI - Novel psychoactive benzofurans strongly increase extracellular serotonin level in
mouse corpus striatum.
AB - We examined the effects of three benzofurans [1-(Benzofuran-5-yl)-N-methylpropan
2-amine (5-MAPB), 1-(Benzofuran-2-yl)-N-methylpropan-2-amine (2-MAPB), and 1
(Benzofuran-5-yl)-N-ethylpropan-2-amine (5-EAPB)] on the extracellular monoamine
level in mouse corpus striatum by the microdialysis method and compared them with
the effects of psychoactive 3,4-Methylenedioxymethamphetamine (MDMA). The effects
of benzofurans on the extracellular monoamine level were qualitatively analogous
to that of MDMA, with an increase in serotonin (5-HT) level exceeding dopamine
(DA) level. The effects of 2-MAPB and 5-EAPB were almost the same as the effect
of MDMA. However, 5-MAPB strongly increased extracellular monoamine level than
MDMA. These differences in the potency appear to have a structure-activity
relationship. The administration of 5-MAPB (1.6 * 10(-4) mol/kg B.W.) resulted in
the death of two-thirds of the mice. The same dose of MDMA did not cause any
deaths. The administration of 5-MAPB (1.6 * 10(-4) mol/kg B.W.) produced a 3.41
degrees C +/- 0.28 degrees C rise in rectal temperature after 1 hr, whereas the
administration of MDMA (1.6 * 10(-4) mol/kg B.W.) produced an approximate 1.85
degrees C +/- 0.26 degrees C rise. These results suggest that benzofurans have 5
HT toxicity similar to MDMA, and 5-MAPB has a higher risk of lethal intoxication
than MDMA. Furthermore, 5-APB, the metabolic product of 5-MAPB demethylation, may
be involved in the acute 5-HT toxicity and may cause lethal intoxication in mice.
PMID- 27193727
TI - Fenofibrate reduces cisplatin-induced apoptosis of renal proximal tubular cells
via inhibition of JNK and p38 pathways.
AB - Cisplatin is widely used as a standard chemotherapy for solid tumors. The major
adverse effect of cisplatin is nephrotoxicity in proximal tubular cells, via
oxidative stress, DNA damage, cell apoptosis, and inflammation. The aim of this
study was to investigate the pharmacological effect and mechanism of fibrate
drugs on cisplatin-induced renal proximal tubular cell death. Cisplatin decreased
cell viability of LLC-PK1 and HK-2 cells in a dose-dependent manner. Cisplatin
induced apoptosis was attenuated by co-treatment with fenofibrate while less so
with clofibrate and bezafibrate. Fenofibrate's protective effect was not
complimented by co-treatment with GW6471, a PPARalpha antagonist, indicating the
protective effect occurred via a PPARalpha-independent mechanism. Treating cells
with cisplatin induced reactive oxygen species (ROS), c-JUN N-terminal kinase
(JNK), and p38 kinase (p38), but not extracellular signal-regulated kinase (ERK).
Fenofibrate reversed cisplatin-induced JNK and p38 activation, but had no effect
on ROS production. The findings suggest fenofibrate's protective effect on
cisplatin-induced cytotoxicity is mediated by inhibition of JNK and p38.
Moreover, fenofibrate did not alter cisplatin's antitumor effect on cancer cell
lines including T84, SW-480, HepG2, and SK-LU-1 cells. Therefore, fenofibrate may
be a candidate agent for further development as an adjuvant to cisplatin
treatment.
PMID- 27193728
TI - Effects of PAMAM dendrimers with various surface functional groups and multiple
generations on cytotoxicity and neuronal differentiation using human neural
progenitor cells.
AB - Polyamidoamine (PAMAM) dendrimers have potential for biological applications as
delivery systems for genes, drugs, and imaging agents into the brain, but their
developmental neurotoxicity remains unknown. We investigated the effects of PAMAM
dendrimers with various surface functional groups and multiple generations on
neuronal differentiation using human neural progenitor cells at an equal mass
concentration. Only PAMAM dendrimers containing amine (NH2) surface groups at
concentrations of 10 MUg/mL significantly reduced cell viability and neuronal
differentiation, compared with non-amine-terminated dendrimers. PAMAM-NH2 with
generation (G)3, G4, G5 G6, and G7 significantly decreased cell viability and
inhibited neuronal differentiation from a concentration of 5 MUg/mL, but G0, G1,
and G2 dendrimers did not have any effect at this concentration. Cytotoxicity
indices of PAMAM-NH2 dendrimers at 10 MUg/mL correlated well with the zeta
potentials of the particles. Surface group density and particle number in unit
volume is more important characteristic than particle size to influence
cytotoxicity for positive changed dendrimers. PAMAM-50% C12 at 1 MUg/mL altered
the expression level of the oxidative stress-related genes, ROR1, CYP26A1, and
TGFB1, which is a DNA damage response gene. Our results indicate that PAMAM
dendrimer exposure may have a surface charge-dependent adverse effect on neuronal
differentiation, and that the effect may be associated with oxidative stress and
DNA damage during development of neural cells.
PMID- 27193729
TI - Potential protective effect of arginine against 4-nitrophenol-induced ovarian
damage in rats.
AB - 4-nitrophenol (PNP) is generally regarded as a diesel exhaust particle (DEP).
Arginine plays an important role as a new feed additive, possessing highly
efficient antioxidant activities. Here we investigated the effects of dietary
supplementation with arginine against ovarian damage induced by PNP in rats. A
total of thirty-two female rats postnatal day 28 (PND 28) were randomly divided
into four groups. Two groups were fed with basal diet or 13 g/kg arginine in diet
for 4 weeks, respectively; the other two groups were given PNP (100 mg/kg b.w.)
daily by subcutaneous injection for 2 weeks following pretreatment with either
basal diet or arginine diet for 2 weeks. The values of body weight gain (BWG),
average daily gain (ADG) and percentage weight gain (PWG) upon PNP treatment were
significantly reduced than those in other groups. The relative liver weight in
the PNP group was significantly decreased compared with the control group.
Treatment with PNP significant reduced the number of corpora lutea, although
serum 17beta-estradiol (E2) and progesterone (P4) concentrations were unchanged.
The morphology of the ovaries in PNP-treated rats displayed necrosis, follicular
deformation and granulosa cells irregular arrangement. Moreover, exposure to PNP
enhanced production of malondialdehyde (MDA) and hydrogen peroxide (H2O2), and
decreased the activities of total superoxide dismutase (T-SOD) and catalase
(CAT), and the co-administration of arginine can attenuate the oxidative stress
caused by PNP. These results suggest that arginine may have a protective effect
against ovarian damage induced by PNP owing to its antioxidant capacity effect.
PMID- 27193730
TI - Simpler alternative to CARCINOscreen((r)) based on quantitative PCR (qPCR).
AB - Carcinogenicity of chemicals in our environment is one of the most important
health hazards to humans. Recently, a microarray-based short-term prediction
system for the hepatocarcinogenicity of chemicals, named CARCINOscreen((r)), was
developed. Although the system is a promising tool reported to have an ability to
predict hepatocarcinogenicity in rats with 92.9% accuracy, it requires
specialized equipment and skilled bioinformatics approaches for data analysis.
Therefore, we attempted to develop a quantitative PCR (qPCR)-based system as an
alternative to microarray-based CARCINOscreen((r)). Finally, an optimized gene
set consisting of four predictive genes (Abcb1b, Eprs, Map3K8, and Igh-6) was
selected from among 3,150 combinations of candidate gene sets. The results of
training- and validation-phase trials showed that the qPCR-based alternative to
the microarray-based CARCINOscreen((r)) could predict the hepatocarcinogenicity
of chemicals in rats with 82.8%-86.4% accuracy. One of the predictive genes,
Abcb1b, a member of the ATP-binding cassette protein superfamily and multi-drug
resistance-associated protein, and the results of this study may indicate a close
relation of this gene to the carcinogenicity of chemicals. The prediction
performance of the qPCR-based CARCINOscreen((r)), as well as its user
friendliness and cost efficiency, suggests that this method is promising for
application to primary health hazard assessment. Thus, the qPCR-based
CARCINOscreen((r)) is considered as a promising tool for predicting the
carcinogenicity of chemicals.
PMID- 27193731
TI - Short-term manganese inhalation decreases brain dopamine transporter levels
without disrupting motor skills in rats.
AB - Manganese (Mn) is used in industrial metal alloys and can be released into the
atmosphere during methylcyclopentadienyl manganese tricarbonyl combustion.
Increased Mn deposition in the brain after long-term exposure to the metal by
inhalation is associated with altered dopamine metabolism and neurobehavioral
problems, including impaired motor skills. However, neurotoxic effects of short
term exposure to inhaled Mn are not completely characterized. The purpose of this
study is to define the neurobehavioral and neurochemical effects of short-term
inhalation exposure to Mn at a high concentration using rats. Male Sprague-Dawley
rats were exposed to MnCl2 aerosol in a nose-only inhalation chamber for 3 weeks
(1.2 um, 39 mg/m(3)). Motor coordination was tested on the day after the last
exposure using a rotarod device at a fixed speed of 10 rpm for 2 min. Also,
dopamine transporter and dopamine receptor protein expression levels in the
striatum region of the brain were determined by Western blot analysis. At a
rotarod speed of 10 rpm, there were no significant differences in the time on the
bar before the first fall or the number of falls during the two-minute test
observed in the exposed rats, as compared with controls. The Mn-exposed group had
significantly higher Mn levels in the lung, blood, olfactory bulb, prefrontal
cortex, striatum, and cerebellum compared with the control group. A Mn
concentration gradient was observed from the olfactory bulb to the striatum,
supporting the idea that Mn is transported via the olfactory pathway. Our results
demonstrated that inhalation exposure to 39 mg/m(3) Mn for 3 weeks induced mild
lung injury and modulation of dopamine transporter expression in the brain,
without altering motor activity.
PMID- 27193732
TI - Ochratoxin A mediates MAPK activation, modulates IL-2 and TNF-alpha mRNA
expression and induces apoptosis by mitochondria-dependent and mitochondria
independent pathways in human H9 T cells.
AB - Ochratoxin A (OTA) is a natural fungal secondary metabolite that contaminates
food and animal feed. Human exposure and involvement of this mycotoxin in several
pathologies have been demonstrated worldwide. We investigated OTA immunotoxicity
on H9 cells, a human cutaneous CD4+ T lymphoma cell line. Cells were treated with
0, 1, 5, 10, and 20 uM OTA for up to 24 hr. Western blotting revealed increased
phosphorylation of all three major mitogen-activated protein kinases
(extracellular signal-regulated kinase, c-Jun amino-terminal kinase, p38). OTA
triggered mitochondrial transmembrane potential loss and caspase-3 activation.
The 24-hr OTA treatment caused marked changes in cell morphology and DNA
fragmentation, suggesting the occurrence of apoptotic events that involved a
mitochondria-dependent pathway. Moreover, OTA triggered significant modulation of
survivin, interleukin 2 (IL-2) and tumor necrosis factor alpha (TNF-alpha): mRNA
expression of survivin and IL-2 were decreased, while TNF-alpha was increased.
OTA also caused caspase-8 activation in a time-dependent manner, which evokes the
death receptor pathway activation; we suspect that this occurred via the
autocrine pro-apoptotic effect of TNF-alpha on H9 cells.
PMID- 27193734
TI - Beauvericin-induced cell apoptosis through the mitogen-activated protein kinase
pathway in human nonsmall cell lung cancer A549 cells.
AB - Beauvericin (BEA) is a cyclic hexadepsipeptide that derives from Codyceps
cicadae. Our previous study results indicated that the cytotoxic effects of BEA
on human A549 lung cancer cells BEA occur through an apoptotic pathway, which
involves the up-regulation of cytochrome c release from mitochondria,
upregulation of caspase 3 activity, and cellular and morphological changes. In
this study, we identified that the mitogen-activated protein kinase (MAPK)
inhibitor U0126 inhibits the cytotoxic effects of BEA on A549 cells. After
exposing human A549 cells to 10 MUM BEA, we observed a significant and dose
dependent increase in the percentage of hypoploid (sub-G1) phase cells in the
A549 population. Following the pretreatment of the A549 cells with 25 MUM U0126,
the distribution of A549 cells in the sub-G1 phase decreased significantly. The
BEA treatment resulted in a significant increase apoptosis in A549 cells by in
situ terminal deoxynucleotidyl transferase dUTP nick end labeling (TUNEL) assay.
Moreover, the MEK1/2 (mitogen-activated protein kinase kinase)-ERK42/44
(extracellular signal-regulated kinases)-90RSK (ribosomal s6 kinase) signaling
pathway was activated in BEA-induced apoptotic A549 cells. Furthermore, treatment
with MEK1/2 inhibitor U0126 was capable to attenuate the BEA induced typical
apoptotic morphological change, apoptotic cells, and MEK1/2-ERK42/44-90RSK
signaling pathway. These results suggested that MEK1/2-ERK42/44-90RSK signaling
pathway may play a important role in BEA-induced apoptosis in human NSCLC A549
cancer cells.
PMID- 27193733
TI - Platycodin D exerts anti-tumor efficacy in H22 tumor-bearing mice via improving
immune function and inducing apoptosis.
AB - Platycodin D (PD), a major saponin derived and isolated from the roots of
Platycodon grandiflorum, exerts potent growth inhibition and strong cytotoxicity
against various cancer cell lines. However, the anti-tumor efficacy of PD on H22
hepatocellular carcinoma remains unknown. In the present study, we aimed to
explore the anti-hepatoma activity in vivo and the underlying mechanism of PD in
H22 tumor-bearing mice. The results revealed that PD could considerably suppress
tumor growth with no significant side effects on immune organs and body weight.
Further investigations showed that the levels of serum cytokines, including
interferon gamma (IFN-gamma), tumor necrosis factor-alpha (TNF-alpha),
interleukin-6 (IL-6), and interleukin-2 (IL-2), were enhanced by PD
administration. On the other hand, PD inhibited the production of vascular
endothelial growth factor (VEGF) in serum of H22 tumor mice. Additionally, the
observations from H&E and Hoechst 33258 staining results demonstrated that PD
noticeably induced apoptosis in H22 hepatocellular carcinoma cells. Importantly,
immunohistochemical analysis showed that PD treatment increased Bax expression
and decreased Bcl-2 and VEGF expression of H22 tumor tissues in a dose-dependent
manner. Taken together, the findings in the present investigation clearly
demonstrated that the PD markedly suppressed the tumor growth of H22 transplanted
tumor in vivo at least partly via improving the immune functions, inducing
apoptosis, and inhibiting angiogenesis.
PMID- 27193735
TI - Electropharmacological effects of amantadine on cardiovascular system assessed
with J-Tpeak and Tpeak-Tend analysis in the halothane-anesthetized beagle dogs.
AB - Since amantadine-induced long QT syndrome has been clinically reported, we
investigated its electropharmacological effects to estimate the extent of
proarrhythmic risk by using the halothane-anesthetized beagle dogs (n = 4).
Amantadine in doses of 0.1, 1 and 10 mg/kg was infused over 10 min with a pause
of 20 min under the monitoring of multiple cardiovascular variables. J-Tpeak and
Tpeak-Tend were separately measured on the lead II electrocardiogram to precisely
analyze the net balance between inward and outward current modifications by
amantadine. The low dose increased the ventricular contractile force, but
suppressed the intraventricular conduction. The middle dose prolonged the QT
interval besides enhancing the changes induced by the low dose. The high dose
increased the mean blood pressure, left ventricular end-diastolic pressure and
total peripheral resistance, and accelerated the atrioventricular nodal
conduction, but decreased the cardiac output besides enhancing the changes
induced by the middle dose. A reverse use-dependence was confirmed in the
repolarization delay. Amantadine hardly affected the J-Tpeak, but prolonged the
Tpeak-Tend. Amantadine can be considered to stimulate Ca(2+) channel but inhibit
Na(+) and K(+) channels in the in situ heart. J-Tpeak and Tpeak-Tend analysis
suggests that amantadine may possess modest risk for arrhythmia.
PMID- 27193736
TI - Child dietary and eating behavior outcomes up to 3.5 years after an early feeding
intervention: The NOURISH RCT.
AB - OBJECTIVE: To evaluate dietary intake impact outcomes up to 3.5 years after the
NOURISH early feeding intervention (concealed allocation, assessor masked
randomized controlled trial). METHODS: In this study, 698 first-time mothers with
healthy term infants were allocated to receive anticipatory guidance on
protective feeding practices or usual care. Outcomes were assessed at 2, 3.7, and
5 years (3.5 years post-intervention). Dietary intake was assessed by 24-h recall
and Child Dietary Questionnaire. Mothers completed a food preference
questionnaire and Children's Eating Behavior Questionnaire. Linear mixed models
assessed group, time, and time * group effects. RESULTS: There were no group or
time * group effects for fruit, vegetable, discretionary food, and nonmilk
sweetened beverage intake. Intervention children showed a higher preference for
fruit (74.6% vs. 69.0% liked, P < 0.001), higher Child Dietary Questionnaire
score for fruit and vegetables (15.3 vs. 14.5, target >=18, P = 0.03), lower food
responsiveness (2.3 vs. 2.4, of maximum 5, P = 0.04), and higher satiety
responsiveness (3.1 vs. 3.0, of maximum 5, P = 0.04). CONCLUSIONS: Compared with
usual care, an early feeding intervention providing anticipatory guidance
regarding positive feeding practices led to small improvements in child dietary
score, food preferences, and eating behaviors up to 5 years of age, but not in
dietary intake measured by 24-h recall.
PMID- 27193739
TI - Partially oxidized iridium clusters within dendrimers: size-controlled synthesis
and selective hydrogenation of 2-nitrobenzaldehyde.
AB - Iridium clusters nominally composed of 15, 30 or 60 atoms were size-selectively
synthesized within OH-terminated poly(amidoamine) dendrimers of generation 6.
Spectroscopic characterization revealed that the Ir clusters were partially
oxidized. All the Ir clusters efficiently converted 2-nitrobenzaldehyde to
anthranil and 2-aminobenzaldehyde under atmospheric hydrogen at room temperature
in toluene via selective hydrogenation of the NO2 group. The selectivity toward 2
aminobenzaldehyde over anthranil was improved with the reduction of the cluster
size. The improved selectivity is ascribed to more efficient reduction than
intramolecular heterocyclization of a hydroxylamine intermediate on smaller
clusters that have a higher Ir(0)-phase population on the surface.
PMID- 27193737
TI - Randomized-controlled trial of mindfulness-based cancer recovery versus
supportive expressive group therapy among distressed breast cancer survivors
(MINDSET): long-term follow-up results.
AB - BACKGROUND: Mindfulness-based cancer recovery (MBCR) and supportive expressive
group therapy (SET) are two well-validated psychosocial interventions, but they
have not been directly compared, and little is known about long-term outcomes.
This comparative effectiveness study measured the effects of these two
interventions immediately following the groups and for 1 year thereafter in
distressed breast cancer survivors. METHODS: Two hundred fifty-two distressed
Stage I-III breast cancer survivors were randomized into either MBCR or SET.
Women completed questionnaires addressing mood, stress symptoms, quality of life,
social support, spirituality and post-traumatic growth before and after the
interventions, and 6 and 12 months later. RESULTS: Immediately following the
intervention, women in MBCR reported greater reduction in mood disturbance
(primarily fatigue, anxiety and confusion) and stress symptoms including tension,
sympathetic arousal and cognitive symptoms than those in SET. They also reported
increased emotional and functional quality of life, emotional, affective and
positive social support, spirituality (feelings of peace and meaning in life) and
post-traumatic growth (appreciation for life and ability to see new
possibilities) relative to those in SET, who also improved to a lesser degree on
many outcomes. Effect sizes of the time * group interactions were small to
medium, and most benefits were maintained over 12 months of follow-up.
CONCLUSIONS: This study is the first and largest to demonstrate sustained
benefits of MBCR in distressed breast cancer survivors relative to an active
control. MBCR was superior to SET for improving psychological well-being with
lasting benefits over 1 year, suggesting these women gained long-lasting and
efficacious tools to cope with cancer. TRIAL REGISTRATION: Registered on
clinicaltrials.gov number NCT00390169, October 2006. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27193738
TI - Truncations of xyloglucan xylosyltransferase 2 provide insights into the roles of
the N- and C-terminus.
AB - Xyloglucan is the most abundant hemicellulose in the primary cell wall of
dicotyledonous plants. In Arabidopsis, three xyloglucan xylosyltransferases,
XXT1, XXT2, and XXT5, participate in xylosylation of the xyloglucan backbone.
Despite the importance of these enzymes, there is a lack of information on their
structure and the critical residues required for substrate binding and
transferase activity. In this study, the roles of different domains of XX2 in
protein expression and catalytic activity were investigated by constructing a
series of N- and C-terminal truncations. XXT2 with an N-terminal truncation of 31
amino acids after the predicted transmembrane domain showed the highest protein
expression, but truncations of more than 31 residues decreased protein expression
and catalytic activity. XXT2 constructs with C-terminal truncations showed
increased protein expression but decreased activity, particularly for truncations
of 44 or more amino acids. Site-directed mutagenesis was also used to investigate
six positively charged residues near the C-terminus and found that four of the
mutants showed decreased enzymatic activity. We conclude that the N- and C
termini of XXT2 have important roles in protein folding and enzymatic activity:
the stem region (particularly the N-terminus of the catalytic domain) is critical
for protein folding and the C-terminus is essential for enzymatic activity but
not for protein folding.
PMID- 27193741
TI - Microfluidic-based photocatalytic microreactor for environmental application: a
review of fabrication substrates and techniques, and operating parameters.
AB - Photochemical technology with microfluidics is emerging as a new platform in
environmental science. Microfluidic technology has various advantages, like
better mixing and a shorter diffusion distance for the reactants and products;
and uniform distribution of light on the photocatalyst. Depending on the material
type and related applications, several fabrication techniques have been adopted
by various researchers. Microreactors have been prepared by various techniques,
such as lithography, etching, mechanical microcutting technology, etc.
Lithography can be classified into photolithography, soft lithography and X-ray
lithography techniques whereas the etching process is divided into wet etching
(chemical etching) and dry etching (plasma etching) techniques. Several
substrates, like polymers, such as polydimethyl-siloxane (PDMS), polymethyle
methacrylate (PMMA), hydrogel, etc.; metals, such as stainless steel, titanium
foil, etc.; glass, such as silica capillary, glass slide, etc.; and ceramics have
been used for microchannel fabrication. During degradation in a microreactor, the
degradation efficiency is affected by few important parameters such as flow rate,
initial concentration of the target compound, microreactor dimensions, light
intensity, photocatalyst structure and catalyst support. The present paper
discusses and critically reviews fabrication techniques and substrates used for
microchannel fabrication and critical operating parameters for organics,
especially dye degradation in the microreactor. The kinetics of degradation has
also been discussed.
PMID- 27193740
TI - Crisaborole Topical Ointment, 2% in Patients Ages 2 to 17 Years with Atopic
Dermatitis: A Phase 1b, Open-Label, Maximal-Use Systemic Exposure Study.
AB - BACKGROUND: Phosphodiesterase-4 (PDE4) is a promising target in atopic dermatitis
(AD) treatment. The pharmacokinetics (PK), safety, and efficacy of crisaborole
topical ointment, 2% (formerly AN2728) (Anacor Pharmaceuticals, Palo Alto, CA), a
boron-based benzoxaborole PDE4 inhibitor, were evaluated in children with mild to
moderate AD. METHODS: This phase 1b, open-label, maximal-use study of crisaborole
topical ointment, 2% applied twice daily (dose 3 mg/cm(2) ) for 28 days enrolled
patients ages 2 to 17 years with extensive AD involving 25% or more or 35% or
more treatable body surface area, depending on age. Primary PK and safety
assessments included systemic exposure to crisaborole and its metabolites after 7
days of treatment and the incidence of treatment-emergent adverse events (TEAEs).
Secondary efficacy assessments included change from baseline in Investigator
Static Global Assessment (ISGA), treatment success (ISGA score <=1 with a two
grade or greater improvement from baseline), and improvement in five AD signs and
symptoms. RESULTS: Of 34 patients enrolled, 31 completed the study. Crisaborole
was rapidly absorbed, with limited systemic exposure between days 1 and 8. Twenty
three of 34 patients reported one or more TEAEs; 95% were mild or moderate and
one patient discontinued because of a TEAE. Mean ISGA scores declined from 2.65
at baseline to 1.15 at day 29, 47.1% of patients achieved treatment success, and
64.7% of patients achieved ISGA scores of clear (0) or almost clear . Mean
severity scores for AD signs and symptoms declined throughout the study.
CONCLUSIONS: This open-label study provides evidence that crisaborole topical
ointment, 2% was well tolerated, with limited systemic exposure under maximal-use
conditions in patients ages 2 years and older.
PMID- 27193742
TI - Functional analysis of the N-terminal basic motif of a eukaryotic satellite RNA
virus capsid protein in replication and packaging.
AB - Efficient replication and assembly of virus particles are integral to the
establishment of infection. In addition to the primary role of the capsid protein
(CP) in encapsidating the RNA progeny, experimental evidence on positive sense
single-stranded RNA viruses suggests that the CP also regulates RNA synthesis.
Here, we demonstrate that replication of Satellite tobacco mosaic virus (STMV) is
controlled by the cooperative interaction between STMV CP and the helper virus
(HV) Tobacco mosaic virus (TMV) replicase. We identified that the STMV CP-HV
replicase interaction requires a positively charged residue at the third position
(3R) in the N-terminal 13 amino acid (aa) motif. Far-Northwestern blotting showed
that STMV CP promotes binding between HV-replicase and STMV RNA. An STMV CP
variant having an arginine to alanine substitution at position 3 in the N
terminal 13aa motif abolished replicase-CP binding. The N-terminal 13aa motif of
the CP bearing alanine substitutions for positively charged residues located at
positions 5, 7, 10 and 11 are defective in packaging full-length STMV, but can
package a truncated STMV RNA lacking the 3' terminal 150 nt region. These
findings provide insights into the mechanism underlying the regulation of STMV
replication and packaging.
PMID- 27193743
TI - Validation of a Hindi version of the Epworth Sleepiness Scale (ESS) at AIIMS, New
Delhi in sleep-disordered breathing.
AB - PURPOSE: The Epworth Sleepiness Scale (ESS) is one of the most widely used
questionnaire for the assessment of excessive daytime sleepiness (EDS) in sleep
disordered breathing (SDB). This study was conducted to assess the validity of
ESS in the Hindi language. METHODS: The Hindi version was developed by
translation and back translation by independent translators. The English and
Hindi versions were administered to 115 bilingual subjects who presented with
symptoms of SDB, of whom 98 underwent a polysomnography at a tertiary care
hospital in North India. RESULTS: The questionnaire had a high level of internal
consistency as measured by Cronbach's alpha (alpha = 0.84). There was no
significant difference between the mean ESS scores of Hindi and English versions
(11.65 +/- 5.47 vs 11.70 +/- 5.49, respectively; p = 0.80). The Hindi version of
ESS showed a strong correlation with the English version (Spearman's correlation
rho = 0.98 and weighted kappa = 0.94). Each of the 8 individual questions of
Hindi ESS demonstrated a good agreement with the corresponding English version.
The Hindi ESS score was significantly higher in subjects with OSA compared to
those without OSA (12.67 +/- 5.29 vs 7.76 +/- 5.44, respectively; p = 0.002).
However, there was no difference in ESS score between mild and moderate OSA or
between moderate and severe OSA. CONCLUSIONS: The Hindi version of the ESS showed
a good internal consistency and a strong correlation with the English version and
can be used in the Hindi-speaking population.
PMID- 27193744
TI - The benefit of HH during the CPAP titration in the cool sleeping environment.
AB - PURPOSE: Upper airway symptom associated with continuous positive airway pressure
(CPAP) treatment is an important factor influencing CPAP adherence. There are
conflicting data on the effect of a heated humidifier (HH) during CPAP titration
for patients with obstructive sleep apnea hypopnea syndrome (OSAHS). This study
investigated the effects of HH during CPAP titration in the cool sleeping
environment. METHODS: Forty newly diagnosed OSAHS patients who received CPAP
titration in the cool sleeping environment were randomly assigned to HH and non
HH groups. A questionnaire was used to evaluate upper airway symptoms,
satisfaction with initial CPAP treatment, and willingness to further use CPAP.
Some therapy parameters including leak, apnea hypopnea index (AHI) reduction, and
optimal CPAP pressure level were analyzed. We compared these subjective and
objective data between the two groups. RESULTS: In subjective sensation, the use
of HH can alleviate upper airway symptoms associated with CPAP titration (P <
0.001). The HH group has benefit in satisfaction with initial CPAP treatment (P <
0.001) and further willingness to use CPAP (P < 0.01), although there were no
significant differences in leak, AHI reduction, and optimal CPAP pressure between
the two groups. CONCLUSIONS: The use of HH is recommended during CPAP titration
in the cool sleeping environment because of its benefit in the treatment of upper
airway symptoms associated with CPAP therapy and improvement of the CPAP
acceptance.
PMID- 27193745
TI - Cost-effectiveness of Injectable Preexposure Prophylaxis for HIV Prevention in
South Africa.
AB - BACKGROUND: Long-acting injectable antiretrovirals such as rilpivirine (RPV)
could promote adherence to preexposure prophylaxis (PrEP) for human
immunodeficiency virus (HIV) prevention. However, the cost-effectiveness of
injectable PrEP is unclear. METHODS: We constructed a dynamic model of the
heterosexual HIV epidemic in KwaZulu-Natal, South Africa, and analyzed scenarios
of RPV PrEP scale-up for combination HIV prevention in comparison with a
reference scenario without PrEP. We estimated new HIV infections, life-years and
costs, and incremental cost-effectiveness ratios (ICERs), over 10-year and
lifetime horizons, assuming a societal perspective. RESULTS: Compared with no
PrEP, unprioritized scale-up of RVP PrEP covering 2.5%-15% of adults prevented up
to 9% of new infections over 10 years. HIV prevention doubled (17%) when the same
coverage was prioritized to 20- to 29-year-old women, costing $10 880-$19 213 per
infection prevented. Prioritization of PrEP to 80% of individuals at highest
behavioral risk achieved comparable prevention (4%-8%) at <1% overall coverage,
costing $298-$1242 per infection prevented. Over lifetime, PrEP scale-up among 20
to 29-year-old women was very cost-effective (<$1600 per life-year gained),
dominating unprioritized PrEP, while risk prioritization was cost-saving. PrEP's
10-year impact decreased by almost 50% with increases in ICERs (up to 4.2-fold)
in conservative base-case analysis. Sensitivity analysis identified PrEP's costs,
efficacy, and reliability of delivery as the principal drivers of uncertainty in
PrEP's cost-effectiveness, and PrEP remained cost-effective under the assumption
of universal access to second-line antiretroviral therapy. CONCLUSIONS: Compared
with no PrEP, prioritized scale-up of RPV PrEP in KwaZulu-Natal could be very
cost-effective or cost-saving, but suboptimal PrEP would erode benefits and
increase costs.
PMID- 27193747
TI - Clinical and Imaging Findings in an Infant With Zika Embryopathy.
AB - Recent Zika virus (ZIKV) outbreaks have been associated with an increased
incidence of neonatal microcephaly. Subsequently, tropism for the brain was
established in human fetal brain tissue. We present the first congenital ZIKV
infection in the United States, confirmed by high ZIKV immunoglobulin M antibody
titers in serum and cerebrospinal fluid. The phenotypic characteristics of the
patient fall within fetal brain disruption sequence, suggesting impaired brain
development in the second half of gestation. Brain imaging revealed an almost
agyric brain with diffuse parenchymal calcifications, hydrocephalus ex vacuo, and
cerebellar hypoplasia. Ophthalmologic examination revealed macular pigment
stippling and optic nerve atrophy. Liver, lungs, heart, and bone marrow were not
affected. The patient had progressive neurologic deterioration in the first month
of life. The discovery of ZIKV infection in human fetal brain tissue along with
serologic confirmation proves the vertical transmission of ZIKV. Therefore, ZIKV
has joined the group of congenital infections.
PMID- 27193746
TI - Longitudinal Study of the Effects of Bacteremia and Sepsis on 5-year Risk of
Cardiovascular Events.
AB - BACKGROUND: The long-term and cumulative effect of multiple episodes of
bacteremia and sepsis across multiple hospitalizations on the development of
cardiovascular (CV) events is uncertain. METHODS: We conducted a longitudinal
study of 156 380 hospitalizations in 47 009 patients (>=18 years old) who had at
least 2 inpatient admissions at an academic tertiary care center in St Louis,
Missouri, from 1 January 2008 through 31 December 2012. We used marginal
structural models, estimated by inverse probability weighting (IPW) of bacteremia
or sepsis and IPW of censoring, to estimate the marginal causal effects of
bacteremia and sepsis on developing the first observed incident CV event,
including stroke, transient ischemic attack, and myocardial infarction (MI),
during the study period. RESULTS: Bacteremia and sepsis occurred during 4923
(3.1%) and 5544 (3.5%) hospitalizations among 3932 (8.4%) and 4474 (9.5%)
patients, respectively. CV events occurred in 414 (10.5%) and 538 (12.0%)
patients with prior episodes of bacteremia or sepsis, respectively, vs 3087
(7.2%) and 2963 (7.0%) patients without prior episodes of bacteremia or sepsis.
The causal odds of experiencing a CV event was 1.52-fold (95% confidence interval
[CI], 1.21- to 1.90-fold) and 2.39-fold (95% CI, 1.88- to 3.03-fold) higher in
patients with prior instances of bacteremia or sepsis, respectively, compared to
those without. Prior instances of septic shock resulted in a 6.91-fold (95% CI,
5.34- to 8.93-fold) increase in the odds of MI. CONCLUSIONS: Prior instances of
bacteremia and sepsis substantially increase the 5-year risk of CV events.
PMID- 27193748
TI - A Single-dose Zoledronic Acid Infusion Prevents Antiretroviral Therapy-induced
Bone Loss in Treatment-naive HIV-infected Patients: A Phase IIb Trial.
AB - BACKGROUND: Human immunodeficiency virus (HIV) infection and antiretroviral
therapy (ART) are associated with bone loss leading to increased fracture rate
among HIV-infected individuals. ART-induced bone loss is most intense within the
first 48 weeks of therapy, providing a window for prophylaxis with long-acting
antiresorptives. METHODS: In a phase 2, double-blind, placebo-controlled trial,
we randomized 63 nonosteoporotic, ART-naive adults with HIV initiating ART with
atazanavir/ritonavir + tenofovir/emtricitabine to a single zoledronic acid (ZOL)
infusion (5 mg) vs placebo to determine the efficacy of ZOL in mitigating ART
induced bone loss. Plasma bone turnover markers and bone mineral density (BMD)
were performed at weeks 0, 12, 24, and 48 weeks. Primary outcome was change in C
terminal telopeptide of collagen at 24 weeks. Repeated-measures analyses using
mixed linear models were used to estimate and compare study endpoints. RESULTS:
The ZOL arm had a 65% reduction in bone resorption relative to the placebo arm at
24 weeks (0.117 ng/mL vs 0.338 ng/mL; P < .001). This effect of ZOL occurred as
early as 12 weeks (73% reduction; P < .001) and persisted through week 48 (57%
reduction; P < .001). The ZOL arm had an 8% higher lumbar spine BMD at 12 weeks
relative to the placebo arm (P = .003), and remained 11% higher at 24 and 48
weeks. Similar trends were observed in the hip and femoral neck. CONCLUSIONS: A
single dose of ZOL administered at ART initiation prevented ART-induced bone loss
through the first 48 weeks of ART, the period when ART-induced bone loss is most
pronounced. Validation of these results in larger multicenter randomized clinical
trials is warranted. CLINICAL TRIALS REGISTRATION: NCT01228318.
PMID- 27193749
TI - Factors Underlying Ebola Virus Infection Among Health Workers, Kenema, Sierra
Leone, 2014-2015.
AB - BACKGROUND: Ebola virus disease (EVD) in health workers (HWs) has been a major
challenge during the 2014-2015 outbreak. We examined factors associated with
Ebola virus exposure and mortality in HWs in Kenema District, Sierra Leone.
METHODS: We analyzed data from the Sierra Leone National Viral Hemorrhagic Fever
Database, contact tracing records, Kenema Government Hospital (KGH) staff and
Ebola Treatment Unit (ETU) rosters, and burial logs. RESULTS: From May 2014
through January 2015, 600 cases of EVD originated in Kenema District, including
92 (15%) HWs, 66 (72%) of whom worked at KGH. Among KGH medical staff and
international volunteers, 18 of 62 (29%) who worked in the ETU developed EVD,
compared with 48 of 83 (58%) who worked elsewhere in the hospital. Thirteen
percent of HWs with EVD reported contact with EVD patients, while 27% reported
contact with other infected HWs. The number of HW EVD cases at KGH declined
roughly 1 month after implementation of a new triage system at KGH and the
opening of a second ETU within the district. The case fatality ratio for HWs and
non-HWs with EVD was 69% and 74%, respectively. CONCLUSIONS: The cluster of HW
EVD cases in Kenema District is one of the largest ever reported. Most HWs with
EVD had potential virus exposure both inside and outside of hospitals. Prevention
measures for HWs must address a spectrum of infection risks in both formal and
informal care settings as well as in the community.
PMID- 27193751
TI - Molten salt CO2 capture and electro-transformation (MSCC-ET) into capacitive
carbon at medium temperature: effect of the electrolyte composition.
AB - Electrochemical transformation of CO2 into functional materials or fuels (i.e.,
carbon, CO) in high temperature molten salts has been demonstrated as a promising
way of carbon capture, utilisation and storage (CCUS) in recent years. In a view
of continuous operation, the electrolysis process should match very well with the
CO2 absorption kinetics. At the same time, in consideration of the energy
efficiency, a molten salt electrochemical cell running at lower temperature is
more beneficial to a process powered by the fluctuating renewable electricity
from solar/wind farms. Ternary carbonates (Li : Na : K = 43.5 : 31.5 : 25.0) and
binary chlorides (Li : K = 58.5 : 41.5), two typical kinds of eutectic melt with
low melting points and a wide electrochemical potential window, could be the
ideal supporting electrolyte for the molten salt CO2 capture and electro
transformation (MSCC-ET) process. In this work, the CO2 absorption behaviour in
Li2O/CaO containing carbonates and chlorides were investigated on a home-made gas
absorption testing system. The electrode processes as well as the morphology and
properties of carbon obtained in different salts are compared to each other. It
was found that the composition of molten salts significantly affects the
absorption of CO2, electrode processes and performance of the product.
Furthermore, the relationship between the absorption and electro-transformation
kinetics are discussed based on the findings.
PMID- 27193750
TI - Benefit-risk Evaluation for Diagnostics: A Framework (BED-FRAME).
AB - The medical community needs systematic and pragmatic approaches for evaluating
the benefit-risk trade-offs of diagnostics that assist in medical decision
making. Benefit-Risk Evaluation of Diagnostics: A Framework (BED-FRAME) is a
strategy for pragmatic evaluation of diagnostics designed to supplement
traditional approaches. BED-FRAME evaluates diagnostic yield and addresses 2 key
issues: (1) that diagnostic yield depends on prevalence, and (2) that different
diagnostic errors carry different clinical consequences. As such, evaluating and
comparing diagnostics depends on prevalence and the relative importance of
potential errors. BED-FRAME provides a tool for communicating the expected
clinical impact of diagnostic application and the expected trade-offs of
diagnostic alternatives. BED-FRAME is a useful fundamental supplement to the
standard analysis of diagnostic studies that will aid in clinical decision
making.
PMID- 27193752
TI - Barriers to Cervical Cancer Screening and Prevention in Young Korean Immigrant
Women: Implications for Intervention Development.
AB - This study aimed to identify major barriers to Papanicolaou (Pap) test uptake and
human papillomavirus (HPV) vaccine acceptability. Three focus groups with 16
young Korean immigrant women residing in a community in the Midwest were
conducted. Braun and Clarke's six phases of thematic analysis were used to
develop themes. Three major themes emerged as barriers: (a) limited knowledge
about cervical cancer and preventive behaviors, (b) culture-specific barriers,
and (c) low accessibility to health care services. These themes were
multifactorial and interrelated barriers to Pap test uptake and HPV vaccine
acceptability among young Korean immigrant women. These findings indicate that
culturally tailored cervical cancer education is needed to promote Pap test
uptake and HPV vaccination in this underserved population.
PMID- 27193755
TI - Radiation-Induced Moyamoya Syndrome after Proton Beam Therapy in the Pediatric
Patient: A Case Series.
AB - Minimizing normal-tissue radiation exposure is especially important in the
pediatric population as children appear to be particularly sensitive to
postradiation vasculopathies after conventional photon radiotherapy. Given the
limited scattering effect and low-dose radiation delivery to the surrounding
tissues with proton beam radiotherapy, this modality is considered to be an
effective treatment for pediatric skull-base tumors compared to conventional
radiotherapy, and to have fewer adverse side effects. We report 2 cases of
radiation-induced moyamoya syndrome following proton beam therapy in pediatric
patients. To our knowledge, only a few other reported cases of radiation-induced
moyamoya syndrome following proton beam therapy exist in the current literature.
While rare, radiation-induced moyamoya syndrome can occur in the pediatric
population with newer techniques like proton beam radiotherapy. Accordingly,
patients and their families should be informed about this potential complication
prior to all forms of radiation treatment.
PMID- 27193753
TI - The effectiveness and safety of two prophylactic antibiotic regimes in hip
fracture surgery.
AB - Antibiotic prophylaxis with cefuroxime can reduce the incidence of deep wound
infection (DWI) in hip-fracture surgery, but may increase the risk of C.
difficile infection (CDI). An alternative is gentamicin with beta-lactam for
which a question exists around clinical effectiveness and safety, given the
gentamicin-associated nephrotoxicity particularly in the elderly and narrower
sensitivity spectrum. We compared 744 consecutive patients (group I-cefuroxime)
with 756 in group II (gentamicin + flucloxacillin) who were well matched. There
were 4 cases of CDI in the cefuroxime prophylaxis, whereas none in flucloxacillin
plus gentamicin (group II). There was a statistically significant (p = 0.036)
increased DWI rate in group II (2.5 %) as compared to group I (1.1 %). However,
after controlling for age, gender, ASA grade, surgeon grade, implant type and
type of anaesthesia, there was no statistically significant difference between
the two groups (p = 0.146). 8.5 % of group I and 16.5 % of group II developed AKI
post-operatively (p = 0.023); however, 79 % of group I and 80 % of in group II
had complete resolution of AKI prior to their discharge. Further, a significant
increase in inpatient deaths (p = 0.057) in group II was observed, but not at 30
days (p = 0.378).
PMID- 27193754
TI - Birth Outcomes in a Prospective Pregnancy-Birth Cohort Study of Environmental
Risk Factors in Kuwait: The TRACER Study.
AB - BACKGROUND: Rapid development and westernisation in Kuwait and other Gulf states
have been accompanied by rising rates of obesity, diabetes, asthma, and other
chronic conditions. Prenatal experiences and exposures may be important targets
for intervention. We undertook a prospective pregnancy-birth cohort study in
Kuwait, the TRansgenerational Assessment of Children's Environmental Risk
(TRACER) Study, to examine prenatal risk factors for early childhood obesity.
This article describes the methodology and results of follow-up through birth.
METHODS: Women were recruited at antenatal clinical visits. Interviewers
administered questionnaires during the pregnancy and collected and banked
biological samples. Children are being followed up with quarterly maternal
interviews, annual anthropometric measurements, and periodic collection of
biosamples. Frequencies of birth outcomes (i.e. stillbirth, preterm birth, small
and large for gestational age, and macrosomia) were calculated as a function of
maternal characteristics and behaviours. RESULTS: Two thousand four hundred
seventy-eight women were enrolled, and 2254 women were followed to delivery.
Overall, frequencies of stillbirth (0.6%), preterm birth (9.3%), and small for
gestational age (7.4%) were comparable to other developed countries, but not
strongly associated with maternal characteristics or behaviours. Macrosomia
(6.1%) and large for gestational age (23.0%) were higher than expected and
positively associated with pre-pregnancy maternal overweight/obesity.
CONCLUSIONS: A large birth cohort has been established in Kuwait. The collected
risk factors and banked biosamples will allow examination of the effects of
prenatal exposures on the development of chronic disease in children. Initial
results suggest that maternal overweight/obesity before pregnancy should be
targeted to prevent macrosomia and its associated sequelae of childhood
overweight/obesity.
PMID- 27193756
TI - A global meta-analysis on the ecological drivers of forest restoration success.
AB - Two billion ha have been identified globally for forest restoration. Our meta
analysis encompassing 221 study landscapes worldwide reveals forest restoration
enhances biodiversity by 15-84% and vegetation structure by 36-77%, compared with
degraded ecosystems. For the first time, we identify the main ecological drivers
of forest restoration success (defined as a return to a reference condition, that
is, old-growth forest) at both the local and landscape scale. These are as
follows: the time elapsed since restoration began, disturbance type and landscape
context. The time elapsed since restoration began strongly drives restoration
success in secondary forests, but not in selectively logged forests (which are
more ecologically similar to reference systems). Landscape restoration will be
most successful when previous disturbance is less intensive and habitat is less
fragmented in the landscape. Restoration does not result in full recovery of
biodiversity and vegetation structure, but can complement old-growth forests if
there is sufficient time for ecological succession.
PMID- 27193757
TI - High relative frequency of SCA1 in Poland reflecting a potential founder effect.
AB - Spinocerebellar ataxias (SCAs) have irregular distributions worldwide. SCA1 is
the most frequent in Poland, and no cases of SCA3 of Polish origin has yet been
identified. In view of such patterns of SCAs occurrence, the relative frequency,
geographical distribution and a possible founder effect of SCA1 were
investigated. DNA samples of 134 probands with SCA1 and 228 controls were
analysed. The genotyping of four markers, D6S89, D6S109, D6S274, D6S288, around
the ATXN1 gene (SCA1) and sequencing of the selected variant of D6S89 were
performed. The relative frequency of SCA1 was 68 %. The studied SCA1 pedigrees
were irregularly distributed, with the highest concentration in Central Poland.
Haplotyping revealed the association of ATXN1 gene mutation with a 197-bp variant
of D6S89 marker (63 % of probands) and with a 184-bp variant of DS6274 (50.7 % of
probands). Out of 61 SCA1 probands from Mazowieckie, 41 carried the same 197-bp
variant. SCA1 relative frequency in Poland shows the highest value compared with
the data from other countries worldwide. Due to the association with the mutation
obtained for the investigated markers and the SCA1 pedigrees concentration in
Central Poland, we hypothesise that it represents a potential founder effect.
PMID- 27193758
TI - First detection of Onchocerca lupi infection in dogs in southern Spain.
AB - BACKGROUND: Onchocerca lupi causes ocular pathology of varying severity in dogs
from south-western United States, western Europe and northern Asia. This
filarioid has also been recognized as a zoonotic agent in Tunisia, Turkey, Iran
and the USA, though the information about the biology and epidemiology of this
infection is largely unknown. In Europe, O. lupi has been reported in dogs from
Germany, Greece, Hungary, Portugal and Romania and in a cat from Portugal. The
present study was designed to establish the occurrence of O. lupi in dogs in
southwestern Spain. In the present study a total of 104 dogs of different breed,
sex, and age living in a shelter in Huelva (SW Spain) were examined. Skin snip
samples were collected using a disposable scalpel in the forehead and inter
scapular regions and stored as aliquots in saline solution (0.5 ml) before light
microscopy observation of individual sediments (20 MUl) and molecular
examination. RESULTS: Of the 104 dogs examined, 5 (4.8 %) were skin snip-positive
for O. lupi: two by microscopy and three by PCR. One of the O. lupi infected dogs
showed neurological signs but ocular ultrasonography and/or MRI detected no
abnormalities. CONCLUSIONS: This first report of O. lupi infection in dogs in
southern Spain expands the range of geographical distribution of this parasite
and sounds an alarm bell for practitioners and physicians working in that area.
PMID- 27193759
TI - Mode of action of leucocin K7 produced by Leuconostoc mesenteroides K7 against
Listeria monocytogenes and its potential in milk preservation.
AB - OBJECTIVES: To investigate the mode of action of leucocin K7 against Listeria
monocytogenes and to assess its inhibitory effect on Lis. monocytogenes in
refrigerated milk. RESULTS: A bacteriocin-producing strain, Leuconostoc
mesenteroides K7, was isolated from a fermented pickle. The bacteriocin, leucocin
K7, exhibited antagonistic activity against Lis. monocytogenes with an MIC of 28
ug/ml. It was sensitive to proteaseS and displayed good thermal stability and
broad active pH range. Leucocin K7 had no effect on the efflux of ATP from Lis.
monocytogenes but triggered the efflux of K(+) and the intracellular hydrolysis
of ATP. It also dissipated the transmembrane electrical potential completely and
transmembrane pH gradient partially. It 80 AU/ml inhibited the growth of Lis.
monocytogenes by 2.3-3.9 log units in milk; when combined with glycine (5 mg/ml),
it completely eliminated viable Lis. monocytogenes over 7 days CONCLUSION:
Leucocin K7 shows different mode of action from nisin and may have potential
application in milk preservation.
PMID- 27193760
TI - A rapid and efficient polyethylenimine-based transfection method to prepare
lentiviral or retroviral vectors: useful for making iPS cells and transduction of
primary cells.
AB - OBJECTIVES: To improve the efficiency, reproducibility and consistency of the PEI
based transfection method that is often used in preparation of recombinant
lentiviral or retroviral vectors. RESULTS: The contributions to transfection
efficiency of multi-factors including concentration of PEI or DNA, dilution
buffer for PEI/DNA, manner to prepare PEI/DNA complexes, influence of serum,
incubation time for PEI/DNA complexes, and transfection time were studied. Gentle
mixing during the preparation of PEI/DNA transfection complexes is critical for a
high transfection efficiency. PEI could be stored at room temperature or 4
degrees C, and most importantly, multigelation should be avoided. The
transfection efficiency of the PEI-based new method in different types of cells,
such as 293T, Cos-7, HeLa, HepG2, Hep3B, Huh7 and L02, was also higher than that
of the previous method. After optimization, the titer of our lentiviral system or
retroviral system produced by PEI-based new method was about 10- or 3-times
greater than that produced by PEI-based previous method, respectively.
CONCLUSION: We provide a rapid and efficient PEI-based method for preparation of
recombinant lentiviral or retroviral vectors which is useful for making iPS cells
as well as transduction of primary cell cultures.
PMID- 27193761
TI - Characterization and biological activities of cyclic (1 -> 3, 1 -> 6)-beta
glucans from Bradyrhizobium japonicum.
AB - OBJECTIVE: To isolate cyclic (1 -> 3, 1 -> 6)-beta-glucan from Bradyrhizobium
japonicum MTCC120, to characterize its structure and to study its biological
activities. RESULTS: The degree of polymerization of cyclic (1 -> 3, 1 -> 6)-beta
glucan varied between 10 and 13 and with substituents acetyl, succinyl and
phosphocholine. The cyclic glucans showed bimodal particle size distribution,
with hydrodynamic diameters of 1.92 and 231 nm corresponding to monomeric and
aggregated cyclic glucans, respectively. SEM and TEM images showed that the
glucans formed aggregates of nanorods. The glucans were biocompatible, exhibited
good antioxidant activity and had the abilities to bind to Aniline Blue dye to
form a fluorescence complex which was concentration dependent. CONCLUSION: The
glucans isolated are cyclic and have good antioxidant activities, hence have
potential application in food and pharmaceutical industries. Their dye binding
ability could be exploited in medical imaging to reduce the cytotoxicity of the
dyes.
PMID- 27193762
TI - GPs are much more than gatekeepers.
PMID- 27193763
TI - Disruption of Vector Host Preference with Plant Volatiles May Reduce Spread of
Insect-Transmitted Plant Pathogens.
AB - Plant pathogens can manipulate the odor of their host; the odor of an infected
plant is often attractive to the plant pathogen vector. It has been suggested
that this odor-mediated manipulation attracts vectors and may contribute to
spread of disease; however, this requires further broad demonstration among
vector-pathogen systems. In addition, disruption of this indirect chemical
communication between the pathogen and the vector has not been attempted. We
present a model that demonstrates how a phytophathogen (Candidatus Liberibacter
asiaticus) can increase its spread by indirectly manipulating the behavior of its
vector (Asian citrus psyllid, Diaphorina citri Kuwayama). The model indicates
that when vectors are attracted to pathogen-infected hosts, the proportion of
infected vectors increases, as well as, the proportion of infected hosts.
Additionally, the peak of infected host populations occurs earlier as compared
with controls. These changes in disease dynamics were more important during
scenarios with higher vector mortality. Subsequently, we conducted a series of
experiments to disrupt the behavior of the Asian citrus psyllid. To do so, we
exposed the vector to methyl salicylate, the major compound released following
host infection with the pathogen. We observed that during exposure or after pre
exposure to methyl salicylate, the host preference can be altered; indeed, the
Asian citrus psyllids were unable to select infected hosts over uninfected
counterparts. We suggest mechanisms to explain these interactions and potential
applications of disrupting herbivore host preference with plant volatiles for
sustainable management of insect vectors.
PMID- 27193764
TI - Condom Use in the Context of Main and Casual Partner Concurrency: Individual and
Relationship Predictors in a Sample of Heterosexual African American Men.
AB - Researchers have identified engagement in sexual concurrency, particularly when
condom use is inconsistent, as a risk factor for HIV and other sexually
transmitted infections. This risk is particularly salient in rural communities in
which dense interconnection of sexual networks can promote the spread of sexually
transmitted infections. The authors investigated individual and relationship
factors that predict inconsistent condom use in the context of main and casual
partner sexual concurrency among 176 rural African American men. The individual
psychosocial factors investigated included impulsivity and anger/hostility.
Relationship variables included fidelity expectations, criticism from partners,
and relationship control in both main and casual relationships. No individual
risk factors were associated with main partner inconsistent condom use; however,
impulsivity and anger/hostility positively predicted inconsistent condom use with
a casual partner. Relationship control in the main partnership predicted
inconsistent condom use with the main partner. Criticism from the main partner
positively predicted inconsistent condom use with a casual partner. Finally,
expectations for a casual partner's fidelity positively predicted inconsistent
condom use with that partner. These findings underscore the importance of
considering the influence of one sexual partnership on behavior in another and of
taking this complexity into account in the development of preventive
interventions.
PMID- 27193765
TI - Examining the Correlates of Online Health Information-Seeking Behavior Among Men
Compared With Women.
AB - This study aimed to identify and compare the demographic, health behavior, health
status, and social media use correlates of online health-seeking behaviors among
men and women. Cross-sectional self-report data were collected from 1,289
Australian adults participating in the Queensland Social Survey. Logistic
regression analyses were used to identify the correlates of online health
information seeking for men and women. Differences in the strength of the
relation of these correlates were tested using equality of regression coefficient
tests. For both genders, the two strongest correlates were social media use (men:
odds ratio [ OR] = 2.57, 95% confidence interval [CI: 1.78, 3.71]; women: OR =
2.93, 95% CI [1.92, 4.45]) and having a university education (men: OR = 3.63, 95%
CI [2.37, 5.56]; women: OR = 2.74, 95% CI [1.66, 4.51]). Not being a smoker and
being of younger age were also associated with online health information seeking
for both men and women. Reporting poor health and the presence of two chronic
diseases were positively associated with online health seeking for women only.
Correlates of help seeking online among men and women were generally similar,
with exception of health status. Results suggest that similar groups of men and
women are likely to access health information online for primary prevention
purposes, and additionally that women experiencing poor health are more likely to
seek health information online than women who are relatively well. These findings
are useful for analyzing the potential reach of online health initiatives
targeting both men and women.
PMID- 27193767
TI - Down-regulatory mechanism of mammea E/BB from Mammea siamensis seed extract on
Wilms' Tumor 1 expression in K562 cells.
AB - BACKGROUND: Wilms' tumor 1 (WT1) is a biological marker for predicting leukemia
progression. In this study, mammea E/BB, an active compound from Saraphi (Mammea
siamensis) seed extract was examined for its effect on down-regulatory mechanism
of WT1 gene expression, WT1 protein and mRNA stability, and cell proliferation in
K562 cell line. METHODS: M. siamensis seeds were obtained from the region of
Chiang Mai (North of Thailand). Mammea E/BB was extracted from seeds of M.
siamensis. WT1 protein expression and stability were evaluated by Western blot
analysis. WT1 mRNA stability was assessed by qRT-PCR. WT1-DNA binding and WT1
promoter activity were assayed by ChIP assay and luciferase-reporter assay,
respectively. Cell cycle arrest was studied by flow cytometry. RESULTS: Treatment
with mammea E/BB led to down-regulation of WT1 expression. The suppression of WT1
expression did not involve protein and mRNA degradation. Rather, WT1 protein was
down-regulated through disruption of transcriptional auto-regulation of the WT1
gene. Mammea E/BB inhibited WT1-DNA binding at the WT1 promoter and decreased
luciferase activity. It also disrupted c-Fos/AP-1 binding to the WT1 promoter via
ERK1/2 signaling pathway and induced S phase cell cycle arrest in K562 cells.
CONCLUSION: Mammea E/BB had pleotropic effects on kinase signaling pathways,
resulting in inhibition of leukemia cell proliferation.
PMID- 27193766
TI - Three-Dimensional Environment Sustains Morphological Heterogeneity and Promotes
Phenotypic Progression During Astrocyte Development.
AB - Astrocytes are critical for coordinating normal brain function by regulating
brain metabolic homeostasis, synaptogenesis and neurotransmission, and blood
brain barrier permeability and maintenance. Dysregulation of normal astrocyte
ontogeny contributes to neurodevelopmental and neurodegenerative disorders,
epilepsies, and adverse responses to injury. To achieve these multiple essential
roles, astrocyte phenotypes are regionally, morphologically, and functionally
heterogeneous. Therefore, the best regenerative medicine strategies may require
selective production of distinct astrocyte subpopulations at defined maturation
levels. However, little is known about the mechanisms that direct astrocyte
diversity or whether heterogeneity is represented in biomaterials. In vitro
studies report lack of normal morphologies and overrepresentation of the glial
scar type of reactive astrocyte morphology and expression of markers, questioning
how well the in vitro astrocytes represent glia in vivo and whether in vitro
tissue engineering methods are suitable for regenerative medicine applications.
Our previous work with neurons suggests that the three-dimensional (3D)
environment, when compared with standard two-dimensional (2D) substrate, yields
cellular and molecular behaviors that more closely approximately normal ontogeny.
To specifically study the effects of dimensionality, we used purified glial
fibrillary acidic protein (GFAP)-expressing primary cerebral cortical astrocyte
cultures from single pups and characterized the cellular maturation profiles in
2D and 3D milieu. We identified four morphological groups in vitro: round,
bipolar, stellate, and putative perivascular. In the 3D hydrogel culture
environment, postnatal astrocytes transitioned from a population of nearly all
round cells and very few bipolar cells toward a population with significant
fractions of round, stellate, and putative perivascular cells within a few days,
following the in vivo ontogeny. In 2D, however, the population shift from round
and bipolar to stellate and perivascular was rarely observed. The transition to
distinct cellular morphologies in 3D corresponded to the in vivo expression of
phenotypic markers, supporting the generation of mature heterogeneous glial
populations in vitro. This study presents quantitative data supporting that 3D
culture is critical for sustaining the heterogeneity of astrocytes in vitro and
for generating a representation of the in vivo portfolio of heterogeneous
populations of astrocytes required for therapeutic interventions in
neurodevelopmental disorders, epilepsy, and brain injury.
PMID- 27193769
TI - [Fascicular ventricular tachycardia in a 49-year-old patient].
AB - We report a 49-year-old patient who presented with tachycardia in our emergency
room. The 12-lead ECG showed a wide complex tachycardia with a heart rate of 234
beats per minute. After structural heart disease was excluded via
echocardiography, coronary angiography and magnetic resonance imaging, an
electrophysiological study was performed. During programmed ventricular
stimulation, a fascicular tachycardia was induced, which was successfully treated
by radiofrequency ablation. Fascicular ventricular tachycardia is a rare
tachycardia that occurs in patients without structural heart disease.
Radiofrequency ablation can be performed safely and shows a high success rate.
Differential diagnoses of fascicular ventricular tachycardias are substrate-based
ventricular tachycardia and supraventricular tachycardia.
PMID- 27193770
TI - 2:1 AV block : The orphan of organizational guidelines for cardiac pacing.
PMID- 27193768
TI - Dissemination of evidence-based cancer control interventions among Catholic faith
based organizations: results from the CRUZA randomized trial.
AB - BACKGROUND: The CRUZA randomized trial tested the efficacy of an organizational
level intervention to increase the capacity of Catholic faith-based organizations
(FBOs) serving Latinos to implement evidence-based strategies (EBS) for cancer
control. METHODS: Thirty-one Catholic parishes were enrolled. Twenty were
randomized to a "capacity enhancement" (CE) intervention and 11 to a "standard
dissemination" (SD) condition. Each received a Program Implementation Manual and
Toolkit of materials culturally adapted for FBOs with Latino audiences for five
types of EBS recommended by the US Preventive Services Community Guide. CE
parishes were offered a menu of capacity-building activities over a 3-month
period, while SD parishes were provided a one-time consultation by an
Intervention Specialist. Baseline and follow-up surveys compared the number and
types of EBS offered. RESULTS: At baseline, only one parish had offered any
cancer-related program in the prior year, yet a third (36 %) had offered some
other type of health program or service. At post-intervention follow-up, all
parishes offered a greater number of EBS. The only statistically significant
difference between CE and SD groups was the number of parishes offering small
media interventions (90 % in CE, 64 % in SD; p < 0.05). CONCLUSIONS: All parishes
increased the number of cancer control activities offered to their members. These
findings suggest that Catholic parishes may already have capacity to implement
EBS if they are appropriately adapted and packaged and may only require low
levels of support to carry out programming. Further research is needed to examine
the extent to which program offerings continued after the period of grant
funding. TRIAL REGISTRATION: Clinicaltrials.gov NCT01740219 .
PMID- 27193771
TI - Kuttner Tumor: IgG4-Related Disease of the Submandibular Gland.
AB - Kuttner tumor/chronic sclerosing sialadenitis is a fibroinflammatory process that
characteristically involves the submandibular gland of patients with IgG4-related
disease. Histologic examination is often important to make the diagnosis because
of its nonspecific clinical and radiologic findings. Microscopically, Kuttner
tumor should be distinguished from other entities such as extranodal marginal
zone lymphoma, Sjogren's syndrome, and lymphoepithelial sialadenitis. The lesion
is histologically well-demarcated with lobular architecture, extensive fibrosis,
marked lymphoplasmacytic inflammation, formation of lymphoid follicles, acinar
atrophy, and obliterative phlebitis, without the presence of lymphoepithelial
lesions. The IgG4-to-IgG positive plasma cell ratio of >40 % is also an important
feature to support the diagnosis of Kuttner tumor. Moreover, flow cytometry is
helpful to exclude a lymphoproliferative process. Clinicians and pathologists
should consider the diagnosis of Kuttner tumor in patients with elevated serum
IgG4 level. Timely and accurate diagnosis is important for appropriate
management.
PMID- 27193772
TI - Evaluating Markers for Guiding Treatment.
AB - BACKGROUND: The subpopulation treatment effect pattern plot (STEPP) is an
appealing method for assessing the clinical impact of a predictive marker on
patient outcomes and identifying a promising subgroup for further study. However,
its original formulation lacked a decision analytic justification and applied
only to a single marker. METHODS: We derive a decision-analytic result that
motivates STEPP. We discuss the incorporation of multiple predictive markers into
STEPP using risk difference, cadit, and responders-only benefit functions.
RESULTS: Applying STEPP to data from a breast cancer treatment trial with
multiple markers, we found that none of the three benefit functions identified a
promising subgroup for further study. Applying STEPP to hypothetical data from a
trial with 100 markers, we found that all three benefit functions identified
promising subgroups as evidenced by the large statistically significant treatment
effect in these subgroups. CONCLUSIONS: Because the method has desirable decision
analytic properties and yields an informative plot, it is worth applying to
randomized trials on the chance there is a large treatment effect in a subgroup
determined by the predictive markers.
PMID- 27193774
TI - A Systematic Review of Beliefs About Hypertension and its Treatment Among African
Americans.
AB - PURPOSE OF REVIEW: The prevalence of hypertension and uncontrolled hypertension
is higher among African Americans than any other ethnicity in the USA. Certain
patient medical beliefs may lead to adverse health behaviors. The aim of this
study was to systematically review and narratively synthesize beliefs about
hypertension among African Americans. RECENT FINDINGS: In a narrative review of
22 studies, many participants attributed hypertension to stress and fatty foods.
Hypertension was perceived to be an episodic, symptomatic disease. Many patients
exhibited a strong faith in the efficacy of medications, but used them as needed
to treat perceived intermittent hypertensive episodes or infrequently to avoid
addiction and dependence. Home remedies were often reported to be used
concurrently to treat the folk disease "high blood" or in place of medications
associated with unwanted effects. Nevertheless, participants were invested in
treatment of hypertension to prevent long-term complications. Trends over time
suggest that beliefs about hypertension among African Americans have change
significantly and now reflect the currently accepted biomedical model. African
American beliefs about hypertension may frequently differ from those of
healthcare professionals. These results suggest that reconciliation of
differences between patient and provider expectations for disease management may
improve adherence to and acceptance of medical treatments among African Americans
with hypertension. Nevertheless, discordant health beliefs are common among all
patients and additional work to elucidate beliefs of other patient subgroups such
as age and gender is warranted.
PMID- 27193773
TI - Sympathetic Activation in Chronic Heart Failure: Potential Benefits of
Interventional Therapies.
AB - Heart failure (HF) is a major and growing public health problem. This condition
is associated with poor prognosis, a high rate of mortality, frequent
hospitalization and increasing costs to health care systems. Pharmacological
approaches aimed at reducing morbidity and mortality in HF have primarily focused
on inhibition of the renin-angiotensin-aldosterone system (RAAS) and the
sympathetic nervous system (SNS), both of which have been associated with disease
development, progression and adverse cardiovascular (CV) outcomes. The increasing
number of hospitalizations for HF decompensation suggests the failure of
available treatment options, indicating the necessity for alternative therapeutic
approaches. Alongside pharmacological and cardiac resynchronization therapies in
selected patients with arrhythmia, recent advancements in the management of HF
have been directed at inhibiting relevant neurogenic pathways underlying disease
development and progression. Initial evidence regarding the safety and
effectiveness of interventional procedures suggests that HF patients may benefit
from novel adjunctive therapies. Here we review the critical role of sympathetic
activation in HF and the rationale for therapeutic interventions including device
based and interventional approaches aimed at restoring autonomic neural balance
in this condition.
PMID- 27193775
TI - QTL mapping of grain yield and phosphorus efficiency in barley in a Mediterranean
like environment.
AB - KEY MESSAGE: Key QTLs were identified for P efficiency in barley. Phosphorus
efficiency and grain yield can be improved simultaneously in breeding. An
important breeding goal for many crop species is improved phosphorus (P)
efficiency. As in many other crops, selection for P efficient barley varieties
has been slow because of inconsistent definitions of P efficiency and unknown
genetic controls of P efficiency. We used two criteria to assess P efficiency in
a doubled haploid Commander/Fleet population: P responsiveness (estimated as the
deviation from the regression of yield with added P against yield with no added P
treatment) and PUE (relative yield). Phosphorus responsiveness, PUE and grain
yield were phenotyped at 0 and 30 kg P/ha in five environments. Lines
consistently responsive to 30 kg P/ha across environments had the highest yield
at the two P rates, and P responsiveness showed significantly higher broad sense
heritability than PUE in the materials we studied. Genotyping of the population
was subjected to a 9,000 single nucleotide polymorphism array and quantitative
trait loci (QTLs) for P responsiveness were mapped with yield at 30 kg P/ha,
which are common QTLs for yield when P was not limiting growth. The largest QTL
for P responsiveness was mapped to 7HL in 2 years. PUE varied from 31 to 124 %
across environments and one of the QTLs for PUE was mapped with yield at 0 kg
P/ha. Our results demonstrate P responsiveness and grain yield can be improved
simultaneously under high-input agricultural systems, but breeding for high PUE
varieties may need to explore landrace or wild barley germplasm for low P
tolerant alleles.
PMID- 27193776
TI - Independent value of image fusion in unenhanced breast MRI using diffusion
weighted and morphological T2-weighted images for lesion characterization in
patients with recently detected BI-RADS 4/5 x-ray mammography findings.
AB - OBJECTIVES: The aim of this study was to evaluate the accuracy and applicability
of solitarily reading fused image series of T2-weighted and high-b-value
diffusion-weighted sequences for lesion characterization as compared to
sequential or combined image analysis of these unenhanced sequences and to
contrast- enhanced breast MRI. METHODS: This IRB-approved study included 50
female participants with suspicious breast lesions detected in screening X-ray
mammograms, all of which provided written informed consent. Prior to biopsy, all
women underwent MRI including diffusion-weighted imaging (DWIBS, b = 1500s/mm2).
Images were analyzed as follows: prospective image fusion of DWIBS and T2
weighted images (FU), side-by-side analysis of DWIBS and T2-weighted series (CO),
combination of the first two methods (CO+FU), and full contrast-enhanced
diagnostic protocol (FDP). Diagnostic indices, confidence, and image quality of
the protocols were compared by two blinded readers. RESULTS: Reading the CO+FU
(accuracy 0.92; NPV 96.1 %; PPV 87.6 %) and the CO series (0.90; 96.1 %; 83.7 %)
provided a diagnostic performance similar to the FDP (0.95; 96.1 %; 91.3 %; p >
0.05). FU reading alone significantly reduced the diagnostic accuracy (0.82; 93.3
%; 73.4 %; p = 0.023). CONCLUSIONS: MR evaluation of suspicious BI-RADS 4 and 5
lesions detected on mammography by using a non-contrast-enhanced T2-weighted and
DWIBS sequence protocol is most accurate if MR images were read using the CO+FU
protocol. KEY POINTS: * Unenhanced breast MRI with additional DWIBS/T2w-image
fusion allows reliable lesion characterization. * Abbreviated reading of fused
DWIBS/T2w-images alone decreases diagnostic confidence and accuracy. * Reading
fused DWIBS/T2w-images as the sole diagnostic method should be avoided.
PMID- 27193777
TI - Clinical significance of post-treatment 18F-fluorodeoxyglucose uptake in cervical
lymph nodes in patients with diffuse large B-cell lymphoma.
AB - OBJECTIVES: We assessed the clinical significance of FDG uptake in cervical lymph
nodes after treatment of patients with DLBCL. METHODS: In total, 87 patients with
DLBCL were enrolled. All patients had newly appeared FDG uptake in cervical lymph
nodes on PET/CT during follow-up after cessation of therapy. Cervical lymph nodes
were finally diagnosed as benign or malignant according to histopathological
findings or follow-up PET. Clinical characteristics and PET findings were
compared between groups and factors associated with malignant lesions were
evaluated. RESULTS: Only 8 (9.2 %) patients with cervical lymph nodes with FDG
uptake ultimately had malignancy. FDG uptake lymph nodes appeared significantly
earlier in the malignant group than in patients with benign FDG uptake (p =
0.013). Primary nodal lymphoma was more frequent in patients with cancer spread
than in those with benign FDG uptake in lymph nodes (p < 0.001). CONCLUSION: Most
cervical lymph nodes with FDG uptake (about 91 %) appearing after treatment of
malignant DLBCL were ultimately benign. The elapsed time between the end of
therapy and the appearance of cervical lymph nodes with FDG uptake and the
primary sites of lymphomas are helpful clues in determining which cases are
malignant. KEY POINTS: * About 91 % appearing after treatment of DLBCL were
benign. * Elapsed time between therapy and FDG uptake was associated with
malignancy. * Primary sites of lymphoma are helpful clues to determine
malignancy.
PMID- 27193780
TI - Piloting a Commercial Model for Fortified Rice: Lessons Learned From Brazil.
AB - BACKGROUND: Two billion people worldwide have micronutrient deficiencies. Food
fortification is a proven intervention to increase essential micronutrient
availability in diets without requiring consumer behavioral change. Fortification
of rice has high potential reach; however, cost, technology, market, and cultural
constraints have prevented its wider adoption. OBJECTIVE: From 2010 to 2014, PATH
and Global Alliance for Improved Nutrition implemented a pilot project in Brazil
testing a model to scale up rice fortification through commercial channels. The
project focused on 5 areas: (1) building fortified rice kernel production
capacity; (2) supply chain development; (3) distribution channel and market
development; (4) demand generation; and (5) advocacy and knowledge dissemination.
METHODS: Primary data were collected in 2 rounds of quantitative research 6
months apart and conducted in 2 regions in Brazil. Secondary data were sourced
from published literature, socioeconomic and demographic data, and sales figures
from the project's rice miller partner. Postmortem analysis was conducted by the
project team with input from external sources. RESULTS: Although the project
successfully launched a fortified rice product and a category brand platform, it
was unsuccessful in reaching meaningful scale. Market and industry dynamics
affected producers' willingness to launch new fortified products. Consumers'
strong attachment to rice combined with a weak understanding of micronutrient
malnutrition hampered demand creation efforts. CONCLUSION: This project showed
that a purely commercial approach is insufficient for sustainable scale-up of
fortified rice to achieve public health goals in a 3- to 5-year period.
PMID- 27193778
TI - 4D flow MR imaging of the portal venous system: a feasibility study in children.
AB - OBJECTIVES: To determine the feasibility of 4D flow MRI for visualization and
quantification of the portal venous haemodynamics in children and young adults.
METHODS: 4D flow was performed in 28 paediatric patients (median age, 8.5 years;
interquartile range, 5.2-16.5), 15 with non-operated native portal system and 13
with surgically created portal shunt. Image quality assessment for 3D flow
visualization and flow pattern analyses was performed. Regional 4D flow peak
velocity and net flow were compared with 2D-cine phase contrast MRI (2D-PC MR) in
the post-surgical patients. RESULTS: Mean 3D flow visualization quality score was
excellent (mean +/- SD, 4.2 +/- 0.9) with good inter-rater agreement
(kappa,0.67). Image quality in children aged >10 years was better than children
<=10 years (p < 0.05). Flow pattern was defined for portal, superior mesenteric,
splenic veins and splenic artery in all patients. 4D flow and 2D-PC MR peak
velocity and net flow were similar with good correlation (peak velocity: 4D flow
22.2 +/- 9.1 cm/s and 2D-PC MR 25.2 +/- 11.2 cm/s, p = 0.46; r = 0.92, p <
0.0001; net flow: 4D flow 9.5 +/- 7.4 ml/s and 2D-PC MR 10.1 +/- 7.3 ml/s, p =
0.65; r = 0.81, p = 0.0007). CONCLUSIONS: 4D flow MRI is feasible and holds
promise for the comprehensive 3D visualization and quantification of portal
venous flow dynamics in children and young adults. KEY POINTS: * 4D flow MRI is
feasible in children and young adults. * 4D flow MRI has the ability to non
invasively characterize portal haemodynamics. * Image quality of 4D flow MRI is
better is older children. * 4D flow MRI can accurately quantify portal flow
compared to 2D-cine PC MRI.
PMID- 27193779
TI - Value of CT scan-assessed tibial tuberosity-trochlear groove distance in
identification of patellar instability.
AB - PURPOSE: Tibial tuberosity-trochlear groove (TTTG) distance is currently
considered as one of the main factors in decision-making for surgical
intervention in patients with patellar instability. The current study aimed to
provide a valid comparison of TTTG distance in the healthy knees with those with
patellar instability. MATERIALS AND METHODS: Patients with a history of two or
more episodes of patellar dislocation in the same knee who were referred to our
department for the assessment of TTTG distance were included. Asymptomatic knees
of patients as well as both knees of 21 healthy individuals were used as
controls. RESULTS: A total number of 42 patients, including 14 (33.3 %) male and
28 (66.7 %) female, with a mean age of 28.6 +/- 12.3 years were included. Twenty
one controls with no significant difference of personal characteristics with
patients were also enrolled. The mean TTTG distance in symptomatic and
asymptomatic knees of patients were 18.71 +/- 3.96 mm and 17.35 +/- 4.39 mm with
no significant difference (P = 0.298). The mean TTTG distances of both
symptomatic and asymptomatic knees of patients were significantly higher than in
knees of controls (P < 0.001). CONCLUSION: The findings of the current study are
not in favor of considering TTTG distance independently in decision-making for
surgical interventions. However, greater TTTG in both asymptomatic and
symptomatic knees of patients compared to controls indicates that this measure
could still be considered as an indicator of knee instability and even the need
for surgery if considered in addition to other factors.
PMID- 27193781
TI - Transplantation of Human Adipose Mesenchymal Stem Cells in Non-Immunosuppressed
GRMD Dogs is a Safe Procedure.
AB - The possibility to treat Duchenne muscular dystrophy (DMD), a lethal X-linked
disorder, through cell therapy with mesenchymal stromal cells (MSCs) has been
widely investigated in different animal models. However, some crucial questions
need to be addressed before starting human therapeutic trials, particularly
regarding its use for genetic disorders. How safe is the procedure? Are there any
side effects following mesenchymal stem cell transplantation? To address these
questions for DMD the best model is the golden retriever muscular dystrophy dog
(GRMD), which is the closest model to the human condition displaying a much
longer lifespan than other models. Here we report the follow-up of 5 GRMD dogs,
which were repeatedly transplanted with human adipose-derived mesenchymal stromal
cells (hASC), derived from different donors. Xenogeneic cell transplantation,
which was done without immunosuppression, was well tolerated in all animals with
no apparent long-term adverse effect. In the present study, we show that repeated
heterologous stem-cell injection is a safe procedure, which is fundamental before
starting human clinical trials.
PMID- 27193783
TI - A population-based temporal logic gate for timing and recording chemical events.
AB - Engineered bacterial sensors have potential applications in human health
monitoring, environmental chemical detection, and materials biosynthesis. While
such bacterial devices have long been engineered to differentiate between
combinations of inputs, their potential to process signal timing and duration has
been overlooked. In this work, we present a two-input temporal logic gate that
can sense and record the order of the inputs, the timing between inputs, and the
duration of input pulses. Our temporal logic gate design relies on unidirectional
DNA recombination mediated by bacteriophage integrases to detect and encode
sequences of input events. For an E. coli strain engineered to contain our
temporal logic gate, we compare predictions of Markov model simulations with
laboratory measurements of final population distributions for both step and pulse
inputs. Although single cells were engineered to have digital outputs, stochastic
noise created heterogeneous single-cell responses that translated into analog
population responses. Furthermore, when single-cell genetic states were
aggregated into population-level distributions, these distributions contained
unique information not encoded in individual cells. Thus, final differentiated
sub-populations could be used to deduce order, timing, and duration of transient
chemical events.
PMID- 27193785
TI - Practical aspects of prostate MRI: hardware and software considerations,
protocols, and patient preparation.
AB - The use of multiparametric MRI scans for the evaluation of men with prostate
cancer has increased dramatically and is likely to continue expanding as new
developments come to practice. However, it has not yet gained the same level of
acceptance of other imaging tests. Partly, this is because of the use of
suboptimal protocols, lack of standardization, and inadequate patient
preparation. In this manuscript, we describe several practical aspects of
prostate MRI that may facilitate the implementation of new prostate imaging
programs or the expansion of existing ones.
PMID- 27193782
TI - Role of Pericellular Matrix in the Regulation of Cancer Stemness.
AB - Cancer stem cells (CSC) are a prominent component of the tumor bulk and extensive
research has now identified them as the subpopulation responsible for tumor
relapse and resistance to anti-cancer treatments. Surrounding the bulk formed of
tumor cells, an extracellular matrix contributes to cancer growth; the main
component of the tumor micro-environment is hyaluronan, a large disaccharide
forming a molecular network surrounding the cells. The hyaluronan-dependent coat
can regulate cell division and motility in cancer progression and metastasis. One
of the receptors of hyaluronan is CD44, a surface protein frequently used as a
CSC marker. Indeed, tumor cells with high levels of CD44 appear to exhibit CSC
properties and are characterized by elevated relapse rate. The CD44-hyaluronan
dependent interactions are Janus-faced: on one side, they have been shown to be
crucial in both malignancy and resistance to therapy; on the other, they
represent a potential value for future therapies, as disturbing the CD44
hyaluronan axis would not only impair the pericellular matrix but also the
subpopulation of self-renewing oncogenic cells. Here, we will review the key
roles of HA and CD44 in CSC maintenance and propagation and will show that CSC
like spheroids from a rabdhomyosarcoma cell line, namely RD, have a prominent
pericellular coat necessary for sphere formation and for elevated migration.
Thus, a better understanding of the hyaluronan-CD44 interactions holds the
potential for ameliorating current cancer therapies and eradicating CSC.
PMID- 27193786
TI - Multiparametric prostate MRI: focus on T2-weighted imaging and role in staging of
prostate cancer.
AB - Multiparametric MRI (mpMRI) represents a growing modality for the non-invasive
evaluation of prostate cancer (PCa) and is increasingly being used for patients
with persistently elevated PSA and prior negative biopsies, for monitoring
patients in active surveillance protocols, for preoperative characterization of
cancer for surgical planning, and in planning for MRI-targeted biopsy. The focus
of this work is twofold. First, we review the key role of T2-weighted imaging
(T2WI) in mpMRI, specifically outlining how it is used for anatomic evaluation of
the prostate, detection of clinically significant PCa, assessment of
extraprostatic extension (EPE), and mimics of PCa on this sequence. We will also
discuss optimal technical acquisition parameters for this sequence and recent
technical advancements in T2WI. Second, we will delineate the role that mpMRI
plays in the staging of PCa and describe the implications of the information that
mpMRI can provide in determining the most appropriate management plan for the
patient with PCa.
PMID- 27193784
TI - Translation elicits a growth rate-dependent, genome-wide, differential protein
production in Bacillus subtilis.
AB - Complex regulatory programs control cell adaptation to environmental changes by
setting condition-specific proteomes. In balanced growth, bacterial protein
abundances depend on the dilution rate, transcript abundances and transcript
specific translation efficiencies. We revisited the current theory claiming the
invariance of bacterial translation efficiency. By integrating genome-wide
transcriptome datasets and datasets from a library of synthetic gfp-reporter
fusions, we demonstrated that translation efficiencies in Bacillus subtilis
decreased up to fourfold from slow to fast growth. The translation initiation
regions elicited a growth rate-dependent, differential production of proteins
without regulators, hence revealing a unique, hard-coded, growth rate-dependent
mode of regulation. We combined model-based data analyses of transcript and
protein abundances genome-wide and revealed that this global regulation is
extensively used in B. subtilis We eventually developed a knowledge-based, three
step translation initiation model, experimentally challenged the model
predictions and proposed that a growth rate-dependent drop in free ribosome
abundance accounted for the differential protein production.
PMID- 27193787
TI - DCE MRI of prostate cancer.
AB - DCE MRI is an established component of multi-parametric MRI of the prostate. The
sequence highlights the vascularization of cancerous lesions, allowing readers to
corroborate suspicious findings on T2W and DW MRI and to note subtle lesions not
visible on the other sequences. In this article, we review the technical aspects,
methods of evaluation, limitations, and future perspectives of DCE MRI.
PMID- 27193788
TI - Prostate cancer post-treatment follow-up and recurrence evaluation.
AB - Recurrent prostate cancer following primary treatment is common, and the
population of men with biochemical recurrence is complex. Conventional management
of recurrent prostate cancer involves nontargeted and/or systemic therapies,
without defining an individual patient's specific disease. However, recent
advances in imaging enable a shift in the management of recurrent prostate cancer
to targeted, patient-specific approaches. Specifically, MRI can detect and define
local prostate cancer recurrence early in the course of disease, and prostate
specific PET imaging greatly improves nodal staging and can detect previously
unknown distant metastases. The significant advances in the imaging of both local
and distant tumor recurrences allows for specific selection of treatment options
tailored to patients and their disease with less associated morbidity.
PMID- 27193790
TI - Zoomed echo-planar diffusion tensor imaging for MR tractography of the prostate
gland neurovascular bundle without an endorectal coil: a feasibility study.
AB - PURPOSE: The purpose of this study was to assess the feasibility of zoomed echo
planar imaging (EPI) diffusion tensor imaging (DTI) with 2-channel parallel
transmission (pTx) for MR tractography of the periprostatic neurovascular bundle
(NVB) without an endorectal coil, and to compare its performance to that of
conventionally acquired DTI. METHODS: 8 healthy males (28.9 +/- 4.6 years)
underwent pelvic phased-array coil prostate MRI on a 3T system using both zoomed
EPI DTI (z-DTI) with 2-channel pTx and conventional single-shot spin-echo EPI DTI
(c-DTI) acquisitions with 6 encoding directions and b-values of 0 and 1000
s/mm(2). Fractional anisotropy (FA) maps and tractography analysis incorporating
3D visualization of the NVB were performed from each acquisition. Fiber tract
counts, estimated signal-to-noise ratio (eSNR), and image quality measures of the
FA maps and NVB tractography were compared. Quantitative and image quality
measures were compared using Wilcoxon signed rank tests. RESULTS: 3 of 8 subjects
had no tracts detected with c-DTI acquisition, while all 8 had tracts detected
with z-DTI. z-DTI acquisition yielded significantly more fiber tracts (c-DTI: 77
+/- 116 tracts; z-DTI: 430 +/- 228 tracts; p = 0.019) and higher eSNR (c-DTI: 2.9
+/- 1.2; z-DTI: 13.17 +/- 9.9; p = 0.014). Relative to c-DTI acquisitions, z-DTI
FA maps showed significantly reduced artifact (p = 0.008) and reduced anatomic
distortion of the prostate (p = 0.010), while z-DTI tractography showed
significantly better overall visual quality (p = 0.011), tract symmetry (p =
0.010), tract coherence (p = 0.011), and subjective similarity to the actual NVB
(p = 0.011). CONCLUSION: Zoomed-EPI DTI acquisition for tractography of the
prostate gland NVB improves quantitative and qualitative measures of image and
tract fiber quality, allowing tractography of the NVB at 3T without using an
endorectal coil.
PMID- 27193789
TI - Positron emission tomography in imaging evaluation of staging, restaging,
treatment response, and prognosis in prostate cancer.
AB - Prostate cancer is a prevalent public health problem worldwide. While imaging has
played a major role in this disease, there still remain many challenges and
opportunities. Positron emission tomography with various physiologically based
radiotracers is fundamentally suited to interrogate this biologically and
clinically heterogeneous disease along the course of its natural history. In this
article, I review briefly the published evidence for the use of positron emission
tomography with 18F-fluorodeoxyglucose, 11C-acetate, and 18F- or 11C-choline in
the imaging evaluation of prostate cancer. Although the focus of the article will
be on these radiotracers given the accumulated experience with them, but I will
also comment on the outlook for the use of other emerging PET radiotracers such
as those targeted to the prostate-specific membrane antigen and the amino acid
metabolism pathway. It is anticipated that PET will play major role in the
evaluation of prostate cancer in the current evidence-based medicine environment.
There will also be exciting novel prospects for the use of therapeutic-diagnostic
(theransotic) pairs in the management of patients with prostate cancer.
PMID- 27193791
TI - Can DW-MRI, with its ADC values, be a reliable predictor of biopsy outcome in
patients with suspected prostate cancer?
AB - PURPOSE: To relate the multiparametric magnetic resonance imaging (mp-MRI) of
patients with suspect peripheral prostate cancer (PCa) to the results of the
subsequent biopsy: in particular to explore whether DWI and ADC can predict the
biopsy outcome and to investigate the relation between ADC and Gleason score
(GS). MATERIALS AND METHODS: 175 consecutive patients who underwent 1.5 T mp-MRI
followed by prostate biopsy were retrospectively analyzed by two independent
radiologists. ADC values were measured in the peripheral suspect lesion areas
(ADCSL) and in the contralateral zones (ADCNSL) obtaining ADCnorm = ADCSL/ADCNSL.
Results on T2W images, DWI, ADC values, and perfusion studies were matched to
their corresponding biopsy. RESULTS: Negative DWI and T2W had 100% negative
predictive value (NPV). When DWI was positive, ADCSL > 0.90 * 10 > 0.90 * 10(-3)
mm(2)/s (ADCnorm > 0.60) identified by the ROC curve (AUC = 0.80) corresponded to
NPV = 85%. In positive biopsies, ADCSL and ADCnorm decreased significantly from
GS = 6 to GS >= 8 with Spearman coefficient rho = -0.40 and ROC curve AUC = 0.72.
CONCLUSION: mp-MRI allows a reliable prediction of a negative biopsy through the
values of DWI, T2W, and ADC. In positive biopsies, there is a moderate
correlation between ADC and the various GS levels.
PMID- 27193792
TI - Evaluation of the impact of computed high b-value diffusion-weighted imaging on
prostate cancer detection.
AB - PURPOSE: The purpose of this study was to compare high b-value (b = 2000 s/mm(2))
acquired diffusion-weighted imaging (aDWI) with computed DWI (cDWI) obtained
using four diffusion models-mono-exponential (ME), intra-voxel incoherent motion
(IVIM), stretched exponential (SE), and diffusional kurtosis (DK)-with respect to
lesion visibility, conspicuity, contrast, and ability to predict significant
prostate cancer (PCa). METHODS: Ninety four patients underwent 3 T MRI including
acquisition of b = 2000 s/mm(2) aDWI and low b-value DWI. High b = 2000 s/mm(2)
cDWI was obtained using ME, IVIM, SE, and DK models. All images were scored on
quality independently by three radiologists. Lesions were identified on all
images and graded for lesion conspicuity. For a subset of lesions for which
pathological truth was established, lesion-to-background contrast ratios (LBCRs)
were computed and binomial generalized linear mixed model analysis was conducted
to compare clinically significant PCa predictive capabilities of all DWI.
RESULTS: For all readers and all models, cDWI demonstrated higher ratings for
image quality and lesion conspicuity than aDWI except DK (p < 0.001). The LBCRs
of ME, IVIM, and SE were significantly higher than LBCR of aDWI (p < 0.001).
Receiver Operating Characteristic curves obtained from binomial generalized
linear mixed model analysis demonstrated higher Area Under the Curves for ME, SE,
IVIM, and aDWI compared to DK or PSAD alone in predicting significant PCa.
CONCLUSION: High b-value cDWI using ME, IVIM, and SE diffusion models provide
better image quality, lesion conspicuity, and increased LBCR than high b-value
aDWI. Using cDWI can potentially provide comparable sensitivity and specificity
for detecting significant PCa as high b-value aDWI without increased scan times
and image degradation artifacts.
PMID- 27193793
TI - Rate of observation and inter-observer agreement for LI-RADS major features at CT
and MRI in 184 pathology proven hepatocellular carcinomas.
AB - PURPOSE: To compare frequency and inter-reader agreement for LI-RADS v2014 major
features at CT vs. MRI in pathology-proven cases of hepatocellular carcinoma.
METHODS: Pathology reports and imaging studies from patients having undergone
liver transplant or hepatectomy for hepatocellular carcinoma were reviewed. Size,
location, washout, and capsule appearance for each lesion were recorded by two
radiologists. Cohen's kappa and intraclass correlation coefficients (ICC) were
calculated. RESULTS: One hundred and thirty-four patients with 184 tumors were
reviewed. Seventy-seven percentage of lesions were imaged by CT and 23% by MRI.
No lesions were evaluated with both modalities. Mean lesion diameter was 2.6 +/-
1.3 cm (ICC = 0.92). Arterial phase hyperenhancement was seen in 86% of lesions
(kappa = 0.75). Washout was seen in 82% of studies (kappa = 0.61). Arterial phase
hyperenhancement and washout were seen equally at CT and MRI (p = 1.00 and 0.46,
respectively). Capsule was infrequently observed (27%) but was seen more commonly
at MRI (44%) than at CT (17%) with p = 0.002 and (kappa = 0.56). Forty-seven
percent of lesions with at least one prior study met LI-RADS criteria for
threshold growth. The rates of LI-RADS categories 3, 4, and 5 were 9%, 37%, and
54%, respectively. More 1-2 cm LI-RADS 5 lesions were seen at MRI (43%) than at
CT (8%), p = 0.01. CONCLUSION: A combined LI-RADS 4/5 group was 91% sensitive for
hepatocellular carcinoma. Arterial enhancement and washout were seen more
frequently than capsule, the sole finding seen more frequently at MRI than at CT.
Inter-reader reliability was substantial for arterial hyperenhancement and
washout but moderate for capsule. Capsule remains an important finding in small
arterially enhancing lesions (1-2 cm) which require a second major criterion to
upgrade to a LI-RADS 5 lesion.
PMID- 27193794
TI - Incidence and clinical sequelae of portal and hepatic venous thrombosis following
percutaneous cryoablation of liver tumors.
AB - PURPOSE: To assess the incidence and sequelae of portal and hepatic venous
thrombosis after percutaneous cryoablation of hepatic tumors. METHODS: From
November 1998 through December 2010, 223 hepatic tumors were cryoablated during
170 ablation procedures in 135 patients. 24-h post-procedure MR images were
reviewed retrospectively by two abdominal radiologists in consensus to identify
tumor ablations that developed one or more new portal or hepatic venous
thromboses in or outside the ablation zone. On follow-up MRI and CT examinations
the outcomes of thromboses were classified as resolved, partially recanalized,
persistent, or propagated. RESULTS: Venous thrombosis developed in association
with 54 (24%) of 223 tumor ablations treated during 53 (31%) ablation procedures
in 39 (28.8%) patients (15 women, 24 men; age range 40-82 years, mean 59 years).
Of these 54 thromboses, 49 (91%) were located in portal vein branches, four (7%)
in both portal and hepatic vein branches, and one (2%) in a hepatic vein branch.
Thrombosed veins were outside but abutted the ablation zone in 36 (66.7%), and
within it in 18 (33.3%). On follow-up imaging (n = 49), thrombi resolved in 29
(59%), partially recanalized in two (4%), persisted in 18 (37%) and propagated
from sub-segmental or segmental branches to the left or right portal branches in
five (10%). No thrombus propagated to the main portal vein or inferior vena cava.
CONCLUSION: Portal and hepatic vein branch thromboses are common in small
branches following percutaneous cryoablation of hepatic tumors and most resolve
spontaneously without sequelae.
PMID- 27193795
TI - Differentiation of mass-forming intrahepatic cholangiocarcinoma from poorly
differentiated hepatocellular carcinoma: based on the multivariate analysis of
contrast-enhanced computed tomography findings.
AB - PURPOSE: We aim to gain further insight into identifying differential
radiological features of mass-forming intrahepatic cholangiocarcinoma (mICC) from
poorly differentiated hepatocellular carcinoma (pHCC) on contrast-enhanced
computed tomography (CT). MATERIALS AND METHODS: 107 patients with pathologically
confirmed mICC (n = 48) and pHCC (n = 59) who had undergone preoperative contrast
enhanced CT were enrolled. Qualitative analysis of CT images were evaluated for
tumor demarcation, shape, presence of satellite nodules, capsular retraction,
biliary involvement, intratumoral arteries, tortuous tumoral vessels, vascular
invasion, portal vein tumor thrombus, arterial enhancement pattern, portal venous
phase enhancement, and washout pattern. Quantitative analysis was performed for
mean attenuation of tumor and tumor-to-liver contrast during each phase. The
degree of arterial enhancement was graded based on quantitative measurements.
RESULTS: A lobulated shape, indistinct margin, peripheral rim enhancement in the
arterial phase, and the presence of bile duct dilatation were CT features
favoring mICC, whereas a round shape, partially indistinct margin, heterogeneous
enhancement in the arterial phase, washout pattern and the presence of tortuous
tumoral vessels were CT features favoring pHCC in the univariate analysis (P <
0.05). Tumor-to-liver contrast of pHCC was greater than that of mICC during the
arterial phase (P = 0.015). In the multivariate analysis, bile duct dilatation,
tortuous tumoral vessels, and a washout pattern were independent CT features for
distinguishing between the two types. (P = 0.003, P = 0.003, P = 0.044,
respectively). CONCLUSION: The absence of a washout pattern and tortuous tumoral
vessels and presence of bile duct dilatation are more indicative of mICC than of
pHCC on contrast-enhanced CT.
PMID- 27193796
TI - Optimal visualization of focal nodular hyperplasia: quantitative and qualitative
evaluation of single and multiphasic arterial phase acquisition at 1.5 T MR
imaging.
AB - PURPOSE: To evaluate the qualitative and quantitative benefit of multiple
arterial phase acquisitions for the depiction of hypervascularity in FNH explored
MR imaging using an extracellular contrast agent. METHODS: Between 2007 and 2014,
all patients who underwent MR imaging for the exploration of FNH were included.
The protocol included a single or a triple arterial phase ("single" and "triple"
group, respectively). Arterial phases were visually divided into four types: (1)
angiographic, (2) early, (3) late, and (4) portal. Signal intensity on arterial
phase images was visually recorded as intense, moderate, or low for each lesion.
Lesion-to-liver contrast (LLC) and relative lesion enhancement (RE) were
calculated and compared between the two groups using the Mann-Whitney test.
RESULTS: Thirty-five women were included (mean 45-year old, range 20-66), with 50
FNH (mean size 30 mm). Single and triple groups included 20 patients (30 FNH) and
15 patients (20 FNH), respectively. Signal intensity was intense in all lesions
in the triple group and in 22/30 (73%) in the single group (p = 0.041). Intense
signals were more frequently found in the early arterial phase (p < 0.001). RE
was not significantly different (1.78 +/- 0.84 vs. 1.98 +/- 1.81 p = 0.430, in
the single and triple groups, respectively) but LLC was significantly higher in
the triple group (0.32 +/- 0.10 vs. 0.22 +/- 0.10, p = 0.005). LLC was
significantly higher in the first two arterial phases in the triple group (p <
0.001). CONCLUSION: Acquisition of three arterial phases improves the
visualization of hypervascularity of FNH, as lesions show high visual signal
intensity and contrast. Optimal visualization is obtained in the early arterial
phase.
PMID- 27193798
TI - The "Sausage" pancreas.
PMID- 27193797
TI - The "gallbladder pearl necklace" sign.
PMID- 27193799
TI - Inverted V sign.
PMID- 27193800
TI - Dermoscopic and Immunohistochemical Changes in Acquired Melanocytic Nevi
following Narrow-Band Ultraviolet B Therapy.
AB - BACKGROUND: Acquired melanocytic nevi (AMN) have been reported to undergo
morphological and dermoscopic changes following exposure to narrow-band
ultraviolet B (NB-UVB) radiation. OBJECTIVE: To study the morphological,
dermoscopic and immunohistochemical changes in AMN following NB-UVB radiation.
METHODS: Suberythemogenic NB-UVB sessions were delivered to 40 patients with AMN.
For each patient, a minimum of 2 nevi were selected. One nevus was surgically
removed from each patient prior to sessions as control; for the other nevus,
dermoscopic images were captured before and after NB-UVB sessions. The images
were evaluated for changes. At the end, another nevus was surgically removed for
immunohistochemical assessment of Ki-67 and melan-A. RESULTS: Our study showed a
statistically significant increase in the size of AMN following NB-UVB radiation.
Benign dermoscopic changes were observed. Statistically significant positive
correlations were found between some dermoscopic findings and the total
cumulative dose of NB-UVB. Immunohistochemical analysis did not show any
significant change in the exposed AMN. CONCLUSION: AMN irradiated with repeated
suberythemogenic doses of NB-UVB showed benign morphological and dermoscopic
changes, and this was confirmed by our immunohistochemical study.
PMID- 27193801
TI - Erratum to: Impaired orthostatic blood pressure recovery and cognitive
performance at two-year follow up in older adults: The Irish Longitudinal Study
on Ageing.
PMID- 27193802
TI - Communication and Influencing for ED Professionals: A training programme
developed in the emergency department for the emergency department.
AB - OBJECTIVE: The objective of the present study is to develop and pilot a
communication and influencing skills training programme that meets ED health
professionals' needs at an urban district hospital. METHODS: Qualitative methods
within a participatory action research framework were utilised. An
interdisciplinary team guided the programme's design and development. A training
needs analysis saw team meetings, interviews, focus groups and observations
conducted across the ED. Thematic analysis of the data identified health
professionals' communication and influencing challenges. The training needs
analysis informed the training programme curriculum's development. The pilot
programme involved an interdisciplinary group of seven health professionals
across 5 * 2 h sessions over 3 months, followed by a post-training survey.
RESULTS: Five themes of communication and influencing challenges were identified:
participating in effective handovers, involving patients in bedside handovers,
effectively communicating with interdepartmental colleagues, asking ED colleagues
to do tasks and understanding ED colleagues' roles, expectations and assumptions.
Based on these challenges, the formulated RESPECT model (which stands for
Relationships, Expectations, Styles, Partnerships, Enquiry, Coaching and
Teamwork) informed the training curriculum. The peer coaching model used in the
training programme was highly regarded by participants. CONCLUSIONS:
Communication and Influencing for ED ProfessionalsTM (Babel Fish Group Pty Ltd,
Melbourne, Victoria, Australia) addresses a gap for communication programmes
developed in the ED for the ED. Future research will evaluate the programme's
impact in this ED.
PMID- 27193803
TI - Coaxial Dual-wavelength Interferometric Method for a Thermal Infrared Focal-plane
array with Integrated Gratings.
AB - Uncooled infrared (IR) focal-plane-array (FPA) with both large sensing range and
high sensitivity is a great challenge due to the limited dynamic range of the
detected signals. A coaxial dual-wavelength interferometric system was proposed
here to detect thermal-induced displacements of an ultrasensitive FPA based on
polyvinyl-chloride(PVC)/gold bimorph cantilevers and carbon nanotube (CNT)-based
IR absorbing films. By alternately selecting the two displacement measurements
performed by lambda1 (=640 nm) and lambda2 (=660 nm), the temperature measuring
range with greater than 50% maximum sensitivity can be extended by eight-fold in
comparison with the traditional single-wavelength mode. Meanwhile, the relative
measurement error over the full measuring range is below 0.4%. In addition, it
offers a feasible approach for on-line and on-wafer FPA characterization with
great convenience and high efficiency.
PMID- 27193804
TI - A tale of two anomalies: fourth branchial cleft cyst with thyroid hemiagenesis.
PMID- 27193805
TI - Does prenatal exposure to vitamin D-fortified margarine and milk alter birth
weight? A societal experiment - CORRIGENDUM.
PMID- 27193806
TI - Is the Fever for High Impact a Disadvantage for Systematists?
PMID- 27193807
TI - Parasitoid Wasps in Flower Heads of Asteraceae in the Brazilian Cerrado:
Taxonomical Composition and Determinants of Diversity.
AB - This study provides the first survey of the parasitoid fauna reared in flower
heads of Asteraceae in the Brazilian cerrado. We investigated the relative
importance of herbivore richness and plant species commonness to differences in
parasitoid species richness among the plant species. A total of 15,372 specimens
from 192 morphospecies belonging to 103 genera of Hymenoptera were reared from
the flower heads of 74 Asteraceae species. Chalcidoidea and Ichneumonoidea were
the most common superfamilies, with Eulophidae and Braconidae as the main
families of parasitoid wasps. Singletons and doubletons accounted for 45% of
total parasitoid species richness. The number of parasitoid species per plant
species ranged from 1 to 67, and the variation in parasitoid species richness
among plants was mainly explained by the number of sites in which the plants were
recorded. This study shows that there is a highly diversified fauna of
Hymenoptera parasitoids associated with flower heads of Asteraceae in the
Brazilian cerrado. Our findings suggest that the accumulation of parasitoid
species on plants is mainly determined by the regional commonness of plant
species rather than the number of herbivore species associated with the plants.
PMID- 27193808
TI - Communities of Social Bees (Apidae: Meliponini) in Trap-Nests: the Spatial
Dynamics of Reproduction in an Area of Atlantic Forest.
AB - As most stingless bee species depend on preexisting cavities, principally tree
hollows, nesting site availability may represent an important restriction in the
structuring of their forest communities. The present study examined the spatial
dynamics of stingless bee communities in an area of Atlantic Forest by evaluating
their swarming to trap-nests. The field work was performed in the Michelin
Ecological Reserve (MER) on the southeastern coast of the state of Bahia, Brazil.
Seven hundred and twenty trap-nests were distributed within two forest habitats
in advanced and initial stages of regeneration. The trap-nests were monitored
between September 2009 and March 2011. Twenty-five trap-nests were occupied by
five bee species, resulting in a capture ratio of 0.035 swarms/trap
(approximately 0.14 swarms/ha), corresponding to 10 swarms/year (0.056
swarms/ha/year). According to previous study at MER, the most abundant species in
natural nests were also the most common in trap-nests in the two forest habitats
examined, with the exception of Melipona scutellaris Latreille. Swarms of higher
numbers of species were captured in initial regeneration stage forests than in
advanced regeneration stage areas, and differences in species compositions were
significant between both habitats (p = 0.03); these apparent differences were not
consistent, however, when considering richness (p = 0.14) and total abundance (p
= 0.08). The present study suggests the existence of a minimum cavity size
threshold of approximately 1 L for most local species of stingless bees and
sustains the hypothesis of a mass effect of Tetragonisca angustula Latreille
populations from surrounding disturbed habitats on the MER forest community in
terms of propagule (swarm) pressure. Examining swarm densities with trap-nests
can be a promising technique for comparative analyses of the carrying capacities
of forest habitats for stingless bee colonies, as long as size thresholds of
cavities for nesting are taken into consideration.
PMID- 27193809
TI - Density and Distribution of Xylocopa Nests (Hymenoptera: Apidae) in Caatinga
Areas in the Surroundings of Passion Fruit Crops.
AB - Due to their importance as pollinators of many plant species, this study aimed to
know the nest density, spatial distribution, and nesting substrates used by
Xylocopa species in the Caatinga, a xerophilous vegetation of Northeastern
Brazil. Three areas of Caatinga in the surroundings of passion fruit crops were
sampled. The bee species found in these areas were Xylocopa grisescens Lepeletier
and Xylocopa frontalis (Olivier). All nests were in Commiphora leptophloeos
(Burseraceae) trees (n = 113). Phytosociological analysis showed that this tree
species presented the highest absolute density (212.5 individuals/ha) and index
of importance value (52.7). The distribution pattern of the C. leptophloeos was
aggregated. The nests were located in dead and dried branches with an average
diameter of 5.3 +/- 2.0 cm (n = 43). The mean number of nests/tree was 3.1 +/-
2.8 (n = 113). The less disturbed area showed 6.7 nests/ha and 4.2 nests/tree. In
the disturbed areas, 0.9 nests/ha and 2.4 to 2.7 nests/tree were observed. The
availability of substrate for nesting in the studied areas and its importance as
a limiting factor for nesting are discussed.
PMID- 27193810
TI - Insect Pests Occurring on Dacryodes edulis (Burseraceae) in Rural Areas in Gabon.
AB - The inventory of pests occurring on Dacryodes edulis (Burseraceae) was carried
out in rural areas in Gabon during 2009 and 2010. Yellow traps and visual
observations were used to record weekly pests during the tree flowering stage, in
five villages. Catches from yellow traps rose to 7,296 and 1,722 insect pests in
2009 and 2010, respectively, whereas records from visual observations
corresponded to 1,812 and 171 insect pests in 2009 and 2010, respectively. During
both years, abundance from traps and visual monitoring was significantly
different between sampling sites (p < 0.05). The difference in pests' diversity
between sampling sites was not significant (p > 0.05) according to traps, but
significant (p <= 0.04) according to visual observations in 2010. Mecocorynus
loripes Chevrolat (Coleoptera: Cucurlionidae) attacked the stem of D. edulis,
while Oligotrophus sp. (Diptera: Cecidomyiidae), Pseudophacopteron serrifer
Malenovsky and Burckhardt (Hemiptera: Phacopteronidae), and Selenothrips
rubrocinctus Giard (Thysanopera: Thripidae) attacked leaves. Pseudonoorda edulis
Maes and Poligui (Lepidoptera: Crambidae) and Lobesia aeolopa Meyrick
(Lepidoptera: Tortricidae) infested fruits and inflorescences, respectively.
These insects are specifically linked to plant patterns, and their identification
provided the first basic information for developing suitable strategies to
control pests of D. edulis in Gabon, as well as in neighboring central African
countries.
PMID- 27193811
TI - Competitive Interactions between Immature Stages of Bactrocera cucurbitae
(Coquillett) and Bactrocera tau (Walker) (Diptera: Tephritidae) under Laboratory
Conditions.
AB - The melon fly, Bactrocera cucurbitae (Coquillett), and the pumpkin fly,
Bactrocera tau (Walker), are economically important pests that attack mainly
cucurbitacean fruits. The two fruit fly species have similar natural
distributions, host ranges, and population growth capacities. This study was
designed to assess the asymmetrical competitions through resource exploitation
between the larvae of B. cucurbitae and B. tau at different density levels and
temperatures, and on different hosts by comparing the relative effects of
interspecific and intraspecific interactions on four life history parameters:
survival rate, puparial mass, puparial duration, and developmental duration. Our
results showed that intraspecific and interspecific competitions occurred under
some laboratory conditions, and B. cucurbitae took advantage over B. tau at the
high-density level and at low and high temperatures on pumpkin, bitter gourd, and
bottle gourd when interspecific competition took place. Intraspecific and
interspecific competitions mainly affected the puparial mass and the survival
rate of the two fruit fly species but had no marked effect on the puparial
duration or development duration.
PMID- 27193812
TI - Morphology of the Pylorus of Bombyx mori (Linnaeus) (Lepidoptera: Bombycidae).
AB - The pylorus is a segment of the hindgut of great morphological diversity, which
is subdivided into the posterior interstitial ring, pyloric cone, and pyloric
valve. There is no morphological information on Bombyx mori (L.) hybrids, which
is an insect of economic interest to Brazil. Consequently, this study aimed to
analyze the morphology of the pylorus of hybrid larvae of fifth instars of B.
mori from crossbreeding of Chinese and Japanese races. These were anesthetized,
and the pylorus was fixed and processed by light microscopy and scanning electron
microscopy. The pylorus presented as a separate compartment, consisting of a
simple epithelium, with large variation in cell morphology, covered on the
luminal side by an intima with specializations in some areas, the spicules. The
musculature was formed by bundles of striated muscle fibers in longitudinal and
circular arrangement. These fibers were multinucleated with central and
peripheral nuclei, operating in peristalsis. The morphology of the pylorus is a
reflection of functional dynamism, and this study provided a better understanding
of its physiology, which promotes the regulated movement of the bolus, preventing
reflux and directing it towards the ileum in the formation of fecal pellets.
PMID- 27193813
TI - A Review of the Genus Pielomastax Chang (Orthoptera: Eumastacoidea) from China
with Description of a New Species.
AB - The review of the genus Pielomastax is given. The genus consists of 14 species
distributed in China. Pielomastax cladopygidium n. sp. is described from Shaanxi
Province. An annotated list of species and key to species of Pielomastax is
provided.
PMID- 27193814
TI - No Detectable Trade-Offs Among Immune Function, Fecundity, and Survival via a
Juvenile Hormone Analog in the House Cricket.
AB - Hormones are key regulators of resource allocation among functions and thus play
an important role in resource-based trade-offs. The juvenile hormone (JH) is an
insect hormone that mediates resource allocation between immunity and life
history components. Here, we have tested whether this is the case using the house
cricket. We investigated whether increased levels of JH (using methoprene, a JH
analog) enable an enhanced survival and fecundity (via egg number) at the cost of
reduced hemocyte number (a trait that is associated with immune response in
insects) in the house cricket, Acheta domesticus L. We had three groups of adult
crickets of both sexes: experimental (methoprene and acetone), positive control
(methoprene), and negative control (no manipulation). Prior to and after
experimental treatments, we counted the number of hemocytes (for the case of both
sexes) and recorded the number of eggs laid and survival of females after the
manipulation. There was no difference in hemocyte number, egg number, and
survival. These results do not support a JH-mediated trade-off among immune
ability, survival, and fecundity. We provide arguments to explain the lack of JH
mediated trade-offs in the house cricket.
PMID- 27193816
TI - The Sharpshooter Genus Geitogonalia Young: Descriptions of a New Brazilian
Species and of the Female of Geitogonalia quatuordecimmaculata (Taschenberg)
(Hemiptera: Cicadellidae).
AB - The sharpshooter genus Geitogonalia Young was so far known from a single species
from Southeastern Brasil-Geitogonalia quatuordecimmaculata (Taschenberg). A new
species, Geitogonalia viridis, is described and illustrated in this paper based
on males and females from the state of Rio de Janeiro. In addition, the female of
G. quatuordecimmaculata is for the first time described and illustrated in
detail. Geitogonalia quatuordecimmaculata is a dark species marked with bright
orange on the fore wings, whereas the new species has the corium and clavus of
the fore wings mostly green. The paraphyses are well developed in G.
quatuordecimmaculata, with elongate rami, whereas they are inconspicuous in G.
viridis, with the rami modified as a pair of very small sclerites.
PMID- 27193815
TI - Three Heat Shock Protein Genes from Bactrocera (Tetradacus) minax Enderlein: Gene
Cloning, Characterization, and Association with Diapause.
AB - Bactrocera (Tetradacus) minax Enderlein is a major pest to wild and cultivated
species of citrus. Bactrocera minax produces one generation per year with a long
pupal diapause period of over 6 months, which hinders efforts to obtain vast
numbers of insects under standard room conditions. Determining the mechanisms of
diapause is significantly important for obtaining large quantities of these
insects. To characterize the heat shock protein (Hsp) genes of B. minax and to
unravel their potential contribution to diapause, we performed 3' and 5' RACE to
isolate the complementary DNA (cDNA) sequences, bioinformatics to examine the
phylogenetic relationships, and real-time quantitative PCR to detect the
expression patterns of three Hsp genes during various developmental stages. These
results represent the first characterization of the three Hsp genes of B. minax;
the open reading frames of Bmhsp23, Bmhsp70, and Bmhsp90 were 510, 1,911, and
1,089 bp, encoding 170, 636, and 363 amino acids, respectively. BmHsp70 and
BmHsp90 displayed high identity to previously identified Hsp70 and Hsp90 genes,
respectively. BmHsp23 displayed varying similarity, from 28 to 83%, to previously
identified small Hsps. Bmhsp23 messenger RNA (mRNA) expression was found to be
upregulated during diapause initiation, maintenance, and termination. Bmhsp70
mRNA expression peaked during diapause initiation. Bmhsp90 mRNA expression
remained at a relatively low level during deep diapause. Our present results
suggest that Bmhsp70 might play an important role in diapause initiation, while
Bmhsp23 in diapause initiation and maintenance and Bmhsp90 in diapause
regulation. These results improve our understanding of the mechanism of diapause
in B. minax at the molecular level.
PMID- 27193817
TI - Survival of Seasonal Flooding in the Amazon by the Terrestrial Insect
Conotrachelus dubiae O'Brien & Couturier (Coleoptera: Curculionidae), a Pest of
the Camu-Camu Plant, Myrciaria dubia (Myrtaceae).
AB - The weevil Conotrachelus dubiae O'Brien & Couturier (Coleoptera: Curculionidae)
is a pest of an economically important Amazonian fruit tree Myrciaria dubia
(Myrtaceae). This tree grows in seasonally flooded environments, and how weevil
larvae survive flooding has not been studied. From December 2004 to May 2009,
five experiments were conducted in natural conditions and in the laboratory, with
the aim of understanding the mechanisms that allow the survival of C. dubiae
larvae in seasonal floods in Amazonia. The larvae of C. dubiae were kept under
water for over 93 days. Older instars exposed to periodic circulation of water
survived better than younger instars in addition to all larvae that were kept
continuously under uncirculated water. Individuals that were collected from plots
of M. dubia located in flooded soils and non-flooded soils did not exhibit
statistically significant differences in their levels of survival indicating that
the variation in survival of flooding events is due to phenotypic plasticity of
the species and not to local adaptation by the populations in different
environments. We speculate that larvae can survive floods without major
physiological changes as larvae appear to obtain oxygen from water by cutaneous
diffusion, assisted by caudal movements.
PMID- 27193819
TI - De Novo Synthesis of Benzenoid Compounds by the Yeast Hanseniaspora vineae
Increases the Flavor Diversity of Wines.
AB - Benzyl alcohol and other benzenoid-derived metabolites of particular importance
in plants confer floral and fruity flavors to wines. Among the volatile aroma
components in Vitis vinifera grape varieties, benzyl alcohol is present in its
free and glycosylated forms. These compounds are considered to originate from
grapes only and not from fermentative processes. We have found increased levels
of benzyl alcohol in red Tannat wine compared to that in grape juice, suggesting
de novo formation of this metabolite during vinification. In this work, we show
that benzyl alcohol, benzaldehyde, p-hydroxybenzaldehyde, and p-hydroxybenzyl
alcohol are synthesized de novo in the absence of grape-derived precursors by
Hanseniaspora vineae. Levels of benzyl alcohol produced by 11 different H. vineae
strains were 20-200 times higher than those measured in fermentations with
Saccharomyces cerevisiae strains. These results show that H. vineae contributes
to flavor diversity by increasing grape variety aroma concentration in a
chemically defined medium. Feeding experiments with phenylalanine, tryptophan,
tyrosine, p-aminobenzoic acid, and ammonium in an artificial medium were tested
to evaluate the effect of these compounds either as precursors or as potential
pathway regulators for the formation of benzenoid-derived aromas. Genomic
analysis shows that the phenylalanine ammonia-lyase (PAL) and tyrosine ammonia
lyase (TAL) pathways, used by plants to generate benzyl alcohols from aromatic
amino acids, are absent in the H. vineae genome. Consequently, alternative
pathways derived from chorismate with mandelate as an intermediate are discussed.
PMID- 27193818
TI - Tomato Yellow Leaf Curl Virus Benefits Population Growth of the Q Biotype of
Bemisia tabaci (Gennadius) (Hemiptera: Aleyrodidae).
AB - Plant viruses can directly influence their insect vectors, and indirectly through
their shared host plant, altering their behavior and performance in a mutualistic
or rather antagonistic manner. One of the most studied begomovirus, Tomato yellow
leaf curl virus (TYLCV), may also facilitate the expansion of its vector, the
whitefly Bemisia tabaci (Gennadius). Considering the likely expansion of the
disease and its major vector, we studied the direct and the indirect effects of a
Mediterranean isolate of this virus (TYLCV-IL) on the biological performance of
the Q biotype of B. tabaci. The following parameters were examined: development
time and viability of nymphs, sex ratio, fecundity, and fertility and longevity.
The results varied from positive to neutral depending on the parameter and the
effect studied. TYLCV accelerated nymphal developmental and increased male
longevity of B. tabaci when viruliferous insects developed on TYLCV-immune
eggplants (direct effects). An indirect, positive effect of TYLCV-infected plants
was observed on fecundity of B. tabaci, which laid more eggs on virus-infected
than on noninfected tomato plants. Our results show that TYLCV enhances the
population increase of its whitefly vector and that there is a high risk of rapid
expansion of both the virus and its vector-the MED species of B. tabaci-into new
areas when both agents interact together.
PMID- 27193820
TI - High antiviral effects of hibiscus tea extract on the H5 subtypes of low and
highly pathogenic avian influenza viruses.
AB - Viral neuraminidase inhibitors are widely used as synthetic anti-influenza drugs
for the prevention and treatment of influenza. However, drug-resistant influenza
A virus variants, including H5N1 highly pathogenic avian influenza viruses
(HPAIVs), have been reported. Therefore, the discovery of novel and effective
antiviral agents is warranted. We screened the antiviral effects of 11 herbal tea
extracts (hibiscus, black tea, tencha, rosehip tea, burdock tea, green tea,
jasmine tea, ginger tea, lavender tea, rose tea and oak tea) against the H5N1
HPAIV in vitro. Among the tested extracts, only the hibiscus extract and its
fractionated extract (frHibis) highly and rapidly reduced the titers of all H5
HPAIVs and low pathogenic AIVs (LPAIVs) used in the pre-treatment tests of Madin
Darby canine kidney (MDCK) cells that were inoculated with a mixture of the virus
and the extract. Immunogold electron microscopy showed that anti-H5 monoclonal
antibodies could not bind to the deformed H5 virus particles pretreated with
frHibis. In post-treatment tests of MDCK cells cultured in the presence of
frHibis after infection with H5N1 HPAIV, the frHibis inhibited viral replication
and the expression of viral antigens and genes. Among the plants tested, hibiscus
showed the most prominent antiviral effects against both H5 HPAIV and LPAIV.
PMID- 27193822
TI - FDA-approved drugs that interfere with laboratory tests: A systematic search of
US drug labels.
AB - Drug-related laboratory test interference or drug/laboratory test interactions
(DLTI) are a major source of laboratory errors. DLTI is of concern with regard to
both the clinical diagnosis and the monitoring of patients. Although there have
been numerous reports about specific drugs that interfere with laboratory tests,
there has not been a recent review on the topic. We herein provide a review of
the known DLTI of US FDA-approved drugs based on a systematic search of DailyMed,
a website containing the labels of US FDA-approved drugs. The labels for all
human single-ingredient prescription drugs included in the database (1368) were
searched using stemmed keywords and were manually reviewed for their relevance to
DLTI. A total of 134 labels were positive, which indicated that the drug
interferes with at least one clinical laboratory test. Antibacterial agents,
psychotropic drugs and contrast media are the classes of drugs most likely to
lead to DLTI. Urine was the clinical sample most frequently affected by DLTI. The
FDA drug label is a source of information for studies of DLTI, although
information is still lacking for most drugs, and additional improvements are
needed for many of the existing records. Medical professionals, clinicians and
laboratory staff should keep these possible interactions in mind when
interpreting the results of laboratory tests, and should ensure that they obtain
a complete and accurate record of all drugs being used by patients in order to
anticipate potential DLTI. The development of a reporting system to address
potential DLTI is warranted.
PMID- 27193821
TI - Induction of apoptosis by HBI-8000 in adult T-cell leukemia/lymphoma is
associated with activation of Bim and NLRP3.
AB - Adult T-cell leukemia/lymphoma (ATL) is an aggressive T-cell malignancy caused by
human T-cell lymphotropic virus 1. Treatment options for acute ATL patients
include chemotherapy, stem cell transplantation, and recently the anti-chemokine
(C-C motif) receptor 4 antibody, although most patients still have a poor
prognosis and there is a clear need for additional options. HBI-8000 is a novel
oral histone deacetylase inhibitor with proven efficacy for treatment of T-cell
lymphomas that recently received approval in China. In the present study, we
evaluated the effects of HBI-8000 on ATL-derived cell lines and primary cells
obtained from Japanese ATL patients. In most cases HBI-8000 induced apoptosis in
both primary ATL cells and cell lines. In addition, findings obtained with DNA
microarray suggested Bim activation and, interestingly, the contribution of the
NLR family, pyrin domain containing 3 (NLRP3) inflammasome pathway in HBI-8000
induced ATL cell death. Further investigations using siRNAs confirmed that Bim
contributes to HBI-8000-induced apoptosis. Our results provide a rationale for a
clinical investigation of the efficacy of HBI-8000 in patients with ATL. Although
the role of NLRP3 inflammasome activation in ATL cell death remains to be
verified, HBI-8000 may be part of a novel therapeutic strategy for cancer based
on the NLRP3 pathway.
PMID- 27193826
TI - Rhodium(i)-catalysed intermolecular alkyne insertion into (2
pyridylmethylene)cyclobutenes.
AB - Cyclobutenes with 2-pyridylmethylene groups at the 3 position underwent an
intermolecular alkyne insertion reaction in the presence of a rhodium(i) catalyst
at 170 degrees C to afford substituted benzenes. Among the different 2
pyridylmethylene groups examined, 3-methyl-2-pyridyl derivatives showed superior
activity and readily coupled with various alkynes, including sterically
demanding, heteroaromatic and terminal alkynes.
PMID- 27193824
TI - Identification and Characterization of miRNAs in Chondrus crispus by High
Throughput Sequencing and Bioinformatics Analysis.
AB - Chondrus crispus, an economically and medicinally important red alga, is a
medicinally active substance and important for anti-tumor research. In this
study, 117 C. crispus miRNAs (108 conserved and 9 novel) were identified from
2,416,181 small-RNA reads using high-throughput sequencing and bioinformatics
methods. According to the BLAST search against the miRBase database, these miRNAs
belonged to 110 miRNA families. Sequence alignment combined with homology
searching revealed both the conservation and diversity of predicted potential
miRNA families in different plant species. Four and 19 randomly selected miRNAs
were validated by northern blotting and stem-loop quantitative real-time reverse
transcription polymerase chain reaction detection, respectively. The validation
rates (75% and 94.7%) demonstrated that most of the identified miRNAs could be
credible. A total of 160 potential target genes were predicted and functionally
annotated by Gene Ontology analysis and Kyoto Encyclopedia of Genes and Genomes
analysis. We also analyzed the interrelationship of miRNAs, miRNA-target genes
and target genes in C. crispus by constructing a Cytoscape network. The 117
miRNAs identified in our study should supply large quantities of information that
will be important for red algae small RNA research.
PMID- 27193823
TI - Platelet-derived growth factor receptor/platelet-derived growth factor
(PDGFR/PDGF) system is a prognostic and treatment response biomarker with
multifarious therapeutic targets in cancers.
AB - Progress in cancer biology has led to an increasing discovery of oncogenic
alterations of the platelet-derived growth factor receptors (PDGFRs) in cancers.
In addition, their overexpression in numerous cancers invariably makes PDGFRs and
platelet-derived growth factors (PDGFs) prognostic and treatment markers in some
cancers. The oncologic alterations of the PDGFR/PDGF system affect the
extracellular, transmembrane and tyrosine kinase domains as well as the
juxtamembrane segment of the receptor. The receptor is also involved in fusions
with intracellular proteins and receptor tyrosine kinase. These discoveries
undoubtedly make the system an attractive oncologic therapeutic target. This
review covers elementary biology of PDGFR/PDGF system and its role as a
prognostic and treatment marker in cancers. In addition, the multifarious
therapeutic targets of PDGFR/PDGF system are discussed. Great potential exists in
the role of PDGFR/PDGF system as a prognostic and treatment marker and for
further exploration of its multifarious therapeutic targets in safe and
efficacious management of cancer treatments.
PMID- 27193825
TI - GRK5 Deficiency Leads to Selective Basal Forebrain Cholinergic Neuronal
Vulnerability.
AB - Why certain diseases primarily affect one specific neuronal subtype rather than
another is a puzzle whose solution underlies the development of specific
therapies. Selective basal forebrain cholinergic (BFC) neurodegeneration
participates in cognitive impairment in Alzheimer's disease (AD), yet the
underlying mechanism remains elusive. Here, we report the first recapitulation of
the selective BFC neuronal loss that is typical of human AD in a mouse model
termed GAP. We created GAP mice by crossing Tg2576 mice that over-express the
Swedish mutant human beta-amyloid precursor protein gene with G protein-coupled
receptor kinase-5 (GRK5) knockout mice. This doubly defective mouse displayed
significant BFC neuronal loss at 18 months of age, which was not observed in
either of the singly defective parent strains or in the wild type. Along with
other supporting evidence, we propose that GRK5 deficiency selectively renders
BFC neurons more vulnerable to degeneration.
PMID- 27193827
TI - What contraception do women use after abortion? An analysis of 319,385 cases from
eight countries.
AB - Contraception is an essential element of high-quality abortion care. However,
women seeking abortion often leave health facilities without receiving
contraceptive counselling or methods, increasing their risk of unintended
pregnancy. This paper describes contraceptive uptake in 319,385 women seeking
abortion in 2326 public-sector health facilities in eight African and Asian
countries from 2011 to 2013. Ministries of Health integrated contraceptive and
abortion services, with technical assistance from Ipas, an international non
governmental organisation. Interventions included updating national guidelines,
upgrading facilities, supplying contraceptive methods, and training providers. We
conducted unadjusted and adjusted associations between facility level, client
age, and gestational age and receipt of contraception at the time of abortion.
Overall, postabortion contraceptive uptake was 73%. Factors contributing to
uptake included care at a primary-level facility, having an induced abortion,
first-trimester gestation, age >=25, and use of vacuum aspiration for uterine
evacuation. Uptake of long-acting, reversible contraception was low in most
countries. These findings demonstrate high contraceptive uptake when it is
delivered at the time of the abortion, a wide range of contraceptive commodities
is available, and ongoing monitoring of services occurs. Improving availability
of long-acting contraception, strengthening services in hospitals, and increasing
access for young women are areas for improvement.
PMID- 27193828
TI - Increasing incidence of Clostridium difficile infections: results from a 5-year
retrospective study in a large teaching hospital in the Italian region with the
oldest population.
AB - Limited information is available on the incidence of Clostridium difficile
infections (CDIs) in Italian hospitals. In this study, we assessed the changes in
the incidence of CDI over a 5-year period in a teaching hospital in Liguria, the
Italian region with the oldest population. Secondary endpoints were the
development of severe CDI and 30-day mortality. The annual incidence of CDI/10000
patient-days significantly increased from 0.54 in 2010 to 3.04 in 2014 (chi 2 for
trend, P < 0.001). The median age of patients with CDI was 81 years. As many as
81% and 89% of these patients had comorbid conditions and previous exposure to
antibiotics, respectively. In the multivariate analysis of risk factors for
severe CDI, previous therapy with histamine 2 blockers and low serum albumin were
associated with severe CDI, while diabetes appeared to be protective. In the
multivariate model of risk factors for 30-day mortality, high leukocyte count,
low serum albumin, and increased serum creatinine were unfavourably associated
with outcome. Strict adherence to infection control measures was of utmost
importance to counteract the increasing incidence of CDI in our hospital,
particularly because of the advanced age of the patients and their very high
frequency of chronic conditions and use of antibiotics, which readily predispose
them to the development of CDI.
PMID- 27193829
TI - Detection and quantification of Aeromonas salmonicida in fish tissue by real-time
PCR.
AB - Furunculosis, a septicaemic infection caused by the bacterium Aeromonas
salmonicida subsp. salmonicida, currently causes problems in Danish seawater
rainbow trout production. Detection has mainly been achieved by bacterial
culture, but more rapid and sensitive methods are needed. A previously developed
real-time PCR assay targeting the plasmid encoded aopP gene of A. salmonicida
was, in parallel with culturing, used for the examination of five organs of 40
fish from Danish freshwater and seawater farms. Real-time PCR showed overall a
higher frequency of positives than culturing (65% of positive fish by real-time
PCR compared to 30% by a culture approach). Also, no real-time PCR-negative
samples were found positive by culturing. A. salmonicida was detected by real
time PCR, though not by culturing, in freshwater fish showing no signs of
furunculosis, indicating possible presence of carrier fish. In seawater fish
examined after an outbreak and antibiotics treatment, real-time PCR showed the
presence of the bacterium in all examined organs (1-482 genomic units mg-1 ).
With a limit of detection of 40 target copies (1-2 genomic units) per reaction, a
high reproducibility and an excellent efficiency, the present real-time PCR assay
provides a sensitive tool for the detection of A. salmonicida.
PMID- 27193831
TI - Aicardi syndrome: when to suspect the unexpected
PMID- 27193830
TI - Inverse correlation between longevity and developmental rate among wild C.
elegans strains.
AB - Genetic studies using model organisms have shown that many long-lived mutants
display impaired fitness, such as reduced fecundity and delayed development.
However, in several wild animals, the association between longevity and fitness
does not seem to be inevitable. Thus, the relationship between longevity and
fitness in wild organisms remains inconclusive. Here, we determined the
correlation between lifespan and fitness, developmental rate and brood size, by
using 16 wild-derived C. elegans strains originated from various geographic
areas. We found a negative correlation between lifespan and developmental rate.
In contrast, we did not find such negative correlation between longevity and
developmental rate among the individuals of C. elegans strains. These data imply
that polymorphic genetic variants among wild isolates determine resource
allocation to longevity and developmental rate.
PMID- 27193832
TI - Treatment of Paget's Disease of Bone with Denosumab: Case Report and Literature
Review.
AB - Paget's disease is a condition involving focal overactivity of bone cells
(osteoblasts and osteoclasts), which can result in significant skeletal
morbidity. It is unclear in which bone cells the causative lesion resides. It is
managed effectively with potent bisphosphonates, but treatment is difficult if
these drugs are contraindicated. We describe a 75-year-old woman with Paget's
disease involving the skull who was intolerant of bisphosphonates, so was treated
with denosumab. This intervention normalized serum alkaline phosphatase for 4-8
months after each injection and led to some symptomatic improvement.
Scintigraphic activity in the lesion was improved but not normalized. We conclude
that reduction in RANKL activity by denosumab only partially corrects pagetic
activity, indicating that the osteoclast overactivity of Paget's disease is not
wholly mediated by RANKL. Denosumab has some clinical utility in Paget's disease
and may become a second-line agent in those with contraindications to intravenous
bisphosphonates.
PMID- 27193834
TI - Enantiodivergent Combination of Natural Product Scaffolds Enabled by Catalytic
Enantioselective Cycloaddition.
AB - An efficient strategy has been established for the enantiodivergent synthesis of
natural product inspired compounds embodying both tropane and pyrrolidine natural
product fragments. This strategy includes the enantioselective kinetic resolution
of racemic tropanes by means of a copper(I)-catalyzed [3+2] cycloaddition and
allows the preparation of two enantiopure products in a one-pot reaction in high
yield and with high diastereo- and enantioselectivity by using one chiral
catalyst.
PMID- 27193833
TI - Suppression of KRas-mutant cancer through the combined inhibition of KRAS with
PLK1 and ROCK.
AB - No effective targeted therapies exist for cancers with somatic KRAS mutations.
Here we develop a synthetic lethal chemical screen in isogenic KRAS-mutant and
wild-type cells to identify clinical drug pairs. Our results show that dual
inhibition of polo-like kinase 1 and RhoA/Rho kinase (ROCK) leads to the
synergistic effects in KRAS-mutant cancers. Microarray analysis reveals that this
combinatory inhibition significantly increases transcription and activity of
cyclin-dependent kinase inhibitor p21(WAF1/CIP1), leading to specific G2/M phase
blockade in KRAS-mutant cells. Overexpression of p21(WAF1/CIP1), either by cDNA
transfection or clinical drugs, preferentially impairs the growth of KRAS-mutant
cells, suggesting a druggable synthetic lethal interaction between KRAS and
p21(WAF1/CIP1). Co-administration of BI-2536 and fasudil either in the LSL
KRAS(G12D) mouse model or in a patient tumour explant mouse model of KRAS-mutant
lung cancer suppresses tumour growth and significantly prolongs mouse survival,
suggesting a strong synergy in vivo and a potential avenue for therapeutic
treatment of KRAS-mutant cancers.
PMID- 27193835
TI - Species-level core oral bacteriome identified by 16S rRNA pyrosequencing in a
healthy young Arab population.
AB - BACKGROUND: Reports on the composition of oral bacteriome in Arabs are lacking.
In addition, the majority of previous studies on other ethnic groups have been
limited by low-resolution taxonomic assignment of next-generation sequencing
reads. Furthermore, there has been a conflict about the existence of a 'core'
bacteriome. OBJECTIVE: The objective of this study was to characterize the
healthy core oral bacteriome in a young Arab population at the species level.
METHODS: Oral rinse DNA samples obtained from 12 stringently selected healthy
young subjects of Arab origin were pyrosequenced (454's FLX chemistry) for the
bacterial 16S V1-V3 hypervariable region at an average depth of 11,500 reads.
High-quality, non-chimeric reads >=380 bp were classified to the species level
using the recently described, prioritized, multistage assignment algorithm. A
core bacteriome was defined as taxa present in at least 11 samples. The Chao2,
abundance-based coverage estimator (ACE), and Shannon indices were computed to
assess species richness and diversity. RESULTS: Overall, 557 species-level taxa
(211+/-42 per subject) were identified, representing 122 genera and 13 phyla. The
core bacteriome comprised 55 species-level taxa belonging to 30 genera and 7
phyla, namely Firmicutes, Proteobacteria, Actinobacteria, Bacteroidetes,
Fusobacteria, Saccharibacteria, and SR1. The core species constituted between 67
and 87% of the individual bacteriomes. However, the abundances differed by up to
three orders of magnitude among the study subjects. On average, Streptococcus
mitis, Rothia mucilaginosa, Haemophilus parainfluenzae, Neisseria
flavescence/subflava group, Prevotella melaninogenica, and Veillonella parvula
group were the most abundant. Streptococcus sp. C300, a taxon never reported in
the oral cavity, was identified as a core species. Species richness was estimated
at 586 (Chao2) and 614 (ACE) species, whereas diversity (Shannon index) averaged
at 3.99. CONCLUSIONS: A species-level core oral bacteriome representing the
majority of reads was identified, which can serve as a reference for comparison
with oral bacteriomes of other populations as well as those associated with
disease.
PMID- 27193836
TI - Advanced glycation end products in skin ageing and photoageing: what are the
implications for epidermal function?
PMID- 27193838
TI - Gender differences in the neural response to acupuncture: clinical implications.
AB - OBJECTIVE: To examine gender differences and similarities in the psychophysical
and brain responses to acupuncture at GB34, a point that is frequently used to
treat motor function issues in Traditional Chinese Medicine. METHODS: Functional
MRI (fMRI) was used to measure brain activation in response to acupuncture at
GB34 (on the right) in 19 healthy participants (9 male, 10 female). De qi
sensations were rated to measure their psychophysical responses. RESULTS: Overall
de qi scores did not differ by gender, although females reported greater
intensity of aching (p=0.04). Acupuncture activated the hippocampus, thalamus,
globus pallidus, caudate body, claustrum, cingulate gyrus, and culmen in males,
and the middle and inferior frontal gyrus, precuneus, postcentral gyrus, inferior
parietal lobule, superior temporal gyrus, caudate body, insula, fusiform gyrus,
cingulate gyrus, amygdala, and parahippocampal gyrus in females. The
middle/medial frontal gyrus, middle temporal gyrus, thalamus, globus pallidus,
caudate body, uvula, and cerebellar tonsil were activated when data from all
subjects were combined. Relative to males, females exhibited greater brain
activation in the right-sided postcentral gyrus, precentral gyrus, precuneus,
postcentral gyrus, inferior parietal lobule, declive, middle occipital gyrus and
parahippocampal gyrus. CONCLUSIONS: The neural effects of GB34 acupuncture might
differ between males and females because different brain structures were
modulated in response to acupuncture. This potential gender effect should be
taken into account in future clinical research. We also revealed that the caudate
body was activated by GB34 acupuncture in both males and females and may
represent a major target of GB34 acupuncture. TRIAL REGISTRATION NUMBER: KMC IRB
0861-06.
PMID- 27193837
TI - The availability of emergency obstetric care in the context of the JSY cash
transfer programme in Madhya Pradesh, India.
AB - BACKGROUND: Since 2005, India has implemented a national cash transfer programme,
the Janani Suraksha Yojana (JSY), which provides women a cash transfer upon
giving birth in an existing public facility. This has resulted in a steep rise in
facility births across the country. The early years of the programme saw efforts
being made to strengthen the ability of facilities to provide obstetric care.
Given that the JSY has been able to draw millions of women into facilities to
give birth (there have been more than 50 million beneficiaries thus far), it is
important to study the ability of these facilities to provide emergency obstetric
care (EmOC), as the functionality of these facilities is critical to improved
maternal and neonatal outcomes. We studied the availability and level of
provision of EmOC signal functions in public facilities implementing the JSY
programme in three districts of Madhya Pradesh (MP) state, central India. These
are measured against the World Health Report (WHR) 2005benchmarks. As a
comparison, we also study the functionality and contribution of private sector
facilities to the provision of EmOC in these districts. METHODS: A cross
sectional survey of all healthcare facilities offering intrapartum care was
conducted between February 2012 and April 2013. The EmOC signal functions
performed in each facility were recorded, as were human resource data and birth
numbers for each facility. RESULTS: A total of 152 facilities were surveyed of
which 118 were JSY programme facilities. Eighty-six percent of childbirths
occurred at programme facilities, two thirds of which occurred at facilities that
did not meet standards for the provision basic emergency obstetric care. Of the
29 facilities that could perform caesareans, none could perform all the basic
EmOC functions. Programme facilities provided few EmOC signal functions apart
from parenteral antibiotic or oxytocic administration. Complicated EmOC provision
was found predominantly in non-programme (private) facilities; only one of six
facilities able to provide such care was in the public sector and therefore in
the JSY programme. Only 13 % of all qualified obstetricians practiced at
programme facilities. CONCLUSIONS: Given the high proportion of births in public
facilities in the state, the JSY programme has an opportunity to contribute to
the reduction in maternal and perinatal mortality However, for the programme to
have a greater impact on outcomes; EmOC provision must be significantly
improved.. While private, non-programme facilities have better human resources
and perform caesareans, most women in the state give birth under the JSY
programme in the public sector. A demand-side programme such as the JSY will only
be effective alongside an adequate supply side (i.e., a facility able to provide
EmOC).
PMID- 27193839
TI - Using Fluorescence Microscopy to Study Mitosis.
AB - Fluorescence microscopy is one of the most important approaches in the cell
biologist's toolbox for studying the mitotic spindle. In fact, many of the key
insights into our understanding of mitosis have been enabled by the visualization
of mitotic processes using fluorescence microscopy. Here, we summarize some of
the important considerations for imaging mitosis using fluorescence microscopy.
Because light can damage live cells, we emphasize the importance of minimizing
cellular damage while obtaining informative images.
PMID- 27193840
TI - Using Photoactivatable GFP to Study Microtubule Dynamics and Chromosome
Segregation.
AB - Mitosis is a highly dynamic process during which the genetic material is equally
distributed between two daughter cells. During mitosis, the sister chromatids of
replicated chromosomes interact with dynamic microtubules and such interactions
lead to stereotypical chromosome movements that eventually result in chromosome
segregation and successful cell division. Approaches that allow quantification of
microtubule dynamics and chromosome movements are of utmost importance for a
mechanistic understanding of mitosis. In this chapter, we describe methods based
on activation of photoactivatable green fluorescent protein (PA-GFP) that can be
used for quantitative studies of microtubule dynamics and chromosome segregation.
PMID- 27193841
TI - Purification and Fluorescent Labeling of Tubulin from Xenopus laevis Egg
Extracts.
AB - For many years, microtubule research has depended on tubulin purified from cow
and pig brains, which may not be ideal for experiments using proteins or extracts
from non-brain tissues and cold-blooded organisms. Here, we describe a method to
purify functional tubulin from the eggs of the frog, Xenopus laevis. This tubulin
has many benefits for the study of microtubules and microtubule based structures
assembled in vitro at room temperature. Frog tubulin lacks many of the highly
stabilizing posttranslational modifications present in pig brain-derived tubulin,
and polymerizes efficiently at room temperature. In addition, fluorescently
labeled frog egg tubulin incorporates into meiotic spindles assembled in egg
extract more efficiently than brain tubulin, and is thus superior as a probe for
Xenopus egg extract experiments. Frog egg tubulin will provide excellent
opportunities to identify active nucleation complexes and revisit microtubule
polymerization dynamics in vitro.
PMID- 27193842
TI - Measuring the Effects of Microtubule-Associated Proteins on Microtubule Dynamics
In Vitro.
AB - Microtubule dynamic instability, the process by which individual microtubules
switch between phases of growth and shrinkage, is essential for establishing the
architecture of cellular microtubule structures, such as the mitotic spindle.
This switching process is regulated by a complex network of microtubule
associated proteins (MAPs), which modulate different aspects of microtubule
dynamic behavior. To elucidate the effects of MAPs and their molecular mechanisms
of action, in vitro reconstitution approaches with purified components are used.
Here, I present methods for measuring individual and combined effects of MAPs on
microtubule dynamics, using purified protein components and total-internal
reflection fluorescence (TIRF) microscopy. Particular focus is given to the
experimental design, proper parameterization, and data analysis.
PMID- 27193843
TI - Imaging and Quantifying the Dynamics of gamma-Tubulin at Microtubule Minus Ends
in Mitotic Spindles.
AB - Understanding the organization of complex microtubule arrays such as the mitotic
spindle requires information about the position and dynamics of microtubule plus
and minus ends. Whereas plus end dynamics have been widely studied using markers
such as EB1-GFP, much less is known about the dynamic properties of minus ends,
in part because a suitable marker has only recently become available. Here we
describe the use of photoactivatable gamma-tubulin-paGFP to image and quantify
the dynamics of microtubule minus ends in mitotic spindles.
PMID- 27193845
TI - Encapsulation of Xenopus Egg and Embryo Extract Spindle Assembly Reactions in
Synthetic Cell-Like Compartments with Tunable Size.
AB - Methods are described for preparing Xenopus laevis egg and embryo cytoplasm and
encapsulating extract spindle assembly reactions in cell-like compartments to
investigate the effects of cell size on intracellular assembly. Cytoplasm
prepared from the eggs or embryos of individual frogs is screened for the ability
to form interphase nuclei and metaphase spindles, and subsequently packaged,
along with DNA, into droplets of varying size using microfluidics. The dimensions
of these cell-like droplets are specified to match the range of cell diameters
present in early embryo development. The scaling relationship between droplets
and spindles is quantified using live fluorescence imaging on a spinning-disk
confocal microscope. By comparing the encapsulated assembly of spindles formed
from cytoplasmic extracts prepared from embryos at distinct stages of Xenopus
early development, the influence of cell composition and cell size on spindle
scaling can be evaluated. Because the extract system is biochemically tractable,
the function of individual proteins in spindle scaling can be evaluated by
supplementing or depleting factors in the cytoplasm.
PMID- 27193844
TI - Visualizing and Analyzing Branching Microtubule Nucleation Using Meiotic Xenopus
Egg Extracts and TIRF Microscopy.
AB - Mitotic and meiotic spindles consist primarily of microtubules, which originate
from centrosomes and within the vicinity of chromatin. Indirect evidence
suggested that microtubules also originate throughout the spindle, but the high
microtubule density within the spindle precludes the direct observation of this
phenomenon. By using meiotic Xenopus laevis egg extract and employing total
internal reflection (TIRF) microscopy, microtubule nucleation from preexisting
microtubules could be demonstrated and analyzed. Branching microtubule nucleation
is an ideal mechanism to assemble and maintain a mitotic spindle, because
microtubule numbers are amplified while preserving their polarity. Here, we
describe the assays that made these findings possible and the experiments that
helped identify the key molecular players involved.
PMID- 27193846
TI - In Vitro Kinetochore Assembly.
AB - The kinetochore is the primary site of interaction between chromosomes and
microtubules of the mitotic spindle during chromosome segregation. Kinetochores
are composed of more than 100 proteins that transiently assemble during mitosis
at a single epigenetically defined region on each chromosome, known as the
centromere. Kinetochore assembly and activity must be tightly regulated to ensure
proper microtubule interaction and faithful chromosome segregation. Kinetochore
malfunction can result in chromosome segregation defects leading to aneuploidy
and cell death. As such, cell free and reconstituted systems to analyze
kinetochore formation and function are invaluable in probing the biochemical
activities of kinetochores. In vitro approaches to studying kinetochores have
enabled the manipulation of kinetochore protein structure, function,
interactions, and regulation that are not possible in cells. Here we outline a
cell-free approach for the assembly of centromeres and recruitment of functional
kinetochores that enables their manipulation and analysis.
PMID- 27193847
TI - Biochemical and Structural Analysis of Kinetochore Histone-Fold Complexes.
AB - The kinetochore connects chromosomes to microtubules during mitosis and therefore
plays an essential role in faithful chromosome segregation. It is built at the
centromeric region of the chromosome and is comprised of many protein complexes.
CENP-S, -T, -W, and -X are kinetochore components with histone-folds. These
proteins play important roles in establishment of kinetochore chromatin. Similar
to canonical histones, these kinetochore histone-fold proteins form heteromeric
complexes (CENP-S/CENP-X complex and CENP-T/CENP-W complex) and bind DNA in
sequence independent manner. In addition, they form a CENP-T-W-S-X
heterotetrameric complex and bind DNA in a manner that is different from both
CENP-S-X and CENP-T-W. To understand how kinetochores form and function it is
necessary to characterize the components in detail. Here, we describe our
approaches in purification and characterization of the kinetochore histone-fold
complexes.
PMID- 27193848
TI - Measuring Kinetochore-Microtubule Attachment Stability in Cultured Cells.
AB - Duplicated sister chromatids connect to the mitotic spindle through kinetochores,
large proteinaceous structures built at sites of centromeric heterochromatin.
Kinetochores are responsible for harnessing the forces generated by microtubule
polymerization and depolymerization to power chromosome movements. The fidelity
of chromosome segregation relies on proper kinetochore function, as precise
regulation of the attachment between kinetochores and microtubules is essential
to prevent mitotic errors, which are linked to the initiation and progression of
cancer and the formation of birth defects (Godek et al., Nat Rev Mol Cell Biol
16(1):57-64, 2014; Ricke and van Deursen, Semin Cell Dev Biol 22(6):559-565,
2011; Holland and Cleveland, EMBO Rep 13(6):501-514, 2012). Here we describe
assays to quantitatively measure kinetochore-microtubule attachment stability in
cultured cells.
PMID- 27193849
TI - Studying Kinetochores In Vivo Using FLIM-FRET.
AB - Kinetochores play essential roles in coordinating mitosis, as a mechanical
connector between chromosome and microtubule and as a source of numerous
biochemical signals. These mechanical and biochemical behaviors of kinetochores
change dynamically in cells during mitosis. Therefore, understanding kinetochore
function requires an imaging tool that quantifies the protein-protein
interactions or biochemical changes with high spatiotemporal resolution. FRET has
previously been used in combination with biosensors to probe protein-protein
interactions and biochemical activity. In this chapter, we introduce FLIM-FRET, a
lifetime-based method that quantifies FRET, and describe the use of FLIM-FRET as
a method for studying dynamic kinetochore behavior in cells with high
spatiotemporal resolution.
PMID- 27193850
TI - Purification of Fluorescently Labeled Saccharomyces cerevisiae Spindle Pole
Bodies.
AB - Centrosomes are components of the mitotic spindle responsible for organizing
microtubules and establishing a bipolar spindle for accurate chromosome
segregation. In budding yeast, Saccharomyces cerevisiae, the centrosome is called
the spindle pole body, a highly organized trilaminar structure embedded in the
nuclear envelope. Here we describe a detailed protocol for the purification of
fluorescently labeled spindle pole bodes from S. cerevisiae. Spindle pole bodies
are purified from yeast using a TAP-tag purification followed by velocity
sedimentation.This highly reproducible TAP-tag purification method improves upon
previous techniques and expands the scope of in vitro characterization of yeast
spindle pole bodies. The genetic flexibility of this technique allows for the
study of spindle pole body mutants as well as the study of spindle pole bodies
during different stages of the cell cycle. The ease and reproducibility of the
technique make it possible to study spindle pole bodies using a variety of
biochemical, biophysical, and microscopic techniques.
PMID- 27193852
TI - Assays to Study Mitotic Centrosome and Spindle Pole Assembly and Regulation.
AB - Faithful chromosome segregation during cell division requires proper bipolar
spindle assembly and critically depends on spindle pole integrity. In most animal
cells, spindle poles form as the result of the concerted action of various
factors operating in two independent pathways of microtubule assembly mediated by
chromatin/RanGTP and by centrosomes. Mutation or deregulation of a number of
spindle pole-organizing proteins has been linked to human diseases, including
cancer and microcephaly. Our knowledge on how the spindle pole-organizing factors
function at the molecular level and cooperate with one another is still quite
limited. As the list of these factors expands, so does the need for the
development of experimental approaches to study their function. Cell-free
extracts from Xenopus laevis eggs have played an instrumental role in the
dissection of the mechanisms of bipolar spindle assembly and have recently
allowed the reconstitution of the key steps of the centrosome-driven microtubule
nucleation pathway (Joukov et al., Mol Cell 55:578-591, 2014). Here we describe
assays to study both centrosome-dependent and centrosome-independent spindle pole
formation in Xenopus egg extracts. We also provide experimental procedures for
the use of artificial centrosomes, such as microbeads coated with an anti-Aurora
A antibody or a recombinant fragment of the Cep192 protein, to model and study
centrosome maturation in egg extract. In addition, we detail the protocol for a
microtubule regrowth assay that allows assessment of the centrosome-driven
spindle microtubule assembly in mammalian cells.
PMID- 27193853
TI - Analyzing Spindle Positioning Dynamics in Cultured Cells.
AB - As spindle positioning during mitosis is a highly dynamic process, live cell
imaging is a key technology when studying its underlying mechanisms. Recent
advances in imaging tools and microscope systems have enabled us to
simultaneously visualize several cellular components in living cells with high
temporal and spatial resolution. By combining live cell imaging with functional
assays such as RNAi-based depletions, drug inhibition, and micropatterned
coverslips, novel and unexpected mechanisms of spindle positioning have been
uncovered. In this chapter, I present methods for analyzing the dynamics of
spindle positioning in cultured cells.
PMID- 27193851
TI - A Cell-Free System for Real-Time Analyses of Centriole Disengagement and
Centriole-to-Centrosome Conversion.
AB - Centriole or centrosome number in cycling cells is strictly maintained through
coordinated duplication and segregation. Duplication is limited to once only per
cell cycle by separating the assembly event that occurs in S/G2 phase from the
two licensing events, centriole disengagement and centriole-to-centrosome
conversion, both of which occurs in mitosis. In addition to duplication
licensing, centriole-to-centrosome conversion also enables centrioles to
associate with spindle poles and thereby to segregate equally during cell
division. Centriole disengagement and centriole-to-centrosome conversion thus
constitute the major regulatory module ensuring centrosome homeostasis in cycling
cells. Using Xenopus egg extracts and purified engaged centrioles, we here
describe an in vitro assay allowing us to synchronously induce the initiation of
centriole disengagement and centrosome formation, pause the reaction anytime
during the process, and more importantly, preserve "reaction intermediates" for
further analyses.
PMID- 27193854
TI - Quantification of Mitotic Chromosome Alignment.
AB - The alignment of chromosomes during metaphase is a hallmark of mitosis. For this
reason, chromosome alignment has served as an informative functional assay for
evaluating mitotic fidelity. The common approach of quantifying the number of
mitotic cells with unaligned chromosomes within a population has led to the
identification of many proteins required for this conserved process. However,
more sensitive assays are now required to dissect the complex molecular control
of chromosome alignment. In this chapter, we describe a microscopy-based method
for objectively quantifying the distribution of fluorescently labeled chromosomes
within the mitotic spindle that can be used to evaluate the extent of chromosome
alignment within individual mitotic cells.
PMID- 27193855
TI - Imaging Mitosis in the Moss Physcomitrella patens.
AB - At first glance, mitosis in plants looks quite different from that in animals. In
fact, terrestrial plants have lost the centrosome during evolution, and the
mitotic spindle is assembled independently of a strong microtubule organizing
center. The phragmoplast is a plant-specific mitotic apparatus formed after
anaphase, which expands centrifugally towards the cell cortex. However, the
extent to which plant mitosis differs from that of animals at the level of the
protein repertoire is uncertain, largely because of the difficulty in the
identification and in vivo characterization of mitotic genes of plants. Here, we
discuss protocols for mitosis imaging that can be combined with endogenous green
fluorescent protein (GFP) tagging or conditional RNA interference (RNAi) in the
moss Physcomitrella patens, which is an emergent model plant for cell and
developmental biology. This system has potential for use in the high-throughput
study of mitosis and other intracellular processes, as is being done with various
animal cell lines.
PMID- 27193856
TI - Small Molecule Approach to Study the Function of Mitotic Kinesins.
AB - Mitotic motor proteins of the kinesin superfamily are critical for the faithful
segregation of chromosomes and the formation of the two daughter cells during
meiotic and mitotic M-phase. Of the 45 human kinesins, roughly a dozen are
involved in the assembly of the bipolar spindle, alignment of chromosomes at the
spindle equator, chromosome segregation, and cytokinesis. The functions of
kinesins in these processes are highly diverse and include the transport of cargo
molecules, sliding and bundling of microtubules, and regulation of microtubule
dynamics. In light of this multitude of diverse functions and the complex
functional interplay of different kinesins during M-phase, it is not surprising
that one of the greatest challenges in cell biology is the functional dissection
of individual motor proteins. Reversible and fast acting small molecules are
powerful tools to accomplish this challenge. However, the validity of conclusions
drawn from small molecule studies strictly depends on compound specificity. In
this chapter, we present methods for the identification of small molecule
inhibitors of a motor protein of interest. In particular, we focus on a protein
based large throughput screen to identify inhibitors of the ATPase activity of
kinesins. Furthermore, we provide protocols and guidelines for secondary screens
to validate hits and select for specific inhibitors.
PMID- 27193858
TI - Probing Mitosis by Manipulating the Interactions of Mitotic Regulator Proteins
Using Rapamycin-Inducible Dimerization.
AB - Inducible dimerization is a general approach to experimentally manipulate protein
protein interactions with temporal control. This chapter describes the use of
rapamycin-inducible dimerization to manipulate mitotic regulatory proteins, for
example to control kinetochore localization. A significant feature of this method
relative to previously described protocols is the depletion of endogenous FKBP12
protein, which markedly improves dimerization efficiency.
PMID- 27193857
TI - Identification and Characterization of Mitotic Spindle-Localized Transcripts.
AB - RNAs associate with the mitotic spindle in a variety of organisms, where they can
spatially regulate protein production, ensure their proper segregation during
cell division, or perform translation-independent roles in spindle formation. The
identification of spindle-associated RNAs is an important first step in
understanding the biological consequences of this phenomenon. In this chapter, we
describe a method to use Xenopus laevis egg extracts to assemble and isolate
mitotic spindles and to identify the spindle-associated RNAs. The method
described here can be used in combination with immunodepletions, the addition of
inhibitors, or other perturbations to investigate factors that affect RNA
localization to the spindle. Finally, we describe a method to assess the
consequences of ablating RNA in the extract on spindle formation.
PMID- 27193859
TI - Studying Kinetochore Kinases.
AB - Mitotic kinetochores are signaling network hubs that regulate chromosome
movements, attachment error-correction, and the spindle assembly checkpoint. Key
switches in these networks are kinases and phosphatases that enable rapid
responses to changing conditions. Describing the mechanisms and dynamics of their
localized activation and deactivation is therefore instrumental for understanding
the spatiotemporal control of chromosome segregation.
PMID- 27193860
TI - Engineering and Functional Analysis of Mitotic Kinases Through Chemical Genetics.
AB - During mitosis, multiple protein kinases transform the cytoskeleton and
chromosomes into new and highly dynamic structures that mediate the faithful
transmission of genetic information and cell division. However, the large number
and strong conservation of mammalian kinases in general pose significant
obstacles to interrogating them with small molecules, due to the difficulty in
identifying and validating those which are truly selective. To overcome this
problem, a steric complementation strategy has been developed, in which a bulky
"gatekeeper" residue within the active site of the kinase of interest is replaced
with a smaller amino acid, such as glycine or alanine. The enlarged catalytic
pocket can then be targeted in an allele-specific manner with bulky purine
analogs. This strategy provides a general framework for dissecting kinase
function with high selectivity, rapid kinetics, and reversibility. In this
chapter we discuss the principles and techniques needed to implement this
chemical genetic approach in mammalian cells.
PMID- 27193861
TI - Using Cell Culture Models of Centrosome Amplification to Study Centrosome
Clustering in Cancer.
AB - The link between centrosome amplification and cancer has been recognized for more
than a century, raising many key questions about the biology of both normal and
cancer cells. In particular, the presence of extra centrosomes imposes a great
challenge to a dividing cell by increasing the likelihood of catastrophic
multipolar divisions. Only recently have we begun to understand how cancer cells
successfully divide by clustering their extra centrosomes for bipolar division.
Several hurdles to dissecting centrosome clustering include limitations in the
methodologies used to quantify centrosome amplification, and the lack of
appropriate cell culture models. Here, we describe how to accurately assess
centrosome number and create isogenic cell lines with or without centrosome
amplification. We then describe how imaging of cell division in these cell
culture models leads to identification of the molecular machinery uniquely
required for cells with extra centrosomes. These approaches have led to the
identification of molecular targets for selective cancer therapeutics that can
kill cancer cells with extra centrosomes without affecting normal cells with two
centrosomes. We further anticipate that the approaches described here will be
broadly applicable for studying the causes and consequences of centrosome
amplification in a variety of contexts across cancer pathophysiology, such as
cell migration and metastasis.
PMID- 27193862
TI - Generation and Purification of Tetraploid Cells.
AB - Tetraploid cells are genetically unstable and have the capacity to promote the
development and/or progression of human malignancies. It is now estimated that
~40 % of all solid tumors have passed through a tetraploid intermediate stage at
some point during their development. Understanding the biological characteristics
of tetraploid cells that impart oncogenic properties is therefore a highly
relevant and fundamentally important aspect of cancer biology. Here, we describe
strategies to efficiently generate and purify tetraploid cells for use in cell
biological studies.
PMID- 27193864
TI - A Neuropsychologist's Guide To Undertaking a Systematic Review for Publication:
Making the most of PRISMA Guidelines.
AB - There is increasing impetus to improve the quality of research and scientific
writing. Systematic reviews provide Class 1 research evidence, are based upon an
established rigor and communicate results in a comprehensive manner, and are
therefore particularly relevant to clinicians and researchers. Clinician
requirements for quality systematic reviews are twofold: to keep up to date with
research and to make informed decisions including those required for diagnoses,
disease or risk assessment, and treatment. Researchers rely upon quality
systematic reviews to compete for diminishing research funds, prove efficacy for
intervention trials, and to meet increasing demand for evidence based
intervention. However, insufficient systematic reviews are undertaken, and the
methodological rigor and quality are often variable. The aim of this article is
to guide researchers through the iterative systematic review process in order to
improve quality and thereby increase publication rates. The step by step guide
provides a road map through the EQUATOR network and practical suggestions in
order to meet the guidelines of the Preferred Reporting Items for Systematic
Reviews and Meta-Analyses (PRISMA) (Moher et al. 2009) as well as encouraging
high standards through the use of quality rating scales. Lastly, information is
provided to encourage quantitative analysis to improve the synthesis of results
and qualitative interpretation, such as calculating effect sizes or conducting a
meta-analyses as the ultimate goal of a systematic review.
PMID- 27193865
TI - Acetohydrazone: A Transient Directing Group for Arylation of Unactivated C(sp(3))
H Bonds.
AB - A straightforward and efficient method has been developed for the synthesis of 2
benzylbenzaldehyde derivatives from 2-methylbenzaldehyde and iodobenzene via a
C(sp(3))-H activation process. In the course of the activation reaction,
acetohydrazone is formed between 2-benzylbenzaldehyde and acetohydrazine as a
transient directing group. As a new kind of transient directing group,
acetohydrazone exhibits a remarkable directing effect to give corresponding
products in good to excellent yields.
PMID- 27193863
TI - Anti-Microtubule Drugs.
AB - Small molecule drugs that target microtubules (MTs), many of them natural
products, have long been important tools in the MT field. Indeed, tubulin (Tb)
was discovered, in part, as the protein binding partner of colchicine. Several
anti-MT drug classes also have important medical uses, notably colchicine, which
is used to treat gout, familial Mediterranean fever (FMF), and pericarditis, and
the vinca alkaloids and taxanes, which are used to treat cancer. Anti-MT drugs
have in common that they bind specifically to Tb in the dimer, MT or some other
form. However, their effects on polymerization dynamics and on the human body
differ markedly. Here we briefly review the most-studied molecules, and comment
on their uses in basic research and medicine. Our focus is on practical
applications of different anti-MT drugs in the laboratory, and key points that
users should be aware of when designing experiments. We also touch on interesting
unsolved problems, particularly in the area of medical applications. In our
opinion, the mechanism by which any MT drug cures or treats any disease is still
unsolved, despite decades of research. Solving this problem for particular drug
disease combinations might open new uses for old drugs, or provide insights into
novel routes for treatment.
PMID- 27193866
TI - Freeze-drying of "pearl milk tea": A general strategy for controllable synthesis
of porous materials.
AB - Porous materials have been widely used in many fields, but the large-scale
synthesis of materials with controlled pore sizes, pore volumes, and wall
thicknesses remains a considerable challenge. Thus, the controllable synthesis of
porous materials is of key general importance. Herein, we demonstrate the "pearl
milk tea" freeze-drying method to form porous materials with controllable pore
characteristics, which is realized by rapidly freezing the uniformly distributed
template-containing precursor solution, followed by freeze-drying and suitable
calcination. This general and convenient method has been successfully applied to
synthesize various porous phosphate and oxide materials using different
templates. The method is promising for the development of tunable porous
materials for numerous applications of energy, environment, and catalysis, etc.
PMID- 27193867
TI - Oxygen diffusion in ThO2-CeO2 and ThO2-UO2 solid solutions from atomistic
calculations.
AB - We elucidate oxygen diffusivity in ThO2-CeO2 and ThO2-UO2 solid solutions across
their whole concentration ranges in the phase diagram using static pair-potential
calculations and molecular dynamics simulations. Between pure CeO2 (and UO2) and
pure ThO2, oxygen diffusivity is higher in CeO2 (and UO2) due to lower oxygen
migration barriers. With the addition of Th to CeO2 (and UO2) in the phase
diagram, the diffusivity decreases due to the increase in the migration barriers
introduced by a larger ionic radius of Th. On the other side of the phase
diagram, with the addition of Ce to ThO2 oxygen diffusion decreases due to oxygen
vacancy binding with Ce, even though the migration barriers decrease due to the
smaller size of Ce than the host Th. Using these calculations, we provide a
schematic of high oxygen diffusivity regions in the phase diagram. We also
compare the impact of tetravalent dopants (e.g. actinides) on oxygen vacancy
energetics to that of trivalent dopants (e.g. lanthanides). We find that
trivalent dopants bind much more strongly with oxygen vacancy than the
tetravalent dopants. We also find that the tetravalent dopants that have larger
radii than the host cation have negative oxygen vacancy binding energy, whereas
all trivalent dopants have positive binding energy irrespective of their ionic
radii. This work thus highlights key differences in the oxygen vacancy energetics
between the trivalent and tetravalent cations.
PMID- 27193868
TI - Synthesis and application of thiol-functionalized magnetic nanoparticles for
studying interactions of epirubicin hydrochloride with bovine serum albumin by
fluorescence spectrometry.
AB - A novel method was developed for studying the interaction between epirubicin
hydrochloride (EPI) and bovine serum albumin (BSA) by fluorescence spectrometry.
Fe3 O4 magnetic nanoparticles (MNPs) synthesized and functionalized with thiol
group were employed for the immobilization and separation of target BSA in
reaction solutions. The concentrations of the non-immobilized BSA and unbound EPI
were obtained separately by fluorescence spectrometry. The binding constants (Ka
) and number of binding sites (n) of EPI with BSA were calculated. In this study,
the Ka value was 5.05 * 105 L mol-1 , suggesting a strong binding of EPI to BSA,
and the n value was 1.15. The effects of common metal ions on Ka of EPI with BSA
were also investigated, and the results showed there was clearly bindings between
the metal ions and BSA. The precise binding sites of EPI on BSA were determined
as being in site I from the competitive displacement experiments. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27193871
TI - Two novel selenidostannates from mixed structure-directing systems: the large ten
membered ring of [Sn3Se4] semicubes and the 3D [Sn4Se9]n(2n-) with multi
channels.
AB - Ionothermal syntheses, characterization and properties of two selenidostannate
compounds with two- or three-dimensional (D) skeletons by utilizing the
synergistic structure-directing effects of the ionic liquid (IL) [Bmmim]Cl (Bmmim
= 1-butyl-2,3-dimethylimidazolium) and in-situ generated metal-amine complexes
(MACs), namely, 2D-(Bmmim)2[Ni(teta)(en)][Sn3Se7]2 (1, teta =
triethylenetetramine, en = ethylenediamine) and 3D
(Bmmim)1.5(dienH)0.5Ni(dien)2[Sn4Se9]2 (2, dien = diethylenetriamine) are
presented. Single-crystal X-ray diffraction analyses revealed that compound 1
possesses a lamellar anionic [Sn3Se7]n(2n-) structure comprising a large ten
membered ring with a window size of 24.85 * 13.38 A when considering the [Sn3Se4]
semicube as a member. While 2 features a 3D [Sn4Se9]n(2n-) framework with
orthogonally intersecting channels where the three different types of cations are
filled. The successful isolation of these two compounds demonstrated again that
the mixed SDA strategy is promising for the synthesis of novel crystalline
selenidostannates.
PMID- 27193870
TI - Breaking Barriers to Effective Type 2 Diabetes Management: Findings from the use
of the OPTIMA(c) Questionnaire in Clinical Practice.
AB - BACKGROUND: The OPTIMA(c) (MSD, Courbevoie, France) questionnaire was developed
to promote shared decisions and the set-up of specific micro-objectives in
clinical practice by optimizing communication between type 2 diabetes (T2DM)
patients and their physicians. The present study aimed to assess OPTIMA in
clinical practice. METHODS: A cross-sectional multicenter observational study was
conducted in France from 2012 to 2014. During routine consultation, patients
completed one of the five modules of the OPTIMA questionnaire (Physical activity,
Diet, Treatment, Knowledge of the disease or Self-monitoring of blood glucose).
The rate of SMART (specific, measurable, acceptable, realistic, timely) micro
objective set-up following the use of the questionnaire was assessed. Data on how
patients felt about their diabetes management (beliefs concerning actions, how
easy they were to do and how often they were done in practice) were gathered.
Finally, patients' and physicians' opinions on OPTIMA were assessed using the
PRAgmatic Content and face validity Test (PRAC-Test(c) (Mapi, Lyon, France)
evaluation questionnaire. RESULTS: Overall, 807 patients were included by 186
physicians. While 92.7 % of consultations led to the set-up of a micro-objective,
only 22.3 % were SMART micro-objectives: Physical activity module (34.3 %), Diet
module (9.6 %), Treatment module (16.4 %), Knowledge of the disease module (25.2
%), and self-monitoring of blood glucose module (29.5 %). Among patients
completing the Physical activity module, 79.0 % reported that they believed
physical activity was useful, 35.0 % that it was easy, and 25.8 % that they
regularly practised it. PRAC-Test results showed that OPTIMA was a useful and
easy-to-use questionnaire that promotes communication between physicians and
their patients according to 92.8 % of patients and 69.4 % of physicians.
CONCLUSION: The OPTIMA questionnaire facilitates communication between patients
and their physicians and promotes the set-up of micro-objectives concerning T2DM
management. The Physical activity module was the most likely of the five modules
in the questionnaire to lead to the set-up of SMART micro-objectives. FUNDING:
MSD France.
PMID- 27193869
TI - Integrative microbial community analysis reveals full-scale enhanced biological
phosphorus removal under tropical conditions.
AB - Management of phosphorus discharge from human waste is essential for the control
of eutrophication in surface waters. Enhanced biological phosphorus removal
(EBPR) is a sustainable, efficient way of removing phosphorus from waste water
without employing chemical precipitation, but is assumed unachievable in tropical
temperatures due to conditions that favour glycogen accumulating organisms (GAOs)
over polyphosphate accumulating organisms (PAOs). Here, we show these assumptions
are unfounded by studying comparative community dynamics in a full-scale plant
following systematic perturbation of operational conditions, which modified
community abundance, function and physicochemical state. A statistically
significant increase in the relative abundance of the PAO Accumulibacter was
associated with improved EBPR activity. GAO relative abundance also increased,
challenging the assumption of competition. An Accumulibacter bin-genome was
identified from a whole community metagenomic survey, and comparative analysis
against extant Accumulibacter genomes suggests a close relationship to Type II.
Analysis of the associated metatranscriptome data revealed that genes encoding
proteins involved in the tricarboxylic acid cycle and glycolysis pathways were
highly expressed, consistent with metabolic modelling results. Our findings show
that tropical EBPR is indeed possible, highlight the translational potential of
studying competition dynamics in full-scale waste water communities and carry
implications for plant design in tropical regions.
PMID- 27193872
TI - Knockdown of COUP-TFII inhibits cell proliferation and induces apoptosis through
upregulating BRCA1 in renal cell carcinoma cells.
AB - COUP-TFII belongs to the nuclear receptor family, which is highly expressed in
many kinds of tumors. Previous studies have shown that COUP-TFII can promote
tumor progression through regulating tumor angiogenesis and cell proliferation
and migration of certain cancer cells. However, the function of COUP-TFII in
renal cell carcinoma (RCC) is not clear. Here, we showed that clinical RCC tumor
tissues showed much higher COUP-TFII expression level than adjacent normal
tissues. When COUP-TFII was knocked down in RCC 769-P and 786-O cells by siRNA or
shRNA-expressing lentivirus, the cell proliferation was markedly inhibited, and
apoptosis increased. Moreover, the tumor growth of COUP-TFII knockdown 769-P and
786-O xenografts in nude mice was also obviously inhibited. Using qRT-PCR and
Western blot, we showed that the expression of the tumor suppressor gene BRCA1
was upregulated in COUP-TFII knockdown cells. Simultaneously knockdown of BRCA1
and COUP-TFII partially rescued the inhibited cell proliferation and increased
apoptosis in COUP-TFII single knockdown cells. These results indicate that COUP
TFII may play an oncogenic role in RCC, and COUP-TFII may promote tumor
progression through inhibiting BRCA1.
PMID- 27193873
TI - Plasma apelin level in patients with restless legs syndrome and its association
with periodic leg movements.
AB - OBJECTIVES: Apelin is an antioxidant and anti-inflammatory molecule secreted by
adipose tissue and has a protective effect on cardiac and neuronal tissue. Recent
studies have reported that the risk of vascular disease is increased in restless
legs syndrome (RLS). We aimed to measure plasma levels of apelin in patients with
RLS. Additionally, we wanted to determine if there is any relationship between
apelin levels and RLS disease severity and the periodic leg movement index
(PLMI). METHOD: A total of 14 RLS patients with moderate-to-severe symptoms and
14 age- and body mass index (BMI)-matched healthy controls participated in the
study. All participants had no concomitant medical disorder nor took medications.
The international RLS rating scale (IRLSS) was used to determine disease
severity. Polysomnography (PSG) served to exclude other sleep disorders such as
sleep-related breathing disorders and to measure sleep parameters. RESULTS: The
mean plasma apelin level was significantly lower in the patient group compared to
the control group independent of IRLSS score and PSG findings (p = 0.004). After
comparison between the RLS patient group and control group, the patient group was
divided into two subgroups based on a PLMI above or below 15 events per hour. A
reduced mean apelin level was observed in the patient group having a PLMI above
15 compared to the patient group with PLMI below 15 and the control group (p =
0.003). There was no correlation between plasma apelin levels and disease
severity and PLMI in the two patient subgroups. CONCLUSIONS: RLS patients
especially those with a PLMI above 15 have low plasma apelin levels independent
of disease severity and sleep parameters such as sleep duration and quality.
Decreased apelin levels may explain the increased risk for vascular diseases in
those patients.
PMID- 27193874
TI - Localization of the high-resolution area in the ganglion cell layer of the Baikal
seal Pusa sibirica Gm.1788.
AB - The morphological and functional density of the retinal ganglion cells of the
Baikal Lake endemic seal Pusa sibirica was studied using cresyl-violet-stained
whole-mounts. An area of the highest concentration of ganglion cells has been
identified by drawing up a density map. This was an ellipsoid spot in the upper
temporal part of the retina 6-7 mm from the visual nerve output. The maximum cell
density in this area was 3800 cells/mm(2). The retinal resolution estimated from
the maximum density of ganglion cells and the posterior nodal distance (24 mm)
was 2.4' in the water and 3' in the air, and this can be used as an estimation of
the retina resolving power.
PMID- 27193875
TI - Effect of chronic fluoxetine treatment on audiogenic epilepsy, symptoms of
anxiety and depression in rats of four lines.
AB - Anxiety (Anx) and depression (Dp) levels were evaluated in rats of 4 lines: 2 of
them (KM and "4") exhibited audiogenic seizures (AS), and 2 (Wistar and "0") had
no AS. In KM rats (with AS), Anx and Dp levels were higher than in Wistars
(without AS), while in "4" and "0" rats with the related genetic background but
contrasting in AS severity, Anx and Dp indices were not different. Fluoxetine
treatment exerted antidepressant effect in all rat lines irrespective of its
effect on AS. Thus, phenotypic expression of AS is not directly associated with
the mechanisms of Anx and Dp development.
PMID- 27193876
TI - Polymorphism and preadaptation of horses (Equus) of the mountains surrounding
Lake Baikal in Pleistocene.
AB - The first data on polymorphism and preadaptation of the Pleistocene horses in the
mountains surrounding Lake Baikal are presented. It has been shown that disregard
of intraspecific polymorphism leads to unreasonable ignoring of their diversity,
phylogenetically false constructions, and incorrect interpretation of natural
environment.
PMID- 27193877
TI - The effect of bloom of filamentous green algae on the reproduction of yellowfin
sculpin Cottocomephorus grewingkii (Dybowski, 1874) (Cottoidae) during ecological
crisis in Lake Baikal.
AB - In shallow water areas of open Lake Baikal, filamentous green alga of the genus
Spirogyra grows abundantly. Together with alga of the genus Ulothrix, it forms
algal mats. According to our observations from 2010 to 2013, the spawning habitat
conditions for the yellowfin sculpin Cottocomephorus grewingkii (Dybowski, 1874)
(Cottidae) proved to be significantly disturbed in the littoral zone of
Listvennichnyi Bay (southern Baikal), which, in turn, reduced the number of egg
layings. With a 100% projective cover of the floor and a high density of green
filamentous algae, the shallow-water stony substrate becomes completely
inaccessible for spawning of the August population.
PMID- 27193878
TI - Development of nanostructured phosphorite: Study of the safety of application.
AB - A nanostructured mineral food supplement with a particle size of 60.0-120.0 nm
was manufactured from phosphorite by ultrasonic dispersion. It was found that
intragastric administration of nanostructures phosphorite to mice is relatively
safe: clinical signs of intoxication appeared after a single administration of
the preparation only at a dose of 90 mg/kg; a dose of 150 mg/kg caused death of
8% of mice, in which injuries of organs of the gastrointestinal tract were
observed. When the preparation was administered subcutaneously, intramuscularly,
or intraperitoneally, small phosphorite conglomerates and inflammation of the
surrounding tissues and organs were observed at the injection site. Death of 25%
of animals was observed in the group of mice which received intraperitoneal
injections of nanophosphorite at a dose of 200 mg/kg.
PMID- 27193880
TI - Viviparity of larvae, a new type of development in phoronids (Lophophorata:
Phoronida).
AB - A new type of phoronid development, viviparity of larvae, has been discovered in
a new phoronid species that lives as a commensal of digging sand shrimps in
Vostok Bay, the Sea of Japan. The embryos develop in the mother's trunk coelom up
to the young larva stage. During development, embryos increase in size twice and
probably obtain nutriment from the mother's coelomic fluid. Spawning occurs by
young larvae, which are released through nephridiopores. The new type of
development is described in a phoronid that has a small body size but a high
fertility, producing large amounts of extremely small eggs. The combination of
viviparity and large number of eggs increases the number of competent larvae that
can undergo metamorphosis in the burrows of shrimps.
PMID- 27193881
TI - Architectonics of the hair of sled dogs of Chukotka.
AB - Architectonics of guard hairs from dogs of recent breeds, mongrel sled dogs, and
fossil dogs from ancient settlements of Chukotka have been investigated using
scanning electron microscopy. Distinct features of hair structure important for
adaptation, including the adaptation to harness in sled dogs, were identified.
Hairs of Chukchi sled dogs were most similar to those of the fossil dogs.
PMID- 27193879
TI - Changes in the contents of strontium, barium, and lead in scales of bream Abramis
brama from the Mozhaisk Reservoir over a quarter century.
AB - The heavy metal contents in the scales of bream (Abramis brama) from the Mozhaisk
Reservoir collected in the second half of the 1980s were compared to the current
values. The concentrations of three out of the seven elements studied in the
bream scales have changed severalfold during the past quarter century: that of
strontium has decreased, and those of barium and lead have increased. Short-term
variations of heavy metal contents have proved to be smaller than the observed
long-term differences. There is grounds to believe that these long-term
differences adequately reflect the changes that have occurred in the water body.
PMID- 27193882
TI - Viscoelastic features of adhesive setae of the tokay gecko (Gekko gecko L.).
AB - Deformations of particular setae of adhesive toe pad of the tokay gecko were
investigated by atomic-force microscopy. The effective elastic modulus of the
investigated setae varying within 0.34-19 GPa, a pronounced hysteresis was
observed during reversible bending of setae. The hysteresis-related energy losses
may be as high as 98% of the total bending work. The pronounced viscous features
of the setae contradict the hypothesis of dynamic self-cleaning of the gecko
adhesive cover, according to which the setae are considered as absolutely elastic
cantilever beams.
PMID- 27193883
TI - Progenesis in the evolution of the nudibranch mollusks genus Dendronotus
(Gastropoda: Nudibranchia).
AB - The morphology and postlarval ontogenesis of the radula in 11 species of the
genus Dendronotus Alder et Hancock, 1845, has been studied. Four types of radula
are recognized in adult mollusks. Proposed evidence suggests that small species
of Dendronotus have evolved by progenesis.
PMID- 27193884
TI - New data on the internal organs of a frozen Yukagir bison mummy (Bison priscus
Bojanus, 1827), Yakutia, Russia.
AB - The paper presents the first morphological description of the internal organs of
a frozen corpse of the steppe bison Bison priscus (Bojanus, 1827) from the
Holocene of northern Yakutia. Necropsy revealed that most of the internal organs,
including the brain, heart with the main vessels, and reproductive system were
well preserved. It demonstrated that the anatomy of this bison was close to that
of the genera Bos and Bison. Trauma or pathological changes in the organs were
not detected. The cause of death of the bison remains unknown.
PMID- 27193885
TI - Cytokine-producing function of human blood cells in chronic atrophic gastritis
and gastric adenomas and adenocarcinomas.
AB - The cytokine-producing potential of blood cells has been studied in the atrophic
gastritis-adenoma-adenocarcinoma progression of pathological states of the
stomach. It has been revealed that, at the initial stage of carcinogenesis,
namely adenoma, immunocompetent cells have the highest cytokine-producing proto
oncogenic potential as compared to both atrophic gastritis, which presents a
precancerous condition, and completely formed malignant tumor (gastric
adenocarcinoma).
PMID- 27193887
TI - Controlled Penetration of a Novel Dimeric Ceramide into and across the Stratum
Corneum Using Microemulsions and Various Types of Semisolid Formulations.
AB - Ceramides (CERs) are integral parts of the intercellular lipid lamellae of the
stratum corneum (SC), which is responsible for the barrier function of the skin.
Many skin diseases such as atopic dermatitis and psoriasis are associated with
the depletion or disturbance of the level of CERs in the SC. Administration of an
exogenous novel dimeric ceramide (dCER) deep into the SC may help to stabilize
the SC barrier substantially and to treat some skin disease conditions.
Consequently, with the help of the existing technology, it might be possible to
formulate various pharmaceutical dosage forms that can facilitate penetration of
dCER into the SC. Therefore, the penetration of dCER was studied using a high
performance liquid chromatography/atmospheric-pressure ionization/mass
spectrometry method for the detection and quantification of exogenous dCER in the
SC as well as other skin layers. Penetration studies were carried out in the
Franz diffusion cell using excised human skin ex vivo. Penetration of dCER was
studied with 3 model formulations: a colloidal formulation (microemulsion), a
cream formulation with ethoxydiglycol as penetration enhancer and a
nanoformulation. The highest concentrations of dCER in the different skin layers
were found after application of the cream with penetration enhancer.
Surprisingly, the lowest concentrations of dCER in the different skin layers were
found after application of the microemulsion.
PMID- 27193886
TI - Incidence and correlated factors of beta cell failure in a 4-year follow-up of
patients with type 2 diabetes: a longitudinal analysis of the BETADECLINE study.
AB - AIMS: Type 2 diabetes is associated with progressive deterioration of beta cell
function and loss of glycemic control, with increased morbidity and mortality
from microvascular and macrovascular complications. Factors predictive of beta
cell decline are needed. METHODS: We have conducted a prospective evaluation of
baseline predictors of beta cell dysfunction and insulin initiation in a cohort
of outpatients with type 2 diabetes receiving stable treatment with oral
hypoglycemic agents or dietary intervention, over a 4-year follow-up period.
RESULTS: Of 507 patients enrolled, 56 (10.8 %) experienced the study endpoint of
initiation of insulin therapy. Univariate and multivariate Cox proportional
hazard regression analyses revealed that the likelihood of initiating insulin
therapy during follow-up increased with longer diabetes duration and with higher
baseline values for hemoglobin A1c, fasting plasma glucose, triglycerides,
proinsulin, interleukin-6, Homeostatic Model Assessment-IR and lower values for
Homeostatic Model Assessment-B. The likelihood of initiating insulin therapy
increased by 46 % for each 1 % increase (10.9 mmol/mol) in baseline hemoglobin
A1c and by 6 % for each unit increase (1 ng/l) in baseline IL-6 level. The risk
was fourfold higher in the lowest versus highest Homeostatic Model Assessment-B
quartile. Treatment with metformin plus a secretagogue increased the risk by
fourfold. CONCLUSIONS: Our results show that commonly measured parameters may
predict treatment failure in type 2 diabetes and suggest that early treatment
with metformin plus secretagogues may foretell this failure.
PMID- 27193888
TI - When dermoscopy is supported by Tzanck smear.
PMID- 27193889
TI - The algorithm for Alzheimer risk assessment based on APOE promoter polymorphisms.
AB - BACKGROUND: Over the past two decades, the APOE gene and its polymorphisms have
been among the most studied risk factors of Alzheimer disease (AD) development;
yet, there are discrepancies between various studies regarding their impact. For
this reason, the evaluation of the APOE genotype has not been included in the
current European Federation of Neurological Societies guidelines for AD diagnosis
and management. This aim of this study was to add to this discussion by assessing
the possible influence of multiple polymorphisms in the promoter region of the
APOE gene and genotypes of its allele E on the risk for dementia. METHODS: We
performed a comprehensive analysis of APOE gene polymorphisms, assessed the
detected genotypes and correlated molecular findings with serum apolipoprotein E
concentrations. The study comprised 110 patients with AD and 110 age-matched
healthy individuals from the Polish population. RESULTS: Four polymorphisms of
the APOE gene had minor allele frequency exceeding 5% and were included in the
analysis: -491A/T (rs449647), -427T/C (rs769446), -219T/G (rs405509) in the
promoter region and +113G/C (rs440446) in intron 1. A protective effect of the
219G allele on AD development was observed. Also, the -491T and -219G alleles
were found to be underrepresented in the carriers of the APOE E4 variant. On the
basis of the genotype and linkage disequilibrium studies, a relative score was
attributed to given genotypes with respect to the estimated probability of their
protective effects against AD, giving rise to the 'preventive score'. This
'preventive score', based on the total sums of the relative scores, expresses the
protective effect deriving from the synergistic action of individual single
nucleotide polymorphisms. The 'preventive score' was identified as an independent
predictive factor. CONCLUSIONS: We propose a novel, more complex approach to AD
risk assessment based on the additive effect of multiple polymorphic loci within
the APOE promoter region, which on their own may have too weak an impact to reach
the level of significance. This has potentially practical implications, as it may
help to improve the informative potential of APOE testing in a clinical setting.
Subsequent studies of the proposed system in large, multi-ethnic cohorts are
necessary for its validation and to assess its potential practical value for
clinical applications.
PMID- 27193890
TI - Association of diverse bacterial communities in human bile samples with biliary
tract disorders: a survey using culture and polymerase chain reaction-denaturing
gradient gel electrophoresis methods.
AB - Bacterial infection is considered a predisposing factor for disorders of the
biliary tract. This study aimed to determine the diversity of bacterial
communities in bile samples and their involvement in the occurrence of biliary
tract diseases. A total of 102 bile samples were collected during endoscopic
retrograde cholangiopancreatography (ERCP). Characterization of bacteria was done
using culture and polymerase chain reaction-denaturing gradient gel
electrophoresis (PCR-DGGE) methods. Antimicrobial susceptibility of the isolates
was determined based on the Clinical and Laboratory Standards Institute (CLSI)
guidelines and identity of the nucleotide sequences of differentiated bands from
the DGGE gels was determined based on GenBank data. In total, 41.2 % (42/102) of
the patients showed bacterial infection in their bile samples. This infection was
detected in 21 % (4/19), 45.4 % (5/11), 53.5 % (15/28), and 54.5 % (24/44) of
patients with common bile duct stone, microlithiasis, malignancy, and gallbladder
stone, respectively. Escherichia coli showed a significant association with
gallstones. Polymicrobial infection was detected in 48 % of the patients. While
results of the culture method established coexistence of biofilm-forming bacteria
(Pseudomonas aeruginosa, E. coli, Klebsiella pneumoniae, Enterococcus spp., and
Acinetobacter spp.) in different combinations, the presence of Capnocytophaga
spp., Lactococcus spp., Bacillus spp., Staphylococcus haemolyticus, Enterobacter
or Citrobacter spp., Morganella spp., Salmonella spp., and Helicobacter pylori
was also characterized in these samples by the PCR-DGGE method. Multidrug
resistance phenotypes (87.5 %) and resistance to third- and fourth-generation
cephalosporins and quinolones were common in these strains, which could evolve
through their selection by bile components. Ability for biofilm formation seems
to be a need for polymicrobial infection in this organ.
PMID- 27193892
TI - A Concept Analysis of "Follower" Within the Context of Professional Nursing.
AB - PROBLEM: Theory and research on leaders is abundant; however, the follower
concept is noticeably absent from the leadership literature. METHOD: This article
uses Walker and Avant's concept analysis method to explore the concept of
follower in professional nursing. A literature search of nursing and
psychological databases provided insight into the meaning of a follower. Using
the concept exploration approach, defining attributes are proposed along with
antecedents and consequences to clarify the concept uses. Model and additional
cases provide exemplars of concept application. Finally, the empirical referents
of practical use and measurement in the professional environment are presented.
CONCLUSION: Although it is clear that leadership without followers is impossible,
the fact remains that clarification of which elements constitute followers are on
the periphery of current leadership efforts. IMPLICATION FOR NURSING: Conceptual
clarity is necessary to improve the way nurse leaders identify situations of
following and nonfollowing in professional context and guide how they will engage
followers to achieve goals.
PMID- 27193891
TI - Staphylococcus aureus colonization related to severity of hand eczema.
AB - Knowledge on Staphylococcus aureus colonization rates and epidemiology in hand
eczema is limited. The aim of this study was to clarify some of these issues.
Samples were collected by the "glove juice" method from the hands of 59 patients
with chronic hand eczema and 24 healthy individuals. Swab samples were taken from
anterior nares and throat from 43 of the 59 patients and all healthy individuals.
S. aureus were spa typed and analysed by DNA-microarray-based genotyping. The
extent of the eczema was evaluated by the hand eczema extent score (HEES). The
colonization rate was higher on the hands of hand eczema patients (69 %) compared
to healthy individuals (21 %, p < 0.001). This was also seen for bacterial
density (p = 0.002). Patients with severe hand eczema (HEES >= 13) had a
significantly higher S. aureus density on their hands compared to those with
milder eczema (HEES = 1 to 12, p = 0.004). There was no difference between
patients and healthy individuals regarding colonization rates in anterior nares
or throat. spa typing and DNA-microarray-based genotyping indicated certain types
more prone to colonize eczematous skin. Simultaneous colonization, in one
individual, with S. aureus of different types, was identified in 60-85 % of the
study subjects. The colonization rate and density indicate a need for effective
treatment of eczema and may have an impact on infection control in healthcare.
PMID- 27193893
TI - Neuromonitoring Using Motor and Somatosensory Evoked Potentials in Aortic
Surgery.
AB - BACKGROUND: Motor evoked potentials (MEP) and somatosensory evoked potentials
(SSEP) are established methods of neuromonitoring aimed at preventing paraplegia
after descending or thoracoabdominal aortic repair. However, their predictive
impact remains controversial. The aim of this study was to evaluate our single
center experience using this monitoring technique. METHODS: Between 2009 and
2014, 78 patients (mean age 66 +/- 12, 53% male) underwent either descending or
thoracoabdominal aortic repairs. Of these, 60% had an aortic aneurysm, 30%
dissection, and 10% other etiologies. Intraoperatively, MEPs and SSEPs were
monitored and, if necessary, clinical parameters (blood pressure, hematocrit,
oxygenation) were adjusted in response to neuromonitoring signals. This analysis
is focused on the neurological outcome (paraplegia, stroke) after the use of
intraoperative neuromonitoring. RESULTS: Thirty-day mortality was 10 (12.8%). All
patients with continuously stable signals or signals that returned after signal
loss developed no spinal cord injury, whereas two out of six of the evaluable
patients with signal loss (without return) during the procedure suffered from
postoperative paraplegia (one transient and one permanent). Sensitivity and
specificity of use of MEP and SSEP were 100% and 94.20% regarding paraplegia,
respectively. CONCLUSIONS: (1) Preservation of signals or return of signals is an
excellent prognostic indicator for spinal cord function. (2) Intraoperative
modifications in direct response to the signal change may have averted permanent
paralysis in the patients with signal loss without neurologic injury. We have
found MEP and SSEP neuromonitoring to be instrumental in the prevention of
paraplegia. doi: 10.1111/jocs.12739 (J Card Surg 2016;31:383-389).
PMID- 27193894
TI - A cold-adapted tyrosinase with an abnormally high monophenolase/diphenolase
activity ratio originating from the marine archaeon Candidatus Nitrosopumilus
koreensis.
AB - OBJECTIVES: To obtain an acidic and cold-active tyrosinase, which potentially
minimizes unwanted self-oxidation of tyrosinase-catalyzed catechols, including
3,4-dihydroxyphenylalanine at elevated pH and high temperature. RESULTS: A
putative psychrophilic tyrosinase (named as tyrosinase-CNK) was identified from
the genome information of the marine archaeon Candidatus Nitrosopumilus
koreensis. This protein contains key tyrosinase domains, such as copper-binding
domains and an O2-binding motif, and phylogenetic analysis revealed that it was
distinct from other known bacterial tyrosinases. Functional tyrosinase-CNK was
produced by applying a co-expression strategy together with chaperone proteins in
Escherichia coli with a yield of approx. 30 mg l(-1) and a purity >95 %. The
purified enzyme showed optimal activity at pH 6 and 20 degrees C and still had
50 % activity at 0 degrees C. Surprisingly, the enzyme exhibited an abnormally
high monophenolase/diphenolase activity ratio. CONCLUSIONS: The acidic and cold
adapted tyrosinase-CNK, as a new type of tyrosinase, could expand potential
applications of tyrosinases including the production of catechols through
minimizing unwanted self-oxidation and the modification of existing materials at
low temperature.
PMID- 27193895
TI - Contribution of direct electron transfer mechanisms to overall electron transfer
in microbial fuel cells utilising Shewanella oneidensis as biocatalyst.
AB - OBJECTIVES: To investigate the contribution of direct electron transfer
mechanisms to electricity production in microbial fuel cells by physically
retaining Shewanella oneidensis cells close to or away from the anode electrode.
RESULTS: A maximum power output of 114 +/- 6 mWm(-2) was obtained when cells were
retained close to the anode using a dialysis membrane. This was 3.5 times more
than when the cells were separated away from the anode. Without the membrane the
maximum power output was 129 +/- 6 mWm(-2). The direct mechanisms of electron
transfer contributed significantly to overall electron transfer from S.
oneidensis to electrodes, a result that was corroborated by another experiment
where S. oneidensis cells were entrapped in alginate gels. CONCLUSION: S.
oneidensis transfers electrons primarily by direct electron transfer as opposed
to mediated electron transfer.
PMID- 27193896
TI - Characterization of an ene-reductase from Meyerozyma guilliermondii for
asymmetric bioreduction of alpha,beta-unsaturated compounds.
AB - OBJECTIVES: To characterize a novel ene-reductase from Meyerozyma guilliermondii
and achieve the ene-reductase-mediated reduction of activated C=C bonds. RESULTS:
The gene encoding an ene-reductase was cloned from M. guilliermondii. Sequence
homology analysis showed that MgER shared the maximal amino acid sequence
identity of 57 % with OYE2.6 from Scheffersomyces stipitis. MgER showed the
highest specific activity at 30 degrees C and pH 7 (100 mM sodium phosphate
buffer), and excellent stereoselectivities were achieved for the reduction of (R)
carvone and ketoisophorone. Under the reaction conditions (30 degrees C and pH
7.0), 150 mM (R)-carvone could be completely converted to (2R,5R)-dihydrocarvone
within 22 h employing purified MgER as catalyst, resulting in a yield of 98.9 %
and an optical purity of >99 % d.e. CONCLUSION: MgER was characterized as a novel
ene-reductase from yeast and showed great potential for the asymmetric reduction
of activated C=C bonds of alpha,beta-unsaturated compounds.
PMID- 27193898
TI - HPV testing on self collected cervicovaginal lavage specimens as screening method
for women who do not attend cervical screening: cohort study.
PMID- 27193899
TI - Mechanisms of Neuronal Cell Death in AGE-exposed Retinas - Research and
Literature Review.
AB - INTRODUCTION: Accelerated formation of AGE due to increasing rise in blood
glucose levels leads to developments of metabolic changes, further leading to
such complications as diabetic retinopathy which is a major reason of leading to
blindness and affecting working population worldwide. BACKGROUND: The results of
recent investigations have demonstrated that the death of retinal ganglion cells
(RGCs) and their axons is the common pathological changes in AGE-exposed retina
and the possible mechanisms that are responsible for the onset and progression of
RGC death and axonal degeneration in patients with diseases associated with AGEs
accumulation are represented in this review. Identifying the mechanisms of the
onset and the progression of RGC neuropathy can help in discovering the
pathogenetic orientated treatment. OBJECTIVE: This review describes recently
discovered possible mechanisms of diabetic retinopathy obtained by laboratory
studies with the suggestion that AGEs play an important role in the pathogenesis
of diabetic retinal neuropathy triggering different mechanisms that result in
neuronal dysfunction. For searching therapeutic approach the regenerative effect
of different neurotrophic factors has been studied such as neurotrophin-4,
hepatocyte growth factor, glial cell line-derived neurotrophic factor, and
Tauroursodeoxycholic acid. CONCLUSION: The findings for the establishment of
neuroprotective and regenerative therapies for AGE-related diseases including
diabetic retinopathy are represented in this review.
PMID- 27193897
TI - Why Do Parents Want to Know their Child's Carrier Status? A Qualitative Study.
AB - When a child is identified with a genetic condition, some parents want to know
the carrier status of their other children. There has been little exploration of
why parents want this information. To address this question, semi-structured
interviews were conducted with parents of 32 children with cystic fibrosis,
haemophilia, and Duchenne muscular dystrophy who wanted to know the carrier
status of their other children. Data was analyzed using inductive content
analysis. Parents expressed a range of reasons for desiring their child's carrier
status, which fell into two broad categories: 1) benefit for the parents and 2)
perceived benefit to the child. Parents discussed the desire for certainty and
peace of mind derived from having knowledge of their child's status. The most
commonly expressed reason for wanting to know their child's carrier status was in
order to communicate the information to their child to provide them with the
ability to make informed reproductive decisions. These reasons suggest parents
are seeking their children's carrier information both as a coping strategy and to
communicate carrier information as part of their role as a parent. This has
important implications for genetic counseling practice, especially as
international guidelines generally recommend against carrier testing in children.
PMID- 27193900
TI - Spectral determination of concentrations of functionally diverse pigments in
increasingly complex arctic tundra canopies.
AB - As the Arctic warms, tundra vegetation is becoming taller and more structurally
complex, as tall deciduous shrubs become increasingly dominant. Emerging studies
reveal that shrubs exhibit photosynthetic resource partitioning, akin to forests,
that may need accounting for in the "big leaf" net ecosystem exchange models. We
conducted a lab experiment on sun and shade leaves from S. pulchra shrubs to
determine the influence of both constitutive (slowly changing bulk carotenoid and
chlorophyll pools) and facultative (rapidly changing xanthophyll cycle) pigment
pools on a suite of spectral vegetation indices, to devise a rapid means of
estimating within canopy resource partitioning. We found that: (1) the PRI of
dark-adapted shade leaves (PRIo) was double that of sun leaves, and that PRIo was
sensitive to variation among sun and shade leaves in both xanthophyll cycle pool
size (V + A + Z) (r (2) = 0.59) and Chla/b (r (2) = 0.64); (2) A corrected PRI
(difference between dark and illuminated leaves, DeltaPRI) was more sensitive to
variation among sun and shade leaves in changes to the epoxidation state of their
xanthophyll cycle pigments (dEPS) (r (2) = 0.78, RMSE = 0.007) compared to the
uncorrected PRI of illuminated leaves (PRI) (r (2) = 0.34, RMSE = 0.02); and (3)
the SR680 index was correlated with each of (V + A + Z), lutein, bulk
carotenoids, (V + A + Z)/(Chla + b), and Chla/b (r (2) range = 0.52-0.69). We
suggest that DeltaPRI be employed as a proxy for facultative pigment dynamics,
and the SR680 for the estimation of constitutive pigment pools. We contribute the
first Arctic-specific information on disentangling PRI-pigment relationships, and
offer insight into how spectral indices can assess resource partitioning within
shrub tundra canopies.
PMID- 27193902
TI - European Journal of Orthodontics: Editor's Report 2015.
PMID- 27193901
TI - Screening North American plant extracts in vitro against Trypanosoma brucei for
discovery of new antitrypanosomal drug leads.
AB - BACKGROUND: Human African Trypanosomiasis (HAT) is a protozoan parasitic disease
caused by Trypanosoma brucei. The disease is endemic in regions of sub-Saharan
Africa, covering 36 countries and more than 60 million people at the risk. Only
few drugs are available for the treatment of HAT. Current drugs suffer from
severe toxicities and require intramuscular or intravenous administrations. The
situation is further aggravated due to the emergence of drug resistance. There is
an urgent need of new drugs that are effective orally against both stages of HAT.
Natural products offer an unmatched source for bioactive molecules with new
chemotypes. METHODS: The extracts prepared from 522 plants collected from various
parts of the North America were screened in vitro against blood stage
trypamastigote forms of T. brucei. Active extracts were further screened at
concentrations ranging from 10 to 0.4 MUg/mL. Active extracts were also
investigated for toxicity in Differentiated THP1 cells at 10 MUg/mL
concentration. The results were computed for dose-response analysis and
determination of IC50/IC90 values. RESULTS: A significant number (150) of
extracts showed >90 % inhibition of growth of trypomastigote blood forms of T.
brucei in primary screening at 20 MUg/mL concentration. The active extracts were
further investigated for dose-response inhibition of T. brucei growth. The
antitrypansomal activity of 125 plant extracts was confirmed with IC50 < 10
MUg/mL. None of these active extracts showed toxicity against differentiated THP1
cells. Eight plants extracts namely, Alnus rubra, Hoita macrostachya, Sabal
minor, Syzygium aqueum, Hamamelis virginiana, Coccoloba pubescens, Rhus
integrifolia and Nuphar luteum were identified as highly potent antitrypanosomal
extracts with IC50 values <1 MUg/mL. CONCLUSIONS: Limited phytochemical and
pharmacological reports are available for the lead plant extracts with potent
antitrypanosomal activity. Follow up evaluation of these plant extracts is likely
to yield new antitrypanosomal drug-leads or alternate medicines for treatment of
HAT.
PMID- 27193903
TI - Ventricular tachycardia in repaired congenital heart disease.
AB - Ventricular arrhythmias are an important cause of late morbidity and sudden
cardiac death in the growing population of adults with repaired congenital heart
disease. Risk stratification remains challenging because of the heterogeneity of
the malformations and the surgical approaches. Therapeutic interventions depend
on the type of ventricular arrhythmia, which can be polymorphic ventricular
tachycardia (VT) or ventricular fibrillation in patients without ventricular
scars, but also potentially fatal monomorphic reentrant VTs, typical for patients
with ventricular scars or obstacles. Advances in surgical techniques have
improved survival and have important implications for the arrhythmia substrates
and prognosis. Over the past few decades, progress has been made to determine the
anatomical basis for monomorphic VT in patients with ventricular surgical scars
and patch material. These substrates can be currently identified and targeted
during sinus rhythm by radiofrequency catheter or surgical ablation without the
need for VT induction. The review provides an update on the evolving surgical
approaches, the changing VA substrates, and the potential consequences for
individualized risk assessment and tailored treatment.
PMID- 27193904
TI - Cost-effectiveness of sorafenib versus SBRT for unresectable advanced
hepatocellular carcinoma.
AB - OBJECTIVE: Stereotactic body radiotherapy (SBRT) has been shown to improve
overall survival in patients with advanced hepatocellular carcinoma. This study
aimed to assess the cost-effectiveness of SBRT compared to sorafenib which is the
only drug for advanced hepatocellular carcinoma. METHODS: A Markov decision
analytic model was performed to compare the cost-effectiveness of SBRT and
sorafenib for unresectable advanced hepatocellular carcinoma. Patients
transitioned between three health states: stable disease, progression disease and
death. We calculated the data on cost from the perspective of our National Health
Insurance Bureau. Sensitivity analyses were conducted to determine the impact of
several variables. RESULTS: The incremental cost effectiveness ratio (ICER) for
sorafenib compared to SBRT was NT$3,788,238 per quality-adjusted life year gained
(cost/QALY), which was higher than the willingness to pay threshold of Taiwan
according to WHO's guideline. One-way sensitivity analysis revealed that the
utility of progression disease for the sorafenib treatment, utility of
progression free survival for SBRT, utility of progression free survival for
sorafenib, utility of PFS to progression disease for SBRT and transition
probability of progression disease to dead for SBRT were the most sensitive
parameters in all cost scenarios. The Monte-Carlo simulation demonstrated that
the probability of cost-effectiveness at a willingness to pay threshold of NT$
2,213,145 per QALY was 100 % and 0 % chance for SBRT and sorafenib. CONCLUSION:
This study indicated that SBRT for advanced hepatocellular carcinoma is cost
effective at a willingness to pay threshold as defined by WHO guideline in
Taiwan.
PMID- 27193905
TI - Stent Polymers: Do They Make a Difference?
AB - The necessity of polymers on drug-eluting stent (DES) platforms is dictated by
the need of an adequate amount and optimal release kinetic of the
antiproliferative drugs for achieving ideal DES performance. However, the chronic
vessel wall inflammation related to permanent polymer persistence after the drug
has been eluted might trigger late restenosis and stent thrombosis. Biodegradable
polymers have the potential to avoid these adverse events. A variety of
biodegradable polymer DES platforms have been clinically tested, showing equal
outcomes with the standard-bearer permanent polymer DES within the first year of
implantation. At longer-term follow-up, promising lower rates of stent thrombosis
have been observed with the early generation biodegradable polymer DES platforms
compared to first-generation DES. Whether this safety benefit still persists with
newer biodegradable polymer DES generations against second-generation permanent
polymer DES needs to be explored.
PMID- 27193907
TI - On- versus off-hour care for patients with non-ST-segment elevation myocardial
infarction in Germany : Exemplary results within the chest pain unit concept.
AB - AIM: The aim of this study was to analyze differences in the timing of invasive
management of patients with high-risk acute coronary syndrome without persistent
ST-segment elevation (hr-NSTE-ACS) or myocardial infarction without persistent ST
segment elevation (NSTEMI) between on- and off-hours in a German chest pain unit
(CPU). PATIENTS AND METHODS: We retrospectively enrolled 160 NSTEMI patients in
the study, who were admitted to two German CPUs in 2013. Patients presenting on
weekdays between 8 a.m. and 6 p.m. were compared with patients presenting during
off-hours. Data analysis included time intervals from admission to invasive
management (goals: for hr-NSTE-ACS, <2 h; for NSTEMI, <24 h) and the resulting
guideline adherence. RESULTS: Guideline-adherent timing of an invasive strategy
did not differ significantly between the on-hour (6.5 h [3.0-22.0 h], 79.9 %) and
off-hour groups (10.5 h [2.0-20.0 h], 75.3 %; p = 0.94), without additional
significant differences between admissions during off-hours Monday to Thursday
and weekends (10.0 h [2.0-19.0 h], 75.6 % vs. 7.5 h [2.0-20.0 h], 76.2 %; p =
0.96). CONCLUSION: Our exemplary experience in two different German CPUs
demonstrates adequate timing of coronary catheterization in over 75 % of cases,
irrespective of admission during on- or off-hours. Nationwide validation of our
findings by the German CPU registry is mandatory.
PMID- 27193908
TI - [Introduction of PCSK9 inhibitors : New perspectives in treatment and practical
implementation].
AB - Proprotein convertase subtilisin/kexin type 9 (PCSK9) is of critical importance
in the regulation of the low-density lipoprotein (LDL) receptor-mediated
metabolism of cholesterol. The discovery of mutations in the gene encoding PCSK9
in families with an autosomal dominant form of familial hypercholesterolemia
(FH), which were later shown to be "gain-of-function" mutations, led to the
development of antibodies against PCSK9. The efficacy in markedly reducing levels
of LDL-cholesterol and preliminary evidence for benefits in the prevention of
cardiovascular diseases indicated that special groups of patients can be more
effectively treated. This includes forms of hypercholesterolemia refractory to
conventional treatment as well as patients with FH and/or statin intolerance.
Further information on long-term efficacy, tolerability and cost-effectiveness of
PCSK9 inhibition and possibilities of implementation in the healthcare system are
awaited from ongoing clinical outcome trials, such as FOURIER, ODYSSEY OUTCOMES,
SPIRE 1 and 2 involving more than 70,000 high-risk patients.
PMID- 27193906
TI - Relationship between weight-related behavioral profiles and health outcomes by
sexual orientation and gender.
AB - OBJECTIVE: Examine relationships between weight-related factors and weight
status, body dissatisfaction, chronic health conditions, and quality of life
across sexual orientation and gender. METHODS: Two- and four-year college
students participated in the College Student Health Survey (n = 28,703; 2009
2013). Risk differences were calculated to estimate relationships between
behavioral profiles and weight status, body satisfaction, diagnosis of a chronic
condition, and quality of life, stratified by gender and sexual orientation. Four
behavioral profiles, characterized as "healthier eating habits, more physically
active," "healthier eating habits," "moderate eating habits," and "unhealthy
weight control," were utilized based on latent class analyses, estimated from
nine weight-related behavioral survey items. RESULTS: Sexual orientation
differences in weight and quality of life were identified. For example, sexual
minority groups reported significantly poorer quality of life than their
heterosexual counterparts (females: 22.5%-38.6% (sexual minority) vs. 19.8%
(heterosexual); males: 14.3%-26.7% (sexual minority) vs. 11.8% (heterosexual)).
Compared with the "healthier eating habits, more physically active" profile, the
"unhealthy weight control" profile was associated with obesity, poor body
satisfaction, and poor quality of life in multiple gender/sexual orientation
subgroups. CONCLUSIONS: Interventions are needed to address obesity, body
dissatisfaction, and poor quality of life among sexual minority college students.
PMID- 27193910
TI - Menopausal status and type 2 diabetes: a nationwide epidemiological survey in
Vietnam.
PMID- 27193909
TI - Gender Interacts with Opioid Receptor Polymorphism A118G and Serotonin Receptor
Polymorphism -1438 A/G on Speed-Dating Success.
AB - We examined an understudied but potentially important source of romantic
attraction-genetics-using a speed-dating paradigm. The mu opioid receptor (OPRM1)
polymorphism A118G (rs1799971) and the serotonin receptor (HTR2A) polymorphism
1438 A/G (rs6311) were studied because they have been implicated in social
affiliation. Guided by the social role theory of mate selection and prior genetic
evidence, we examined these polymorphisms' gender-specific associations with
speed-dating success (i.e., date offers, mate desirability). A total of 262
single Asian Americans went on speed-dates with members of the opposite gender
and completed interaction questionnaires about their partners. Consistent with
our prediction, significant gender-by-genotype interactions were found for speed
dating success. Specifically, the minor variant of A118G (G-allele), which has
been linked to submissiveness/social sensitivity, predicted greater speed-dating
success for women, whereas the minor variant of -1438 A/G (G-allele), which has
been linked to leadership/social dominance, predicted greater speed-dating
success for men. For both polymorphisms, reverse "dampening" effects of minor
variants were found for opposite-gender counterparts. These results support
previous research on the importance of the opioid and serotonergic systems in
social affiliation, indicating that their influence extends to dating success,
with opposite, yet gender-norm consistent, effects for men and women.
PMID- 27193911
TI - Uniform electric field generation in circular multi-well culture plates using
polymeric inserts.
AB - Applying uniform electric field (EF) in vitro in the physiological range has been
achieved in rectangular shaped microchannels. However, in a circular-shaped
device, it is difficult to create uniform EF from two electric potentials due to
different electrical resistances originated from the length difference between
the diameter of the circle and the length of any parallel chord of the bottom
circular chamber where cells are cultured. To address this challenge, we develop
a three-dimensional (3D) computer-aided designed (CAD) polymeric insert to create
uniform EF in circular shaped multi-well culture plates. A uniform EF with a
coefficient of variation (CV) of 1.2% in the 6-well plate can be generated with
an effective stimulation area percentage of 69.5%. In particular, NIH/3T3 mouse
embryonic fibroblast cells are used to validate the performance of the 3D
designed Poly(methyl methacrylate) (PMMA) inserts in a circular-shaped 6-well
plate. The CAD based inserts can be easily scaled up (i.e., 100 mm dishes) to
further increase effective stimulation area percentages, and also be implemented
in commercially available cultureware for a wide variety of EF-related research
such as EF-cell interaction and tissue regeneration studies.
PMID- 27193912
TI - The intriguing relationship between epilepsy and type 1 diabetes mellitus.
PMID- 27193913
TI - Erratum to: A proposal for the use of uniform diagnostic criteria for gestational
diabetes in Europe: an opinion paper by the European Board & College of
Obstetrics and Gynaecology (EBCOG).
PMID- 27193914
TI - The intriguing relationship between epilepsy and type 1 diabetes mellitus. Reply
to Sander JW, Novy J, Keezer MR [letter].
PMID- 27193915
TI - Parenthood and the risk of diabetes in men and women: a 7 year prospective study
of 0.5 million individuals.
AB - AIMS/HYPOTHESIS: In women, higher parity has been associated with increased risk
of diabetes later in life. It is unclear, however, whether this association is
mainly due to biological effects of childbearing, or to socioeconomic and
lifestyle factors associated with childrearing. We assessed the association
between number of children and diabetes risk separately in women and men.
METHODS: Between 2004 and 2008, the nationwide China Kadoorie Biobank recruited
0.5 million individuals aged 30-79 (mean 51 years) from ten diverse regions
across China. During 7 years of follow-up, 8,840 incident cases of diabetes were
recorded among 463,347 participants without prior cardiovascular diseases or
diabetes. Multivariable Cox regression yielded sex-specific HRs and 95% CIs for
incident diabetes by number of children. RESULTS: Overall, ~98% of all
participants had children. In women, there was a J-shaped association between
number of children and risk of diabetes. Compared with women with one child, the
adjusted HRs for diabetes were 1.39 (95% CI 1.11, 1.73) for childless women, 1.12
(95% CI 1.07, 1.18) for those with two children, 1.23 (95% CI 1.16, 1.31) for
those with three children, and 1.32 (95% CI 1.21, 1.44) for those with four or
more children. In men, there was a similar association with risk of diabetes; the
corresponding HRs were 1.28 (95% CI 1.02, 1.60), 1.19 (95% CI 1.12, 1.26), 1.32
(95% CI 1.21, 1.44) and 1.41 (95% CI 1.24, 1.60), respectively. In both sexes,
the findings were broadly similar in different population subgroups.
CONCLUSIONS/INTERPRETATION: The similarity between women and men in the
association between number of children and risk of diabetes suggests that
parenthood is most likely to affect diabetes risk through factors associated with
childrearing rather than via biological effects of childbearing.
PMID- 27193916
TI - In vivo PET imaging with [(18)F]FDG to explain improved glucose uptake in an
apolipoprotein A-I treated mouse model of diabetes.
AB - AIMS/HYPOTHESIS: Type 2 diabetes is characterised by decreased HDL levels, as
well as the level of apolipoprotein A-I (apoA-I), the main apolipoprotein of
HDLs. Pharmacological elevation of HDL and apoA-I levels is associated with
improved glycaemic control in patients with type 2 diabetes. This is partly due
to improved glucose uptake in skeletal muscle. METHODS: This study used kinetic
modelling to investigate the impact of increasing plasma apoA-I levels on the
metabolism of glucose in the db/db mouse model. RESULTS: Treatment of db/db mice
with apoA-I for 2 h significantly improved both glucose tolerance (AUC 2574 +/-
70 mmol/l * min vs 2927 +/- 137 mmol/l * min, for apoA-I and PBS, respectively; p
< 0.05) and insulin sensitivity (AUC 388.8 +/- 23.8 mmol/l * min vs 194.1 +/-
19.6 mmol/l * min, for apoA-I and PBS, respectively; p < 0.001). ApoA-I treatment
also increased glucose uptake by skeletal muscle in both an insulin-dependent and
insulin-independent manner as evidenced by increased uptake of fludeoxyglucose
([(18)F]FDG) from plasma into gastrocnemius muscle in apoA-I treated mice, both
in the absence and presence of insulin. Kinetic modelling revealed an enhanced
rate of insulin-mediated glucose phosphorylation (k 3) in apoA-I treated mice
(3.5 +/- 1.1 * 10(-2) min(-1) vs 2.3 +/- 0.7 * 10(-2) min(-1), for apoA-I and
PBS, respectively; p < 0.05) and an increased influx constant (3.7 +/- 0.6 * 10(
3) ml min(-1) g(-1) vs 2.0 +/- 0.3 * 10(-3) ml min(-1) g(-1), for apoA-I and PBS,
respectively; p < 0.05). Treatment of L6 rat skeletal muscle cells with apoA-I
for 2 h indicated that increased hexokinase activity mediated the increased rate
of glucose phosphorylation. CONCLUSIONS/INTERPRETATION: These findings indicate
that apoA-I improves glucose disposal in db/db mice by improving insulin
sensitivity and enhancing glucose phosphorylation.
PMID- 27193918
TI - Quantifying the impact of different approaches for handling continuous predictors
on the performance of a prognostic model.
AB - Continuous predictors are routinely encountered when developing a prognostic
model. Investigators, who are often non-statisticians, must decide how to handle
continuous predictors in their models. Categorising continuous measurements into
two or more categories has been widely discredited, yet is still frequently done
because of its simplicity, investigator ignorance of the potential impact and of
suitable alternatives, or to facilitate model uptake. We examine three broad
approaches for handling continuous predictors on the performance of a prognostic
model, including various methods of categorising predictors, modelling a linear
relationship between the predictor and outcome and modelling a nonlinear
relationship using fractional polynomials or restricted cubic splines. We compare
the performance (measured by the c-index, calibration and net benefit) of
prognostic models built using each approach, evaluating them using separate data
from that used to build them. We show that categorising continuous predictors
produces models with poor predictive performance and poor clinical usefulness.
Categorising continuous predictors is unnecessary, biologically implausible and
inefficient and should not be used in prognostic model development. (c) 2016 The
Authors. Statistics in Medicine published by John Wiley & Sons Ltd.
PMID- 27193920
TI - Do Physicians Change Prescription Practice in Response to Financial Incentives?
AB - We assessed the impact on physician prescription behaviors of an outpatient
prescription incentive program providing financial rewards to primary care
physicians for saving prescription costs in South Korea. A 10% sample of clinics
(N = 1,625) was randomly selected from all clinics in the National Health
Insurance claims database for the years 2009-2012, and all claims with the
primary diagnosis of peptic ulcer or gastro-esophageal reflux diseases were
extracted from those clinics' data. A clinic-level random-effects model was used.
After the program, clinics in general medicine showed a lower prescription rate
(by 0.8 percentage points), lower number of medicines prescribed (by 0.02), lower
prescription duration (by 0.15 days), and lower drug expenditure per claim (by
740 won). Small clinics on the <25th percentile of a regional sum of monthly drug
expenditure had shorter prescription duration (by 0.76 days), while large clinics
on the >=75th percentile and clinics in group practice had a higher prescription
rate (by 1.5 and 2.5 percentage points, respectively) and a higher number of
medicines prescribed (by 0.03 for group practice only) after the program. The
outpatient prescription incentive program worked as intended only in certain
subgroup clinics for the target medicines.
PMID- 27193917
TI - Improving the Recognition of, and Response to In-Hospital Sepsis.
AB - Sepsis is an important cause of patient morbidity and mortality worldwide.
Although the associated mortality seems to be decreasing, approximately 20 % of
patients with organ dysfunction die in hospital. Since 1991 diagnostic criteria
for sepsis focused on the systemic inflammatory response syndrome (SIRS).
However, the utility of such criteria has been questioned, and alternative
criteria have recently been proposed. It is likely that administration of early
appropriate antibiotics and resolution of shock reduce sepsis-associated
mortality. Accordingly, strategies need to be developed to improve the early
recognition of, and response to patients with sepsis. Such system approaches may
include improved acquisition and documentation of vital signs, enhanced
recognition of shock, and integration of laboratory and microbiological results
using clinical informatics. Hospitals should have guidelines for escalating care
of septic patients, antibiotics stewardship programs, and systems to audit
morbidity and mortality associated with sepsis.
PMID- 27193921
TI - Quantitative real-time detection of carcinoembryonic antigen (CEA) from
pancreatic cyst fluid using 3-D surface molecular imprinting.
AB - In this study, a sensitive, yet robust, biosensing system with real-time
electrochemical readout was developed. The biosensor system was applied to the
detection of carcinoembryonic antigen (CEA), which is a common marker for many
cancers such as pancreatic, breast, and colon cancer. Real time detection of CEA
during a medical procedure can be used to make critical decisions regarding
further surgical intervention. CEA was templated on gold surface (RMS roughness
~3-4 nm) coated with a hydrophilic self-assembled monolayer (SAM) on the working
electrode of an open circuit potentiometric network. The subsequent removal of
template CEA makes the biosensor capable of CEA detection based on its specific
structure and conformation. The molecular imprinting (MI) biosensor was further
calibrated using the potentiometric responses in solutions with known CEA
concentrations and a detection limit of 0.5 ng ml(-1) was achieved.
Potentiometric sensing was then applied to pancreatic cyst fluid samples obtained
from 18 patients when the cyst fluid was also evaluated using ELISA in a
certified pathology laboratory. Excellent agreement was obtained between the
quantitation of CEA obtained by both the ELISA and MI biosensor detection for
CEA. A 3-D MI model, using the natural rms roughness of PVD gold layers, is
presented to explain the high degree of sensitivity and linearity observed in
those experiments.
PMID- 27193922
TI - [GROUP 2 INNATE LYMPHOID CELLS AND ALLERGIC DISEASES].
PMID- 27193923
TI - [ALLERGENS].
PMID- 27193919
TI - Fast response to human voices in autism.
AB - Individuals with autism spectrum disorders (ASD) are reported to allocate less
spontaneous attention to voices. Here, we investigated how vocal sounds are
processed in ASD adults, when those sounds are attended. Participants were asked
to react as fast as possible to target stimuli (either voices or strings) while
ignoring distracting stimuli. Response times (RTs) were measured. Results showed
that, similar to neurotypical (NT) adults, ASD adults were faster to recognize
voices compared to strings. Surprisingly, ASD adults had even shorter RTs for
voices than the NT adults, suggesting a faster voice recognition process. To
investigate the acoustic underpinnings of this effect, we created auditory
chimeras that retained only the temporal or the spectral features of voices. For
the NT group, no RT advantage was found for the chimeras compared to strings:
both sets of features had to be present to observe an RT advantage. However, for
the ASD group, shorter RTs were observed for both chimeras. These observations
indicate that the previously observed attentional deficit to voices in ASD
individuals could be due to a failure to combine acoustic features, even though
such features may be well represented at a sensory level.
PMID- 27193925
TI - [A FEATURE OF JPGL2012 WHEN COMPARED WITH GINA2014].
PMID- 27193924
TI - [MODIFIER FACTORS OF ALLERGIC RHINITIS AND EOSINOPHILIC RHINOSINUSITS: CLINICAL
APPLICATION].
PMID- 27193926
TI - [SWEAT ALLERGY IN ATOPIC DERMATITIS].
PMID- 27193927
TI - [A NOVEL TYPE OF INNATE LYMPHOID CELLS 'FAS-EXPRESSING NATURAL HELPER CELLS'].
PMID- 27193928
TI - [METHODS OF INGESTION IN ORAL FOOD CHALLENGE TESTS USING WHOLE-EGG POWDER].
AB - BACKGROUND AND OBJECTIVE: Preparation of the food for an oral food challenge
(OFC) test is complicated. We prospectively examined the results of an inpatient
oral food challenge test involving the use of juice containing whole-egg powder
(egg juice) prepared by NH Foods Ltd. and conducted in the Department of
Pediatrics of Sagamihara National Hospital. METHODS AND SUBJECTS: We
prospectively evaluated the results of an egg-juice (equivalent to half of an
egg) OFC test conducted in Sagamihara National Hospital between September 2014
and April 2015 in 116 cases for diagnosis or tolerance acquisition. RESULTS: The
OFC test positivity rate was 33%. Severity according to the anaphylaxis
guidelines was grade 1 (mild; 42%), grade 2 (moderate; 53%), and grade 3 (severe;
5%) in the patients with positive test results. Among the 78 subjects with
negative results for intakes at home, 92% had no symptoms, with the remaining 8%
having mild symptoms but requiring no treatment. We could confirm later that all
the patients could eat foods containing half of a chicken egg. CONCLUSION: For
the subjects with negative egg-juice OFC test results, eating egg products
equivalent to half of an egg at home seemed to be relatively safe.
PMID- 27193929
TI - [A CASE OF ANAPHYLAXIS IN THE PEDIATRIC PATIENT WITH MILK ALLERGY DUE TO TRACES
OF MILK PROTEIN IN THE LACTOSE USED AS AN EXCIPIENT OF INAVIR INHALATION].
AB - The patient was a 6-year-old female with milk allergy and persistent asthma. She
experienced anaphylactic reactions just after the inhalation of Inavir
(Laninamivir Octanoate Hydrate) to treat flu infection. A skin-prick test showed
positive reactions for Inavir inhaler powder and lactose used as an excipient but
negative for Laninamivir. Same results were obtained in a drug-stimulated
basophil activation test. The lactose excipient in Inavir inhaler powder was
supposed to contain milk proteins, which caused anaphylactic reactions. To test
this possibility, we examined the contamination of allergic milk proteins in the
lactose excipient and found the smear band by silver staining, which was
identified as beta-lactoglobulin (beta-LG) by Western blotting using specific
monoclonal antibody and patient's sera. The beta-LG in Inavir was supposed to be
glycosylated with lactose because the molecular weight was slightly higher than
beta-LG standard reference as seen in mobility. In fact, the incubation with
lactose in vitro tended to increase molecular weight. Following these results, we
herein report that the trace amounts of beta-LG contaminated in the lactose
excipient of Inavir could cause immediate allergic reactions. The risk that the
lactose-containing dry powder inhalers cause allergic reactions for patients with
cow's milk allergy need to be reminded. In particular, the use for flu patients
should be paid careful attention because of increased airway hypersensitivity in
those patients.
PMID- 27193930
TI - [Not Available].
PMID- 27193931
TI - [Not Available].
PMID- 27193932
TI - [Asthma-COPD Overlap Syndrome].
PMID- 27193933
TI - Co-morbidity of depression, anxiety and fatigue in cancer patients receiving
psychological care.
AB - OBJECTIVES: This study aimed to examine (1) subgroups of cancer patients with
distinct co-morbidity patterns of depression, anxiety and fatigue; (2) how
individuals transitioned between these patterns; and (3) whether socio
demographic, clinical and psychological care characteristics distinguished
patients' transitions. METHOD: This naturalistic, longitudinal study focused on
241 cancer patients receiving psycho-oncological care in the Netherlands. Data
were collected before initiation of psychological care (T1), 3 months (T2), and 9
months thereafter (T3). Latent transition analysis was performed examining
research questions. RESULTS: Three distinct co-morbidity patterns were
identified: class 1 ('mood disturbances and fatigue'), class 2 ('mood
disturbances') and class 3 ('few symptoms of mood disturbances and fatigue').
Half of those in class 1 remained in this group from T1 to T3, a quarter
transitioned to class 2 and another quarter to class 3. Baseline physical
symptoms distinguished these transitions: those with more physical symptoms
tended to remain stable. Half of patients in class 2 remained stable from T1 to
T3, 46% moved into class 3 and 8% into class 1. Baseline physical symptoms and
years after cancer diagnosis significantly distinguished these transitions: the
8% moving to class 1 had more physical symptoms and were longer after cancer
diagnosis. Most patients in class 3 remained stable from T1 to T3, and predictors
of transitions could not be examined. CONCLUSIONS: Three distinct co-morbidity
patterns of depression, anxiety and fatigue were identified and exhibited
different symptom courses longitudinally. Those with poor physical health tended
to report elevated mood disturbances and fatigue during psychological care.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27193935
TI - Synthesis and piezochromic luminescence study of a coumarin hydrozone compound.
AB - A novel coumarin hydrozone compound which exhibits piezochromic luminescence upon
grinding was prepared. The piezofluorochromic properties were reversible upon
fuming or heating. The intermolecular hydrogen bonds have been observed by single
crystal X-ray structural analysis, which are believed to make a major
contribution to the piezofluorochromic properties.
PMID- 27193934
TI - Presurgical motor, somatosensory and language fMRI: Technical feasibility and
limitations in 491 patients over 13 years.
AB - OBJECTIVES: To analyse the long-term feasibility and limitations of presurgical
fMRI in a cohort of tumour and epilepsy patients with different MR-scanners at
1.5 and 3.0 T. METHODS: Four hundred and ninety-one consecutive patients
undergoing presurgical fMRI between 2000 and 2012 on five different MR-scanners
using established paradigms and semi-automated data processing were included.
Success rates of task performance and BOLD-activation were determined for motor
and somatosensory somatotopic mapping and language localisation. Procedural
success, failures and imaging artifacts were analysed. MR-field strengths were
compared. RESULTS: Two thousand three hundred fifteen of 2348 (98.6 %) attempted
paradigms (1033 motor, 1220 speech, 95 somatosensory) were successfully
performed. 100 paradigms (4.3 %) were repetition runs. 23 speech, 6 motor and 2
sensory paradigms failed for non-compliance and technical issues. Most language
paradigm failures were noted in overt sentence generation. Average significant
BOLD-activation was higher for motor than language paradigms (95.8 vs. 81.6 %).
Most language paradigms showed significantly higher activation rates at 3 T
compared to 1.5 T, whereas no significant difference was found for motor
paradigms. CONCLUSIONS: fMRI proved very robust for the presurgical localisation
of the different motor and somatosensory body representations, as well as Broca's
and Wernicke's language areas across different MR-scanners at 1.5 and 3.0 T over
13 years. KEY POINTS: * Standardised presurgical motor and language fMRI is
robust across various MRI platforms. * Motor fMRI is less dependent on field
strength than language fMRI. * fMRI task failures are relatively low and are
reduced by paradigm repetition.
PMID- 27193937
TI - Preface.
PMID- 27193936
TI - Geometric spin echo under zero field.
AB - Spin echo is a fundamental tool for quantum registers and biomedical imaging. It
is believed that a strong magnetic field is needed for the spin echo to provide
long memory and high resolution, since a degenerate spin cannot be controlled or
addressed under a zero magnetic field. While a degenerate spin is never subject
to dynamic control, it is still subject to geometric control. Here we show the
spin echo of a degenerate spin subsystem, which is geometrically controlled via a
mediating state split by the crystal field, in a nitrogen vacancy centre in
diamond. The demonstration reveals that the degenerate spin is protected by
inherent symmetry breaking called zero-field splitting. The geometric spin echo
under zero field provides an ideal way to maintain the coherence without any
dynamics, thus opening the way to pseudo-static quantum random access memory and
non-invasive biosensors.
PMID- 27193938
TI - Bone-associated gene evolution and the origin of flight in birds.
AB - BACKGROUND: Bones have been subjected to considerable selective pressure
throughout vertebrate evolution, such as occurred during the adaptations
associated with the development of powered flight. Powered flight evolved
independently in two extant clades of vertebrates, birds and bats. While this
trait provided advantages such as in aerial foraging habits, escape from
predators or long-distance travels, it also imposed great challenges, namely in
the bone structure. RESULTS: We performed comparative genomic analyses of 89 bone
associated genes from 47 avian genomes (including 45 new), 39 mammalian, and 20
reptilian genomes, and demonstrate that birds, after correcting for multiple
testing, have an almost two-fold increase in the number of bone-associated genes
with evidence of positive selection (~52.8 %) compared with mammals (~30.3 %).
Most of the positive-selected genes in birds are linked with bone regulation and
remodeling and thirteen have been linked with functional pathways relevant to
powered flight, including bone metabolism, bone fusion, muscle development and
hyperglycemia levels. Genes encoding proteins involved in bone resorption, such
as TPP1, had a high number of sites under Darwinian selection in birds.
CONCLUSIONS: Patterns of positive selection observed in bird ossification genes
suggest that there was a period of intense selective pressure to improve flight
efficiency that was closely linked with constraints on body size.
PMID- 27193939
TI - Influence of ultrasonic tip distance and orientation on biofilm removal.
AB - OBJECTIVE: The aim of this study is to assess the effects of ultrasonic tip
distance and orientation on the removal of a multispecies biofilm under
standardized conditions in vitro. METHODS: Six-species biofilms were grown on
hydroxyapatite discs for 64 h and treated with a magnetostrictive ultrasonic tip
(Cavitron) placed either on contact or at 0.25- and 0.5-mm distance. The
treatment was performed for 15 s with either the tip at right angle or sideways.
Biofilm removal was evaluated by assessing the viable bacteria in each
supernatant and compared to respective controls. In the latter, biofilms were
mechanically removed and evaluated in supernatants to assess adhering and
floating bacteria. Colony-forming units (CFU) were determined by cultivation on
solid media. Any remaining biofilm on the treated discs was also visualized after
staining with green-fluorescent SYTO(r) 9 stain using a confocal laser scanning
microscope (CLSM). Mann-Whitney U tests and Bonferroni correction were used to
analyze the results between the groups. RESULTS: Sideways application of the
ultrasonic tip at distances of 0.25 and 0.5 mm removed as many bacteria as
present on the control discs compared to the tip on contact (p < 0.05). All other
application modes, especially the ultrasonic tip applied perpendicularly on
contact, showed no statistical significance in removing biofilm. CONCLUSION:
Overall, data indicated that bacterial detachment depended on tip orientation and
distance, especially when the tip was applied sideways similar to the clinical
setting. CLINICAL RELEVANCE: Biofilm removal by means of ultrasonic debridement
remains a crucial aspect in the treatment of periodontal disease. To ensure
sufficient biofilm removal, the tip does not necessarily require contact to the
surface, but an application parallel to the surface on the side is recommended.
PMID- 27193943
TI - Feasibility of Exercise Training in Cancer Patients Scheduled for Elective
Gastrointestinal Surgery.
AB - BACKGROUND/AIMS: This study examines the feasibility of a preoperative exercise
program to improve the physical fitness of a patient before gastrointestinal
surgery. METHODS: An outpatient exercise program was developed to increase
preoperative aerobic capacity, peripheral muscle endurance and respiratory muscle
function in patients with pancreatic, liver, intestinal, gastric or esophageal
cancer. During a consult at the outpatient clinic, patients were invited to
participate in the exercise program when their surgery was not scheduled within 2
weeks. RESULTS: The 115 participants followed on average 5.7 (3.5) training
sessions. Adherence to the exercise program was high: 82% of the planned training
sessions were attended, and no adverse events occurred. Mixed model analyses
showed a significant increase of maximal inspiratory muscle strength (84.1-104.7
cm H2O; p = 0.00) and inspiratory muscle endurance (35.0-39.5 cm H2O; p = 0.00).
No significant changes were found in aerobic capacity and peripheral muscle
strength. CONCLUSION: This exercise program in patients awaiting oncological
surgery is feasible in terms of participation and adherence. Inspiratory muscle
function improved significantly as a result of inspiratory muscle training. The
exercise program however failed to result in improved aerobic capacity and
peripheral muscle strength, probably due to the limited number of training
sessions as a result of the restricted time interval between screening and
surgery.
PMID- 27193941
TI - Percutaneous Radiofrequency Ablation of Unresectable Locally Advanced Pancreatic
Cancer: Preliminary Results.
AB - AIM: The objective of this study was to evaluate the efficacy of percutaneous
radiofrequency ablation of locally advanced pancreatic cancer located in the
pancreatic body. MATERIALS AND METHODS: Patients with biopsy-proven locally
advanced pancreatic adenocarcinoma were considered for percutaneous
radiofrequency ablation. Postprocedural computed tomography studies and Ca19.9
tumor marker evaluation were performed at 24 hours and 1 month. At computed
tomography, treatment effect was evaluated by excluding the presence of
complications. The technical success of the procedure is defined at computed
tomography as the achievement of tumoral ablated area. RESULTS: Twenty-three
patients have been included in the study. Five of the 23 patients were excluded.
At computed tomography, the mean size of the intralesional postablation necrotic
area was 32 mm (range: 15-65 mm). Technical success of the procedure has been
obtained in 16 (93%) of the 18 cases. None of the patients developed
postprocedural complications. Mean Ca19.9 serum levels 1 day before, 1 day after,
and 1 month after the procedure were 285.8 U/mL (range: 16.6-942.0 U/mL), 635.2
U/mL (range: 17.9-3368.0 U/mL), and 336.0 U/mL (range: 7.0-1400.0 U/mL),
respectively. Follow-up duration was less than 6 months for 11 patients and more
than 6 months for 7 patients. At the time of the draft of this article, the mean
survival of the patients included in the study was 185 days (range: 62-398 days).
CONCLUSION: Percutaneous radiofrequency ablation of locally advanced
adenocarcinoma has a high technical success rate and is effective in
cytoreduction both at imaging and laboratory controls.
PMID- 27193942
TI - Dynamic compression of dense oxide (Gd3Ga5O12) from 0.4 to 2.6 TPa: Universal
Hugoniot of fluid metals.
AB - Materials at high pressures and temperatures are of great current interest for
warm dense matter physics, planetary sciences, and inertial fusion energy
research. Shock-compression equation-of-state data and optical reflectivities of
the fluid dense oxide, Gd3Ga5O12 (GGG), were measured at extremely high pressures
up to 2.6 TPa (26 Mbar) generated by high-power laser irradiation and
magnetically-driven hypervelocity impacts. Above 0.75 TPa, the GGG Hugoniot data
approach/reach a universal linear line of fluid metals, and the optical
reflectivity most likely reaches a constant value indicating that GGG undergoes a
crossover from fluid semiconductor to poor metal with minimum metallic
conductivity (MMC). These results suggest that most fluid compounds, e.g., strong
planetary oxides, reach a common state on the universal Hugoniot of fluid metals
(UHFM) with MMC at sufficiently extreme pressures and temperatures. The
systematic behaviors of warm dense fluid would be useful benchmarks for
developing theoretical equation-of-state and transport models in the warm dense
matter regime in determining computational predictions.
PMID- 27193944
TI - N-acetylcysteine regimens for paracetamol overdose: Time for a change?
AB - Paracetamol overdose is one of the commonest pharmaceutical poisonings in the
world. For nearly four decades, intravenous acetylcysteine regimens have been
used to treat most patients successfully and prevent or mitigate hepatotoxicity.
However, the rate of occurrence of adverse reactions to acetylcysteine is quite
high, and there is a potential for these to be reduced. Recent studies show that
distributing the loading-dose of acetylcysteine over the first few hours of
treatment may decrease the incidence of adverse reactions. In addition, varying
the duration of acetylcysteine administration may potentially benefit certain
cohorts of poisoned patients, depending on their risk of developing
hepatotoxicity.
PMID- 27193946
TI - Power considerations for lambda inflation factor in meta-analyses of genome-wide
association studies.
AB - The genomic control (GC) approach is extensively used to effectively control
false positive signals due to population stratification in genome-wide
association studies (GWAS). However, GC affects the statistical power of GWAS.
The loss of power depends on the magnitude of the inflation factor (lambda) that
is used for GC. We simulated meta-analyses of different GWAS. Minor allele
frequency (MAF) ranged from 0.001 to 0.5 and lambda was sampled from two
scenarios: (i) random scenario (empirically-derived distribution of real lambda
values) and (ii) selected scenario from simulation parameter modification.
Adjustment for lambda was considered under single correction (within study
corrected standard errors) and double correction (additional lambda corrected
summary estimate). MAF was a pivotal determinant of observed power. In random
lambda scenario, double correction induced a symmetric power reduction in
comparison to single correction. For MAF 1.2 and MAF >5%. Our results provide a
quick but detailed index for power considerations of future meta-analyses of GWAS
that enables a more flexible design from early steps based on the number of
studies accumulated in different groups and the lambda values observed in the
single studies.
PMID- 27193945
TI - Azacitidine: A Review in Myelodysplastic Syndromes and Acute Myeloid Leukaemia.
AB - Azacitidine (Vidaza((r))) is a pyrimidine nucleoside analogue of cytidine and is
approved in the EU for use in patients with higher-risk myelodysplastic syndromes
(MDS) and acute myeloid leukaemia (AML), including older patients (aged >=65
years) with AML with >30 % bone marrow blasts (BMB) who are ineligible for
haematopoietic stem cell transplant. This article reviews the clinical efficacy
and tolerability of azacitidine in the treatment of these patient populations, as
well as summarizing its pharmacological properties. In pivotal, international,
phase 3 trials, subcutaneous azacitidine was an effective and well tolerated
treatment in patients with higher-risk MDS or AML, including older patients with
AML with >30 % BMB, with extensive evidence from the real-world setting
confirming its efficacy and safety in these patient populations. Azacitidine is
the only approved hypomethylating agent that has been shown to prolong overall
survival compared with conventional care regimens and thus, it is recommended as
the first-line hypomethylating agent for most patients with higher-risk MDS.
Hence, azacitidine remains and important agent for use in the treatment of higher
risk MDS and AML, including in older patients with AML with >30 % BMB.
PMID- 27193947
TI - Phosphodiesterase MoPdeH targets MoMck1 of the conserved mitogen-activated
protein (MAP) kinase signalling pathway to regulate cell wall integrity in rice
blast fungus Magnaporthe oryzae.
AB - In the rice blast fungus Magnaporthe oryzae, the high-affinity cyclic adenosine
monophosphate (cAMP) phosphodiesterase MoPdeH is important not only for cAMP
signalling and pathogenicity, but also for cell wall integrity (CWI) maintenance
through an unknown mechanism. By utilizing affinity purification, we found that
MoPdeH interacts with MoMck1, one of the components of the mitogen-activated
protein (MAP) kinase cascade that regulates CWI. Overexpression of MoMCK1
suppressed defects in autolysis and pathogenicity of the DeltaMopdeH mutant,
although partially, suggesting that MoPdeH plays a critical role in CWI
maintenance mediated by the MAP kinase pathway. We found that MoMck1 and two
other MAP kinase cascade components, MoMkk1 and MoMps1, modulate intracellular
cAMP levels by regulating the expression of MoPDEH through a feedback loop. In
addition, disruption of MoMKK1 resulted in less aerial hyphal formation,
defective asexual development and attenuated pathogenicity. Moreover, MoMkk1
plays a role in the response to osmotic stress via regulation of MoOsm1
phosphorylation levels, whereas endoplasmic reticulum (ER) stress enhances MoMps1
phosphorylation and loss of the MAP kinase cascade component affects the unfolded
protein response (UPR) pathway. Taken together, our findings demonstrate that
MoPdeH functions upstream of the MoMck1-MoMkk1-MoMps1 MAP kinase pathway to
regulate CWI, and that MoPdeH also mediates crosstalk between the cAMP signalling
pathway, the osmotic sensing high osmolarity glycerol (HOG) pathway and the
dithiothreitol (DTT)-induced UPR pathway in M. oryzae.
PMID- 27193948
TI - Some Possible Cases of Escape Mimicry in Neotropical Butterflies.
AB - The possibility that escape or evasive mimicry evolved in butterflies and other
prey insects in a similar fashion to classical Batesian and Mullerian mimicry has
long been advanced in the literature. However, there is a general disagreement
among lepidopterists and evolutionary biologists on whether or not escape mimicry
exists, as well as in which mimicry rings this form of mimicry has evolved. Here,
we review some purported cases of escape mimicry in Neotropical butterflies and
suggest new mimicry rings involving several species of Archaeoprepona, Prepona,
and Doxocopa (the "bright blue bands" ring) and species of Colobura and Hypna
(the "creamy bands" ring) where the palatability of butterflies, their ability to
escape predator attacks, geographic distribution, relative abundance, and co
occurrence in the same habitats strongly suggest that escape mimicry is involved.
In addition, we also indicate other butterfly taxa whose similarities of
coloration patterns could be due to escape mimicry and would constitute important
case studies for future investigation.
PMID- 27193949
TI - A Comparison of Social Bee-Plant Networks between Two Urban Areas.
AB - In the last decade, several studies demonstrated the effectiveness of ecological
network analysis to a better understanding of the structure bee-plant interaction
networks; however, such approaches involving urban areas are still scarce. Here,
we analyzed two assemblages of corbiculate bees (Apoidea, Apidae) in two
geographically distinct urban areas in Brazil. In both study areas, apid bees
visiting flowers were captured with an insect net. Surveys were performed
biweekly and alternately in each area, over a 1-year period. Both urban areas
were very similar for most indices. The two social bee-plant networks were
significantly nested, a pattern usually described for bee-plant networks and
somehow expected in our study, considering the recognized behavior of social apid
bees in exploring a wide range of plant species. The modularity measures were low
and very similar for the networks of both urban areas, a finding that could be
due at least in part to the low phylogenetic distance between corbiculate bees
and the broad dietary habits of the social apid bees. Network-level indices
showed that both bee assemblages had a relatively low niche overlap, indicating
that the set of social apid species studied exploited differently the arrays of
plants available. Species level index (resource range) showed that in both urban
areas, Trigona spinipes (Fabr.) and Apis mellifera L. showed the higher number of
interactions, a result that demonstrates the importance of these species in
social bee-plant interaction networks in urban areas. Similarly to other
ecosystems, these two apid species behaved as super-generalists in the two urban
areas surveyed herein.
PMID- 27193950
TI - Field Evaluation of Different Wavelengths Light-Emitting Diodes as Attractants
for Adult Aleurodicus dispersus Russell (Hemiptera: Aleyrodidae).
AB - In recent years, light traps with light-emitting diodes (LEDs) have been widely
used in integrated pest management. The spiralling whitefly, Aleurodicus
dispersus Russell, a highly invasive pest which causes heavy damage to fruit
trees and ornamental plants, exhibits positive phototaxis, and light trap is the
most appropriate tool for monitoring. We evaluated the use of LEDs as an
inexpensive light source and examined the relationship between the captured
number and the population density of adult A. dispersus in the field. We found
that the violet (405 nm) LED traps captured the most adults of A. dispersus, and
the captured numbers were significantly higher than those of blue (460 nm), green
(520 nm), yellow (570 nm), and red (650 nm) LED traps. The adults of A. dispersus
captured by light traps equipped with violet LEDs and smeared with liquid
paraffin had a significant positive correlation with the population density of
adult A. dispersus in a guava orchard, with a correlation coefficient of 0.828.
In general, the light traps with 15 violet LED bulbs hung into 550-mL plastic
bottles and smeared with liquid paraffin were the portable devices for attraction
of adult A. dispersus. The results have potential use for improving the
efficiency of light traps at attracting and trapping the adult spiralling
whitefly.
PMID- 27193951
TI - Spatial Distribution and Minimum Sample Size for Overwintering Larvae of the Rice
Stem Borer Chilo suppressalis (Walker) in Paddy Fields.
AB - The rice stem borer, Chilo suppressalis (Walker), feeds almost exclusively in
paddy fields in most regions of the world. The study of its spatial distribution
is fundamental for designing correct control strategies, improving sampling
procedures, and adopting precise agricultural techniques. Field experiments were
conducted during 2011 and 2012 to estimate the spatial distribution pattern of
the overwintering larvae. Data were analyzed using five distribution indices and
two regression models (Taylor and Iwao). All of the indices and Taylor's model
indicated random spatial distribution pattern of the rice stem borer
overwintering larvae. Iwao's patchiness regression was inappropriate for our data
as shown by the non-homogeneity of variance, whereas Taylor's power law fitted
the data well. The coefficients of Taylor's power law for a combined 2 years of
data were a = -0.1118, b = 0.9202 +/- 0.02, and r (2) = 96.81. Taylor's power law
parameters were used to compute minimum sample size needed to estimate
populations at three fixed precision levels, 5, 10, and 25% at 0.05
probabilities. Results based on this equation parameters suggesting that minimum
sample sizes needed for a precision level of 0.25 were 74 and 20 rice stubble for
rice stem borer larvae when the average larvae is near 0.10 and 0.20 larvae per
rice stubble, respectively.
PMID- 27193952
TI - Longitudinal Distribution of the Functional Feeding Groups of Aquatic Insects in
Streams of the Brazilian Cerrado Savanna.
AB - We demonstrate that the distribution of the functional feeding groups of aquatic
insects is related to hierarchical patch dynamics. Patches are sites with unique
environmental and functional characteristics that are discontinuously distributed
in time and space within a lotic system. This distribution predicts that the
occurrence of species will be based predominantly on their environmental
requirements. We sampled three streams within the same drainage basin in the
Brazilian Cerrado savanna, focusing on waterfalls and associated habitats
(upstream, downstream), representing different functional zones. We collected
2,636 specimens representing six functional feeding groups (FFGs): brushers,
collector-gatherers, collector-filterers, shredders, predators, and scrapers. The
frequency of occurrence of these groups varied significantly among environments.
This variation appeared to be related to the distinct characteristics of the
different habitat patches, which led us to infer that the hierarchical patch
dynamics model can best explain the distribution of functional feeding groups in
minor lotic environments, such as waterfalls.
PMID- 27193953
TI - Four New Species of Tetrigidae (Orthoptera).
AB - Four new species of Tetrigidae (Orthoptera) from Anhui province, China, are
described, namely Paragavialidium anhuiensis n. sp. of Scelimeninae,
Bolivaritettix jinzhaiensis n. sp. of Metrodorinae, Bannatettix anhuiensis n.
sp., and Formosatettix albomaculatus n. sp. of Tetriginae.
PMID- 27193954
TI - Functional and Numerical Responses of Three Species of Predatory Phytoseiid Mites
(Acari: Phytoseiidae) to Thrips flavidulus (Thysanoptera: Thripidae).
AB - Phytoseiid mites are considered the most effective natural enemies of pest mites.
They also have been shown to attack pest thrips. It is unknown, however, whether
phytoseiid mites can reduce high densities of Thrips flavidulus (Bagnall). We
addressed this question by the study of functional and numerical responses. The
aim of this research was to evaluate the potential predation success of the
adults of three predatory mites, Neoseiulus cucumeris (Oudemans), Neoseiulus
barkeri (Hughes), and Euseius nicholsi (Ehara & Lee), against the first-instar of
T. flavidulus in a climatic chamber at five different temperatures. The results
showed that the functional responses of those predators reflected the Holling
type II functional response and were density dependent and positively related to
temperature. For the three predatory mites, predation and successful attack rates
increased with increasing temperature up to 26 degrees C, reducing afterward.
Handling time had the opposite trend. Reproductive ability also increased with an
increase in temperature and prey consumption.
PMID- 27193955
TI - Effects of Methanolic Extracts of Annona Species on the Development and
Reproduction of Spodoptera frugiperda (J.E. Smith) (Lepidoptera: Noctuidae).
AB - Spodoptera frugiperda (J.E. Smith) (Lepidoptera: Noctuidae) causes significant
losses in corn crops and necessitates the use of alternative control strategies,
such as the application of bioinsecticides. We report the effect of methanolic
leaf extracts of Annona dioica, Annona cacans, and Annona coriacea on the
development and reproduction of S. frugiperda. A quantitative analysis was
carried out to determine the total concentration of phenolics, flavonoids, and
condensed tannin (CT) in leaf extracts. Corn leaves were immersed in a 1%
methanolic leaf extract solution and fed to second instars of S. frugiperda. Leaf
disks dipped in the synthetic insecticide Connect(r) (Bayer CropScience Ltda)
composed of a neonicotinoid (imidacloprid) and a pyrethroid (beta-cyfluthrin),
which are harmful to S. frugiperda, was used as positive control. Distilled water
was used as a negative control treatment. The leaf extract of A. coriacea
decreased larval survivorship, arrested pupal development, and affected the
weight gain of S. frugiperda. A. dioica also affected larval survivorship, but
its effects were more pronounced for the adult stage, as fecundity, fertility,
egg hatchability, and embryonic development were severely affected. Leaf extracts
from A. cacans had no effect on S. frugiperda. The leaf extracts of A. dioica and
A. coriacea showed a higher content of flavonoids and phenols, respectively. Our
results indicated that both A. dioica and A. coriacea have the potential for
development as botanical insecticides.
PMID- 27193940
TI - Phytochemicals in Ischemic Stroke.
AB - Stroke is the second foremost cause of mortality worldwide and a major cause of
long-term disability. Due to changes in lifestyle and an aging population, the
incidence of stroke continues to increase and stroke mortality predicted to
exceed 12 % by the year 2030. However, the development of pharmacological
treatments for stroke has failed to progress much in over 20 years since the
introduction of the thrombolytic drug, recombinant tissue plasminogen activator.
These alarming circumstances caused many research groups to search for
alternative treatments in the form of neuroprotectants. Here, we consider the
potential use of phytochemicals in the treatment of stroke. Their historical use
in traditional medicine and their excellent safety profile make phytochemicals
attractive for the development of therapeutics in human diseases. Emerging
findings suggest that some phytochemicals have the ability to target multiple
pathophysiological processes involved in stroke including oxidative stress,
inflammation and apoptotic cell death. Furthermore, epidemiological studies
suggest that the consumption of plant sources rich in phytochemicals may reduce
stroke risk, and so reinforce the possibility of developing preventative or
neuroprotectant therapies for stroke. In this review, we describe results of
preclinical studies that demonstrate beneficial effects of phytochemicals in
experimental models relevant to stroke pathogenesis, and we consider their
possible mechanisms of action.
PMID- 27193956
TI - Population Dynamics and Temperature-Dependent Development of Chrysomphalus
aonidum (L.) to Aid Sustainable Pest Management Decisions.
AB - The increasing worldwide trades progressively led to decreased impact of natural
barriers on wild species movement. The exotic scale Chrysomphalus aonidum (L.)
(Hemiptera: Diaspididae), recently reported on citrus in southern Italy, may
represent a new threat to Mediterranean citriculture. We studied C. aonidum
population dynamics under field conditions and documented its development under
various temperatures. To enable describing temperature-dependent development
through the use of linear and non-linear models, low temperature thresholds and
thermal constants for each developmental stage were estimated. Chrysomphalus
aonidum was able to perform four generations on green parts (leaves, sprouts) of
citrus trees and three on fruits. In addition, an overall higher population
density was observed on samples collected in the southern part of the tree
canopy. Temperature had a significant effect on the developmental rate; female
needed 625 degree days (DD) to complete its development, while male needed 833
DD. The low threshold temperatures, together with data from population dynamics,
demonstrated that C. aonidum is able to overwinter as second instar and as an
adult. The results obtained, validated by those collected in the field, revealed
few differences between predicted and observed dates of first occurrence of each
C. aonidum instar in citrus orchards. Data on C. aonidum phenology and the
definition of the thermal parameters (lower and upper threshold temperatures,
optimum temperature, and the thermal constant) by non-linear models could allow
the estimation of the occurrence in the field of each life stage and would be
helpful in developing effective integrated control strategies.
PMID- 27193957
TI - Resistance of Citrus and Related Genera to Diaphorina citri Kuwayama (Hemiptera:
Liviidae).
AB - The present study was developed to evaluate the resistance of the following
genotypes of Citrus and related genera to this pest: 'Pera,' 'Natal', and
'Washington Navel' oranges (Citrus sinensis), 'Marsh Seedless' grapefruit (Citrus
paradisi), hardy orange 'Rubidoux' (Poncirus trifoliata), kumquat (Fortunella
margarita Swingle), citrumelo 'Swingle' (C. paradisi x P. trifoliata), and
citrange 'Troyer' (P. trifoliata x C. sinensis). The experiments were performed
in greenhouses with plants grafted onto 'Rangpur' lime (Citrus limonia) and
placed individually in voile cages. The preference for oviposition in a no-choice
test, and the effect of genotype were evaluated. The egg-adult cycle was
monitored to determine the effect of genotype on the biology of the insect.
Poncirus 'Rubidoux' was the least preferred genotype for oviposition; reduced
number of eggs was also found to occur on citrange 'Troyer', and 'Marsh Seedless'
was the genotype with the most eggs. No significant variation in the duration of
the embryonic period was observed; however, a difference in the viability of eggs
was found, with the lowest egg viabilities on 'Swingle.' Kumquat and 'Marsh
Seedless' genotypes were correlated with increased durations of the nymphal
phase, however, there was no difference in the survival of this phase. Fecundity
of females on 'Troyer', 'Swingle', and kumquat was reduced. Considering all of
the evaluated parameters, it was concluded that cultivars of sweet orange are the
most susceptible genotypes to Diaphorina citri. Regarding oviposition, P.
trifoliata 'Rubidoux' showed resistance of the antixenosis type.
PMID- 27193958
TI - Tropical Plant Extracts as Sources of Grain-Protectant Compounds Against
Sitophilus zeamais Motschulsky (Coleoptera: Curculionidae).
AB - The toxicity of organic plant extracts to Sitophilus zeamais Motschulsky
(Coleoptera: Curculionidae) was assessed for three tropical plant species:
branches, leaves, and seeds of Annona montana (Annonaceae), branches of
Aristolochia paulistana (Aristolochiaceae), and leaves and branches of Casearia
sylvestris (Salicaceae). The screening assay resulted that the extracts of A.
montana seeds obtained with hexane (LC50 = 534.75 mg kg(-1) and LT50 = 6.10 days)
and with dichloromethane (LC50 = 424.67 mg kg(-1) and LT50 = 5.03 days) were the
most promising treatments, followed by the extract prepared from A. montana
leaves with hexane (LC50 = 837.70 mg kg(-1) and LT50 = 4.90 days). Moreover,
extracts (at 1,500 mg kg(-1)) prepared from C. sylvestris branches with
dichloromethane and A. paulistana with hexane caused significant mortality (37%
and 41.5%, respectively) beyond sublethal effects on S. zeamais. Therefore, based
on the biological assays, extraction yield, and evaluation of the chromatographic
profile of the crude extracts by TLC, the hexane extract of A. montana seeds was
selected and fractioned using liquid-liquid partitioning. The hydroalcoholic
fraction caused mortality of 55.5%, significantly superior to dichloromethane
fraction, which caused 35.5% of mortality. Chemical analyses ((1)H NMR, HPLC, and
TLC) were performed, and the results showed the presence of alkaloids and
acetogenins in both active fractions, which have been associated with
bioactivity. Therefore, extracts prepared from A. montana seeds (especially) is
an interesting source of new compounds with promising grain-protectant
properties.
PMID- 27193959
TI - Influence of Substrate Color on Oviposition Behavior, Egg Hatchability, and
Substance of Egg Origin in the Mosquito Anopheles sinensis (Wiedemann) (Diptera:
Culicidae).
AB - Understanding the factors that influence the choice of substrate for oviposition
by the malaria mosquito is critical to efforts directed to the management of the
disease and vector control measures aimed at modifications of larval habitat. The
influence of black and white substrates on Anopheles sinensis (Wiedemann)
(Culicidae: Anophelinae) female oviposition behavior and egg-hatching rate and
the presence of substances associated with egg laying were studied. Results from
the no-choice tests showed that the number of eggs laid on black substrate was
significantly greater than that laid on white substrate. Results from the dual
choice tests revealed that gravid females showed stronger preference for the
black substrate than that for the white substrate. Furthermore, the egg-hatching
rate on white substrate was significantly lower than that observed on black
substrate. Results from the three-choice tests showed that substance of egg
origin was associated with the black substrates (UBS) that were attractive for
and stimulated oviposition. The results of this study suggest that there might be
some compounds in the black substrates which play a positive role in the
oviposition behavior of female mosquitoes and in the development of eggs and that
eggs might produce and release active substances that attract females and
stimulate oviposition. These results could be important as regards to the
optimization of mosquitoes raised for experimental purposes and the control of
malaria mosquitoes by altering the oviposition behavior of gravid females.
PMID- 27193960
TI - Hadife, N., Nemos, C., Frippiat, J.-P., et al. Interleukin-24 mediates apoptosis
in human B-cells through early activation of cell cycle arrest followed by late
induction of the mitochondrial apoptosis pathway. Leuk. Lymphoma. 2013;54(3):587
597. http://tandfonline.com/doi/abs/10.3109/10428194.2012.717079.
PMID- 27193961
TI - Lanthanide-Connecting and Lone-Electron-Pair Active Trigonal-Pyramidal-AsO3
Inducing Nanosized Poly(polyoxotungstate) Aggregates and Their Anticancer
Activities.
AB - By virtue of the stereochemical effect of the lone-electron pair located on the
trigonal-pyramidal-AsO3 groups and the one-pot self-assembly strategy in the
conventional aqueous solution, a series of novel lanthanide-bridging and lone
electron-pair active trigonal-pyramidal-AsO3 inducing nanosized
poly(polyoxotungstate) aggregates [H2N(CH3)2]6 Na24H16{[Ln10W16(H2O)30O50](B
alpha-AsW9O33)8}.97H2O [Ln = Eu(III) (1), Sm(III) (2), Gd(III) (3), Tb(III) (4),
Dy(III) (5), Ho(III) (6), Er(III) (7), Tm(III) (8)] were prepared and further
characterized by elemental analyses, IR spectra, UV spectra, thermogravimetric
(TG) analyses and single-crystal X-ray diffraction. The most remarkable
structural feature is that the polyanionic skeleton of {[Ln10W16(H2O)30O50](B
alpha-AsW9O33)8}(46-) is constructed from eight trivacant Keggin [B-alpha
AsW9O33](9-) fragments through ten Ln centers and sixteen bridging W atoms in the
participation of fifty extraneous oxygen atoms. Notably, 4 and 8 can be stable in
the aqueous solution not only for eight days but also in the range of pH = 3.9
7.5. Moreover, the cytotoxicity tests of 4 and 8 toward human cervical cancer
(HeLa) cells, human breast cancer (MCF-7) cells and mouse fibroblast (L929) cells
were performed by the 3-(4,5-cimethylthiazol-2-yl)-2,5-diphenyl tetrazolium
bromide (MTT) assay and the cell apoptosis processes were characterized by
calcein AM/PI staining experiments, annexin V-FITC/PI staining experiments and
morphological changes.
PMID- 27193962
TI - Irritable bowel syndrome and the perinatal period: lower birth weight increases
the risk.
AB - BACKGROUND: Early life events have been found to be associated with irritable
bowel syndrome (IBS) suggesting a role in development of functional disorders.
The study aim was to identify potential perinatal risk factors for adult IBS.
METHODS: Utilizing a population-based nested case-control design, cases who met
modified Rome III criteria for IBS and age- and-gender matched controls were
identified using responses from prior mailed surveys to a random sample of
Olmsted County residents. Medical records of eligible respondents were reviewed
for perinatal events of interest. The association of early life events with
subsequent case status was assessed using conditional logistic regression. KEY
RESULTS: Of 3 417 respondents, 513 were born in Olmsted County and 108 met
criteria for IBS. Due to missing records, 89 pairs were included in the final
analyses. Logistic regression revealed only birth weight as a predictor of IBS.
Lower birth weight increased the odds for IBS (OR = 1.54 [95% CI = (1.12, 2.08),
p = 0.008]). Median birth weight was 3.35 kg (range: 1.96-5.24) and 3.57 kg
(range: 2.18-4.59) for cases and controls, respectively. Maternal age, delivery
method, and antibiotic exposure were not associated with IBS status but this
study was only powered to detect large odds ratios. CONCLUSIONS AND INFERENCES:
Lower birth weight was observed as a risk factor for IBS. It is not clear if in
utero developmental delays directly lead to IBS or if low birth weight is a
prospective marker for subsequent early life problems leading to IBS.
PMID- 27193964
TI - Orthogonal dual-click diyne for CuAAC and/or SPAAC couplings.
AB - Carbamate-tethered propargyl and benzocyclononyne moieties within a single
molecular unit undergo cycloaddition with azides under complementary CuAAC and/or
SPAAC coupling conditions. The carbamate linker can be cleaved by analogy to the
CBz protecting group for click capture-and-release applications.
PMID- 27193963
TI - Limited value of pro-inflammatory oxylipins and cytokines as circulating
biomarkers in endometriosis - a targeted 'omics study.
AB - Endometriosis is a common, complex gynecologic disorder characterized by the
presence of endometrial-like tissues at extrauterine sites. Elevation in protein
and lipid mediators of inflammation including oxylipins and cytokines within the
peritoneum characterize the inflamed pelvic region and may contribute to the
survival and growth of displaced endometrial tissues. The presence of a
clinically silent but molecularly detectable systemic inflammation in
endometriosis has been proposed. Thus, we examined serum oxylipin and
immunomodulatory protein levels in 103 women undergoing laparoscopy to evaluate
systematically any involvement in systemic pathophysiological inflammation in
endometriosis. Oxylipin levels were similar between women with and without
endometriosis. Stratification by menstrual phase or severity did not offer any
difference. Women with ovarian endometriosis had significantly lower 12-HETE
relative to peritoneal endometriosis (-50.7%). Serum oxylipin levels were not
associated with pre-operative pain symptoms. Changes to immunomodulatory proteins
were minimal, with IL-12(p70), IL-13 and VEGF significantly lower in mild
endometriotic women compared to non-endometriotic women (-39%, -54% and -76%
respectively). Verification using C-reactive protein as a non-specific marker of
inflammation further showed similar levels between groups. The implications of
our work suggest pro-inflammatory mediators in the classes studied may have
potentially limited value as circulating biomarkers for endometriosis, suggesting
of potentially tenuous systemic inflammation in endometriosis.
PMID- 27193966
TI - Chronic perfluorooctanesulfonic acid exposure disrupts lipid metabolism in
zebrafish.
AB - Perfluorooctanesulfonic acid (PFOS), a ubiquitous contaminant, has been used in
various industrial applications. Currently few studies have documented the
effects of chronic PFOS exposure on lipid metabolism, especially in aquatic
organisms. The present study defined the effects of chronic exposure to low level
of PFOS on lipid metabolism in F0 adult zebrafish and F1 offspring. Our findings
revealed a severe fatty degeneration in the liver of F0 males treated with 0.5
MUM PFOS and significant ultrastructure changes associated with substance
transport or metabolism in liver and intestines (abnormal mitochondria and
endoplasmic reticulum, disordered arrangement of inner microvilli within
intracellular canaliculus). To address the potential trans-generational effects
of PFOS exposure, the early gene expression related to lipid metabolism was
measured by real-time quantitative polymerase chain reaction in F1 derived from
chronically exposed parental fish. The results indicated that lepa (leptin
alpha), kiss1 (kisspeptins), xdh (xanthine dehydrogenases), and insr (insulin
receptor) were significantly upregulated in F1 while dgat1b (diacylglycerol O
acyltransferase), hb9 (motor neuron/pancreas homeobox), and Apoa1 (apolipoprotein
A-I) were downregulated. These findings provided evidence that PFOS chronic
exposure adversely impacts lipid metabolism in both F0 and F1 and demonstrated
the validity of using zebrafish as an alternative model for PFOS chronic toxicity
screening.
PMID- 27193967
TI - Effects of short-term glucocorticoid administration on bone mineral density,
biomechanics and microstructure in rats' femur.
AB - The effects of short-term use of oral glucocorticoid (GC) on the skeleton are not
well defined. To address this gap, the influences of 7 days, 21 days of GC
administration on femurs of intact rats were investigated. Forty 4-month-old
female Sprague-Dawley rats were randomly divided into control group (Cont) and
prednisone-treated group (Pre) and administered either distilled water or
prednisone acetate at doses of 3.5 mg/kg/day for 0, 7 and 21 days, respectively.
All the femurs were harvested for dual-energy X-ray absorptiometry scan,
biomechanical testing and micro computed tomography scan. The whole body weight,
femur bone mineral density (BMD), all three-point bending test parameters,
microstructural parameters increased or improved significantly in Cont at day 21
when compared to day 0. The whole body weight, distal femur BMD, Young's modulus,
bending stiffness, density of tissue volume and trabecular thickness (Tb.Th)
decreased, while structure model index and trabecular separation (Tb.Sp)
increased significantly in Pre at day 21 when compared to age-matched control but
had no significant differences between day 7 and day 21. Our data demonstrate
that 7-day use of prednisone does not influence on rats' femur, and 21-day use of
prednisone slows in rate of whole body weight gain, decreases femur metaphysis
BMD and bone stiffness which mainly due to the deteriorated bone microstructure.
PMID- 27193965
TI - The trouble with 'Categories': Rethinking men who have sex with men, transgender
and their equivalents in HIV prevention and health promotion.
AB - This double Special Issue of Global Public Health presents a collection of
articles that seek more adequately to represent sexual and gender diversities and
to begin to rethink the relationship to HIV prevention and health promotion - in
both the resource rich nations of the global North, as well as in the more
resource constrained nations of the global South. Reckoning with the reality that
today the global response to HIV has failed to respond to the needs of gay,
bisexual and other men who have sex with men, and transgender persons, we turn
our attention to processes and practices of categorisation and classification,
and the entanglement of the multiple social worlds that constitute our
understanding of each of these categories and people within the categories.
Jointly, these articles provide critical perspectives on how defining and
redefining categories may impact the conceptual frameworks and empirical evidence
that inform global understandings of HIV infection, those communities most
vulnerable, and our collective response to the evolving HIV epidemic.
PMID- 27193968
TI - Disposable bipolar irrigated sealer (Aquamantys((r))) for liver resection: use
with caution.
AB - The disposable bipolar irrigated sealer has been demonstrated to reduce
perioperative bleeding, but its role in preventing postoperative cut-surface
complications has not been evaluated to date. A prospective observational study
was performed between January and September 2013 to evaluate a disposable bipolar
irrigated sealed (Aquamantys((r))) on a continuous series of 51 first liver
resections without biliary reconstruction. Primary end-point was the occurrence
of cut-surface complications during the postoperative period. Secondary endpoints
were postoperative complications and the 1-year overall survival rate. The
results were compared to a propensity score matched group of 153 liver resections
performed with conventional monopolar cautery. A cut-surface complication
occurred in 13/51 (25.5 %) resected patients. Bleeding, bile leakage and
subphrenic abscess occurred in 7.8, 11.8 and 11.8 % patients, respectively.
Compared to the matched group, the resected group had a higher rate of cut
surface complications (25.5 vs. 14.7 %, p < 0.01) and a higher rate of Clavien
Dindo type >=3 postoperative complications (29.5 vs. 17.2 %, p < 0.01). In the
multivariate analysis, preoperative chemotherapy (p = 0.03, 95 % CI 1.09-5.9, OR
2.53), blood transfusion (p = 0.02, 95 % CI 1.78-6.55, OR 2.78) and
Aquamantys((r)) use (p = 0.02, 95 % CI 1.21-6.7, OR 2.85) were independent of cut
surface complications within the first 90 postoperative days. The overall 1-year
survival rates were not different between the two groups (p = 0.078).
Aquamantys((r)) use is associated with an increased rate of postoperative
complications compared to classical monopolar cautery, and we recommend that it
should be used with caution in this type of surgery.
PMID- 27193970
TI - Residents'corner December 2013. Editorial: what's new this month?
PMID- 27193969
TI - The bioactivities of the central segment of Zp2 polypeptide.
AB - In order to understand the role of the protein zona pellucida 2 in fertilization,
an antibody against a central segment of the zona pellucida 2 peptide, segment
190-505 (Z2eH), was prepared. The influence of the antibody on sperm-zona
interaction was tested using the sperm-egg binding assay. The effect of the
antibody on fertility was evaluated by passive immunization with anti-Z2eH
antibody. Immunohistochemical assay showed that an antibody from rabbit reacted
specifically with the natural zona pellucida on mouse ovarian sections.
Immunofluorescence assay showed that the antibody bound specifically to the zonae
pellucidae of the ovulated oocytes and 2-cell embryos after passive immunization.
The antibody-treated oocytes bound capacitated sperm as control oocytes, passive
immunization did not impede the action of sperm to fertilize the oocyte in vivo.
These findings suggest that the central peptide of ZP2 (190-505) is immunogenic
and contains zona pellucida-specific epitopes, however the central polypeptide
might not be the crucial part from which to construct a functional domain to bind
sperm.
PMID- 27193972
TI - First Step Towards a Devil's Staircase in Spin-Crossover Materials.
AB - The unprecedented bimetallic 2D coordination polymer {Fe[(Hg(SCN)3 )2 ](4,4'
bipy)2 }n exhibits a thermal high-spin (HS)<->low-spin (LS) staircase-like
conversion characterized by a multi-step dependence of the HS molar fraction
gammaHS . Between the fully HS (gammaHS =1) and LS (gammaHS =0) phases, two steps
associated with different ordering appear in terms of spin-state concentration
waves (SSCW). On the gammaHS ~0.5 step, a periodic SSCW forms with a HS-LS-HS-LS
sequence. On the gammaHS ~0.34 step, the 4D superspace crystallography structural
refinement reveals an aperiodic SSCW, with a HS-LS sequence incommensurate with
the molecular lattice. The formation of these different long-range spatially
ordered structures of LS and HS states during the multi-step spin-crossover is
discussed within the framework of "Devil's staircase"-type transitions. Spatially
modulated phases are known in various types of materials but are uniquely related
to molecular HS/LS bistability in this case.
PMID- 27193973
TI - Prevalence of Irritable Bowel Syndrome-like Symptoms in Japanese Patients with
Inactive Inflammatory Bowel Disease.
AB - Background/Aims: Few studies are available that have investigated the risk
factors for overlapping irritable bowel syndrome (IBS)-like symptoms in patients
with inactive inflammatory bowel disease (IBD). The present study has 3
objectives: (1) to assess the prevalence of IBS-like symptoms in Japanese
patients with inactive IBD using Rome III criteria, (2) to examine the
relationship of IBS-like symptoms to health related quality of life (HR-QOL), and
(3) to investigate associations for developing IBS-like symptoms in patients with
inactive IBD. Methods: IBS-like symptoms were evaluated using the Rome III
questionnaire for functional gastrointestinal disorders. HR-QOL and hospital
anxiety and depression scale were evaluated. Results: IBS-like symptoms were
found in 17.5% (7/40) of patients with inactive ulcerative colitis, 27.1%
(29/107) of patients with inactive Crohn's disease (CD), and 5.3% (23/438) of
healthy control subjects. The QOL level was significantly lower and anxiety score
was significantly higher in inactive CD patients with IBS-like symptoms than in
those without such symptoms (P = 0.003, P = 0.009). Use of anti-anxiety drugs was
associated with the presence of IBS symptoms (P = 0.045). HR-QOL score was lower
and anxiety score was higher in patients with inactive ulcerative colitis, but
the difference was not statistically significant. Conclusions: The prevalence of
IBS-like symptoms in inactive IBD patients was significantly higher than in
healthy controls. Inactive CD patients with IBS-like symptoms has low QOL and
anxiety; suggesting that anxiety may be associated with symptom development in
such patients.
PMID- 27193971
TI - ISG15 deficiency and increased viral resistance in humans but not mice.
AB - ISG15 is an interferon (IFN)-alpha/beta-induced ubiquitin-like protein. It exists
as a free molecule, intracellularly and extracellularly, and conjugated to target
proteins. Studies in mice have demonstrated a role for Isg15 in antiviral
immunity. By contrast, human ISG15 was shown to have critical immune functions,
but not in antiviral immunity. Namely, free extracellular ISG15 is crucial in IFN
gamma-dependent antimycobacterial immunity, while free intracellular ISG15 is
crucial for USP18-mediated downregulation of IFN-alpha/beta signalling. Here we
describe ISG15-deficient patients who display no enhanced susceptibility to
viruses in vivo, in stark contrast to Isg15-deficient mice. Furthermore,
fibroblasts derived from ISG15-deficient patients display enhanced antiviral
protection, and expression of ISG15 attenuates viral resistance to WT control
levels. The species-specific gain-of-function in antiviral immunity observed in
ISG15 deficiency is explained by the requirement of ISG15 to sustain USP18 levels
in humans, a mechanism not operating in mice.
PMID- 27193974
TI - Laryngopharyngeal Reflux in Children with Chronic Otitis Media with Effusion.
AB - BACKGROUND/AIMS: To evaluate the characteristic properties of laryngopharyngeal
reflux (LPR) and gastroesophageal reflux (GER) in children with otitis media with
effusion (OME) using 24-hour multichannel intraluminal impedance combined with
dual-probe (pharyngeal and esophageal) pH-metry. METHODS: Children aged 7-10
years of age with OME underwent 24-hour multichannel intraluminal impedance pH
metry. The upper pH sensor was situated 1 cm above the upper esophageal
sphincter, and the lower pH sensor was placed 3-5 cm above the lower esophageal
sphincter. Parents were asked to complete the gastroesophageal reflux assessment
of symptoms in a pediatrics questionnaire. RESULTS: Twenty-eight children were
enrolled; LPR was detected in 19 (67.9%) children. The criteria of the LPR
diagnosis was the presence of at least one supraesophageal episode with a pH <
5.0 and a change in the pH value measured from the initial level at the upper
sensor of > 0.2. In total, 64 episodes were observed. Assessment of all LPR
episodes showed the presence of 246 episodes in the entire study. A considerable
predominance of weakly acidic episodes (87.8%) was noted; there were 6.5% acidic
episodes, and weakly alkaline episodes reached 5.7%. Pathological GER was noted
in 10 (35.7%) subjects. Acid GER was detected in 8 children, 2 of whom
demonstrated non-acidic reflux. In the LPR-negative patients, no pathological GER
was confirmed with the exception of a single case of non-acidic reflux.
CONCLUSIONS: LPR was frequently noted in the group of children with OME, and it
might be an important risk factor in this common disease.
PMID- 27193975
TI - A novel molecular disease classifier for psoriasis and eczema.
AB - Novel specific therapies for psoriasis and eczema have been developed, and they
mark a new era in the treatment of these complex inflammatory skin diseases.
However, within their broad clinical spectrum, psoriasis and eczema phenotypes
overlap making an accurate diagnosis impossible in special cases, not to speak
about predicting the clinical outcome of an individual patient. Here, we present
a novel robust molecular classifier (MC) consisting of NOS2 and CCL27 gene that
diagnosed psoriasis and eczema with a sensitivity and specificity of >95% in a
cohort of 129 patients suffering from (i) classical forms; (ii) subtypes; and
(iii) clinically and histologically indistinct variants of psoriasis and eczema.
NOS2 and CCL27 correlated with clinical and histological hallmarks of psoriasis
and eczema in a mutually antagonistic way, thus highlighting their biological
relevance. In line with this, the MC could be transferred to the level of
immunofluorescence stainings for iNOS and CCL27 protein on paraffin-embedded
sections, where patients were diagnosed with sensitivity and specificity >88%.
Our MC proved superiority over current gold standard methods to distinguish
psoriasis and eczema and may therefore build the basis for molecular diagnosis of
chronic inflammatory skin diseases required to establish personalized medicine in
the field.
PMID- 27193977
TI - Beneficial effects of agomelatine in experimental model of sepsis-related acute
kidney injury.
AB - BACKGROUND: Sepsis-related acute kidney injury (AKI) is a serious complication of
sepsis. Problems persist regarding early diagnosis and treatment of AKI. The aim
of the present study was to evaluate the efficacy of agomelatine, which is
primarily known for its positive effects on depressive and anxiety disorders in
sepsis-related AKI. METHODS: Sepsis model was created with cecal ligation
puncture (CLP). Rats were separated into 4 groups of 8 each: the control group,
the sham-operated group, the CLP+saline group, and the CLP+agomelatine group.
Agomelatine was administered intraperitoneally in doses of 20 mg/kg. RESULTS: In
the agomelatine group, reductions were observed in levels of tumor necrosis
factor alpha (TNF-alpha), malondialdehyde (MDA), blood urea nitrogen (BUN), and
creatinine, as well as in histological kidney scores, compared to the non-treated
group. In addition, it was demonstrated that agomelatine treatment had positive
effect on sepsis-induced morphological damage to renal and tubular tissues.
CONCLUSION: Agomelatine showed strong efficacy in sepsis-related AKI,
demonstrated with histological and biochemical results in an experimental model.
It is believed that antioxidant and pro-inflammatory effects of agomelatine are
responsible for the improvement in kidneys.
PMID- 27193976
TI - Role of alkaline phosphatase intestine-isomerase in acute mesenteric ischemia
diagnosis.
AB - BACKGROUND: The aim of the present study was to investigate the diagnostic value
of alkaline phosphatase (ALP) intestine-isomerase, plasma lactate dehydrogenase
(LDH), and D-dimer levels in acute mesenteric ischemia. METHODS: Thirty Wistar
rats were divided into 5 groups of 6 rats each. In Group 1, blood samples were
obtained to determine normal parameter levels. In the sham group, Group 2, blood
samples were obtained following laparotomy. In Group 3, blood samples were
obtained 2 hours after ligation. In Groups 4 and 5, blood samples were obtained
at 4 and 6 hours after ligation, respectively. Ischemic damage was assessed using
a pathological scoring system. Blood samples were analyzed for hourly changes in
parameters. RESULTS: No statistically significant difference in D-dimer levels
was found between ischemia groups (p=0.337). A statistically significant
difference in LDH levels was found between the control group, Group 1, and Group
4 (p=0.018). ALP intestine-isomerase enzyme levels were not statistically
significant in other groups (p=0.077). CONCLUSION: Findings indicate that plasma
LDH levels higher than 1900 IU/L may be a useful marker in the early diagnosis of
acute mesenteric obstruction. However, ALP intestine-isomerase enzyme and D-dimer
plasma levels were not found to contribute to the diagnosis.
PMID- 27193978
TI - Effects of different recruitment maneuvers on bacterial translocation and
ventilator- induced lung injury.
AB - BACKGROUND: Investigated in the present study were the effects of various
recruitment maneuvers (RMs) using the same inflation pressure-time product on
bacterial translocation from lung to blood, and ventilator-induced lung injury
(VILI). METHODS: Tracheotomy was performed on anesthetized rats, and ventilation
was initiated using pressure-controlled mode. Subsequently, Pseudomonas
aeruginosa was inoculated through the tracheotomy tube and ventilated for 30
minutes before rats were randomly separated into 4 groups. Group 1 underwent
sustained inflation (SI), Group 2 underwent low-pressure SI, Group 3 underwent
modified sigh, and Group 4 was a control group. Blood cultures were taken at
baseline, 15 minutes after randomization (after each RM for the first hour), and
finally at 75 minutes after the last RM. The rats were euthanized and the lungs
were extirpated. The left lung was taken for measurement of wet:dry weight ratio,
and the right lung was used for pathologic evaluation. RESULTS: Positive blood
cultures were found to be higher in Group 3 at early study periods. Total
pathological scores were also higher in Group 3. CONCLUSION: Higher severity of
ventilator-induced lung injury occurred in the modified sigh group, evidenced by
bacterial translocation and results of histopathological evaluation.
PMID- 27193979
TI - Neuroprotective effects of adalimumab on rats with experimental peripheral nerve
injury: An electron microscopic and biochemical study.
AB - BACKGROUND: Adalimumab, a new-generation anti-inflammatory agent, exerts its
effect through tumor necrosis factor alpha (TNF-alpha), secreted from immune
response cells such as macrophages and lymphocytes. TNF-alpha has been shown to
play an important role in the processes of apoptosis and demyelination, and
blockage of its activity may improve neural healing. Investigated in the present
study is the probable neuroprotective influence of adalimumab in rats using a
peripheral nerve injury model with biochemical and electron microscopic methods.
METHODS: Forty adult Wistar albino rats were randomly divided into control,
sciatic nerve trauma, low-dose adalimumab, and high-dose adalimumab groups. Six
rats from each group were assigned biochemical microscopy, and 4 were assigned
electron microscopy. Neural injury was induced with clip compression following
dissection of sciatic nerves. Adalimumab was simultaneously injected. The rats
were sacrificed after 2 weeks of adalimumab treatment. RESULTS: Nerve tissue
lipid peroxidation values were found to be significantly decreased in both the
low- and high-dose adalimumab treatment groups, compared to the group subjected
only to sciatic nerve trauma. CONCLUSION: Results demonstrate that adalimumab is
an effective neuroprotective agent for neural healing, particularly in the early
phase.
PMID- 27193980
TI - Is every intussusception treatment an emergency intervention or surgery?
AB - BACKGROUND: Intussusception is the second most common cause of acute abdomen in
children, following appendicitis. The aim of the present study was to evaluate
the experience of the authors, in an effort to promote intussusception
management, especially that of small bowel intussusception. METHODS: Records of
intussusception diagnosed between July 2002 and September 2014 were evaluated in
terms of patient age, sex, clinical findings, admission time, ultrasonographic
findings, treatment methods, and outcomes. RESULTS: Eighty-one patients, 52 males
and 29 females, were included (mean age: 10.6 months). Intussusceptions were
ileocolic (IC) in 52 cases, ileoileal (IL) in 26, and jejunojejunal (JJ) in 3.
Nineteen (23.5%) patients underwent surgery. Hydrostatic reduction was performed
in 45 (55.5%) IC cases. Seventeen (21%) patients with small bowel
intussusceptions (SBIs), measuring 1.8-2.3 cm in length, spontaneously reduced.
All patients who underwent surgery had intussusceptums >=4 cm. Three of the 4
intestinal resection cases had history of abdominal surgery. CONCLUSION: If
peritoneal irritation is present, patients with intussusception must undergo
surgery. Otherwise, in patients with IC intussusception and no sign of peritoneal
irritation, hydrostatic or pneumatic reduction is indicated. When this fails,
surgery is the next step. SBIs free of peritoneal irritation and shorter than 2.3
cm tend to spontaneously reduce. For those longer than 4 cm, particularly in
patients with history of abdominal surgery, spontaneous reduction is unlikely.
PMID- 27193981
TI - Work-related injuries sustained by emergency medical technicians and paramedics
in Turkey.
AB - BACKGROUND: Evaluated in the present study were locations, descriptions, and
results of work-related injuries (WRIs) sustained by emergency medical
technicians (EMTs) and paramedics in Turkey's most crowded city, Istanbul.
METHODS: After the present study had been accepted by the urban health authority,
a questionnaire was emailed to the healthcare personnel of Istanbul's 195
ambulance stations. RESULTS: Included in the present study were the responses of
901 members of staff (660 EMTs and 241 paramedics), with a mean age of 29.5+/-6.1
(min: 18; max: 61). The majority of participants (94.9%) had encountered verbal
abuse from the public, and 39.8% had encountered physical violence from patients'
relatives. Levels of satisfaction with work in emergency medical services (EMS)
was also evaluated, and 510 participants (57.6%) were unhappy. Regarding gender,
female employees were more likely to be verbally attacked (p=0.01), while males
were more likely to be physically attacked (p=0.001). It was reported that motor
vehicle accidents (MVAs) were the most common cause of WRIs (81.4%), followed by
needle-stick injuries (52.2%), ocular exposure to blood and other fluids (30.9%),
and sharp injuries (22.5%). Only 10.5% (n=95) of WRIs were reported to
authorities; 488 (54.2%) of participants just attended to the practice to prevent
possible WRIs. CONCLUSION: For paramedics and EMTs, risk of WRI is obviously
high. Strategies to decrease and prevent verbal and physical violence should be
developed.
PMID- 27193982
TI - Can outcome of pancreatic pseudocysts be predicted? Proposal for a new scoring
system.
AB - BACKGROUND: The spontaneous resolution rate of pancreatic pseudocysts (PPs) is
86%, and the serious complication rate is 3-9%. The aim of the present study was
to develop a scoring system that would predict spontaneous resolution of PPs.
METHODS: Medical records of 70 patients were retrospectively reviewed. Two
patients were excluded. Demographic data and laboratory measurements were
obtained from patient records. RESULTS: Mean age of the 68 patients included was
56.6 years. Female:male ratio was 1.34:1. Causes of pancreatitis were stones
(48.5%), alcohol consumption (26.5%), and unknown etiology (25%). Mean size of PP
was 71 mm. Pseudocysts disappeared in 32 patients (47.1%). With univariate
analysis, serum direct bilirubin level (>0.95 mg/dL), cyst carcinoembryonic
antigen (CEA) level (>1.5), and cyst diameter (>55 mm) were found to be
significantly different between patients with and without spontaneous resolution.
In multivariate analysis, these variables were statistically significant. Scores
were calculated with points assigned to each variable. Final scores predicted
spontaneous resolution in approximately 80% of patients. CONCLUSION: The scoring
system developed to predict resolution of PPs is simple and useful, but requires
validation.
PMID- 27193983
TI - Diagnostic value of basic laboratory parameters for simple and perforated acute
appendicitis: an analysis of 3392 cases.
AB - BACKGROUND: The aim of the present study was to examine the efficacy of simple
laboratory parameters including neutrophil-to-lymphocyte ratio (NLR), platelet
count (PLT), mean platelet volume (MPV), and serum bilirubin level in the
diagnosis of acute appendicitis and recognition of perforated appendicitis.
METHODS: Records of 3392 patients who underwent appendectomy in a 10-year period
were reviewed retrospectively. Patients were divided into 2 groups according to
histopathological examination results: Group 1 had normal appendix, Group 2 had
acute appendicitis. Patients with acute appendicitis were divided into subgroups:
Group 2A had simple acute appendicitis, while Group 2B had perforated
appendicitis. Efficacy of the aforementioned laboratory parameters was evaluated
in the diagnosis of acute appendicitis and recognition of perforated
appendicitis. Independent variables were determined by univariate analysis and
multivariate analysis was performed. Receiver operating characteristic (ROC)
curve analysis was used to identify significant parameters in multivariate
analysis. Cut-off values, sensitivity, specificity, and accuracy calculations
performed for parameters with area under curve (AUC) >0.600 were accepted as
"significant parameters." RESULTS: White cell count (WCC), bilirubin, and NLR
were significant parameters for the diagnosis of acute appendicitis. Cut-off
values were 11900/mm3 for WCC (sensitivity: 71.2%; specificity: 67.2%; OR: 5.13),
1.0 mg/dl for bilirubin (sensitivity: 19.1%; specificity: 92.4%; OR: 2.96), and
3.0 for NLR (sensitivity: 81.2%; specificity: 53.1%; OR: 4.27). Serum bilirubin
and NLR were independent variables for the diagnosis of perforated appendicitis.
Cut-off values were 1.0 mg/dl for bilirubin (sensitivity: 78.4%; specificity:
41.7%; OR: 2.6) and 4.8 for NLR (sensitivity: 81.2%; specificity: 53.1%; OR:
2.6). CONCLUSION: Presence of at least 1 of the following findings in a patient
suspected of having acute appendicitis was significantly associated with a
definite diagnosis: WCC >11.900 mm3, serum bilirubin >1.0 mg/dl, NLR >3.0. In
patients with acute appendicitis, serum bilirubin >1.0 mg/dl or NLR >4.8 were
significantly associated with the presence of perforation. While WCC is a
significant parameter for diagnosis of acute appendicitis, no significant
association with perforated appendicitis was found. PLT and MPV were not useful
parameters when diagnosing acute appendicitis.
PMID- 27193984
TI - Neutrophil-lymphocyte ratio and mean platelet volume can be a predictor for
severity of acute appendicitis.
AB - BACKGROUND: Early diagnosis of perforation in acute appendicitis (AA) allows
surgeons to select the most appropriate treatment. The aim of the present study
was to determine whether preoperative neutrophil-lymphocyte ratio (NLR) and mean
platelet volume (MPV) could predict perforation in AA. METHODS: Data collected
from 413 consecutive patients with AA and 100 healthy controls were analyzed
retrospectively. Patients were categorized as having had phlegmonous
appendicitis, appendicitis with localized peritonitis, or appendicitis with
perforation and/or gangrene. MPV and NLR values were compared among the control
group and the 3 groups of patients with AA. RESULTS: Means values of MPV were
9.3+/-8 fL for the patient group and 8.5+/-0.9 fL for the healthy control group
(p=0.0005). Mean values of MPV by patient subgroup were 8.8+/-5.8 for phlegmonous
appendicitis, 8.9+/-5.8 for localized peritonitis, and 12.8+/-9.7 for
appendicitis with perforation and/or gangrene (p=0.005). Cut-off value of MPV was
set at 8.92 to differentiate AA with perforation and/or gangrene from other types
of AA. Mean NLRs of patients with phlegmonous appendicitis, appendicitis with
localized peritonitis, and appendicitis with perforation and/or gangrene were
8.3+/-5.6, 9.1+/-6.2, and 10.6+/-6.4, respectively; p=0.023. The cut-off value
for NLR was set at 7.95 to differentiate AA with perforation and/or gangrene from
other types of AA. CONCLUSION: Both NLR and MPV can be useful in predicting
severity of AA.
PMID- 27193985
TI - High velocity missile-related colorectal injuries: In-theatre application of
injury scores and their effects on ostomy rates.
AB - BACKGROUND: Treatment of colorectal injuries (CRIs) remains a significant cause
of morbidity and mortality. The aim of the present study was to analyze treatment
trends of Turkish surgeons and effects of the American Association for the
Surgery of Trauma (AAST), Injury Severity (ISS), and Penetrating Abdominal Trauma
Index (PATI) scoring systems on decision-making processes and clinical outcomes.
METHODS: Data regarding high velocity missile (HVM)-related CRIs were
retrospectively gathered. Four patient groups were included: Group 1 (stoma),
Group 2 (no stoma in primary surgery), Group 2a (conversion to stoma in secondary
surgery), and Group 2b (remaining Group 2 patients). RESULTS: Groups 1, 2, 2a,
and 2b included 39 (66%), 20 (34%), 6 (30%), and 14 (70%) casualties,
respectively. Ostomies were performed in casualties with significantly higher
AAST scores (p<0.001). However, PATI and ISS scores were not decisive factors in
the performance of ostomy (p=0.61; p=0.28, respectively). Ostomy rates of
civilian and military surgeons were 62% and 68%, respectively (p=0.47). Receiver
operating characteristic (ROC) analysis showed that AAST score was a more
accurate guide for performing ostomy, with sensitivity and specificity rates of
80% and 92.9%, respectively. CONCLUSION: Clinical significance of diversion in
HVM-related CRIs remains. Stomas were associated with lower complication rates
and significantly higher AAST colon/rectum injury scores.
PMID- 27193986
TI - Views of emergency physicians working in university and state hospitals in Turkey
regarding the use of analgesics in patients with acute abdominal pain.
AB - BACKGROUND: Use of narcotic analgesics in patients with acute abdominal pain does
not cause delayed misdiagnosis, increases patient comfort and does not suppresses
physical examination. The purpose of this study was to determine attitudes
anddaily practices of emergency medicine (EM) specialists, residents and faculty
members in Turkey on the use of analgesics in patients with acute abdominal pain
and factors affecting their decisions on the use of analgesics. METHODS: A cross
sectional study was performed between November 15, 2013 and January 25, 2014 by
conducting a questionnaire to EM physicians working in University Hospitals,
Education and Research Hospitals of the Ministry of Health, State Hospitals, and
Private Hospitals in Turkey. RESULTS: A total of 803 questionnaires
(participation rate: 47%) were completed. 59.3% (n=470) of the participants were
research assistants. 49.5% of the participants reported that analgesic drugs
"suppressed'' physical examination findings. They stated that 90% of the patients
"always'' and "often'' requested analgesics and that 34.6% of surgery consultant
physicians "rarely" recommended the use of analgesics, while 28.7% "never"
recommended, and that there was no common policy established together with
surgical departments (79.1%). According to the comparison between the EM
specialists and residents, residents in the group stating that they would
"never'' use analgesics were higher than specialists in number (p=0.002);
residents reported that they administered analgesics "upon surgical intervention
decision", while specialists reported that they administered analgesics "after
patient's examination and treatment plan" (p=0.021); residents reported that
analgesics "suppressed'' physical examination findings, while specialists
reported that analgesics "clarified'' physical examination findings (p<0.0001);
residents reported that they did not administer analgesics "before examination by
surgeon'', while specialists reported otherwise (p=0.0001). Senior residents (>24
months) reported that they administered analgesics "often'' compared to junior
residents (p=0.034) and that junior residents believed that the use of analgesics
would "suppress physical examination findings'' at a higher percentage (p=0.002).
CONCLUSION: The rates of use of analgesics in patients with acute abdominal pain
by EM physicians are very low. The rates of use of analgesics by EM residents are
much lower compared to EM specialists, and they highly believe that analgesic
drugs suppress physical examination findings. Residents tend to administer
analgesic drugs at a later stage. As seniority of residents increases, the rate
of analgesics use and the opinion that analgesic drugs have no effect on physical
examination findings increases.
PMID- 27193988
TI - Triple gastric peptic ulcer perforation.
AB - Patients with advanced or metastatic cancer have compromised nutritional,
metabolic, and immune conditions. Nevertheless, little is known about
gastroduodenal perforation in cancer patients. Described in the present report is
the case of a 41-year old woman with stage IV recurrent laryngeal cancer, who
used homeopathic anticancer therapy and who had triple peptic ulcer perforation
(PUP) that required surgical repair. Triple gastric PUP is a rare complication.
Self-administration of homeopathic anticancer medication should be strongly
discouraged when evidence-based data regarding efficacy and toxicity is lacking.
PMID- 27193987
TI - Intramedullary nailing of adult isolated diaphyseal radius fractures.
AB - BACKGROUND: The aim of the present study was to evaluate functional and cosmetic
outcomes of adult patients who underwent intramedullary nailing with newly
designed intramedullary radius nails for isolated radius diaphyseal fractures.
METHODS: Seventeen adult patients who had undergone intramedullary nailing for
radius diaphyseal fractures were retrospectively evaluated. Patients with
isolated radius diaphyseal closed fractures were included. Closed reduction was
achieved in all patients. Wrist and elbow ranges of movement were calculated at
final follow-up. Grip strength was calculated using a hydraulic hand dynamometer.
Maximum radial bowing (MRB) and maximum radial bowing localization (MRBL) were
calculated for treated and uninjured arms. Functional evaluation was performed
using Grace-Eversman evaluation criteria and Disabilities of the Arm, Shoulder,
and Hand (DASH) questionnaire score. RESULTS: Of the 17 patients with isolated
radius diaphyseal fractures evaluated, 11 (64.7%) were male and 6 (35.3%) were
female, with a mean age of 35.76 years (range: 23-61 years). Fractures were right
sided in 11 (64.7%) and left-sided in 6 (35.3%) patients. Mean time to bone union
was 10.2 weeks (range: 8-20 weeks). Mean supination was 75.35o (range: 67o-80o),
pronation was 85.18o (range: 74o-90o). According to Grace-Eversman evaluation
criteria, results were excellent in 16 (94%) and good in 1 (6%) patient. Mean
DASH score was 12.58 (3.3-32.5). CONCLUSION: The gold-standard treatment of adult
isolated radius diaphyseal fractures is plate and screw osteosynthesis. However,
intramedullary nailing of isolated radius fractures is a good alternative
treatment method, with excellent functional results and union rates similar to
those of plate and screw osteosynthesis.
PMID- 27193989
TI - Should warm fresh whole blood be the first choice in acute massive hemorrhage in
emergency conditions?
AB - Early management of rapid massive hemorrhage requires early administration of
blood products and rapid surgical control of bleeding. Professionals in
peripheral hospitals with limited resources often work under conditions similar
to those in the military. Described in the present report are 3 cases in which
warm fresh whole blood (WFWB) was used in patients with massive bleeding who
presented to a peripheral hospital that had no blood products suitable for
emergency conditions. Described first is the case of a 16-year-old female patient
who underwent emergency cesarean section. The patient had massive bleeding from
the uterus due to atony. Her hemoglobin (Hb) dropped to 3.5 g/dL. Six units of
WFWB were transfused during surgery. Hemodynamic parameters and complete blood
count (CBC) stabilized. She was transferred from the intensive care unit (ICU) to
obstetrics on day 2 and was discharged on day 7. Described second is the case of
a 35-year-old female patient who also underwent emergency cesarean section, and
for whom massive bleeding was due to uterine atony. Hb dropped to 2 g/dL and
hematocrit (HCT) to 5.4%. Nine units of WFWB were transfused, after which
hemodynamic and laboratory parameters stabilized. The patient was extubated the
following day, transferred from the ICU to obstetrics on day 3, and was
discharged on day 8. Described third is the case of a 36-year-old male patient
with stab injuries and hemorrhagic shock who underwent emergency surgery. The
patient had injuries to the right renal artery and kidney. Nine units of WFWB
were transfused due to continued hemorrhage during surgery. Following surgical
control of bleeding and transfusion, hemodynamic parameters improved. The patient
was transferred from the ICU on day 5 and discharged on day 10. WFWB transfusion
nearly disappeared from civilian medicine after blood was separated into
components, and whole blood is not usually available at blood banks. In massive
transfusions, WFWB effectively replaces red blood cells (RBCs), platelets, plasma
volume, and coagulation factors, while preventing hypothermia and dilutional
coagulopathy. Blood components go through biochemical, biomechanical, and
immunological changes during long storage, the duration of which affects both
transfusion efficacy and associated risks. In the future, with the use of fast
donor tests, fast ABO compatibility tests, platelet-sparing leukocyte filters,
and developments in pathogen-decreasing technology, fresh whole blood (FWB) may
be the first choice for massive transfusion. Future studies will reveal new
procedures.
PMID- 27193990
TI - A case of delayed carotid cavernous fistula after facial gunshot injury presented
as loss of vision with symptom resolution after endovascular closure procedure.
AB - Carotid cavernous fistulas (CCFs) are abnormal connections between the carotid
artery and the cavernous sinus (CS), and can occur as a result of blunt and
penetrating head injuries. While occurrence is rare, diagnosis can be made in the
emergency department. Described in the present report is the case of a 26-year
old man who presented with complaints of pain, redness, blurred and loss of
vision in the right eye, and swelling of the upper face due to a gunshot injury
he had sustained 35 days prior.
PMID- 27193991
TI - A different approach to simultaneously injured ulnar and radial arteries:
Translocation of an arterial segment.
AB - Upper-extremity arterial injury is a common and serious condition that may lead
to amputation if improperly treated. Ligation of the ulnar or radial artery is
frequently performed by vascular surgeons as a method of treatment, which should
be avoided, particularly if the radial and ulnar arteries were both injured. A
different approach to reconstruction is described in the present report.
PMID- 27193992
TI - Permanent resident.
AB - The training of physicians in the past century was based primarily on
responsibility and the chain-of-command. Those with the bulk of that
responsibility in the fields of pediatrics and internal medicine were residents.
Residents trained the medical students and supervised them carefully in caring
for patients. Most attending physicians supervised their teams at arm's length,
primarily serving as teachers of the finer points of diagnosis and treatment
during set periods of the day or week with a perfunctory signature on write-ups
or progress notes. Residents endeavored to protect the attending physician from
being heavily involved unless they were unsure about a clinical problem. Before
contacting the attending physician, a more senior resident would be called.
Responsibility was the ultimate teacher. The introduction of diagnosis-related
groups by the federal government dramatically changed the health care delivery
system, placing greater emphasis on attending physician visibility in the medical
record, ultimately resulting in more attending physician involvement in day-to
day care of patients in academic institutions. Without specified content in
attending notes, hospital revenues would decline. Although always in charge
technically, attending physicians increasingly have assumed the role once
dominated by the resident. Using biographical experiences of more than 40 years,
the author acknowledges and praises the educational role of responsibility in his
own training and laments its declining role in today's students and house staff.
PMID- 27193993
TI - Deferasirox AUC efficacy cutoff and role of pharmacogenetics.
PMID- 27193994
TI - Synthesis of the Cortistatin Pentacyclic Core by Alkoxide-Directed Metallacycle
Mediated Annulative Cross-Coupling.
AB - The pentacyclic core skeleton of the cortistatins has been prepared in a
stereoselective fashion by strategic use of an alkoxide-directed metallacycle
mediated annulative cross-coupling. This metal-centered tandem reaction delivers
a polyunsaturated hydrindane and establishes the C13 stereodefined quaternary
center with high levels of stereocontrol. Subsequent regio- and stereoselective
global hydroboration results in the realization of the DE-trans ring fusion and a
tertiary alcohol at C8. Establishment of the ABC-tricyclic subunit was then
accomplished through phenolic oxidation/trans-acetalization, chemoselective
reduction, regioselective cleavage, and intramolecular alkylation at C5.
PMID- 27193995
TI - Predictors of a negative labour and birth experience based on a national survey
of Canadian women.
AB - BACKGROUND: A negative birth experience has been shown to have a significant
impact on the well-being and future choices of mothers. The objective of this
study was to assess the prevalence of, and identify the risk factors associated
with a negative birth experience for women in Canada. METHODS: The study was
based on secondary data analysis of the Maternity Experiences Survey (MES), a
Canadian population database administered to 6,421 Canadian women in 2006. The
examined outcome - negative birth experience - was derived from mothers' self
report of overall labour and birth experience. Independent variables were
maternal demographics, health characteristics, pregnancy-related characteristics,
and birth characteristics. Multivariable logistic regression analysis was
performed to determine the significant predictors of negative birth experience.
Adjusted Odds Ratios (AOR) and 95 % Confidence Intervals (CI) are reported.
RESULTS: Negative birth experience was reported among 9.3 % of women. The main
significant predictors of a negative birth experience included older age (AOR
2.29, 95 % CI, 1.03-5.07), violence experienced in the past two years (AOR, 1.62,
95 % CI, 1.21-2.18), poor self-perceived health (adjusted OR, 1.95, 95 % CI, 1.36
2.80), prenatal classes attended (adjusted OR, 1.36, 95 % CI, 1.06-1.76),
unintended pregnancy (adjusted OR, 1.30, 95 % CI, 1.03-1.63), caesarean birth
(AOR, 1.65, 95 % CI, 1.32-2.06), and neonate admission to intensive care (AOR,
1.40, 95 % CI, 1.08-1.82). CONCLUSION: Significant predictors of a negative
labour and birth experience were identified through this study, a first in the
Canadian context. These findings suggest future research directions and provide a
basis for the design and evaluation of maternal health policy and prevention
programs.
PMID- 27193996
TI - Role of the Polymerase epsilon sub-unit DPB2 in DNA replication, cell cycle
regulation and DNA damage response in Arabidopsis.
AB - Faithful DNA replication maintains genome stability in dividing cells and from
one generation to the next. This is particularly important in plants because the
whole plant body and reproductive cells originate from meristematic cells that
retain their proliferative capacity throughout the life cycle of the organism.
DNA replication involves large sets of proteins whose activity is strictly
regulated, and is tightly linked to the DNA damage response to detect and respond
to replication errors or defects. Central to this interconnection is the
replicative polymerase DNA Polymerase epsilon (Pol epsilon) which participates in
DNA replication per se, as well as replication stress response in animals and in
yeast. Surprisingly, its function has to date been little explored in plants, and
notably its relationship with DNA Damage Response (DDR) has not been
investigated. Here, we have studied the role of the largest regulatory sub-unit
of Arabidopsis DNA Pol epsilon: DPB2, using an over-expression strategy. We
demonstrate that excess accumulation of the protein impairs DNA replication and
causes endogenous DNA stress. Furthermore, we show that Pol epsilon dysfunction
has contrasting outcomes in vegetative and reproductive cells and leads to the
activation of distinct DDR pathways in the two cell types.
PMID- 27193997
TI - SPATIAL: A System-level PAThway Impact AnaLysis approach.
AB - The goal of pathway analysis is to identify the pathways that are significantly
impacted when a biological system is perturbed, e.g. by a disease or drug.
Current methods treat pathways as independent entities. However, many signals are
constantly sent from one pathway to another, essentially linking all pathways
into a global, system-wide complex. In this work, we propose a set of three
pathway analysis methods based on the impact analysis, that performs a system
level analysis by considering all signals between pathways, as well as their
overlaps. Briefly, the global system is modeled in two ways: (i) considering the
inter-pathway interaction exchange for each individual pathways, and (ii)
combining all individual pathways to form a global, system-wide graph. The third
analysis method is a hybrid of these two models. The new methods were compared
with DAVID, GSEA, GSA, PathNet, Crosstalk and SPIA on 23 GEO data sets involving
19 tissues investigated in 12 conditions. The results show that both the ranking
and the P-values of the target pathways are substantially improved when the
analysis considers the system-wide dependencies and interactions between
pathways.
PMID- 27194000
TI - Mechanisms of charge accumulation in the dark operation of perovskite solar
cells.
AB - In this work, a new current peak at forward bias in the dark current-voltage
curves has been identified for standard mesoscopic perovskite solar cells. This
characteristic peak appears only under some specific conditions, mainly in the
reverse scan (RS) direction and when the solar cells were kept for several
seconds under short-circuit conditions before starting the RS measurement. This
peak disappears when the above experimental conditions are not applied. It is
considered that this uncommon diode shape is obtained because shallow and/or deep
trap states located at the interface between either perovskite/p-type or
perovskite/n-type transport materials are dynamically filled during the RS
voltage scan. To corroborate this hypothesis, the response of hole transport
materials (HTMs), small molecule spiro-OMeTAD and polymer P3HT, as well as both
HTMs with additives, was compared. Also perovskite absorbers such as CH3NH3PbI3
and all-inorganic perovskite based on cesium (CsPbI3) were also analyzed,
achieving in all cases similar trends.
PMID- 27193999
TI - The cytosolic Fe-S cluster assembly component MET18 is required for the full
enzymatic activity of ROS1 in active DNA demethylation.
AB - DNA methylation patterns in plants are dynamically regulated by DNA methylation
and active DNA demethylation in response to both environmental changes and
development of plant. Beginning with the removal of methylated cytosine by
ROS1/DME family of 5-methylcytosine DNA glycosylases, active DNA demethylation in
plants occurs through base excision repair. So far, many components involved in
active DNA demethylation remain undiscovered. Through a forward genetic screening
of Arabidopsis mutants showing DNA hypermethylation at the EPF2 promoter region,
we identified the conserved iron-sulfur cluster assembly protein MET18. MET18
dysfunction caused DNA hypermethylation at more than 1000 loci as well as the
silencing of reporter genes and some endogenous genes. MET18 can directly
interact with ROS1 in vitro and in vivo. ROS1 activity was reduced in the met18
mutant plants and point mutation in the conserved Fe-S cluster binding motif of
ROS1 disrupted its biological function. Interestingly, a large number of DNA
hypomethylated loci, especially in the CHH context, were identified from the
met18 mutants and most of the hypo-DMRs were from TE regions. Our results suggest
that MET18 can regulate both active DNA demethylation and DNA methylation
pathways in Arabidopsis.
PMID- 27193998
TI - Synergy between NMR measurements and MD simulations of protein/RNA complexes:
application to the RRMs, the most common RNA recognition motifs.
AB - RNA recognition motif (RRM) proteins represent an abundant class of proteins
playing key roles in RNA biology. We present a joint atomistic molecular dynamics
(MD) and experimental study of two RRM-containing proteins bound with their
single-stranded target RNAs, namely the Fox-1 and SRSF1 complexes. The
simulations are used in conjunction with NMR spectroscopy to interpret and expand
the available structural data. We accumulate more than 50 MUs of simulations and
show that the MD method is robust enough to reliably describe the structural
dynamics of the RRM-RNA complexes. The simulations predict unanticipated specific
participation of Arg142 at the protein-RNA interface of the SRFS1 complex, which
is subsequently confirmed by NMR and ITC measurements. Several segments of the
protein-RNA interface may involve competition between dynamical local substates
rather than firmly formed interactions, which is indirectly consistent with the
primary NMR data. We demonstrate that the simulations can be used to interpret
the NMR atomistic models and can provide qualified predictions. Finally, we
propose a protocol for 'MD-adapted structure ensemble' as a way to integrate the
simulation predictions and expand upon the deposited NMR structures. Unbiased MUs
scale atomistic MD could become a technique routinely complementing the NMR
measurements of protein-RNA complexes.
PMID- 27194003
TI - Rapid Absorption of Dry-Powder Intranasal Oxytocin.
AB - PURPOSE: To probe the suitability of a dry-powder oxytocin formulation containing
a carrier (MUcoTM; SNBL, Ltd.) for intranasal (IN) administration to treat post
partum hemorrhage in the developing world. Specifically, to investigate (1)
whether IN administration can achieve rapid systemic absorption in cynomolgus
monkeys, and (2) whether the formulation exhibits sufficient physical and
chemical stability. This study was conducted to support Merck for Mothers,
Merck's 10-year global initiative to end preventable maternal deaths. METHODS: A
partial-crossover pharmacokinetic (PK) study in cynomolgus monkeys (n = 6) was
utilized to compare in vivo absorption of dry-powder IN oxytocin at three dose
levels against an IM injection of an aqueous oxytocin formulation. Particle size
distribution, delivered dose and chemical assay were monitored over a 12 month
stability study. RESULTS: IN administration of oxytocin resulted in short (5 min)
Tmax and good dose linearity in AUC and Cmax over the dose range tested (10-80 IU
per animal). The relative bioavailability (BA) of IN oxytocin to IM injection was
approximately 12%. The 80 IU formulation exhibited good physical stability and
consistent dosing. After 12 months at 30 degrees C/65%RH, pouched samples
retained 86.0% of their original assay value. CONCLUSIONS: The PK and stability
data suggests that IN administration of oxytocin formulated in the MUcoTM carrier
may represent a viable option for rapid systemic absorption in humans and a
product compatible with resource-scarce regions.
PMID- 27194002
TI - Emergence of 3D Printed Dosage Forms: Opportunities and Challenges.
AB - The recent introduction of the first FDA approved 3D-printed drug has fuelled
interest in 3D printing technology, which is set to revolutionize healthcare.
Since its initial use, this rapid prototyping (RP) technology has evolved to such
an extent that it is currently being used in a wide range of applications
including in tissue engineering, dentistry, construction, automotive and
aerospace. However, in the pharmaceutical industry this technology is still in
its infancy and its potential yet to be fully explored. This paper presents
various 3D printing technologies such as stereolithographic, powder based,
selective laser sintering, fused deposition modelling and semi-solid extrusion 3D
printing. It also provides a comprehensive review of previous attempts at using
3D printing technologies on the manufacturing dosage forms with a particular
focus on oral tablets. Their advantages particularly with adaptability in the
pharmaceutical field have been highlighted, which enables the preparation of
dosage forms with complex designs and geometries, multiple actives and tailored
release profiles. An insight into the technical challenges facing the different
3D printing technologies such as the formulation and processing parameters is
provided. Light is also shed on the different regulatory challenges that need to
be overcome for 3D printing to fulfil its real potential in the pharmaceutical
industry.
PMID- 27194005
TI - Freezing of gait: A rare delayed complication of whole brain radiation.
PMID- 27194004
TI - Impact of Valve Morphology on the Prevalence of Coronary Artery Disease: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: Literature studies suggested a lower prevalence of coronary artery
disease (CAD) in bicuspid aortic valve (BAV) than in tricuspid aortic valve (TAV)
patients. However, this finding has been challenged. We performed a meta-analysis
to assess whether aortic valve morphology has a different association with CAD,
concomitant coronary artery bypass grafting (CABG), and postoperative mortality.
METHODS AND RESULTS: Detailed search was conducted according to the PRISMA
(Preferred Reporting Items for Systematic reviews and Meta-Analyses) guideline to
identify all patients with BAV or TAV and presence of CAD, concomitant myocardial
surgical revascularization, and the postoperative mortality. Thirty-one studies
on 3017 BAV and 4586 TAV patients undergoing aortic valve surgery were included.
BAV patients showed a lower prevalence of CAD (odds ratio [OR]: 0.33; 95% CI:
0.17, 0.65), concomitant CABG (OR, 0.45; 95% CI: 0.35, 0.59), and postoperative
mortality (OR, 0.62; 95% CI: 0.40, 0.97) than TAV. However, BAV subjects were
significantly younger than TAV (mean difference: -7.29; 95% CI: -11.17, -3.41)
were more frequently males (OR, 1.61; 95% CI: 1.33, 1.94) and exhibited a lower
prevalence of hypertension (OR, 0.58; 95% CI: 0.39, 0.87) and diabetes (OR, 0.71;
95% CI: 0.54, 0.93). Interestingly, a metaregression analysis showed that younger
age and lower prevalence of diabetes were associated with lower prevalence of CAD
(Z value: -3.03; P=0.002 and Z value: -3.10; P=0.002, respectively) and CABG (Z
value: -2.69; P=0.007 and Z value: -3.36; P=0.001, respectively) documented in
BAV patients. CONCLUSIONS: Analysis of raw data suggested an association of
aortic valve morphology with prevalence of CAD, concomitant CABG, and
postoperative mortality. Interestingly, the differences in age and diabetes have
a profound impact on prevalence of CAD between BAV and TAV. In conclusion, our
meta-analysis suggests that the presence of CAD is independent of aortic valve
morphology.
PMID- 27194007
TI - A possible method for in situ nitrogen removal in landfills by microbial-pumping
iron behavior.
AB - Nitrogen pollution from landfills needs urgent treatment. A batch experiment was
designed to explore the possible in situ nitrogen removal in landfills based on
the hypothesis of microbial-pumping-iron behavior, namely anaerobic microbial
iron oxidation and reduction. The results confirm that a simultaneous Fe(ii)
oxidation, accompanied by nitrate (NO3(-)-N) reduction and dissimilatory Fe(iii)
reduction, can happen in aged municipal solid waste (AMSW). This phenomenon can
last at least 10 years after landfilling. Organics is an important intermediate
medium in that process. The dynamic anaerobic Fe redox cycle has the potential of
denitrification without ammonia nitrogen (NH4(+)-N) accumulation. AMSW with
deposited ages of 1-3 years is a good choice to enhance this redox cycle behavior
coupled with denitrification. Conversely, AMSW with longer deposited ages (8-10
years) has a quicker iron cycle and a smaller NH4(+)-N accumulation. This
suggests a possible method for in situ nitrogen removal in landfills.
PMID- 27194009
TI - How to stop breathing: On the matter of getting respiratory motion under control.
PMID- 27194008
TI - Inflammatory biomarkers and risk of cancer in 84,000 individuals from the general
population.
AB - Inflammation and cancer are tightly linked. This study tests the hypothesis that
an inflammatory score based on plasma levels of C-reactive protein (CRP) and
fibrinogen and whole blood leukocyte count is associated with risk of colorectal,
lung, breast and prostate cancer. A score ranging from none through three
elevated biomarkers was constructed in 84,000 individuals from the Danish general
population. During a median follow-up time of 4.8 years, 4,081 incident cancers
occurred. Cox proportional hazards regression models were used to estimate hazard
ratios (HRs) of incident cancer. Multifactor-adjusted HRs for colorectal cancer
were 1.28 (95% CI, 1.01 to 1.62), 1.79 (95% CI, 1.41 to 2.27) and 2.18 (95% CI,
1.67 to 2.86) for individuals with elevated levels of one, two and three
inflammatory biomarkers compared to individuals with none elevated biomarkers. A
similar stepwise increasing risk was observed for lung and breast cancer with HRs
of 3.03 (95% CI, 2.25 to 4.08) and 1.42 (95% CI, 1.11 to 1.80) for three versus
none elevated biomarkers. HRs were highest within the first years of follow-up.
Absolute 5-year risk of lung cancer was 7.8 (95% CI, 6.1 to 10)% among older
smokers with three elevated biomarkers compared to 3.8 (95% CI, 2.6 to 5.6)%
among those with none elevated biomarkers. In conclusion, simultaneously elevated
CRP, fibrinogen and leukocyte count are associated with an increased risk of
colorectal, lung and breast cancer. Cancer as a promoter of inflammation may be
more likely to account for our findings than low-grade inflammation promoting
cancer development.
PMID- 27194006
TI - Development and evaluation of two subunit vaccine candidates containing antigens
of hepatitis E virus, rotavirus, and astrovirus.
AB - Hepatitis E virus (HEV), rotavirus (RV), and astrovirus (AstV) are important
pathogens that transmit through a common fecal-oral route, causing hepatitis
(HEV) and gastroenteritis (RV and AstV) respectively in humans. In this study, we
developed and evaluated two subunit vaccine candidates that consisted of the same
protruding or spike protein antigens of the three viruses in two formats, a
fusion of the three antigens into one molecule (fused vaccine) vs. a mixture of
the three free antigens together (mixed vaccine). Both vaccines were easily made
via E. coli expression system. Mouse immunization experiments showed that the
fused vaccine elicited significantly higher antibody responses against the three
viral antigens than those induced by the mixed vaccine. In addition, the mouse
post-immune antisera of the fused vaccine revealed significantly higher
neutralizing titers against HEV infection in cell culture, as well as
significantly higher 50% blocking titers (BT50) against RV VP8-HBGA receptor
interactions than those of the post-immune antisera after immunization of the
mixed vaccine. Thus, the fused vaccine is a promising trivalent vaccine candidate
against HEV, RV, and AstV, which is worth for further development.
PMID- 27194010
TI - Imaging the heart's brain: Simultaneous innervation/perfusion analysis in the era
of new CZT cameras.
PMID- 27194011
TI - Time course of large ribosomal subunit assembly in E. coli cells overexpressing a
helicase inactive DbpA protein.
AB - DbpA is a DEAD-box RNA helicase implicated in Escherichia coli large ribosomal
subunit assembly. Previous studies have shown that when the ATPase and helicase
inactive DbpA construct, R331A, is expressed in E. coli cells, a large ribosomal
subunit intermediate accumulates. The large subunit intermediate migrates as a
45S particle in a sucrose gradient. Here, using a number of structural and
fluorescent assays, we investigate the ribosome profiles of cells lacking wild
type DbpA and overexpressing the R331A DbpA construct. Our data show that in
addition to the 45S particle previously described, 27S and 35S particles are also
present in the ribosome profiles of cells overexpressing R331A DbpA. The 27S,
35S, and 45S independently convert to the 50S subunit, suggesting that ribosome
assembly in the presence of R331A and the absence of wild-type DbpA occurs via
multiple pathways.
PMID- 27194012
TI - Sequential use of the STICS crop model and of the MACRO pesticide fate model to
simulate pesticides leaching in cropping systems.
AB - The current challenge in sustainable agriculture is to introduce new cropping
systems to reduce pesticides use in order to reduce ground and surface water
contamination. However, it is difficult to carry out in situ experiments to
assess the environmental impacts of pesticide use for all possible combinations
of climate, crop, and soils; therefore, in silico tools are necessary. The
objective of this work was to assess pesticides leaching in cropping systems
coupling the performances of a crop model (STICS) and of a pesticide fate model
(MACRO). STICS-MACRO has the advantage of being able to simulate pesticides fate
in complex cropping systems and to consider some agricultural practices such as
fertilization, mulch, or crop residues management, which cannot be accounted for
with MACRO. The performance of STICS-MACRO was tested, without calibration, from
measurements done in two French experimental sites with contrasted soil and
climate properties. The prediction of water percolation and pesticides
concentrations with STICS-MACRO was satisfactory, but it varied with the
pedoclimatic context. The performance of STICS-MACRO was shown to be similar or
better than that of MACRO. The improvement of the simulation of crop growth
allowed better estimate of crop transpiration therefore of water balance. It also
allowed better estimate of pesticide interception by the crop which was found to
be crucial for the prediction of pesticides concentrations in water. STICS-MACRO
is a new promising tool to improve the assessment of the environmental risks of
pesticides used in cropping systems.
PMID- 27194013
TI - Oil exploitation and its socioeconomic effects on the Niger Delta region of
Nigeria.
AB - The oil exploration and exploitation industry which is majorly centred in the
Niger Delta region is without doubt currently the most important earner of
foreign exchange to the Nigerian economy. The Niger Delta is home to an
extraordinary variety of people; mostly fishers and farmers with a history of
rich cultural heritage. However, the region is suffering from devastating oil
pollution. Although the effects of oil spill depend on factors such as size or
area of spill and geographical location, the socioeconomic and environmental
costs of oil production can be extensive; these range from destruction of
wildlife, biodiversity loss, air and water pollution, degradation of farmland and
damage to aquatic ecosystems. The paper reviews the adverse effects of oil
exploitation on the Niger Delta region. It researches the common belief that
government and oil multinationals are negatively disposed to the socioeconomic
and environmental wellbeing of host communities especially in events of oil
spillage. The paper reveals that oil exploitation has increased the rate of
environmental degradation and has perpetuated food insecurity as a result of
death of fish and crops as well as loss of farm lands and viable rivers for
fishing activities leading to loss of livelihood. The paper supports the call for
multinationals operating in the region to modernise operating infrastructure and
equipment in order to prevent avoidable oil spillages that often lead to
community restiveness, and more so, intensification of joint efforts between oil
multinationals and government in the capital development of the region is very
important.
PMID- 27194015
TI - Accumulation of metals relevant for agricultural contamination in gills of
European chub (Squalius cephalus).
AB - The study of metal bioaccumulation in the gills of European chub (Squalius
cephalus) was conducted in September 2009 at the medium-sized rural river Sutla,
characterized by agricultural and municipal type of water contamination. The
concentration ranges were established for the first time in the soluble,
metabolically available fractions of chub gills for 12 metals, which are
environmentally extremely relevant and yet only seldom studied, as follows in a
decreasing order: K, 225-895 mg L(-1); Na, 78-366 mg L(-1); Ca, 19-62 mg L(-1);
Mg, 13-47 mg L(-1); Rb, 164-1762 MUg L(-1); Sr, 24-81 MUg L(-1); Ba, 13-67 MUg L(
1); Mo, 1.3-16 MUg L(-1); Co, 0.7-2.7 MUg L(-1); Li, 0.4-2.2 MUg L(-1); Cs, 0.2
1.9 MUg L(-1); and V, 0.1-1.8 MUg L(-1). The concentrations of Fe (1.6-6.4 mg L(
1)) and Mn (16-69 MUg L(-1)) were also determined and were in agreement with
previous reports. By application of general linear modelling, the influence of
different abiotic (metal exposure level) and biotic parameters (fish sex, age,
size and condition) on metal bioaccumulation was tested. It was established that
bioaccumulation of many metals in fish depended on various physiological
conditions, wherein Ba could be singled out as metal exhibiting the strongest
association with one of biotic parameters, being significantly higher in smaller
fish. However, it was also undoubtedly demonstrated that the concentrations of
three metals can be applied as reliable indicators of metal exposure even in the
conditions of low or moderate water contamination, such as observed in the Sutla
River, and those were nonessential elements Li and Cs and essential element Fe.
The results of our study present an important contribution to maintenance of high
ecological status of European freshwaters, through enrichment of knowledge on the
bioaccumulation of various metals in gills of European chub as frequently applied
bioindicator species in monitoring of water pollution.
PMID- 27194016
TI - Evaluation of the microbiological quality of reclaimed water produced from a
lagooning system.
AB - The use of lagooning as a complementary natural method of treating secondary
effluents of wastewater treatment plants has been employed as an affordable and
easy means of producing reclaimed water. However, using reclaimed water for some
purposes, for example, for food irrigation, presents some risks if the effluents
contain microbial pathogens. Classical bacterial indicators that are used to
assess faecal contamination in water do not always properly indicate the presence
of bacterial or viral pathogens. In the current study, the presence of faecal
indicator bacteria (FIB), heterotrophic bacterial counts (HBC), pathogens and
opportunistic pathogens, such as Legionella spp., Aeromonas spp., Arcobacter
spp., free-living amoeba (FLA), several viral indicators (human adenovirus and
polyomavirus JC) and viral pathogens (noroviruses and hepatitis E virus) were
analysed for 1 year in inlet and outlet water to assess the removal efficiency of
a lagooning system. We observed 2.58 (1.17-4.59) and 1.65 (0.15-3.14) log
reductions in Escherichia coli (EC) and intestinal enterococci (IE),
respectively, between the inlet and outlet samples. Genomic copies of the viruses
were log reduced by 1.18 (0.24-2.93), 0.64 (0.12-1.97), 0.45 (0.04-2.54) and 0.72
(0.22-2.50) for human adenovirus (HAdV), JC polyomavirus (JCPyV) and human
noroviruses (NoV GI and GII), respectively. No regrowth of opportunistic
pathogens was observed within the system. FLA, detected in all samples, did not
show a clear trend. The reduction of faecal pathogens was irregular with 6 out of
12 samples and 4 out of 12 samples exceeding the EC and IE values, specified in
the Spanish legislation for reclaimed water (RD 1620/2007). This data evidences
that there is a need for more studies to evaluate the removal mechanisms of
lagooning systems in order to optimize pathogen reduction. Moreover, surveillance
of water used to irrigate raw edible vegetables should be conducted to ensure the
fulfilment of the microbial requirements for the production of safe reclaimed
water.
PMID- 27194017
TI - Seafood consumption among Chinese coastal residents and health risk assessment of
heavy metals in seafood.
AB - The aims of the present study were to obtain the seafood dietary patterns of
coastal residents, to determine the concentrations of heavy metals, and to
evaluate the possible health risks caused by seafood intake. The daily food
intakes of 24 types of seafood were collected from 738 participants from Xiamen,
a southern Chinese coastal city, using food frequency questionnaire (FFQ) and
dietary history method. One hundred and fifty-six samples of 14 types of highest
intake seafood were collected from local markets for lead (Pb), cadmium (Cd),
chromium (Cr), mercury (Hg), and arsenic (As) determination. Health risks via
seafood consumption were evaluated by calculating the target hazard quotient
(THQ) and the total hazard index (HI) for carcinogenic and non-carcinogenic
effects recommended by the US Environmental Protection Agency. The results showed
that the seafood daily intake of Xiamen residents was 61.5 (2.14, 115) g/day. The
concentrations of Pb, Cd, Cr, Hg, and As in seafood were ND-0.45 mg/kg, ND-0.19
mg/kg, ND-0.80 mg/kg, ND-0.70 mg/kg, and 0.32-16.9 mg/kg, respectively.
Concentrations of Cd and As in some samples were higher than national limitation
standards. Consumption of 14 common types of seafood would not pose non
carcinogenic risk. However, some types, such as sparuslatus, oyster, and porphyra
tenera, would form a carcinogenic risk. Regardless of a carcinogenic or non
carcinogenic risk, As posed the highest risk on humans. The observed HI value for
non-carcinogenic effect of all metals in all seafood reached 0.69-2.20, and the
metal orders of risk can be listed as As > Hg > Cr > Cd > Pb, reiterating the
risk of As is a matter of concern in seafood from Xiamen markets.
PMID- 27194018
TI - Portosystemic Shunt Surgery in Patients with Idiopathic Noncirrhotic Portal
Hypertension.
AB - BACKGROUND Idiopathic noncirrhotic portal hypertension (INCPH) is a rare disease
characterized by increased portal venous pressure in the absence of cirrhosis and
other causes of liver diseases. The aim of the present study was to present our
results in using portosystemic shunt surgery in patients with INCPH. MATERIAL AND
METHODS Patients who had been referred to our Liver Transplantation Institute for
liver transplantation and who had undergone surgery from January 2010 to December
2015 were retrospectively analyzed. Patients with INCPH who had undergone
portosystemic shunt procedure were included in the study. Age, sex, symptoms and
findings, type of portosystemic shunt, and postoperative complications were
assessed. RESULTS A total of 1307 patients underwent liver transplantation from
January 2010 to December 2015. Eleven patients with INCPH who did not require
liver transplantation were successfully operated on with a portosystemic shunt
procedure. The mean follow-up was 30.1+/-19 months (range 7-69 months). There was
no mortality in the perioperative period or during the follow-up. Two patients
underwent surgery again due to intra-abdominal hemorrhage; one had bleeding from
the surgical site except the portacaval anastomosis and the other had bleeding
from the h-graft anastomosis. No patient developed encephalopathy and no patient
presented with esophageal variceal bleeding after portosystemic shunt surgery.
Shunt thrombosis occurred in 1 patient (9.9%). Only 1 patient developed ascites,
which was controlled medically. CONCLUSIONS Portosystemic shunt surgery is a safe
and effective procedure for the treatment of patients with INCPH.
PMID- 27194019
TI - Adjuvant gemcitabine monotherapy for resectable perihilar cholangiocarcinoma with
lymph node involvement: a propensity score matching analysis.
AB - PURPOSE: The aim of this study was to evaluate the efficacy of adjuvant
gemcitabine monotherapy following resection for perihilar cholangiocarcinoma with
lymph node involvement. METHODS: We performed a retrospective analysis of 180
patients undergoing resection for perihilar cholangiocarcinoma with lymph node
involvement between 2001 and 2012. The patients were divided into two groups
according to the presence (n = 67) or absence (n = 113) of adjuvant gemcitabine
monotherapy. Univariate and multivariate analyses were performed followed by a
propensity score matching analysis to adjust for the differences in the baseline
characteristics of the groups. RESULTS: The overall survival rates after surgery
and the median survival times in patients who were treated with adjuvant
chemotherapy were significantly longer than those who were treated without
adjuvant chemotherapy (32.9 vs. 15.0 % at 5 years, 37 vs. 20 months, P = 0.001).
A multivariate analysis indicated that adjuvant chemotherapy, a residual
microscopic tumor, and pathological T stage were independent prognostic factors
for survival. After two new cohorts of 32 patients were generated following 1:1
propensity score matching, the overall survival rate in the adjuvant chemotherapy
group was found to be significantly longer than that in the surgery alone group
(43.2 vs. 15.6 % at 5 years, P = 0.001). CONCLUSION: Adjuvant gemcitabine
monotherapy may improve survival in node-positive perihilar cholangiocarcinoma
patients.
PMID- 27194021
TI - Living the cycle of drinking and violence: A qualitative study of women's
experience of alcohol-related intimate partner violence.
AB - INTRODUCTION AND AIMS: Heavy and binge drinking contributes to increased risk and
severity of violence in intimate relationships, but its role in the initiation
and escalation of intimate partner violence (IPV) is not well-understood. This
study explores the dynamics of drinking and IPV from the perspectives of women
with lived experience of alcohol-related IPV. DESIGN AND METHODS: A qualitative
constructivist grounded theory study using interviews with 18 women aged 18-50
years who experienced fear or harm from an alcohol-affected male partner.
Participants were recruited from the community in Victoria, Australia. RESULTS:
Participants experienced alcohol-related IPV as a cycle of escalating violence
accompanying the male partner's progression to intoxication as follows: starting
to drink (having fun); getting drunk (looking for a fight); intoxicated
('switching' to escalated violence); drunk (becoming incapacitated);
hungover/coming down (becoming mean-tempered); sober (returning to 'normal'
life); and craving (building up to drinking again - for dependent drinkers).
Participants identified safe and unsafe stages in the cycle but feared the
unpredictability of drunken violence. Participants actively managed safety
through four main strategies: preventing (e.g. limiting his drinking); predicting
(e.g. recognising signs); responding (e.g. avoiding arguments); and protecting
(e.g. removing self and children). Anticipating abuse when a partner drinks was
the central process for participants living this cycle. DISCUSSION AND
CONCLUSIONS: For some women, alcohol plays a central role in the cycle of
violence, abuse and fear. Alcohol-related intimate partner violence should be the
focus of further research, prevention and treatment. [Wilson IM, Graham K, Taft
A. Living the cycle of drinking and violence: A qualitative study of women's
experience of alcohol-related intimate partner violence. Drug Alcohol Rev
2017;36:115-124].
PMID- 27194020
TI - Additional surgery for non-curative resection after endoscopic submucosal
dissection for gastric cancer: a retrospective analysis of 200 cases.
AB - PURPOSE: Endoscopic submucosal dissection is recommended for early gastric cancer
with a low risk of lymph node metastasis. When the pathological findings do not
meet the curative criteria; then, an additional gastrectomy with lymph node
dissection is recommended. However, most cases have neither lymph node metastasis
nor a local residual tumor during an additional surgery. METHODS: This was a
single-institutional retrospective cohort study, analyzing 200 patients who
underwent an additional gastrectomy after non-curative endoscopic submucosal
dissection from January 2005 to October 2015. We reviewed the patients'
clinicopathological data and evaluated the predictors for the presence of a
residual tumor. RESULTS: Histopathology revealed lymph node metastasis in 15
patients (7.5 %) and a local residual tumor in 23 (11.5 %). A multivariable
analysis revealed macroscopic findings (flat/elevated type) (p = 0.011, odds
ratio = 4.63), lymphatic invasion (p < 0.0001, odds ratio = 14.2), and vascular
invasion (p = 0.04, odds ratio = 4.00) to be predictors for lymph node
metastasis. A positive vertical margin (p = 0.0027, odds ratio = 3.26) and
horizontal margin (p = 0.0008, odds ratio = 5.74) were predictors for a local
residual tumor. All cases with lymph node metastasis had lymphovascular invasion
with at least one other non-curative factor. CONCLUSIONS: The risk of a residual
tumor can, therefore, be estimated based on the histopathology of endoscopic
submucosal dissection samples. Lymphovascular invasion appears to be a pivotal
predictor of lymph node metastasis.
PMID- 27194022
TI - Correlating Emotional Intelligence and Job Performance Among Jordanian Hospitals'
Registered Nurses.
AB - PROBLEM: Emotional intelligence (EI) is an ability to recognize our and others'
emotions, and manage emotions in ourselves and in relationships with other
people. A large body of research evidence outside nursing shows that measured
(EI) abilities correlated with employee performance, motivation, and job
satisfaction; and preliminary nursing research evidence shows the correlation
between EI ability and nurses' clinical performance. There is less research on
the EI ability of Jordanian nurses, and the present study was undertaken to
address this gap. METHODS: A descriptive, cross-sectional, correlation
comparative design (nonexperimental) was employed. Six Jordanian hospitals were
included in the study. Two hundred fifty questionnaires were distributed to
prospective participants. One hundred ninety-four questionnaires were returned,
giving a response rate of 78%. EI was measured using the Genos Instrument.
Clinical performance was measured using a self-report measure. FINDINGS: Findings
demonstrated significant positive relationships between all subscales of EI and
job performance, ranging from r = .250, p = .000 to r = .193, p = .007.
Regression analysis indicated working in medical-surgical wards, recognizing and
expressing emotions scores (beta = 0.186, p = .048), and controlling emotions
(beta = 0.255, p = .027) explained 19.1% of variance in nurses' job performance.
CONCLUSIONS: The study findings confirm the correlation between nurse EI ability
and clinical performance.
PMID- 27194023
TI - Clinical outcomes according to QRS duration and morphology in the irbesartan in
patients with heart failure and preserved systolic function (I-PRESERVE) trial.
AB - BACKGROUND: The aims of this study were to describe the prevalence of QRS
prolongation and abnormal QRS morphology in patients with heart failure and
preserved ejection fraction (HF-PEF) and to examine the relationship between
these QRS abnormalities and clinical outcomes. METHODS AND RESULTS: We
categorized patients in the Irbesartan in Heart Failure with Preserved Ejection
Fraction trial (I-PRESERVE) according to QRS duration <120 vs. >=120 ms and QRS
morphology: normal, left bundle branch block (LBBB), and right bundle branch
block (RBBB) or other non-specific intra-ventricular conduction defect (IVCD).
The outcomes examined were the composite of cardiovascular death or heart failure
hospitalization (and its components) and all-cause mortality. Of the 4128
patients enrolled in I-PRESERVE, 3754 were included in the present analyses. A
total of 606 patients had a QRS duration >=120 ms, 302 had LBBB and 742 had
RBBB/IVCD. Patients with an abnormal QRS had evidence of more severe heart
failure [lower left ventricular ejection fraction, lower estimated glomerular
filtration rate, higher N-terminal pro-B-type natriuretic peptide (NT-proBNP)]
and worse clinical status (higher New York Heart Association functional class and
greater use of diuretics). Both abnormalities of QRS duration and QRS morphology
were associated with worse outcomes. The rates of the composite outcome were: 6.0
and 9.3 per 100 patient years in the <120 ms and >=120 ms groups, respectively
[adjusted hazard ratio (HR) 1.32, 95% confidence interval (CI) 1.11-1.57; P =
0.002) and 6.0, 7.7 and 8.7 per 100 patient years in the normal, non-LBBB and
LBBB groups, respectively (adjusted HR 1.19, 95% CI 1.00-1.42, P = 0.046; and HR
1.31, 95% CI 1.03-1.66, P = 0.026, respectively, compared with normal). The
heightened risk related to QRS abnormalities persisted after adjustment for other
prognostic variables, including NT-proBNP. CONCLUSION: We found that both
prolongation of QRS duration and abnormal QRS morphology were associated with a
high risk of fatal and non-fatal adverse outcomes in heart failure with preserved
ejection fraction.
PMID- 27194024
TI - High-performance Liquid Chromatographic Ultraviolet Detection of Nilotinib in
Human Plasma from Patients with Chronic Myelogenous Leukemia, and Comparison with
Liquid Chromatography-Tandem Mass Spectrometry.
AB - BACKGROUND: A method for determining nilotinib concentration in human plasma is
proposed using high-performance liquid chromatography and ultraviolet detection.
MATERIALS & METHODS: Nilotinib and the internal standard dasatinib were separated
using a mobile phase of 0.5% Na2 PO4 H2 O (pH 2.5)-acetonitrile-methanol
(55:25:20, v/v/v) on a Capcell Pak C18 MG II column (250 * 4.6 mm) at a flow rate
of 1.0 ml/min, and ultraviolet measurement at 250 nm. RESULTS: The calibration
curve exhibited linearity over the nilotinib concentration range of 50-2,500
ng/ml at 250 nm, with relative standard deviations (n = 5) of 7.1%, 2.5%, and
2.9% for 250, 1,500, and 2,500 ng/ml, respectively. The detection limit for
nilotinib was 5 ng/ml due to three blank determinations (rho = 3). CONCLUSION:
This method was successfully applied to assaying nilotinib in human plasma
samples from patients with chronic myelogenous leukemia. In addition, we compared
the results with those measured by liquid chromatography-tandem mass spectrometry
(LC-MS/MS) at BML, Inc. (a commercial laboratory). A strong correlation was
observed between the nilotinib concentrations measured by our high-performance
liquid chromatographic method and those obtained by LC/MS-MS (r2 = 0.988, P <
0.01).
PMID- 27194027
TI - An electromagnetic cell-stretching device for mechanotransduction studies of
olfactory ensheathing cells.
AB - Olfactory ensheathing cells (OECs) are primary candidates for cell
transplantation therapy to repair spinal cord injury (SCI). However, the post
transplantation survival of these cells remains a major hurdle for a success
using this therapy. Mechanical stimuli may contribute to the maintenance of these
cells and thus, mechanotransduction studies of OECs may serve as a key benefit to
identify strategies for improvement in cell transplantation. We developed an
electromagnetic cell stretching device based on a single sided uniaxial
stretching approach to apply tensile strain to OECs in culture. This paper
reports the design, simulation and characterisation of the stretching device with
preliminary experimental observations of OECs in vitro. The strain field of the
deformable membrane was investigated both experimentally and numerically.
Heterogeneity of the device provided an ideal platform for establishing strain
requirement for the OEC culture. The cell stretching system developed may serve
as a tool in exploring the mechanobiology of OECs for future SCI transplantation
research.
PMID- 27194025
TI - vIL-10-overexpressing human MSCs modulate naive and activated T lymphocytes
following induction of collagenase-induced osteoarthritis.
AB - BACKGROUND: Recent efforts in osteoarthritis (OA) research have highlighted
synovial inflammation and involvement of immune cells in disease onset and
progression. We sought to establish the in-vivo immune response in collagenase
induced OA and investigate the ability of human mesenchymal stem cells (hMSCs)
overexpressing viral interleukin 10 (vIL-10) to modulate immune populations and
delay/prevent disease progression. METHODS: Eight-week-old male C57BL/6 mice were
injected with 1 U type VII collagenase over two consecutive days. At day 7,
20,000 hMSCs overexpressing vIL-10 were injected into the affected knee. Control
groups comprised of vehicle, 20,000 untransduced or adNull-transduced MSCs or
virus alone. Six weeks later knees were harvested for histological analysis and
popliteal and inguinal lymph nodes for flow cytometric analysis. RESULTS: At this
time there was no significant difference in knee OA scores between any of the
groups. A trend toward more damage in animals treated with hMSCs was observed.
Interestingly there was a significant reduction in the amount of activated CD4
and CD8 T cells in the vIL-10-expressing hMSC group. CONCLUSIONS: vIL-10
overexpressing hMSCs can induce long-term reduction in activated T cells in
draining lymph nodes of mice with collagenase-induced OA. This could lead to
reduced OA severity or disease progression over the long term.
PMID- 27194026
TI - Cloning, Characterization, and Expression Levels of the Nectin Gene from the Tube
Feet of the Sea Urchin Paracentrotus Lividus.
AB - Marine bioadhesives perform in ways that manmade products simply cannot match,
especially in wet environments. Despite their technological potential,
bioadhesive molecular mechanisms are still largely understudied, and sea urchin
adhesion is no exception. These animals inhabit wave-swept shores, relying on
specialized adhesive organs, tube feet, composed by an adhesive disc and a motile
stem. The disc encloses a duo-gland adhesive system, producing adhesive and
deadhesive secretions for strong reversible substratum attachment. The disclosure
of sea urchin Paracentrotus lividus tube foot disc proteome led to the
identification of a secreted adhesion protein, Nectin, never before reported in
adult adhesive organs but, that given its adhesive function in eggs/embryos, was
pointed out as a putative substratum adhesive protein in adults. To further
understand Nectin involvement in sea urchin adhesion, Nectin cDNA was amplified
for the first time from P. lividus adhesive organs, showing that not only the
known Nectin mRNA, called Nectin-1 (GenBank AJ578435), is expressed in the adults
tube feet but also a new mRNA sequence, called Nectin-2 (GenBank KT351732),
differing in 15 missense nucleotide substitutions. Nectin genomic DNA was also
obtained for the first time, indicating that both Nectin-1 and Nectin-2 derive
from a single gene. In addition, expression analysis showed that both Nectins are
overexpressed in tube feet discs, its expression being significantly higher in
tube feet discs from sea urchins just after collection from the field relative to
sea urchin from aquarium. These data further advocate for Nectin involvement in
sea urchin reversible adhesion, suggesting that its expression might be regulated
according to the hydrodynamic conditions.
PMID- 27194030
TI - Hamed Khan: Hates classism and prejudice.
PMID- 27194028
TI - Perfused drop microfluidic device for brain slice culture-based drug discovery.
AB - Living slices of brain tissue are widely used to model brain processes in vitro.
In addition to basic neurophysiology studies, brain slices are also extensively
used for pharmacology, toxicology, and drug discovery research. In these
experiments, high parallelism and throughput are critical. Capability to conduct
long-term electrical recording experiments may also be necessary to address
disease processes that require protein synthesis and neural circuit rewiring. We
developed a novel perfused drop microfluidic device for use with long term
cultures of brain slices (organotypic cultures). Slices of hippocampus were
placed into wells cut in polydimethylsiloxane (PDMS) film. Fluid level in the
wells was hydrostatically controlled such that a drop was formed around each
slice. The drops were continuously perfused with culture medium through
microchannels. We found that viable organotypic hippocampal slice cultures could
be maintained for at least 9 days in vitro. PDMS microfluidic network could be
readily integrated with substrate-printed microelectrodes for parallel electrical
recordings of multiple perfused organotypic cultures on a single MEA chip. We
expect that this highly scalable perfused drop microfluidic device will
facilitate high-throughput drug discovery and toxicology.
PMID- 27194031
TI - Hypercapnia slows down proliferation and apoptosis of human bone marrow
promyeloblasts.
AB - Stem cells are being applied in increasingly diverse fields of research and
therapy; as such, growing and culturing them in scalable quantities would be a
huge advantage for all concerned. Gas mixtures containing 5 % CO2 are a typical
concentration for the in vitro culturing of cells. The effect of varying the CO2
concentration on promyeloblast KG-1a cells was investigated in this paper. KG-1a
cells are characterized by high expression of CD34 surface antigen, which is an
important clinical surface marker for human hematopoietic stem cells (HSCs)
transplantation. KG-1a cells were cultured in three CO2 concentrations (1, 5 and
15 %). Cells were batch-cultured and analyzed daily for viability, size,
morphology, proliferation, and apoptosis using flow cytometry. No considerable
differences were noted in KG-1a cell morphological properties at all three CO2
levels as they retained their myeloblast appearance. Calculated population
doubling time increased with an increase in CO2 concentration. Enhanced cell
proliferation was seen in cells cultured in hypercapnic conditions, in contrast
to significantly decreased proliferation in hypocapnic populations. Flow
cytometry analysis revealed that apoptosis was significantly (p = 0.0032) delayed
in hypercapnic cultures, in parallel to accelerated apoptosis in hypocapnic ones.
These results, which to the best of our knowledge are novel, suggest that
elevated levels of CO2 are favored for the enhanced proliferation of bone marrow
(BM) progenitor cells such as HSCs.
PMID- 27194032
TI - Early weight-bearing and rehabilitation versus immobilisation following surgical
Achilles tendon repair (PEDro synthesis).
PMID- 27194029
TI - Interstitial Fluid Sphingosine-1-Phosphate in Murine Mammary Gland and Cancer and
Human Breast Tissue and Cancer Determined by Novel Methods.
AB - The tumor microenvironment is a determining factor for cancer biology and
progression. Sphingosine-1-phosphate (S1P), produced by sphingosine kinases
(SphKs), is a bioactive lipid mediator that regulates processes important for
cancer progression. Despite its critical roles, the levels of S1P in interstitial
fluid (IF), an important component of the tumor microenvironment, have never
previously been measured due to a lack of efficient methods for collecting and
quantifying IF. The purpose of this study is to clarify the levels of S1P in the
IF from murine mammary glands and its tumors utilizing our novel methods. We
developed an improved centrifugation method to collect IF. Sphingolipids in IF,
blood, and tissue samples were measured by mass spectrometry. In mice with a
deletion of SphK1, but not SphK2, levels of S1P in IF from the mammary glands
were greatly attenuated. Levels of S1P in IF from mammary tumors were reduced
when tumor growth was suppressed by oral administration of FTY720/fingolimod.
Importantly, sphingosine, dihydro-sphingosine, and S1P levels, but not dihydro
S1P, were significantly higher in human breast tumor tissue IF than in the normal
breast tissue IF. To our knowledge, this is the first reported S1P IF measurement
in murine normal mammary glands and mammary tumors, as well as in human patients
with breast cancer. S1P tumor IF measurement illuminates new aspects of the role
of S1P in the tumor microenvironment.
PMID- 27194033
TI - 'One man's medicine is another man's poison': a qualitative study of user
perspectives on low intensity interventions for Obsessive-Compulsive Disorder
(OCD).
AB - BACKGROUND: Low intensity interventions based on cognitive-behavioral therapy
(CBT) such as computerized therapy or guided self-help can offer effective and
accessible care for mild to moderate mental health problems. However, critics
argue that by reducing therapist input and the level of experience of the
professionals delivering therapy, low intensity interventions deprive users of
critical 'active ingredients'. Thus, while demand management arguments support
the use of low intensity interventions for OCD, their integration into existing
mental health services remains incomplete. Studies of user views of low intensity
interventions can offer valuable insights to define their role and optimize their
implementation in practice. METHODS: Qualitative interviews (n = 36) in adults
with OCD explored user perspectives on the initiation, continuation and
acceptability of two low intensity CBT interventions: guided self-help (6 h of
professional support) and computerized CBT (1 h of professional support),
delivered within the context of a large pragmatic effectiveness trial
(ISRCTN73535163). RESULTS: While uptake was relatively high, continued engagement
with the low intensity interventions was complex, with the perceived limitations
of self-help materials impacting on users' willingness to continue therapy. The
addition of professional support provided an acceptable compromise between the
relative benefits of self-help and the need for professional input. However,
individual differences were evident in the extent to which this compromise was
considered necessary and acceptable. The need for some professional contact to
manage expectations and personalize therapy materials was amplified in users with
OCD, given the unique features of the disorder. However, individual differences
were again evident regarding the perceived value of face-to-face support.
CONCLUSIONS: Overall the findings demonstrate the need for flexibility in the
provision of low intensity interventions for OCD, responsive to user preferences,
as these preferences impact directly on engagement with therapy and perceptions
of effectiveness.
PMID- 27194034
TI - The partnership of patient advocacy groups and clinical investigators in the rare
diseases clinical research network.
AB - BACKGROUND: Among the unique features of the Rare Diseases Clinical Research
Network (RDCRN) Program is the requirement for each Consortium to include patient
advocacy groups (PAGs) as research partners. This development has transformed the
work of the RDCRN and is a model for collaborative research. This article
outlines the roles patients and PAGs play in the RDCRN and reports on the PAGs'
impact on the Network's success. METHODS: Principal Investigators from the 17
RDCRN Consortia and 28 representatives from 76 PAGs affiliated with these
Consortia were contacted by email to provide feedback via an online RDCRN survey.
Impact was measured in the key areas of 1) Research logistics; 2) Outreach and
communication; and 3) Funding and in-kind support. Rating choices were: 1-very
negative, 2-somewhat negative, 3-no impact, 4-somewhat positive, and 5-very
positive. RESULTS: Twenty-seven of the PAGs (96 %) disseminate information about
the RDCRN within the patient community. The Consortium Principal Investigators
also reported high levels of PAG involvement. Sixteen (94 %) Consortium Principal
Investigators and 25 PAGs (89 %) reported PAGs participation in protocol review,
study design, Consortium conference calls, attending Consortium meetings, or
helping with patient recruitment. CONCLUSIONS: PAGs are actively involved in
shaping Consortia's research agendas, help ensure the feasibility and success of
research protocols by assisting with study design and patient recruitment, and
support training programs. This extensive PAG-Investigator partnership in the
RDCRN has had a strongly positive impact on the success of the Network.
PMID- 27194035
TI - Letter to the Editor: Robotic assisted hysterectomy in obese patients: a
systematic review.
PMID- 27194036
TI - The dynamics of epidural and opioid analgesia during labour.
AB - PURPOSE: To investigate the association of analgesia, opioids or epidural, or the
combination of both with labour duration and spontaneous birth in nulliparous
women. METHODS: A secondary data analysis of an existing cohort study was
performed and included nulliparous women (n = 2074). Durations of total labour
and first and second labour stage were calculated with Kaplan-Meier estimation
for the four different study groups: no analgesia (n = 620), opioid analgesia (n
= 743), epidural analgesia (n = 482), and combined application (n = 229). Labour
duration was compared by Cox regression while adjusting for confounders and
censoring for operative births. Logistic regression was used to investigate the
association between the administration of different types of analgesia and mode
of birth. RESULTS: Most women in the combined application group were first to
receive opioid analgesia. Women with no analgesia had the shortest duration of
labour (log rank p < 0.001) and highest chance of a spontaneous birth (p <
0.001). If analgesia was administered, women with opioids had a shorter first
stage (p = 0.018), compared to women with epidural (p < 0.001) or women with
combined application (p < 0.001). Women with opioids had an increased chance to
reach full cervical dilatation (p = 0.006). Women with epidural analgesia (p <
0.001) and women with combined application (p < 0.001) had a prolonged second
stage and decreased chance of spontaneous birth compared to women without
analgesia. CONCLUSIONS: Women with opioids had a prolonged first stage, but
increased chance to reach full cervical dilatation. Women with epidural analgesia
and women with both opioid and epidural analgesia had a prolonged first and
second stage and a decreased chance of a spontaneous birth.
PMID- 27194037
TI - A Systematic Approach to Assess the Burden of Drug Interactions in Adult Kidney
Transplant Patients.
AB - AIM: Renal transplant patients are frequently subject to polypharmacy and drug
drug interactions. However, no previous study has systematically assessed the
risk of drug interactions and Adverse Drug Reactions (ADRs) in this population.
METHODS: A total of 138 consecutive adult kidney transplant recipients admitted
to our hospital between August 2010 and February 2012 were prospectively and
systematically assessed by our pharmacovigilance team, within 24 hours of
admission, to identify potential drug-drug interactions and probable ADRs.
RESULTS: As a consequence of the high number of medications per patient (7.8+/
0.2 drugs), a considerable number of drugdrug interactions were observed in this
population, with an average of 5.6+/-0.4 drug interactions per patient. Moreover,
a significant percentage of admissions (~10%) of kidney transplant patients were
related to probable ADRs. Almost all these patients had at least one drug
interaction that could have potentially contributed to the probable ADR. Of note,
clinically significant (i.e. severe) drug interactions were more frequent among
patients with ADRs (29% vs. 15%, p<0.01). Also, patients with ADRs were more
likely to have started a medication 30 days before admission (38.5% vs. 10.4%, p
< 0.01). Non-immunosuppressive drugs most commonly involved in severe
interactions were omeprazole, magnesium sulphate, and statins. The most commonly
observed interactions were: tacrolimus and omeprazole, mycophenolate and
omeprazole, sirolimus and enalapril, mycophenolate and antivirals, and
mycophenolate and magnesium sulphate. CONCLUSION: Drug interactions were
extremely frequent among kidney transplant recipients, and responsible for
potentially avoidable ADRs. They should be carefully considered when following
kidney transplant recipients.
PMID- 27194038
TI - In touch with your feminine side: how oestrogen metabolism impacts prostate
cancer.
AB - Prostate cancer is the primary cancer in males, with increasing global incidence
rates making this malignancy a significant healthcare burden. Androgens not only
promote normal prostate maturity but also influence the development and
progression of prostate cancer. Intriguingly, evidence now suggests endogenous
and exogenous oestrogens, in the form of phytoestrogens, may be equally as
relevant as androgens in prostate cancer growth. The prostate gland has the
molecular mechanisms, catalysed by steroid sulphatase (STS), to unconjugate and
utilise circulating oestrogens. Furthermore, prostate tissue also expresses
enzymes essential for local oestrogen metabolism, including aromatase (CYP19A1)
and 3beta- and 17beta-hydroxysteroid dehydrogenases. Increased expression of
these enzymes in malignant prostate tissue compared with normal prostate
indicates that oestrogen synthesis is favoured in malignancy and thus may
influence tumour progression. In contrast to previous reviews, here we
comprehensively explore the epidemiological and scientific evidence on how
oestrogens impact prostate cancer, particularly focusing on pre-receptor
oestrogen metabolism and subsequent molecular action. We analyse how molecular
mechanisms and metabolic pathways involved in androgen and oestrogen synthesis
intertwine to alter prostate tissue. Furthermore, we speculate on whether
oestrogen receptor status in the prostate affects progression of this malignancy.
PMID- 27194039
TI - Thermal Acclimatization in Overwintering Tadpoles of the Green Frog, Lithobates
clamitans (Latreille, 1801).
AB - Seasonal acclimatization permits organisms to maintain function in the face of
environmental change. Tadpoles of the green frog (Lithobates clamitans)
overwinter as tadpoles in much of their range. Because they are active in winter,
we hypothesized that green frog tadpoles would display acclimatization of
metabolic and locomotor function. We collected tadpoles in Sewanee, Tennessee
(35.2 degrees N) in winter and summer. Tadpoles collected during each season were
tested at both winter (8 degrees C) and summer (26 degrees C) temperatures.
Winter tadpoles were able to maintain swimming performance at both temperatures,
whereas swimming performance decreased at cold temperatures in summer tadpoles.
There was no evidence for seasonal acclimatization of whole-animal metabolic
rate. Although whole-animal metabolic acclimatization was not observed, the
activities of cytochrome c oxidase, citrate synthase, and lactate dehydrogenase
measured in skeletal muscle homogenates showed higher activity in winter
acclimatized tadpoles indicating compensation for temperature. Further, the
composition of muscle membranes of winter tadpoles had less saturated and more
monounsaturated fatty acids and a higher omega-3 balance, unsaturation index, and
peroxidation index than summer tadpoles. These data indicate that reversible
phenotypic plasticity of thermal physiology occurs in larval green frog tadpoles.
They appear to compensate for colder temperatures to maintain burst-swimming
velocity and the ability to escape predators without the cost of maintaining a
constant, higher standard metabolic rate in the winter.
PMID- 27194040
TI - Prediction of all-cause death using (11)C-hydroxyephedrine positron emission
tomography in Japanese patients with left ventricular dysfunction.
AB - OBJECTIVES: The aim of this study was to determine whether (11)C-hydroxyephedrine
((11)C-HED) can predict adverse events including all-cause death in Japanese
patients with left ventricular (LV) dysfunction. BACKGROUND: Although (11)C-HED
PET has been used to assess cardiac sympathetic innervation in various disease
conditions, data on their prognostic value are limited. METHODS: Sixty patients
(mean LVEF, 42 +/- 14 %) with LV dysfunction (42 ischemic and 18 non-ischemic
heart disease) underwent (11)C-HED PET. Myocardial retention was calculated for
(11)C-HED PET as a measure of cardiac sympathetic neuronal integrity. Statistical
analysis was performed using Cox proportional hazards regression and log-rank
test. RESULTS: Thirteen deaths (7 cardiac and 6 non-cardiac deaths) occurred
during a mean follow-up period of 33 +/- 23 months. The patients with death were
associated with significantly lower (11)C-HED retention (7.1 +/- 2.1 vs 9.0 +/-
2.4, p = 0.015) than those without death. The hazard ratio for global (11)C-HED
retention per unit (/min) was 0.762 (p = 0.039), which remained significant in
multivariate analysis. When the patients were divided into the high (>=8.5) and
low (<8.5) (11)C-HED retention groups, the low (11)C-HED retention group was
associated with significantly poorer survival than the high (11)C-HED retention
group (p = 0.004). CONCLUSION: The low global (11)C-HED retention is a marker of
poor overall survival in patients with LV dysfunction in this study.
PMID- 27194041
TI - Implication of different clinical and pathological variables in patients with
differentiated thyroid cancer on successful ablation for 3700 MBq (131)I: a
single Egyptian institutional experience over 14 years.
AB - OBJECTIVE: Is to investigate possible factors predicting success of ablation for
3700 MBq radioactive iodine 131 in patients with differentiated thyroid cancer
(DTC) following near total thyroidectomy. METHODS: This retrospective study
enrolled 272 patients between 2000 and 2014. The success or failure of ablation
was assessed 6 months after given the dose and our criteria for complete
successful remnant ablation defined as: Negative (131)I whole body scan with no
residual functioning thyroid tissue or distant functioning metastases and
stimulated thyroglobulin (Tg) level less than 2 ng/ml. Different clinical and
pathological factors, such as age, gender, tumor histology, grade and variants,
size of primary malignant lesion, stage, and risk assessment according to the
American (ATA) and European Thyroid Association (ETA) guidelines, associated
pathology, tumor mutifocality, lymph node (LN) metastases and their number,
invasiveness of the tumor (capsular invasion of the nodule, extra-thyroidal
extension, and vascular invasion), baseline stimulated Tg level, and pre-ablative
diagnostic scan were assessed. RESULTS: There were 185 successful ablations (68
%). The baseline-stimulated Tg measured before the ablation was the only
independent predictor of ablation success in multivariate analysis (P < 0.0001)
with odds ratio (OR) of 2.64 (95 % CI: 1.54-4.54) and the optimal cutoff for this
was 3.8 ng/mL. On the univariate analysis, LN metastases was predictor of
ablation failure (P value = 0.03). CONCLUSION: Baseline-stimulated Tg level is
clinically important and had a significant predictive value for successful
ablation; therefore, higher pre-ablation Tg should potentially be incorporated in
the decision making for (131)I dosage or other treatment. In accordance with
other studies, this is also applicable to cervical lymph nodal involvement and
thyroid capsule invasion.
PMID- 27194044
TI - Anti-inflammatory use may not negatively impact oncologic outcomes following
intravesical BCG for high-grade non-muscle-invasive bladder cancer.
AB - PURPOSE: To evaluate whether anti-inflammatory agents affect outcomes in patients
receiving intravesical BCG therapy for high-grade (HG) non-muscle-invasive
bladder cancer (NMIBC). METHODS: We reviewed the records of 203 patients in a
prospective database of HG NMIBC from 2006 to 2012 at a single institution.
Patients who had muscle-invasive disease (n = 32), low-grade pathology (n = 4),
underwent early cystectomy within 3 months (n = 25), had <3 months of follow-up
(n = 11), or did not receive an induction course of intravesical BCG (n = 32)
were excluded. Clinicopathologic data were tabulated including demographics,
comorbidities, pathologic stage and grades, intravesical therapy, and concomitant
use of aspirin, NSAIDs, COX inhibitors, and statins. Multivariate Cox regression
analysis explored predictive factors for recurrence, progression (stage
progression or progression to cystectomy), cancer-specific survival (CSS), and
overall survival (OS). RESULTS: Ninety-nine patients with HG NMIBC who received
at least one induction course of intravesical BCG were identified, with median
follow-up of 31.4 months. There were 20 (20.2 %) deaths, including 6 (6.1 %)
patients with bladder cancer-related mortality. 13 % patients experienced tumor
progression and 27 % underwent cystectomy following failure of intravesical
therapy. Anti-inflammatory use included statins (65 %), aspirin (63 %), or non
aspirin NSAIDs/COX inhibitors (26 %). Anti-inflammatory use was not significantly
predictive of recurrence, progression, or mortality outcomes on Cox regression.
CIS stage was associated with higher progression, while age, BMI, and Charlson
score were independent predictors of overall mortality. CONCLUSION: Despite
speculation of inhibitory effects on BCG immunomodulation there was no evidence
that anti-inflammatory agents impacted oncologic outcomes in patients receiving
BCG for HG NMIBC.
PMID- 27194043
TI - Ketamine for Treatment of Suicidal Ideation and Reduction of Risk for Suicidal
Behavior.
AB - Ketamine, an NMDA receptor antagonist with efficacy as a rapid anti-depressant,
has early evidence for action to reduce suicidal ideation. This review will
explore several important questions that arise from these studies. First, how do
we measure reductions in suicidal ideation that occur over minutes to hours?
Second, are the reductions in suicidal ideation after ketamine treatment solely a
result of its rapid anti-depressant effect? Third, is ketamine only effective in
reducing suicidal ideation in patients with mood disorders? Fourth, could
ketamine's action lead us to a greater understanding of the neurobiology of
suicidal processes? Last, do the reductions in depression and suicidal ideation
after ketamine treatment translate into decreased risk for suicidal behavior? Our
review concludes that ketamine treatment can be seen as a double-edged sword,
clinically to help provide treatment for acutely suicidal patients and
experimentally to explore the neurobiological nature of suicidal ideation and
suicidal behavior.
PMID- 27194045
TI - Engineering Gene Circuits for Mammalian Cell-Based Applications.
AB - Synthetic gene switches are basic building blocks for the construction of complex
gene circuits that transform mammalian cells into useful cell-based machines for
next-generation biotechnological and biomedical applications. Ligand-responsive
gene switches are cellular sensors that are able to process specific signals to
generate gene product responses. Their involvement in complex gene circuits
results in sophisticated circuit topologies that are reminiscent of electronics
and that are capable of providing engineered cells with the ability to memorize
events, oscillate protein production, and perform complex information-processing
tasks. Microencapsulated mammalian cells that are engineered with closed-loop
gene networks can be implanted into mice to sense disease-related input signals
and to process this information to produce a custom, fine-tuned therapeutic
response that rebalances animal metabolism. Progress in gene circuit design, in
combination with recent breakthroughs in genome engineering, may result in
tailored engineered mammalian cells with great potential for future cell-based
therapies.
PMID- 27194048
TI - Setting Single or Multiple Goals for Diet and Physical Activity Behaviors
Improves Cardiovascular Disease Risk Factors in Adults With Type 2 Diabetes: A
Pragmatic Pilot Randomized Trial.
AB - PURPOSE: The purpose of this study was to evaluate a 4-month telephone-based goal
setting and decision support intervention among adults with type 2 diabetes
mellitus (T2DM) and multiple risk factors for cardiovascular disease (CVD).
METHODS: A randomized pretest-posttest control group design was employed.
Overweight or obese adults aged 40 to 75 years with T2DM and >=1 additional CVD
risk factor were provided with individualized CVD risk information. At baseline
and each biweekly telephone call, the multiple-goal group self-selected both diet
and physical activity-related goals, the single goal group set a single goal,
and the control group received information about community health resources.
Dietary intake was assessed via a food frequency questionnaire, physical activity
via questionnaire, and A1C and blood lipids via fasting fingerstick sample.
Between-group differences for clinical (ie, A1C, blood pressure, and blood
lipids), physical activity, and dietary variables were evaluated using Kruskal
Wallis, Mann-Whitney U, analysis of variance, and t tests. RESULTS: From pre- to
postintervention, the single-goal group demonstrated significant improvement in
systolic blood pressure and intake of servings of fruits, vegetables, and refined
grains (all P < .05). The multiple-goal group reported significant reduction in
percent energy from total, saturated, monounsaturated, and trans fat intake and
significant increase in leisure time walking (all P < .05). CONCLUSION: A
multiple-goal approach over 4 months can improve dietary and physical activity
outcomes, while a single-goal approach may facilitate improvement in one
behavioral domain. Additional research is needed to evaluate maintenance of the
achieved changes.
PMID- 27194051
TI - Behavioral responses to injury and death in wild Barbary macaques (Macaca
sylvanus).
AB - The wounding or death of a conspecific has been shown to elicit varied behavioral
responses throughout thanatology. Recently, a number of reports have presented
contentious evidence of epimeletic behavior towards the dying and dead among non
human animals, a behavioral trait previously considered uniquely human. Here, we
report on the behavioral responses of Barbary macaques, a social, non-human
primate, to the deaths of four group members (one high-ranking adult female, one
high-ranking adult male, one juvenile male, and one female infant), all caused by
road traffic accidents. Responses appeared to vary based on the nature of the
death (protracted or instant) and the age class of the deceased. Responses
included several behaviors with potential adaptive explanations or consequences.
These included exploration, caretaking (guarding, carrying, and grooming), and
proximity to wounded individuals or corpses, and immediate as well as longer
lasting distress behaviors from other group members following death, all of which
have been reported in other non-human primate species. These observations add to
a growing body of comparative evolutionary analysis of primate thanatology and
help to highlight the multifaceted impacts of human-induced fatalities on an
endangered and socially complex primate.
PMID- 27194049
TI - A new endovascular strategy utilizing a hybrid procedure for long segmental
occlusion by acute arterial thromboembolism in the lower extremity.
AB - PURPOSE: To evaluate a new endovascular strategy utilizing a hybrid procedure for
long segmental arterial thromboembolism in a lower extremity by historical
comparison with conventional endovascular strategy. MATERIALS AND METHODS: In a
new endovascular strategy, a hybrid procedure was performed for long segmental
thromboembolism (longer than 15 cm) and an endovascular procedure for short
segmental thromboembolism. The new strategy group (Group A) consisted of 24
procedures (13 hybrid procedures, 11 endovascular procedures) in 19 patients.
Data were retrospectively collected from 24 consecutive procedures in 23 patients
treated with the conventional strategy (Group B). RESULT: The technical success
of Groups A and B was 24/24 and 20/24, respectively (p = 0.11). Major amputation
or mortality was not observed in Group A, whereas 3 major amputations and 4
deaths occurred in Group B. Clinical failure in Groups A and B was 0/24 and 7/24,
respectively (p < 0.05). Continuous urokinase (UK) infusion was needed in 1/24 in
Group A and 14/24 in Group B (p < 0.05). Mean procedure time was 4 h 17 min for
Group A and 21 h 30 min for Group B (p < 0.05). CONCLUSION: The hybrid procedure
may be faster and more effective than the conventional treatment in long
segmental arterial thromboembolisms, while the conventional treatment is still
effective for short segmental occlusions.
PMID- 27194050
TI - Host specialization of the blast fungus Magnaporthe oryzae is associated with
dynamic gain and loss of genes linked to transposable elements.
AB - BACKGROUND: Magnaporthe oryzae (anamorph Pyricularia oryzae) is the causal agent
of blast disease of Poaceae crops and their wild relatives. To understand the
genetic mechanisms that drive host specialization of M. oryzae, we carried out
whole genome resequencing of four M. oryzae isolates from rice (Oryza sativa),
one from foxtail millet (Setaria italica), three from wild foxtail millet S.
viridis, and one isolate each from finger millet (Eleusine coracana), wheat
(Triticum aestivum) and oat (Avena sativa), in addition to an isolate of a sister
species M. grisea, that infects the wild grass Digitaria sanguinalis. RESULTS:
Whole genome sequence comparison confirmed that M. oryzae Oryza and Setaria
isolates form a monophyletic and close to another monophyletic group consisting
of isolates from Triticum and Avena. This supports previous phylogenetic analysis
based on a small number of genes and molecular markers. When comparing the host
specific subgroups, 1.2-3.5 % of genes showed presence/absence polymorphisms and
0-6.5 % showed an excess of non-synonymous substitutions. Most of these genes
encoded proteins whose functional domains are present in multiple copies in each
genome. Therefore, the deleterious effects of these mutations could potentially
be compensated by functional redundancy. Unlike the accumulation of nonsynonymous
nucleotide substitutions, gene loss appeared to be independent of divergence
time. Interestingly, the loss and gain of genes in pathogens from the Oryza and
Setaria infecting lineages occurred more frequently when compared to those
infecting Triticum and Avena even though the genetic distance between Oryza and
Setaria lineages was smaller than that between Triticum and Avena lineages. In
addition, genes showing gain/loss and nucleotide polymorphisms are linked to
transposable elements highlighting the relationship between genome position and
gene evolution in this pathogen species. CONCLUSION: Our comparative genomics
analyses of host-specific M. oryzae isolates revealed gain and loss of genes as a
major evolutionary mechanism driving specialization to Oryza and Setaria.
Transposable elements appear to facilitate gene evolution possibly by enhancing
chromosomal rearrangements and other forms of genetic variation.
PMID- 27194047
TI - Network-assisted investigation of virulence and antibiotic-resistance systems in
Pseudomonas aeruginosa.
AB - Pseudomonas aeruginosa is a Gram-negative bacterium of clinical significance.
Although the genome of PAO1, a prototype strain of P. aeruginosa, has been
extensively studied, approximately one-third of the functional genome remains
unknown. With the emergence of antibiotic-resistant strains of P. aeruginosa,
there is an urgent need to develop novel antibiotic and anti-virulence
strategies, which may be facilitated by an approach that explores P. aeruginosa
gene function in systems-level models. Here, we present a genome-wide functional
network of P. aeruginosa genes, PseudomonasNet, which covers 98% of the coding
genome, and a companion web server to generate functional hypotheses using
various network-search algorithms. We demonstrate that PseudomonasNet-assisted
predictions can effectively identify novel genes involved in virulence and
antibiotic resistance. Moreover, an antibiotic-resistance network based on
PseudomonasNet reveals that P. aeruginosa has common modular genetic
organisations that confer increased or decreased resistance to diverse
antibiotics, which accounts for the pervasiveness of cross-resistance across
multiple drugs. The same network also suggests that P. aeruginosa has developed
mechanism of trade-off in resistance across drugs by altering genetic
interactions. Taken together, these results clearly demonstrate the usefulness of
a genome-scale functional network to investigate pathogenic systems in P.
aeruginosa.
PMID- 27194053
TI - Primary Clear Cell Carcinoma of the Non-cirrhotic Liver Presenting as an Acute
Abdomen: a Case Report and Review of the Literature.
PMID- 27194046
TI - Epigenetic Determinants of Cancer.
AB - SUMMARYEpigenetic changes are present in all human cancers and are now known to
cooperate with genetic alterations to drive the cancer phenotype. These changes
involve DNA methylation, histone modifiers and readers, chromatin remodelers,
microRNAs, and other components of chromatin. Cancer genetics and epigenetics are
inextricably linked in generating the malignant phenotype; epigenetic changes can
cause mutations in genes, and, conversely, mutations are frequently observed in
genes that modify the epigenome. Epigenetic therapies, in which the goal is to
reverse these changes, are now one standard of care for a preleukemic disorder
and form of lymphoma. The application of epigenetic therapies in the treatment of
solid tumors is also emerging as a viable therapeutic route.
PMID- 27194052
TI - Effect of hyaluronic acid on morphological changes to dentin surfaces and
subsequent effect on periodontal ligament cell survival, attachment, and
spreading.
AB - OBJECTIVES: Hyaluronic acid (HA) is a natural constituent of connective tissues
and plays an important role in their development, maintenance, and regeneration.
Recently, HA has been shown to improve wound healing. However, no basic in vitro
study to date has investigated its mode of action. Therefore, the purpose of this
study was to examine morphological changes of dentin surfaces following HA
coating and thereafter investigate the influence of periodontal ligament (PDL)
cell survival, attachment, and spreading to dentin discs. MATERIALS AND METHODS:
HA was coated onto dentin discs utilizing either non-cross-linked (HA) or cross
linked (HA cl) delivery systems. Morphological changes to dentin discs were then
assessed using scanning electron microscopy (SEM). Thereafter, human PDL cells
were seeded under three in vitro conditions including (1) dilution of HA (1:100),
(2) dilution of HA (1:10), and (3) HA coated directly to dentin discs. Samples
were then investigated for PDL cell survival, attachment, and spreading using a
live/dead assay, cell adhesion assay, and SEM imaging, respectively. RESULTS:
While control dentin discs demonstrated smooth surfaces both at low and high
magnification, the coating of HA altered surface texture of dentin discs by
increasing surface roughness. HA cl further revealed greater surface
texture/roughness likely due to the cross-linking carrier system. Thereafter, PDL
cells were seeded on control and HA coated dentin discs and demonstrated a near
100 % survival rate for all samples demonstrating high biocompatibility of HA at
dilutions of both 1:100 and 1:10. Interestingly, non-cross-linked HA
significantly increased cell numbers at 8 h, whereas cross-linked HA improved
cell spreading as qualitatively assessed by SEM. CONCLUSIONS: The results from
the present study demonstrate that both carrier systems for HA were extremely
biocompatible and demonstrated either improved cell numbers or cell spreading
onto dentin discs. Future in vitro and animal research is necessary to further
characterize the optimal delivery system of HA for improved clinical use.
CLINICAL RELEVANCE: HA is a highly biocompatible material that may improve PDL
cell attachment or spreading on dentin.
PMID- 27194054
TI - Determining predictive factors for immune checkpoint inhibitor toxicity: Response
to Letter to the Editors "A case report of insulin-dependent diabetes as immune
related toxicity of pembrolizumab: presentation, management and outcome".
PMID- 27194056
TI - Niche Overlap and Network Specialization of Flower-Visiting Bees in an
Agricultural System.
AB - Different resource use strategies manifest as differences in the realized niches
of species. Niche segregation may involve several dimensions of the niche, such
as diet, space, and time. We measured the level of redundancy and complementarity
of a bee-plant interaction network in an agricultural system. Because flower
resource diversity is high and resource abundance associated with flowering
phenology varies throughout the year, we hypothesized that trophic overlap in the
community would be low (i.e., high niche complementarity). In contrast, we
expected a combination of physiological constraints and exploitation competition
to create high temporal overlap, leading to high redundancy in the time of use of
floral resources. Dietary overlap was low (NOih = 0.18): niches of 88% of species
pairs had less than 30% overlap. In contrast, temporal overlap was intermediate
(NOih = 0.49): niches of 65% of species pairs had 30% to 60% overlap. Network
analysis showed that bees separated their dietary niches and had intermediate
complementary specialization (H2' = 0.46). In terms of their temporal niches (H2'
= 0.12), bees were generalists, with high temporal redundancy. Temperature was
not a key factor in the determination of niche overlap, suggesting that
environmental factors do not likely have a primary role in determining high
redundancy in the temporal use of floral resources. Rather, temporal overlap is
likely associated with the timing of nectar production by flowers. Our results
suggest that bees partition a wide variety of available floral resources,
resulting in low dietary overlap and intermediate temporal overlap.
PMID- 27194057
TI - Reproductive Status of Females in the Eusocial Wasp Polistes ferreri Saussure
(Hymenoptera: Vespidae).
AB - In the subfamily Polistinae, caste dimorphism is not pronounced and differences
among females are primarily physiological and behavioral. We investigated factors
that indicate the reproductive status in females of Polistes ferreri Saussure. We
analyzed females from nine colonies and evaluated morphometric parameters,
ovarian development, occurrence of insemination, relative age, and cuticular
chemical profile. The colony females showed three kinds of ovarian development:
type A, filamentous ovarioles; type B, ovarioles containing partially developed
oocytes; and type C, long and well-developed ovarioles containing two or more
mature oocytes. The stepwise discriminant analysis of the cuticular chemical
profile showed that it was possible to distinguish the three groups of females:
workers 1, workers 2, and queens. However, the stepwise discriminant analysis of
the morphological differences did not show significant differences among these
groups. The queens were among the older females in the colony and were always
inseminated, while the age of the workers varied according to the stage of colony
development.
PMID- 27194055
TI - Abnormal Epigenetic Modifications in Peripheral T Cells from Patients with
Abdominal Aortic Aneurysm Are Correlated with Disease Development.
AB - BACKGROUND: Increasing evidence suggests that abdominal aortic aneurysm (AAA) is
a T-cell-mediated autoimmune condition. This study investigates the epigenetic
modifications that occur in the T cells of AAA patients and evaluates the
correlation of these modifications with disease development. METHODS AND RESULTS:
Peripheral T cells were collected from 101 AAA patients and 102 healthy controls
(HCs). DNA methylation and histone acetylation levels were measured by ELISA.
Methyl-CpG-binding domain, DNA methyltransferase (DNMT) and histone deacetylase
(HDAC) mRNA levels were determined by real-time PCR. DNA from the T cells of the
AAA patients exhibited significant hypomethylation compared with the HCs (1.6
fold, p < 0.0001). Expression of DNMT1 at the mRNA level in the T cells of the
AAA patients was 1.52-fold lower than that of the HCs (p < 0.0001). The extent of
DNA methylation in the AAA patients was negatively correlated with the
corresponding aortic diameter (r = -0.498, p < 0.0001). H3 (1.59-fold, p <
0.0001) and H3K14 (2.15-fold, p < 0.0001) acetylation levels in the T cells of
the AAA patients were higher than those of the HCs, but the HDAC1 mRNA level was
2.33-fold lower than that of the HCs (p < 0.0001). CONCLUSIONS: DNA methylation
and the histone modification status are significantly altered in the T cells of
AAA patients. These changes could play a pivotal role in the activation of
pathological immune responses and may influence AAA development.
PMID- 27194058
TI - No Evidence of Habitat Loss Affecting the Orchid Bees Eulaema nigrita Lepeletier
and Eufriesea auriceps Friese (Apidae: Euglossini) in the Brazilian Cerrado
Savanna.
AB - Habitat loss, landscape fragmentation, and agriculture intensification constitute
the main threats to bees. As the organisms responsible for almost one third of
the food produced worldwide, there are growing concerns on bees' response to
human-related disturbances. Among all bee groups, orchid bees (Apidae:
Euglossini) compose an interesting group to test landscape-related hypotheses. In
here, we tested the effect of landscape features (amount of anthropic areas and
isolation) on the probability of occurrence and the abundances of both Eulaema
nigrita Lepeletier and Eufriesea auriceps Friese in the Cerrado savanna. In
general, we did not observe any effect of landscape features on the probability
of occurrence and abundances of both species in our sampling sites. Given their
potential high dispersal abilities, these bee species may be less sensitive to
fragmented landscapes or even positively affected by the increase of anthropic
habitats. Since we sampled many E. nigrita specimens in highly preserved Cerrado
savanna areas, we believe that at least for this biome, this species may not be a
good indicator of landscape disturbance.
PMID- 27194059
TI - Differential Recruitment of Camponotus femoratus (Fabricius) Ants in Response to
Ant Garden Herbivory.
AB - Although several studies have shown that ants can recognize chemical cues from
their host plants in ant-plant systems, it is poorly demonstrated in ant gardens
(AGs). In this interaction, ant species constantly interact with various epiphyte
species. Therefore, it is possible to expect a convergence of chemical signals
released by plants that could be acting to ensure that ants are able to recognize
and defend epiphyte species frequently associated with AGs. In this study, it was
hypothesized that ants recognize and differentiate among chemical stimuli
released by AG epiphytes and non-AG epiphytes. We experimentally simulated leaf
herbivore damage on three epiphyte species restricted to AGs and a locally
abundant understory herb, Piper hispidum, in order to quantify the number of
recruited Camponotus femoratus (Fabricius) defenders. When exposed to the AG
epiphytes Peperomia macrostachya and Codonanthe uleana leaves, it was observed
that the recruitment of C. femoratus workers was, on average, respectively 556%
and 246% higher than control. However, the number of ants recruited by the AG
epiphyte Markea longiflora or by the non-AG plant did not differ from paper
pieces. This indicated that ants could discern between chemicals released by
different plants, suggesting that ants can select better plants. These results
can be explained by evolutionary process acting on both ants' capability in
discerning plants' chemical compounds (innate attraction) or by ants' learning
based on the epiphyte frequency in AGs (individual experience). To disentangle an
innate behavior, a product of classical coevolutionary process, from an ant's
learned behavior, is a complicated but important subject to understand in the
evolution of ant-plant mutualisms.
PMID- 27194060
TI - Toward the Identification of the Sex Pheromone of Diatraea indigenella Dyar &
Heinrich (Lepidoptera: Crambidae): Calling Behavior and Chemical Structure of a
Major Component.
AB - Behavioral patterns and pheromone titer in females of the steam borer Diatraea
indigenella Dyar & Heinrich were assessed for 7 days during scotophase under a
13:11 L:D photoperiod. Calling was observed from the first scotophase for most of
the females. The highest percentage of calling females was recorded 6 h after the
onset of scotophase. Calling bouts and length of calling were affected by age,
decreasing dramatically after the sixth scotophase. By coupling chemical and
electrophysiological techniques (GC-EAD, GC-MS) and olfactometer bioassays (Z,E)
9,11-hexadecadienal was identified as the main sex pheromonal component in the
extracts of female glands. The concentration varied from 2.53 to 13.7 ng gland(
1) with a peak at the 6th hour of scotophase. In addition, two unidentified
secondary active components were detected at very low concentrations. Behavioral
assays showed that 86% of the male moths were more attracted to the gland
extracts than to hexane (control), and 77% of the male moths were more attracted
to the gland extract than to the synthetic major component (Z,E)-9,11
hexadecadienal. However, 68% of males preferred the synthetic major component
over hexane. The identification of the major sex pheromonal component represents
an important step toward the complete elucidation of the composition of the sex
pheromone of D. indigenella in order to develop monitoring tools.
PMID- 27194061
TI - Taxonomic and Functional Structure of Phytophagous Insect Communities Associated
with Grain Amaranth.
AB - Amaranthus are worldwide attacked mainly by leaf chewers and sucker insects. Stem
borers and leaf miners follow in importance, while minor herbivores are leaf
rollers, folders, and rasping-sucking insects. The herbivorous community observed
on Amaranthus spp. in Argentina was consistent with the information reported
worldwide both in guild composition and order proportion. Amaranth plants had a
higher number of phytophagous species in their native rather than in its
introduced range. Occurrence of insect guilds differed in space and time. The
highest density of leaf chewers was observed shortly after the emergence of
plants, while higher density of borer and sucker insects coincided with
reproductive stages of the crop. The sucking guild was observed mainly at
panicles, while the insects within the leaf chewer group were registered in both
leaves (92.6%, n = 746 adults) and inflorescences (7.4%). The borer guild was
also recorded in stems and inflorescences; however, the density of larvae in
stems was about four times as high as the density observed in panicles (n = 137
larvae).
PMID- 27194062
TI - Two New Species of the Genus Formosatettixoides Zheng (Orthoptera: Tetrigidae).
AB - Taxonomy of a tetrigid genus Formosatettixoides Zheng is reviewed. Two new
species, Formosatettixoides nigellus n. sp. and Formosatettixoides dabieshanensis
n. sp. are described. Redescription of the characters of the genus is given, the
distribution and an updated key to the now 10 species of the genus are presented.
PMID- 27194063
TI - Description of a New Species of the Genus Macromotettixoides Zheng (Orthoptera:
Tetrigoidea: Metrodorinae) from China.
AB - A new species, Macromotettixoides lativertex Deng et al n. sp. is described with
detailed illustrations of external morphology. Comparison between the species M.
lativertex n. sp. and allied species is compiled into a table for identification.
The distribution and an updated identification key to all known species of the
genus is given.
PMID- 27194064
TI - Four New Ladybug Species Belonging to Decadiomus Chapin (Coleoptera:
Coccinellidae) from Puerto Rico.
AB - While searching for native natural enemies attacking invasive insect pests in
Puerto Rico, we found four undescribed ladybug species belonging to the Caribbean
ladybug genus Decadiomus Chapin. In this article, we describe the following
species from Puerto Rico: Decadiomus seini n. sp., Decadiomus ramosi n. sp.,
Decadiomus hayuyai n. sp., and Decadiomus martorelli n. sp. Illustrations of the
dorsal habitus, shape of prosternal carinae, and drawings of male and female
genitalia are presented. We also present a key for Diomini of Puerto Rico and
discuss their importance as potential biocontrol agents.
PMID- 27194065
TI - Effects of Five Naturally Occurring Sugars on the Longevity, Oogenesis, and
Nutrient Accumulation Pattern in Adult Females of the Synovigenic Parasitoid
Neochrysocharis formosa (Hymenoptera: Eulophidae).
AB - Neochrysocharis formosa (Westwood) (Hymenoptera: Eulophidae), one of the dominant
natural enemies of agromyzid leafminers, is a synovigenic parasitoid. We compared
the longevity, oogenesis, and nutrient levels of female wasps provided with 10%
solutions of five naturally occurring sugars. All five sugars significantly
increased the longevity of female wasps, which was 6.5-9.3-fold higher than that
of parasitoids provided with water only. We found no significant difference in
longevity of female wasps fed on glucose versus fructose or trehalose versus
melezitose, but longevity of wasps fed on glucose or fructose was significantly
longer than those fed on trehalose or melezitose. Also, we examined the
oosorption capability of wasps fed on the five sugars. Some mature eggs were
present in the ovaries of newly emerged females, but these were fully reabsorbed
within 72 h when wasps were starved. Once wasps were fed with any of the sugars,
the number of mature eggs increased at first and then decreased due to
oosorption. The longevity and oogenesis dynamics of female wasps fed on five
sugars were related with their function of hydrolysis and digestion. As female
wasps have no lipogenesis capability, by acquiring exogenous sugars for
oogenesis, they can either maintain or exceed the original level of capital
nutrients held on adult emergence because none of the wasps' glycogen need be
metabolized to burn as sugar.
PMID- 27194067
TI - Antibiosis in Soybean Genotypes and the Resistance Levels to Spodoptera eridania
(Cramer) (Lepidoptera: Noctuidae).
AB - The southern armyworm (SAW) Spodoptera eridania (Cramer) is one of the most
common armyworm species defoliating soybeans. Preliminary screening trials have
indicated that some soybean genotypes exhibit resistance to SAW. Therefore, in
this study, we evaluated the development of SAW larvae fed on ten soybean
genotypes in order to identify genotypes with antibiosis-type resistance. Neonate
SAW larvae were daily fed with young leaves collected from plants at the
vegetative growth stages V4-V5. Larval development and survival were recorded.
Genotypes PI 227687 and PI 227682 delayed larval, pupal, and larva-adult
development and yielded larvae with the lowest weight and survival and pupae with
the lowest weight. Genotypes IAC 100 and DM 339 also negatively affected larval
and pupal development and larval survival but at a lower level. Based on our
results, the soybean lines PI 227687 and PI 227682 could be used as sources of
genes for soybean breeding programs aiming to develop high yield, SAW-resistant
cultivars. Moreover, further trials must be carried out under field conditions to
validate if the commercial cultivars IAC 100 and DM 339, which expressed moderate
levels of antibiosis-type resistance in the laboratory, are effective in
suppressing SAW larvae populations.
PMID- 27194066
TI - Performance of Bemisia tabaci (Genn.) Biotype B (Hemiptera: Aleyrodidae) on
Weeds.
AB - Bemisia tabaci (Genn.) biotype B (Hemiptera: Aleyrodidae) is regarded as a pest
with a large number of hosts, including crops and weeds. The performance of this
whitefly on seven weeds was evaluated in order to identify the most suitable
host. The following weeds that are very common in intense agricultural areas in
the state of Sao Paulo, Brazil, were selected for this study: spurge (Euphorbia
heterophylla), beggarticks (Bidens pilosa), red tasselflower (Emilia
sonchifolia), small-flower galinsoga (Galinsoga parviflora), pigweed (Amaranthus
viridis), black nightshade (Solanum americanum), and morning glory (Ipomoea sp.).
In free-choice tests, adult preference and oviposition were greatest on spurge.
In contrast, morning glory was the least attractive and least oviposited plant.
In assays carried out for egg-adult development, egg viability was greater than
87% over all weeds, whereas nymph viability ranged from 74 to 97%. The
developmental period from egg to adult ranged from 26.7 to 49.1 days among the
hosts under study. The lowest nymph density rate was observed for beggarticks and
morning glory. Cluster analysis resulted in a single group formed by spurge,
indicating its superiority as a host for B. tabaci biotype B. Even though the
parameters evaluated indicate that spurge is the most suitable host among the
weeds, all the others allow the reproduction of B. tabaci biotype B. For this
reason, they should be observed during cropping and the intercrop period in areas
infested by this whitefly.
PMID- 27194069
TI - Potential Effects of Nonsteroidal Anti-Inflammatory Drugs in the Prevention and
Treatment of Type 2 Diabetes Mellitus.
AB - Nonsteroidal anti-inflammatory drugs (NSAIDs) are a group of heterogeneous drugs
largely known for their anti-inflammatory, antipyretic, and analgesic effects,
which are met by means of the inhibition of the cyclooxygenase (COX) enzymes.
Even when their use in patients with diabetes mellitus is limited due to relevant
adverse events, some pharmacological and metabolic effects of NSAIDs have been
further studied to be potentially beneficial in the prevention and/or treatment
of diabetic subjects. Effects on endogenous glucose production, peripheral
insulin resistance, pancreatic islet, and systemic inflammation and the insulin
clearance have been reported. In this article, we overview the scientific
literature of the last 5 years regarding the potential effects of NSAID treatment
on diabetes prevention/treatment. The selected papers showed information in both
humans and animal models. Furthermore, we included papers that suggest new areas
for further investigation, and we discussed our own suggestions on this matter.
PMID- 27194070
TI - New Novel Treatment Approaches for Heart Failure With Reduced Ejection Fraction.
AB - Despite availability of standardized drug therapies with proven beneficial
outcomes, heart failure is associated with poor quality of life, increased
hospital readmission, and high mortality rate. In the recent years, comprehensive
understanding of the pathophysiological mechanisms of heart failure has led to
the development and approval of 2 new pharmacological agents, sacubitril
valsartan and ivabradine. These agents are currently approved for use in heart
failure with reduced ejection fraction (HFrEF) and present as novel approaches to
further improve prognosis and outcomes in patients with HF. They offer
alternative treatment options for patients who are intolerant or continue to be
symptomatic despite utilization of standard HF drug therapies at optimally
tolerated dosages. A review of these 2 novel agents in HFrEF, including
information on pivotal trials that led to its approval and its place in therapy
for HFrEF, is presented.
PMID- 27194071
TI - Preparing Students to Enter the Race for Postgraduate Training.
AB - PURPOSE: Exposure of pharmacy students to postgraduate training prior to clinical
practice is currently endorsed by national professional organizations. To meet
this goal, colleges and schools of pharmacy are developing residency preparation
programs. In this descriptive report, we review published studies of curricular
activities and structured programs from academic institutions across the United
States aimed at preparing students for residency training and promoting
postgraduate education. Additionally, we discuss our experience developing a
residency preparation program at Touro College of Pharmacy (TCOP). SUMMARY: A
literature search yielded 15 residency preparation programs. While the format,
content, and length of programs varied, there were common components such as
curriculum vitae critique, mock interviews, and focused sessions on the residency
application process. At TCOP, a 5-themed residency preparation workshop series
was implemented during the third and fourth academic year. The workshops were
modeled after existing programs and included several of the core components. One
feature unique to the TCOP program was the addition of multiple mock interviews.
CONCLUSION: The growing focus on residency training has led to increased
competition among applicants. To better prepare students for this rigorous
application and interview process, development of structured residency
preparation programs, similar to TCOP's, should be encouraged.
PMID- 27194068
TI - Mucosal adherent bacterial dysbiosis in patients with colorectal adenomas.
AB - Recent reports have suggested that the gut microbiota is involved in the
progression of colorectal cancer (CRC). The composition of gut microbiota in CRC
precursors has not been adequately described. To characterize the structure of
adherent microbiota in this disease, we conducted pyrosequencing-based analysis
of 16S rRNA genes to determine the bacterial profile of normal colons (healthy
controls) and colorectal adenomas (CRC precursors). Adenoma mucosal biopsy
samples and adjacent normal colonic mucosa from 31 patients with adenomas and 20
healthy volunteers were profiled using the Illumina MiSeq platform. Principal
coordinate analysis (PCoA) showed structural segregation between colorectal
adenomatous tissue and control tissue. Alpha diversity estimations revealed
higher microbiota diversity in samples from patients with adenomas. Taxonomic
analysis illustrated that abundance of eight phyla (Firmicutes, Proteobacteria,
Bacteroidetes, Actinobacteria, Chloroflexi, Cyanobacteria, Candidate-division
TM7, and Tenericutes) was significantly different. In addition, Lactococcus and
Pseudomonas were enriched in preneoplastic tissue, whereas Enterococcus,
Bacillus, and Solibacillus were reduced. However, both PCoA and cluster tree
analyses showed similar microbiota structure between adenomatous and adjacent non
adenoma tissues. These present findings provide preliminary experimental evidence
supporting that colorectal preneoplastic lesion may be the most important factor
leading to alterations in bacterial community composition.
PMID- 27194072
TI - The origin of novel features by changes in developmental mechanisms: ontogeny and
three-dimensional microanatomy of polyodontode scales of two early osteichthyans.
AB - Recent advances in synchrotron imaging allow us to study the three-dimensional
(3D) histology of vertebrate fossils, including microfossils (e.g. teeth and
scales) of early jawed vertebrates. These microfossils can often be scanned at
submicron resolution (<1 um) because of their small size. The resulting voxel (3D
pixel) stacks can be processed into virtual thin sections revealing almost every
internal detail of the samples, comparable to traditional thin sections. In
addition, 3D models of the internal microanatomical structures, such as embedded
odontodes and vasculature, can be assembled and examined in situ. Scales of two
early osteichthyans, Psarolepis romeri from the Early Devonian of China and
Andreolepis hedei from the Late Silurian of Sweden, were scanned using
propagation phase-contrast synchrotron X-ray microtomography (PPC-SRuCT), and 3D
models of internal canal systems and buried odontodes were created from the
scans. Based on these new data, we review the evolutionary origin of cosmine and
its associated pore-canal system, which has been long recognized as a
synapomorphy of sarcopterygians. The first odontode that appeared during growth
shows almost identical morphology in the two scales, but the second odontode of
the Psarolepis scale shows a distinctive morphology with several pores on the
surface. It is suggested that a shift from ridge-like odontode to pore-bearing
odontode was the key step in the origin of cosmine, which was then elaborated
further in more-derived sarcopterygians. We perform a detailed comparison between
the two scales and propose a primary homology framework to generate
microanatomical characters, which can be used in the phylogenetic analysis of
early osteichthyans when more 3D data become available. Our results highlight the
importance of 3D data for the study of histology and ontogeny of the dermal
skeleton of early jawed vertebrates, especially scales of the polyodontode type.
The traditional microvertebrate collection is not only useful for
biostratigraphic studies, but also preserves invaluable biological information
about the growth of vertebrate hard tissues. Today, we are only beginning to
understand the biological meaning of the new 3D data. The increasing availability
of such data will enable, and indeed require, a complete revision of traditional
palaeohistological studies on early vertebrates.
PMID- 27194074
TI - New approaches to infection prevention and control: implementing a risk-based
model regionally.
AB - QUALITY ISSUE: Infectious disease outbreaks result in substantial inconvenience
to patients and disruption of clinical activity. INITIAL ASSESSMENT: Between 1
April 2008 and 31 March 2009, the Vancouver Island Health Authority (Island
Health) declared 16 outbreaks of Vancomycin Resistant Enterococci and Clostridium
difficile in acute care facilities. As a result, infection prevention and control
became one of Island Health's highest priorities. CHOICE OF SOLUTION: Quality
improvement methodology, which promotes a culture of co-production between front
line staff, physicians and Infection Control Practitioners, was used to develop
and test a bundle of changes in practices. IMPLEMENTATION: A series of rapid Plan
Do-Study-Act cycles, specific to decreasing hospital-acquired infections, were
undertaken by a community hospital, selected for its size, clinical specialty
representation, and enthusiasm amongst staff and physicians for innovation and
change. Positive results were incorporated into practice at the test site, and
then introduced throughout the rest of the Health Authority. EVALUATION: The
changes implemented as a result of this study have enabled better control of
antibiotic resistant organisms and have minimized disruption to routine activity,
as well as saving an estimated $6.5 million per annum. When outbreaks do occur,
they are now controlled much more promptly, even in existing older facilities.
LESSONS LEARNED: Through this process, we have changed our approach in Infection
Prevention and Control (IPAC) from a rules-based approach to one that is risk
based, focusing attention on identifying and managing high-risk situations.
PMID- 27194073
TI - Clinical Trials in Neurovirology: Successes, Challenges, and Pitfalls.
AB - Clinical trials in neurovirology illustrate the special challenges confronting
investigators planning to study these conditions, as well as the contributions of
successful trials in establishing appropriate management for these devastating
diseases. This article reviews key examples of progress in neurovirology that
have been spurred by clinical trials, emphasizing human herpes virus
encephalitis, HIV, and JC virus. Clinical trials in the setting of
neurovirological diseases are characterized by specific challenges, which may
include small sample sizes, clinical presentations from life-threatening
conditions to chronic courses of disease, regional and temporally restricted
outbreaks scenarios, and the unavailability of validated diagnostic tests that
can be rapidly deployed at the bedside. This review aims to highlight these
methodological challenges and pitfalls in designing and executing clinical
neurovirology trials, as well as to outline innovative trial designs, which could
be useful in addressing common challenges.
PMID- 27194075
TI - The efficacy of nerve growth factor antibody in a mouse model of neuropathic
cancer pain.
AB - Neuropathic cancer pain is caused by tumors compressing the spinal nerve roots
and is usually difficult to treat. The aim of current study was to determine the
influence of NGF antibody on pain-related markers and behavior in a mouse model
of neuropathic cancer pain. Twenty mice were used to model neuropathic cancer
pain by applying murine sarcoma cells to their left sciatic nerve. Ten mice were
sham operated. Two weeks after surgery, the murine sarcoma-affected mice were
allocated randomly into treatment groups receiving either sterile saline (saline
group) or an anti-nerve growth factor antibody (anti-NGF group). Three weeks
after surgery (a week after treatment), the pain-related behavior of mice was
evaluated using a CatWalk system. Subsequently, bilateral dorsal root ganglia
(DRGs) from the L4-L6 levels and spinal cords at L4-L6 levels were resected. DRGs
were immunostained for calcitonin gene-related peptide (CGRP) and activating
transcription factor 3 (ATF-3), and spinal cords were immunostained for ionized
calcium-binding adaptor molecule-1 (iba-1). Mechanical allodynia was observed in
mice from the saline group and was improved in mice from the anti-NGF group. CGRP
and ATF-3-immunoreactivity in DRGs and microglia expression in the spinal dorsal
horn were upregulated in the saline group compared with the sham group, and they
were suppressed in the anti-NGF group compared with the saline group (P<0.05).
These findings suggest that anti-NGF therapy might be valuable for treating
neuropathic cancer pain.
PMID- 27194076
TI - Probabilistic measures of cost-effectiveness.
AB - Several probability-based measures are introduced in order to assess the cost
effectiveness of a treatment. The basic measure consists of the probability that
one treatment is less costly and more effective compared with another. Several
variants of this measure are suggested as flexible options for cost-effectiveness
analysis. The proposed measures are invariant under monotone transformations of
the cost and effectiveness measures. Interval estimation of the proposed measures
are investigated under a parametric model, assuming bivariate normality, and also
non-parametrically. The delta method and a generalized pivotal quantity approach
are both investigated under the bivariate normal model. A non-parametric U
statistics-based approach is also investigated for computing confidence
intervals. Numerical results show that under bivariate normality, the solution
based on generalized pivotal quantities exhibits accurate performance in terms of
maintaining the coverage probability of the confidence interval. The non
parametric U-statistics-based solution is accurate for sample sizes that are at
least moderately large. The results are illustrated using data from a clinical
trial for prostate cancer therapy. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27194078
TI - Long-distance temporal quantum ghost imaging over optical fibers.
AB - Since the first quantum ghost imaging (QGI) experiment in 1995, many QGI schemes
have been put forward. However, the position-position or momentum-momentum
correlation required in these QGI schemes cannot be distributed over optical
fibers, which limits their large-scale geographical applications. In this paper,
we propose and demonstrate a scheme for long-distance QGI utilizing frequency
correlated photon pairs. In this scheme, the frequency correlation is transformed
to the correlation between the illuminating position of one photon and the
arrival time of the other photon, by which QGI can be realized in the time
domain. Since frequency correlation can be preserved when the photon pairs are
distributed over optical fibers, this scheme provides a way to realize long
distance QGI over large geographical scale. In the experiment, long-distance QGI
over 50 km optical fibers has been demonstrated.
PMID- 27194077
TI - Volume perfusion CT imaging of cerebral vasospasm: diagnostic performance of
different perfusion maps.
AB - INTRODUCTION: In this study, we aimed to evaluate the diagnostic performance of
different volume perfusion CT (VPCT) maps regarding the detection of cerebral
vasospasm compared to angiographic findings. METHODS: Forty-one datasets of 26
patients (57.5 +/- 10.8 years, 18 F) with subarachnoid hemorrhage and suspected
cerebral vasospasm, who underwent VPCT and angiography within 6 h, were included.
Two neuroradiologists independently evaluated the presence and severity of
vasospasm on perfusion maps on a 3-point Likert scale (0-no vasospasm, 1
vasospasm affecting <50 %, 2-vasospasm affecting >50 % of vascular territory). A
third neuroradiologist independently assessed angiography for the presence and
severity of vasospasm on a 3-point Likert scale (0-no vasospasm, 1-vasospasm
affecting < 50 %, 2-vasospasm affecting > 50 % of vessel diameter). Perfusion
maps of cerebral blood volume (CBV), cerebral blood flow (CBF), mean transit time
(MTT), and time to drain (TTD) were evaluated regarding diagnostic accuracy for
cerebral vasospasm with angiography as reference standard. Correlation analysis
of vasospasm severity on perfusion maps and angiographic images was performed.
Furthermore, inter-reader agreement was assessed regarding findings on perfusion
maps. RESULTS: Diagnostic accuracy for TTD and MTT was significantly higher than
for all other perfusion maps (TTD, AUC = 0.832; MTT, AUC = 0.791; p < 0.001). TTD
revealed higher sensitivity than MTT (p = 0.007). The severity of vasospasm on
TTD maps showed significantly higher correlation levels with angiography than all
other perfusion maps (p <= 0.048). Inter-reader agreement was (almost) perfect
for all perfusion maps (kappa >= 0.927). CONCLUSION: The results of this study
indicate that TTD maps have the highest sensitivity for the detection of cerebral
vasospasm and highest correlation with angiography regarding the severity of
vasospasm.
PMID- 27194080
TI - Chiral Ferrocenyl P,N-Ligands for Palladium-Catalyzed Asymmetric Formal [3 + 2]
Cycloaddition of Propargylic Esters with beta-Ketoesters: Access to
Functionalized Chiral 2,3-Dihydrofurans.
AB - A highly enantioselective palladium-catalyzed [3 + 2] cycloaddition of
propargylic esters with beta-ketoesters has been realized by employing a newly
developed chiral ferrocene/benzimidazole-based P,N-ligand. This protocol features
a good tolerance of functional groups in both propargylic esters and beta
ketoesters, thereby delivering a variety of highly functionalized chiral 2,3
dihydrofurans bearing an exocyclic double bond at the 3-position in good yields
and with high enantioselectivities (up to 98% ee).
PMID- 27194079
TI - Alterations in antioxidant and oxidant status of children after on-pump surgery
for cyanotic and acyanotic congenital heart diseases.
AB - OBJECTIVE: Oxidative stress refers to an imbalance between reactive oxidative
species and antioxidants. In this case-controlled, prospective, observational
study, we investigated the total oxidant status, total antioxidant status,
oxidative stress index, and albumin and C-reactive protein levels of children
with cyanotic and acyanotic congenital heart diseases who had undergone on-pump
cardiac surgery. METHOD: The study groups consisted of 60 patients with
congenital heart disease, who were operated under cardiopulmonary bypass, and a
control group of 30 healthy individuals. The patients were classified into two
groups. Among them, one was a patient group that consisted of 30 patients with
acyanotic congenital heart disease and the other group consisted of 30 patients
with cyanotic congenital heart disease. In the patient groups, blood samples were
collected before surgery and at one and 24 hours following surgery. In control
groups, blood samples were collected once during hospital admission. RESULTS: No
statistically significant differences were found between the groups in terms of
baseline total oxidant status, total antioxidant status, and oxidative stress
index values. Regarding the postoperative first-hour and 24-hour total oxidant
status and total antioxidant status levels as well as oxidative stress index
values, there were no significant differences between the groups, except for an
increase in total antioxidant status levels (p=0.002) 24 hours after surgery in
cyanotic patients. CONCLUSION: There was no difference between oxidative stress
status of cyanotic and acyanotic congenital heart disease patients and healthy
individuals. Oxidative stress status of cyanotic and acyanotic patients does not
change after cardiac surgery under cardiopulmonary bypass.
PMID- 27194085
TI - Detection of UVA/UVC-induced damage of p53 fragment by rolling circle
amplification with AIEgens.
AB - Absorption of ultraviolet (UV) light by nucleic acid could lead to mutations and
skin cancers. Traditional damage detection methods based on fluorescence not only
need dye/quencher groups but also display relatively high background
interference, causing difficulty in synthesis and purification and thus low
specificity of detection. Here, by combining rolling circle amplification (RCA)
and aggregation-induced emission molecules (AIE), we made up for the defects of
traditional methods to some extent and could also differentiate damaged and
undamaged DNA. We also studied radiation damage of the p53 gene fragment both
from UVA and UVC, although the mechanism of UVA in mutagenesis remains
controversial. To amplify the signal-to-background ratio, we ligated the linear
p53 (L p53) gene fragment to be a circular p53 (C p53) gene fragment, which is a
key component for RCA. The combination of RCA products and positive TPE-Z
(quaternized tetraphenylethene salt) molecules induced the aggregation of AIE
molecules, and subsequently resulted in significant fluorescence enhancement (the
signal for the undamaged DNA is 598% higher than that of the damaged). Compared
with the traditional aggregation-caused quenching (ACQ) based fluorescent method,
our assay was more sensitive and more specific.
PMID- 27194086
TI - Heart failure: Finerenone vs eplerenone for patients with HFrEF.
PMID- 27194088
TI - Arrhythmias: Arrhythmia risk stratification using virtual heart models.
PMID- 27194087
TI - Surgery: Don't STICS to statins in cardiac surgery.
PMID- 27194089
TI - Genetics: Non-HDL cholesterol confers increased risk of CAD.
PMID- 27194090
TI - Arrhythmias: Ablation of ventricular tachycardia in ischaemic cardiomyopathy.
PMID- 27194092
TI - Coronary artery disease: Ceramides predict CV death in stable CAD and ACS.
PMID- 27194094
TI - Can a continuous mineral foam explain the stiffening of aged bone tissue? A
micromechanical approach to mineral fusion in musculoskeletal tissues.
AB - Recent experimental data revealed a stiffening of aged cortical bone tissue,
which could not be explained by common multiscale elastic material models. We
explain this data by incorporating the role of mineral fusion via a new
hierarchical modeling approach exploiting the asymptotic (periodic)
homogenization (AH) technique for three-dimensional linear elastic composites. We
quantify for the first time the stiffening that is obtained by considering a
fused mineral structure in a softer matrix in comparison with a composite having
non-fused cubic mineral inclusions. We integrate the AH approach in the Eshelby
based hierarchical mineralized turkey leg tendon model (Tiburtius et al 2014
Biomech. MODEL: Mechanobiol. 13 1003-23), which can be considered as a base for
musculoskeletal mineralized tissue modeling. We model the finest scale
compartments, i.e. the extrafibrillar space and the mineralized collagen fibril,
by replacing the self-consistent scheme with our AH approach. This way, we
perform a parametric analysis at increasing mineral volume fraction, by varying
the amount of mineral that is fusing in the axial and transverse tissue
directions in both compartments. Our effective stiffness results are in good
agreement with those reported for aged human radius and support the argument that
the axial stiffening in aged bone tissue is caused by the formation of a
continuous mineral foam. Moreover, the proposed theoretical and computational
approach supports the design of biomimetic materials which require an overall
composite stiffening without increasing the amount of the reinforcing material.
PMID- 27194093
TI - A description of sleep behaviour in healthy late pregnancy, and the accuracy of
self-reports.
AB - BACKGROUND: The importance of maternal sleep and its contribution to maternal and
fetal health during pregnancy is increasingly being recognised. However, the
ability to accurately recall sleep practices during pregnancy has been
questioned. The aim of this study is to test the accuracy of recall of normal
sleep practices in late pregnancy. METHODS: Thirty healthy women between 35 and
38 weeks of gestation underwent level III respiratory polysomnography (PSG) with
infrared digital video recordings in their own homes. Data regarding sleep
positions, number of times getting out of bed during the night and respiratory
measures were collected. A sleep questionnaire was administered the morning after
the recorded sleep. Continuous data were assessed using Spearman's Rho and Bland
Altman. Cohen's Kappa was used to assess recall in the categorical variables.
RESULTS: Two-thirds of participants went to sleep on their left side. There was
good agreement in sleep onset position between video and questionnaire data
(Kappa 0.52), however the there was poor agreement on position on wakening (Kappa
0.24). The number of times getting out of bed during the night was accurately
recalled (Kappa 0.65). Twenty five out of 30 participants snored as recorded by
PSG. Questionnaire data was inaccurate for this measure. Bland-Altman plots
demonstrated acceptable agreement between video and questionnaire data for
estimated sleep duration, but not the time taken to fall asleep (sleep latency).
One participant had mild obstructive sleep apnoea and another probable high upper
airways resistance. CONCLUSIONS: Sleep onset position, sleep duration and the
number of times getting out of bed during the night were accurately recalled, but
sleep latency and sleep position on waking were not. This study identifies the
sleep variables that can be accurately obtained by questionnaire and those that
cannot.
PMID- 27194096
TI - UTILIZATION OF MATERNAL HEALTH SERVICES AMONG INTERNAL MIGRANTS IN MUMBAI, INDIA.
AB - This study aimed to understand access to maternal health care and the factors
shaping it amongst poor migrants in Mumbai, India. A cross-sectional mixed
methods approach was used. It included multistage cluster sampling and face-to
face interviews, through structured interview schedules, of 234 migrant women who
had delivered in the two years previous to the date they were interviewed.
Qualitative in-depth interviews of migrant women, health care providers and
health officials were also conducted to understand community and provider
perspectives. The results showed that access to antenatal care was poor among
migrants with less than a third of them receiving basic antenatal care and a
quarter delivering at home. Multivariate analysis highlighted that amongst
migrant women those who stayed in Mumbai during pregnancy and delivery had better
access to maternal health care than those who went back to their home towns. Poor
maternal health care was also due to weaker demand for health care as a result of
the lack of felt-need among migrants due to socio-cultural factors and lack of
social support for, and knowledge of, health facilities in the city. Supply-side
factors such as inadequate health infrastructure at primary and secondary levels,
lack of specific strategies to improve access to health care for migrants and
cumbersome administrative procedures that exclude migrants from certain
government programmes all need to be addressed. Migrants should be integral to
the urban development process and policies should aim at preventing their
exclusion from basic amenities and their entitlements as citizens.
PMID- 27194095
TI - Effects of biotic and abiotic factors on phenotypic partitioning of wing
morphology and development in Sclerodermus pupariae (Hymenoptera: Bethylidae).
AB - Wing phenotype polymorphism is commonly observed in insects, yet little is known
about the influence of environmental cues on the development or expression of the
alternative phenotypes. Here, we report how both biotic and abiotic factors
affect the wing morph differentiation of a bethylid parasitoid Sclerodermus
pupariae. The percentage of winged female parasitoid progeny increased
exponentially with temperature between 20 degrees C to 30 degrees C. Low
intensity light and short-day photoperiod conditions also significantly induced
the development of winged morphs. Interestingly, wingless maternal parasitoids
produced more winged progeny. Furthermore, the degree of wing dimorphism was
significantly influenced by the interactions between light intensity and maternal
wing morphs. The percentage of winged female progeny was not significantly
influenced by foundress densities, but increased significantly with parasitoid
brood sizes. However, the percentage of male progeny increased significantly with
the densities of maternal parasitoids. Our findings highlight the phenotypic
partitioning of wing morphology and development in the parasitoid S. pupariae
under varied environmental cues, and reveal the most favourable conditions for
the production of winged females in this bethylid wasp. It is thus possible to
increase winged female parasitoid production for the purposes of biological
control by manipulation of biotic and abiotic conditions.
PMID- 27194097
TI - Role of clinical pharmacist in the management of blood pressure in dialysis
patients.
AB - Background Hypertension is highly prevalent yet undertreated condition in
hemodialysis patients. Objective The objective of this study was to evaluate
clinical pharmacist's role in the management of blood pressure in hemodialysis
patients guided by home blood pressure monitoring. Setting Outpatient
hemodialysis units of Jordan University Hospital and Isra'a Hospital. Method This
was a randomized controlled study. It lasted 6 months (September 2011 till the
end of March 2012). All hypertensive patients receiving hemodialysis were invited
to participate in the study. Out of 60 patients approached, 56 were actually
included. Patients were randomly allocated to an intervention (29) or control
group (27). In the intervention arm, patients received physician-pharmacist
collaborative care. While in the control arm patients received standard medical
care. Main outcome measure Percentage of patients achieving weekly average home
blood pressure below or equal 135/85 mmHg. Other secondary measures that were
assessed include absolute reduction in peridialysis blood pressure, interdialytic
weight gain, adherence to medications and dialysis sessions. Results A total of
52 patients completed the study. Forty-six percent of patients in the
intervention arm achieved BP target (mean home blood pressure <=135/85 mmHg)
compared to only 14.3 % of patients in the control arm (p = 0.02). Average
decline in weekly mean home systolic blood pressure was 10.9 +/- 17.7 mmHg in the
intervention arm (p = 0.004), while weekly mean home systolic blood pressure
increased by 3.5 +/- 18.4 mmHg in the control arm (p = 0.396). No significant
reduction was achieved in weekly home diastolic blood pressure, dialysis blood
pressure readings, or interdialytic weight gain in either arm. Conclusions
Clinical pharmacist-physicians' collaboration improved rate of blood pressure
control in hemodialysis patients. Using home blood pressure monitoring was found
to be an efficient way to guide blood pressure therapy.
PMID- 27194099
TI - Confinement and viscosity ratio effect on droplet break-up in a concentrated
emulsion flowing through a narrow constriction.
AB - This paper describes the dimensionless groups that determine the break-up
probability of droplets in a concentrated emulsion during its flow in a tapered
microchannel consisting of a narrow constriction. Such channel geometry is
commonly used in droplet microfluidics to investigate the content of droplets
from a concentrated emulsion. In contrast to solid wells in multi-well plates,
drops are metastable, and are prone to break-up which compromises the accuracy
and the throughput of the assay. Unlike single drops, the break-up process in a
concentrated emulsion is stochastic. Analysis of the behavior of a large number
of drops (N > 5000) shows that the probability of break-up increases with applied
flow rate, the size of the drops relative to the size of the constriction, and
the viscosity ratio of the emulsion. This paper shows that the break-up
probability collapses into a single curve when plotted as a function of the
product of capillary number, viscosity ratio, and confinement factor defined as
the un-deformed radius of the drop relative to the hydraulic radius of the
constriction. Fundamentally, the results represent a critical step towards the
understanding of the physics governing instability in concentrated emulsions.
Practically, the results provide a direct guide for the rational design of
microchannels and the choice of operation parameters to increase the throughput
of the droplet interrogation step while preserving droplet integrity and assay
accuracy.
PMID- 27194098
TI - Stakeholders' views on granting prescribing authority to pharmacists in Nigeria:
a qualitative study.
AB - Background In Nigeria, only medical doctors, dentists and some nurses in primary
care facilities have the legal right to prescribe medicines to patients.
Patients' access to prescription medicines can be seriously affected by the
shortage of prescribers leading to longer waiting times in hospitals. Objective
This research was carried out to investigate stakeholders' views on granting
prescribing authority to pharmacists in Nigeria. Setting The study was conducted
in Nigeria. Methods Qualitative, semi-structured interviews were conducted with
43 Nigerian stakeholders including policymakers, pharmacists, doctors and patient
group representatives. Transcribed interviews were entered into the QSR NVivo 10
software and analysed using a thematic approach. Main outcome measure
Stakeholders' perception on the granting of prescribing authority to pharmacists
in Nigeria. Results Three major themes emerged from the interviews: (1)
prescribing as a logical role for pharmacists, (2) pharmacist prescribing- an
opportunity or a threat and (3) the potential barriers to pharmacist prescribing.
Many non-medical stakeholders including pharmacists and patient group
representatives supported an extended role for pharmacists in prescribing while
the majority of medical doctors including those in policy making were reluctant
to do so. Generally, all stakeholders perceived that pharmacist prescribing
represents an opportunity to increase patients' access to medicines, reduce
doctors' workload and promote the utilisation of pharmacists' skills. However,
many stakeholders including pharmacists and doctors commonly identified
pharmacists' inadequate skills in diagnosis, medical resistance and shortage of
pharmacists as potential barriers to the introduction of pharmacist prescribing
in Nigeria. Conclusion The present study showed a split of opinion between
participants who were medical doctors and those who were non-doctors in their
support for pharmacist prescribing. However, all stakeholders acknowledged the
potential of pharmacist prescribing to increase patients' access to medicines in
Nigeria.
PMID- 27194091
TI - Vein graft failure: from pathophysiology to clinical outcomes.
AB - Occlusive arterial disease is a leading cause of morbidity and mortality
worldwide. Aside from balloon angioplasty, bypass graft surgery is the most
commonly performed revascularization technique for occlusive arterial disease.
Coronary artery bypass graft surgery is performed in patients with left main
coronary artery disease and three-vessel coronary disease, whereas peripheral
artery bypass graft surgery is used to treat patients with late-stage peripheral
artery occlusive disease. The great saphenous veins are commonly used conduits
for surgical revascularization; however, they are associated with a high failure
rate. Therefore, preservation of vein graft patency is essential for long-term
surgical success. With the exception of 'no-touch' techniques and lipid-lowering
and antiplatelet (aspirin) therapy, no intervention has hitherto unequivocally
proven to be clinically effective in preventing vein graft failure. In this
Review, we describe both preclinical and clinical studies evaluating the
pathophysiology underlying vein graft failure, and the latest therapeutic options
to improve patency for both coronary and peripheral grafts.
PMID- 27194100
TI - Integrative functional genomic delineation of the cascades of transcriptional
changes involved in hepatocellular carcinoma progression.
AB - Development of targeted therapeutics is still at its early stage for
hepatocellular carcinoma (HCC) due to the incomplete understanding of the
confounding regulations at signaling pathway level. In this investigation, gene
co-expression-based networking and integrative functional genomic modeling of HCC
mRNA profiles as signaling processes were employed to understand the complex
signaling cascades involved in HCC development toward understanding the avenues
for targeted therapeutics. Multiple sets of genes and molecular biological
processes involved during HCC development were identified from this integrative
analysis: (i) Loss of liver cellular features due to the reduced HNF4A & PPAR
signaling in the early stages of HCC, (ii) activated inflammatory and stress
signals in the cirrhosis stages and (iii) highly activated cellular proliferation
with the activated E2F-MYC oncogenic signaling with the gain of embryonic liver
stem cell-like features in the advanced stage tumors. Upon connecting these gene
sets with the established drug sensitivity-related gene signatures, targeted
therapeutic strategies for the heterogeneous HCC conditions have been identified.
PPAR agonist class of drugs for early stage HCC conditions, anti-inflammatory
drugs for cirrhosis and topoisomerase inhibitors for the advanced HCC conditions
were inferred. Integrative functional genomic analysis of HCC transcriptome
profiles at the context of signaling pathways has defined the key molecular
processes involved in HCC development. Further, the study highlights the stage
specific and pathway focused targeted therapeutics for HCC. These findings
deserve extensive preclinical explorations toward the establishment of targeted
therapeutics.
PMID- 27194102
TI - Serotonin-induced contractile responses of esophageal smooth muscle in the house
musk shrew (Suncus murinus).
AB - BACKGROUND: Serotonin (5-hydroxytryptamine, 5-HT) is a regulatory factor in
motility of the gastrointestinal tract including the esophagus. Although we
proposed that vagal cholinergic and mast cell-derived non-cholinergic components
including serotonin coordinately shorten the esophagus, the precise mechanism of
serotonin-induced contractions in the suncus esophagus is still unclear.
Therefore, the aims of this study were to determine characteristics of
contractile responses induced by serotonin and to identify 5-HT receptor subtypes
responsible for regulating motility in the suncus esophagus. METHODS: An isolated
segment of the suncus esophagus was placed in an organ bath, and longitudinal or
circular mechanical responses were recorded using a force transducer. KEY
RESULTS: Serotonin evoked contractile responses of the suncus esophagus in the
longitudinal direction but not in the circular direction. Tetrodotoxin did not
affect the serotonin-induced contractions. Pretreatment with a non-selective 5-HT
receptor antagonist or double application of 5-HT1 and 5-HT2 receptor antagonists
blocked the serotonin-induced contractions. 5-HT1 and 5-HT2 receptor agonists,
but not a 5-HT3 receptor agonist, evoked contractile responses in the suncus
esophagus. CONCLUSION & INFERENCES: The findings suggest that serotonin induces
contractile responses of the longitudinal smooth muscle in the muscularis mucosae
of the suncus esophagus that are mediated via 5-HT1 and 5-HT2 receptors on muscle
cells. The serotonin-induced contractions might contribute to esophageal
peristalsis and emetic response.
PMID- 27194101
TI - ISPD produces CDP-ribitol used by FKTN and FKRP to transfer ribitol phosphate
onto alpha-dystroglycan.
AB - Mutations in genes required for the glycosylation of alpha-dystroglycan lead to
muscle and brain diseases known as dystroglycanopathies. However, the precise
structure and biogenesis of the assembled glycan are not completely understood.
Here we report that three enzymes mutated in dystroglycanopathies can collaborate
to attach ribitol phosphate onto alpha-dystroglycan. Specifically, we demonstrate
that isoprenoid synthase domain-containing protein (ISPD) synthesizes CDP
ribitol, present in muscle, and that both recombinant fukutin (FKTN) and fukutin
related protein (FKRP) can transfer a ribitol phosphate group from CDP-ribitol to
alpha-dystroglycan. We also show that ISPD and FKTN are essential for the
incorporation of ribitol into alpha-dystroglycan in HEK293 cells. Glycosylation
of alpha-dystroglycan in fibroblasts from patients with hypomorphic ISPD
mutations is reduced. We observe that in some cases glycosylation can be
partially restored by addition of ribitol to the culture medium, suggesting that
dietary supplementation with ribitol should be evaluated as a therapy for
patients with ISPD mutations.
PMID- 27194103
TI - Strigolactones are required for nitric oxide to induce root elongation in
response to nitrogen and phosphate deficiencies in rice.
AB - The response of the root system architecture to nutrient deficiencies is critical
for sustainable agriculture. Nitric oxide (NO) is considered a key regulator of
root growth, although the mechanisms remain unknown. Phenotypic, cellular and
genetic analyses were undertaken in rice to explore the role of NO in regulating
root growth and strigolactone (SL) signalling under nitrogen-deficient and
phosphate-deficient conditions (LN and LP). LN-induced and LP-induced seminal
root elongation paralleled NO production in root tips. NO played an important
role in a shared pathway of LN-induced and LP-induced root elongation via
increased meristem activity. Interestingly, no responses of root elongation were
observed in SL d mutants compared with wild-type plants, although similar NO
accumulation was induced by sodium nitroprusside (SNP) application. Application
of abamine (the SL inhibitor) reduced seminal root length and pCYCB1;1::GUS
expression induced by SNP application in wild type; furthermore, comparison with
wild type showed lower SL-signalling genes in nia2 mutants under control and LN
treatments and similar under SNP application. Western blot analysis revealed that
NO, similar to SL, triggered proteasome-mediated degradation of D53 protein
levels. Therefore, we presented a novel signalling pathway in which NO-activated
seminal root elongation under LN and LP conditions, with the involvement of SLs.
PMID- 27194104
TI - Glucose-sensitive polymer nanoparticles for self-regulated drug delivery.
AB - Glucose-sensitive drug delivery systems, which can continuously and automatically
regulate drug release based on the concentration of glucose, have attracted much
interest in recent years. Self-regulated drug delivery platforms have potential
application in diabetes treatment to reduce the intervention and improve the
quality of life for patients. At present, there are three types of glucose
sensitive drug delivery systems based on glucose oxidase (GOD), concanavalin A
(Con A), and phenylboronic acid (PBA) respectively. This review covers the recent
advances in GOD-, Con A-, or PBA-mediated glucose-sensitive nanoscale drug
delivery systems, and provides their major challenges and opportunities.
PMID- 27194105
TI - Large-Scale and Defect-Free Silicon Metamaterials with Magnetic Response.
AB - All-dielectric metamaterials offer a potential low-loss alternative to plasmonic
metamaterials at optical frequencies. Here, we experimentally demonstrate a
silicon based large-scale magnetic metamaterial, which is fabricated with
standard photolithography and conventional reactive ion etching process. The
periodically arrayed silicon sub-wavelength structures possess electric and
magnetic responses with low loss in mid-infrared wavelength range. We investigate
the electric and magnetic resonances dependencies on the structural parameters
and demonstrate the possibility of obtaining strong dielectric-based magnetic
resonance through a broad band range. The optical responses are quite uniform
over a large area about 2 * 2 cm(2). The scalability of this design and
compatibility fabrication method with highly developed semiconductor devices
process could lead to new avenues of manipulating light for low-loss, large-area
and real integrated photonic applications.
PMID- 27194106
TI - Will an algal CO2-concentrating mechanism work in higher plants?
AB - Many algae use a biophysical carbon concentrating mechanism for active
accumulation and retention of inorganic carbon within chloroplasts, with CO2
fixation by RuBisCO within a micro-compartment, the pyrenoid. Engineering such
mechanisms into higher plant chloroplasts is a possible route to augment RuBisCO
operating efficiency and photosynthetic rates. Significant progress has been made
recently in characterising key algal transporters and identifying factors
responsible for the aggregation of RuBisCO into the pyrenoid. Several
transporters have now also been successfully incorporated into higher plant
chloroplasts. Consistent with the predictions from modelling, regulation of
higher plant plastidic carbonic anhydrases and some form of RuBisCO aggregation
will be needed before the mechanism delivers potential benefits. Key research
priorities include a better understanding of the regulation of the algal carbon
concentrating mechanism, advancing the fundamental characterisation of known
components, evaluating whether higher plant chloroplasts can accommodate a
pyrenoid, and, ultimately, testing transgenic lines under realistic growth
conditions.
PMID- 27194107
TI - Cementless anatomical prosthesis for the treatment of 3-part and 4-part proximal
humerus fractures: cadaver study and prospective clinical study with minimum 2
years followup.
AB - INTRODUCTION: The purpose of this study was to evaluate the functional and
radiological outcomes of a cementless, trauma-specific locked stem for 3- and 4
part proximal humeral fractures. MATERIALS AND METHODS: This study consisted of
two parts: a cadaver study with 22 shoulders and a multicenter prospective
clinical study of 23 fracture patients evaluated at least 2 years after
treatment. In the cadaver study, the locked stem (Humelock(TM), FX Solutions) and
its instrumentation were evaluated. In the clinical study, five senior surgeons
at four different hospitals performed the surgical procedures. An independent
surgeon evaluated the patients using clinical (Constant score, QuickDASH) and
radiological (X-rays, CT scans) outcome measures. RESULTS: The cadaver study
allowed us to validate the height landmarks relative to the pectoralis major
tendon. In the clinical study, at the review, abduction was 95 degrees (60-160),
forward flexion was 108 degrees (70-160), external rotation (elbow at body) was
34 degrees (0-55), the QuickDASH was 31 (4.5-59), the overall Constant score was
54 (27-75), and the weighted Constant score was 76 (31.5-109). DISCUSSION: This
preliminary study of hemiarthroplasty (HA) with a locked stem found results that
were at least equivalent to published series. As all patients had at least a 2
year follow-up, integration of the locked stem did not cause any specific
complications. These results suggest that it is possible to avoid using cement
when hemiarthroplasty is performed for the humeral stem. This implant makes
height adjustment and transosseous suturing of the tuberosities more
reproducible.
PMID- 27194108
TI - Femoral shaft fracture osteosynthesis in a critically ill patient under
Extracorporeal Membrane Oxygenation (ECMO).
AB - INTRODUCTION: Extracorporeal Membrane Oxygenation (ECMO) is an invasive procedure
used in critically ill patients with catastrophic pulmonary failure or
cardiogenic shock in which conventional management has failed. These patients are
managed with permanent anticoagulation, with increased bleeding risk. Hemorrhage
is the main reported complication. CASE: A 25-year-old polytraumatized woman,
both lower limbs amputated and a left femoral shaft fracture with catastrophic
pulmonary failure (Murray score 4) that required intensive management care with
ECMO. During her evolution definitive femoral shaft osteosynthesis with a nail as
required and the medical team decided to operate on the patient under ECMO. She
recovered with fluctuations in her hematocrit, but was hemodynamically stable.
The patient recovered satisfactorily, was weaned from ECMO and commenced her
rehabilitation program. At 16 months, she was almost autovalent, and full
consolidation was achieved, with no complication of the implants. DISCUSSION:
ECMO is a life-saving support, but requires permanent anticoagulation, which
implies a high risk of hemorrhages, specially for surgical treatment. This
patient underwent an osteosynthesis surgery satisfactorily. Hematoma was the only
complication of her intramedullary femoral nail, without compromising
hemodynamics. This case shows that patients on ECMO can undergo a major
orthopedic surgery in selected cases.
PMID- 27194109
TI - Biomechanical properties of osteoporotic rat femurs after different hormonal
treatments: genistein, estradiol, and estradiol/progesterone.
AB - INTRODUCTION: The purpose of the study is to compare the effects of genistein,
estradiol, estradiol/progesterone combination on the bone mineral density and
biomechanical properties of ovariectomized rats' bone. METHODS: 50 female adult
Sprague-Dawley rats were divided into five groups. Bilaterally ovaeriectomy were
performed in all groups except the sham-operated group. Groups were a sham
operated group and a control group (water was given), estradiol treated group (17
beta estradiol 0.015 mg/kg per day), genistein treated group (genistein 10 mg/kg
per day), and an estradiol/progesterone combination group (17-beta estradiol
0.015 mg/kg plus drosperinone 0.028 mg/kg per day). The water or hormones were
implemented in relevant groups for eight weeks by orogasthric catheter. The bone
mineral density and biomechanical properties of the femur were analyzed. RESULTS:
Genistein, estradiol, and estradiol/progesterone groups increased bone mineral
density significantly compared to the control group. In diaphysis and metaphysis
bending test, all groups had higher peak load values than the control group.
There were statistically significant differences between the
estrogen/progesterone group and control group in diaphysis bending with regard to
peak load. There were statistically significant differences between the estradiol
and control groups in metaphysis bending with regard to peak load. In axial
rotation test, all groups had higher peak torque values than the control groups.
CONCLUSIONS: Genistein, estradiol and estrogen/progesterone combination improved
the biomechanical properties of the ovariectomized rat bone. Genistein which has
less side effects may be considered as an alternative in the treatment of
postmenopausal osteoporosis.
PMID- 27194110
TI - Gorham-Stout syndrome of the shoulder.
AB - INTRODUCTION: Gorham-Stout syndrome (GSS) is a rare but severe subtype of
idiopathic osteolysis. There are no guidelines for the treatment of GSS. We
analysed different diagnostic and therapeutic regimes and we describe the
sucessful treatment of GSS considering individual patient factors. METHODS: We
diagnosed three patients with shoulder-specific GSS using clinical, radiological
and histopathological examinations. Two out of three patients with similar
clinical appearances were treated non-operatively. One patient was treated by
reverse shoulder arthroplasty. All patients were analysed retrospectively using
clinical and radiological evaluation with a mean follow-up of 42 (range 30-50)
months. RESULTS: Two patients had few symptoms of GSS and were treated
conservatively. One patient underwent arthroplasty, with a good clinical result.
No additional therapy, such as radiation or anti-resorptive medications, was
needed for the stable fixation of the prosthesis and the termination of
osteolysis. In all patients we found good clinical outcomes with high patient
satisfaction. DISCUSSION: GSS is diagnosed after exclusion of infectious,
malignant, and systemic disorders. The diagnosis should be supported by clinical,
radiological, and histopathological characteristics of patients. Different
humoral and cellular changes have been reported in GSS, but lack sufficient
supporting evidence. GSS is associated with angiomatous and lymphatic
malformations. The changes in GSS and the theories of its pathophysiology may
reveal.
PMID- 27194111
TI - Curcumin Inhibits 5-Fluorouracil-induced Up-regulation of CXCL1 and CXCL2 of the
Colon Associated with Attenuation of Diarrhoea Development.
AB - The compound 5-fluorouracil (5-FU) is used in cancer chemotherapy and is known to
cause diarrhoea. We recently reported that chemokine (C-X-C motif) ligand 1
(CXCL1) and neutrophils in the colonic mucosa were markedly increased by the
administration of 5-FU in mice. Curcumin has anti-inflammatory, antitumour and
antioxidant properties. Therefore, we examined the effect of curcumin on 5-FU
induced diarrhoea development and CXCL1 and CXCL2 up-regulation in the colon.
Mice were given 5-FU (50 mg/kg, i.p.) daily for 4 days. Curcumin (100 or 300
mg/kg, p.o.) was administered on the day before the first administration of 5-FU
and administered 30 min. before the administration of 5-FU. Gene expression
levels of CXCL1 and CXCL2 in the colon were examined by real-time RT-PCR.
Curcumin reduced the 5-FU-induced diarrhoea development. Under this condition,
the CXCL1 and CXCL2 gene up-regulated by 5-FU administration was inhibited by
curcumin. The gene expression of CXCL1 and CXCL2 was also enhanced by 5-FU
application in vitro. The 5-FU-induced up-regulated CXCL1 and CXCL2 gene
expressions were inhibited by curcumin, Bay-117082 and bortezomib, nuclear factor
kappa B (NF-kappaB) inhibitors, C646, a p300/cyclic adenosine monophosphate
response element-binding protein-histone acetyltransferase (HAT) inhibitor. In
conclusion, these findings suggested that curcumin prevented the development of
diarrhoea by inhibiting NF-kappaB and HAT activation.
PMID- 27194113
TI - Prohibited or regulated? LSD psychotherapy and the United States Food and Drug
Administration.
AB - Over the 1950s and early 1960s, the use of the hallucinogenic drug lysergic acid
diethylamide (LSD) to facilitate psychotherapy was a promising field of
psychiatric research in the USA. However, during the 1960s, research began to
decline, before coming to a complete halt in the mid-1970s. This has commonly
been explained through the increase in prohibitive federal regulations during the
1960s that aimed to curb the growing recreational use of the drug. However,
closely examining the Food and Drug Administration's regulation of LSD research
in the 1960s will reveal that not only was LSD research never prohibited, but
that the administration supported research to a greater degree than has been
recognized. Instead, the decline in research reflected more complex changes in
the regulation of pharmaceutical research and development.
PMID- 27194115
TI - Double Ring Expansion from an Aromatic [18]Porphyrin(1.1.1.1) to an Antiaromatic
[20]Porphyrin(2.1.2.1).
AB - Double ring expansion from a 5,15-diarylporphyrin to a 5,16-diaryl-10,11,21,22
tetradehydro[20]porphyrin(2.1.2.1) occurred through a reaction sequence
consisting of oxidation with PbO2 to 5,15-dioxoporphodimethene, a Corey-Fuchs
reaction with tetrabromomethane in the presence of triphenylphosphine, and
Fritsch-Buttenberg-Wiechell rearrangement triggered by tert-butyllithium. The
obtained tetradehydro[20]porphyrin(2.1.2.1) and its mono- and dihydrogenated
congeners exhibited 20 pi antiaromatic character, whereas overhydrogenated
congeners bearing a saturated bridge were nonaromatic owing to disrupted pi
conjugation.
PMID- 27194112
TI - Proteome-wide drug screening using mass spectrometric imaging of bead-arrays.
AB - A fundamental challenge in the drug discovery process is to develop compounds
with high efficacy and minimal side-effects. We describe a new approach to
proteome-wide drug screening for detection of on- and off-target binding which
combines the advantages of mass spectrometry with microarray technology. The
method involves matrix-assisted laser desorption/ionization mass spectrometric
imaging (MALDI-MSI) of agarose micro-beads randomly arrayed at high-density in
custom micro-well plates. Each bead carries a unique protein target and a
corresponding photocleavable mass-tag for coding (PC-Mass-Tag). Compounds bound
to specific protein beads and a photo-released coding PC-Mass-Tag are detected
simultaneously using MALDI-MSI. As an initial demonstration of this approach, two
kinase-targeted drugs, Dasatinib and Brigatinib (AP26113), were simultaneously
screened against a model 50-member kinase-bead library. A MALDI-MSI scan
performed at the equivalent density of 495,000 beads in the footprint of a
microscope slide yielded 100% sensitivity for detecting known strong interactions
with no false positives.
PMID- 27194114
TI - Max Scheler's influence on Kurt Schneider.
AB - Kurt Schneider (1887-1967) met Max Scheler (1874-1928) in 1919 when he enrolled
in the latter's philosophy seminars at the University of Cologne. Kurt Schneider
was then a junior psychiatrist and Max Scheler a renowned philosophy professor
and co-founder of the phenomenological movement in philosophy. We uncover the
facts about their intellectual and personal relationship, summarize the main
articles and books that they wrote and consider whether Max Scheler did influence
the young Kurt Schneider. We conclude that Scheler's philosophy of emotion
impressed Schneider, and that the latter's notion of 'vital depression' as the
core element in melancholia was essentially applied Schelerian philosophy.
Schneider's more celebrated contributions to psychiatry - his notion of first
rank symptoms of schizophrenia - owed nothing to Scheler or any other
philosopher.
PMID- 27194116
TI - Sexual identities and sexual health within the Celtic nations: An exploratory
study of men who have sex with men recruited through social media.
AB - Associations of sexual identity with a range of sexual and sexual health
behaviours were investigated amongst men who have sex with men (MSM). Data from
1816 MSM recruited from 4 Celtic nations (Scotland, Wales, Northern Ireland and
the Republic of Ireland) were collected via a cross-sectional online survey
advertised via social media. About 18.3% were non-gay identified MSM (NGI-MSM).
In the last year, 30% of NGI-MSM reported high-risk unprotected anal intercourse
and 45% reported never having had an sexually transmitted infection (STI) test.
When compared to MSM who were gay identified (GI-MSM), NGI-MSM were more likely
to be older, have a female partner, fewer sex partners, fewer anal sex partners,
STI diagnoses and less likely to be HIV positive, more likely to never use the
gay scene and be geographically further from a gay venue. NGI-MSM were also less
likely to report STI and HIV testing behaviours. The findings highlight
variations in risk by sexual identities, and unmet sexual health needs amongst
NGI-MSM across Celtic nations. Innovative research is required regarding the
utility of social media for reaching populations of MSM and developing
interventions which target the heterogeneity of MSM and their specific sexual
health needs.
PMID- 27194117
TI - Preclinical and human surrogate models of itch.
AB - Pruritus, or simply itch, is a debilitating symptom that significantly decreases
the quality of life in a wide range of clinical conditions. While histamine
remains the most studied mediator of itch in humans, treatment options for
chronic itch, in particular antihistamine-resistant itch, are limited. Relevant
preclinical and human surrogate models of non-histaminergic itch are needed to
accelerate the development of novel antipruritics and diagnostic tools. Advances
in basic itch research have facilitated the development of diverse models of itch
and associated dysaesthesiae. While experimental itch in humans is induced over a
short period of time and usually assessed psychophysically, the study of itch
reactions in animals allows for both short-term and long-term studies but relies
heavily on behavioural assessments. This review provides a background and a
presentation of the established models of itch currently applied in animals and
humans with emphasis on translatability.
PMID- 27194119
TI - Medical students and physical education students as CPR instructors: an
appropriate solution to the CPR-instructor shortage in secondary schools?
AB - BACKGROUND: Integrating cardiopulmonary resuscitation (CPR) training in secondary
schools will increase the number of potential CPR providers. However, currently
too few certified instructors are available for this purpose. Training medical
students and physical education student teachers to become CPR instructors could
decrease this shortage. AIM: Examine whether medical students and physical
education student teachers can provide CPR training for secondary school pupils
as well as (i. e., non-inferior to) registered nurses. METHODS: A total of 144
secondary school pupils were randomly assigned to CPR training by a registered
nurse (n = 12), a medical student (n = 17) or a physical education student
teacher (n = 15). CPR performance was assessed after training and after eight
weeks in a simulated cardiac arrest scenario on a resuscitation manikin, using
manikin software and video recordings. RESULTS: No significant differences were
found between the groups on the overall Cardiff Test scores and the correctness
of the CPR techniques during the post-training and retention test. All pupils
showed sufficient CPR competence, even after eight weeks. CONCLUSION: Training by
medical students or physical education student teachers is non-inferior to
training by a registered nurse, suggesting that school teachers, student teachers
and medical students can be recruited for CPR training in secondary schools.
PMID- 27194118
TI - Pulmonary hypertension complicating pulmonary sarcoidosis.
AB - Pulmonary hypertension (PH) is a severe complication of sarcoidosis, with an
unknown prevalence. The aetiology is multifactorial, and the exact mechanism of
PH in the individual patient is often difficult to establish. The diagnostic work
up and treatment of PH in sarcoidosis is complex, and should therefore be
determined by a multidisciplinary expert team in a specialised centre. It is
still a major challenge to identify sarcoidosis patients at risk for developing
PH. There is no validated algorithm when to refer a patient suspected for PH, and
PH analysis itself is difficult. Until present, there is no established therapy
for PH in sarcoidosis. Besides optimal treatment for sarcoidosis, case series
evaluating new therapeutic options involving PH-targeted therapy are arising for
a subgroup of patients. This review summarises the current knowledge regarding
the aetiology, diagnosis and possible treatment options for PH in sarcoidosis.
PMID- 27194120
TI - Comment on: Out-of-hospital cardiac arrest: the prospect of E-CPR in the
Maastricht region.
PMID- 27194122
TI - Generation of an inducible fibroblast cell line for studying direct cardiac
reprogramming.
AB - Direct reprogramming of fibroblasts into induced cardiomyocytes (iCMs) through
forced expression of cardiac-lineage specific transcription factors holds promise
as an alternative strategy for cardiac regeneration. To facilitate research in
iCM reprogramming, we generated a suite of new tools. We developed a transformed
cell line derived from mouse embryonic fibroblasts (MEF). This fibroblast cell
line (MEF-T) harbors an alphaMHC-eGFP reporter transgene for rapid detection of
newly derived iCMs. The MEF-T cell line is highly proliferative and easily
transfected and transduced, making it an ideal tool for transgene expression and
genetic manipulation. Additionally, we generated a Tet-On inducible polycistronic
iCM reprogramming construct for the temporal regulation of reprogramming factor
expression. Furthermore, we introduced this construct into MEF-T and created an
inducible reprogrammable fibroblast cell line. These tools will facilitate future
research in cell fate reprogramming by enabling the temporal control of
reprogramming factor expression as well as high-throughput screening using
libraries of small molecules, noncoding RNAs, and siRNAs. genesis 54:398-406,
2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27194123
TI - The Syrian refugees crisis brings challenges to the health authorities in Europe:
hepatitis A virus is a case in point.
PMID- 27194124
TI - Role of metastasectomy for recurrent/metastatic gastrointestinal stromal tumors
based on an analysis of the Kinki GIST registry.
AB - PURPOSE: To define the role of surgery for metastatic/recurrent lesions after
resection of primary gastrointestinal stromal tumors (GISTs). METHODS: Based on
data obtained from the Kinki GIST registry, patients with recurrence or
metastasis were divided into a surgical treatment group (ST group), comprised
those treated with surgery in addition to tyrosine kinase inhibitor (TKI)
therapy; and a drug treatment group (DT group), comprised those treated with TKI
therapy alone. We compared the baseline characteristics and survival outcomes of
the groups. RESULTS: Metastasis or recurrence developed in 93 of the 737 patients
with GISTs treated between 2003 and 2007, 50 (53.8 %) of whom were assigned to
the ST group and 43 (46.2 %) to the DT group. In the ST group, the 5-year overall
survival rate was significantly higher for patients who underwent R0/R1 resection
than for those who underwent R2 resection (82.2 vs. 47.0 %, p = 0.018). Survival
time after recurrence was correlated with the duration of total TKI therapy in
both the ST and DT groups (r = 0.766 and r = 0.932, respectively, p < 0.001).
CONCLUSIONS: Continuous TKI therapy appears to be important primarily for the
prognostic improvement of patients with recurrent/metastatic GISTs. R0/R1
resection may have benefits when combined with TKI therapy for patients with
stable disease or disease responsive to TKI therapy, less than four metastatic
lesions, and lesions <100 mm in total.
PMID- 27194125
TI - Outcomes of lung cancer surgery in patients with coronary artery disease: a
decade of experience at a single institution.
AB - PURPOSE: The aim of this study is to investigate the surgical outcomes of surgery
for non-small cell lung cancer (NSCLC) in patients with coronary artery disease
(CAD). METHODS: Among 805 patients who underwent surgery for NSCLC at our
hospital within a recent 10-year period, 43 (5.3 %) had a history of CAD. We
analyzed the surgical outcomes and risk factors for postoperative complications
in these 43 patients. RESULTS: The postoperative mortality and morbidity rates
were 2 and 42 %, respectively. The morbidity rate was significantly higher in the
patients with CAD than in those without CAD (P < 0.01). Postoperative
cerebrovascular or cardiovascular events occurred in four patients (9 %). Having
two of the following was significantly associated with the development of
postoperative complications: decreased cardiac function, respiratory dysfunction,
or deteriorated renal function (p = 0.04). The 5-year overall and disease-free
survival rates of the patients with CAD were 75.6 and 64.5 %, respectively;
comparable with those of the patients without CAD; at 77.9 % and 72.5 %,
respectively (p = 0.46 and 0.69). CONCLUSIONS: Patients with NSCLC and a history
of CAD are at higher risk of complications after pulmonary resection. Combined
decreased organ function is a risk factor for postoperative complications. CAD
did not influence the long-term outcomes of patients after pulmonary resection
for NSCLC.
PMID- 27194127
TI - On the gas phase fragmentation of protonated uracil: a statistical perspective.
AB - The potential energy surface of protonated uracil has been explored by an
automated transition state search procedure, resulting in the finding of 1398
stationary points and 751 reactive channels, which can be categorized into
isomerizations between pairs of isomers, unimolecular fragmentations and
bimolecular reactions. The use of statistical Rice-Ramsperger-Kassel-Marcus
(RRKM) theory and Kinetic Monte Carlo (KMC) simulations allowed us to determine
the relative abundances of each fragmentation channel as a function of the ion's
internal energy. The KMC/RRKM product abundances are compared with novel mass
spectrometry (MS) experiments in the collision energy range 1-6 eV. To facilitate
the comparison between theory and experiments, further dynamics simulations are
carried out to determine the fraction of collision energy converted into the
ion's internal energy. The KMC simulations show that the major fragmentation
channels are isocyanic acid and ammonia losses, in good agreement with
experiments. The third predominant channel is water loss according to both theory
and experiments, although the abundance obtained in the KMC simulations is very
low, suggesting that non-statistical dynamics might play an important role in
this channel. Isocyanic acid (HNCOH(+)) is also an important product in the KMC
simulations, although its abundance is only significant at internal energies not
accessible in the MS experiments.
PMID- 27194128
TI - Terahertz Modulator based on Metamaterials integrated with Metal-Semiconductor
Metal Varactors.
AB - The terahertz (THz) band of the electromagnetic spectrum, with frequencies
ranging from 300 GHz to 3 THz, has attracted wide interest in recent years owing
to its potential applications in numerous areas. Significant progress has been
made toward the development of devices capable of actively controlling terahertz
waves; nonetheless, further advances in device functionality are necessary for
employment of these devices in practical terahertz systems. Here, we demonstrate
a low voltage, sharp switching terahertz modulator device based on metamaterials
integrated with metal semiconductor metal (MSM) varactors, fabricated on an
AlGaAs/InGaAs based heterostructure. By varying the applied voltage to the MSM
varactor located at the center of split ring resonator (SRR), the resonance
frequency of the SRR-based metamaterial is altered. Upon varying the bias voltage
from 0 V to 3 V, the resonance frequency exhibits a transition from 0.52 THz to
0.56 THz, resulting in a modulation depth of 45 percent with an insertion loss of
4.3 dB at 0.58 THz. This work demonstrates a new approach for realizing active
terahertz devices with improved functionalities.
PMID- 27194126
TI - Postoperative analgesia using fentanyl plus celecoxib versus epidural anesthesia
after laparoscopic colon resection.
AB - PURPOSE: Effective postoperative analgesia is essential to a patient's recovery
after laparoscopic colon resection (LCR). We introduce a new analgesic protocol
using fentanyl plus celecoxib following LCR. METHODS: The subjects of this
retrospective comparative study were 137 patients who underwent LCR, 63 of whom
were treated with 72 h of epidural anesthesia (group E), and 74 of whom were
treated with 24 h of fentanyl intravenous injection followed by 7 days of oral
celecoxib (group FC). We evaluated the safety and efficacy of this new protocol.
RESULTS: The combination of fentanyl and celecoxib maintained a low postoperative
pain score (<1.5, evaluated by the FACES Pain Scale) and reduced the need for
rescue analgesic drugs for 7 days (groups E vs. FC: 5.39 +/- 3.77 vs. 2.79 +/-
2.92, p < 0.001). The postoperative hospital stay was almost equal for the two
groups (E vs. FC: 11.1 +/- 4.5 vs. 10.3 +/- 4.8 days, p = 0.315). The operating
room stay other than for surgery was significantly shorter for group FC (E vs.
FC: 128.7 +/- 30.5 vs. 107.2 +/- 17.0 min, p < 0.001). Neither group experienced
complications, apart from one group FC patient, who suffered transient nausea and
vertigo. CONCLUSIONS: The new analgesic protocol using fentanyl plus celecoxib is
an effective and time-saving strategy for LCR.
PMID- 27194129
TI - Performance of the IOTA ADNEX model in preoperative discrimination of adnexal
masses in a gynecological oncology center.
AB - OBJECTIVE: To evaluate the performance of the International Ovarian Tumor
Analysis (IOTA) ADNEX model in the preoperative discrimination between benign
ovarian (including tubal and para-ovarian) tumors, borderline ovarian tumors
(BOT), Stage I ovarian cancer (OC), Stage II-IV OC and ovarian metastasis in a
gynecological oncology center in Brazil. METHODS: This was a diagnostic accuracy
study including 131 women with an adnexal mass invited to participate between
February 2014 and November 2015. Before surgery, pelvic ultrasound examination
was performed and serum levels of tumor marker CA 125 were measured in all women.
Adnexal masses were classified according to the IOTA ADNEX model.
Histopathological diagnosis was the gold standard. Receiver-operating
characteristics (ROC) curve analysis was used to determine the diagnostic
accuracy of the model to classify tumors into different histological types.
RESULTS: Of 131 women, 63 (48.1%) had a benign ovarian tumor, 16 (12.2%) had a
BOT, 17 (13.0%) had Stage I OC, 24 (18.3%) had Stage II-IV OC and 11 (8.4%) had
ovarian metastasis. The area under the ROC curve (AUC) was 0.92 (95% CI, 0.88
0.97) for the basic discrimination between benign vs malignant tumors using the
IOTA ADNEX model. Performance was high for the discrimination between benign vs
Stage II-IV OC, BOT vs Stage II-IV OC and Stage I OC vs Stage II-IV OC, with AUCs
of 0.99, 0.97 and 0.94, respectively. Performance was poor for the
differentiation between BOT vs Stage I OC and between Stage I OC vs ovarian
metastasis with AUCs of 0.64. CONCLUSION: The majority of adnexal masses in our
study were classified correctly using the IOTA ADNEX model. On the basis of our
findings, we would expect the model to aid in the management of women with an
adnexal mass presenting to a gynecological oncology center. Copyright (c) 2016
ISUOG. Published by John Wiley & Sons Ltd.
PMID- 27194130
TI - Health-related quality of life in patients with spinal dural arteriovenous
fistulae.
AB - Neurological improvement in patients with spinal dural arteriovenous fistulae
(SDAVF) is often partial even after adequate treatment. While treatment outcomes
have been evaluated primarily on the basis of the postoperative changes in
neurological deficits, outcome measures should also reflect the patient-reported
outcome (PRO). We conducted a health-related quality of life (HRQOL) survey in 52
SDAVF patients; 33 (63.5%) completed the short-form 36 Health Survey (SF-36)
questionnaire. They were 25 males and 8 females ranging in age from 47 to 85
years (mean age 70.0 years). The mean follow-up period was 95.6 months. We
analyzed the completed questionnaires and examined the clinical factors
associated with their HRQOL. After treatment, gait- and micturition disturbances
persisted in 31 (93.9%) and 31 (93.9%) of our patients; 26 (78.8%) reported
chronic leg pain. The SF-36 scores of treated SDAVF patients were significantly
lower than the national average of 50 for all 8 sub-items in the questionnaire.
The scores for physical functioning (PF) and role-physical (RP) were particularly
low. With the exception of bodily pain (BP), there was a significant negative
correlation between the Aminoff-Logue scale (ALS) scores for gait- and
micturition and the sub-item scores. The score for BP showed a significant
positive correlation with the scores for the 7 other SF-36 sub-items. The HRQOL
of treated SDAVF patients was lower than the national average with respect to
both physical and mental aspects. Persistent post-treatment pain and gait- and
micturition disturbances were responsible for their lower HRQOL.
PMID- 27194131
TI - The masaryk hospital extracranial-intracranial bypass study.
AB - Patients with symptomatic internal carotid artery (ICA) occlusion with
haemodynamic impairment are at higher risk of ischaemic stroke, and they require
treatment. There are two main options: the best medical treatment and an
extracranial-intracranial bypass. The aims of this study are to analyse the 30
day and 2-year risk of stroke and death in patients with extracranial
intracranial bypass performed by our department and to compare our results with
major published studies. This retrospective study enrolled patients who underwent
surgery from 1998 to 2012. Inclusion criteria were the following: (1)
radiological diagnosis of symptomatic atherosclerotic internal carotid artery
occlusion (AICAO), (2) less than 50 % stenosis of a contralateral ICA, (3)
transient ischaemic attack (TIA) or ischaemic stroke in the hemispheric territory
on an occluded side within 120 days and (4) haemodynamic impairment of at least
stage I according to transcranial Doppler sonography (TCDS), perfusion CT and
SPECT. Patients were followed up in the outpatient department with TCDS and
sonography of the contralateral ICA and the anastomosis after 6 weeks and every
12 months after that. All risks of stroke and death from surgery were recorded
throughout the 30 days and the following 2 years post surgery. From September
1998 to November 2012, 93 patients were selected for bypass surgery. There were
72 men and 21 women in an age range of 33 to 79 years (mean 58.9 years) and a
follow-up range of 13 to 187 months (mean 108 months). The 30-day risk of stroke
and death was 7.5 %. It consists of one death, one major ischaemic stroke, two
reversible neurological deficits and three TIAs. The 2-year risk of stroke and
death was 9.7 %. Extracranial-intracranial bypass is an effective treatment of
haemodynamic impairment in patients with internal carotid occlusion. Maintaining
low-level morbidity and mortality is possible with a dedicated neurovascular
team. This is the only way in which we can reduce the risk of stroke and death in
patients with bypass compared to patients treated medically.
PMID- 27194132
TI - Proposal of an optimized strategy for intraoperative testing of speech and
language during awake mapping.
AB - In this paper, we review the literature about the definitions of errors observed
by direct electrical stimulation during language testing. As a practical
application, we propose an optimized strategy for differentiating accurately
motor arrest, speech arrest, and anomia in the context of intraoperative mapping.
Finally, we also discuss the anatomical correlates of the networks sustaining
these different errors, both cortically and axonally.
PMID- 27194133
TI - Detailed anatomy knowledge: first step to approach petroclival meningiomas
through the petrous apex. Anatomy lab experience and surgical series.
AB - Petroclival meningiomas are a challenge for neurosurgeons due to the complex
anatomy of the region that is rich of vessels and nerves. A perfect and detailed
knowledge of the anatomy is very demanding in neurosurgery, especially in skull
base surgery. The authors describe the microsurgical anatomy to perform an
anterior petrosectomy based on their anatomical and surgical experience and
perform a literature review. The temporal bone is the most complex and
fascinating bone of skull base. The apex is located in the angle between the
greater wing of the sphenoid and the occipital bone. Removing the petrous apex
exposes the clivus. The approach directed through the temporal bone in this
anatomical area is referred to as an anterior petrosectomy. The area that must be
drilled is the rhomboid fossa that is defined by the Kawase, premeatal, and
postmeatal triangles. In Division of Neurosurgery - University of Turin, 130
patients, from August 2013 to September 2015, underwent surgical resection of
intracranial meningiomas. In this group, we have operated 7 PCMs and 5 of these
were approached performing an anterior petrosectomy with good results. In our
conclusions, we feel that this surgery require an advanced knowledge of human
anatomy and a specialized training in interpretation of radiological and
microsurgical anatomy both in the dissection lab and in the operating room.
PMID- 27194134
TI - Mobile Phones and Psychosocial Therapies with Vulnerable People: a First State of
the Art.
AB - Mobile phones are becoming a communication tool commonly used by people all over
the world; and they are started to be adopted in psychosocial therapies involving
vulnerable people. We are herein presenting the results of an academic literature
review. We identified scientific papers published between 2006 and 2015 resorting
to academic databases available on the Internet, applying a systematic selection
method based on quality criteria. Secondly, we analysed contents, highlighting
the scarcity of research involving vulnerable people. The available literature
specialized in psychosocial therapies offers investigation results which involve
mobile phones and patients in general, focusing particularly on the clinical
psychology field and, to a lesser extent, on the social work field. Particularly
significant are the investigation works developed in the United States. In the
present paper we introduce a first "state of the art", identifying opportunities
and also the limitations surrounding the use of mobile phones in psychosocial
therapies targeting the vulnerable. Issues concerning privacy and data
confidentiality, and the access of vulnerable people to mobile phones and how
they use them, pose significant challenges; but they offer the opportunity to
reach isolated or impoverished populations, or even to facilitate access to
social and healthcare services. We close this paper formulating possible
orientations, hypotheses and goals to design new investigation works involving
vulnerable populations.
PMID- 27194135
TI - Overexpression of the beta2AR gene improves function and re-endothelialization
capacity of EPCs after arterial injury in nude mice.
AB - BACKGROUND: Proliferation and migration of endothelial progenitor cells (EPCs)
play important roles in restoring vascular injuries. beta2 adrenergic receptors
(beta2ARs) are widely expressed in many tissues and have a beneficial impact on
EPCs regulating neoangiogenesis. The aim of the present study was to determine
the effect of overexpressing beta2ARs in infused peripheral blood (PB)-derived
EPCs on the re-endothelialization in injured vessels. METHODS: Induction of
endothelial injury was performed in male nude mice that were subjected to wire
mediated injury to the carotid artery. Human PB-derived EPCs were transfected
with an adenovirus serotype 5 vector expressing beta2AR (Ad5/beta2AR-EPCs) and
were examined 48 h later. beta2AR gene expression in EPCs was detected by real
time polymerase chain reaction and Western blot analysis. In vitro, the
proliferation, migration, adhesion, and nitric oxide production of Ad5/beta2AR
EPCs were measured. Meanwhile, phosphorylated Akt and endothelial nitric oxide
synthase (eNOS), which are downstream of beta2AR signaling, were also elevated.
In an in vivo study, CM-DiI-labeled EPCs were injected intravenously into mice
subjected to carotid injury. After 3 days, cells recruited to the injury sites
were detected by fluorescent microscopy, and the re-endothelialization was
assessed by Evans blue dye. RESULTS: In vitro, beta2AR overexpression augmented
EPC proliferation, migration, and nitric oxide production and enhanced EPC
adhesion to endothelial cell monolayers. In vivo, when cell tracking was used,
the number of recruited CM-DiI-labeled EPCs was significantly higher in the
injured zone in mice transfused with Ad5/beta2AR-EPCs compared with non
transfected EPCs. The degree of re-endothelialization was also higher in the mice
transfused with Ad5/beta2AR-EPCs compared with non-transfected EPCs. We also
found that the phosphorylation of Akt and eNOS was increased in Ad5/beta2AR-EPCs.
Preincubation with beta2AR inhibitor (ICI118,551), Akt inhibitor (ly294002), or
eNOS inhibitor (L-NAME) significantly attenuated the enhanced in vitro function
and in vivo re-endothelialization capacity of EPCs induced by beta2AR
overexpression. CONCLUSIONS: The present study demonstrates that beta2AR
overexpression enhances EPC functions in vitro and enhances the vascular repair
abilities of EPCs in vivo via the beta2AR/Akt/eNOS pathway. Upregulation of
beta2AR gene expression through gene transfer may be a novel therapeutic target
for endothelial repair.
PMID- 27194136
TI - Enhanced antibiotic distribution strategies and the potential impact of facial
cleanliness and environmental improvements for the sustained control of trachoma:
a modelling study.
AB - BACKGROUND: Despite some success in controlling trachoma with repeated mass drug
administration (MDA), some hyperendemic regions are not responding as fast as
anticipated. Available data suggests that individuals with higher bacterial
infection loads are less likely to resolve infection following a single dose of
treatment, and thus remain a source of re-emergent infection following treatment.
We assessed the potential impact of a new double-dose antibiotic distribution
strategy in addition to enhanced facial cleanliness (F) and environmental
improvements (E). METHODS: Using a within-community mathematical model of
trachoma transmission we assessed the impact of a new double-dose antibiotic
distribution strategy given 2 weeks apart, with and without enhanced F&E. We
compared the annual double-dose strategy to single-dose annual MDA treatment in
hyper-, meso- and hypoendemic settings, and to biannual MDA at 6-monthly
intervals in hyperendemic communities. RESULTS: The findings from our
mathematical model suggest that implementing the new double-dose strategy for 5
years or less was predicted to control infection more successfully than annual or
6-monthly treatment. Infection was controlled more readily if treatment was
combined with enhanced F&E. The results appeared robust to variation in a number
of key epidemiological parameters. To have long-term impact on transmission,
enhanced F&E is essential for high transmission settings. CONCLUSION: Our current
findings are based on simualtion modelling only, due to lack of epidemilogical
data, however they do suggest that the annual double-dose treatment strategy is
encouraging for trachoma control. In high transmission settings, both MDA and
enhanced F&E are needed for sustained control.
PMID- 27194137
TI - Pulmonary and pleural lymphatic endothelial cells from pediatric, but not adult,
patients with Gorham-Stout disease and generalized lymphatic anomaly, show a high
proliferation rate.
AB - BACKGROUND: Gorham-Stout disease (OMIM 123880) and generalized lymphatic anomaly
are two rare disorders of lymphendothelial growth in which thoracic involvement
with chylothorax is a feared complication. Currently it is believed that both
disorders are prenatal malformations that progress slowly after birth. Several
pharmaceuticals with antiproliferative properties, including interferon-alpha-2b,
rapamycin and propranolol, have however been shown to affect the disease course
in some patients. Deeper knowledge of the growth characteristics of these
malformations are therefore needed to guide the clinical approach. METHODS:
Lymphatic vessels in lung and pleural tissue from both children and adult
patients with generalized lymphatic anomaly or Gorham-Stout disease were studied
using an immunohistochemical approach, targeting lymphendothelial markers (D2
40/Prox-1) and a proliferation marker (Ki-67). RESULTS: We found significant
proliferation and growth in these lesions in pediatric patients but not in
adults. Furthermore, the data may suggest that the disease process is at least
partly reversible. CONCLUSIONS: These malformations of the lymphatic system
proliferate at a significant rate long after birth, which could suggest that the
clinical approach for children should be different from adults.
PMID- 27194138
TI - [IF-RT alone remains gold standard for stage IA nodular lymphocyte-predominant
Hodgkin lymphoma].
PMID- 27194140
TI - Research and partnerships with schools.
AB - PURPOSE: Despite the quantity of research on child and adolescent mental health
being done in schools, little output has focused on the practical aspects of
recruiting schools and students into a study. Furthermore, there is limited
knowledge on how to develop and sustain productive and mutually beneficial
partnerships with schools after the project finishes. METHODS: A large study
examining prevalence of mental health problems in young people involving nine
schools is used as an example for the procedure of recruitment and carrying out a
research project, while developing and sustaining partnerships with schools.
RESULTS: While recruiting the schools, a three-stage model was developed that
corresponded closely to the school's needs and existing demands. The suggested
procedure for the study, thus, closely reflected the varying existing cultures of
participating schools. Partnerships, developed as a result of the project, were
used in developing further projects and interventions for promoting good mental
health in schools. CONCLUSIONS: Rather than a blanket research recruitment and
procedural approach with an end to school involvement at the end of the project,
the paper advocates for a deeper understanding of the schools' internal culture
for improved recruitment and study outcomes. Developed partnerships, when
sustained past the completion of research, prove to be a useful tool in applying
the findings in promoting good mental health in schools and continuing research
further.
PMID- 27194139
TI - Thoracic Pedicle Screw Placement Guide Plate Produced by Three-Dimensional (3-D)
Laser Printing.
AB - BACKGROUND The aim of this study was to evaluate the accuracy and feasibility of
an individualized thoracic pedicle screw placement guide plate produced by 3-D
laser printing. MATERIAL AND METHODS Thoracic pedicle samples of 3 adult cadavers
were randomly assigned for 3-D CT scans. The 3-D thoracic models were established
by using medical Mimics software, and a screw path was designed with scanned
data. Then the individualized thoracic pedicle screw placement guide plate
models, matched to the backside of thoracic vertebral plates, were produced with
a 3-D laser printer. Screws were placed with assistance of a guide plate. Then,
the placement was assessed. RESULTS With the data provided by CT scans, 27
individualized guide plates were produced by 3-D printing. There was no
significant difference in sex and relevant parameters of left and right sides
among individuals (P>0.05). Screws were placed with assistance of guide plates,
and all screws were in the correct positions without penetration of pedicles,
under direct observation and anatomic evaluation post-operatively. CONCLUSIONS A
thoracic pedicle screw placement guide plate can be produced by 3-D printing.
With a high accuracy in placement and convenient operation, it provides a new
method for accurate placement of thoracic pedicle screws.
PMID- 27194141
TI - Production of cyclodextrin glycosyltransferase by immobilized Bacillus sp. on
chitosan matrix.
AB - The whole-cell immobilization on chitosan matrix was evaluated. Bacillus sp., as
producer of CGTase, was grown in solid-state and batch cultivation using three
types of starches (cassava, potato and cornstarch). Biomass growth and substrate
consumption were assessed by flow cytometry and modified phenol-sulfuric acid
assays, respectively. Qualitative analysis of CGTase production was determined by
colorless area formation on solid culture containing phenolphthalein. Scanning
electron microscopy (SEM) analysis demonstrated that bacterial cells were
immobilized on chitosan matrix efficiently. Free cells reached very high numbers
during batch culture while immobilized cells maintained initial inoculum
concentration. The maximum enzyme activity achieved by free cells was 58.15 U ml(
1) (36 h), 47.50 U ml(-1) (36 h) and 68.36 U ml(-1) (36 h) on cassava, potato and
cornstarch, respectively. CGTase activities for immobilized cells were 82.15 U
ml(-1) (18 h) on cassava, 79.17 U ml(-1) (12 h) on potato and 55.37 U ml(-1) (in
6 h and max 77.75 U ml(-1) in 36 h) on cornstarch. Application of immobilization
technique increased CGTase activity significantly. The immobilized cells produced
CGTase with higher activity in a shorter fermentation time comparing to free
cells.
PMID- 27194142
TI - Robotic and open partial nephrectomy for complex renal tumors: a matched-pair
comparison with a long-term follow-up.
AB - OBJECTIVES: To compare the surgical, functional and oncological outcomes of
patients undergoing robotic partial nephrectomy (RPN) or open partial nephrectomy
(OPN) for moderately or highly complex tumors (RENAL nephrometry score >=7).
METHODS: A retrospective, matched-pair analysis was performed for 380 patients
who underwent either RPN (n = 190) or OPN (n = 190) for a complex renal mass in
different institutions. Surgical data, pathological variables, complications and
functional and oncological outcomes were reviewed. RESULTS: RPN is associated
with less estimated blood loss (EBL) (196.8 vs 240.8 ml; p < 0.001), shorter
length of hospital stay (7.8 vs 9.2 days; p < 0.001) and lower rate of
postoperative complications (15.8 vs 28.9 %; p = 0.002). Patients undergoing RPN
required more direct cost. In multivariable models, surgical approach was the
significant predictor for the occurrence of postoperative minor complications and
postoperative wound pain. Median follow-up for RPN and OPN was 49 months and 52
months, respectively. The decline of estimated glomerular filtration at the last
available follow-up (RPN: 8.7 %; OPN: 10 %) was similar (p = 0.125). The 5-year
recurrence-free survival rate was 95.1 % for RPN and 92.7 % for OPN (p = 0.48).
CONCLUSIONS: RPN provides acceptable and comparable results in terms of
perioperative, functional and oncological outcomes compared to OPN for complex
renal tumors with RENAL score >=7. Moreover, RPN is a less invasive approach with
the benefit of shorter length of hospital stay, less EBL and lower rate of
postoperative complications.
PMID- 27194143
TI - Predictive value of neutrophil-lymphocyte ratio in renal cancer.
PMID- 27194144
TI - Maximizing Team Performance: The Critical Role of the Nurse Leader.
AB - Facilitating team development is challenging, yet critical for ongoing
improvement across healthcare settings. The purpose of this exemplary case study
is to examine the role of nurse leaders in facilitating the development of a high
performing Change Team in implementing a patient safety initiative (TeamSTEPPs)
using the Tuckman Model of Group Development as a guiding framework. The case
study is the synthesis of 2.5 years of critical access hospital key informant
interviews (n = 50). Critical juncture points related to team development and key
nurse leader actions are analyzed, suggesting that nurse leaders are essential to
maximize clinical teams' performance.
PMID- 27194145
TI - Extracellular vesicles derived from human vestibular schwannomas associated with
poor hearing damage cochlear cells.
AB - BACKGROUND: Vestibular schwannoma (VS) is a tumor of the vestibular nerve that
transmits balance information from the inner ear to the brain. Sensorineural
hearing loss occurs in 95% of patients with these tumors, but the cause of this
loss is not well understood. We posit a role of VS-secreted extracellular
vesicles (EVs) as a major contributing factor in cochlear nerve damage. METHODS:
Using differential centrifugation, we isolated EVs from VS cell line HEI-193 and
primary cultured human VS cells from patients with good hearing or poor hearing.
The EVs were characterized using a Nanosight device and transmission electron
microscopy and by extracting their RNA content. The EVs' effects on cultured
murine spiral ganglion cells and organotypic cochlear cultures were studied using
a transwell dual-culture system and by direct labeling of EVs with PKH-67 dye. EV
induced changes in cochlear cells were quantified using confocal
immunohistochemistry. Transfection of VS cells with a green fluorescent protein
containing plasmid was confirmed with reverse transcription PCR. RESULTS: Human
VS cells, from patients with poor hearing, produced EVs that could damage both
cultured murine cochlear sensory cells and neurons. In contrast, EVs derived from
VS cells from patients with good hearing did not damage the cultured cochlear
cells. CONCLUSIONS: This is the first report on EVs derived from VSs and on the
capacity of EVs from VSs from patients with hearing loss to selectively damage
cochlear cells, thereby identifying a potential novel mechanism of VS-associated
sensorineural hearing loss.
PMID- 27194146
TI - Systemic AAV9-IFNbeta gene delivery treats highly invasive glioblastoma.
AB - BACKGROUND: Complete surgical removal of all glioblastoma (GBM) cells is
impossible due to extensive infiltration into brain parenchyma that ultimately
leads to tumor recurrence. The current standard of care affords modest
improvements in survival. New therapeutic interventions are needed to prevent
recurrence. Local AAV-hIFNbeta gene delivery to the brain was previously shown to
eradicate noninvasive orthotopic U87 tumors in mice. However, widespread CNS gene
delivery may be necessary to treat invasive GBMs. Here we investigated the
therapeutic effectiveness of systemically infused AAV9-hIFNbeta against an
invasive orthotopic GBM8 model. METHODS: Mice bearing human GBM8 brain tumors
expressing firefly luciferase (Fluc) were treated systemically with different
doses of scAAV9-hIFNbeta vector. Therapeutic efficacy was assessed by sequential
bioluminescence imaging of tumor Fluc activity and animal survival. Brains were
analyzed post mortem for the presence and appearance of tumors. Two
transcriptionally restricted AAV vectors were used to assess the therapeutic
contribution of peripheral hIFNbeta. RESULTS: Systemic infusion of scAAV9
hIFNbeta vector induced complete regression of established GBM8 tumors in a dose
dependent manner. The efficacy of this approach was also dependent on the stage
of tumor growth at the time of treatment. We also showed that peripherally
produced hIFNbeta contributed considerably to the therapeutic effect of scAAV9
hIFNbeta. A comparative study of systemic and unilateral intracranial delivery of
scAAV9-hIFNbeta in a bilateral GBM8 tumor model showed the systemic route to be
the most effective approach for treating widely dispersed tumors. CONCLUSIONS:
Systemic delivery of AAV9-IFNbeta is an attractive approach for invasive and
multifocal GBM treatment.
PMID- 27194147
TI - Reporting of patient-reported health-related quality of life in adults with
diffuse low-grade glioma: a systematic review.
AB - BACKGROUND: Patient-reported health-related quality of life (HRQoL) analysis can
provide important information for managing the balance between treatment benefits
and treatment-related adverse effects on quality of life (QoL). This systematic
review sought to identify the range of HRQoL measures used for patients with
diffuse hemispheric WHO grade II glioma (DLGG) and assess the quality of HRQoL
reporting. METHODS: This systematic review was conducted according to the
Preferred Reporting Items for Systematic Reviews and Meta-Analyses (PRISMA)
guidelines. Databases were searched for full-text English articles reporting
HRQoL outcomes in adult patients with DLGG. RESULTS: Eleven different QoL
measures were used across the 26 included studies, none of which has been
validated in patients with DLGG. Heterogeneity of study design prevented pooled
analysis of data investigating the effect of interventions or establishing long
term HRQoL. Low rates of participation at baseline (mean: 64.0%) and high rates
of subsequent dropout (2.1% per month) were identified. Five studies gave
statistical methods to deal with missing data or provided evidence of clinical
significance of HRQoL results. CONCLUSIONS: The results demonstrate a paucity and
heterogeneity of reporting of HRQoL in the DLGG literature, highlighting the need
for a standardized assessment schedule and set of validated quality-of-life
measures for future studies.
PMID- 27194150
TI - Capsule Commentary on Kimerling et al., Prevalence of Intimate Partner Violence
among Women Veterans who Utilize Veterans Health Administration Primary Care.
PMID- 27194151
TI - Use of Video Decision Aids to Promote Advance Care Planning in Hilo, Hawai'i.
AB - INTRODUCTION: Advance care planning (ACP) seeks to promote care delivery that is
concordant with patients' informed wishes. Scalability and cost may be barriers
to widespread ACP, and video decision aids may help address such barriers. AIM:
Our primary hypothesis was that ACP documentation would increase in Hilo after
ACP video implementation. Secondary hypotheses included increased use of hospice,
fewer deaths in the hospital, and decreased costs in the last month of life.
SETTING: The city of Hilo in Hawai'i (population 43,263), which is served by one
276-bed hospital (Hilo Medical Center), one hospice (the Hospice of Hilo), and 30
primary care physicians. PROGRAM DESCRIPTION: The intervention consisted of a
single, 1- to 4-h training and access to a suite of ACP video decision aids.
PROGRAM EVALUATION: Prior to implementation, the rate of ACP documentation for
hospitalized patients with late-stage disease was 3.2 % (11/346). After the
intervention, ACP documentation was 39.9 % (1,107/2,773) (P < 0.001). Primary
care providers in the intervention had an ACP completion rate for patients over
75 years of 37.0 % (1,437/3,888) compared to control providers, who had an
average of 25.6 % (10,760/42,099) (P < 0.001). The rate of discharge from
hospital to hospice for patients with late-stage disease was 5.7 % prior to the
intervention and 13.8 % after the intervention (P < 0.001). The average total
insurance cost for the last month of life among Hilo patients was $3,458 (95 % CI
$3,051 to 3,865) lower per patient after the intervention when compared to the
control region. DISCUSSION: Implementing ACP video decision aids was associated
with improved ACP documentation, greater use of hospice, and decreased costs.
Decision aids that promote ACP offer a scalable and cost-efficient medium to
place patients at the center of their care.
PMID- 27194152
TI - Is it effective to use the crushing technique in all types of concha bullosa.
AB - A prospective study to evaluate long term results of crushing technique in concha
bullosa surgery with radiographic and endoscopic methods and to determine the
type of concha bullosa in which crushing technique is more effective. 71 patients
who underwent concha bullosa surgery with septoplasty were included in the study.
All concha bullosa were divided into three groups according to their types (Group
1 lamellar type, Group 2 bulbous type, Group 3 extensive type). Crushing of the
pneumatized middle turbinate was done under endoscopic view. All the patients had
an endoscopic nasal examination and middle turbinates were photographed and axial
and coronal paranasal computed tomography (CT) scans were taken before the
surgery and approximately 2 years after the surgery. Preoperative and
postoperative measurements were compared using paired t test and One-way ANOVA.
Mean age of the 71 patients were as follows: 38 males, mean age 30.2 (range 20
44) years; 33 females, mean age 27.6 (range 18-40) years. The patients were
followed for 22.7 (range 20-26) months. In all groups, the postoperative
endoscopic grading scores and CT volumes were significantly reduced (p < 0.0001).
Comparison between groups showed significant post operative reduction in both CT
volumes and in endoscopic scoring for group 2 (bulbous type) (p < 0.0001).
Crushing is a simple and safe method in concha bullosa surgery. There was no
recurrance in long-term outcomes. This method can be used in all types of concha
bullosa effectively and provides more volume reduction in bulbous type concha
bullosa.
PMID- 27194148
TI - Final results of the second prospective AIEOP protocol for pediatric intracranial
ependymoma.
AB - BACKGROUND: This prospective study stratified patients by surgical resection
(complete = NED vs incomplete = ED) and centrally reviewed histology (World
Health Organization [WHO] grade II vs III). METHODS: WHO grade II/NED patients
received focal radiotherapy (RT) up to 59.4 Gy with 1.8 Gy/day. Grade III/NED
received 4 courses of VEC (vincristine, etoposide, cyclophosphamide) after RT. ED
patients received 1-4 VEC courses, second-look surgery, and 59.4 Gy followed by
an 8-Gy boost in 2 fractions on still measurable residue. NED children aged 1-3
years with grade II tumors could receive 6 VEC courses alone. RESULTS: From
January 2002 to December 2014, one hundred sixty consecutive children entered the
protocol (median age, 4.9 y; males, 100). Follow-up was a median of 67 months. An
infratentorial origin was identified in 110 cases. After surgery, 110 patients
were NED, and 84 had grade III disease. Multiple resections were performed in
46/160 children (28.8%). A boost was given to 24/40 ED patients achieving
progression-free survival (PFS) and overall survival (OS) rates of 58.1% and
68.7%, respectively, in this poor prognosis subgroup. For the whole series, 5
year PFS and OS rates were 65.4% and 81.1%, with no toxic deaths. On
multivariable analysis, NED status and grade II were favorable for OS, and for
PFS grade II remained favorable. CONCLUSIONS: In a multicenter collaboration,
this trial accrued the highest number of patients published so far, and results
are comparable to the best single-institution series. The RT boost, when
feasible, seemed effective in improving prognosis. Even after multiple
procedures, complete resection confirmed its prognostic strength, along with
tumor grade. Biological parameters emerging in this series will be the object of
future correlatives and reports.
PMID- 27194153
TI - Reduced task-related functional connectivity during a set-shifting task in
unmedicated early-stage Parkinson's disease patients.
AB - BACKGROUND: Patients with Parkinson's disease (PD) often suffer from cognitive
impairments, including set-shifting deficits, in addition to the characteristic
motor symptoms. It is hypothesized that the striatal dopamine depletion leads to
a sub-optimal functional connectivity between task-related brain areas and
consequently results in impaired task-performance. In this study, we aimed to
examine this hypothesis by investigating the task-related functional connectivity
of brain areas that are believed to be involved in set-shifting, such as the
dorsolateral prefrontal cortex (DLPFC), posterior parietal cortex (PPC) and the
superior frontal gyrus (SFG), during a set-shifting task. We obtained functional
imaging data from 18 early-stage PD patients and 35 healthy controls, matched at
the group level, using a newly developed rule-based set-shifting task that
required participants to manually respond to arrow stimuli based on their
location on the screen of their direction. RESULTS: We found that early stage PD
patients, compared with controls, showed (1) a decrease in positive coupling
between the left DLPFC and the right insular cortex, and the right SFG and
anterior cingulate cortex, (2) an increase in negative coupling between the right
SFG and the anterior cingulate cortex, primary motor cortex, precuneus, and PPC,
and (3) an increase in negative coupling between the left DLPFC and the left and
right SFG. These results indicate that important task-related areas of PD
patients have decreased functional connectivity with task-related regions and
increased connectivity with task-unrelated areas. CONCLUSIONS: The disruption of
functional connectivity in early stage PD patients during set-shifting reported
here is likely compensated for by the local hyperactivation we reported earlier,
thereby forestalling behavioural deficits.
PMID- 27194156
TI - Suicide methods in children and adolescents.
AB - There are notable differences in suicide methods between countries. The aim of
this paper is to analyse and describe suicide methods in children and adolescents
aged 10-19 years in different countries/territories worldwide. Suicide data by
ICD-10 X codes were obtained from the WHO Mortality Database and population data
from the World Bank. In total, 101 countries or territories, have data at least
for 5 years in 2000-2009. Cluster analysis by suicide methods was performed for
countries/territories with at least 10 suicide cases separately by gender (74 for
males and 71 for females) in 2000-2009. The most frequent suicide method was
hanging, followed by poisoning by pesticides for females and firearms for males.
Cluster analyses of similarities in the country/territory level suicide method
patterns by gender identified four clusters for both gender. Hanging and
poisoning by pesticides defined the clusters of countries/territories by their
suicide patterns in youth for both genders. In addition, a mixed method and a
jumping from height cluster were identified for females and two mixed method
clusters for males. A number of geographical similarities were observed. Overall,
the patterns of suicide methods in children and adolescents reflect lethality,
availability and acceptability of suicide means similarly to country specific
patterns of all ages. Means restriction has very good potential in preventing
youth suicides in different countries. It is also crucial to consider cognitive
availability influenced by sensationalised media reporting and/or provision of
technical details about specific methods.
PMID- 27194155
TI - An Examination of Sources of Variability Across the Consonant-Nucleus-Consonant
Test in Cochlear Implant Listeners.
AB - The 10 consonant-nucleus-consonant (CNC) word lists are considered the gold
standard in the testing of cochlear implant (CI) users. However, variance in
scores across lists could degrade the sensitivity and reliability of them to
identify deficits in speech perception. This study examined the relationship
between variability in performance among lists and the lexical characteristics of
the words. Data are from 28 adult CI users. Each subject was tested on all 10 CNC
word lists. Data were analyzed in terms of lexical characteristics, lexical
frequency, neighborhood density, bi-, and tri-phonemic probabilities. To
determine whether individual performance variability across lists can be reduced,
the standard set of 10 phonetically balanced 50-word lists was redistributed into
a new set of lists using two sampling strategies: (a) balancing with respect to
word lexical frequency or (b) selecting words with equal probability. The mean
performance on the CNC lists varied from 53.1% to 62.4% correct. The average
difference between the highest and lowest scores within individuals across the
lists was 20.9% (from 12% to 28%). Lexical frequency and bi-phonemic
probabilities were correlated with word recognition performance. The range of
scores was not significantly reduced for all individuals when responses were
simulated with 1,000 sets of redistributed lists, using both types of sampling
methods. These results indicate that resampling of words does not affect the test
retest reliability and diagnostic value of the CNC word test.
PMID- 27194154
TI - Toward 20 T magnetic resonance for human brain studies: opportunities for
discovery and neuroscience rationale.
AB - An initiative to design and build magnetic resonance imaging (MRI) and
spectroscopy (MRS) instruments at 14 T and beyond to 20 T has been underway since
2012. This initiative has been supported by 22 interested participants from the
USA and Europe, of which 15 are authors of this review. Advances in high
temperature superconductor materials, advances in cryocooling engineering,
prospects for non-persistent mode stable magnets, and experiences gained from
large-bore, high-field magnet engineering for the nuclear fusion endeavors
support the feasibility of a human brain MRI and MRS system with 1 ppm
homogeneity over at least a 16-cm diameter volume and a bore size of 68 cm.
Twelve neuroscience opportunities are presented as well as an analysis of the
biophysical and physiological effects to be investigated before exposing human
subjects to the high fields of 14 T and beyond.
PMID- 27194157
TI - Activation of MEK/ERK Signaling by PACAP in Guinea Pig Cardiac Neurons.
AB - Pituitary adenylate cyclase-activating polypeptide (PACAP) signaling can increase
guinea pig cardiac neuron excitability in part through extracellular signal
regulated kinase (ERK) activation. The present study examined the PACAP receptors
and signaling cascades that stimulate guinea pig cardiac neuron ERK signaling
using confocal microscopy to quantify PACAP-induced neuronal phosphorylated ERK
(pERK) immunoreactivity. PACAP and maxadilan, but not vasoactive intestinal
polypeptide (VIP), increased cardiac neuron pERK, implicating primary roles for
PACAP-selective PAC1 receptor (Adcyap1r1) signaling rather than VPAC receptors
(Vipr1 and Vipr2) in the generation of cardiac neuron pERK. The adenylyl cyclase
(AC) activator forskolin, but not the protein kinase C (PKC) activator phorbol
myristate acetate (PMA), increased pERK. Also, Bim1 did not blunt PACAP
activation of pERK. Together, the results suggest PAC1 receptor signal
transduction via Gs/adenylyl cyclase (AC)/cAMP rather than Gq/phospholipase C
(PLC) generated neuronal pERK. Activator and inhibitor studies suggested that the
PACAP-mediated pERK activation was PKA-dependent rather than an exchange protein
directly activated by a cAMP (EPAC), PKA-independent mechanism. The PACAP-induced
pERK was inhibited by the clathrin inhibitor Pitstop2 to block receptor
internalization and endosomal signaling. We propose that the PACAP-mediated
MEK/ERK activation in cardiac neurons involves both AC/cAMP/PKA signaling and
PAC1 receptor internalization/activation of signaling endosomes.
PMID- 27194158
TI - Survey study suggests that reverse total shoulder arthroplasty is becoming the
treatment of choice for four-part fractures of the humeral head in the elderly.
AB - PURPOSE: The role of reverse total shoulder arthroplasty (RTSA) for three and
four-part proximal humerus fractures is evolving. However, there does not appear
to be a clear consensus amongst surgeons. The purpose of this study is to further
define the standard of care, assessing surgeon preference and treatment
considerations for management of such fractures. METHODS: Orthopaedic surgeons
were surveyed on their training, practice setting, and experience regarding
management of four-part proximal humerus fractures. The survey also presented
five representative cases to assess treatment preferences. RESULTS: Two hundred
five surgeons responded to the survey with fellowship training in shoulder and
elbow surgery (114), orthopaedic trauma (35) or sports medicine/other training
(56). There was no difference between respondents with years in practice and
confidence with performing RTSA, however, surgeons in the academic setting were
more confident in performing the surgery. Surgeons preferred RTSA for management
of four-part fractures in patients over age 65. However, they also trended to
favour hemiarthroplasty with higher co-morbidities. Physicians with more than 11
years of experience were more likely to choose hemiarthroplasty for older and
high comorbidity patients. RTSA was not the preferred treatment method for
younger, active patients. Patient age and fracture pattern had a greater
influence on the surgeon's decision. CONCLUSIONS: There is a consensus in our
study population that RTSA is the preferred treatment for four-part proximal
humerus fractures for elderly patients with patient age and fracture pattern
being the most important factors in making management decisions. LEVEL OF
EVIDENCE: Level III - Case controlled study.
PMID- 27194159
TI - Surgical treatment for osteoporotic thoracolumbar vertebral collapse using
vertebroplasty with posterior spinal fusion: a prospective multicenter study.
AB - PURPOSE: The study aimed to investigate the clinical outcomes and limitations
after vertebroplasty with posterior spinal fusion (VP+PSF) without neural
decompression for osteoporotic vertebral collapse. METHODS: We conducted a
prospective multicenter study including 45 patients (12 men and 33 women, mean
age: 77.0 years) evaluated between 2008 and 2012. Operation time, blood loss,
visual analog scale (VAS) of back pain, neurological status, kyphosis angle in
the fused area, and vertebral union of the collapsed vertebra were evaluated.
RESULTS: The mean operation time was 162 min and blood loss was 381 mL. The
postoperative VAS score significantly improved, and the neurological status
improved in 35 patients (83 %), and none of the remaining patients demonstrated a
deteriorating neurological status at two years post-operatively. The mean
kyphosis angle pre-operatively, immediately post-operatively, and two years post
operatively was 23.8 degrees , 10.7 degrees , and 24.3 degrees , respectively,
and there was no significant difference between the angles pre-operatively and
two years post-operatively. The extensive correction of kyphosis >16 degrees was
a risk factor for a higher correction loss and subsequent fracture. Union of the
collapsed vertebra was observed in 43 patients (95 %) at two years post
operatively. CONCLUSIONS: The present study suggests that spinal stabilization
rather than neural decompression is essential to treat OVC. Short-segment VP+PSF
can achieve a high union rate of collapsed vertebra and provide a significant
improvement in back pain or neurological status with less invasive surgery, but
has a limit of kyphosis correction more than 16 degrees .
PMID- 27194161
TI - Critical Role of Cx40 in Reduced Endothelial Electrical Coupling by
Lipopolysaccharide and Hypoxia-Reoxygenation.
AB - BACKGROUND: We discovered that lipopolysaccharide (LPS, an initiating factor in
sepsis) and hypoxia-reoxygenation (H/R, a confounding factor) reduce electrical
coupling between microvascular endothelial cells from wild-type (WT) but not Cx40
/- mice. Because Cx40 knockout could result in nonspecific effects, this
discovery may not establish the causal relationship between Cx40 and reduced
coupling. Using the same cell culture model, we aimed to address this uncertainty
by using the rescue-of-function approach. METHODS/RESULTS: Electrical coupling
between endothelial cells (hind-limb muscle origin) was determined by
electrophysiology. LPS, H/R and concurrent LPS + H/R reduced coupling between WT
but not Cx40-/- cells. The defect in Cx40-/- cells was rescued by ectopic
expression of Cx40, after infecting the cells with adenovirus encoding Cx40. Cx40
/- cells were also engineered to express mutant Cx40 that lacked the carboxyl
terminal domain beginning at residue 236 (Cx40x0394;237-358) or 344
(Cx40x0394;345-358). No response to inflammatory stimuli was observed in cells
expressing either of these 2 mutants. CONCLUSION: Our data establish the causal
relationship between Cx40 and reduced coupling and suggest that the 345-358 amino
acid motif of the Cx40 carboxyl terminal is required for reduced coupling. Cx40
may participate in compromised conducted response in the microvasculature during
sepsis.
PMID- 27194162
TI - PEM or MBI?
PMID- 27194160
TI - A vast majority of preliminary reports published in the shoulder literature are
not followed by long-time follow-up studies - a literature review.
AB - INTRODUCTION: The aim of paper is to check how many preliminary reports (PR)
reach a long-term publication (LT), and analyse differences in outcomes and
complications between them. METHODS: A literature search was performed to
identify shoulder preliminary or early reports. Fifty one papers fulfilled
criteria and were categorized for paper topic. Bibliographic searches were
undertaken to find LT on the topic from the same author or research group.
Outcomes and complications reported in PR were recorded and compared to those
reported in LT. RESULTS: Ten out of 51 PR (19.61 %) were followed by a LT with
minimum follow-up of five years, by the same authors. Complication and
reintervention rate were higher in the LT compared to PR (p < 0.05). Results
published on PR were better than results published on LT even though these
differences did not reach significance (p = 0.08). DISCUSSION: PR may represent
the fastest way to spread new findings. However, concerns regarding short-term
follow-up, small sample size bias and decrease in outcomes over time can
discourage promising preliminary results. CONCLUSIONS: The vast majority of
preliminary reports never reached a LT follow-up paper. Preliminary reports
underestimate complications and tend to overestimate outcomes.
PMID- 27194163
TI - Do evoked potentials contribute to the functional follow-up and clinical
prognosis of multiple sclerosis?
AB - The clinical variability and complexity of multiple sclerosis (MS) challenges the
individual clinical course prognostication. This study aimed to find out whether
multimodal evoked potentials (EP) correlate with the motor components of multiple
sclerosis functional composite (MSFCm) and predict clinically relevant motor
functional deterioration. One hundred MS patients were assessed at baseline (T 0)
and about 7.5 years later (T 1), with visual, somatosensory and motor EP and
rated on the Expanded Disability Status Scale (EDSS) and the MSFCm, including the
9 Hole Peg Test and the Timed 25 Foot Walk (T25FW). The Spearman correlation
coefficient (r S) was used to evaluate the cross-sectional and longitudinal
relationship between EP Z scores and clinical findings. The predictive value of
baseline electrophysiological data for clinical worsening (EDSS, 9-HPT, T25FW,
MSFCm) during follow-up was assessed by logistic regression analysis. Unlike
longitudinal correlations, cross-sectional correlations between EP Z scores and
clinical outcomes were all significant and ranged between 0.22 and 0.67 (p <
0.05). The global EP Z score was systematically predictive of EDSS and MSFCm
worsening over time (all p < 0.05). EP latency was a better predictor than
amplitude, although weaker than latency and amplitude aggregation in the global
EP Z score. The study demonstrates that EP numerical scores can be used for motor
function monitoring and outcome prediction in patients with MS.
PMID- 27194164
TI - Effect of enhanced UV-B radiation on methane emission in a paddy field and rice
root exudation of low-molecular-weight organic acids.
AB - A local rice variety, "Baijiaolaojing", was grown in a paddy field in the
Yuanyang rice terraces under ambient and supplemental levels of ultraviolet-B (UV
B, 280-315 nm) radiation. The effects of enhanced UV-B radiation (5 and 10 kJ m(
2) d(-1)) on methane emissions in the paddy field were evaluated using a closed
chamber gas chromatography-based system, and the contents of low-molecular-weight
organic acids (LMWOAs) in root exudates were determined by high-performance
liquid chromatography (HPLC). Peaks in methane emissions in the paddy field were
detected at 60, 80 and 100 days after rice transplantation. The highest level of
cumulative methane emissions occurred at the tillering stage, followed by the
jointing-booting and maturity stages. The lowest level was found at the flowering
stage. The enhanced UV-B radiation did not change the seasonal variation in
methane emissions in the paddy field; however, it induced a significant increase
in the flux of methane emissions at the jointing-booting and maturity stages, as
well as a significant increase in the cumulative flux of methane emissions
throughout the growth period. In addition, the enhanced UV-B radiation caused an
increase in the contents of oxalic acid and succinic acid and a decrease in the
contents of tartaric acid and malic acid in rice root exudates. Furthermore, a
significant positive correlation (r = 0.725, p < 0.01) was found between the
content of oxalic acid and the methane emissions in the paddy field. The results
indicated that enhanced UV-B radiation promoted methane emissions in the paddy
field, which was closely associated with its impact on the exudation of LMWOAs by
rice roots.
PMID- 27194165
TI - Lipid-based drug delivery systems in the treatment of wet age-related macular
degeneration.
AB - Recent advances in drug delivery technology have amplified potential
opportunities to treat the debilitating diseases that affect the posterior
segment of the eye in a less invasive and more efficient manner. Current methods
for effective drug delivery to the back of the eye are hindered by many barriers
and limitations. As a consequence, considerable efforts have been directed
towards developing new materials to selectively deliver drug directly to the
target site. This review focuses on lipid-based delivery systems which show
promise in improving treatment for the most common disease of the posterior
segment of the eye in the developed world, age-related macular degeneration, with
an emphasis upon on-demand delivery systems as they have greater potential to
overcome the current limitations.
PMID- 27194166
TI - The Pharmacological Management of Complex Regional Pain Syndrome in Pediatric
Patients.
AB - The awareness of complex regional pain syndrome (CRPS) in the pediatric
population is increasing. The condition involves regional pain that is out of
proportion to any initiating event (if there is one) and is associated with
sensory, functional, autonomic, and inflammatory changes in the region of the
pain. The signs and symptoms of CRPS can vary between patients and stage of the
disease process. Like many chronic pain conditions, it is often associated with
significant disability and a detrimental effect on quality of life. It has a
complex pathophysiology that remains poorly understood but provides many
potential targets for treatments. Management involves a biopsychosocial
formulation that encompasses physical and psychological interventions alongside
pharmacological strategies. We review the current evidence for the treatment of
this condition in children, with particular reference to pharmacological
management.
PMID- 27194167
TI - The Diathesis-Epilepsy Model: How Past Events Impact the Development of Epilepsy
and Comorbidities.
AB - In epilepsy, seizures and comorbidities (e.g., cognitive deficits and depression)
occur when specific thresholds are crossed. These thresholds depend on the
diathesis (or vulnerability) of a given individual. The diathesis is controlled
by multiple genetic and environmental factors. Diathesis changes over multiple
timescales: on a daily basis, and as part of the development/aging processes,
etc. The diathesis-epilepsy model introduced here provides a conceptual framework
to understand how past events (e.g., a very stressful event) can directly
influence the occurrence of epilepsy and comorbidities later in life.
Experimental evidence supports this model, and the existence of biomarkers
predictive of a vulnerability state have led to the development of preventive
therapeutic strategies. Epigenetic modifications could be a key determinant of
diathesis. Their role is discussed.
PMID- 27194169
TI - Coexistence of acromegaly with dural arteriovenous fistula of the cavernous
sinus.
PMID- 27194168
TI - The Role of MDM2 Amplification and Overexpression in Tumorigenesis.
AB - Mouse double minute 2 (MDM2) is a critical negative regulator of the tumor
suppressor p53, playing a key role in controlling its transcriptional activity,
protein stability, and nuclear localization. MDM2 expression is up-regulated in
numerous cancers, resulting in a loss of p53-dependent activities, such as
apoptosis and cell-cycle arrest. Genetic amplification and inheritance of MDM2
promoter single-nucleotide polymorphisms (SNPs) are the two best-studied
mechanisms for up-regulating MDM2 activity. This article provides an overview of
these events in human cancer, highlighting the frequent occurrence of MDM2
amplification in sarcoma and the role of SNP309 and SNP285 in regulating MDM2
expression and cancer risk. The availability of large-scale genomic profiling
datasets, like those from The Cancer Genome Atlas Research Network, have provided
the opportunity to evaluate the consequences of MDM2 amplification and SNP
inheritance across high-quality tumor samples from diverse cancer indications.
PMID- 27194170
TI - Cervical spinal canal narrowing in idiopathic syringomyelia.
AB - INTRODUCTION: The cervical spine in Chiari I patient with syringomyelia has
significantly different anteroposterior diameters than it does in Chiari I
patients without syringomyelia. We tested the hypothesis that patients with
idiopathic syringomyelia (IS) also have abnormal cervical spinal canal diameters.
The finding in both groups may relate to the pathogenesis of syringomyelia.
METHODS: Local institutional review boards approved this retrospective study.
Patients with IS were compared to age-matched controls with normal sagittal spine
MR. All subjects had T1-weighted spin-echo (500/20) and T2-weighted fast spin
echo (2000/90) sagittal cervical spine images at 1.5 T. Readers blinded to
demographic data and study hypothesis measured anteroposterior diameters at each
cervical level. The spinal canal diameters were compared with a Mann-Whitney U
test. The overall difference was assessed with a Friedman test. Seventeen
subjects were read by two reviewers to assess inter-rater reliability. RESULTS:
Fifty IS patients with 50 age-matched controls were studied. IS subjects had one
or more syrinxes varying from 1 to 19 spinal segments. Spinal canal diameters
narrowed from C1 to C3 and then enlarged from C5 to C7 in both groups. Diameters
from C2 to C4 were narrower in the IS group (p < 0.005) than in controls. The
ratio of the C3 to the C7 diameters was also smaller (p = 0.004) in IS than
controls. Collectively, the spinal canal diameters in the IS were significantly
different from controls (Friedman test p < 0.0001). CONCLUSION: Patients with IS
have abnormally narrow upper and mid cervical spinal canal diameters and greater
positive tapering between C3 and C7.
PMID- 27194171
TI - Personalized medicine for diabetes: a special issue.
PMID- 27194172
TI - Innovative strategies to improve diabetes outcomes in disadvantaged populations.
AB - Diabetes disproportionately affects disadvantaged populations. Eighty percent of
deaths directly caused by diabetes occurred in low- and middle-income countries.
In high-income countries, there are marked disparities in diabetes control among
racial/ethnic minorities and those with low socio-economic status. Innovative,
effective and cost-effective strategies are needed to improve diabetes outcomes
in these populations. Technological advances, peer educators and community health
workers have expanded methodologies to reach, educate and monitor individuals
with diabetes. In the present manuscript we review the outcomes of these
strategies, and describe the barriers to and facilitators of these approaches for
improving diabetes outcomes.
PMID- 27194174
TI - Delivery of Type 2 diabetes care in low- and middle-income countries: lessons
from Lima, Peru.
AB - AIMS: The health system's response is crucial to addressing the increasing burden
of diabetes, particularly that affecting low- and middle-income countries. This
study aims to assess the facilitators and barriers that help or hinder access to
care for people with diabetes in Peru. METHODS: We used a survey tool to design
and collect qualitative and quantitative data from primary and secondary sources
of information at different levels of the health system. We performed 111
interviews in Lima, the capital city of Peru, with patients with diabetes,
healthcare providers and healthcare officials. We applied the six building blocks
framework proposed by the World Health Organization in our analysis. RESULTS: We
found low political commitment, as well as several barriers that directly affect
access to medicines, regular laboratory check-ups and follow-up appointments for
diabetes, especially at the primary healthcare level. Three major system-level
barriers were identified: (1) the availability of information at different
healthcare system levels that affects several processes in the healthcare
provision; (2) insufficient financial resources; and (3) insufficient human
resources trained in diabetes management. CONCLUSION: Despite an initial
political commitment by the Peruvian government to improve the delivery of
diabetes care, there exist several key limitations that affect access to adequate
diabetes care, especially at the primary healthcare level. In a context in which
various low- and middle-income countries are aiming to achieve universal health
coverage, this study provides lessons for the implementation of strategies
related to diabetes care delivery.
PMID- 27194173
TI - Outpatient diabetes clinical decision support: current status and future
directions.
AB - Outpatient clinical decision support systems have had an inconsistent impact on
key aspects of diabetes care. A principal barrier to success has been low use
rates in many settings. Here, we identify key aspects of clinical decision
support system design, content and implementation that are related to sustained
high use rates and positive impacts on glucose, blood pressure and lipid
management. Current diabetes clinical decision support systems may be improved by
prioritizing care recommendations, improving communication of treatment-relevant
information to patients, using such systems for care coordination and case
management and integrating patient-reported information and data from remote
devices into clinical decision algorithms and interfaces.
PMID- 27194175
TI - A prospective randomized controlled study of a virtual clinic integrating primary
and specialist care for patients with Type 2 diabetes mellitus.
AB - AIMS: To investigate the effectiveness of a diabetes virtual clinic to enhance
diabetes in primary care by developing clinical management plans for patients
with suboptimal metabolic control and/or case complexity. METHODS: A prospective
study with randomized allocation to virtual clinic or usual care. Patients with
Type 2 diabetes (n = 208) were recruited from six general practices in South
London. The primary outcome for the study was glycaemic control, secondary
outcomes included: lipids, blood pressure, weight (kg and BMI) and renal function
(eGFR). Data were collected from participants' records at baseline and 12 months.
We also considered process measures including therapy optimization. RESULTS: The
12-month data show equivalence between the virtual clinic and control groups for
glycaemic control with both achieving clinically significant reductions in HbA1c
of 8 mmol/mol (0.6 +/- 1.7%) and 10 mmol/mol (0.8 +/- 1.9%), respectively (P =
0.4). The virtual clinic group showed superiority over the intervention group for
blood pressure control with a mean reduction in systolic blood pressure of 6 +/-
16 mmHg compared with an increased of 2 +/- 18 mmHg in the control group (P =
0.008). There were no significant differences between the groups in terms of
cholesterol, weight and renal function. Process measures showed an increased
level of therapy adjustment in the virtual clinic group. CONCLUSION: The virtual
clinic model explored in this study showed a clinically important improvement in
glycaemic control. Although this improvement was not superior to that observed in
the control participants, this might be attributable to the systemic impact of
the virtual clinic on the practice as a whole.
PMID- 27194177
TI - Ridge preservation of extraction sockets with chronic pathology using Bio-Oss(r)
Collagen with or without collagen membrane: an experimental study in dogs.
AB - OBJECTIVES: This study aimed to evaluate the dynamics of newly bone formation and
dimensional change in diseased extraction sockets using Bio-Oss(r) Collagen with
or without a collagen membrane. MATERIAL AND METHODS: In six beagle dogs, right
and left 3rd and 4th mandibular premolars were hemisected and the distal roots
were removed. Combined endodontic-periodontic lesions were induced in all sites
using black silk, collagen sponge, endodontic files, and application of
Porphyromonas gingivalis. After 4 months, among 4 premolars, three teeth were
randomly selected per dog and allocated to the following experimental groups:
Control group (no treatment but debridement), Test 1 group (only Bio-Oss(r)
Collagen graft), and Test 2 group (Bio-Oss(r) Collagen graft with a collagen
membrane). After 7 months from the baseline, the beagle dogs were sacrificed for
histomorphometric and Micro-CT analysis. RESULTS: The vertical distance between
buccal and lingual crests in the Control group (2.22 +/- 0.26 mm) and Test 2
group (1.80 +/- 0.16 mm) was significantly different. The socket of the Test 2
group (27.04 +/- 5.25%) was occupied by a greater quantity of bone graft compared
to the Test 1 group (18.49 +/- 2.11%). CONCLUSION: Ridge preservation in diseased
extraction sockets could compensate for buccal bone resorption by contact
osteogenesis surrounding the bone graft particles at the bucco-coronal area
during socket healing, and the application of a collagen membrane at the entrance
of the socket is useful for preserving graft material at the coronal part of the
socket.
PMID- 27194176
TI - Preoperative chemoradiation with paclitaxel-carboplatin or with fluorouracil
oxaliplatin-folinic acid (FOLFOX) for resectable esophageal and junctional
cancer: the PROTECT-1402, randomized phase 2 trial.
AB - BACKGROUND: Often curative treatment for locally advanced resectable esophageal
or gastro-esophageal junctional cancer consists of concurrent neoadjuvant
radiotherapy and chemotherapy followed by surgery. Currently, one of the most
commonly used chemotherapy regimens in this setting is a combination of a
fluoropyrimidin and of a platinum analogue. Due to the promising results of the
recent CROSS trial, another regimen combining paclitaxel and carboplatin is also
widely used by European and American centers. No clinical study has shown the
superiority of one treatment over the other. The objective of this Phase II study
is to clarify clinical practice by comparing these two chemotherapy treatments.
Our aim is to evaluate, in operable esophageal and gastro-esophageal junctional
cancer, the complete resection rate and severe postoperative morbidity rate
associated with these two neoadjuvant chemotherapeutic regimens (carboplatin
paclitaxel or fluorouracil-oxaliplatin-folinic acid) when each is combined with
the radiation regime utilized in the CROSS trial. METHODS/DESIGN: PROTECT is a
prospective, randomized, multicenter, open arms, phase II trial. Eligible
patients will have a histologically confirmed adenocarcinoma or squamous cell
carcinoma and be treated with neoadjuvant radiochemotherapy followed by surgery
for stage IIB or stage III resectable esophageal cancer. A total of 106 patients
will be randomized to receive either 3 cycles of FOLFOX combined to concurrent
radiotherapy (41.4 Grays) or carboplatin and paclitaxel with the same radiation
regimen, using a 1:1 allocation ratio. DISCUSSION: This ongoing trial offers the
unique opportunity to compare two standards of chemotherapy delivered with a
common regimen of preoperative radiation, in the setting of operable locally
advanced esophageal or gastro-esophageal junctional tumors. TRIAL REGISTRATION:
NCT02359968 (ClinicalTrials.gov) (registration date: 9 FEB 2015), EudraCT: 2014
000649-62 (registration date: 10 FEB 2014).
PMID- 27194179
TI - Criteria for CT and Initial Management of Head Injured Infants: A Review.
AB - Criteria for computed tomography (CT) to head injured infants have not been
established. Since the identification of neurological findings is difficult in
infants, examination by CT may be necessary in some cases, but it may be
difficult to perform CT because of problems with radiation exposure and body
movement. Moreover, even though no intracranial abnormality was found immediately
after injury, abnormal findings may appear after several hours. From this
viewpoint, course observation after injury may be more important than CT in the
initial treatment of head trauma in infants. The complaints and neurological
manifestations of infants, particularly those aged 2 or younger, are frequently
unclear; therefore, there is an opinion that CT is recommended for all pediatric
patients. However, the appropriateness of its use should be determined after
confirming the mechanism of injury, consciousness level, neurological findings,
and presence/absence of a history of abuse. Among the currently available rules
specifying criteria for CT of infants with head trauma, the Pediatric Emergency
Care Applied Research Network (PECARN) study may be regarded as reliable at
present. In Japan, where the majority of emergency hospitals are using CT, it may
be necessary to develop criteria for CT in consideration of the actual situation.
CT diagnosis for pediatric head trauma is not always necessary. When no imaging
is performed, this should be fully explained at the initial treatment before
selecting course observation at home. Checking on a state of the patients by
telephone is useful for both patients and physicians.
PMID- 27194178
TI - Ultrasound-guided Femoral Artery Access for Minimally Invasive Neuro-intervention
and Risk Factors for Access Site Hematoma.
AB - Although ultrasound (US) guidance for venous access is becoming the "standard of
care" for preventing access site complications, its feasibility for arterial
access has not been fully investigated, especially in the neuro-interventional
population. We conducted the first prospective cohort study on US-guided femoral
artery access during neuro-interventional procedure. This study included 64
consecutive patients who underwent US-guided femoral artery access through 66
arterial access sites for diagnostic and/or neuro-interventional purposes. The
number of attempts required for both the sheath insertion and the success of
anterior wall puncture were recorded. In addition, the occurrence of major
complications and hematoma formation on the arterial access site examined by US
were statistically analyzed. The median number of attempts was 1 (1-2) and first
pass success rate was 63.6%. Anterior wall puncture was achieved in 98.5%. In one
case (1.5%), a pseudoaneurysm was observed. In all cases, US clearly depicted a
common femoral artery (CFA) and its bifurcation. Post-procedural hematoma was
detected in 13 cases (19.7%), most of which were "tiny" or "moderate" in size.
Low body mass index and antiplatelet therapy were the independent risk factors
for access site hematoma. The US-guided CFA access was feasible even in neuro
interventional procedure. The method was particularly helpful in the patients
with un-palpable pulsation of femoral arteries. To prevent arterial access site
hematoma, special care should be taken in patients with low body mass index and
who are on antiplatelet therapy.
PMID- 27194180
TI - Correlative nonlinear optical microscopy and infrared nanoscopy reveals collagen
degradation in altered parchments.
AB - This paper presents the correlative imaging of collagen denaturation by nonlinear
optical microscopy (NLO) and nanoscale infrared (IR) spectroscopy to obtain
morphological and chemical information at different length scales. Such
multiscale correlated measurements are applied to the investigation of ancient
parchments, which are mainly composed of dermal fibrillar collagen. The main
issue is to characterize gelatinization, the ultimate and irreversible alteration
corresponding to collagen denaturation to gelatin, which may also occur in
biological tissues. Key information about collagen and gelatin signatures is
obtained in parchments and assessed by characterizing the denaturation of pure
collagen reference samples. A new absorbing band is observed near the amide I
band in the IR spectra, correlated to the onset of fluorescence signals in NLO
images. Meanwhile, a strong decrease is observed in Second Harmonic signals,
which are a structural probe of the fibrillar organization of the collagen at the
micrometer scale. NLO microscopy therefore appears as a powerful tool to reveal
collagen degradation in a non-invasive way. It should provide a relevant method
to assess or monitor the condition of collagen-based materials in museum and
archival collections and opens avenues for a broad range of applications
regarding this widespread biological material.
PMID- 27194181
TI - Oxidation-Based Continuous Laser Writing in Vertical Nano-Crystalline Graphite
Thin Films.
AB - Nano and femtosecond laser writing are becoming very popular techniques for
patterning carbon-based materials, as they are single-step processes enabling the
drawing of complex shapes without photoresist. However, pulsed laser writing
requires costly laser sources and is known to cause damages to the surrounding
material. By comparison, continuous-wave lasers are cheap, stable and provide
energy at a more moderate rate. Here, we show that a continuous-wave laser may be
used to pattern vertical nano-crystalline graphite thin films with very few
macroscale defects. Moreover, a spatially resolved study of the impact of the
annealing to the crystalline structure and to the oxygen ingress in the film is
provided: amorphization, matter removal and high oxygen content at the center of
the beam; sp(2) clustering and low oxygen content at its periphery. These data
strongly suggest that amorphization and matter removal are controlled by carbon
oxidation. The simultaneous occurrence of oxidation and amorphization results in
a unique evolution of the Raman spectra as a function of annealing time, with a
decrease of the I(D)/I(G) values but an upshift of the G peak frequency.
PMID- 27194182
TI - Fragmentation, Fusion, and Genetic Homogeneity in a Calcareous Sponge (Porifera,
Calcarea).
AB - Sessile marine invertebrates living on hard substrata usually present strategies
such as size variations, longer life spans, fragmentation and fusion to occupy
and compete for space. Calcareous sponges are usually small and short-lived, and
some species are known to undergo frequent fragmentation and fusion events.
However, whether fusion occurs only between genetically identical individuals
remains unclear. We investigated the occurrence of chimaeras in the calcareous
sponge Clathrina aurea by following the dynamics of fragmentation and fusion of
66 individuals in the field for up to 18 months and determined size variations
and the life span of each individual. Microsatellites were used to determine
whether fusion events occur among genetically different individuals. Growth and
shrinkage of individuals were frequently observed, showing that size cannot be
associated with age in C. aurea. The life span of the species ranged from 1 to 16
months (mean: 4.7 months). Short life spans and variable growth rates have been
observed in other species of the class Calcarea. Fragmentation and fusion events
were observed, but fusion events always occurred between genetically identical
individuals, as has been suggested by graft experiments in adult Demospongiae and
other Calcarea. These results suggest that at least C. aurea adults may have some
mechanism to avoid chimaerism.
PMID- 27194189
TI - The relationship between helminth infections and low haemoglobin levels in
Ethiopian children with blood type A.
AB - The current study was conducted to evaluate the nature of association of ABO
blood type with helminth infection and related reduction in haemoglobin
concentration. Stool samples were collected from 403 school-age children
attending Tikur Wuha Elementary School from February to April 2011. Helminth
infection was examined using formol-ether concentration and thick Kato-Katz (two
slides per stool specimen) techniques. Haemoglobin level was determined using a
HemoCue machine and ABO blood type was determined using the antisera
haemagglutination test. Nutritional status was assessed using height and weight
measurements. Out of 403 children examined, 169, 120, 96 and 18 had blood type O,
A, B and AB, respectively. The prevalences of helminth infections were 46.9% for
hookworm, 24.6% for Schistosoma mansoni, 4.2% for Ascaris lumbricoides, 1.7% for
Trichuris trichiura and 58.3% for any helminth species. The relative odds of
infection with at least one helminth species was significantly higher among
children with blood type A (adjusted odds ratio (AOR), 2.10; 95% confidence
interval (CI), 1.28-3.45) or blood type B (AOR, 2.08; 95% CI, 1.22-3.56) as
compared to children with blood type O. Among children infected with helminths,
mean haemoglobin concentration was lower in those with blood type A than those
with blood type O (beta, -0.36; 95% CI, -0.72 to -0.01). The relative odds of
hookworm infection (AOR, 1.78; 95% CI, 1.08-2.92) and related reduction in
haemogobin levels (beta, -0.45; 95% CI, -0.84 to -0.04) was higher among children
with blood type A as compared to those with blood type O. Although the difference
was not significant, the relative odds of S. mansoni or A. lumbricoides
infections and related reduction in haemoglobin levels was also higher in
children with blood type A or B as compared to children with blood type O. In
conclusion, children with blood type A are associated with an increased risk of
helminth, particularly hookworm, infection and related reduction in haemoglobin
level. The mechanisms by which blood type A makes children susceptible to
helminth infection and a related reduction in haemoglobin level ought to be
investigated.
PMID- 27194190
TI - Gender variability in electromyographic activity, in vivo behaviour of the human
gastrocnemius and mechanical capacity during the take-off phase of a
countermovement jump.
AB - PURPOSE: The purpose of this study was to analyse gender differences in
neuromuscular behaviour of the gastrocnemius and vastus lateralis during the take
off phase of a countermovement jump (CMJ), using direct measures (ground reaction
forces, muscle activity and dynamic ultrasound). METHODS: Sixty-four young adults
(aged 18-25 years) participated voluntarily in this study, 35 men and 29 women.
The firing of the trigger allowed obtainment of data collection vertical ground
reaction forces (GRF), surface electromyography activity (sEMG) and dynamic
ultrasound gastrocnemius of both legs. RESULTS: Statistically significant gender
differences were observed in the jump performance, which appear to be based on
differences in muscle architecture and the electrical activation of the
gastrocnemius muscles and vastus lateralis. So while men developed greater peak
power, velocity take-offs and jump heights, jump kinetics compared to women,
women also required a higher electrical activity to develop lower power values.
Additionally, the men had higher values pennation angles and muscle thickness
than women. CONCLUSION: Men show higher performance of the jump test than women,
due to significant statistical differences in the values of muscle architecture
(pennation angle and thickness muscle), lower Neural Efficiency Index and a
higher amount of sEMG activity per second during the take-off phase of a CMJ.
PMID- 27194183
TI - Meal patterns across ten European countries - results from the European
Prospective Investigation into Cancer and Nutrition (EPIC) calibration study.
AB - OBJECTIVE: To characterize meal patterns across ten European countries
participating in the European Prospective Investigation into Cancer and Nutrition
(EPIC) calibration study. DESIGN: Cross-sectional study utilizing dietary data
collected through a standardized 24 h diet recall during 1995-2000. Eleven
predefined intake occasions across a 24 h period were assessed during the
interview. In the present descriptive report, meal patterns were analysed in
terms of daily number of intake occasions, the proportion reporting each intake
occasion and the energy contributions from each intake occasion. SETTING: Twenty
seven centres across ten European countries. SUBJECTS: Women (64 %) and men (36
%) aged 35-74 years (n 36 020). RESULTS: Pronounced differences in meal patterns
emerged both across centres within the same country and across different
countries, with a trend for fewer intake occasions per day in Mediterranean
countries compared with central and northern Europe. Differences were also found
for daily energy intake provided by lunch, with 38-43 % for women and 41-45 % for
men within Mediterranean countries compared with 16-27 % for women and 20-26 %
for men in central and northern European countries. Likewise, a south-north
gradient was found for daily energy intake from snacks, with 13-20 % (women) and
10-17 % (men) in Mediterranean countries compared with 24-34 % (women) and 23-35
% (men) in central/northern Europe. CONCLUSIONS: We found distinct differences in
meal patterns with marked diversity for intake frequency and lunch and snack
consumption between Mediterranean and central/northern European countries.
Monitoring of meal patterns across various cultures and populations could provide
critical context to the research efforts to characterize relationships between
dietary intake and health.
PMID- 27194191
TI - Old model organisms and new behavioral end-points: Swimming alteration as an
ecotoxicological response.
AB - Behavioral responses of aquatic organisms have received much less attention than
developmental or reproductive ones due to the scarce presence of user-friendly
tools for their acquisition. The technological development of data acquisition
systems for quantifying behavior in the aquatic environment and the increase of
studies on the understanding the relationship between the behavior of aquatic
organisms and the physiological/ecological activities have generated renewed
interest in using behavioral responses also in marine ecotoxicology. Recent
reviews on freshwater environment show that behavioral end-points are
comparatively fast and sensitive, and warrant further attention as tools for
assessing the toxicological effects of environmental contaminants. In this mini
review, we perform a systematic analysis of the most recent works that have used
marine invertebrate swimming alteration as behavioral end-point in
ecotoxicological studies by assessing the differences between behavioral and
acute responses in a wide range of species, in order to compare their
sensitivity.
PMID- 27194192
TI - Letter to the Editor: The Prescription Opioid Epidemic in Developed Versus
Underdeveloped Countries: Is there a Happy Medium?
PMID- 27194193
TI - Establishing "abuse-deterrence equivalence" for generic abuse-deterrent opioid
formulations: A proposed development framework.
AB - Abuse-deterrent formulations are one strategy for mitigating the epidemic of
prescription opioid abuse. Regulatory guidance documents describe the
requirements for developing abuse-deterrent formulations of novel drugs and
formulations; however, they do not address "abuse-deterrence equivalence" for
generic formulations. As generics may be produced with different excipients and
formulations compared to reference drugs, differences in their properties may
impact their abuse-deterrent features. Currently, it is unclear what specific
studies are needed to support generic abuse-deterrence claims. This commentary
outlines several recommendations on the in vitro and in vivo testing required,
including the conditions for conducting a human abuse potential study.
PMID- 27194194
TI - The emerging therapeutic roles of kappa-opioid agonists.
AB - The current practice of MU-opioid receptor agonists such as morphine as the
primary means of acute and chronic pain relief has several dangerous consequences
that limit their effectiveness, including respiratory depression,
gastrointestinal motility inhibition, addiction, tolerance, and abuse. Several
other opioid receptors, notably the MU-opioid (KOP) receptor, have long been
known to play a role in pain relief. Recent discoveries and advancements in
laboratory techniques have allowed significant developments of KOP agonists as
potential novel therapies for pain relief and other pathological processes. These
drugs exhibit none of the classic opioid adverse effects and have displayed
pronounced analgesia in several different scenarios. New formulations since 2014
have unveiled increased oral bioavailability, exceptional peripheral versus
central selectivity, and a positive safety profile. Continued refinements of
established MU-opioid agonist formulations have virtually eliminated the
centrally mediated side effects of dysphoria and sedation that limited the
applicability of previous KOP agonists. Further research is required to better
elucidate the potential of these compounds in pain management, as well as in the
mediation or modulation of other complex pathophysiological processes as
therapeutic agents.
PMID- 27194195
TI - State-level and system-level opioid prescribing policies: The impact on provider
practices and overdose deaths, a systematic review.
AB - OBJECTIVE: In response to persistent public health concerns regarding
prescription opioids, many states and healthcare systems have implemented
legislation and policies intended to regulate or guide opioid prescribing. The
overall impact of these policies is still uncertain. The aim of this systematic
review was to examine the existing evidence of provider-level and patient-level
outcomes preimplementation and postimplementation of policies and legislation
constructed to impact provider prescribing practices around opioid analgesics.
DESIGN: A systematic search of MEDLINE, EMBASE, the Web of Science, and the
Cochrane Database of Systematic Reviews was conducted to identify studies
evaluating the impact of opioid prescribing policies on provider-level and
patient-level outcomes. The systematic review was conducted in accordance with
Preferred Reporting Items for Systematic Reviews and Meta-Analyses guidelines.
RESULTS: Eleven studies were included in the review. A meta-analysis was not
possible due to between-study heterogeneity. Six of the studies assessed state
level policies, and five were at the level of the healthcare system or hospital.
Studies showed temporal associations between policy implementation and reductions
in opioid prescribing, as well as opioid-related overdoses. Results were mixed
regarding the impact of policies on misuse. The majority of the studies were
judged to be of low quality based on the GRADE criteria. CONCLUSIONS: There is
low to moderate quality evidence suggesting that the presence of opioid
prescribing policy will reduce the amount and strength of opioid prescribed. The
presence of these policies may impact the number of overdoses, but there is no
clear evidence to suggest that it reduces opioid misuse.
PMID- 27194197
TI - Methadone conversion in infants and children: Retrospective cohort study of 199
pediatric inpatients.
AB - OBJECTIVE: Methadone administration has increased in pediatric clinical settings.
This review is an attempt to ascertain an equianalgesic dose ratio for methadone
in the pediatric population using standard adult dose conversion guidelines.
SETTING: US tertiary children's hospital. PATIENTS: Hospitalized pediatric
patients, 0-18 years of age. MAIN OUTCOME MEASURES: A retrospective chart review
was conducted for patients who were converted from their initial opioid therapy
regimen (morphine, hydromorphone, and/or fentanyl) to methadone. The primary
endpoint was whether or not a dose correction was needed for methadone in the 6
days following conversion using standard dose conversion charts for adults.
Documented clinical signs of withdrawal, unrelieved pain, or oversedation were
examined. RESULTS: The majority (53.7 percent) of the 199 children were converted
to methadone on intensive care units prior extubation or postextubation. The mean
conversion ratio was 23.7 mg of oral morphine to 1 mg of oral methadone (median,
18.8 mg:1 mg, SD=25.7). Most patients experienced an adequate conversion (n=115,
57.8 percent), while 83 (41.7 percent) appeared undermedicated, and one child was
oversedated. There were no associations found with conversion ratios for initial
morphine dose, days to conversion, or effect of withdrawal of concomitant agents
with potential for withdrawal. CONCLUSIONS: Opioid conversion to methadone is
commonly practiced at our institution; however, dosing was significantly lower
compared to adult conversion ratios, and more than 40 percent of children were
undermedicated. The majority of children in this study received opioids for
sedation while intubated and ventilated; therefore, safe and efficacious
pediatric methadone conversion rates remain unclear. Prospective studies are
needed.
PMID- 27194196
TI - Analgesic utilization before and after rescheduling of hydrocodone in a large
academic level 1 trauma center.
AB - BACKGROUND: Hydrocodone-containing products were recently rescheduled from Drug
Enforcement Agency (DEA) schedule III to schedule II due to concerns of abuse and
misuse. These changes went into effect on October 6, 2014. OBJECTIVE: This
quality improvement project involved a retrospective analysis to determine the
effect of the DEA schedule change on prescribing habits of hydrocodone-containing
products as well as the remaining schedule III and IV opioids, codeine (schedule
III) and tramadol (schedule IV). METHODS: The authors performed a medication use
evaluation at our academic level 1 trauma hospital system on outpatient use of
hydrocodone-containing products, tramadol, and codeine-containing products for 6
months before and 6 months after the change to schedule II using our electronic
record and pharmacy system. RESULTS: A total of 88,428 prescription orders were
analyzed. Comparison of prescriptions before and after the DEA schedule changes
showed hydrocodone prescriptions reduced from an average of 225.97 per day to
1.20 per day. In addition, tramadol increased from 60.04 per day to 91.85 per day
and codeine from 6.81 per day to 98.94 per day. CONCLUSIONS: Our data show a very
substantial decrease in utilization of hydrocodone-containing products and
concomitant increase in the utilization of tramadol and codeine products at our
hospital after the DEA schedule change.
PMID- 27194199
TI - Six-month, open-label study of hydrocodone extended release formulated with abuse
deterrence technology: Safety, maintenance of analgesia, and abuse potential.
AB - OBJECTIVE: To evaluate long-term safety, maintenance of analgesia, and aberrant
drug-related behaviors of hydrocodone extended release (ER) formulated with
CIMA(r) Abuse-Deterrence Technology. DESIGN: Phase 3, multicenter, open-label
extension. SETTING: Fifty-six US centers. PATIENTS: Adults with chronic low back
pain completing a 12-week placebocontrolled study of abuse-deterrent hydrocodone
ER were eligible. One hundred eighty-two patients enrolled and received >=1 dose
of study drug, 170 entered openlabel treatment, and 136 completed the study.
INTERVENTIONS: Patients receiving hydrocodone ER in the 12-week, placebo
controlled study continued their previous dose unless adjustment was needed;
those previously receiving placebo (n=78) underwent dose titration/adjustment to
an analgesic dose (15-90 mg every 12 hours). Patients received 22 weeks of open
label treatment. MAIN OUTCOME MEASURES: SAFETY: adverse events (AEs).
Maintenance of analgesia: worst pain intensity (WPI) and average pain intensity
(API) at each study visit. Aberrant drug behavior: study drug loss and diversion.
RESULTS: AEs were reported for 65/182 (36 percent) patients during dose
titration/ adjustment and 88/170 (52 percent) during open-label treatment. No
treatmentrelated serious AEs were reported. There were no clinically meaningful
trends in other safety assessments, including physical examinations and pure tone
audiometry. One patient receiving hydrocodone ER 30 mg twice daily experienced a
severe AE of neurosensory deafness that was considered treatment related. Mean
WPI and API remained steady throughout open-label treatment. Six (3 percent)
patients reported medication loss, and 5 (3 percent) reported diversion.
CONCLUSIONS: Abuse-deterrent hydrocodone ER was generally well tolerated in
patients with chronic low back pain, maintained efficacy, and was associated with
low rates of loss and diversion.
PMID- 27194198
TI - Methadone prolongs cardiac conduction in young patients with cancer-related pain.
AB - OBJECTIVE: Methadone prolongs cardiac conduction, from mild corrected QT (QTc)
prolongation to torsades de pointes and ventricular fibrillation, in adults.
However, methadone use for pain and its effects on cardiac conduction have not
been investigated in pediatric populations. METHODS: A retrospective review of
QTc intervals in patients receiving methadone analgesia was conducted. Medical
records from a 4-year period (September 2006 to October 2010) at a pediatric
oncology institution were reviewed, and correlations were tested between cardiac
conduction and methadone dosage and duration of therapy, electrolyte levels,
renal and hepatic dysfunction, and concurrent medications. RESULTS: Of the 61
patients who received methadone, 37 met our inclusion criteria and underwent 137
electrocardiograms (ECGs). During methadone treatment, the mean QTc was longer
than that at baseline (446.5 vs 437.55 ms). The mean methadone dose was 27.0+/
24.3 mg/d (range, 5-125 mg/d; median, 20 mg/d) or 0.47+/-0.45 mg/kg per day
(range, 0.05-2.25 mg/kg per day; median, 0.37 mg/kg per day), and the mean
duration of therapy was 49 days. The authors identified a correlation between
automated and manual ECG readings by two cardiologists (Pearson r=0.649;
p<0.0001), but the authors found no correlations between methadone dose or
duration and concurrent QTc-prolonging medications, sex, age, electrolyte
abnormalities, or renal or hepatic dysfunction. CONCLUSION: At a clinically
effective analgesic dose, methadone dosage and duration were not correlated with
QTc prolongation, even in the presence of other risk factors, suggesting that
methadone use may be safe in pediatric populations. The correlation between
automated and manual ECG readings suggests that automated ECG readings are
reliable for monitoring cardiac conductivity during the reported methadone-dosage
regimens.
PMID- 27194200
TI - Opioid use following the introduction of an extended-release oxycodone
formulation with tamper-resistant properties: Prospective historical chart review
in methadone-maintained patients.
AB - OBJECTIVE: Emerging data are demonstrating that tamper-resistant opioids may play
an important role in changing prescription opioid abuse behaviors. This study was
a chart review to examine if the reformulation of OxyContin(r) into a version
with tamper-resistant properties (OxyNEO(r)) had an impact on oxycodone-positive
urine drug screens (UDSs) in opioid-dependent patients receiving methadone
maintenance therapy (MMT). DESIGN: The historical element of this study examined
250 eligible charts from patients on MMT who had data during the time periods
when only OxyContin was available (baseline period), during the transition to
OxyNEO, and when only OxyNEO was available. The prospective element included an
exploratory questionnaire regarding retrospective opioid use. SETTING: The study
was conducted at three methadone clinics, in Oshawa, Peterborough, and
Scarborough in Ontario, Canada. PARTICIPANTS: Male and female patients were
eligible if they had a diagnosis of opioid dependency, received MMT, and had at
least one oxycodone-positive UDS during the baseline period. INTERVENTION: This
was a noninterventional study. MAIN OUTCOME MEASURE: The main outcome was the
number of oxycodonepositive UDSs. RESULTS: The results demonstrated a marked
reduction in oxycodone-positive UDSs that showed stepwise, statistically
significant decreases during the transition and post-OxyContin periods relative
to baseline. While the oxycodone-positive UDS results were decreasing, morphine
related-positive UDSs remained relatively stable during the same periods. There
were no significant gender differences noted. CONCLUSIONS: The introduction of
OxyNEO was associated with a statistically significant reduction in oxycodone
exposure in a population of methadone-maintained patients.
PMID- 27194201
TI - Use of Osmotic Pumps to Establish the Pharmacokinetic-Pharmacodynamic
Relationship and Define Desirable Human Performance Characteristics for
Aggrecanase Inhibitors.
AB - The development of reliable relationships between in vivo target engagement,
pharmacodynamic activity, and efficacy in chronic disease models is beneficial
for enabling hypothesis-driven drug discovery and facilitating the development of
patient-focused candidate selection criteria. Toward those ends, osmotic infusion
pumps can be useful for overcoming limitations in the PK properties of proof-of
concept (POC) compounds to accelerate the development of such relationships. In
this report, we describe the application of this strategy to the development of
hydantoin-derived aggrecanase inhibitors (eg, 3) for the treatment of
osteoarthiritis (OA). Potent, selective inhibitors were efficacious in both
chemical and surgical models of OA when exposures were sustained in excess of 10
times the plasma IC50. The use of these data for establishing patient-focused
candidate selection criteria is exemplified with the characterization of compound
8, which is projected to sustain the desired level of target engagement at a dose
of 45 mg qd.
PMID- 27194202
TI - Gymnopeptides A and B, Cyclic Octadecapeptides from the Mushroom Gymnopus
fusipes.
AB - Mycochemical study of the mushroom Gymnopus fusipes led to the discovery of two
new cyclopeptides. The two compounds, named as gymnopeptides A and B, are
unprecedented highly N-methylated cyclic octadecapeptides. Detailed spectroscopic
studies, Marfey's analysis, and a preliminary molecular modeling study suggested
that both are natural cyclic beta hairpins. The isolated compounds exhibited
striking antiproliferative activity on several human cancer cell lines, with
nanomolar IC50 values.
PMID- 27194203
TI - High-Q side-coupled semi-2D-photonic crystal cavity.
AB - High-Q semi-2D-photonic crystal cavities with a tapered edge and side-coupled bus
waveguide are demonstrated. With a quadratic design, the unloaded cavity presents
a theoretical ultrahigh quality factor up to 6.7 * 10(7) for the condition that
there are mere 34 holes in the propagated direction, which is pretty close to the
2D and 1D counterpart. Combined with a side-coupled bus waveguide, an all-pass
type cavity with a loaded quality factor (Q) of over 2.4 * 10(4) and an
extinction ratio over 10 dB are experimentally demonstrated. An experimental
loaded Q up to 1.1 * 10(5) are also achieved by tuning the coupling between the
cavity and the bus waveguide, which is much larger than any reported surface-mode
cavity. This cavity is quite suitable for sensors, filters and especially
optomechanical devices thanks to the mechanical stability of the cavity and
flexibility of the bus waveguide.
PMID- 27194204
TI - Super-resolution microscopy reveals structural diversity in molecular exchange
among peptide amphiphile nanofibres.
AB - The dynamic behaviour of supramolecular systems is an important dimension of
their potential functions. Here, we report on the use of stochastic optical
reconstruction microscopy to study the molecular exchange of peptide amphiphile
nanofibres, supramolecular systems known to have important biomedical functions.
Solutions of nanofibres labelled with different dyes (Cy3 and Cy5) were mixed,
and the distribution of dyes inserting into initially single-colour nanofibres
was quantified using correlative image analysis. Our observations are consistent
with an exchange mechanism involving monomers or small clusters of molecules
inserting randomly into a fibre. Different exchange rates are observed within the
same fibre, suggesting that local cohesive structures exist on the basis of beta
sheet discontinuous domains. The results reported here show that peptide
amphiphile supramolecular systems can be dynamic and that their intermolecular
interactions affect exchange patterns. This information can be used to generate
useful aggregate morphologies for improved biomedical function.
PMID- 27194205
TI - Mini-pillar array for hydrogel-supported 3D culture and high-content histologic
analysis of human tumor spheroids.
AB - Three-dimensional (3D) cancer cell culture models mimic the complex 3D
organization and microenvironment of human solid tumor tissue and are thus
considered as highly predictive models representing avascular tumor regions.
Confocal laser scanning microscopy is useful for monitoring drug penetration and
therapeutic responses in 3D tumor models; however, photonic attenuation at
increasing imaging depths and limited penetration of common fluorescence tracers
are significant technical challenges to imaging. Immunohistological staining
would be a good alternative, but the preparation of tissue sections from rather
fragile spheroids through fixing and embedding procedures is challenging. Here we
introduce a novel 3 * 3 mini-pillar array chip that can be utilized for 3D cell
culturing and sectioning for high-content histologic analysis. The mini-pillar
array chip facilitated the generation of 3D spheroids of human cancer cells
within hydrogels such as alginate, collagen, and Matrigel. As expected,
visualization of the 3D distribution of calcein AM and doxorubicin by optical
sectioning was limited by photonic attenuation and dye penetration. The integrity
of the 3D microtissue section was confirmed by immunostaining on paraffin
sections and cryo-sections. The applicability of the mini-pillar array for drug
activity evaluation was tested by measuring viability changes in spheroids
exposed to anti-cancer agents, 5-fluorouracil and tirapazamine. Thus, our novel
mini-pillar array platform can potentially promote high-content histologic
analysis of 3D cultures and can be further optimized for field-specific needs.
PMID- 27194207
TI - Graphene oxide-peptide nanoassembly as a general approach for monitoring the
activity of histone deacetylases.
AB - A novel fluorescent sensor using graphene oxide (GO)-peptide nanoassembly is
developed for histone deacetylases (HDACs) based on deacetylation mediated
cleavage of substrate peptides, which provides a simple, cost-effective platform
for monitoring the activity of HDACs.
PMID- 27194206
TI - A Modified Thyroid Imaging Reporting and Data System (mTI-RADS) For Thyroid
Nodules in Coexisting Hashimoto's Thyroiditis.
AB - To develop a conventional ultrasound (US) modified Thyroid Imaging Reporting and
Data System (mTI-RADS) to stratify the malignancy risk of thyroid nodule in
coexisting Hashimoto's thyroiditis (HT). The study included 138 malignant and 292
benign thyroid nodules confirmed by cytological or histopathological results. The
risk score (RS) for each significant US feature was estimated by multiplying
corresponding regression coefficient and the total score for each nodule was
defined as the sum of these individual scores. The mTI-RADS was established
according to the total RS and divided into category 3, 4a, 4b, 4c and 5. Marked
hypoechogenicity, taller-than-wide shape, poorly-defined margin,
microcalcification or macrocalcification and halo sign absence were statistically
significant US features in prediction of thyroid malignancy (all p < 0.05). The
total RS for each nodule was defined as following: RS = 2.1* (if marked
hypoechogenicity) + 1.2* (if taller-than-wide shape) + 1.7* (if no halo sign) +
0.6* (if poorly-defined margin) + 1.2* (if microcalcification or
macrocalcification). The malignancy rates in mTI-RADS category 3, 4a, 4b, 4c and
5 nodules were 3.7%, 19.3%, 38.1%, 62.7% and 94.1%, respectively, with
significant differences among different categories (P < 0.001). The mTI-RADS
category may facilitate subsequent treatment management in HT patients.
PMID- 27194208
TI - Protozoan indicators and extracellular polymeric substances alterations in an
intermittently aerated membrane bioreactor treating mature landfill leachate.
AB - A membrane bioreactor was operated under intermittent aeration and various
organic loading rates (OLR: 0.070, 0.159 and 0.291 g COD L-1 d-1) to remove
carbon and nitrogen from mature landfill leachate, where external carbon source
(glycerol) addition resulted in effective nitrate removal. A relative increase in
soluble microbial product (SMP) over extracellular polymeric substances (EPS) was
observed at the highest OLR and glycerol addition, whereas no membrane biofouling
occurred. SMP (proteins and carbohydrates) and carbohydrate EPS correlated
positively and negatively, respectively, with suspended solids and transmembrane
pressure (TMP). Moreover, proteinous SMP significantly correlated with carbon and
nitrogen load. Principal component analysis also revealed the influence of
leachate organic and nitrogen content on biomass production, TMP and sessile
ciliate densities. Although filamentous index (FI) was sustained at high levels
(3-4), with Haliscomenobacter hydrossis being the main filamentous bacterium
identified, no bulking phenomena occurred. High glycerol addition resulted in a
rapid increase in sessile ciliate population. Increased Epistylis and Vorticella
microstoma population was detected by microscopic examination during high
glycerol addition, while a remarkable Rhogostoma population (supergroup Rhizaria)
was identified by molecular techniques. The contribution of Rhizaria in nitrogen
processes may lead to the dominance of Rhogostoma during landfill leachate
treatment.
PMID- 27194209
TI - Clinicopathogenomic analysis of mismatch repair proficient colorectal
adenocarcinoma uncovers novel prognostic subgroups with differing patterns of
genetic evolution.
AB - Cancer somatic genetic evolution is a direct contributor to heterogeneity at the
clonal and molecular level in colorectal adenocarcinoma (COAD). We sought to
determine the extent to which genetic evolution may be detected in COAD in
routinely obtained single clinical specimens and establish clinical significance
with regard to clinicopathologic and outcome data. One hundred and twenty three
cases of routinely collected mismatch repair proficient COAD were sequenced on
the Illumina Truseq Amplicon assay. Measures of intratumoral heterogeneity and
the preferential timing of mutational events were assessed and compared to
clinicopathologic data. Survival subanalysis was performed on 55 patients.
Patient age (p = 0.013) and specimen percent tumor (p = 0.033) was associated
with clonal diversity, and biopsy (p = 0.044) and metastasis (p = 0.044) returned
fewer mutations per case. APC and TP53 mutations preferentially occurred early
while alterations in FBXW7, FLT3, SMAD4, GNAS and PTEN preferentially occurred as
late events. Temporal heterogeneity was evident in KRAS and PIK3CA mutations.
Hierarchical clustering revealed a TP53 mutant subtype and a MAPK-PIK3CA subtype
with differing patterns of late mutational events. Survival subanalysis showed a
decreased median progression free survival for the MAPK-PIK3CA subtype (8 months
vs. 13 months; univariate logrank p = 0.0380, cox model p= 0.018). Neoadjuvant
therapy associated mutations were found for ERBB2 (p = 0.0481) and FBXW7 (p =
0.015). Our data indicate novel molecular subtypes of mismatch repair proficient
COAD display differing patterns of genetic evolution which correlate with
clinical outcomes. Furthermore, we report treatment acquired and/or selected
mutations in ERBB2 and FBXW7.
PMID- 27194210
TI - Comparative B-mode and Doppler renal ultrasonography with histopathological
findings in dogs positive for canine visceral leishmaniasis.
AB - Morphological parameters and renal flow were assessed and measured in six
clinically healthy dogs with negative serological and parasitological
examinations for canine visceral leishmaniasis (CVL) and six dogs with CVL
positive serological and parasitological tests and asymptomatic condition of the
disease. Kidney length and diameter were measured and compared with the
aorta/kidney ratio. Alterations in flow were measured using the flow velocity
(cm/s) and resistance index (RI). Renal function was assessed by serum
biochemical examination (urea, creatine, total proteins, albumin, and globulin)
and urinalysis. CVL-positive animals presented heterogeneous kidney echotexture,
reduced vascular flow, hypoperfusion, lesser visualization of the arcuate
arteries, high RI values (1.06 +/- 0.28 for the right kidney and 1.10 +/- 0.30
for the left kidney), reduced diastolic flow, and high systolic peak. They also
presented positive creatine/RI correlation and between the renal artery vascular
flow and kidney diameter. Histopathological analysis of CVL-positive animals was
compatible and confirmed hemodynamic changes observed by Doppler ultrasound. The
findings in the present study showed that Doppler ultrasonography is a valid
method to assess and detect alterations in flow in renal pathological processes
with kidney vascular damage, as in the case of leishmaniasis, and can help in the
diagnosis of animals with this infection. Microsc. Res. Tech. 79:637-645, 2016.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27194213
TI - A cortically-inspired model for inverse kinematics computation of a humanoid
finger with mechanically coupled joints.
AB - The human hand's versatility allows for robust and flexible grasping. To obtain
such efficiency, many robotic hands include human biomechanical features such as
fingers having their two last joints mechanically coupled. Although such coupling
enables human-like grasping, controlling the inverse kinematics of such
mechanical systems is challenging. Here we propose a cortical model for fine
motor control of a humanoid finger, having its two last joints coupled, that
learns the inverse kinematics of the effector. This neural model functionally
mimics the population vector coding as well as sensorimotor prediction processes
of the brain's motor/premotor and parietal regions, respectively. After learning,
this neural architecture could both overtly (actual execution) and covertly
(mental execution or motor imagery) perform accurate, robust and flexible finger
movements while reproducing the main human finger kinematic states. This work
contributes to developing neuro-mimetic controllers for dexterous humanoid
robotic/prosthetic upper-extremities, and has the potential to promote human
robot interactions.
PMID- 27194214
TI - The role of the equilibrative nucleoside transporter 1 on tissue and fetal
distribution of ribavirin in the mouse.
AB - Ribavirin is used for the treatment of hepatitis C virus (HCV) infection. The
equilibrative nucleoside transporter 1 (ENT1) expressed in hepatocytes transports
ribavirin into the liver, the site of efficacy of the drug. However, it is still
unclear whether ENT1 plays a dominant role in the hepatic distribution of the
drug in vivo. In addition, due to fetal toxicity, administration of ribavirin to
pregnant women with HCV infection is contraindicated. ENT1 might play a role in
the fetal distribution and therefore the fetal toxicity of ribavirin. The aim of
the present study was to investigate the in vivo contribution of ENT1 to the
tissue distribution of ribavirin. When compared with that in Ent1(+/+) mice, the
ribavirin tissue to plasma concentration ratio (including phosphorylated
metabolites) in Ent1(-/-) mice at 15 min and 6 h after intravenous [(3) H]
ribavirin (3 mg/kg) administration was consistently and significantly decreased
in the liver and the pancreas. Likewise, when compared with the Ent1(+/+) mice,
the fetal distribution of ribavirin at 15 min after administration was
significantly reduced in Ent1(-/-) fetuses and placenta. In contrast, there was
no significant difference between Ent1(+/+), Ent1(+/-) and Ent1(-/-) mice in the
fetal or placental to maternal plasma ribavirin concentration ratio at 2 h after
ribavirin administration. The findings in the present study suggest that ENT1
plays a pivotal role in the distribution of ribavirin into tissues including the
liver and pancreas, but affects only the rate, but not the extent, of ribavirin
distribution into the fetus. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27194212
TI - Primary hyperparathyroidism.
AB - Primary hyperparathyroidism (PHPT) is a common disorder in which parathyroid
hormone (PTH) is excessively secreted from one or more of the four parathyroid
glands. A single benign parathyroid adenoma is the cause in most people. However,
multiglandular disease is not rare and is typically seen in familial PHPT
syndromes. The genetics of PHPT is usually monoclonal when a single gland is
involved and polyclonal when multiglandular disease is present. The genes that
have been implicated in PHPT include proto-oncogenes and tumour-suppressor genes.
Hypercalcaemia is the biochemical hallmark of PHPT. Usually, the concentration of
PTH is frankly increased but can remain within the normal range, which is
abnormal in the setting of hypercalcaemia. Normocalcaemic PHPT, a variant in
which the serum calcium level is persistently normal but PTH levels are increased
in the absence of an obvious inciting stimulus, is now recognized. The clinical
presentation of PHPT varies from asymptomatic disease (seen in countries where
biochemical screening is routine) to classic symptomatic disease in which renal
and/or skeletal complications are observed. Management guidelines have recently
been revised to help the clinician to decide on the merits of a parathyroidectomy
or a non-surgical course. This Primer covers these areas with particular
attention to the epidemiology, clinical presentations, genetics, evaluation and
guidelines for the management of PHPT.
PMID- 27194216
TI - Esophageal acid sensitivity and mucosal integrity in patients with functional
heartburn.
AB - BACKGROUND: Patients with functional heartburn (FH) experience troublesome
heartburn that is not related to gastroesophageal reflux. The etiology of the
heartburn sensation in FH patients is unknown. In patients with reflux disease,
esophageal hypersensitivity seems associated with impaired mucosal integrity. We
aimed to determine esophageal sensitivity and mucosal integrity in FH and non
erosive reflux disease (NERD) patients. METHODS: In this prospective experimental
study, we performed an acid perfusion test and upper endoscopy with biopsies in
12 patients with NERD and nine patients with FH. Mucosal integrity was measured
during endoscopy using electrical tissue impedance spectroscopy and biopsy
specimens were analyzed in Ussing chambers for transepithelial electrical
resistance and transepithelial permeability. KEY RESULTS: Lag time to heartburn
perception was significantly longer in FH patients (median 12 min) than in NERD
patients (median 3 min). Once perceived, intensity of heartburn was scored equal
with median visual analog scale 6.5 and 7.1 respectively. Esophageal mucosal
integrity was also comparable between FH and NERD patients, both in vivo
extracellular impedance and ex vivo transepithelial resistance and permeability
were similar. CONCLUSIONS & INFERENCES: Patients with FH did not show acid
hypersensitivity as seen in patients with NERD. However, once perceived,
intensity of heartburn is similar. Esophageal mucosal integrity is similar
between NERD and FH patients, and is therefore unlikely to be the underlying
cause of the observed difference in esophageal acid perception.
PMID- 27194215
TI - Bioactivity of 2'-deoxyinosine-incorporated aptamer AS1411.
AB - Aptamers can be chemically modified to enhance nuclease resistance and increase
target affinity. In this study, we performed chemical modification of 2'
deoxyinosine in AS1411, an anti-proliferative G-rich oligodeoxynucleotide
aptamer, which binds selectively to the nucleolin protein. Its function was
augmented when 2'-deoxyinosine was incorporated at positions 12, 13, 15, and 24
of AS1411, respectively. In addition, double incorporation of 2'-deoxyinosine at
positions 12 and 24 (FAN-1224dI), 13 and 24 (FAN-1324dI), and 15 and 24 (FAN
1524dI) promoted G-quartet formation, as well as inhibition of DNA replication
and tumor cell growth, and induced S-phase cell cycle arrest. In further animal
experiments, FAN-1224dI, FAN-1324dI and FAN-1524dI resulted in enhanced treatment
effects than AS1411 alone. These results suggested that the position and number
of modification substituents in AS1411 are critical parameters to improve the
diagnostic and therapeutic function of the aptamer. Structural investigations of
the FAN-1524dI/nucleolin complex structure, using molecular dynamics simulation,
revealed the critical interactions involving nucleolin and 2'-dI incorporated
AS1411 compared with AS1411 alone. These findings augment understanding of the
role of 2'-deoxyinosine moieties in interactive binding processes.
PMID- 27194217
TI - Low-Chlorinated Non-Dioxin-like Polychlorinated Biphenyls Present in Blood and
Breast Milk Induce Higher Levels of Reactive Oxygen Species in Neutrophil
Granulocytes than High-Chlorinated Congeners.
AB - Despite their ban several decades ago, polychlorinated biphenyls (PCBs) still
pose a health threat to human beings due to their persistent and accumulative
nature and continued presence in the environment. Non-dioxin-like (NDL)-PCBs have
earlier been found to have effects on the immune system, including human
neutrophil granulocytes. The aim of this study was to investigate the differences
between ortho-chlorinated NDL-PCBs with a low or high degree of chlorination in
their capability to induce the production of reactive oxygen species (ROS) in
human neutrophil granulocytes in vitro. We used some of the congeners occurring
at the highest levels in blood, breast milk and food: PCB 52 representing the low
chlorinated congeners and PCB 180 the high-chlorinated congeners. In addition,
the extensively studied PCB 153 was included as a reference compound. ROS
production was assessed with the luminol-amplified chemiluminescence and DCF
fluorescence assays. The involvement of intracellular signalling mechanisms was
investigated using different pharmacological substances. At high concentrations
(10-20 MUM), PCB 52 induced more ROS than PCB 153 and PCB 180. The role of
extracellular signal-regulated kinase (ERK) 1/2 and/or ERK 5 signalling in PCB
induced ROS production was implicated through the reduction in ROS in the
presence of the specific inhibitor U0126, whereas reduced ROS production after
the use of SB203580 and SP600125 indicated the involvement of the p38 mitogen
activated protein kinase (MAPK) and c-Jun amino-terminal kinase (JNK) pathways,
respectively. In addition, the calcineurin inhibitor FK-506, the intracellular
calcium chelator BAPTA-AM and the antioxidant vitamin E reduced the levels of
ROS. The intracellular signalling mechanisms involved in ROS production in human
neutrophil granulocytes appeared to be similar for PCB 52, PCB 153 and PCB 180.
Based on the results from the present and previous studies, we conclude that for
abundant ortho-chlorinated PCBs found in the blood, low-chlorinated congeners
induce higher production of ROS in neutrophil granulocytes than high-chlorinated
congeners. This could be relevant during acute exposure scenarios when high
concentrations of PCBs are present.
PMID- 27194218
TI - Nutritional properties of the largest bamboo fruit Melocanna baccifera and its
ecological significance.
AB - Melocanna baccifera is a unique bamboo which produces the largest fruits in the
grass family. Its gregarious flowering once in 45-50 years in north east India
and adjacent regions is a botanical enigma, resulting in a glut of fruits. Proper
utilization of M. baccifera fruits is not extant, and huge quantities of fruits
are left underexploited due to lack of scientific information on their chemical
composition and nutritional potential. Here we report the nutritional properties
of M. baccifera fruits, and the ecological significance of its fruiting. This
pear-shaped, fleshy bamboo fruit is rich in amino acids (lysine, glutamic acid),
sugars (sucrose, glucose, fructose) and phenolics (ferulic acid). Protein content
(free, bound) in M. baccifera fruits is very low. Fruits are rich in saturated
fatty acids (palmitic acid), minerals (potassium), and only B series vitamins
(B3) are detected in them. Rat feeding experiments showed that M. baccifera fruit
alone is not a complete food, but with other protein supplements, it is a
valuable food additive. This study could lead to better utilization of M.
baccifera fruits during future flowering/fruiting events. These results could
also help in the successful management of rodent outbreaks and other ecological
problems associated with M. baccifera fruiting.
PMID- 27194221
TI - Eliminate slogans and remove barriers to pride in work.
PMID- 27194220
TI - Serum interleukin-6 levels in response to biologic treatment in patients with
psoriasis.
AB - OBJECTIVES: Psoriasis is a chronic autoimmune disease involving a complex network
of cytokines such as interleukin (IL)-6. We tested the hypothesis that serum IL-6
level is a useful indicator of disease activity and predicts the treatment
response to biologics in patients with psoriasis. METHODS: We analyzed 113
psoriasis patients treated with biologics (73 with infliximab [IFX], 24 with
adalimumab [ADA], and 16 with ustekinumab [UST]) in our hospital. Disease
severity was assessed using the Psoriasis Area and Severity Index (PASI) score,
and Disease Activity Score 28 based on C-reactive protein (DAS28-CRP). RESULTS:
Before treatment, serum IL-6 levels significantly correlated with PASI scores in
patients with psoriasis vulgaris (r = 0.432, p = 0.001) and with DAS28-CRP in
patients with psoriatic arthritis (r = 0.469, p = 0.010). Serum IL-6 levels were
significantly decreased by IFX (from 4.8 to 1.5) and ADA (from 2.5 to 1.4)
therapy. In psoriatic arthritis, serum IL-6 levels at the endpoint tended to be
lower in patients who achieved DAS28-CRP <2.3 (European League Against Rheumatism
remission criteria) than in patients who did not. CONCLUSION: Serum IL-6 level
may be a useful biomarker for assessing disease activity in patients with
psoriasis and for predicting responsiveness of joint symptoms to biologic
treatment.
PMID- 27194222
TI - CLIP-COSY: A Clean In-Phase Experiment for the Rapid Acquisition of COSY-type
Correlations.
AB - The COSY experiment is an essential homonuclear 2D NMR experiment for the
assignment of resonances. Its multiplet line shape, however, is often overly
complicated, potentially leads to signal intensity losses, and is responsible for
long minimum overall acquisition times. Herein, we present CLIP-COSY, a COSY-type
experiment yielding clean in-phase peaks. It can be recorded within a few minutes
and benefits from enhanced signal intensities for most cross-peaks. In
combination with non-uniform sampling, the experiment times can be further
reduced, and the in-phase multiplets enable the application of modern homonuclear
decoupling techniques in both dimensions. As antiphase cancelations are avoided,
CLIP-COSY can also be applied to macromolecules and other samples with broadened
lines.
PMID- 27194219
TI - The Oxygen Dilemma: A Severe Challenge for the Application of Monooxygenases?
AB - Monooxygenases are promising catalysts because they in principle enable the
organic chemist to perform highly selective oxyfunctionalisation reactions that
are otherwise difficult to achieve. For this, monooxygenases require reducing
equivalents, to allow reductive activation of molecular oxygen at the enzymes'
active sites. However, these reducing equivalents are often delivered to O2
either directly or via a reduced intermediate (uncoupling), yielding hazardous
reactive oxygen species and wasting valuable reducing equivalents. The oxygen
dilemma arises from monooxygenases' dependency on O2 and the undesired uncoupling
reaction. With this contribution we hope to generate a general awareness of the
oxygen dilemma and to discuss its nature and some promising solutions.
PMID- 27194223
TI - Generation of a mouse model for a conditional inactivation of Gtf2i allele.
AB - The multifunctional transcription factor TFII-I encoded by the Gtf2i gene is
expressed at the two-cell stage, inner cell mass, trophectoderm, and early
gastrula stages of the mouse embryo. In embryonic stem cells, TFII-I colocalizes
with bivalent domains and depletion of Gtf2i causes embryonic lethality, neural
tube closure, and craniofacial defects. To gain insight into the function of TFII
I during late embryonic and postnatal stages, we have generated a conditional
Gtf2i null allele by flanking exon 3 with loxP sites. Crossing the floxed line
with the Hprt-Cre transgenic mice resulted in inactivation of Gtf2i in one-cell
embryo. The Cre-mediated deletion of exon 3 recapitulates a genetic null
phenotype, indicating that the conditional Gtf2i line is a valuable tool for
studying TFII-I function during embryonic development. genesis 54:407-412, 2016.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27194225
TI - Comment on "Is the regulation of the electronic properties of organic molecules
by polynuclear superhalogens more effective than that by mononuclear
superhalogens? A high-level ab initio case study" by M.-M. Li, J.-F. Li, H.-C.
Bai, Y.-Y. Sun, J.-L. Li and B. Yin, Phys. Chem. Chem. Phys., 2015, 17, 20338.
AB - The Outer Valence Green Function (OVGF) and coupled-cluster singles and doubles
plus approximate triples, or CCSD(T), methods yield similar results for the
vertical detachment energies of superhalides studied recently by Li et al. The
success of the OVGF method contradicts claims by Li et al. in their recent
article.
PMID- 27194224
TI - Influence of cuff material on blood flow restriction stimulus in the upper body.
AB - The purpose of this study was to examine the acute skeletal muscle and perceptual
responses to blood flow restriction (BFR) exercise to failure between narrow
nylon and elastic inflatable cuffs at rest and during exercise. Torque and muscle
thickness was measured pre, post, and 5, 20, 40, and 60 min post-exercise with
muscle activation being measured throughout exercise. Resting arterial occlusion
pressure was different between the nylon [139 (14) mmHg] and elastic [246 (71)
mmHg, p < 0.001] cuffs. However, when exercising at 40 % of each cuff's
respective arterial occlusion pressure [nylon: 57 (7) vs. elastic: 106 (38) mmHg,
p < 0.001], there were no differences in repetitions to failure, torque, muscle
thickness, or muscle activation between the cuffs. Exercising with cuffs of
different material but similar width resulted in the same acute muscular response
when the cuffs were inflated to a pressure relative to each individual cuff.
PMID- 27194226
TI - Aneuploidy screening by non-invasive prenatal testing in twin pregnancy.
AB - OBJECTIVES: To describe our experience with non-invasive prenatal testing (NIPT)
in twin pregnancy. METHODS: Two sets of maternal blood samples from twin
pregnancies were analyzed at our laboratory using NIPT: 115 stored samples from
pregnancies with known outcome (Clinical Study A) and 487 prospectively collected
samples for which outcomes were requested from providers (Clinical Study B). NIPT
was used to screen for the presence of fetal aneuploidy on chromosomes 13, 18,
21, X and Y in all cases, and results were compared with outcomes when known.
RESULTS: In Clinical Study A, all 115 samples were classified correctly by NIPT:
three cases of trisomy 21 (one fetus affected), one of monochorionic trisomy 18
(both fetuses affected) and 111 euploid. In Clinical Study B, a NIPT result was
reported for 479 (98.4%) of the 487 samples. Aneuploidy was detected or suspected
in nine (1.9%) cases: seven cases of trisomy 21 detected, one case of trisomy 21
suspected and one case with trisomy 21 detected and trisomy 18 suspected.
Information on aneuploidy outcome was available for 171 (35.7%) cases in Clinical
Study B. Of the nine cases with aneuploidy detected or suspected, six were
confirmed to be a true positive in at least one twin based on karyotype or birth
outcome and two were suspected to be concordant based on ultrasound findings; the
one known discordant result was for the aneuploidy suspected case. No false
negatives were reported. CONCLUSION: NIPT performed well in the detection of
trisomy 21 in twin pregnancy, with a combined false-positive frequency for
trisomies 13, 18 and 21 of 0% for Clinical Study A and 0.2% for Clinical Study B.
(c) 2016 Illumina. Ultrasound in Obstetrics & Gynecology published by John Wiley
& Sons Ltd on behalf of International Society of Ultrasound in Obstetrics and
Gynecology.
PMID- 27194228
TI - Experimental new automatic tools for robotic stereotactic neurosurgery: towards
"no hands" procedure of leads implantation into a brain target.
AB - The use of robotics in neurosurgery and, particularly, in stereotactic
neurosurgery, is becoming more and more adopted because of the great advantages
that it offers. Robotic manipulators easily allow to achieve great precision,
reliability, and rapidity in the positioning of surgical instruments or devices
in the brain. The aim of this work was to experimentally verify a fully automatic
"no hands" surgical procedure. The integration of neuroimaging to data for
planning the surgery, followed by application of new specific surgical tools,
permitted the realization of a fully automated robotic implantation of leads in
brain targets. An anthropomorphic commercial manipulator was utilized. In a
preliminary phase, a software to plan surgery was developed, and the surgical
tools were tested first during a simulation and then on a skull mock-up. In such
a way, several tools were developed and tested, and the basis for an innovative
surgical procedure arose. The final experimentation was carried out on
anesthetized "large white" pigs. The determination of stereotactic parameters for
the correct planning to reach the intended target was performed with the same
technique currently employed in human stereotactic neurosurgery, and the robotic
system revealed to be reliable and precise in reaching the target. The results of
this work strengthen the possibility that a neurosurgeon may be substituted by a
machine, and may represent the beginning of a new approach in the current
clinical practice. Moreover, this possibility may have a great impact not only on
stereotactic functional procedures but also on the entire domain of neurosurgery.
PMID- 27194227
TI - Alteration of functional connectivity in autism spectrum disorder: effect of age
and anatomical distance.
AB - Autism spectrum disorder (ASD) is associated with disruption of local- and long
range functional connectivity (FC). The direction of those changes in FC
(increase or decrease), however, is inconsistent across studies. Further, age
dependent changes of distance-specific FC in ASD remain unclear. In this study,
we used resting-state functional magnetic resonance imaging data from sixty-four
typical controls (TC) and sixty-four patients with ASD, whom we further
classified into child (<11 years), adolescent (11-18 years) and adult cohorts
(>18 years). Functional connectivity (FC) analysis was conducted at voxel level.
We employed a three-way analysis of covariance on FC to conduct statistical
analyses. Results revealed that patients with ASD had lower FC than TC in
cerebellum, fusiform gyrus, inferior occipital gyrus and posterior inferior
temporal gyrus. Significant diagnosis-by-distance interaction was observed in ASD
patients with reduced short-range and long-range FC in posterior cingulate cortex
and medial prefrontal cortex. Importantly, we found significant diagnosis-by-age
by-distance interaction in orbitofrontal cortex with short-range FC being lower
in autistic children, but -to a less extent- higher in autistic adults. Our
findings suggest a major role of connection length in development changes of FC
in ASD. We hope our study will facilitate deeper understanding of the neural
mechanisms underlying ASD.
PMID- 27194229
TI - Effects of theta-rhythm transcranial alternating current stimulation (4.5 Hz
tACS) in patients with clozapine-resistant negative symptoms of schizophrenia: a
case series.
AB - Our aim was to assess the efficacy and safety of theta-rhythm transcranial
Alternating Current Stimulation (4.5 Hz-tACS) in patients with clozapine
resistant symptoms of schizophrenia. In an open case series, 3 patients received
20 sessions of 4.5 Hz-tACS (20 min, 2 mA) applied over the dorsolateral
prefrontal cortex. The patients exhibited a decrease of negative symptoms (-10
%), general symptoms (-18 %) and an improvement of insight into the illness (-25
%). 4.5 Hz-tACS might be a suitable alternative treatment for clozapine-resistant
symptoms of schizophrenia.
PMID- 27194230
TI - Seasonal variation and enrichment of metals in sediments of Rosetta branch, Nile
River, Egypt.
AB - This study investigated heavy metal pollution in sediments of the Rosetta branch
of the River Nile of Egypt to quantify the toxic distribution potential of metals
into the surrounding environment. Sediment samples were collected at 9 sites
during in four seasons. Organic matter and total metal concentrations were
determined using loss on ignition and inductively coupled plasma spectrometry,
respectively. Principal component analysis has been applied to evaluate the metal
sources and the relationships between metals in sediments. Metal concentrations
showed the following order: winter > autumn > spring > summer. Mean
concentrations of Cu, Zn, Cd, and Pb in sediments were above the average
background value of metals in shale. Pb and Cd showed higher enrichment during
all seasons at stations N3/N4, Zn at stations N1 to N4, and Cu at stations N6/N8.
The variations in heavy metal total concentration and organic matter are due to
different input sources, physico-chemical conditions, and
adsorption/precipitation/redox conditions in sediments. Mean values of Geo
accumulation index (Igeo) for Fe, Mn, and Cu were below 0 which were classified
as unpolluted during spring, summer, and autumn, except Cu increased from
unpolluted to moderately polluted during winter. Igeo values for Cd, Pb, and Zn
increased from unpolluted-moderately polluted to highly-very highly polluted
during autumn and winter. Pollution Load Index was recorded in highest values
during winter, especially at Fuwwah/Basioun and in lowest values during summer at
after the Edfina Barrage/before Kafer El-Zayat due to industrial/human
activities. Both natural and anthropogenic sources contributed to the metal
accumulations in sediments, and industrial, agricultural, and municipal sewage
effluents discharged from non-point sources may be the main anthropogenic sources
for metals in the Rosetta branch.
PMID- 27194231
TI - Memory loss risk assessment for the students nearby high-voltage power lines-a
case study.
AB - With increasing sources of alternating current electromagnetic fields (EMFs) in
everyday life, their possible harmful effects on human health are a main area of
concern in many countries. Given that children are the most valuable assets of
each country, it is of utmost importance to study the effect(s) of EMF exposure
on various health aspects of members within this age group. The present research
is the first systematic study of the effects of exposure to electric substations
on the memory status of male students in the age group of 10 to 12 years. The
flux density values of extremely low frequency magnetic field were measured at
four elementary schools in Tehran in accordance with IEEE std 644-1994. The
device was 3-axis (X, Y, and Z) Gauss Meter, model: TES-1394. The students from
two schools nearby a high voltage electricity substation (at distances of 30 and
50 m) were selected as the exposed group, and the students of two other schools
at further distances of 1390 and 610 m were considered as the control group. To
determine the status of working memory in the students, the questionnaire was
adapted from Wechsler Intelligence Scale for Children (WISC-IV). The completed
questionnaires were analyzed by t test and chi-square using SPSS 20. The average
magnetic flux density was 0.245 MUT at case schools and 0.164 MUT at control
schools, P < 0.01. The demographic characteristics of the students in the two
groups were not statistically different. However, the difference in working
memory was significant at the level of 5 %. The results of the questionnaire data
showed that students in the control group had better working memory compared to
students in case group. The findings revealed a reverse correlation between
magnetic flux density and working memory of students (R = -0.255). It is
concluded that extremely low frequency magnetic field exposure may have a
negative impact on the working memory of children, but further studies are
necessary to reach a definitive conclusion.
PMID- 27194232
TI - How does the selection of landscape classification schemes affect the spatial
pattern of natural landscapes? An assessment on a coastal wetland site in
southern Italy.
AB - It is widely known that thematic resolution affects spatial pattern and landscape
metrics performances. In literature, data dealing with this issue usually refer
to a specific class scheme with its thematic levels. In this paper, the effects
of different land cover (LC) and habitat classification schemes on the spatial
pattern of a coastal landscape were compared. One of the largest components of
the Mediterranean wetland system was considered as the study site, and different
schemes widely used in the EU were selected and harmonized with a common thematic
resolution, suitable for habitat discrimination and monitoring. For each scheme,
a thematic map was produced and, for each map, 28 landscape metrics were
calculated. The landscape composition, already in terms of number of classes,
class area, and number of patches, changes significantly among different
classification schemes. Landscape complexity varies according to the class scheme
considered and its underlying semantics, depending on how the different types
aggregate or split when changing class scheme. Results confirm that the selection
of a specific class scheme affects the spatial pattern of the derived landscapes
and consequently the landscape metrics, especially at class level. Moreover,
among the classification schemes considered, EUNIS seems to be the best choice
for a comprehensive representation of both natural and anthropogenic classes.
PMID- 27194233
TI - A framework for assessment and characterisation of municipal solid waste landfill
leachate: an application to the Turbhe landfill, Navi Mumbai, India.
AB - Rapid industrialisation, growing population and changing lifestyles are the root
causes for the generation of huge amounts of solid waste in developing countries.
In India, disposal of municipal solid waste (MSW) through open dumping is the
most common waste disposal method. Unfortunately, leachate generation from
landfill is high due to the prolonged and prominent monsoon season in India. As
leachate generation rate is high in most of the tropical countries, long-term and
extensive monitoring efforts are expected to evaluate actual environmental
pollution potential due to leachate contamination. However, the leachate
characterisation involves a comprehensive process, which has numerous
shortcomings and uncertainties possibly due to the complex nature of landfilling
process, heterogeneous waste characteristics, widely varying hydrologic
conditions and selection of analytes. In order to develop a sustainable MSW
management strategy for protecting the surface and ground water resources,
particularly from MSW landfill leachate contamination, assessment and
characterisation of leachate are necessary. Numerous studies have been conducted
in the past to characterise leachate quality from various municipal landfills;
unfortunately, none of these propose a framework or protocol. The present study
proposes a generic framework for municipal landfill leachate assessment and
characterisation. The proposed framework can be applied to design any type of
landfill leachate quality monitoring programme and also to facilitate improved
leachate treatment activities. A landfill site located at Turbhe, Navi Mumbai,
India, which had not been investigated earlier, has been selected as a case
study. The proposed framework has been demonstrated on the Turbhe landfill site
which is a comparatively new and the only sanitary landfill in Navi Mumbai.
PMID- 27194236
TI - Rescue Medicine for Epilepsy: New Options for Education Settings.
AB - The American Academy of Pediatrics (AAP) recently published a clinical report
recommending expanded options for seizure rescue medications in the school
setting. School nurses rely on prescribing professionals for medical orders to
manage children with epilepsy in the school setting. The report suggests
additional medications beyond rectal diazepam gel along with discussing the
purpose of the medications, the variations in prescribing practices for seizure
rescue medications, inconsistencies in legislation based on jurisdictions, and
the need for school medical orders for students with epilepsy. There are many
issues faced by school personnel when caring for students with a diagnosis of
epilepsy, chief among them the presence of licensed health professions for the
school to be able to respond quickly and appropriately in the event of a seizure.
School nurses can assist health care providers in determining the rescue
medication most easily delivered and monitored in the variety of activities that
are part of the school experience, including transportation to and from school,
field trips, and before- and after-school activities, all beyond the regular
classroom setting.
PMID- 27194235
TI - Treatment of irritable bowel syndrome with a novel colonic irrigation system: a
pilot study.
AB - BACKGROUND: Medical treatments for irritable bowel syndrome (IBS) are often
disappointing. A colonic irrigation system, the Ashong colonic irrigation
apparatus (ACIA), was designed as a patient-administered device for defecation
disorders. This pilot study evaluated the efficacy and safety of ACIA for IBS.
METHODS: Eighteen patients, 12 with constipation-dominant IBS (IBS-C) and 6 with
diarrhea-dominant IBS (IBS-D) group, were studied. Patients were randomized into
treatments of 1-4 weeks. Colonic irrigation was performed twice daily for 6
consecutive days per week. To determine the response to treatment, bowel movement
frequency, stool consistency, abdominal pain, patient satisfaction with bowel
movements, and distress/discomfort due to symptoms were assessed. RESULTS: The
scores of abdominal pain (p < 0.001), satisfaction (p < 0.001), and
distress/discomfort (p < 0.001) improved significantly. The frequency of bowel
movements in the IBS-C group increased from 1.68 to 3.78 times per week (p <
0.001). The occurrence of Bristol Stool Scale type 1 and 2 stool passage
decreased from 45 to 13 % (p = 0.009) in the IBS-C group and type 6 and 7 stools
decreased from 62 to 28 % (p = 0.005) in the IBS-D group. Only mild adverse
events occurred, and all patients completed treatment. CONCLUSIONS: Colonic
irrigation with ACIA is safe and can improve abdominal pain, constipation, and
diarrhea associated with IBS. Patients were more satisfied with their bowel
movements and found their symptoms were less disturbing. Larger studies on long
term efficacy and quality of life and on placebo effects are needed.
PMID- 27194234
TI - Distribution and risk assessment of selected organochlorine pesticides in Kyzyl
Kairat village from Kazakhstan.
AB - Concentrations of selected organochlorine pesticides (OCPs), i.e., 4,4'
dichlorodiphenyltrichloroethane (p,p'-DDT), its metabolites (p,p'-DDE, p,p'-DDD),
and hexachlorocyclohexanes (HCHs), have been determined in 100 soil samples
collected from a contaminated site centered around a former storehouse in the
Kyzyl Kairat village, Almaty region, Kazakhstan, which constitutes an exemplary
case example. The OCPs were observed in all analyzed soil samples, with
predominance of alpha-HCH, p,p'-DDD, p,p'-DDE, and p,p'-DDT. Total concentrations
ranged from 1.38 to 11,100 MUg kg(-1) with an average value of 1040 MUg kg(-1)
for DDT and its metabolites and 0.1 to 438 MUg kg(-1) with an average value of 24
MUg kg(-1) for HCHs. The observed concentrations of the OCPs were found to be in
agreement with previous studies and are rationalized in terms of the possible
degradation pathways of DDTs and HCHs. Spatial distribution patterns of OCPs are
elucidated by contour maps. Observed concentrations of the OCPs were used to
evaluate the cancer risk to humans via ingestion, dermal contact, and inhalation
of soil particles. The cancer risk mainly occurs from ingestion, whereas dermal
exposure contributes to a minor extent to the total cancer risk. The risk
associated with inhalation was found to be negligible. The total cancer risk for
the studied OCPs were found to be p,p'-DDT ? p,p'-DDE ? p,p'-DDD ? alpha-HCH ?
beta-HCH ? gamma-HCH.
PMID- 27194237
TI - The School Nurse's Role in Addressing Female Genital Mutilation.
AB - Female genital mutilation/cutting (FGM/C) is the practice in some immigrant
populations of cutting or causing injury to the female genital organs for non
medical reasons. The incidence of FGM/C has increased by 314% in school-aged
children according to a study published in 2016. The school nurse is in an
optimal position to identify children at risk and build collaborative
relationships to treat the students affected by the practice. FGM/C is child
abuse and carries both federal and state legal consequences. It is important for
the school nurse to understand the cultural context, legal guidance, and social
consequences of this practice within the greater community. The school nurse
should work to develop interagency guidelines to provide safe processes for the
child and the family. The most effective programs use a combination of
educational strategies for families and communities along with law enforcement to
help abolish the practice.
PMID- 27194238
TI - Leading Through Lifelong Learning.
AB - School nurses, as leaders, are able to exhibit leadership skills through
embracing, advocating for, and demonstrating a commitment to lifelong learning.
With the continual changes in healthcare and technology, ongoing education is
essential to maintain a high standard of expertise and practice. School nurses
have many opportunities for continuing education. This article will briefly
explore various levels of continuing education, as well as relating ongoing
learning to leadership principles.
PMID- 27194239
TI - Enhancing Asthma Medication Delivery: Spacers and Valved Holding Chambers.
AB - Asthma is one of the most prevalent chronic diseases managed by school nurses,
and its management often includes the administration of bronchodilators delivered
via a metered dose inhaler (MDI). The use of an MDI requires coordination and
mastery of steps that must be performed correctly and in the proper order. These
steps are greatly enhanced, especially in the pediatric population, through the
use of medical devices-spacers and valved holding chambers. The purpose of this
article is to review the rationale and implications for the use of these devices
in the school setting.
PMID- 27194240
TI - School Nurses' Role in Helping Children With Attention-Deficit/Hyperactivity
Disorders.
AB - Attention-deficit/hyperactivity disorder (ADHD) is a multifaceted disease
characterized by core symptoms of hyperactivity, inattention, and impulsivity,
affecting children across every socioeconomic and ethnic group. An estimated 40%
to 60% of children with ADHD have comorbidities such as anxiety, depression, and
learning disabilities. School nurses must be an integral part of the process of
increasing awareness about ADHD through improving the service delivery model for
affected children and their families. There is a solid foundation of research on
which they can build to improve the benefits through study, workshops, community
programs, and national screening programs.
PMID- 27194241
TI - Data-Driven Multimodal Sleep Apnea Events Detection : Synchrosquezing Transform
Processing and Riemannian Geometry Classification Approaches.
AB - A novel multimodal and bio-inspired approach to biomedical signal processing and
classification is presented in the paper. This approach allows for an automatic
semantic labeling (interpretation) of sleep apnea events based the proposed data
driven biomedical signal processing and classification. The presented signal
processing and classification methods have been already successfully applied to
real-time unimodal brainwaves (EEG only) decoding in brain-computer interfaces
developed by the author. In the current project the very encouraging results are
obtained using multimodal biomedical (brainwaves and peripheral physiological)
signals in a unified processing approach allowing for the automatic semantic data
description. The results thus support a hypothesis of the data-driven and bio
inspired signal processing approach validity for medical data semantic
interpretation based on the sleep apnea events machine-learning-related
classification.
PMID- 27194242
TI - Community-onset sepsis and its public health burden: a systematic review.
AB - BACKGROUND: Sepsis is a life-threatening condition and major contributor to
public health and economic burden in the industrialised world. The difficulties
in accurate diagnosis lead to great variability in estimates of sepsis incidence.
There has been even greater uncertainty regarding the incidence of and risk
factors for community-onset sepsis (COS). We systematically reviewed the recent
evidence on the incidence and risk factors of COS in high income countries (North
America, Australasia, and North/Western Europe). METHODS: Cohort and case-control
studies were eligible for inclusion. Medline and Embase databases were searched
from 2002 onwards. References of relevant publications were hand-searched. Two
reviewers screened titles/abstracts and full-texts independently. One reviewer
extracted data and appraised studies which were cross-checked by independent
reviewers. Disagreements were resolved via consensus. Odds ratios (ORs) and 95
percent confidence intervals (95 % CIs) were ascertained by type of sepsis (non
severe, severe, and septic shock). RESULTS: Ten cohort and 4 case-control studies
were included. There was a wide variation in the incidence (# cases per 100,000
per year) of non-severe sepsis (range: 64-514), severe sepsis (range: 40-455),
and septic shock (range: 9-31). Heterogeneity precluded statistical pooling. Two
cohort and 4 case-control studies reported risk factors for sepsis. In one case
control and one cohort study, older age and diabetes were associated with
increased risk of sepsis. The same case-control study showed an excess risk for
sepsis in participants with clinical conditions (e.g., immunosuppression, lung
disease, and peripheral artery disease). In one cohort study, higher risk of
sepsis was associated with being a nursing home resident (OR = 2.60, 95 % CI:
1.20, 5.60) and in the other cohort study with being physically inactive (OR =
1.33, 95 % CI: 1.13, 1.56) and smoking tobacco (OR = 1.85, 95 % CI: 1.54, 2.22).
The evidence on sex, ethnicity, statin use, and body mass index as risk factors
was inconclusive. CONCLUSIONS: The lack of a valid standard approach for defining
sepsis makes it difficult to determine the true incidence of COS. Differences in
case ascertainment contribute to the variation in incidence of COS. The evidence
on COS is limited in terms of the number and quality of studies. This review
highlights the urgent need for an accurate and standard method for identifying
sepsis. Future studies need to improve the methodological shortcomings of
previous research in terms of case definition, identification, and surveillance
practice. SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42015023484.
PMID- 27194243
TI - Weak electromagnetic fields alter Ca(2+) handling and protect against hypoxia
mediated damage in primary newborn rat myotube cultures.
AB - Weak electromagnetic fields (WEF) enhance Ca(2+) entry into cells via voltage
gated Ca(2+) channels and affect various aspects of metabolism, structure, and
function. However, little information is available on the effect of WEF on
skeletal muscle, which depends primarily on intracellular Ca(2+) stores for
function and metabolism. Here, we examine the effects of 30 min exposure of rat
primary myotube cultures to WEF (1.75 MUT, 16 Hz) on Ca(2+) handling and creatine
kinase (CK) release. Free myoplasmic Ca(2+) concentration ([Ca(2+) i]) was
measured with the ratiometric dye indo-1. WEF did not affect basal [Ca(2+)]i but
decreased the twitch [Ca(2+)]i transient in a time-dependent manner, and the
twitch amplitude was decreased to ~30 % after 30 min. WEF completely abolished
the increase in [Ca(2+)]i induced by potassium chloride (~60 mM) but had no
effect on the increase induced by caffeine (~6 mM). Hypoxia (2 h exposure to 100
% argon) resulted in a marked loss of CK into the medium (400 % of normoxic
value), as well as a rapid (within 20 min) and sustained increase in basal
[Ca(2+)]i (~20 % above baseline). However, during exposure to WEF, basal
[Ca(2+)]i remained constant during the initial 60 min of hypoxia and, thereafter,
increased to levels similar to those observed in the absence of WEF. Finally, WEF
blocked about 80 % of hypoxia-mediated CK release (P < 0.05). These data
demonstrate that WEF inhibits increases in [Ca(2+)]i by interfering with muscle
excitation and protects against muscle damage induced by hypoxia. Thus, WEF may
have therapeutic/protective effects on skeletal muscle.
PMID- 27194244
TI - Toxic and adjuvant effects of silica nanoparticles on ovalbumin-induced allergic
airway inflammation in mice.
AB - BACKGROUND: Silica nanoparticles (SNPs) can easily enter in respiratory system
via inhalation because of their low molecular weight and ease of dispersion.
Toxicity and adverse effects of SNPs vary according to the physical
characteristics of the particle. METHODS: To evaluate the toxic and adjuvant
effects of 3 types of SNPs in the airway system, six-week-old female BALB/c mice
were intranasally administered 3 types of SNPs (spherical [S-SNP], mesoporous [M
SNP], and polyethylene glycol-conjugated [P-SNP]) alone or SNPs/ovalbumin (OVA),
three times weekly for 2 weeks. Airway hyper-responsiveness (AHR),
bronchoalveolar lavage fluid (BALF), cytokine levels, and histology of the lungs
were analyzed. RESULTS: The S-SNPs/OVA group and M-SNPs/OVA group showed
significant AHR, compared to the control group. Among all SNP-treated groups, the
group administered SNPs/OVA showed greater inflammatory cell infiltration in
BALF, extensive pathological changes, and higher cytokine levels (IL-5, IL-13, IL
1beta, and IFN-gamma) than those administered SNPs alone or saline/OVA.
CONCLUSION: Exposure to SNPs alone and SNPs/OVA induced toxicity in the
respiratory system. SNPs alone showed significant toxic effects on the airway
system. Meanwhile, SNPs/OVA exerted adjuvant effects to OVA of inducing allergic
airway inflammation. In particular, M-SNPs showed the most severe airway
inflammation in both direct toxicity and adjuvant effect assays. P-SNPs induced
less inflammation than the other types of SNPs in both models.
PMID- 27194245
TI - Grey Matter Density Predicts the Improvement of Naming Abilities After tDCS
Intervention in Agrammatic Variant of Primary Progressive Aphasia.
AB - Agrammatic variant primary progressive aphasia is a neurodegenerative disorder
specifically characterized by language deficits. A recent study has demonstrated
a beneficial effect of transcranial direct current stimulation (tDCS) in
combination with language training on naming accuracy in these patients. The aim
of the study was to evaluate whether the improvement of naming accuracy after
tDCS during language training was related to regional grey matter (GM) density.
Eighteen avPPA patients underwent a brain magnetic resonance imaging before
receiving a treatment that consisted of tDCS over the left dorsolateral
prefrontal cortex during individualized language training (10 daily therapy
sessions, 5 days per week from Monday to Friday). Performances on
neuropsychological tests and naming of objects (treated and untreated) and
actions were assessed at baseline, post-treatment and 3 months after treatment.
Correlations between individual changes after treatment on neuropsychological
tests and on picture naming task and voxel-based GM volume at baseline were
performed. We found that the improvement in the naming of treated objects was
positively correlated with GM volume in the left fusiform, left middle temporal,
and right inferior temporal gyri whereas action naming change was related to GM
density in the left middle temporal gyrus. In conclusion baseline density of GM
in these brain regions was associated with greater treatment response on naming
performances, suggesting that intervention in early disease stages might be most
successful. These findings have implication for designing future rehabilitation
protocols in language variants of frontotemporal dementia.
PMID- 27194246
TI - Erratum to: A multifunctional therapeutic approach to disease modification in
multiple familial mouse models and a novel sporadic model of Alzheimer's disease.
PMID- 27194247
TI - Effects of mammalian target of rapamycin inhibitors on cytokine production and
differentiation in keratinocytes.
AB - Risk factors for the development of cutaneous squamous cell carcinoma (cSCC)
include ultraviolet radiation and immunosuppression. In particular, solid organ
transplant recipients show a high incidence of cSCC, depending on the
immunosuppressive regimen. While azathioprine or calcineurin inhibitors increase
the risk of cSCC development, mammalian target of rapamycin (mTOR) inhibitors
decreases this risk. At the moment, the mechanisms behind this protective effect
of mTOR inhibitors are not fully understood. We evaluated effects of the mTOR
inhibitors sirolimus and everolimus on keratinocytes, cSCC cell lines and an
organotypic skin model in vitro in regard to proliferation, cytokine secretion
and differentiation. We show that mTOR inhibitors block keratinocyte
proliferation and alter cytokine and cytokeratin production: in particular, mTOR
inhibition leads to upregulation of interleukin-6 and downregulation of
cytokeratin 10. Therefore, mTOR inhibitors have effects on keratinocytes, which
could play a role in the pathogenesis of cSCC.
PMID- 27194248
TI - Thinking outside the box: re-evaluating the approach to penetrating cardiac
injuries.
AB - INTRODUCTION: Penetrating cardiac injuries are infrequent but highly lethal. To
address these injuries, cardiopulmonary bypass and cardiothoracic surgery
availability are required for Level I trauma center verification. However, acute
care surgeons are more readily available for this time-sensitive injury. The
purpose of this study was to review an acute care surgery-based experience with
penetrating cardiac trauma at an urban Level 1 trauma center. Our hypothesis was
that care provided solely by acute care surgeons was both safe and effective for
this patient population. METHODS: All patients with injuries to the 'cardiac box'
following penetrating thoracic trauma were identified from 2005-2010. Demographic
and injury related data were obtained. The types and location of cardiac injury,
as well as patient outcomes, were determined from operative reports. RESULTS:
1701 patients with penetrating chest trauma were admitted during the study
period. 260 patients were identified as having high-risk injuries and were
included in the review. 37 patients underwent resuscitative thoracotomy, with a
survival rate of 8 %. 76 patients (29 %) suffered a cardiac injury. 72 % of these
patients had a preoperative FAST exam, which had a sensitivity and specificity of
56.5 and 82.5 % respectively. 82 % underwent a pericardial window, which had a
positive predictive value of 81.4 %. 61 % (n = 46) of the patients with a cardiac
injury survived, while the overall death rate in this cohort was 21 %. No
patients in the cohort required cardiopulmonary bypass for emergent repair of
cardiac injury and acute care surgeons performed all cases. CONCLUSION:
Penetrating injury to the heart is highly lethal and time-sensitive.
Increasingly, FAST and subxyphoid pericardial window are relied upon to make the
diagnosis in patients arriving in varying stages of shock to the resuscitation
room. Acute care surgeons are the most appropriate surgeons to care for these
injuries and provide safe and effective care.
PMID- 27194249
TI - Effects of hyaluronic acid and tacrolimus on the prevention of perineural scar
formation and on nerve regeneration after sciatic nerve repair in a rabbit model.
AB - PURPOSE: Scar formation after injured peripheral nerve repair is a significant
clinical problem because it prevents nerve regeneration. The aim of this study
was to investigate and compare the effects of hyaluronic acid (HA) and tacrolimus
(FK506) on peripheral nerve regeneration in rabbits after the drugs were
topically applied at the site of nerve repair. METHODS: Thirty adult male
European rabbits (Oryctolagus cuniculus), ranging in weight from 2.5 to 3 kg,
were randomly assigned to three groups: the HA and FK506 groups comprised the
experimental groups, while the saline group served as the control. At week 12,
macroscopic and microscopic evaluations were performed and analyzed. RESULTS: In
general, the macroscopic evaluations (skin and muscle fascia closure and nerve
adherence), microscopic evaluations (cellular components, scar tissue formation
index, and histomorphological organization), and measurements of nerve diameter
and gastrocnemius muscle wet weight demonstrated the positive effects of topical
application of these pharmacological agents (HA and FK506); HA and FK506
prevented scar formation and enhanced nerve regeneration. No significant
differences in the parameters described above were observed between the HA and
FK506 groups (P > 0.05). However, significant differences were observed between
both the HA and FK506 groups and the saline group (P < 0.05). CONCLUSION: Based
on our findings, topical application of HA and FK506 exhibits equally positive
effects, preventing perineural scar formation and enhancing nerve regeneration
after peripheral nerve repair.
PMID- 27194250
TI - Distribution of Nitrate in Different Aquifers in the Urban District of Zhanjiang,
China.
AB - The study of NO3 (-) contamination in groundwater is becoming increasingly
significant as high concentrations of NO3 (-) in groundwater can do damage to
public health. Nitrate pollution in the shallow, middle-deep and deep aquifers in
the urban district of Zhanjiang was studied using the ordinary Kriging
interpolation method combined with hydrochemical and correlation analyses in
2011. The results showed NO3 (-) pollution was present in the shallow
groundwater, with average concentrations of 47.43 mg/L and standard deviation of
50.92. Nitrate concentrations were lower in middle-deep and deep aquifers, with
average concentrations of 2.36, 0.80 mg/L, and standard deviation of 6.23, 0.93,
respectively. Nitrate was correlated with Na(+) + K(+), Mg(2+), Cl(-) and SO4 (2
) in the shallow aquifer, and the spatial distributions of NO3 (-) exhibited a
same pattern with TDS in the shallow aquifer, the NO3 (-) pollution in the middle
deep and deep aquifers is less serious.
PMID- 27194252
TI - Changing the Publication Culture From "Nice to Do" to "Need to Do": Implications
for Nurse Leaders in Acute Care Settings.
AB - PROBLEM: Nurses in clinical settings often generate innovative practice ideas to
inform their practice and improve patient outcomes. Yet, few publish and share
these innovations with a wider audience. Barriers impeding clinical nurses from
writing for publication include discomfort with writing, lack of time, and scarce
resources. METHODS: A qualitative study was designed to determine obstacles and
facilitators to writing for publication. Interviews were conducted with five
clinical nurses who had recently published in peer-reviewed journals. FINDINGS:
Three themes emerged from the data: culture of "nice to do," personal motivation,
and writing experiences. Findings from the study offer implications for nurse
leaders to help increase publication efforts by clinical nurses in acute care
settings. CONCLUSION: Nurse leaders can promote publication by clinical nurses
through three main strategies: create a culture that supports publication, offer
incentives to motivate nurses to publish and reward those who do publish, and
provide writing experiences that facilitate writing for publication.
PMID- 27194251
TI - The Effects of Cadmium Exposure on Fitness-Related Traits and Antioxidant
Responses in the Wolf Spider, Pardosa pseudoannulata.
AB - The objective of the present study was to assess the ecotoxicological responses
of Pardosa pseudoannulata to a common environmental pollutant, cadmium. Third
instar spiderlings and adult spiders were exposed to sublethal concentrations of
CdCl2 solution in their drinking water. The Cd content in P. pseudoannulata
adults increased significantly with the number of days of exposure to a 0.2 mM
CdCl2 solution, when exposed to 2 mM CdCl2 solution, the Cd content in the
spiders increased sharply in the first two (male) or three (female) weeks, and
then no significant changes were recorded following with the next three (male) or
two (female) weeks exposure. Exposure of spiders to Cd contaminated drinking
water resulted in reduced body mass, delayed development, fewer eggs and
increased mortality. Significantly higher activities of superoxide dismutase,
catalase and glutathione-S-transferase were recorded in the spiders after 7 day
exposure to 0.2 mM CdCl2 solution. However, longer-term exposures or increased Cd
concentrations did not result in significantly higher antioxidant enzyme activity
relative to control treatment.
PMID- 27194253
TI - Clinical benefits and oncologic equivalence of self-expandable metallic stent
insertion for right-sided malignant colonic obstruction.
AB - BACKGROUND: The efficacy of stenting for right-sided malignant colonic
obstruction is unknown. This study aimed to evaluate the safety, feasibility, and
clinical benefits of self-expandable metallic stent insertion for right-sided
malignant colonic obstruction. METHODS: Clinical data from patients who underwent
right hemicolectomy for right colon cancer from January 2006 to July 2014 at
three Korea University hospitals were retrospectively reviewed. A total of 39
patients who developed malignant obstruction in the right-sided colon were
identified, and their data were analyzed. RESULTS: Stent insertion was attempted
in 16 patients, and initial technical success was achieved in 14 patients (87.5
%). No stent-related immediate complications were reported. Complete relief from
obstruction was achieved in all 14 patients. Twenty-five patients, including two
patients who failed stenting, underwent emergency surgery. In the stent group, 93
% (13/14) of patients underwent elective laparoscopic surgery, and only one
surgery was converted to an open procedure. All patients in the emergency group
underwent emergency surgery within 24 h of admission. In the emergency group,
only 12 % (3/25) of patients underwent laparoscopic surgery, with one surgery
converted to an open procedure. All patients in both groups underwent either
laparoscopy-assisted or open right/extended right hemicolectomy with primary
anastomoses as the first operation. The operative times, retrieved lymph nodes,
and pathologic stage did not differ between the two groups. Postoperative
hospital stay (9.4 +/- 3.4 days in the stent group vs. 12.4 +/- 5.9 in the
emergency group, p = 0.089) and time to resume oral food intake (3.2 +/- 2.1 days
in the stent group vs. 5.7 +/- 3.4 in the emergency group, p = 0.019) were
shorter in the stent group. And there were no significant differences in disease
free survival and overall survival between the two groups. CONCLUSIONS: Stent
insertion appears to be safe and feasible in patients with right-sided colonic
malignant obstruction. It facilitates minimally invasive surgery and may result
in better short-term surgical outcomes.
PMID- 27194254
TI - The learning curve of laparoendoscopic single-site adrenalectomy: an analysis of
over 100 cases.
AB - BACKGROUND: Recently, laparoendoscopic single-site adrenalectomy (LESS-A) has
been developed as an alternative treatment for adrenal tumors. Although LESS-A is
more technically complex than conventional laparoscopic adrenalectomy, its
learning curve and the factors associated with poor surgical outcomes are poorly
understood. We analyzed the learning curve of LESS-A and attempted to identify
risk factors associated with worse surgical outcomes. METHODS: We identified 103
patients who underwent LESS-A [performed by the same surgeon (A.M.)] from 2009 to
2015. The learning curve was analyzed using the moving average method (the 10
case moving average), and we assessed potential risk factors for a prolonged
pneumoperitoneum time. RESULTS: The learning curve stabilized at 30 cases. The
cases were divided into two groups, the learning stage (LS) (cases 1-29) and
master stage (MS) (cases 30-103) groups. The percentage of females and the
frequency of previous abdominal surgery were higher in the LS group (p = 0.022
and 0.001, respectively). In the LS group, the mean pneumoperitoneum time was 92
+/- 35 min, which was significantly longer than the equivalent value for the MS
group (55 +/- 18 min, p < 0.001). In the LS group, univariate analysis revealed
that tumor size (>=50 mm) and the visceral fat area (VFA)/total fat area (TFA)
ratio (>=0.49) were significantly associated with a prolonged pneumoperitoneum
time (p = 0.046 and 0.046, respectively). In the multivariate analysis, tumor
size and the VFA/TFA ratio were confirmed to be associated with a prolonged
pneumoperitoneum time (p = 0.029 and 0.029, odds ratio 20.83 and 20.83,
respectively). On the other hand, none of the examined factors were found to be
associated with a prolonged pneumoperitoneum time in the MS group. CONCLUSIONS:
LESS-A was performed safely in most cases. However, surgeons who are learning the
LESS-A procedure need to pay attention to tumor size and visceral obesity.
PMID- 27194255
TI - Robotic radical resection for hilar cholangiocarcinoma: perioperative and long
term outcomes of an initial series.
AB - BACKGROUND: Radical resection for hilar cholangiocarcinoma (HCa) is one of the
most challenging abdominal procedures. Robotic-assisted approach is gaining
popularity in hepatobiliary surgery but scarcely tried in the management of HCa.
We herein report our initial experience of robotic radical resection for HCa.
METHODS: Between May 2009 and October 2012, 10 patients underwent fully robotic
assisted radical resection for HCa in a single institute. The perioperative and
long-term outcomes were analyzed and compared with a contemporaneous 32 patients
undergoing traditional open surgery. RESULTS: The 10 patients presented one of
Bismuth-Corlette type II, four of IIIa, one of IIIb and four of IV. There was no
significant clinicopathological disparity between the robotic and open groups.
The robotic radical resection involves hemihepatectomy plus caudate lobectomy or
trisectionectomy, extrahepatic bile duct resection, radical lymphadenectomy and
Roux-en-Y hepaticojejunostomy. No conversion to laparotomy occurred. Robotic
resection compared unfavorably to traditional open resection in operative time
(703 +/- 62 vs. 475 +/- 121 min, p < 0.001) and morbidity [90 (9/10) vs. 50 %, p
= 0.031]. No significant difference was found in blood loss, mortality and
postoperative hospital stay. Major complications (>=Clavien-Dindo III) occurred
in three patients of robotic group. One patient died of posthepatectomy liver
failure on postoperative day 18. The hospital expenditure was much higher in
robotic group (USD 27,427 +/- 21,316 vs. 15,282 +/- 5957, p = 0.018). The tumor
recurrence-free survival was inferior in robotic group (p = 0.029). CONCLUSIONS:
Fully robotic-assisted radical resection for HCa is technically achievable in
experienced hands and should be limited to highly selective patients. Our current
results do not support continued practice of robotic surgery for HCa, until
significant technical and instrumental refinements are demonstrated.
PMID- 27194256
TI - Preclinical safety testing for morcellation and extraction for an endobag with
sealable ports: in vitro pilot study.
AB - BACKGROUND: Electromechanical power morcellation is an important tool of modern
laparoscopy. Recent reports on the spread of previously undetected malignancy by
power morcellation indicate the need for additional protective devices to reduce
this risk. We conducted a study to obtain the first data concerning the safety of
an endobag with three closable ports during morcellation and subsequent bag
extraction under in vitro conditions, mimicking the settings in our operating
theater. The second purpose of the study was to establish a minimal width of the
skin incision necessary to safely extract the sealed bag after morcellation.
METHODS: The morcellation test was carried out on 11 stained porcine muscle
tissue samples with one additional sample as a control. The insufflation pressure
was set at 12 mmHg. After filling the endobag with blue dye solution, an
additional extraction test was conducted by pulling the closed bag through a
template with apertures of various diameters. For each opening, a series of ten
bag extractions was carried out. RESULTS: No loss of solid material or fluid was
recorded during the morcellation test. The extraction test showed a loss of fluid
for template openings smaller than 18 mm. The force necessary to extract the bag
was inversely related to the width of the aperture. CONCLUSIONS: The data suggest
that under the evaluated conditions, the use of a closable morcellation bag can
considerably improve the patient's safety during morcellation. Further studies
are necessary to evaluate the influence of the bag on operating time,
intervention costs and complications.
PMID- 27194258
TI - Consistency of patient-reported outcomes after cholecystectomy and their
implications on current surgical practice: a prospective multicenter cohort
study.
AB - BACKGROUND: Persistent postoperative pain (up to 41 %) and significant practice
variation necessitate better patient selection for cholecystectomy. Patient
reported outcome measures (PROMs) are nowadays known to serve as a tool for
better patient selection, although variability within these subjective outcomes
may be a point for debate. This study determines associations of both the
preoperative pain and patient characteristics with PROMs at 24 weeks after
cholecystectomy. In order to evaluate variability of PROMs, we also determined
consistency of these outcomes in time. METHODS: This prospective multicenter
cohort study included adult patients diagnosed with uncomplicated symptomatic
cholecystolithiasis. Twenty-four weeks after surgery, a questionnaire study was
carried out, containing Gastrointestinal Quality of Life Index (GIQLI) and
Patients' Experience of Surgery Questionnaire. Results were compared to
preoperative data and results 12 weeks post-cholecystectomy. Logistic regression
analyses were performed to determine associations. Additional post hoc analysis
on associations between preoperative selection criteria and PROMs was done.
RESULTS: A total of 360 patients (85 %) responded. Postoperative absence of pain
was reported by 59.2 %. Associated characteristics were symptoms <=1 year prior
to surgery [OR 1.85 (95 % CI 1.11-3.09)] and high baseline GIQLI score [OR 1.04
(95 % CI 1.02-1.05)]. General improvement in abdominal symptoms and positive
result of surgery were found in 90 %; no preoperative variables were
significantly associated. PROMs showed consistency at 12 and 24 weeks
postoperatively. Post hoc analysis showed no significant associations.
CONCLUSION: PROM-based preoperative selection criteria need to be considered to
select those patients who achieve freedom of pain after surgical treatment of
uncomplicated symptomatic cholecystolithiasis. Other patients might consider
cholecystectomy as successful, but are less likely to be free of pain. Usefulness
of PROMs is underscored as they proved to be consistent in time in evaluating
surgical outcome.
PMID- 27194259
TI - Domestically produced Chinese minimally invasive surgical robot system "Micro
Hand S" is applied to clinical surgery preliminarily in China.
AB - OBJECTIVE: To develop and validate one low-cost and easy-use domestically
produced Chinese minimally invasive surgical robot system "Micro Hand S" that
surgeons can use to resolve the complicated surgeries challenge. METHODS: From
April 2014 to April 2015, one patient with gastric perforation, three patients
with acute appendicitis, five patients with acute cholecystitis, and one patient
with right colon cancer underwent robotic-assisted surgeries. Eight of these
patients were followed for 1 month, and pre- and postoperative changes in blood
route test and hepatorenal function examination, surgery duration, hospital stay,
total robotic setup time, total robotic operation time, intraoperative blood
loss, total postoperative drainage amount, duration of bearing drainage tubes
were recorded. Two patients withdrew from the study because of individual
privacies. RESULTS: We accomplished surgical procedures using "Micro Hand S." No
intraoperative complications or technical problems were encountered. All patients
recovered and discharged from hospital without complications. CONCLUSIONS: The
domestic surgical robot system "Micro Hand S" was validated as safe and effective
through these clinical cases. The proposed design method is an effective way to
make "Micro Hand S" become low-cost and easy-use robot system.
PMID- 27194257
TI - Surgical cure for type 2 diabetes by foregut or hindgut operations: a myth or
reality? A systematic review.
AB - BACKGROUND: Bariatric surgery results in remission of type 2 diabetes mellitus in
a significant proportion of patients. Animal research has proposed the foregut
and hindgut hypotheses as possible mechanisms of remission of T2DM independent of
weight loss. These hypotheses have formed the basis of investigational procedures
designed to treat T2DM in non-obese (in addition to obese) patients. The aim of
this study was to review the procedures that utilise the foregut and hindgut
hypotheses to treat T2DM in humans. METHODS: A systematic review was conducted to
identify the investigational procedures performed in humans that are based on the
foregut and hindgut hypotheses and then to assess their outcomes. RESULTS: Twenty
four studies reported novel procedures to treat T2DM in humans; only ten utilised
glycated haemoglobin A1c (HbA1c) in their definition of remission. Reported
remission rates were 20-40 % for duodenal-jejunal bypass (DJB), 73-93 % for
duodenal-jejunal bypass with sleeve gastrectomy (DJB-SG), 62.5-100 % for duodenal
jejunal bypass sleeve (DJBS) and 47-95.7 % for ileal interposition with sleeve
gastrectomy (II-SG). When using a predetermined level of HbA1c to define
remission, the remission rates were lower (27, 63, 0 and 65 %) for DJB, DJB-SG,
DJBS and II-SG. CONCLUSIONS: The outcomes of the foregut- and hindgut-based
procedures are not better than the outcomes of just one of their components,
namely sleeve gastrectomy. The complexity of these procedures in addition to
their comparable outcomes to a simpler operation questions their utility.
PMID- 27194260
TI - Comparison of clinical outcome of laparoscopic versus open appendectomy for
complicated appendicitis.
AB - BACKGROUND: Laparoscopic appendectomy is now the treatment of choice in
uncomplicated appendicitis. To date its importance in the treatment of
complicated appendicitis is not clearly defined. METHODS: From January 2005 to
June 2013 a total of 1762 patients underwent appendectomy for the suspected
diagnosis of appendicitis at our institution. Of these patients 1516 suffered
from complicated appendicitis and were enrolled. In total 926 (61 %) underwent
open appendectomy (OA) and 590 (39 %) underwent laparoscopic appendectomy (LA).
The following parameters were retrospectively analyzed: age, sex, operative
times, histology, length of hospital stay, 30-day morbidity focusing on
occurrence of surgical site infections, intraabdominal abscess formation,
postoperative ileus and appendiceal stump insufficiency, conversion rate, use of
endoloops and endostapler. RESULTS: A statistically significant difference in
operative time was observed between the laparoscopic and the open group (64.5 vs.
60 min; p = 0.002). Median length of hospitalization was significantly shorter in
the laparoscopic group (p < 0.000). Surgical site infections occurred exclusively
after OA (38 vs. 0 patients). Intraabdominal abscess formation occurred
statistically significantly more often after LA (2 vs. 10 patients; p = 0.002).
There were no statistical significances concerning the occurrence of
postoperative ileus (p = 0.261) or appendiceal stump insufficiencies (p = 0.076).
CONCLUSIONS: The laparoscopic approach for complicated appendicitis is a safe and
feasible procedure. Surgeons should be aware of a potentially higher incidence of
intraabdominal abscess formation following LA. Use of endobags , inversion of the
appendiceal stump and carefully conducted local irrigation of the abdomen in a
supine position may reduce the incidence of abscess formation.
PMID- 27194262
TI - Current training on the basics of robotic surgery in the Netherlands: Time for a
multidisciplinary approach?
AB - INTRODUCTION: The following research questions were answered: (1) What are the
training pathways followed by the current robot professionals? (2) Are there any
differences between the surgical specialties in robot training and robot use? (3)
What is their opinion about multidisciplinary basic skills training? METHODS: An
online questionnaire was sent to 91 robot professionals in The Netherlands. The
questionnaire contained 21 multiple-choice questions focusing on demographics,
received robot training, and their opinion on basic skills training in robotic
surgery. RESULTS: The response rate was 62 % (n = 56): 13 general surgeons, 16
gynecologists, and 27 urologists. The urologists performed significantly more
robotic procedures than surgeons and gynecologists. The kind of training of all
professionals varied from a training program by Intuitive Surgical, master
apprenticeship with or without duo console, fellowship, and self-designed
training programs. The training did neither differ significantly among the
different specialties nor the year of starting robotic surgery. Majority of
respondents favor an obliged training program including an examination for the
basics of robot skills training. CONCLUSION: Training of the current robot
professionals is mostly dependent on local circumstances and the manufacturer of
the robot system. Training is independent of the year of start with robotic
surgery and speciality. To guarantee the quality of future training of residents
and fellows in robot-assisted surgery, clear training goals should be formulated
and implemented. Since this study shows that current training of different
specialities does not differ, training in robotic surgery could be started by a
multidisciplinary basic skills training and assessment.
PMID- 27194263
TI - Improving training of laparoscopic tissue manipulation skills using various
visual force feedback types.
AB - BACKGROUND: Visual force feedback allows trainees to learn laparoscopic tissue
manipulation skills. The aim of this experimental study was to find the most
efficient visual force feedback method to acquire these skills. Retention and
transfer validity to an untrained task were assessed. METHODS: Medical students
without prior experience in laparoscopy were randomized in three groups: Constant
Force Feedback (CFF) (N = 17), Bandwidth Force Feedback (BFF) (N = 16) and Fade
in Force Feedback (N = 18). All participants performed a pretest, training, post
test and follow-up test. The study involved two dissimilar tissue manipulation
tasks, one for training and one to assess transferability. Participants performed
six trials of the training task. A force platform was used to record several
force parameters. RESULTS: A paired-sample t test showed overall lower force
parameter outcomes in the post-test compared to the pretest (p < .001). A week
later, the force parameter outcomes were still significantly lower than found in
the pretest (p < .005). Participants also performed the transfer task in the post
test (p < .02) and follow-up (p < .05) test with lower force parameter outcomes
compared to the pretest. A one-way MANOVA indicated that in the post-test the CFF
group applied 50 % less Mean Absolute Nonzero Force (p = .005) than the BFF
group. CONCLUSION: All visual force feedback methods showed to be effective in
decreasing tissue manipulation force as no major differences were found between
groups in the post and follow-up trials. The BFF method is preferred for it
respects individual progress and minimizes distraction.
PMID- 27194261
TI - The presence of rapid on-site evaluation did not increase the adequacy and
diagnostic accuracy of endoscopic ultrasound-guided tissue acquisition of solid
pancreatic lesions with core needle.
AB - BACKGROUND: Rapid on-site evaluation (ROSE) improves the adequacy and accuracy of
EUS-guided tissue acquisition, although it is not routinely widely available.
Evidence suggested that core needles might overcome the absence of ROSE. The aim
of this study was to evaluate the influence of ROSE on the adequacy and accuracy
of EUS-guided tissue acquisition with core needles in patients with pancreatic
solid lesions. METHODS: Patients who underwent EUS-guided tissue acquisition of
pancreatic mass lesions were retrospectively identified at three tertiary
referral centers and those performed with the core needle were included.
Adequacy, defined as the rate of cases in which a tissue specimen for proper
examination was achieved, with and without ROSE was the primary outcome measure.
The diagnostic accuracy and tissue core acquisition were the secondary outcome
measures. RESULTS: A total of 333 patients with pancreatic solid mass lesions
were included in the study; 140 cases sampled with ROSE and 193 cases without
ROSE. The adequacy was 92.1 % in the group sampled with ROSE and 88.1 % in the
group without ROSE (p = 0.227). In the ROSE group sensitivity, specificity, and
accuracy were 90.7, 100 and 92.1 %, respectively. In the group without ROSE,
sensitivity, specificity, and accuracy were 87.2, 100, and 88.1 %, respectively.
No difference for all these figures was observed between the two groups. The
tissue core was available in 61.4 and 53.4 % of cases with and without ROSE,
respectively (p = 0.143). CONCLUSION: In the absence of ROSE, EUS-based tissue
acquisition with Core needle should be considered since it achieves comparable
tissue sampling adequacy and accuracy.
PMID- 27194264
TI - Robotic surgery: current perceptions and the clinical evidence.
AB - BACKGROUND: It appears that a discrepancy exists between the perception of
robotic-assisted surgery (RAS) and the current clinical evidence regarding
robotic-assisted surgery among patients, healthcare providers, and hospital
administrators. The purpose of this study was to assess whether or not such a
discrepancy exists. METHODS: We administered survey questionnaires via face-to
face interviews with surgical patients (n = 101), healthcare providers (n = 58),
and senior members of hospital administration (n = 6) at a community hospital
that performs robotic surgery. The respondents were asked about their perception
regarding the infection rate, operative time, operative blood loss, incision
size, cost, length of hospital stay (LOS), risk of complications, precision and
accuracy, tactile sensation, and technique of robotic-assisted surgery as
compared with conventional laparoscopic surgery. We then performed a
comprehensive literature review to assess whether or not these perceptions could
be corroborated with clinical evidence. RESULTS: The majority of survey
respondents perceived RAS as modality to decrease infection rate, increase
operative time, decrease operative blood loss, smaller incision size, a shorter
LOS, and a lower risk of complications, while increasing the cost. Respondents
also believed that robotic surgery provides greater precision, accuracy, and
tactile sensation, while improving intra-operative access to organs. A
comprehensive literature review found little-to-no clinical evidence that
supported the respondent's favorable perceptions of robotic surgery except for
the increased costs, and precision and accuracy of the robotic-assisted
technique. CONCLUSIONS: There is a discrepancy between the perceptions of robotic
surgery and the clinical evidence among patients, healthcare providers, and
hospital administrators surveyed.
PMID- 27194265
TI - Open versus laparoscopic unilateral inguinal hernia repairs: defining the ideal
BMI to reduce complications.
AB - OBJECTIVES: Open inguinal hernia repair is felt to be a less expensive operation
than a laparoscopic one. Performing open repair on patients with an obese body
mass index (BMI) results in longer operative times, longer hospital stay, and
complications that will potentially impose higher cost to the facility and
patient. This study aims to define the ideal BMI at which a laparoscopic inguinal
hernia repair will be advantageous over open inguinal hernia repair. METHODS: The
NSQIP database was analyzed for (n = 64,501) complications, mortality, and
operating time for open and laparoscopic inguinal hernia repairs during the time
period from 2005 to 2012. Bilateral and recurrent hernias were excluded. Chi
square tests and Fisher's exact tests were used to assess associations between
type of surgery and categorical variables including demographics, risk factors,
and 30-day outcomes. Multivariable regression analyses were performed to
determine whether odds ratios differed by level of BMI. The HCUP database was
used for determining difference in cost and length of stay between open and
laparoscopic procedures. RESULTS: There were 17,919 laparoscopic repairs and
46,582 open repairs in the study period. The overall morbidity (across all BMI
categories) is statistically greater in the open repair group when compared to
the laparoscopic group (p = 0.03). Postoperative complications (including wound
disruption, failure to wean from the ventilator, and UTI) were greater in the
open repair group across all BMI categories. Deep incisional surgical site
infections (SSI) were more common in the overweight open repair group (p =
0.026). The return to the operating room across all BMI categories was
statistically significant for the open repair group (n = 269) compared to the
laparoscopic repair group (n = 70) with p = 0.003. There was no difference in the
return to operating room between the BMI categories. The odds ratio (OR) was
found to be statistically significant when comparing the obese category to both
normal and overweight populations for the open procedure. CONCLUSION: Open hernia
repairs have more complications than do laparoscopic ones; however, there does
not appear to be a difference in treating obese patients with hernias using a
laparoscopic approach versus an open one. One may consider using a laparoscopic
approach in overweight patients (BMI 25-29.9) as there appears to be fewer deep
SSI.
PMID- 27194268
TI - [In Process Citation].
PMID- 27194267
TI - Tissue diagnosis of GI subepithelial tumor only through Trucut biopsy under a
forward-viewing endoscope: applicability as newer diagnostic modality.
AB - BACKGROUND: Trucut biopsy (TCB) has been proposed to overcome the limitations of
endoscopic ultrasonography (EUS)-guided fine-needle aspiration for the pathologic
diagnosis of upper gastrointestinal (GI) subepithelial tumor (SET); however, it
can be difficult to perform because the Trucut biopsy needle is very stiff.
Although technical failures have been reported with the use of TCB, recently the
forward-viewing echoendoscope showed a high diagnostic accuracy. We hypothesized
that TCB under a conventional forward-viewing endoscope can be applied with
higher yield of tissue diagnosis. METHODS: To evaluate the feasibility of TCB
under a forward-looking endoscopy without cumbersome EUS guidance, we introduced
a 19-gauge TCB needle into the working channel of a conventional upper endoscope
in 27 patients with GI SET to make tissue diagnosis. Prospectively collected data
were analyzed, including technical success rate, pathologic result, and adverse
events. RESULTS: Twenty-seven patients with GI SET (18 esophageal tumors and nine
gastric tumors) underwent TCB under a forward-looking endoscope. All procedures
were performed safely without any TCB-related complications. Subsequently,
histopathology examination revealed gastrointestinal stromal tumors (GISTs) in
three cases and leiomyomas in 21 cases. Histologic assessment was completed in 24
out of 27 patients (88.9 %) because tissue obtained from three patients, whose
tumors were located in the stomach, was not sufficient for the pathologic
diagnosis. CONCLUSIONS: TCB using a conventional forward-viewing endoscope
without EUS guidance provided an excellent pathologic diagnosis of upper GI SET.
PMID- 27194266
TI - A systematic review of low-cost laparoscopic simulators.
AB - BACKGROUND: Opportunities for surgical skills practice using high-fidelity
simulation in the workplace are limited due to cost, time and geographical
constraints, and accessibility to junior trainees. An alternative is needed to
practise laparoscopic skills at home. Our objective was to undertake a systematic
review of low-cost laparoscopic simulators. METHOD: A systematic review was
undertaken according to PRISMA guidelines. MEDLINE/EMBASE was searched for
articles between 1990 and 2014. We included articles describing portable and low
cost laparoscopic simulators that were ready-made or suitable for assembly;
articles not in English, with inadequate descriptions of the simulator, and costs
>L1500 were excluded. Validation, equipment needed, cost, and ease of assembly
were examined. RESULTS: Seventy-three unique simulators were identified (60 non
commercial, 13 commercial); 55 % (33) of non-commercial trainers were subject to
at least one type of validation compared with 92 % (12) of commercial trainers.
Commercial simulators had better face validation compared with non-commercial.
The cost ranged from L3 to L216 for non-commercial and L60 to L1007 for
commercial simulators. Key components of simulator construction were identified
as abdominal cavity and wall, port site, light source, visualisation, and camera
monitor. Laptop computers were prerequisite where direct vision was not used. Non
commercial models commonly utilised retail off-the-shelf components, which
allowed reduction in costs and greater ease of construction. CONCLUSION: The
models described provide simple and affordable options for self-assembly,
although a significant proportion have not been subject to any validation.
Portable simulators may be the most equitable solution to allow regular basic
skills practice (e.g. suturing, knot-tying) for junior surgical trainees.
PMID- 27194270
TI - A SPECIAL LEARNING EXPERIENCE ABOUT TRANSFERENCE AND COUNTERTRANSFERENCE DYNAMICS
AT THE BEGINNING OF MY PSYCHOANALYTIC TRAINING(.).
AB - Drawing upon his description of the early phases of the analysis of the second
case of official supervision, the author illustrates in his work why this
experience became a foundational moment in his formative trajectory as a
psychoanalyst. Three important aspects are discussed: (1) the significant role
his supervisor played in helping to manage and to confront the difficult dynamics
of transference and countertransference that characterized the author's early
years of analytic work with patients; (2) the transformative factors that opened
up new avenues in the repetition and the original traumatic pathology put forward
at great length by the patient; and (3) the making contact for the first time
with that area of inter/intrapsychic phenomena that the author has since then
explored widely and theorized about, under the name of relational dynamics
governed by role-reversal.
PMID- 27194271
TI - WARMED BY THE FIRES OF THE UNCONSCIOUS OR BURNED TO A CRISP.
AB - In this paper I talk about the relationship or link between unconscious and
conscious material. When the link is optimal we are warmed by the fires of the
unconscious so that what we say and do has meaning-it is alive. When the link
between conscious and unconscious is too close we are in danger of being burned
to a crisp. The present is the past and the world of consensual reality pales in
comparison to the emotionally charged unconscious fantasy pressing for discharge.
An extended case vignette is used to illustrate the links between past and
present as they unfold in a patient's life and between analyst and patient.
PMID- 27194272
TI - THE ROLE OF AN IMMIGRANT MOTHER IN HER ADOLESCENT'S IDENTITY FORMATION: "WHO AM
I?".
AB - Immigration is a complex bio-psycho-social process and the immigrant mother has a
truly complex task in lending her ego strength to her adolescent offspring. The
normal adolescence's decathexis of the love object and the consequent search for
a new object may not happen smoothly for those adolescents whose mothers are
immigrants. The immigration experience may cause the immigrant mother, who lost
her motherland, deeper disturbance in self-identity as well as disequilibrium in
her psychic structure, which in turn impacts adversely her adolescent's
development. The adolescent's inadequate early experience with an immigrant
mother may result in a deeper disturbance in his separation-individuation process
as well as his identification process. An immigrant mother who has not mourned
adequately, with a different sociocultural background has to go through a far
more complex development of motherhood. The case of an adolescent boy, Jason,
demonstrates the impact of immigrant motherhood on his ego development.
PMID- 27194273
TI - RACE AND RECOGNITION: THE TIME OF OUR SINGING.
AB - Literature affords the opportunity to consider the racial fear, hatred and
hostility that can flare in moments when the otherness in the human face occludes
the common bonds that join us together. Richard Powers' (2003) compelling novel,
The Time of Our Singing, highlights ways in which racial tensions continue to
haunt us, impeding the efforts of successive generations to heal the wounds and
move forward. In the novel, the parents' efforts to move "beyond race" leave
their children utterly unprepared for the ways in which race informs and obstruct
their experience, as what has been denied returns to haunt them.
PMID- 27194274
TI - GEORG GRODDECK: "THE PINCH OF PEPPER" OF PSYCHOANALYSIS(.).
AB - The life and works of Georg Groddeck are reviewed and placed in historical
context as a physician and a pioneer of psychoanalysis, psychosomatic medicine,
and an epistolary style of writing. His Das Es concept stimulated Freud to
construct his tripartite model of the mind. Groddeck, however, used Das Es to
facilitate receptivity to unconscious communication with his patients. His
"maternal turn" transformed his treatment approach from an authoritarian position
to a dialectical process. Groddeck was a generative influence on the development
of Frieda Fromm-Reichmann, Erich Fromm, and Karen Horney. He was also the mid
wife of the late-life burst of creativity of his friend and patient Sandor
Ferenczi. Together, Groddeck and Ferenczi provided the impetus for a paradigm
shift in psychoanalysis that emphasized the maternal transference, child-like
creativity, and a dialogue of the unconscious that foreshadowed contemporary
interest in intersubjectivity and field theory. They were progenitors of the
relational turn and tradition in psychoanalysis. Growing interest in interpsychic
communication and field theory is bringing about a convergence of theorizing
among pluralistic psychoanalytic schools that date back to 1923 when Freud
appropriated Groddeck's Das Es and radically altered its meaning and use.
PMID- 27194275
TI - THE DEAD-LIVING-MOTHER: MARIE BONAPARTE'S INTERPRETATION OF EDGAR ALLAN POE'S
SHORT STORIES.
AB - Princess Marie Bonaparte is an important figure in the history of psychoanalysis,
remembered for her crucial role in arranging Freud's escape to safety in London
from Nazi Vienna, in 1938. This paper connects us to Bonaparte's work on Poe's
short stories. Founded on concepts of Freudian theory and an exhaustive review of
the biographical facts, Marie Bonaparte concluded that the works of Edgar Allan
Poe drew their most powerful inspirational force from the psychological
consequences of the early death of the poet's mother. In Bonaparte's approach,
which was powerfully influenced by her recognition of the impact of the death of
her own mother when she was born-an understanding she gained in her analysis with
Freud-the thesis of the dead-living-mother achieved the status of a paradigmatic
key to analyze and understand Poe's literary legacy. This paper explores the
background and support of this hypothesis and reviews Bonaparte's interpretation
of Poe's most notable short stories, in which extraordinary female figures
feature in the narrative.
PMID- 27194276
TI - Lawrence J. Friedman: The Lives of Erich Fromm: Love's Prophet.
PMID- 27194277
TI - Lawrence J. Friedman: The Lives of Erich Fromm: Love's Prophet.
PMID- 27194278
TI - Prophecy Coles: The Shadow of the Second Mother: Nurses and Nannies in Theories
of Infant Development.
PMID- 27194279
TI - Frank Summers: The Psychoanalytic Vision: The Experiencing Subject,
Transcendence, and the Therapeutic Process.
PMID- 27194280
TI - Margaret Boyle Spelman: Winnicott's Babies and Winnicott's Patients:
Psychoanalysis as Transitional Space.
PMID- 27194282
TI - Family Violence in Domestic Homicides: A Case Study of Women Who Killed Intimate
Partners Post-Legislative Reform in Victoria, Australia.
AB - This article examines the impact of legislative reforms enacted in 2005 in
Victoria, Australia, on legal responses to women charged with murder for killing
their intimate partner. The reforms provided for a broader understanding of the
context of family violence to be considered in such cases, but we found little
evidence of this in practice. This is partly attributable to persistent
misconceptions among the legal profession about family violence and why women may
believe it necessary to kill a partner. We recommend specialized training for
legal professionals and increased use of family violence evidence to help ensure
women's claims of self-defense receive appropriate responses from Victorian
courts.
PMID- 27194281
TI - A brain-region-based meta-analysis method utilizing the Apriori algorithm.
AB - BACKGROUND: Brain network connectivity modeling is a crucial method for studying
the brain's cognitive functions. Meta-analyses can unearth reliable results from
individual studies. Meta-analytic connectivity modeling is a connectivity
analysis method based on regions of interest (ROIs) which showed that meta
analyses could be used to discover brain network connectivity. RESULTS: In this
paper, we propose a new meta-analysis method that can be used to find network
connectivity models based on the Apriori algorithm, which has the potential to
derive brain network connectivity models from activation information in the
literature, without requiring ROIs. This method first extracts activation
information from experimental studies that use cognitive tasks of the same
category, and then maps the activation information to corresponding brain areas
by using the automatic anatomical label atlas, after which the activation rate of
these brain areas is calculated. Finally, using these brain areas, a potential
brain network connectivity model is calculated based on the Apriori algorithm.
The present study used this method to conduct a mining analysis on the citations
in a language review article by Price (Neuroimage 62(2):816-847, 2012). The
results showed that the obtained network connectivity model was consistent with
that reported by Price. CONCLUSIONS: The proposed method is helpful to find brain
network connectivity by mining the co-activation relationships among brain
regions. Furthermore, results of the co-activation relationship analysis can be
used as a priori knowledge for the corresponding dynamic causal modeling
analysis, possibly achieving a significant dimension-reducing effect, thus
increasing the efficiency of the dynamic causal modeling analysis.
PMID- 27194283
TI - Predator odours attract other predators, creating an olfactory web of
information.
AB - Many studies have reported the aversive reactions of prey towards a predator's
odour signals (e.g. urine marks), a behaviour widely thought to reduce the risk
of predation by the predator. However, because odour signals persist in the
environment, they are vulnerable to exploitation and eavesdropping by predators,
prey and conspecifics. As such, scent patches created by one species might
attract other species interested in information about their enemies. We studied
this phenomenon by examining red fox investigation of odours from conspecifics
and competing species in order to understand what prey are responding to when
avoiding the odours of a predator. Surprisingly, foxes showed limited interest in
conspecific odours but were highly interested in the odours of their competitors
(wild dogs and feral cats), suggesting that odours are likely to play an
important role in mediating competitive interactions. Importantly, our results
identify that simple, dyadic interpretations of prey responses to a predator
odour (i.e. cat odour = risk of cat encounter = fear of cats) can no longer be
assumed in ecological or psychology research. Instead, interactions mediated by
olfactory cues are more complex than previously thought and are likely to form a
complicated olfactory web of interactions.
PMID- 27194284
TI - The shield effect: nuptial gifts protect males against pre-copulatory sexual
cannibalism.
AB - Several not mutually exclusive functions have been ascribed to nuptial gifts
across different taxa. Although the idea that a nuptial prey gift may protect the
male from pre-copulatory sexual cannibalism is attractive, it has previously been
considered of no importance based on indirect evidence and rejected by
experimental tests. We reinvestigated whether nuptial gifts may function as a
shield against female attacks during mating encounters in the spider Pisaura
mirabilis and whether female hunger influences the likelihood of cannibalistic
attacks. The results showed that pre-copulatory sexual cannibalism was enhanced
when males courted without a gift and this was independent of female hunger. We
propose that the nuptial gift trait has evolved partly as a counteradaptation to
female aggression in this spider species.
PMID- 27194285
TI - Are invasive fire ants kept in check by native aerial insectivores?
AB - Aerial predator-prey interactions may impact populations of many terrestrial
species. Here, we use altitude loggers to study aerial foraging in a native
insectivore, the purple martin (Progne subis), in the southern USA. Purple
martins fed primarily on mating queens and males of the invasive red imported
fire ant (Solenopsis invicta), and doubled their foraging efficiency by doing so.
Across the USA, purple martins likely eat billions of fire ant queens each year,
potentially impacting the spread of this species. Alternatively, predation on
fire ants may help sustain populations of purple martins and other aerial
insectivores.
PMID- 27194286
TI - Parasitic infection: a buffer against ocean acidification?
AB - Recently, there has been a concerted research effort by marine scientists to
quantify the sensitivity of marine organisms to ocean acidification (OA).
Empirical data generated by this research have been used to predict changes to
marine ecosystem health, biodiversity and productivity that will be caused by
continued acidification. These studies have also found that the effects of OA on
marine organisms can be significantly modified by additional abiotic stressors
(e.g. temperature or oxygen) and biotic interactions (e.g. competition or
predation). To date, however, the effects of parasitic infection on the
sensitivity of marine organisms to OA have been largely ignored. We show that
parasitic infection significantly altered the response of a marine gastropod to
simulated OA conditions by reducing the mortality of infected individuals
relative to uninfected conspecifics. Without the inclusion of infection data, our
analysis would not have detected the significant effect of pH on host mortality.
These results strongly suggest that parasitic infection may be an important
confounding factor in OA research and must be taken into consideration when
assessing the response of marine species to OA.
PMID- 27194287
TI - Food supplementation mitigates dispersal-dependent differences in nest defence in
a passerine bird.
AB - Dispersing and non-dispersing individuals often differ in phenotypic traits (e.g.
physiology, behaviour), but to what extent these differences are fixed or driven
by external conditions remains elusive. We experimentally tested whether
differences in nest-defence behaviour between dispersing and non-dispersing
individuals changed with local habitat quality in collared flycatchers, by
providing additional food during the nestling rearing period. In control (non
food-supplemented) nests, dispersers were less prone to defend their brood
compared with non-dispersers, whereas in food-supplemented nests, dispersing and
non-dispersing individuals showed equally strong nest defence. We discuss the
importance of dispersal costs versus adaptive flexibility in reproductive
investment in shaping these differences in nest-defence behaviour between
dispersing and non-dispersing individuals. Irrespective of the underlying
mechanisms, our study emphasizes the importance of accounting for environmental
effects when comparing traits between dispersing and non-dispersing individuals,
and in turn assessing the costs and benefits of dispersal.
PMID- 27194288
TI - Effects of antibiotic resistance alleles on bacterial evolutionary responses to
viral parasites.
AB - Antibiotic resistance has wide-ranging effects on bacterial phenotypes and
evolution. However, the influence of antibiotic resistance on bacterial responses
to parasitic viruses remains unclear, despite the ubiquity of such viruses in
nature and current interest in therapeutic applications. We experimentally
investigated this by exposing various Escherichia coli genotypes, including eight
antibiotic-resistant genotypes and a mutator, to different viruses (lytic
bacteriophages). Across 960 populations, we measured changes in population
density and sensitivity to viruses, and tested whether variation among bacterial
genotypes was explained by their relative growth in the absence of parasites, or
mutation rate towards phage resistance measured by fluctuation tests for each
phage. We found that antibiotic resistance had relatively weak effects on
adaptation to phages, although some antibiotic-resistance alleles impeded the
evolution of resistance to phages via growth costs. By contrast, a mutator
allele, often found in antibiotic-resistant lineages in pathogenic populations,
had a relatively large positive effect on phage-resistance evolution and
population density under parasitism. This suggests costs of antibiotic resistance
may modify the outcome of phage therapy against pathogenic populations previously
exposed to antibiotics, but the effects of any co-occurring mutator alleles are
likely to be stronger.
PMID- 27194289
TI - 'Fire hardening' spear wood does slightly harden it, but makes it much weaker and
more brittle.
AB - It is usually assumed that 'fire hardening' the tips of spears, as practised by
hunter-gatherers and early Homo spp., makes them harder and better suited for
hunting. This suggestion was tested by subjecting coppiced poles of hazel to a
fire-hardening process and comparing their mechanical properties to those of
naturally seasoned poles. A Shore D hardness test showed that fire treatment
slightly increased the hardness of the wood, but flexural and impact tests showed
that it reduced the strength and work of fracture by 30% and 36%, respectively.
These results suggest that though potentially slightly sharper and more durable,
fire-hardened tips would actually be more likely to break off when used, as may
have been the case with the earliest known wooden tool, the Clacton spear. Fire
might first have been used to help sharpen the tips of spears, and fire-hardening
would have been a mostly negative side effect, not its primary purpose.
PMID- 27194290
TI - Temporal pairwise-correlation analysis provides empirical support for attention
hierarchies in mice.
AB - In many social hierarchies, more subordinate individuals adjust their behaviour
according to the presence or behaviour of more dominant individuals. In this
study, it is shown that male mice form linear dominance hierarchies characterized
by individuals attacking in bursts. Temporal pairwise-correlation analysis
reveals that non-dominant individuals avoid behaving aggressively concurrently
with an aggressively behaving alpha male. This anti-correlation is only found
with alpha males and is greater for more despotic alpha males. It is concluded
that less dominant individuals modulate their aggressive behaviour in response to
their social context, resulting in an attentional group structure.
PMID- 27194291
TI - Nocturnal foraging enhanced by enlarged secondary eyes in a net-casting spider.
AB - Animals that possess extreme sensory structures are predicted to have a related
extreme behavioural function. This study focuses on one such extreme sensory
structure-the posterior median eyes of the net-casting spider Deinopis spinosa.
Although past research has implicated the importance of vision in the nocturnal
foraging habits of Deinopis, no direct link between vision in the enlarged eyes
and nocturnal foraging has yet been made. To directly test the hypothesis that
the enlarged posterior median eyes facilitate visually based nocturnal prey
capture, we conducted repeated-measures, visual occlusion trials in both natural
and laboratory settings. Our results indicate that D. spinosa relies heavily on
visual cues detected by the posterior median eyes to capture cursorial prey
items. We suggest that the enlarged posterior median eyes benefit D. spinosa not
only through increased diet breadth, but also by allowing spiders to remain
active solely at night, thus evading predation by diurnal animals.
PMID- 27194292
TI - Who directs group movement? Leader effort versus follower preference in
stickleback fish of different personality.
AB - During collective movement, bolder individuals often emerge as leaders. Here, we
investigate whether this reflects a greater propensity of bold individuals to
initiate movement, or a preference for shy individuals to follow a bolder leader.
We set up trios of stickleback fish comprising a focal individual who was either
bold or shy, and one other individual of each personality. We then recorded the
movements of all individuals in and out of cover in a foraging context to
determine how assiduously the focal fish followed the movements of each other
partner. We found that a shy focal fish preferred to follow a leader whose
personality matched its own, but we did not detect such a difference in bold
fish. Despite this preference, however, the greater propensity of bold
individuals to initiate movements out of cover meant that they successfully led
more joint trips. Thus, when offered a choice of leaders, sticklebacks prefer to
follow individuals whose personality matches their own, but bolder individuals
may, nevertheless, be able to impose their leadership, even among shy followers,
simply through greater effort.
PMID- 27194293
TI - SPINAL SPECIAL EDITION EDITORIAL.
PMID- 27194294
TI - The Association between Central Adiposity and Autonomic Dysfunction in Obesity.
AB - OBJECTIVE: To determine the relationship between central adiposity parameters and
autonomic nervous system (ANS) dysfunction. SUBJECTS AND METHODS: The study
included 114 obese individuals without any cardiovascular risk factors. Weight
(in kg), height (in m), and waist circumference (WC; in cm) were measured and
body mass index was calculated. Echocardiographic examination was performed to
measure left ventricular mass and epicardial fat thickness (EFT). All the
participants underwent an exercise test and electrophysiological evaluation using
electromyography. Heart rate recovery (HRR) at 1-5 min, R-R interval variation at
rest and during hyperventilation, and sympathetic skin response were measured.
Pearson's correlation analysis was used. Multiple linear regression analysis was
used to identify the factors associated with autonomic dysfunction. RESULTS: The
HRR at 1-5 min was negatively correlated with WC and age (WC-HRR1: r = -0.32; WC
HRR2: r = -0.31; WC-HRR3: r = -0.26; WC-HRR4: r = -0.23; WC-HRR5: r = -0.21; age
HRR2: r = -0.32; age-HRR3: r = -0.28; age-HRR4: r = -0.41; age-HRR5: r = -0.42).
Age was the only independent predictor of reduced HRR at 1-5 min. In addition, WC
predicted a reduced HRR at 3 min. There were no significant associations between
central obesity and electrophysiological parameters. EFT was not associated with
ANS dysfunction. CONCLUSION: In this study, central adiposity and aging were
associated with ANS dysfunction in obese individuals. The WC could be a marker of
ANS dysfunction in obese individuals without any cardiovascular risk factors. The
HRR assessment at a later decay phase could be more valuable for evaluating ANS
function than during early recovery.
PMID- 27194295
TI - Posterolateral rotatory instability of the elbow.
AB - Posterolateral rotatory instability of the elbow is often caused by a complex
injury to the lateral ulnar collateral ligament complex. This often leads to
chronic mechanical symptoms of instability and pain. Surgical repair or
reconstruction of the ligament is often required to stabilize the radiocapitellar
joint. Multiple techniques have been described in the literature for repair or
reconstruction of the lateral ligamentous complex with overall good clinical
outcomes.
PMID- 27194296
TI - AMPK Negatively Regulates Peripheral Myelination via Activation of c-Jun.
AB - The process of Schwann cells (SCs) forming a sheath around axons is termed as
myelination, which plays a pivotal role for proper physiological function in the
peripheral nervous system (PNS). The molecular mechanisms regulating SC
myelination in the PNS remain to be elucidated. Here, we show that AMP-activated
protein kinase (AMPK) in sciatic nerves was gradually decreased during the PNS
myelination process. Pharmacological interventions showed that activation of AMPK
by AICAR attenuated myelin gene expression in SCs, whereas inhibition of AMPK by
Compound C (ComC) or AMPKalpha1 knockdown stimulated myelin gene expression.
Following experiments revealed that c-Jun, a negative modulator of PNS
myelination, was activated by AMPK in SCs. The application of ComC in newborn
rats markedly downregulated c-Jun expression in sciatic nerves. The lipid and
protein synthesis in sciatic nerves was greatly potentiated by ComC. As a
consequence, myelin gene expression in sciatic nerves, as well as myelin sheath
thickness, were promoted in the ComC-treated rats. All together, our data
identify that AMPK is an important negative regulator of Schwann cell myelination
in the PNS, and this regulation role may rely on c-Jun activation.
PMID- 27194297
TI - Transitional Progenitors during Vertebrate Retinogenesis.
AB - The retina is a delicate neural tissue responsible for light signal capturing,
modulating, and passing to mid-brain. The brain then translated the signals into
three-dimensional vision. The mature retina is composed of more than 50 subtypes
of cells, all of which are developed from a pool of early multipotent retinal
progenitors, which pass through sequential statuses of oligopotent, bipotent, and
unipotent progenitors, and finally become terminally differentiated retinal
cells. A transitional progenitor model is proposed here to describe how intrinsic
developmental programs, along with environmental cues, control the step-by-step
differentiation during retinogenesis. The model could elegantly explain many
current findings as well as predict roles of intrinsic factors during retinal
development.
PMID- 27194301
TI - Early nutritional support for extremely premature infants: what amino acid amount
should be given?
PMID- 27194298
TI - Propitious Therapeutic Modulators to Prevent Blood-Spinal Cord Barrier Disruption
in Spinal Cord Injury.
AB - The blood-spinal cord barrier (BSCB) is a specialized protective barrier that
regulates the movement of molecules between blood vessels and the spinal cord
parenchyma. Analogous to the blood-brain barrier (BBB), the BSCB plays a crucial
role in maintaining the homeostasis and internal environmental stability of the
central nervous system (CNS). After spinal cord injury (SCI), BSCB disruption
leads to inflammatory cell invasion such as neutrophils and macrophages,
contributing to permanent neurological disability. In this review, we focus on
the major proteins mediating the BSCB disruption or BSCB repair after SCI. This
review is composed of three parts. Section 1. SCI and the BSCB of the review
describes critical events involved in the pathophysiology of SCI and their
correlation with BSCB integrity/disruption. Section 2. Major proteins involved in
BSCB disruption in SCI focuses on the actions of matrix metalloproteinases
(MMPs), tumor necrosis factor alpha (TNF-alpha), heme oxygenase-1 (HO-1),
angiopoietins (Angs), bradykinin, nitric oxide (NO), and endothelins (ETs) in
BSCB disruption and repair. Section 3. Therapeutic approaches discusses the major
therapeutic compounds utilized to date for the prevention of BSCB disruption in
animal model of SCI through modulation of several proteins.
PMID- 27194299
TI - Anesthetic Isoflurane Induces DNA Damage Through Oxidative Stress and p53
Pathway.
AB - DNA damage is associated with aging and neurological disorders, including
Alzheimer's disease. Isoflurane is a commonly used anesthetic. It remains largely
unknown whether isoflurane induces DNA damage. Phosphorylation of the histone
protein H2A variant X at Ser139 (gammaH2A.X) is a marker of DNA damage. We
therefore set out to assess the effects of isoflurane on gammaH2A.X level in H4
human neuroglioma cells and in brain tissues of mice. Oxidative stress, caspase
activated DNase (CAD), and the p53 signaling pathway are involved in DNA damage.
Thus, we determined the interaction of isoflurane with reactive oxygen species
(ROS), CAD, and p53 to illustrate the underlying mechanisms. The cells were
treated with 2 % isoflurane for 3 or 6 h. The mice were anesthetized with 1.4 %
isoflurane for 2 h. Western blot, immunostaining and live cell fluorescence
staining were used in the experiments. We showed that isoflurane increased levels
of gammaH2A.X, cleaved caspase-3, and nucleus translocation of CAD and decreased
levels of inhibitor of CAD (ICAD) and p53. Isoflurane enhanced the nucleus level
of gammaH2A.X. Moreover, caspase inhibitor Z-VAD and ROS generation inhibitor N
acetyl-L-cysteine (NAC) attenuated the isoflurane-induced increase in gammaH2A.X
level. However, NAC did not significantly alter the isoflurane-induced reduction
in p53 level. Finally, p53 activator (actinomycin D) and inhibitor (pifithrin
alpha) attenuated and potentiated the isoflurane-induced increase in gammaH2A.X
level, respectively. These findings suggest that isoflurane might induce DNA
damage, as represented by increased gammaH2A.X level, via induction of oxidative
stress and inhibition of the repair of DNA damage through the p53 signaling
pathway.
PMID- 27194302
TI - Can long-chain PUFA supplementation during pregnancy influence later obesity
risk?
PMID- 27194300
TI - Loss of Transient Receptor Potential Ankyrin 1 Channel Deregulates Emotion,
Learning and Memory, Cognition, and Social Behavior in Mice.
AB - The transient receptor potential ankyrin 1 (TRPA1) channel is a non-selective
cation channel that helps regulate inflammatory pain sensation and nociception
and the development of inflammatory diseases. However, the potential role of the
TRPA1 channel and the underlying mechanism in brain functions are not fully
resolved. In this study, we demonstrated that genetic deletion of the TRPA1
channel in mice or pharmacological inhibition of its activity increased neurite
outgrowth. In vivo study in mice provided evidence of the TRPA1 channel as a
negative regulator in hippocampal functions; functional ablation of the TRPA1
channel in mice enhanced hippocampal functions, as evidenced by less anxiety-like
behavior, and enhanced fear-related or spatial learning and memory, and novel
location recognition as well as social interactions. However, the TRPA1 channel
appears to be a prerequisite for motor function; functional loss of the TRPA1
channel in mice led to axonal bundle fragmentation, downregulation of myelin
basic protein, and decreased mature oligodendrocyte population in the brain, for
impaired motor function. The TRPA1 channel may play a crucial role in neuronal
development and oligodendrocyte maturation and be a potential regulator in
emotion, cognition, learning and memory, and social behavior.
PMID- 27194303
TI - Vitamin C supplement intake and postmenopausal breast cancer risk: interaction
with dietary vitamin C.
AB - BACKGROUND: Experimental and epidemiologic studies have yielded conflicting
results on the relation between vitamin C intake and breast cancer risk.
OBJECTIVE: We investigated the relation between vitamin C supplement intake and
breast cancer risk while considering dietary vitamin C intake. DESIGN: Between
1995 and 2008, 2482 invasive breast cancer cases occurred in 57,403
postmenopausal women from the Etude Epidemiologique aupres de femmes de la
Mutuelle Generale de l'Education Nationale (E3N) prospective cohort during
581,085 person-years. We estimated vitamin C intake from foods with the use of a
validated food-frequency questionnaire that was sent to subjects in 1993-1995 and
vitamin C supplement use via questionnaires sent in 1995, 2000, 2002, and 2005.
Multivariable HRs (95% CIs) for primary invasive breast cancer were estimated
with the use of Cox regression models. All statistical tests were 2-sided.
RESULTS: Vitamin C supplement use (ever compared with never) was not associated
with breast cancer risk overall; it was associated with higher breast cancer risk
in women in the fourth quartile of vitamin C intake from foods (HR: 1.32; 95% CI:
1.04, 1.67) but not in other quartiles of dietary vitamin C intake (P-interaction
= 0.03). CONCLUSIONS: We observed that vitamin C supplement use was associated
with increased postmenopausal breast cancer risk in women with high vitamin C
intake from foods. Our data suggest a potential U- or J-shaped relation between
total vitamin C intake and postmenopausal breast cancer risk that deserves
further investigation.
PMID- 27194304
TI - Combined epigallocatechin-3-gallate and resveratrol supplementation for 12 wk
increases mitochondrial capacity and fat oxidation, but not insulin sensitivity,
in obese humans: a randomized controlled trial.
AB - BACKGROUND: The obese insulin-resistant state is characterized by impairments in
lipid metabolism. We previously showed that 3-d supplementation of combined
epigallocatechin-3-gallate and resveratrol (EGCG+RES) increased energy
expenditure and improved the capacity to switch from fat toward carbohydrate
oxidation with a high-fat mixed meal (HFMM) test in men. OBJECTIVE: The present
study aimed to investigate the longer-term effect of EGCG+RES supplementation on
metabolic profile, mitochondrial capacity, fat oxidation, lipolysis, and tissue
specific insulin sensitivity. DESIGN: In this randomized double-blind study, 38
overweight and obese subjects [18 men; aged 38 +/- 2 y; body mass index
(kg/m(2)): 29.7 +/- 0.5] received either EGCG+RES (282 and 80 mg/d, respectively)
or placebo for 12 wk. Before and after the intervention, oxidative capacity and
gene expression were assessed in skeletal muscle. Fasting and postprandial (HFMM)
lipid metabolism was assessed by using indirect calorimetry, blood sampling, and
microdialysis. Tissue-specific insulin sensitivity was assessed by a
hyperinsulinemic-euglycemic clamp with [6,6-(2)H2]-glucose infusion. RESULTS:
EGCG+RES supplementation did not affect the fasting plasma metabolic profile.
Although whole-body fat mass was not affected, visceral adipose tissue mass
tended to decrease after the intervention compared with placebo (P-time *
treatment = 0.09). EGCG+RES supplementation significantly increased oxidative
capacity in permeabilized muscle fibers (P-time * treatment < 0.05, P-EGCG+RES <
0.05). Moreover, EGCG+RES reduced fasting (P-time * treatment = 0.03) and
postprandial respiratory quotient (P-time * treatment = 0.01) compared with
placebo. Fasting and postprandial fat oxidation was not significantly affected by
EGCG+RES (P-EGCG+RES = 0.46 and 0.38, respectively) but declined after placebo (P
placebo = 0.05 and 0.03, respectively). Energy expenditure was not altered (P
time * treatment = 0.96). Furthermore, EGCG+RES supplementation attenuated the
increase in plasma triacylglycerol concentrations during the HFMM test that was
observed after placebo (P-time * treatment = 0.04, P-placebo = 0.01). Finally,
EGCG+RES had no effect on insulin-stimulated glucose disposal, suppression of
endogenous glucose production, or lipolysis. CONCLUSION: Twelve weeks of EGCG+RES
supplementation increased mitochondrial capacity and stimulated fat oxidation
compared with placebo, but this did not translate into increased tissue-specific
insulin sensitivity in overweight and obese subjects. This trial was registered
at clinicaltrials.gov as NCT02381145.
PMID- 27194305
TI - Consumption of fruit and vegetables and risk of frailty: a dose-response analysis
of 3 prospective cohorts of community-dwelling older adults.
AB - BACKGROUND: Consuming fruit and vegetables (FVs) may protect against frailty, but
to our knowledge no study has yet assessed their prospective dose-response
relation. OBJECTIVE: We sought to examine the dose-response association between
FV consumption and the risk of frailty in older adults. DESIGN: Data were taken
from 3 independent cohorts of community-dwelling older adults: the Seniors-ENRICA
(Study on Nutrition and Cardiovascular Risk Factors in Spain) cohort (n = 1872),
Three-City (3C) Bordeaux cohort (n = 581), and integrated multidisciplinary
approach cohort (n = 473). Baseline food consumption was assessed with a
validated computerized diet history (Seniors-ENRICA) or with a food-frequency
questionnaire (3C Bordeaux and AMI). In all cohorts, incident frailty was
assessed with the use of the Fried criteria. Results across cohorts were pooled
with the use of a random-effects model. RESULTS: During a mean 2.5-y follow-up,
300 incident frailty cases occurred. Fully adjusted models showed that the pooled
ORs (95% CIs) of incident frailty comparing participants who consumed 1, 2, or
>=3 portions of fruit/d to those with no consumption were, respectively, 0.59
(0.27, 0.90), 0.58 (0.29, 0.86), and 0.48 (0.20, 0.75), with a P-trend of 0.04.
The corresponding values for vegetables were 0.69 (0.42, 0.97), 0.56 (0.35,
0.77), and 0.52 (0.13, 0.92), with a P-trend < 0.01. When FVs were analyzed
together, the pooled ORs (95% CIs) of incident frailty were 0.41 (0.21, 0.60),
0.47 (0.25, 0.68), 0.36 (0.18, 0.53), and 0.31 (0.13, 0.48), with a P-trend <
0.01 for participants who consumed 2, 3, 4, or >=5 portions/d, respectively,
compared with those who consumed <=1 portion/d. An inverse dose-response relation
was also found between the baseline consumption of fruit and risk of exhaustion,
low physical activity, and slow walking speed, whereas the consumption of
vegetables was associated with a decreased risk of exhaustion and unintentional
weight loss. CONCLUSIONS: Among community-dwelling older adults, FV consumption
was associated with a lower short-term risk of frailty in a dose-response manner,
and the strongest association was obtained with 3 portions of fruit/d and 2
portions of vegetables/d.
PMID- 27194306
TI - Association between barriers and facilitators to meeting the Dietary Guidelines
for Americans and body weight status of caregiver-child dyads: the Healthy Eating
and Lifestyle for Total Health Study.
AB - BACKGROUND: Few Americans meet the Dietary Guidelines for Americans (DGA), yet a
large percentage are overweight. OBJECTIVE: The goal of this research was to
examine the association between barriers and facilitators to meeting the DGA and
weight in a multisite study. DESIGN: This was a cross-sectional study in which
836 caregiver-child dyads among 3 race/ethnic groups completed the questionnaire
across 6 sites participating in the HEALTH (Healthy Eating and Lifestyle for
Total Health) Study. Barriers and facilitators to meeting the DGA were assessed
by using a validated questionnaire developed specifically for the HEALTH Study.
Heights and weights were measured. A series of bivariate regression analyses were
conducted to examine the association between caregiver body mass index (BMI) or
child BMI z score and the barriers and facilitators to meeting the DGA, adjusting
for appropriate covariates in the models. Path analysis was used to examine the
relation of caregiver and child barriers and facilitators to their relative BMIs.
RESULTS: In children, the BMI z score was associated positively (P < 0.001) with
total barriers and negatively (P < 0.001) with total facilitators. In caregivers,
BMI was associated positively only with total barriers (P < 0.0001). For each of
the 8 MyPyramid components, barriers consistently were associated positively (P <
0.0001) and facilitators were associated negatively (P < 0.001) with BMI z score
in children (with the exception of the fruit and physical activity components).
For caregivers, most of the barriers to meeting recommendations for meats and
beans; solid fats, alcohol, and added sugars; and physical activity components
were associated positively (P < 0.01) with BMI. Path analyses show that caregiver
facilitators were significantly related to children's facilitators to meeting DGA
recommendations (standardized beta: 0.12; P < 0.001). CONCLUSION: To our
knowledge, our findings are among the first to show associations between weight
and barriers and/or facilitators to meeting the DGA in a national, large sample
of caregiver-child dyads. This trial was registered at clinicaltrials.gov as
NCT02725970.
PMID- 27194307
TI - Insulin sensitivity is a Rubik's Cube.
PMID- 27194308
TI - Vitamin D status of black and white Americans and changes in vitamin D
metabolites after varied doses of vitamin D supplementation.
AB - BACKGROUND: Controversy exists over the disparate circulating 25-hydroxyvitamin D
[25(OH)D] concentrations between black and white Americans. OBJECTIVE: We sought
to determine whether there are differences in total and directly measured free
25(OH)D concentrations between black and white American adults and how daily
supplementation with cholecalciferol changes these concentrations. DESIGN: Cross
sectional and longitudinal analyses were conducted with the use of data from 2
placebo-controlled, randomized trials at 2 academic medical centers in the United
States: CaDDM (Calcium and Vitamin D in Type 2 Diabetes) and DDM2 (Vitamin D for
Established Type 2 Diabetes). A total of 208 subjects with pre- or well
controlled diabetes with a mean age of 59.1 y and mean body mass index (BMI; in
kg/m(2)) of 31.6 were randomly assigned to receive daily cholecalciferol
supplementation at 1 of 2 doses (2000 or 4000 IU) or a matching placebo for 16
wk. We measured serum total 25(OH)D, vitamin D-binding protein (DBP) by 2
different immunoassays (with the use of monoclonal or polyclonal antibodies),
parathyroid hormone, and albumin. Free 25(OH)D concentration was directly
measured and calculated. RESULTS: Blacks had lower total 25(OH)D concentrations
than whites [adjusted median: 20.3 ng/mL (95% CI: 16.2, 24.5 ng/mL) compared with
26.7 ng/mL (95% CI: 25.2, 28.1 ng/mL), respectively; P = 0.026)], and a higher
proportion of blacks had total 25(OH)D concentrations <20 ng/mL (46% compared
with 19%, respectively; P < 0.001). Directly measured free 25(OH)D concentrations
were lower in blacks than in whites [adjusted median: 4.5 ng/mL (95% CI: 3.7, 5.4
ng/mL) compared with 5.7 ng/mL (95% CI: 5.4, 5.9 ng/mL), respectively; P = 0.044]
and were strongly correlated with total 25(OH)D without an effect of race. DBP
was lower in blacks when measured by the monoclonal but not the polyclonal
antibody immunoassay. Cholecalciferol supplementation increased total and
measured free 25(OH)D concentrations proportionally to the dose and without a
difference between races. CONCLUSIONS: The relation between free and total
25(OH)D did not vary systematically by race in this multiracial population with
pre- or well-controlled diabetes. The results need to be replicated in additional
cohorts before concluding that the clinical assessment of vitamin D status in
blacks and whites should follow a single standard. The CaDDM and DDM2 trials were
registered at clinicaltrials.gov as NCT00436475 and NCT01736865, respectively.
PMID- 27194309
TI - Greater diet quality is associated with more optimal glycemic control in a
longitudinal study of youth with type 1 diabetes.
AB - BACKGROUND: Despite the centrality of nutrition in the management of type 1
diabetes, the association of diet quality and macronutrient distribution with
glycemic control is ambiguous. OBJECTIVE: This study examined longitudinally the
association of dietary intake with multiple indicators of glycemic control in
youth with type 1 diabetes participating in a behavioral nutrition intervention
study. DESIGN: Participants in a randomized clinical trial of a behavioral
nutrition intervention [n = 136; mean +/- SD age: 12.8 +/- 2.6 y; glycated
hemoglobin (HbA1c): 8.1% +/- 1.0%; 69.1% using an insulin pump] completed 3-d
diet records at baseline and months 3, 6, 9, 12, and 18; masked continuous
glucose monitoring (CGM) data were obtained concurrently with the use of the
Medtronic iPro CGM system. HbA1c was obtained every 3 mo; 1,5-anhydroglucitol was
obtained every 6 mo. Linear mixed-effects regression models estimated
associations of time-varying dietary intake variables with time-varying glycemic
control indicators, controlling for age, height, weight, sex, Tanner stage,
diabetes duration, regimen, frequency of blood glucose monitoring, physical
activity, and treatment assignment. RESULTS: HbA1c was associated inversely with
carbohydrate and natural sugar, and positively with protein and unsaturated fat.
1,5-Anhydroglucitol was associated positively with fiber intake and natural
sugar. Greater glycemic control as indicated by >=1 CGM variable was associated
with higher Healthy Eating Index-2005, whole plant food density, fiber,
carbohydrate, and natural sugar and lower glycemic index and unsaturated fat.
CONCLUSIONS: Both overall diet quality and macronutrient distribution were
associated with more optimal glycemic control. Associations were more consistent
for CGM variables obtained concurrently with dietary intake than for biomarkers
of longer-term glycemic control. These findings suggest that glycemic control may
be improved by increasing intake of high-fiber, low glycemic-index, carbohydrate
containing foods. This trial was registered at clinicaltrials.gov as NCT00999375.
PMID- 27194312
TI - Why Cancer?
PMID- 27194311
TI - Distribution of coat-color-associated alleles in the domestic horse population
and Przewalski's horse.
AB - Considering the hidden mode of inheritance of some coat-color-associated alleles,
we investigated the presence/absence of coat-color-associated alleles in 1093
domestic horses of 55 breeds and 20 specimens of Przewalski's horse. For coat
color genotyping, allele specific PCR, pyrosequencing and Li-Cor analyses were
conducted on 12 coat-color-associated alleles of five genes. Our data provide
deep insight into the distribution of coat-color-associated alleles within
breeds. We found that the alleles for the basic colorations (bay, black, and
chestnut) are widely distributed and occur in nearly all breeds. Alleles leading
to dilutions or patterns are rare in domestic breeds and were not found in
Przewalski's horse. Higher frequencies of these alleles are only found in breeds
that are selected for their expressed phenotypes (e.g., Kinsky horse, Lewitzer,
Tinker). Nevertheless, our study produced strong evidence that molecular testing
of the coat color is necessary for well-defined phenotyping to avoid unexpected
colorations of offspring that can result in legal action.
PMID- 27194313
TI - Correction.
PMID- 27194314
TI - Association between treatment for gonorrhoea and chlamydia and lower condom use
in a cross-sectional study of female sex workers in southern India.
AB - OBJECTIVES: To assess whether having received grey packets containing treatment
for gonorrhoea and chlamydia was associated with condom use among female sex
workers (FSWs) in 5 districts of southern India covered by the Avahan programme
where both periodic presumptive treatment (PPT) and syndromic management were
used to control these sexually transmitted infections (STIs) among FSWs. SETTING:
Cross-sectional study of FSWs recruited in the field in 5 districts of southern
India (Bangalore, Belgaum, Bellary, Guntur and Mumbai) in 2006-2007.
PARTICIPANTS: 1378 self-identified FSWs out of 1442 were approached to
participate in the study (participation rate: 95.6%). The only exclusion
criterion was to be aged <18 years. PRIMARY AND SECONDARY OUTCOME MEASURES:
Consistent condom use (CCU) with new or occasional clients, and with the most
recent repeat client as assessed using a questionnaire administered through face
to-face interviews. RESULTS: Using the Poisson regression to model the
association between the number of grey packets received in the past 3-12 months
and reported CCU, adjusting for factors associated with condom use and other
potential confounders in our data, CCU was lowest among FSWs who had received >=3
grey packets in the past 3-12 months with their new or occasional clients
(adjusted prevalence ratio (APR): 0.70, 95% CI 0.57 to 0.84, p<0.001) and with
the most recent repeat client (APR 0.63, 95% CI 0.51 to 0.78, p<0.001). Tests for
trends showed that CCU with both types of clients decreased with the number of
grey packets received (p<0.001). CONCLUSIONS: Since we could not distinguish grey
packets used for PPT from those given for syndromic management, these results
could be either due to a perception of protection conferred by PPT or by the fact
that inconsistent condom users are more at risk for STIs. Further research on the
potential disinhibiting effect of PPT is warranted.
PMID- 27194316
TI - Qualitative study investigating the commissioning process for older people's
services provided by third sector organisations: SOPRANO study protocol.
AB - INTRODUCTION: The commissioning of third sector services for older people may
influence the quality, availability and coordination of services for older
people. The SOPRANO study aims to understand the relationships between and
processes of commissioning bodies and third sector organisations providing health
and social care services for older people. METHODS AND ANALYSIS: This qualitative
study will be based in the East Midlands region of England. An initial scoping
survey of commissioners will give an overview of services to maintain the health
and well-being of older people in the community that are commissioned. Following
this, semistructured interviews will be conducted with 4 sample groups: health
and social care commissioners, service provider managers, service provider case
workers and older service users. A sample size of 10-15 participants in each of
the 4 groups is expected to be sufficient to reach data saturation, resulting in
a final expected sample size of 40-60 participants. Informed consent will be
gained from all participants, and those unable to provide informed consent will
be excluded. The interview data will be analysed by 2 researchers using framework
content analysis. ETHICS AND DISSEMINATION: Approval for the study has been
gained from the University of Nottingham School of Medicine ethical review board,
and the relevant approvals have been gained from the National Health Service
(NHS) research and development departments for interviewing NHS staff. Early
engagement with a wide range of stakeholders will ensure that the research
findings are extensively disseminated to relevant stakeholders (including
commissioners and third sector providers) in an accessible format using the
extensive communication networks available to the National Institute for Health
Research (NIHR) Collaboration for Leadership in Applied Health Research and Care
CLAHRCs (applied health research organisations covering all of England). The
study will also be disseminated through academic routes such as conference
presentations and journal papers.
PMID- 27194315
TI - Health effects of training laypeople to deliver emergency care in underserviced
populations: a systematic review protocol.
AB - INTRODUCTION: The Disease Control Priorities Project recommends emergency care
training for laypersons in low-resource settings, but evidence for these
interventions has not yet been systematically reviewed. This review will identify
the individual and community health effects of educating laypeople to deliver
prehospital emergency care interventions in low-resource settings. METHODS AND
ANALYSIS: This systematic review addresses the following question: in
underserviced populations and low-resource settings (P), does first aid or
emergency care training or education for laypeople (I) confer any individual or
community health benefit for emergency health conditions (O), in comparison with
no training or other forms of education (C)? We restrict this review to studies
reporting quantitatively measurable outcomes, and search 12 electronic
bibliographic databases and grey literature sources. A team of expert content and
methodology reviewers will conduct title and abstract screening and full-text
review, using a custom-built online platform. Two investigators will
independently extract methodological variables and outcomes related to patient
level morbidity and mortality and community-level effects on resilience or
emergency care capacity. Two investigators will independently assess external
validity, selection bias, performance bias, measurement bias, attrition bias and
confounding. We will summarise the findings using a narrative approach to
highlight similarities and differences between the gathered studies. ETHICS AND
DISSEMINATION: Formal ethical approval is not required. RESULTS: The results will
be disseminated through a peer-reviewed publication and knowledge translation
strategy. REVIEW REGISTRATION NUMBER: CRD42014009685.
PMID- 27194317
TI - Protocol for Care After Lymphoma (CALy) trial: a phase II pilot randomised
controlled trial of a lymphoma nurse-led model of survivorship care.
AB - INTRODUCTION: Lymphoma is the sixth most common cancer diagnosed in Australia and
internationally. Owing to the aggressive nature of the disease and intensity of
treatment, survivors face long-term effects that impact on quality of life.
Current models of follow-up post-treatment fail to address these complex issues.
Given that 74% of patients with lymphoma cancer now survive 5 years beyond
diagnosis and treatment, it is important to address this gap in care. AIM: To
determine self-reported informational and practical needs, anxiety, depression,
stress, coping and empowerment at baseline, 3 and 6 months. METHODS AND ANALYSIS:
A pilot randomised controlled trial will test the effect of a nurse-led lymphoma
survivorship clinic compared with usual post-treatment care at a large tertiary
cancer centre in Western Australia. The intervention will comprise three face-to
face appointments with delivery of tailored resources, a survivorship care plan
and treatment summary (SCP TS). The SCP TS will be given to the participant and
general practitioner (GP). Intervention participants will be interviewed at
completion to explore the perceived value of the intervention components and
preferred dose. An evaluation developed for GPs will assess receipt and use of
SCP TS. The primary intent of analysis will be to address the feasibility of a
larger trial and requisite effect and sample size. ETHICS AND DISSEMINATION:
Ethics approval has been granted by the University of Notre Dame Australia and
Sir Charles Gairdner Hospital in Western Australia. Peer-reviewed publications
and conference presentations will report the results of this phase II trial.
TRIAL REGISTRATION NUMBER: ANZCTRN12615000530527; Pre-results.
PMID- 27194318
TI - Intrathecal hyperbaric versus isobaric bupivacaine for adult non-caesarean
section surgery: systematic review protocol.
AB - INTRODUCTION: Bupivacaine is the most commonly used local anaesthetic for spinal
anaesthesia (SA). There are two forms of commercially available bupivacaine;
isobaric bupivacaine (IB): a formulation with a specific gravity or density equal
to cerebrospinal fluid, and hyperbaric bupivacaine (HB): a formulation with
density heavier than cerebrospinal fluid. The difference in densities of the two
available preparations is believed to affect the diffusion pattern that
determines the effectiveness, spread and side-effect profile of bupivacaine. This
systematic review will summarise the best available evidence regarding the
effectiveness and safety on the use of HB compared with IB, when used to provide
SA for surgery. Primarily, we will analyse the need for conversion to general
anaesthesia. As secondary outcomes, we will compare the incidence of hypotension,
incidence of nausea/vomiting, the onset time and duration of anaesthesia. METHODS
AND ANALYSIS: We will search key electronic databases using search strategy (1)
injections, spinal OR intrathecal OR subarachnoid; (2) bupivacaine OR
levobupivacaine; (3) hypobaric OR isobaric OR plain; (4) baricity. We will search
MEDLINE, EMBASE and Cochrane databases, from their inception for randomised
controlled trials, with no restrictions on language. Caesarean section surgery
will be excluded. 2 reviewers will independently extract the data using a
standardised form. Extracted items will include study characteristics, risk of
bias domains, as per modified Cochrane risk of bias, participant disposition and
study outcomes. We will conduct a meta-analysis for variables that can be
compared across the studies. We will evaluate clinical heterogeneity by
qualitatively appraising differences in study characteristics in participants,
interventions and the outcomes assessed. We will report our findings as relative
risks (dichotomous), and weighted mean differences (continuous) for individual
outcomes, along with their 95% CIs. ETHICS AND DISSEMINATION: We plan to submit,
and will publish, our findings in a peer-reviewed scientific journal, and present
our results at national and international meetings. TRIAL REGISTRATION NUMBER:
CRD42015017672.
PMID- 27194319
TI - System-level and patient-level explanations for non-attendance at diabetic
retinopathy screening in Sutton and Merton (London, UK): a qualitative analysis
of a service evaluation.
AB - OBJECTIVES: Non-attendance at diabetic retinopathy screening has financial
implications for screening programmes and potential clinical costs to patients.
We sought to identify explanations for why patients had never attended a
screening appointment (never attendance) in one programme. DESIGN: Qualitative
analysis of a service evaluation. SETTING: One South London (UK) diabetic eye
screening programme. PARTICIPANTS AND PROCEDURE: Patients who had been registered
with one screening programme for at least 18 months and who had never attended
screening within the programme were contacted by telephone to ascertain why this
was the case. Patients' general practices were also contacted for information
about why each patient may not have attended. Framework analysis was used to
interpret responses. RESULTS: Of the 296 patients, 38 were not eligible for
screening and of the 258 eligible patients, 159 were not contactable (31 of these
had phone numbers that were not in use). We obtained reasons from
patients/general practices/clinical notes for non-attendance for 146 (57%)
patients. A number of patient-level and system-level factors were given to
explain non-attendance. Patient-level factors included having other commitments,
being anxious about screening, not engaging with any diabetes care and being
misinformed about screening. System-level factors included miscommunication about
where the patient lives, their clinical situation and practical problems that
could have been overcome had their existence been shared between programmes.
CONCLUSIONS: This service evaluation provides unique insight into the patient
level and system-level reasons for never attendance at diabetic retinopathy
screening. Improved sharing of relevant information between providers has the
potential to facilitate increased uptake of screening. Greater awareness of
patient-level barriers may help providers offer a more accessible service.
PMID- 27194323
TI - Capitalizing on Directed Evolution and Rational Protein Engineering to Expand the
Neuroscientist's Imaging Toolbox.
PMID- 27194324
TI - Bilingual Language Control Mechanisms in Anterior Cingulate Cortex and
Dorsolateral Prefrontal Cortex: A Developmental Perspective.
PMID- 27194322
TI - Why take the chance? A qualitative grounded theory study of nocturnal
haemodialysis recipients who decline kidney transplantation.
AB - OBJECTIVE: The objective of this study was to examine the factors that influence
decision-making to forgo transplantation in favour of remaining on nocturnal
haemodialysis (NHD). DESIGN: A grounded theory approach using in-depth telephone
interviewing was used. SETTING: Participants were identified from 2 tertiary care
renal programmes in Canada. PARTICIPANTS: The study participants were otherwise
eligible patients with end-stage renal disease who have opted to remain off of
the transplant list. A total of 7 eligible participants were interviewed. 5 were
male. The mean age was 46 years. ANALYSIS: A constant comparative method of
analysis was used to identify a core category and factors influencing the
decision-making process. RESULTS: In this grounded theory study of people
receiving NHD who refused kidney transplantation, the core category of 'why take
a chance when things are going well?' was identified, along with 4 factors that
influenced the decision including 'negative past experience', 'feeling well on
NHD', 'gaining autonomy' and 'responsibility'. CONCLUSIONS: This study provides
insight into patients' thought processes surrounding an important treatment
decision. Such insights might help the renal team to better understand, and
thereby respect, patient choice in a patient-centred care paradigm. Findings may
also be useful in the development of education programmes addressing the specific
concerns of this population of patients.
PMID- 27194321
TI - Antidepressants and the risk of hyponatremia: a Danish register-based population
study.
AB - OBJECTIVE: To examine the association between classes of antidepressants and
hyponatremia, and between specific antidepressants and hyponatremia. DESIGN:
Retrospective register-based cohort study using nationwide registers from 1998 to
2012. SETTING: The North Denmark Region. PARTICIPANTS: In total, 638 352
individuals were included. PRIMARY AND SECONDARY OUTCOME MEASURES: Plasma sodium
was obtained from the LABKA database. The primary outcome was hyponatremia
defined as plasma sodium (p-sodium) below 135 mmol/L and secondary outcome was
severe hyponatremia defined as p-sodium below 130 mmol/L. The association between
use of specific antidepressants and hyponatremia was analysed using multivariable
Poisson regression models. RESULTS: An event of hyponatremia occurred in 72 509
individuals and 11.36% (n=6476) of these events happened during treatment with
antidepressants. Incidence rate ratios and CIs for the association with
hyponatremia in the first p-sodium measured after initiation of treatment were
for citalopram 7.8 (CI 7.42 to 8.20); clomipramine 4.93 (CI 2.72 to 8.94);
duloxetine 2.05 (CI 1.44 to 292); venlafaxine 2.90 (CI 2.43 to 3.46); mirtazapine
2.95 (CI 2.71 to 3.21); and mianserin 0.90 (CI 0.71 to 1.14). CONCLUSIONS: All
antidepressants except mianserin are associated with hyponatremia. The
association is strongest with citalopram and lowest with duloxetine, venlafaxine
and mirtazapine.
PMID- 27194325
TI - Pin1 Modulates the Synaptic Content of NMDA Receptors via Prolyl-Isomerization of
PSD-95.
AB - Phosphorylation of serine/threonine residues preceding a proline regulates the
fate of its targets through postphosphorylation conformational changes catalyzed
by the peptidyl-prolyl cis-/trans isomerase Pin1. By flipping the substrate
between two different functional conformations, this enzyme exerts a fine-tuning
of phosphorylation signals. Pin1 has been detected in dendritic spines and shafts
where it regulates protein synthesis required to sustain the late phase of long
term potentiation (LTP). Here, we demonstrate that Pin1 residing in postsynaptic
structures can interact with postsynaptic density protein-95 (PSD-95), a key
scaffold protein that anchors NMDA receptors (NMDARs) in PSD via GluN2-type
receptor subunits. Pin1 recruitment by PSD-95 occurs at specific serine
threonine/proline consensus motifs localized in the linker region connecting PDZ2
to PDZ3 domains. Upon binding, Pin1 triggers structural changes in PSD-95, thus
negatively affecting its ability to interact with NMDARs. In electrophysiological
experiments, larger NMDA-mediated synaptic currents, evoked in CA1 principal
cells by Schaffer collateral stimulation, were detected in hippocampal slices
obtained from Pin1(-/-) mice compared with controls. Similar results were
obtained in cultured hippocampal cells expressing a PSD-95 mutant unable to
undergo prolyl-isomerization, thus indicating that the action of Pin1 on PSD-95
is critical for this effect. In addition, an enhancement in spine density and
size was detected in CA1 principal cells of Pin1(-/-) or in Thy-1GFP mice treated
with the pharmacological inhibitor of Pin1 catalytic activity PiB.Our data
indicate that Pin1 controls synaptic content of NMDARs via PSD-95 prolyl
isomerization and the expression of dendritic spines, both required for LTP
maintenance. SIGNIFICANCE STATEMENT: PSD-95, a membrane-associated guanylate
kinase, is the major scaffolding protein at excitatory postsynaptic densities and
a potent regulator of synaptic strength and plasticity. The activity of PSD-95 is
tightly controlled by several post-translational mechanisms including proline
directed phosphorylation. This signaling cascade regulates the fate of its
targets through postphosphorylation conformational modifications catalyzed by the
peptidyl-prolyl cis-/trans isomerase Pin1. Here, we uncover a new role of Pin1 in
glutamatergic signaling. By interacting with PSD-95, Pin1 dampens PSD-95 ability
to complex with NMDARs, thus negatively affecting NMDAR signaling and spine
morphology. Our findings further emphasize the emerging role of Pin1 as a key
modulator of synaptic transmission.
PMID- 27194326
TI - Bcl-xL Is Essential for the Survival and Function of Differentiated Neurons in
the Cortex That Control Complex Behaviors.
AB - Apoptosis plays an essential role during brain development, yet the precise
mechanism by which this pathway is regulated in the brain remains unknown. In
particular, mammalian cells are known to express multiple anti-apoptotic Bcl-2
family proteins. However, the cells of the developing brain could also exist in a
primed state in which the loss of a single anti-apoptotic Bcl-2 family protein is
sufficient to trigger apoptosis. Here, we examined the critical role of Bcl-xL,
an anti-apoptotic protein, during brain development. Using conditional knock-out
mice in which Bcl-xL is deleted in neural progenitor cells (Bcl-xL(Emx1-Cre)), we
show that the loss of Bcl-xL is not sufficient to trigger apoptosis in these
proliferating progenitors. In contrast, specific populations of postmitotic
neurons derived from these progenitors, including upper layer cortical neurons
and the CA1-CA3 regions of the hippocampus, were acutely dependent on Bcl-xL.
Consistent with this finding, deletion of Bcl-xL selectively in the postmitotic
neurons in the brain (Bcl-xL(Nex-Cre)) also resulted in similar patterns of
apoptosis. This Bcl-xL deficiency-induced neuronal death was a consequence of
activation of the apoptotic pathway, because the cell death was rescued with
codeletion of the proapoptotic proteins Bax and Bak. Importantly, the loss of
these Bcl-xL-dependent neurons led to severe neurobehavioral abnormalities,
including deficits in motor learning, hyperactivity, and increased risk-taking
and self-injurious behaviors. Together, our results identify a population of
neurons in the developing brain that are acutely dependent on Bcl-xL during the
peak period of synaptic connectivity that are important for the establishment of
higher-order complex behaviors. SIGNIFICANCE STATEMENT: Although Bcl-xL is known
to inhibit apoptosis, exactly which cells in the brain are dependent on Bcl-xL
has remained unclear because of the embryonic lethality of mice globally deleted
for Bcl-xL. Here, we conditionally deleted Bcl-xL in the brain and found that
this did not result in widespread apoptosis in the proliferating progenitors.
Instead, Bcl-xL deficiency induced apoptosis in a select population of
differentiated neurons predominantly in the early postnatal stages. Importantly,
these Bcl-xL-dependent neurons are not essential for survival of the organism but
instead regulate complex behaviors. Our results show that the selective loss of
these Bcl-xL-dependent neurons results in mice exhibiting severe neurobehavioral
abnormalities, including self-injurious and risk-taking behaviors, hyperactivity,
and learning and memory defects.
PMID- 27194327
TI - Multimodal Feature Integration in the Angular Gyrus during Episodic and Semantic
Retrieval.
AB - Much evidence from distinct lines of investigation indicates the involvement of
angular gyrus (AnG) in the retrieval of both episodic and semantic information,
but the region's precise function and whether that function differs across
episodic and semantic retrieval have yet to be determined. We used univariate and
multivariate fMRI analysis methods to examine the role of AnG in multimodal
feature integration during episodic and semantic retrieval. Human participants
completed episodic and semantic memory tasks involving unimodal (auditory or
visual) and multimodal (audio-visual) stimuli. Univariate analyses revealed the
recruitment of functionally distinct AnG subregions during the retrieval of
episodic and semantic information. Consistent with a role in multimodal feature
integration during episodic retrieval, significantly greater AnG activity was
observed during retrieval of integrated multimodal episodic memories compared
with unimodal episodic memories. Multivariate classification analyses revealed
that individual multimodal episodic memories could be differentiated in AnG, with
classification accuracy tracking the vividness of participants' reported
recollections, whereas distinct unimodal memories were represented in sensory
association areas only. In contrast to episodic retrieval, AnG was engaged to a
statistically equivalent degree during retrieval of unimodal and multimodal
semantic memories, suggesting a distinct role for AnG during semantic retrieval.
Modality-specific sensory association areas exhibited corresponding activity
during both episodic and semantic retrieval, which mirrored the functional
specialization of these regions during perception. The results offer new insights
into the integrative processes subserved by AnG and its contribution to our
subjective experience of remembering. SIGNIFICANCE STATEMENT: Using univariate
and multivariate fMRI analyses, we provide evidence that functionally distinct
subregions of angular gyrus (AnG) contribute to the retrieval of episodic and
semantic memories. Our multivariate pattern classifier could distinguish episodic
memory representations in AnG according to whether they were multimodal (audio
visual) or unimodal (auditory or visual) in nature, whereas statistically
equivalent AnG activity was observed during retrieval of unimodal and multimodal
semantic memories. Classification accuracy during episodic retrieval scaled with
the trial-by-trial vividness with which participants experienced their
recollections. Therefore, the findings offer new insights into the integrative
processes subserved by AnG and how its function may contribute to our subjective
experience of remembering.
PMID- 27194329
TI - Less Is More: Semantic Information Survives Interocular Suppression When
Attention Is Diverted.
AB - The extent of unconscious semantic processing has been debated. It is well
established that semantic information is registered in the absence of awareness
induced by inattention. However, it has been debated whether semantic information
of invisible stimuli is processed during interocular suppression, a procedure
that renders one eye's view invisible by presenting a dissimilar stimulus to the
other eye. Inspired by recent evidence demonstrating that reduced attention
attenuates interocular suppression, we tested a counterintuitive hypothesis that
attention withdrawn from the suppressed target location facilitates semantic
processing in the absence of awareness induced by interocular suppression. We
obtained an electrophysiological marker of semantic processing (N400 component)
while human participants' spatial attention was being manipulated with a cueing
paradigm during interocular suppression. We found that N400 modulation was absent
when participants' attention was directed to the target location, but present
when diverted elsewhere. In addition, the correlation analysis across
participants indicated that the N400 amplitude was reduced with more attention
being directed to the target location. Together, these results indicate that
inattention attenuates interocular suppression and thereby makes semantic
processing available unconsciously, reconciling conflicting evidence in the
literature. We discuss a tight link among interocular suppression, attention, and
conscious awareness. SIGNIFICANCE STATEMENT: Interocular suppression offers a
powerful means of studying the extent of unconscious processing by rendering a
salient stimulus presented to one eye invisible. Here, we provide evidence that
attention is a determining factor for unconscious semantic processing. An
electrophysiological marker for semantic processing (N400 component) was present
when attention was diverted away from the suppressed stimulus but absent when
attention was directed to that stimulus, indicating that inattention facilitates
unconscious semantic processing during the interocular suppression. Although
contrary to the common sense assumption that attention facilitates information
processing, this result is in accordance with recent studies showing that
attention modulates interocular suppression but is not necessary for semantic
processing. Our finding reconciles the conflicting evidence and advances theories
of consciousness.
PMID- 27194328
TI - Npas1+ Pallidal Neurons Target Striatal Projection Neurons.
AB - Compelling evidence demonstrates that the external globus pallidus (GPe) plays a
key role in processing sensorimotor information. An anatomical projection from
the GPe to the dorsal striatum has been described for decades. However, the
cellular target and functional impact of this projection remain unknown. Using
cell-specific transgenic mice, modern monosynaptic tracing techniques, and
optogenetics-based mapping, we discovered that GPe neurons provide inhibitory
inputs to direct and indirect pathway striatal projection neurons (SPNs). Our
results indicate that the GPe input to SPNs arises primarily from Npas1
expressing neurons and is strengthened in a chronic Parkinson's disease (PD)
model. Alterations of the GPe-SPN input in a PD model argue for the critical
position of this connection in regulating basal ganglia motor output and PD
symptomatology. Finally, chemogenetic activation of Npas1-expressing GPe neurons
suppresses motor output, arguing that strengthening of the GPe-SPN connection is
maladaptive and may underlie the hypokinetic symptoms in PD. SIGNIFICANCE
STATEMENT: An anatomical projection from the pallidum to the striatum has been
described for decades, but little is known about its connectivity pattern. The
authors dissect the presynaptic and postsynaptic neurons involved in this
projection, and show its cell-specific remodeling and strengthening in
parkinsonian mice. Chemogenetic activation of Npas1(+) pallidal neurons that give
rise to the principal pallidostriatal projection increases the time that the mice
spend motionless. This argues that maladaptive strengthening of this connection
underlies the paucity of volitional movements, which is a hallmark of Parkinson's
disease.
PMID- 27194330
TI - Opiates Modulate Noxious Chemical Nociception through a Complex
Monoaminergic/Peptidergic Cascade.
AB - The ability to detect noxious stimuli, process the nociceptive signal, and elicit
an appropriate behavioral response is essential for survival. In Caenorhabditis
elegans, opioid receptor agonists, such as morphine, mimic serotonin, and
suppress the overall withdrawal from noxious stimuli through a pathway requiring
the opioid-like receptor, NPR-17. This serotonin- or morphine-dependent
modulation can be rescued in npr-17-null animals by the expression of npr-17 or a
human kappa opioid receptor in the two ASI sensory neurons, with ASI opioid
signaling selectively inhibiting ASI neuropeptide release. Serotonergic
modulation requires peptides encoded by both nlp-3 and nlp-24, and either nlp-3
or nlp-24 overexpression mimics morphine and suppresses withdrawal. Peptides
encoded by nlp-3 act differentially, with only NLP-3.3 mimicking morphine,
whereas other nlp-3 peptides antagonize NLP-3.3 modulation. Together, these
results demonstrate that opiates modulate nociception in Caenorhabditis elegans
through a complex monoaminergic/peptidergic cascade, and suggest that this model
may be useful for dissecting opiate signaling in mammals. SIGNIFICANCE STATEMENT:
Opiates are used extensively to treat chronic pain. In Caenorhabditis elegans,
opioid receptor agonists suppress the overall withdrawal from noxious chemical
stimuli through a pathway requiring an opioid-like receptor and two distinct
neuropeptide-encoding genes, with individual peptides from the same gene
functioning antagonistically to modulate nociception. Endogenous opioid signaling
functions as part of a complex, monoaminergic/peptidergic signaling cascade and
appears to selectively inhibit neuropeptide release, mediated by a alpha
adrenergic-like receptor, from two sensory neurons. Importantly, receptor null
animals can be rescued by the expression of the human kappa opioid receptor, and
injection of human opioid receptor ligands mimics exogenous opiates, highlighting
the utility of this model for dissecting opiate signaling in mammals.
PMID- 27194332
TI - Neural Coding of Interaural Time Differences with Bilateral Cochlear Implants in
Unanesthetized Rabbits.
AB - Although bilateral cochlear implants (CIs) provide improvements in sound
localization and speech perception in noise over unilateral CIs, bilateral CI
users' sensitivity to interaural time differences (ITDs) is still poorer than
normal. In particular, ITD sensitivity of most CI users degrades with increasing
stimulation rate and is lacking at the high carrier pulse rates used in CI
processors to deliver speech information. To gain a better understanding of the
neural basis for this degradation, we characterized ITD tuning of single neurons
in the inferior colliculus (IC) for pulse train stimuli in an unanesthetized
rabbit model of bilateral CIs. Approximately 73% of IC neurons showed significant
ITD sensitivity in their overall firing rates. On average, ITD sensitivity was
best for pulse rates near 80-160 pulses per second (pps) and degraded for both
lower and higher pulse rates. The degradation in ITD sensitivity at low pulse
rates was caused by strong, unsynchronized background activity that masked
stimulus-driven responses in many neurons. Selecting synchronized responses by
temporal windowing revealed ITD sensitivity in these neurons. With temporal
windowing, both the fraction of ITD-sensitive neurons and the degree of ITD
sensitivity decreased monotonically with increasing pulse rate. To compare neural
ITD sensitivity to human performance in ITD discrimination, neural just
noticeable differences (JNDs) in ITD were computed using signal detection theory.
Using temporal windowing at lower pulse rates, and overall firing rate at higher
pulse rates, neural ITD JNDs were within the range of perceptual JNDs in human CI
users over a wide range of pulse rates. SIGNIFICANCE STATEMENT: Many profoundly
deaf people wearing cochlear implants (CIs) still face challenges in everyday
situations, such as understanding conversations in noise. Even with CIs in both
ears, they have difficulty making full use of subtle differences in the sounds
reaching the two ears [interaural time difference (ITD)] to identify where the
sound is coming from. This problem is especially acute at the high stimulation
rates used in clinical CI processors. This study provides a better understanding
of ITD processing with bilateral CIs and shows a parallel between human
performance in ITD discrimination and neural responses in the auditory midbrain.
The present study is the first report on binaural properties of auditory neurons
with CIs in unanesthetized animals.
PMID- 27194331
TI - Identification of a Circadian Clock in the Inferior Colliculus and Its
Dysregulation by Noise Exposure.
AB - Circadian rhythms regulate bodily functions within 24 h and long-term disruptions
in these rhythms can cause various diseases. Recently, the peripheral auditory
organ, the cochlea, has been shown to contain a self-sustained circadian clock
that regulates differential sensitivity to noise exposure throughout the day.
Animals exposed to noise during the night are more vulnerable than when exposed
during the day. However, whether other structures throughout the auditory pathway
also possess a circadian clock remains unknown. Here, we focus on the inferior
colliculus (IC), which plays an important role in noise-induced pathologies such
as tinnitus, hyperacusis, and audiogenic seizures. Using PER2::LUC transgenic
mice and real-time bioluminescence recordings, we revealed circadian oscillations
of Period 2 protein in IC explants for up to 1 week. Clock genes (Cry1, Bmal1,
Per1, Per2, Rev-erbalpha, and Dbp) displayed circadian molecular oscillations in
the IC. Averaged expression levels of early-induced genes and clock genes during
24 h revealed differential responses to day or night noise exposure. Rev-erbalpha
and Dbp genes were affected only by day noise exposure, whereas Per1 and Per2
were affected only by night noise exposure. However, the expression of Bdnf was
affected by both day and night noise exposure, suggesting that plastic changes
are unlikely to be involved in the differences in day or night noise sensitivity
in the IC. These novel findings highlight the importance of circadian responses
in the IC and emphasize the importance of circadian mechanisms for understanding
central auditory function and disorders. SIGNIFICANCE STATEMENT: Recent findings
identified the presence of a circadian clock in the inner ear. Here, we present
novel findings that neurons in the inferior colliculus (IC), a central auditory
relay structure involved in sound processing, express a circadian clock as
evidenced at both the mRNA and protein levels. Using a reporter mouse that
expresses a luciferase protein coupled to the core clock protein PERIOD2
(PER2::LUC), we could observe spontaneous circadian oscillations in culture.
Furthermore, we reveal that the mRNA profile of clock-related genes in the IC is
altered differentially by day or night noise exposure. The identification of a
clock in the IC is relevant for understanding the mechanisms underlying
dysfunctions of the IC such as tinnitus, hyperacusis, or audiogenic seizures.
PMID- 27194334
TI - High Working Memory Load Increases Intracortical Inhibition in Primary Motor
Cortex and Diminishes the Motor Affordance Effect.
AB - Motor affordances occur when the visual properties of an object elicit
behaviorally relevant motor representations. Typically, motor affordances only
produce subtle effects on response time or on motor activity indexed by
neuroimaging/neuroelectrophysiology, but sometimes they can trigger action
itself. This is apparent in "utilization behavior," where individuals with
frontal cortex damage inappropriately grasp affording objects. This raises the
possibility that, in healthy-functioning individuals, frontal cortex helps ensure
that irrelevant affordance provocations remain below the threshold for actual
movement. In Experiment 1, we tested this "frontal control" hypothesis by
"loading" the frontal cortex with an effortful working memory (WM) task (which
ostensibly consumes frontal resources) and examined whether this increased EEG
measures of motor affordances to irrelevant affording objects. Under low WM load,
there were typical motor affordance signatures: an event-related
desynchronization in the mu frequency and an increased P300 amplitude for
affording (vs nonaffording) objects over centroparietal electrodes. Contrary to
our prediction, however, these affordance measures were diminished under high WM
load. In Experiment 2, we tested competing mechanisms responsible for the
diminished affordance in Experiment 1. We used paired-pulse transcranial magnetic
stimulation over primary motor cortex to measure long-interval cortical
inhibition. We found greater long-interval cortical inhibition for high versus
low load both before and after the affording object, suggesting that a tonic
inhibition state in primary motor cortex could prevent the affordance from
provoking the motor system. Overall, our results suggest that a high WM load
"sets" the motor system into a suppressed state that mitigates motor affordances.
SIGNIFICANCE STATEMENT: Is an irrelevant motor affordance more likely to be
triggered when you are under low or high cognitive load? We examined this using
physiological measures of the motor affordance while working memory load was
varied. We observed a typical motor affordance signature when working memory load
was low; however, it was abolished when load was high. Further, there was
increased intracortical inhibition in primary motor cortex under high working
memory load. This suggests that being in a state of high cognitive load "sets"
the motor system to be imperturbable to distracting motor influences. This makes
a novel link between working memory load and the balance of excitatory/inhibitory
activity in the motor cortex and potentially has implications for disorders of
impulsivity.
PMID- 27194333
TI - Contour Curvature As an Invariant Code for Objects in Visual Area V4.
AB - Size-invariant object recognition-the ability to recognize objects across
transformations of scale-is a fundamental feature of biological and artificial
vision. To investigate its basis in the primate cerebral cortex, we measured
single neuron responses to stimuli of varying size in visual area V4, a
cornerstone of the object-processing pathway, in rhesus monkeys (Macaca mulatta).
Leveraging two competing models for how neuronal selectivity for the bounding
contours of objects may depend on stimulus size, we show that most V4 neurons
(~70%) encode objects in a size-invariant manner, consistent with selectivity for
a size-independent parameter of boundary form: for these neurons, "normalized"
curvature, rather than "absolute" curvature, provided a better account of
responses. Our results demonstrate the suitability of contour curvature as a
basis for size-invariant object representation in the visual cortex, and posit V4
as a foundation for behaviorally relevant object codes. SIGNIFICANCE STATEMENT:
Size-invariant object recognition is a bedrock for many perceptual and cognitive
functions. Despite growing neurophysiological evidence for invariant object
representations in the primate cortex, we still lack a basic understanding of the
encoding rules that govern them. Classic work in the field of visual shape theory
has long postulated that a representation of objects based on information about
their bounding contours is well suited to mediate such an invariant code. In this
study, we provide the first empirical support for this hypothesis, and its
instantiation in single neurons of visual area V4.
PMID- 27194337
TI - Death Domain Signaling by Disulfide-Linked Dimers of the p75 Neurotrophin
Receptor Mediates Neuronal Death in the CNS.
AB - The p75 neurotrophin receptor (p75(NTR)) mediates neuronal death in response to
neural insults by activating a caspase apoptotic pathway. The oligomeric state
and activation mechanism that enable p75(NTR) to mediate these effects have
recently been called into question. Here, we have investigated mutant mice
lacking the p75(NTR) death domain (DD) or a highly conserved transmembrane (TM)
cysteine residue (Cys(259)) implicated in receptor dimerization and activation.
Neuronal death induced by proneurotrophins or epileptic seizures was assessed and
compared with responses in p75(NTR) knock-out mice and wild-type animals.
Proneurotrophins induced apoptosis of cultured hippocampal and cortical neurons
from wild-type mice, but mutant neurons lacking p75(NTR), only the p75(NTR) DD,
or just Cys(259) were all equally resistant to proneurotrophin-induced neuronal
death. Homo-FRET anisotropy experiments demonstrated that both NGF and proNGF
induce conformational changes in p75(NTR) that are dependent on the TM cysteine.
In vivo, neuronal death induced by pilocarpine-mediated seizures was
significantly reduced in the hippocampus and somatosensory, piriform, and
entorhinal cortices of all three strains of p75(NTR) mutant mice. Interestingly,
the levels of protection observed in mice lacking the DD or only Cys(259) were
identical to those of p75(NTR) knock-out mice even though the Cys(259) mutant
differed from the wild-type receptor in only one amino acid residue. We conclude
that, both in vitro and in vivo, neuronal death induced by p75(NTR) requires the
DD and TM Cys(259), supporting the physiological relevance of DD signaling by
disulfide-linked dimers of p75(NTR) in the CNS. SIGNIFICANCE STATEMENT: A
detailed understanding of the physiological significance of distinct structural
determinants in the p75 neurotrophin receptor (p75(NTR)) is crucial for the
identification of suitable drug targets in this receptor. We have tested the
relevance of the p75(NTR) death domain (DD) and the highly conserved
transmembrane residue Cys(259) for the ability of p75(NTR) to induce apoptosis in
neurons of the CNS using gene-targeted mutant mice. The physiological importance
of these determinants had been contested in some recent in vitro studies. Our
results indicate a requirement for DD signaling by disulfide-linked dimers of
p75(NTR) for neuronal death induced by proneurotrophins and epileptic seizures.
These new mouse models will be useful for clarifying different aspects of
p75(NTR) physiology.
PMID- 27194335
TI - Pallidostriatal Projections Promote beta Oscillations in a Dopamine-Depleted
Biophysical Network Model.
AB - In the basal ganglia, focused rhythmicity is an important feature of network
activity at certain stages of motor processing. In disease, however, the basal
ganglia develop amplified rhythmicity. Here, we demonstrate how the cellular
architecture and network dynamics of an inhibitory loop in the basal ganglia
yield exaggerated synchrony and locking to beta oscillations, specifically in the
dopamine-depleted state. A key component of this loop is the pallidostriatal
pathway, a well-characterized anatomical projection whose function has long
remained obscure. We present a synaptic characterization of this pathway in mice
and incorporate these data into a computational model that we use to investigate
its influence over striatal activity under simulated healthy and dopamine
depleted conditions. Our model predicts that the pallidostriatal pathway
influences striatal output preferentially during periods of synchronized activity
within GPe. We show that, under dopamine-depleted conditions, this effect becomes
a key component of a positive feedback loop between the GPe and striatum that
promotes synchronization and rhythmicity. Our results generate novel predictions
about the role of the pallidostriatal pathway in shaping basal ganglia activity
in health and disease. SIGNIFICANCE STATEMENT: This work demonstrates that
functional connections from the globus pallidus externa (GPe) to striatum are
substantially stronger onto fast-spiking interneurons (FSIs) than onto medium
spiny neurons. Our circuit model suggests that when GPe spikes are synchronous,
this pallidostriatal pathway causes synchronous FSI activity pauses, which allow
a transient window of disinhibition for medium spiny neurons. In simulated
dopamine-depletion, this GPe-FSI activity is necessary for the emergence of
strong synchronization and the amplification and propagation of beta
oscillations, which are a hallmark of parkinsonian circuit dysfunction. These
results suggest that GPe may play a central role in propagating abnormal circuit
activity to striatum, which in turn projects to downstream basal ganglia
structures. These findings warrant further exploration of GPe as a target for
interventions for Parkinson's disease.
PMID- 27194336
TI - Progressive Changes in a Distributed Neural Circuit Underlie Breathing
Abnormalities in Mice Lacking MeCP2.
AB - Rett syndrome (RTT) is a neurodevelopmental disorder caused by mutations in
Methyl-CpG-binding protein 2 (MECP2). Severe breathing abnormalities are common
in RTT and are reproduced in mouse models of RTT. Previously, we found that
removing MeCP2 from the brainstem and spinal cord in mice caused early lethality
and abnormal breathing. To determine whether loss of MeCP2 in functional
components of the respiratory network causes specific breathing disorders, we
used the Cre/LoxP system to differentially manipulate MeCP2 expression throughout
the brainstem respiratory network, specifically within HoxA4-derived tissues,
which include breathing control circuitry within the nucleus tractus solitarius
and the caudal part of ventral respiratory column but do not include more rostral
parts of the breathing control circuitry. To determine whether respiratory
phenotypes manifested in animals with MeCP2 removed from specific pons medullary
respiratory circuits, we performed whole-body plethysmography and
electrophysiological recordings from in vitro brainstem slices from mice lacking
MeCP2 in different circuits. Our results indicate that MeCP2 expression in the
medullary respiratory network is sufficient for normal respiratory rhythm and
preventing apnea. However, MeCP2 expression within components of the breathing
circuitry rostral to the HoxA4 domain are neither sufficient to prevent the
hyperventilation nor abnormal hypoxic ventilatory response. Surprisingly, we
found that MeCP2 expression in the HoxA4 domain alone is critical for survival.
Our study reveals that MeCP2 is differentially required in select respiratory
components for different aspects of respiratory functions, and collectively for
the integrity of this network functions to maintain proper respiration.
SIGNIFICANCE STATEMENT: Breathing abnormalities are a significant clinical
feature in Rett syndrome and are robustly reproduced in the mouse models of this
disease. Previous work has established that alterations in the function of MeCP2,
the protein encoded by the gene mutated in Rett syndrome, within the hindbrain
are critical for control of normal breathing. Here we show that MeCP2 function
plays distinct roles in specific brainstem regions in the genesis of various
aspects of abnormal breathing. This provides insight into the pathogenesis of
these breathing abnormalities in Rett syndrome, which could be used to target
treatments to improve these symptoms. Furthermore, it provides further knowledge
about the fundamental neural circuits that control breathing.
PMID- 27194320
TI - Capillary blood islet autoantibody screening for identifying pre-type 1 diabetes
in the general population: design and initial results of the Fr1da study.
AB - INTRODUCTION: Type 1 diabetes can be diagnosed at an early presymptomatic stage
by the detection of islet autoantibodies. The Fr1da study aims to assess whether
early staging of type 1 diabetes (1) is feasible at a population-based level, (2)
prevents severe metabolic decompensation observed at the clinical manifestation
of type 1 diabetes and (3) reduces psychological distress through preventive
teaching and care. METHODS AND ANALYSIS: Children aged 2-5 years in Bavaria,
Germany, will be tested for the presence of multiple islet autoantibodies.
Between February 2015 and December 2016, 100 000 children will be screened by
primary care paediatricians. Islet autoantibodies are measured in capillary blood
samples using a multiplex three-screen ELISA. Samples with ELISA results >97.5th
centile are retested using reference radiobinding assays. A venous blood sample
is also obtained to confirm the autoantibody status of children with at least two
autoantibodies. Children with confirmed multiple islet autoantibodies are
diagnosed with pre-type 1 diabetes. These children and their parents are invited
to participate in an education and counselling programme at a local diabetes
centre. Depression and anxiety, and burden of early diagnosis are also assessed.
RESULTS: Of the 1027 Bavarian paediatricians, 39.3% are participating in the
study. Overall, 26 760 children have been screened between February 2015 and
November 2015. Capillary blood collection was sufficient in volume for islet
autoantibody detection in 99.46% of the children. The remaining 0.54% had
insufficient blood volume collected. Of the 26 760 capillary samples tested,
0.39% were positive for at least two islet autoantibodies. DISCUSSION: Staging
for early type 1 diabetes within a public health setting appears to be feasible.
The study may set new standards for the early diagnosis of type 1 diabetes and
education. ETHICS DISSEMINATION: The study was approved by the ethics committee
of Technische Universitat Munchen (Nr. 70/14).
PMID- 27194339
TI - Pathogenic Upregulation of Glial Lipocalin-2 in the Parkinsonian Dopaminergic
System.
AB - Lipocalin-2 (LCN2) is a member of the highly heterogeneous secretory protein
family of lipocalins and increases in its levels can contribute to
neurodegeneration in the adult brain. However, there are no reports on the role
of LCN2 in Parkinson's disease (PD). Here, we report for the first time that LCN2
expression is increased in the substantia nigra (SN) of patients with PD. In
mouse brains, 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP) treatment for a
neurotoxin model of PD significantly upregulated LCN2 expression, mainly in
reactive astrocytes in both the SN and striatum. The increased LCN2 levels
contributed to neurotoxicity and neuroinflammation, resulting in disruption of
the nigrostriatal dopaminergic (DA) projection and abnormal locomotor behaviors,
which were ameliorated in LCN2-deficient mice. Similar to the effects of MPTP
treatment, LCN2-induced neurotoxicity was also observed in the 6-hydroxydopamine
(6-OHDA)-treated animal model of PD. Moreover, treatment with the iron donor
ferric citrate (FC) and the iron chelator deferoxamine mesylate (DFO) increased
and decreased, respectively, the LCN2-induced neurotoxicity in vivo In addition
to the in vivo results, 1-methyl-4-phenylpyridinium (MPP(+))-induced
neurotoxicity in cocultures of mesencephalic neurons and astrocytes was reduced
by LCN2 gene deficiency in the astrocytes and conditioned media derived from
MPP(+)-treated SH-SY5Y neuronal enhanced glial expression of LCN2 in vitro
Therefore, our results demonstrate that astrocytic LCN2 upregulation in the
lesioned DA system may play a role as a potential pathogenic factor in PD and
suggest that inhibition of LCN2 expression or activity may be useful in
protecting the nigrostriatal DA system in the adult brain. SIGNIFICANCE
STATEMENT: Lipocalin-2 (LCN2), a member of the highly heterogeneous secretory
protein family of lipocalins, may contribute to neuroinflammation and
neurotoxicity in the brain. However, LCN2 expression and its role in Parkinson's
disease (PD) are largely unknown. Here, we report that LCN2 is upregulated in the
substantia nigra of patients with PD and neurotoxin-treated animal models of PD.
Our results suggest that LCN2 upregulation might be a potential pathogenic
mechanism of PD, which would result in disruption of the nigrostriatal
dopaminergic system through neurotoxic iron accumulation and neuroinflammation.
Therefore, inhibition of LCN2 expression or activity may be useful in protecting
the nigrostriatal dopaminergic projection in PD.
PMID- 27194340
TI - Superior Intraparietal Sulcus Controls the Variability of Visual Working Memory
Precision.
AB - Limitations of working memory (WM) capacity depend strongly on the cognitive
resources that are available for maintaining WM contents in an activated state.
Increasing the number of items to be maintained in WM was shown to reduce the
precision of WM and to increase the variability of WM precision over time.
Although WM precision was recently associated with neural codes particularly in
early sensory cortex, we have so far no understanding of the neural bases
underlying the variability of WM precision, and how WM precision is preserved
under high load. To fill this gap, we combined human fMRI with computational
modeling of behavioral performance in a delayed color-estimation WM task.
Behavioral results replicate a reduction of WM precision and an increase of
precision variability under high loads (5 > 3 > 1 colors). Load-dependent BOLD
signals in primary visual cortex (V1) and superior intraparietal sulcus (IPS),
measured during the WM task at 2-4 s after sample onset, were modulated by
individual differences in load-related changes in the variability of WM
precision. Although stronger load-related BOLD increase in superior IPS was
related to lower increases in precision variability, thus stabilizing WM
performance, the reverse was observed for V1. Finally, the detrimental effect of
load on behavioral precision and precision variability was accompanied by a load
related decline in the accuracy of decoding the memory stimuli (colors) from left
superior IPS. We suggest that the superior IPS may contribute to stabilizing
visual WM performance by reducing the variability of memory precision in the face
of higher load. SIGNIFICANCE STATEMENT: This study investigates the neural bases
of capacity limitations in visual working memory by combining fMRI with cognitive
modeling of behavioral performance, in human participants. It provides evidence
that the superior intraparietal sulcus (IPS) is a critical brain region that
influences the variability of visual working memory precision between and within
individuals (Fougnie et al., 2012; van den Berg et al., 2012) under increased
memory load, possibly in cooperation with perceptual systems of the occipital
cortex. These findings substantially extend our understanding of the nature of
capacity limitations in visual working memory and their neural bases. Our work
underlines the importance of integrating cognitive modeling with univariate and
multivariate methods in fMRI research, thus improving our knowledge of brain
behavior relationships.
PMID- 27194341
TI - Cyclic Nucleotide Control of Microtubule Dynamics for Axon Guidance.
AB - Graded distribution of intracellular second messengers, such as Ca(2+) and cyclic
nucleotides, mediates directional cell migration, including axon navigational
responses to extracellular guidance cues, in the developing nervous system.
Elevated concentrations of cAMP or cGMP on one side of the neuronal growth cone
induce its attractive or repulsive turning, respectively. Although effector
processes downstream of Ca(2+) have been extensively studied, very little is
known about the mechanisms that enable cyclic nucleotides to steer migrating
cells. Here, we show that asymmetric cyclic nucleotide signaling across the
growth cone mediates axon guidance via modulating microtubule dynamics and
membrane organelle transport. In embryonic chick dorsal root ganglion neurons in
culture, contact of an extending microtubule with the growth cone leading edge
induces localized membrane protrusion at the site of microtubule contact. Such a
contact-induced protrusion requires exocytosis of vesicle-associated membrane
protein 7 (VAMP7)-positive vesicles that have been transported centrifugally
along the microtubule. We found that the two cyclic nucleotides counteractively
regulate the frequency of microtubule contacts and targeted delivery of VAMP7
vesicles: cAMP stimulates and cGMP inhibits these events, thereby steering the
growth cone in the opposite directions. By contrast, Ca(2+) signals elicit no
detectable change in either microtubule contacts or VAMP7 vesicle delivery during
Ca(2+)-induced growth cone turning. Our findings clearly demonstrate growth cone
steering machinery downstream of cyclic nucleotide signaling and highlight a
crucial role of dynamic microtubules in leading-edge protrusion for cell
chemotaxis. SIGNIFICANCE STATEMENT: Developing neurons can extend long axons
toward their postsynaptic targets. The tip of each axon, called the growth cone,
recognizes extracellular guidance cues and navigates the axon along the correct
path. Here we show that asymmetric cyclic nucleotide signaling across the growth
cone mediates axon guidance through localized regulation of microtubule dynamics
and resulting recruitment of specific populations of membrane vesicles to the
growth cone's leading edge. Remarkably, cAMP stimulates microtubule growth and
membrane protrusion, whereas cGMP promotes microtubule retraction and membrane
senescence, explaining the opposite directional polarities of growth cone turning
induced by these cyclic nucleotides. This study reveals a novel microtubule-based
mechanism through which cyclic nucleotides polarize the growth cone steering
machinery for bidirectional axon guidance.
PMID- 27194338
TI - Sensory Cortical Activity Is Related to the Selection of a Rhythmic Motor Action
Pattern.
AB - Rats produce robust, highly distinctive orofacial rhythms in response to taste
stimuli-responses that aid in the consumption of palatable tastes and the
ejection of aversive tastes, and that are sourced in a multifunctional brainstem
central pattern generator. Several pieces of indirect evidence suggest that
primary gustatory cortex (GC) may be a part of a distributed forebrain circuit
involved in the selection of particular consumption-related rhythms, although not
in the production of individual mouth movements per se. Here, we performed a
series of tests of this hypothesis. We first examined the temporal relationship
between GC activity and orofacial behaviors by performing paired single-neuron
and electromyographic recordings in awake rats. Using a trial-by-trial analysis,
we found that a subset of GC neurons shows a burst of activity beginning before
the transition between nondistinct and taste-specific (i.e., consumption-related)
orofacial rhythms. We further showed that shifting the latency of consumption
related behavior by selective cueing has an analogous impact on the timing of GC
activity. Finally, we showed the complementary result, demonstrating that
optogenetic perturbation of GC activity has a modest but significant impact on
the probability that a specific rhythm will be produced in response to a strongly
aversive taste. GC appears to be a part of a distributed circuit that governs the
selection of taste-induced orofacial rhythms. SIGNIFICANCE STATEMENT: In many
well studied (typically invertebrate) sensorimotor systems, top-down modulation
helps motor-control regions "select" movement patterns. Here, we provide evidence
that gustatory cortex (GC) may be part of the forebrain circuit that performs
this function in relation to oral behaviors ("gapes") whereby a substance in the
mouth is rejected as unpalatable. We show that GC palatability coding is well
timed to play this role, and that the latency of these codes changes as the
latency of gaping shifts with learning. We go on to show that by silencing these
neurons, we can change the likelihood of gaping. These data help to break down
the sensory/motor divide by showing a role for sensory cortex in the selection of
motor behavior.
PMID- 27194342
TI - Age Is Associated with Reduced Sharp-Wave Ripple Frequency and Altered Patterns
of Neuronal Variability.
AB - Spatial and episodic memory performance declines with age, and the neural basis
for this decline is not well understood. Sharp-wave ripples are brief (~70 ms)
high-frequency oscillatory events generated in the hippocampus and are associated
with the consolidation of spatial memories. Given the connection between ripple
oscillations and memory consolidation, we investigated whether the structure of
ripple oscillations and ripple-triggered patterns of single-unit activity are
altered in aged rats. Local field and single-unit activity surrounding sharp-wave
ripple events were examined in the CA1 region of the hippocampus of old (n = 5)
and young (n = 6) F344 rats during periods of rest preceding and following
performance on a place-dependent eyeblink-conditioning task. Neural responses in
aged rats differed from responses in young rats in several ways. First, compared
with young rats, the rate of ripple occurrence (ripple density) is reduced in
aged rats during postbehavior rest. Second, mean ripple frequency during
prebehavior and postbehavior rest is lower in aged animals (aged: 132 Hz; young:
146 Hz). Third, single neurons in aged animals responded more consistently from
ripple to ripple. Fourth, variability in interspike intervals was greater in aged
rats. Finally, neurons were tuned to a narrower range of phases of the ripple
oscillation relative to young animals. Together, these results suggest that the
CA1 network in aged animals has a reduced "vocabulary" of available
representational states. SIGNIFICANCE STATEMENT: The hippocampus is a structure
that is critical for the formation of episodic memories. Sharp-wave ripple events
generated in the hippocampus have been implicated in memory consolidation
processes critical to memory stabilization. We examine here whether these ripple
oscillations are altered over the course of the life span, which could contribute
to hippocampus-dependent memory deficits that occur during aging. This experiment
used young and aged memory-impaired rats to examine age-related changes in ripple
architecture, ripple-triggered spike variance, and spike-phase coherence. We
found that there are, indeed, significant changes in characteristics of ripples
in older animals that could impact consolidation processes and memory
stabilization in the aged brain.
PMID- 27194343
TI - Dopamine D1-like Receptors Regulate Constitutive, MU-Opioid Receptor-Mediated
Repression of Use-Dependent Synaptic Plasticity in Dorsal Horn Neurons: More Harm
than Good?
AB - The current study reports on a synaptic mechanism through which D1-like receptors
(D1LRs) modulate spinal nociception and plasticity by regulating activation of
the MU-opioid receptor (MOR).D1LR stimulation with agonist SKF 38393
concentration-dependently depressed C-fiber-evoked potentials in rats receiving
spinal nerve ligation (SNL), but not in uninjured rats. Depression was prevented
by MOR- but not GABA-receptor blockade. Neurons expressing the D1 subtype were
immunopositive for met-enkephalin and vesicular glutamate transporter VGLUT2, but
not for GABAergic marker vGAT.Nerve ligation was followed by increased
immunoreactivity for D1 in synaptic compartment (P3) in dorsal horn homogenates
and presynaptic met-enkephalin-containing boutons. SNL led to increased
immunoreactivity for met-enkephalin in dorsal horn homogenates, which was dose
dependently attenuated by selective D1LR antagonist SCH 23390. During blockade of
either D1R or MOR, low-frequency (0.2 or 3 Hz) stimulation (LFS) to the sciatic
nerve induced long-term potentiation (LTP) of C-fiber-evoked potentials,
revealing a constituent role of both receptors in repressing afferent-induced
synaptic plasticity. LFS consistently induced NMDA receptor-dependent LTP in
nerve-injured rats. The ability of MOR both to prevent LTP and to modulate
mechanical and thermal pain thresholds in behavioral tests was preserved in nerve
ligated rats that were postoperatively treated with SCH 23390. D1LR priming for
30 min sufficed to disrupt MOR function in otherwise naive rats via a mechanism
involving receptor overuse.The current data support that, whereas D1LR-modulated
MOR activation is instrumental in antinociception and endogenous repression of
synaptic plasticity, this mechanism deteriorates rapidly by sustained use,
generating increased vulnerability to afferent input. SIGNIFICANCE STATEMENT: The
current study shows that dopamine D1-like receptors (D1LRs) and MU-opioid
receptors (MOR) in the spinal dorsal horn constitutively repress the expression
of synaptic long-term potentiation (LTP) of C-fiber-evoked potentials. Anatomical
data are provided supporting that the D1 subtype regulates MOR function by
modulating met-enkephalin release. Sustained neuropathic pain induced by spinal
nerve ligation is accompanied by D1R and met-enkephalin upregulation, acquired
D1LR-mediated antinociception, and a loss of endogenous repression of further
synaptic plasticity. We show that the ability of MOR to oppose LTP is rapidly
impaired by sustained D1LR activation via a mechanism involving sustained MOR
activation.
PMID- 27194344
TI - In vitro assessment of the combined effect of eicosapentaenoic acid, green tea
extract and curcumin C3 on protein loss in C2C12 myotubes.
AB - EPA has been clinically shown to reduce muscle wasting during cancer cachexia.
This study investigates whether curcumin or green tea extract (GTE) enhances the
ability of low doses of eicosapentaenoic acid (EPA) to reduce loss of muscle
protein in an in vitro model. A low dose of EPA with minimal anti-cachectic
activity was chosen to evaluate any potential synergistic effect with curcumin or
GTE. Depression of protein synthesis and increase in degradation was determined
in C2C12 myotubes in response to tumour necrosis factor-alpha (TNF-alpha) and
proteolysis-inducing factor (PIF). EPA (50 MUM) or curcumin (10 MUg ml(-1)) alone
had little effect on protein degradation caused by PIF but the combination
produced complete inhibition, as did the combination with GTE (10 MUg ml(-1)). In
response to TNF-alpha (25 ng ml(-1))-induced protein degradation, EPA had a
small, but not significant effect on protein degradation; however, when curcumin
and GTE were combined with EPA, the effect was enhanced. EPA completely
attenuated the depression of protein synthesis caused by TNF-alpha, but not that
caused by PIF. The combination of EPA with curcumin produced a significant
increase in protein synthesis to both agents. GTE alone or in combination with
EPA had no effect on the depression of protein synthesis by TNF-alpha, but did
significantly increase protein synthesis in PIF-treated cells. Both TNF-alpha and
PIF significantly reduced myotube diameter from 17 to 13 MUm for TNF-alpha
(23.5%) and 15 MUm (11.8%) for PIF However the triple combination of EPA,
curcumin and GTE returned diameters to values not significantly different from
the control. These results suggest that either curcumin or GTE or the combination
could enhance the anti-catabolic effect of EPA on lean body mass.
PMID- 27194345
TI - Idiosyncratic phenomena during the spontaneous healing of traumatic tympanic
membrane perforations.
PMID- 27194346
TI - Insertion characteristics and placement of the Mid-Scala electrode array in human
temporal bones using detailed cone beam computed tomography.
AB - The aim of this study was to evaluate the insertion results and placement of the
new Advanced Bionics HiFocus Mid-Scala (HFms) electrode array, inserted through
the round window membrane, in eight fresh human temporal bones using cone beam
computed tomography (CBCT). Pre- and post-insertion CBCT scans were registered to
create a 3D reconstruction of the cochlea with the array inserted. With an image
fusion technique both the bony edges of the cochlea and the electrode array in
situ could accurately be determined, thus enabling to identify the exact position
of the electrode array within the scala tympani. Vertical and horizontal scalar
location was measured at four points along the cochlea base at an angular
insertion depth of 90 degrees , 180 degrees and 270 degrees and at electrode
16, the most basal electrode. Smooth insertion through the round window membrane
was possible in all temporal bones. The imaging results showed that there were no
dislocations from the scala tympani into the scala vestibule. The HFms electrode
was positioned in the middle of the scala along the whole electrode array in
three out of the eight bones and in 62 % of the individual locations measured
along the base of the cochlea. In only one cochlea a close proximity of the
electrode with the basilar membrane was observed, indicating possible contact
with the basilar membrane. The results and assessments presented in this study
appear to be highly accurate. Although a further validation including
histopathology is needed, the image fusion technique described in this study
represents currently the most accurate method for intracochlear electrode
assessment obtainable with CBCT.
PMID- 27194347
TI - The Acrosome Reaction: A Historical Perspective.
AB - Acrosome reaction is often referred to as acrosomal exocytosis, but it differs
significantly from normal exocytosis. While the vesicle membrane initially
holding excreting molecules remains on the cell surface during exocytosis, the
outer acrosomal membrane and plasma membrane are lost by forming vesicles during
acrosome reaction. In this context, the latter process resembles a release of
exosome. However, recent experimental data indicate that the most important roles
of acrosome reaction lie not in the release of acrosomal contents (or
"vesiculated" plasma and outer acrosomal membrane complexes) but rather in
changes in sperm membrane. This review describes the mechanism of fertilization
vis-a-vis sperm membrane change, with a brief historical overview of the half
century study of acrosome reaction.
PMID- 27194349
TI - Role of Ion Channels in the Sperm Acrosome Reaction.
AB - The acrosome reaction (AR) is a unique exocytotic process where the acrosome, a
single membrane-delimited specialized organelle, overlying the nucleus in the
sperm head of many species, fuses with the overlying plasma membrane. This
reaction, triggered by physiological inducers from the female gamete, its
vicinity, or other stimuli, discharges the acrosomal content modifying the plasma
membrane, incorporating the inner acrosomal membrane, and exposing it to the
extracellular medium. The AR is essential for sperm-egg coat penetration, fusion
with the eggs' plasma membrane, and fertilization. As in most exocytotic
processes Ca(2+) is crucial for the AR, as well as intracellular pH and membrane
potential changes. Thus, among the required processes needed for this reaction,
ion permeability changes involving channels are pivotal. In spite of the key role
ion channels play in the AR, their identity and regulation is not fully
understood. Though molecular and pharmacological evidence indicates that various
ionic channels participate during the AR, such as store-operated Ca(2+) channels
and voltage-dependent Ca(2+) channels, whole cell patch clamp recordings have
failed to detect some of them until now. Since sperm display a very high
resistance and a minute cytoplasmic volume, very few channels are needed to
achieve large membrane potential and concentration changes. Functional detection
of few channels in the morphologically complex and tiny sperm poses technical
problems, especially when their conductance is very small, as in the case of
SOCs. Single channel recordings and novel fluorescence microscopy strategies will
help to define the participation of ionic channels in the intertwined signaling
network that orchestrates the AR.
PMID- 27194348
TI - The Acrosomal Matrix.
AB - The acrosome, a single exocytotic vesicle on the head of sperm, has an essential
role in fertilization, but the exact mechanisms by which it facilitates sperm-egg
interactions remain unresolved. The acrosome contains dozens of secretory
proteins that are packaged into the forming structure during spermatogenesis;
many of these proteins are localized into specific topographical areas of the
acrosome, while others are more diffusely distributed. Acrosomal proteins can
also be biochemically classified as components of the acrosomal matrix, a large,
relatively insoluble complex, or as soluble proteins. This review focuses on
recent findings using genetically modified mice (gene knockouts and transgenic
"green acrosome" mice) to study the effects of eliminating acrosomal matrix
associated proteins on sperm structure and function. Some gene knockouts produce
infertile phenotypes with obviously missing, specific activities that affect
acrosome biogenesis during spermatogenesis or interfere with acrosome function in
mature sperm. Mutations that delete some components produce fertile phenotypes
with subtler effects that provide useful insights into acrosomal matrix function
in fertilization. In general, these studies enable the reassessment of paradigms
to explain acrosome formation and function and provide novel, objective insights
into the roles of acrosomal matrix proteins in fertilization. The use of
genetically engineered mouse models has yielded new mechanistic information that
complements recent, important in vivo imaging studies.
PMID- 27194350
TI - The Molecules of Sperm Exocytosis.
AB - Exocytosis is a fundamental process used by eukaryotic cells to release
biological compounds and to insert lipids and proteins in the plasma membrane.
Specialized secretory cells undergo regulated exocytosis in response to
physiological signals. Sperm exocytosis or acrosome reaction (AR) is essentially
a regulated secretion with special characteristics. We will focus here on some of
these unique features, covering the topology, kinetics, and molecular mechanisms
that prepare, drive, and regulate membrane fusion during the AR. Last, we will
compare acrosomal release with exocytosis in other model systems.
PMID- 27194351
TI - Sperm Capacitation and Acrosome Reaction in Mammalian Sperm.
AB - Physiological changes that endow mammalian sperm with fertilizing capacity are
known as sperm capacitation. As part of capacitation, sperm develop an
asymmetrical flagellar beating known as hyperactivation and acquire the ability
to undergo the acrosome reaction. Together, these processes promote fertilizing
competence in sperm. At the molecular level, capacitation involves a series of
signal transduction events which include activation of cAMP-dependent
phosphorylation pathways, removal of cholesterol, hyperpolarization of the sperm
plasma membrane, and changes in ion permeability. In recent years, new
technologies have aided in the study of sperm signaling molecules with better
resolution, at both spatial and temporal levels, unraveling how different
cascades integrate and cooperate to render a fertilizing sperm. Despite this new
information, the molecular mechanisms connecting capacitation with acrosomal
exocytosis and hyperactivation are not well understood. This review brings
together results obtained in mammalian species in the field of sperm capacitation
with special focus on those pathways involved in the preparation to undergo the
acrosomal reaction.
PMID- 27194352
TI - Lipid Regulation of Acrosome Exocytosis.
AB - Lipids are critical regulators of mammalian sperm function, first helping prevent
premature acrosome exocytosis, then enabling sperm to become competent to
fertilize at the right place/time through the process of capacitation, and
ultimately triggering acrosome exocytosis. Yet because they do not fit neatly
into the "DNA--RNA-protein" synthetic pathway, they are understudied and poorly
understood. Here, we focus on three lipids or lipid classes-cholesterol,
phospholipids, and the ganglioside G(M1)--in context of the modern paradigm of
acrosome exocytosis. We describe how these various- species are precisely
segregated into membrane macrodomains and microdomains, simultaneously preventing
premature exocytosis while acting as foci for organizing regulatory and effector
molecules that will enable exocytosis. Although the mechanisms responsible for
these domains are poorly defined, there is substantial evidence for their
composition and functions. We present diverse ways that lipids and lipid
modifications regulate capacitation and acrosome exocytosis, describing in more
detail how removal of cholesterol plays a master regulatory role in enabling
exocytosis through at least two complementary pathways. First, cholesterol efflux
leads to proteolytic activation of phospholipase B, which cleaves both
phospholipid tails. The resultant changes in membrane curvature provide a
mechanism for the point fusions now known to occur far before a sperm physically
interacts with the zona pellucida. Cholesterol efflux also enables G(M1) to
regulate the voltage-dependent cation channel, Ca(V)2.3, triggering focal calcium
transients required for acrosome exocytosis in response to subsequent whole-cell
calcium rises. We close with a model integrating functions for lipids in
regulating acrosome exocytosis.
PMID- 27194353
TI - Role of Actin Cytoskeleton During Mammalian Sperm Acrosomal Exocytosis.
AB - Mammalian sperm require to undergo an exocytotic process called acrosomal
exocytosis in order to be able to fuse with the oocyte. This ability is acquired
during the course of sperm capacitation. This review is focused on one aspect
related to this acquisition: the role of the actin cytoskeleton. Evidence from
different laboratories indicates that actin polymerization occurs during
capacitation, and the detection of several actin-related proteins suggests that
the cytoskeleton is involved in important sperm functions. In other mammalian
cells, the cortical actin network acts as a dominant negative clamp which blocks
constitutive exocytosis but, at the same time, is necessary to prepare the cell
to undergo regulated exocytosis. Thus, F-actin stabilizes structures generated by
exocytosis and supports the physiological progression of this process. Is this
also the case in mammalian sperm? This review summarizes what is currently known
about actin and its related proteins in the male gamete, with particular emphasis
on their role in acrosomal exocytosis.
PMID- 27194354
TI - Site of Mammalian Sperm Acrosome Reaction.
AB - Until recently, no special attention has been paid to the question of the site of
mammalian sperm acrosome reaction (AR) in the female reproductive tract. Because
AR is an essential process that enables the spermatozoon to fertilize, it is
generally believed that it occurs at a specific step during sperm-egg
interaction. It is generally thought that "the site of action coincides with the
site of commitment." Thus, understanding the roles of AR and acrosomal substances
is needed to gain insight into the site of the sperm commitment to undergo AR.
PMID- 27194355
TI - Acrosome Reaction as a Preparation for Gamete Fusion.
AB - The acrosome reaction (AR) is a universal requisite for sperm-egg fusion.
However, whereas through the animal kingdom fusion of spermatozoa with the egg
plasma membrane occurs via the inner acrosomal membrane exposed after the AR, in
eutherian mammals, gamete fusion takes place through a specialized region of the
acrosome known as the equatorial segment (ES) which becomes fusogenic only after
the AR is completed. This chapter focuses on the different molecular mechanisms
involved in the acquisition of the fusogenicity of the ES after the AR. We
provide an update of the knowledge about the proteins proposed to have a role in
this process either by modifying cytoskeletal and/or membrane molecules or by
relocalizing to the ES after the AR to subsequently participate in gamete fusion.
PMID- 27194356
TI - Systematic Evaluation of Drug-Loaded Hydrogels for Application in Osteosarcoma
Treatment.
AB - This is a literature review of studies focusing on the preparation of hydrogels
for use as oncological drug delivery systems in the treatment of osteosarcoma
(OS). The databases of the US National Library of Medicine National Institutes of
Health, Embase, OVID, and Cochrane Library, and the references of retrieved
studies, were traced from 1843 to December 21, 2015, without language
restrictions. The obtained data were evaluated by complementary statistical
methods. Potentially relevant studies were found and included in the analysis. OS
specific chemotherapeutic agents can be successfully embedded within the
hydrogels and these drug-loaded hydrogels can be applied locally, rather than
systemically, without organ tissue toxicity. Further, OS-specific drug-loaded
hydrogels significantly increased tumor inhibition and decreased osteolysis and
lung metastases. Drug-loaded hydrogels could be useful in the treatment of OS,
although their development remains at the experimental phase. Following
evaluation of their application in surgery and the completion of drug release
kinetics studies, drug-loaded hydrogels could be tested on living mammals in
large samples with the aim of applying these in clinical settings. In the future,
development of such drug delivery systems and application of targeted approaches
against osteosarcoma and other malignancies may render surgery, radiotherapy and
chemotherapy unnecessary.
PMID- 27194357
TI - H2020 and Beyond: Skip Discrepancy between Theory and Practice of Personalized
Medicine. A Position Paper by the Italian Society of Personalized Medicine.
AB - Many unsolved practical issues, from technical and scientific to ethical, legal
and economic topics, are slowing down the translation of Personalized Medicine
principles into medical practice. The Italian Society of Personalized Medicine
exposes here its point of view, based on the real-world practice of precision
medicine carried-out in Italian healthcare structures.
PMID- 27194358
TI - Microfluidic Devices for Automation of Assays on Drosophila Melanogaster for
Applications in Drug Discovery and Biological Studies.
AB - Drug discovery is a long and expensive process, which usually takes 12-15 years
and could cost up to ~$1 billion. Conventional drug discovery process starts with
high throughput screening and selection of drug candidates that bind to specific
target associated with a disease condition. However, this process does not
consider whether the chosen candidate is optimal not only for binding but also
for ease of administration, distribution in the body, effect of metabolism and
associated toxicity if any. A holistic approach, using model organisms early in
the drug discovery process to select drug candidates that are optimal not only in
binding but also suitable for administration, distribution and are not toxic is
now considered as a viable way for lowering the cost and time associated with the
drug discovery process. However, the conventional drug discovery assays using
Drosophila are manual and required skill operator, which makes them expensive and
not suitable for high-throughput screening. Recently, microfluidics has been used
to automate many of the operations (e.g. sorting, positioning, drug delivery)
associated with the Drosophila drug discovery assays and thereby increase their
throughput. This review highlights recent microfluidic devices that have been
developed for Drosophila assays with primary application towards drug discovery
for human diseases. The microfluidic devices that have been reviewed in this
paper are categorized based on the stage of the Drosophila that have been used.
In each category, the microfluidic technologies behind each device are described
and their potential biological applications are discussed.
PMID- 27194359
TI - Effect of Thermal and Shear Stressors on the Physical Properties, Structural
Integrity and Biological Activity of the Anti-TNF-alpha Monoclonal Antibody,
Infliximab.
AB - The influence of thermal and shear stressors on the stability of the anti-TNF
alpha monoclonal antibody (mAb), Infliximab(r) (INF) was investigated. INF at
concentrations of 1, 4 and 10 mg/ml was subjected to thermal stress at
temperatures of 25-65 degrees C and to shear force by sonication for 1 and 3
minutes. The stressed samples were analysed for physical properties by particle
size, zeta potential, for structural integrity by gel electrophoresis (SDS-PAGE)
and circular dichroism, INF content by UV spectroscopy and for biological
activity by ELISA. Results show no change in physical properties or structural
integrity of INF at any concentration tested, when subjected to a temperature of
up to 50 degrees C. At 65 degrees C, aggregation and precipitation of INF was
observed. When subjected to shear stress, higher concentrations of INF at 4 and
10mg/ml maintained their physical properties and structural integrity. However,
the biological activity of INF was found to decrease with increasing temperature
and sonication time, and was concentration dependent (ANOVA; p<0.05).
Interestingly, lyophilisation of INF at 1mg/ml did not affect its physical
properties, structural integrity or its biological activity. These findings have
important implications with respect to pharmaceutical processing of INF and mAbs
including formulation as polymeric micro and nanoparticle systems for sustained
or targeted delivery. These findings also have important implications with
respect to the handling and storage of INF and mAbs for clinical use.
PMID- 27194360
TI - Recent Developments of Three-Dimensional Paper-Based Electrochemical Devices for
Cancer Cell Detection and Anticancer Drug Screening.
AB - Cancer, as the most serious threat to human health, poses millions of deaths in
the world each year. In vitro cancer cell and biomarker detection is of great
importance for early cancer diagnosis and therapy, which needs highly sensitive,
selective and quantitative diagnosis platforms. Threedimensional paper-based
electrochemical devices (3D-PEDs) have attracted considerable interest in
biomedical fields in recent years based on their simplicity, low cost,
portability, high sensitivity and selectivity. Recently, since 3D-PEDs can
particularly provide in vitro 3D structures to mimic the native 3D cell
microenvironment, they have been used to detect cancer cells and monitor their
responses to anticancer drug treatment in terms of cancer cell concentration,
cancer cell apoptosis and cancer biomarkers. This review discusses the recent
development of 3D-PEDs and their applications in detection of cancer cells and
anticancer drug screening.
PMID- 27194362
TI - Valuing therapeutic alliances with people experiencing acute psychosis.
PMID- 27194361
TI - Empowering Translational Research in Fetal Growth Restriction: Sheep and Swine
Animal Models.
AB - Fetal or intrauterine growth restriction (FGR or IUGR) is a concerning health
issue not only due to its implications in mortality and morbidity of neonates but
also because of its long-term consequences on health and disease risk of the
individuals. Its main cause is an insufficient supply of nutrients and oxygen by
maternal (malnutrition or hypobaric hypoxia) or placental factors (placental
insufficiency) during late gestation, when the requirements of fetus are higher.
The availability of reliable animal models would be highly useful for the future
development of diagnostic, preventive and therapeutic strategies. Most of the
studies using animal models have been performed in rodents, while the use of
large animals (sheep and swine) has been scarce. The objective of the current
review is to offer an overview on the possibilities of using large animals for
conducting translational research on IUGR related to inadequate maternal
conditions and/or placental dysfunction.
PMID- 27194363
TI - Molecular Investigation of the Mechanism of Non-Enzymatic Hydrolysis of Proteins
and the Predictive Algorithm for Susceptibility.
AB - A number of potential degradation routes can limit the shelf life of a
biotherapeutic. While these are experimentally measurable, the tests to do so
require a substantial investment in both time and material, resources rarely
available early in the drug development process. To address the potential
degradation route of non-enzymatic hydrolysis, we performed a molecular modeling
analysis, together with an experimental study, to gain detailed insight into the
reaction. On the basis of the mechanism, an algorithm for predicting the likely
cleavage sites of a protein has been created. This algorithm measures four key
properties during a molecular dynamics simulation, which relate to the key steps
of the hydrolysis mechanism, in particular the rate-determining step (which can
vary depending on the local environment). The first two properties include the
secondary structure and the surface exposure of the amide bond, both of which
help detect if the addition of the proton to the amide bond is possible. The
second two properties relate to whether the side chain can cyclize and form a
furane ring. These two properties are the orientation of the side chain relative
to the amide bond and the number of hydrogen bonds between the side chain and the
surrounding protein. Overall, the algorithm performs well at identifying reactive
versus nonreactive bonds. The algorithm correctly classifies nearly 90% of all
amide bonds following an aspartic or glutamic acid residue as reactive or
nonreactive.
PMID- 27194364
TI - Long-term outcomes of simultaneous guided bone regeneration using native and
cross-linked collagen membranes after 8 years.
AB - OBJECTIVES: To assess the clinical outcomes of simultaneous guided bone
regeneration using native (CM) and cross-linked (VN) collagen membranes at 8
years. MATERIALS AND METHODS: A total of n = 19 patients (19 implants) were
available for the analysis. Each subject had received a simultaneous grafting of
dehiscence-type defects using a natural bone mineral (NBM) and a random
allocation to either CM and VN membranes (submerged healing of 4 months).
Clinical parameters (e.g., bleeding on probing - BOP, probing pocket depth - PD,
mucosal recession - MR, clinical attachment level - CAL) were recorded at 8 years
after prosthesis installation. RESULTS: At 8 years, CM and VN groups revealed
comparable median BOP, PD, MR and CAL values at both vestibular and oral aspects.
From 4 to 8 years (n = 14 patients), median CAL at the vestibular aspect improved
in both groups; however, these changes were significantly higher at CM-treated
sites (CM: 0.7 mm vs. VN: 0.5 mm). CONCLUSION: The clinical long-term outcomes at
8 years were comparable in both VN and CM groups.
PMID- 27194365
TI - Wettability of PEDOT:PSS films.
AB - Conducting polymers such as poly(3,4-ethylenedioxythiophene) polystyrene
sulfonate ( PEDOT: PSS) are increasingly used to interface electronics with
biology. Their wettability, however, remains poorly understood. We show that the
frequently-used sessile drop technique yields results that are strongly dependent
on the experimental conditions (measurement duration and relative humidity), due
to the hydrogel-like behavior of PEDOT: PSS. In contrast, the captive bubble
technique on a fully wet film overcomes these limitations. Dynamic wettability
measurements provide hysteresis values for this polymer and clarify the physical
meaning of the static contact angles measured by sessile drop and captive bubble.
Finally we show that aging the films in water leads to loss of PSS chains which
makes the films more hydrophobic. The addition of a crosslinker reduces and slows
down these effects.
PMID- 27194367
TI - Release of (14)C-labelled carbon nanotubes from polycarbonate composites.
AB - Waste disposal of carbon nanotube (CNT) containing products is expected to be the
most important pathway for release of CNTs into the environment. In the present
work, the use of radiolabelled CNTs ((14)C-CNT) for polycarbonate polymer
nanocomposites with 1 wt% (14)C-CNT content allowed for the first time to
quantify and differentiate the CNT release according to the type of impact along
the materials' ageing history. After an initial exposure of the nanocomposite by
solar-like irradiation, further environmental impacts were applied to composite
material. They aimed at mimicking disposal site conditions that may induce
further ageing effects and CNT release. This study included shaking in water,
rapid temperature changes, soaking in humic acid solution as well as waste water
effluent, and, finally, gentle mechanical abrasion. All ageing impacts were
applied sequentially, both on pristine (control) and on solar-irradiated
nanocomposites. All experiments were accompanied by absolute quantification of
radioactive release as well as chemical and morphological analyses of the
nanocomposite surfaces using infra-red (IR) spectroscopy, X-ray photoelectron
spectroscopy (XPS) and scanning electron microscopy (SEM). The morphological
analysis showed that spectral irradiation can uncover CNT networks on the outer
nanocomposite surface layers by polymer degradation. After having subjected the
solar-irradiated nanocomposite to all studied disposal site effect, the total
radioactive release was quantified to amount to 64 mg CNT/m(2), whereas only 0.8
mg CNT/m(2) were found for the un-irradiated control sample. Solar degradation of
polymers was thus found to significantly increase the propensity of the studied
polymer nanocomposites to release CNTs during ageing effects at the product's end
of-life typical for disposal sites.
PMID- 27194368
TI - Elevated levels of tissue plasminogen activator and E-selectin in male children
with autism spectrum disorder.
AB - Although the etiopathology of autism spectrum disorder (ASD) is not clear, immune
dysfunction has been proposed as a mechanism for the pathophysiology of ASD. The
purpose of this study is to examine serum levels of tissue plasminogen activator
(t-PA) and some adhesion molecules in children with ASD that have not been
investigated previously in detail. The study group included 35 male children aged
from 2 to 9 diagnosed with ASD according to DSM-V criteria. Soluble platelet
endothelial adhesion molecule-1 (sPECAM-1), P-selectin, E-selectin, and t-PA in
the serum were determined with enzyme-linked immunosorbent assay. Autism behavior
check list (ABC) is used for the assessment of ASD severity. The levels of t-PA
(P = 0.025) and E-selectin (P = 0.007) was detected significantly higher in
children with ASD than control group. Serum levels of sPECAM-1 showed
statistically significant negative correlation with sensory, body and object-use,
language, social, and self-help and total scores in the patient group (r =
0.349, P = 0.04; r = -0.411, P = 0.01; r = -0.412, P = 0.01; r = -0.417, P =
0.01, and r = -0.531, P < 0.01, respectively). Serum levels of P-selectin levels
showed statistically significant negative correlation with ABC total score in the
patient group (r = -0.378, P = 0.03). It may be suggested that t-PA, E-selectin,
P-selectin, and sPECAM-1 a crucial role in inflammatory conditions in children
with ASD. Autism Res 2016, 9: 1241-1247. (c) 2016 International Society for
Autism Research, Wiley Periodicals, Inc.
PMID- 27194366
TI - Physico-chemical properties and biological effects of diesel and biomass
particles.
AB - Diesel combustion and solid biomass burning are the major sources of ultrafine
particles (UFP) in urbanized areas. Cardiovascular and pulmonary diseases,
including lung cancer, are possible outcomes of combustion particles exposure,
but differences in particles properties seem to influence their biological
effects. Here the physico-chemical properties and biological effects of diesel
and biomass particles, produced under controlled laboratory conditions, have been
characterized. Diesel UFP were sampled from a Euro 4 light duty vehicle without
DPF fuelled by commercial diesel and run over a chassis dyno. Biomass UFP were
collected from a modern automatic 25 kW boiler propelled by prime quality spruce
pellet. Transmission electron microscopy (TEM) and scanning electron microscopy
(SEM) images of both diesel and biomass samples showed aggregates of soot
particles, but in biomass samples ash particles were also present. Chemical
characterization showed that metals and PAHs total content was higher in diesel
samples compared to biomass ones. Human bronchial epithelial (HBEC3) cells were
exposed to particles for up to 2 weeks. Changes in the expression of genes
involved in xenobiotic metabolism were observed after exposure to both UFP
already after 24 h. However, only diesel particles modulated the expression of
genes involved in inflammation, oxidative stress and epithelial-to-mesenchymal
transition (EMT), increased the release of inflammatory mediators and caused
phenotypical alterations, mostly after two weeks of exposure. These results show
that diesel UFP affected cellular processes involved in lung and cardiovascular
diseases and cancer. Biomass particles exerted low biological activity compared
to diesel UFP. This evidence emphasizes that the study of different emission
sources contribution to ambient PM toxicity may have a fundamental role in the
development of more effective strategies for air quality improvement.
PMID- 27194370
TI - Doxazosin treatment of phaeochromocytoma during pregnancy: placental transfer and
disposition in breast milk.
PMID- 27194369
TI - A Serious Game for Massive Training and Assessment of French Soldiers Involved in
Forward Combat Casualty Care (3D-SC1): Development and Deployment.
AB - BACKGROUND: The French Military Health Service has standardized its military
prehospital care policy in a ''Sauvetage au Combat'' (SC) program (Forward Combat
Casualty Care). A major part of the SC training program relies on simulations,
which are challenging and costly when dealing with more than 80,000 soldiers. In
2014, the French Military Health Service decided to develop and deploy 3D-SC1, a
serious game (SG) intended to train and assess soldiers managing the early steps
of SC. OBJECTIVES: The purpose of this paper is to describe the creation and
production of 3D-SC1 and to present its deployment. METHODS: A group of 10
experts and the Paris Descartes University Medical Simulation Department spin
off, Medusims, coproduced 3D-SC1. Medusims are virtual medical experiences using
3D real-time videogame technology (creation of an environment and avatars in
different scenarios) designed for educational purposes (training and assessment)
to simulate medical situations. These virtual situations have been created based
on real cases and tested on mannequins by experts. Trainees are asked to manage
specific situations according to best practices recommended by SC, and receive a
score and a personalized feedback regarding their performance. RESULTS: The
scenario simulated in the SG is an attack on a patrol of 3 soldiers with an
improvised explosive device explosion as a result of which one soldier dies, one
soldier is slightly stunned, and the third soldier experiences a leg amputation
and other injuries. This scenario was first tested with mannequins in military
simulation centers, before being transformed into a virtual 3D real-time scenario
using a multi-support, multi-operating system platform, Unity. Processes of
gamification and scoring were applied, with 2 levels of difficulty. A
personalized debriefing was integrated at the end of the simulations. The design
and production of the SG took 9 months. The deployment, performed in 3 months,
has reached 84 of 96 (88%) French Army units, with a total of 818 hours of
connection in the first 3 months. CONCLUSIONS: The development of 3D-SC1 involved
a collaborative platform with interdisciplinary actors from the French Health
Service, a university, and videogame industry. Training each French soldier with
simulation exercises and mannequins is challenging and costly. Implementation of
SGs into the training program could offer a unique opportunity at a lower cost to
improve training and subsequently the real-time performance of soldiers when
managing combat casualties; ideally, these should be combined with physical
simulations.
PMID- 27194371
TI - Oxygenation and neuromuscular activation of the quadriceps femoris including the
vastus intermedius during a fatiguing contraction.
AB - INTRODUCTION: The purpose of this study was to assess oxygenation and
neuromuscular activation of the quadriceps femoris muscle group, including the
vastus intermedius (VI), during a fatiguing contraction. METHODS: Eleven healthy
men performed an isometric knee extension at 50% of the maximum voluntary
contraction until failure. Near-infrared spectroscopy was used to measure tissue
oxygen saturation (StO2 ), and surface electromyography was used to quantify the
median frequency (MF) of the four individual quadriceps femoris muscles. RESULTS:
A significant decrease in StO2 began at 25% of time to exhaustion in all four
muscles, and StO2 of the VI was significantly higher than StO2 of the vastus
medialis (VM) from 25% of time to exhaustion until 95% of time to exhaustion. The
MF of the VI did not significantly decrease during the fatiguing task. We found a
significant correlation between ?MF and ?StO2 in the VI (r = 0.745, P<0.01) and a
significant correlation between StO2 and time to exhaustion in the VM and rectus
femoris (RF) (VM, r = 0.684, P<0.05; RF, r = 0.635, P<0.05). CONCLUSION: These
results suggest that muscle O2 saturation in the VI is associated with
neuromuscular fatigue during a fatiguing isometric contraction, and the level of
oxygenation in the VM and RF is a key to sustaining a fatiguing contraction.
PMID- 27194372
TI - Favorable Effects of Oxygen Inhalation in Patients After Bidirectional Glenn
Procedure as Assessed by Cardiovascular Magnetic Resonance Flow Measurement.
AB - BACKGROUND: Home oxygen therapy (HOT) is used to adapt patients to the
bidirectional Glenn (BDG) physiology. However, the precise cardiovascular effect
of oxygen inhalation is still unknown. We used phase-contrast MRI to evaluate the
cardiovascular effects of oxygen inhalation in young patients with BDG
physiology. METHODS AND RESULTS: The 56 sessions of cardiac MRI were performed in
36 patients with BDG circulation. Oxygen saturation (SpO2) and heart rate (HR)
were monitored under both room air and nasal 100% oxygen inhalation, and the
blood flow volumes of the ascending aorta (AA), superior vena cava (SVC), and
inferior vena cava (IVC) were measured by phase-contrast MRI. Systemic-to
pulmonary collateral flow (SPCF) volumes were calculated by subtracting the sum
of flow volumes through the SVC and IVC from the flow volume through the AA, and
used for further comparative examination. Under nasal oxygen inhalation,
SpO2significantly increased from 82% to 89%, while HR decreased from 115 to 110
beats/min. AA (5.0 vs. 4.9 L.min(-1).m(-2)), SVC (1.85 vs. 1.77 L.min(-1).m(-2)),
and systemic blood flow volume (=SVC+IVC) significantly decreased (3.60 vs. 3.46
L.min(-1).m(-2)). In contrast, SPCF and the pulmonary-to-systemic blood flow
ratio (Qp/Qs) remained unchanged. CONCLUSIONS: Oxygen inhalation improved
arterial blood oxygenation and lowered HR in patients with BDG circulation
without an increase in Qp/Qs. HOT would be protective of the cardiovascular
system in patients with BDG circulation. (Circ J 2016; 80: 1378-1385).
PMID- 27194374
TI - Current Treatment Strategy for Spontaneous Isolated Dissection of the Superior
Mesenteric Artery.
PMID- 27194373
TI - Raison d'etre of Tibial Artery Bypass for Intermittent Claudication in the Era of
Endovascular Therapy.
AB - BACKGROUND: There is currently no positive opinion regarding infrapopliteal
revascularization for intermittent claudication (IC) in any guidelines. The aim
of this study was to analyze the outcomes of infragenicular bypass and verify the
adequacy of tibial artery bypass for IC. METHODS AND RESULTS: Over a 21-year
period, 58 below-knee popliteal artery (BKPOP) bypasses and 35 tibial artery
bypasses were performed for IC caused by arteriosclerosis obliterans. Graft
patency and major amputation (MA) were examined as primary endpoints and the
predictor of each outcome was estimated by multivariate analysis. The primary
patency (PP), secondary patency (SP), and freedom from MA (ffMA) rates of a
prosthetic/vein graft in all cases at 5 years were 19/68%, 22/86%, and 78/100%
(P<0.01 in all). Limited to vein graft cases, PP and SP rates of popliteal/tibial
bypass at 5 years were 73/62% (P=0.32) and 92/80% (P=0.22), respectively. In
tibial artery bypass with a vein graft, the PP and SP rates of a single saphenous
vein/spliced vein graft at 5 years were 71/46% (P=0.11) and 89/61% (P=0.03). A
prosthetic graft was a common negative predictor for graft patency and MA by
multivariate analysis. CONCLUSIONS: Tibial artery bypass is an acceptable
treatment option for IC when a single saphenous vein can be harvested as a graft
conduit. (Circ J 2016; 80: 1460-1469).
PMID- 27194375
TI - Management of isolated cricopharyngeal dysfunction: systematic review.
AB - OBJECTIVE: A systematic review was performed to evaluate the safety and efficacy
of different therapeutic interventions available for the management of isolated
cricopharyngeal dysfunction. METHODS: Studies were identified using the following
databases: Ovid (Medline, Embase), the Cochrane Library, PubMed and Google
Scholar. An initial search identified 339 articles. All titles and abstracts were
reviewed. Fifty-six relevant articles were inspected in more detail; of these, 47
were included in the qualitative analysis. RESULTS: No relevant randomised trials
were found. A range of case series were used to perform a qualitative analysis.
Botulinum toxin A injection and cricopharyngeal dilatation were associated with a
higher risk of recurrence, but appear to be more suitable in elderly and co
morbid patients. In those patients requiring formal myotomy, endoscopic
approaches appear to be as effective but less morbid when compared with classical
open surgery. CONCLUSION: There is good evidence for the safety and efficacy of
the different therapeutic options for isolated cricopharyngeal dysfunction.
However, further studies are required to compare the efficacy of the various
treatment modalities.
PMID- 27194377
TI - China's Rural Cooperative Medical Scheme: a type of health insurance or a type of
health cooperative?
AB - The Cooperative Medical Scheme (CMS) was popular in rural China in the 1960s and
1970s, having garnered praise from the World Bank and World Health Organization
as an unprecedented example of a successful health care model in a low-income
developing country. However, the CMS almost collapsed in the 1980s. Based on its
historical origins and main activities, we think the CMS functioned as a health
cooperative rather than a health insurance scheme. Perhaps, however, the
importance to the CMS of cooperation between institutions has been overestimated.
Overlooked, yet equally important, has been the cooperation between health
workers and farmers to target health-related risk factors associated with
agricultural work and ways of life. The 'cooperative' character of the CMS
includes two aspects: cooperative institutions and cooperative behaviour.
Although the CMS collapsed in China, similar schemes are flourishing elsewhere in
the world. In the future, in-depth analysis of these schemes is required.
PMID- 27194376
TI - Mechanistic insight into cadmium-induced inactivation of the Bloom protein.
AB - Cadmium is a toxic metal that inactivates DNA-repair proteins via multiple
mechanisms, including zinc substitution. In this study, we investigated the
effect of Cd(2+) on the Bloom protein (BLM), a DNA-repair helicase carrying a
zinc-binding domain (ZBD) and playing a critical role to ensure genomic
stability. One characteristics of BLM-deficient cells is the elevated rate of
sister chromatid exchanges, a phenomenon that is also induced by Cd(2+). Here, we
show that Cd(2+) strongly inhibits both ATPase and helicase activities of BLM.
Cd(2+) primarily prevents BLM-DNA interaction via its binding to sulfhydryl
groups of solvent-exposed cysteine residues and, concomitantly, promotes the
formation of large BLM multimers/aggregates. In contrast to previously described
Cd(2+) effects on other zinc-containing DNA-repair proteins, the ZBD appears to
play a minor role in the Cd(2+)-mediated inhibition. While the Cd(2+)-dependent
formation of inactive multimers and the defect of DNA-binding were fully
reversible upon addition of EDTA, the inhibition of the DNA unwinding activity
was not counteracted by EDTA, indicating another mechanism of inhibition by
Cd(2+) relative to the targeting of a catalytic residue. Altogether, our results
provide new clues for understanding the mechanism behind the ZBD-independent
inactivation of BLM by Cd(2+) leading to accumulation of DNA double-strand
breaks.
PMID- 27194379
TI - Methodological considerations of electron spin resonance spin trapping techniques
for measuring reactive oxygen species generated from metal oxide nanomaterials.
AB - Qualitative and quantitative analyses of reactive oxygen species (ROS) generated
on the surfaces of nanomaterials are important for understanding their toxicity
and toxic mechanisms, which are in turn beneficial for manufacturing more
biocompatible nanomaterials in many industrial fields. Electron spin resonance
(ESR) is a useful tool for detecting ROS formation. However, using this technique
without first considering the physicochemical properties of nanomaterials and
proper conditions of the spin trapping agent (such as incubation time) may lead
to misinterpretation of the resulting data. In this report, we suggest
methodological considerations for ESR as pertains to magnetism, sample
preparation and proper incubation time with spin trapping agents. Based on our
results, each spin trapping agent should be given the proper incubation time. For
nanomaterials having magnetic properties, it is useful to remove these
nanomaterials via centrifugation after reacting with spin trapping agents.
Sonication for the purpose of sample dispersion and sample light exposure should
be controlled during ESR in order to enhance the obtained ROS signal. This report
will allow researchers to better design ESR spin trapping applications involving
nanomaterials.
PMID- 27194380
TI - Valley-Coherent Hot Carriers and Thermal Relaxation in Monolayer Transition Metal
Dichalcogenides.
AB - We show room-temperature valley coherence in MoS2, MoSe2, WS2, and WSe2
monolayers using linear polarization-resolved hot photoluminescence (PL) at
energies close to the excitation, demonstrating preservation of valley coherence
before sufficient scattering events. The features of the copolarized hot
luminescence allow us to extract the lower bound of the binding energy of the A
exciton in monolayer MoS2 as 0.42 (+/-0.02) eV. The broadening of the PL peak is
found to be dominated by a Boltzmann-type hot luminescence tail, and using the
slope of the exponential decay, the carrier temperature is extracted in situ at
different stages of energy relaxation. The temperature of the emitted optical
phonons during the relaxation process is probed by exploiting the corresponding
broadening of the Raman peaks due to temperature-induced anharmonic effects. The
findings provide a physical picture of photogeneration of valley-coherent hot
carriers and their subsequent energy relaxation pathways.
PMID- 27194378
TI - A Preliminary Study of DBH (Encoding Dopamine Beta-Hydroxylase) Genetic Variation
and Neural Correlates of Emotional and Motivational Processing in Individuals
With and Without Pathological Gambling.
AB - Background and aims Corticostriatal-limbic neurocircuitry, emotional and
motivational processing, dopaminergic and noradrenergic systems and genetic
factors have all been implicated in pathological gambling (PG). However, allelic
variants of genes influencing dopaminergic and noradrenergic neurotransmitters
have not been investigated with respect to the neural correlates of emotional and
motivational states in PG. Dopamine beta-hydroxylase (DBH) converts dopamine to
norepinephrine; the T allele of a functional single-nucleotide polymorphism
rs1611115 (C-1021T) in the DBH gene is associated with less DBH activity and has
been linked to emotional processes and addiction. Here, we investigate the
influence of rs1611115 on the neural correlates of emotional and motivational
processing in PG and healthy comparison (HC) participants. Methods While
undergoing functional magnetic resonance imaging, 18 PG and 25 HC participants,
all European Americans, viewed gambling-, sad-, and cocaine-related videotapes.
Analyses focused on brain activation differences related to DBH genotype (CC/T
carrier [i.e., CT and TT]) and condition (sad/gambling/cocaine). Results CC
participants demonstrated greater recruitment of corticostriatal-limbic regions,
relative to T-carriers. DBH variants were also associated with altered
corticostriatal-limbic activations across the different videotape conditions, and
this association appeared to be driven by greater activation in CC participants
relative to T-carriers during the sad condition. CC relative to T-carrier
subjects also reported greater subjective sadness to the sad videotapes.
Conclusions Individual differences in genetic composition linked to aminergic
function contribute significantly to emotional regulation across diagnostic
groups and warrant further investigation in PG.
PMID- 27194381
TI - Efficacy of Fluidized Bed Bioartificial Liver in Treating Fulminant Hepatic
Failure in Pigs: A Metabolomics Study.
AB - Bioartificial livers may act as a promising therapy for fulminant hepatic failure
(FHF) with better accessibility and less injury compared to orthotopic liver
transplantation. This study aims to evaluate the efficacy and safety of a
fluidized bed bioartificial liver (FBBAL) and to explore its therapeutic
mechanisms based on metabolomics. FHF was induced by D-galactosamine. Eighteen
hours later, pigs were treated with an FBBAL containing encapsulated primary
porcine hepatocytes (B group), with a sham FBBAL (containing cell-free capsules,
S group) or with only intensive care (C group) for 6 h. Serum samples were
assayed using ultra-performance liquid chromatography-mass spectrometry. The
difference in survival time (51.6 +/- 7.9 h vs. 49.3 +/- 6.6 h) and serum
metabolome was negligible between the S and C groups, whereas FBBAL treatment
significantly prolonged survival time (70.4 +/- 11.5h, P < 0.01) and perturbed
the serum metabolome, resulting in a marked decrease in phosphatidylcholines,
lysophosphatidylcholines, sphingomyelinase, and fatty acids and an increase in
conjugated bile acids. The FBBAL exhibits some liver functions and may exert its
therapeutic effect by altering the serum metabolome of FHF pigs. Moreover,
alginate-chitosan capsules have less influence on serum metabolites.
Nevertheless, the alterations were not universally beneficial, revealing that
much should be done to improve the FBBAL.
PMID- 27194382
TI - A common medication for neuropsychiatric illnesses may cause common problems in
pregnancy.
PMID- 27194383
TI - Prehospital systolic blood pressure is higher in acute stroke compared with
stroke mimics.
AB - OBJECTIVE: To assess the natural history of prehospital blood pressure (BP)
during emergency medical services (EMS) transport of suspected stroke and
determine whether prehospital BP differs among types of patients with suspected
stroke (ischemic stroke, TIA, intracerebral hemorrhage [ICH], or stroke mimic).
METHODS: A retrospective, cross-sectional, observational analysis of a
centralized EMS database containing electronic records of patients transported by
EMS to the emergency department (ED) with suspected stroke during an 18-month
period was conducted. Hospital charts and neuroimaging were utilized to determine
the final diagnosis (ischemic stroke, TIA, ICH, or stroke mimic). RESULTS: A
total of 960 patients were transported by EMS to ED with suspected stroke. Stroke
was diagnosed in 544 patients (56.7%) (38.2% ischemic stroke, 12.2% TIA, 5.3%
ICH) and 416 (43.2%) were considered mimics. Age-adjusted mean prehospital
systolic BP (SBP) was higher in acute stroke patients (155.6 mm Hg; 95%
confidence interval [CI]: 153.4-157.9 mm Hg) compared to mimics (146.1 mm Hg; 95%
CI: 142.5-148.6 mm Hg; p < 0.001). Age-adjusted mean prehospital SBP was higher
in ICH (172.3 mm Hg; 95% CI: 165.1-179.7 mm Hg) than in either ischemic stroke or
TIA (154.7 mm Hg; 95% CI: 152.3-157.0 mm Hg; p < 0.001). Median (interquartile
range) SBP drop from initial prehospital SBP to ED SBP was 4 mm Hg (-6 to 17 mm
Hg). Mean prehospital SBP was strongly correlated with ED SBP (r = 0.82, p <
0.001). CONCLUSIONS: Prehospital SBP is higher in acute stroke relative to stroke
mimics and highest in ICH. Given the stability of BP between initial EMS and ED
measurements, it may be reasonable to test the feasibility and safety of
prehospital antihypertensive therapy in patients with suspected acute stroke.
PMID- 27194384
TI - Presymptomatic ALS genetic counseling and testing: Experience and
recommendations.
AB - Remarkable advances in our understanding of the genetic contributions to
amyotrophic lateral sclerosis (ALS) have sparked discussion and debate about
whether clinical genetic testing should routinely be offered to patients with
ALS. A related, but distinct, question is whether presymptomatic genetic testing
should be offered to family members who may be at risk for developing ALS.
Existing guidelines for presymptomatic counseling and testing are mostly based on
small number of individuals, clinical judgment, and experience from other
neurodegenerative disorders. Over the course of the last 8 years, we have
provided testing and 317 genetic counseling sessions (including predecision,
pretest, posttest, and ad hoc counseling) to 161 first-degree family members
participating in the Pre-Symptomatic Familial ALS Study (Pre-fALS), as well as
testing and 75 posttest counseling sessions to 63 individuals with familial ALS.
Based on this experience, and the real-world challenges we have had to overcome
in the process, we recommend an updated set of guidelines for providing
presymptomatic genetic counseling and testing to people at high genetic risk for
developing ALS. These recommendations are especially timely and relevant given
the growing interest in studying presymptomatic ALS.
PMID- 27194385
TI - Pregnancy outcome following maternal exposure to pregabalin may call for concern.
AB - OBJECTIVE: To investigate pregnancy outcomes following maternal use of
pregabalin. METHODS: This multicenter, observational prospective cohort study
compared pregnancy outcomes in women exposed to pregabalin with those of matched
controls (not exposed to any medications known to be teratogenic or to any
antiepileptic drugs). Teratology Information Services systematically collected
data between 2004 and 2013. RESULTS: Data were collected from 164 exposed
pregnancies and 656 controls. A significantly higher major birth defect rate in
the pregabalin group was observed after exclusion of chromosomal aberration
syndromes, and when cases with exposure during first trimester of pregnancy were
analyzed separately (7/116 [6.0%] vs 12/580 [2.1%]; odds ratio 3.0, 95%
confidence interval 1.2-7.9, p = 0.03). The rate of live births was lower in the
pregabalin group (71.9% vs 85.2%, p < 0.001), primarily due to a higher rate of
both elective (9.8% vs 5.0%, p = 0.02) and medically indicated (5.5% vs 1.8%, p =
0.008) pregnancy terminations. In the Cox proportional cause specific hazards
model, pregabalin exposure was not associated with a significantly higher risk of
spontaneous abortion. CONCLUSIONS: This study demonstrated a signal for increased
risk of major birth defects after first trimester exposure to pregabalin.
However, several limitations such as the small sample size, differences across
groups in maternal conditions, and concomitant medication exposure exclude
definitive conclusions, so these results call for confirmation through
independent studies.
PMID- 27194386
TI - Investigating the role of cortisol and growth hormone in fatty liver development:
fatty liver index in patients with pituitary adenomas.
AB - PURPOSE: Non-alcoholic fatty liver disease (NAFLD) is a hallmark of the metabolic
syndrome and has been shown to be an independent predictor of cardiovascular
mortality. Although glucocorticoids and growth hormone are known to be implicated
in its pathophysiology, it has only rarely been investigated in the context of
patients with pituitary insufficiency or former cortisol excess. METHODS: Case
control study in patients with biochemically controlled Cushing's disease (CD; N
= 33) and non-functioning pituitary adenomas (NFPA; N = 79). NAFLD was estimated
by calculating the fatty liver index (FLI) including BMI, waist circumference,
GGT and triglyceride levels. RESULTS: Although there was no difference in FLI
between patients with NFPA and CD, we identified average daily hydrocortisone
(HC) intake in those with adrenal insufficiency to be an independent predictor of
FLI (beta = 1.124; p = 0.017), even after adjusting for BMI and waist
circumference. In line, those with a FLI > 60 were also taking in average
significantly more HC per day than those with a score <60 (21.05 mg +/- 5.9 vs.
17.9 mg +/- 4.4; p = 0.01). FLI was also the best independent predictor for HbA1c
and fasting glucose levels (both p = 0.001). Growth hormone deficiency and
replacement therapy were not associated with FLI in either group. CONCLUSIONS:
While HC dosage affects FLI as an estimate of NFLD in patients with CD and NFPA,
the benefit of GH replacement still needs to be determined. In contrast to
reports in CD patients with active disease, NAFLD in those with biochemical
control was not different from NFPA patients.
PMID- 27194387
TI - Structural basis of omalizumab therapy and omalizumab-mediated IgE exchange.
AB - Omalizumab is a widely used therapeutic anti-IgE antibody. Here we report the
crystal structure of the omalizumab-Fab in complex with an IgE-Fc fragment. This
structure reveals the mechanism of omalizumab-mediated inhibition of IgE
interactions with both high- and low-affinity IgE receptors, and explains why
omalizumab selectively binds free IgE. The structure of the complex also provides
mechanistic insight into a class of disruptive IgE inhibitors that accelerate the
dissociation of the high-affinity IgE receptor from IgE. We use this structural
data to generate a mutant IgE-Fc fragment that is resistant to omalizumab
binding. Treatment with this omalizumab-resistant IgE-Fc fragment, in combination
with omalizumab, promotes the exchange of cell-bound full-length IgE with
omalizumab-resistant IgE-Fc fragments on human basophils. This combination
treatment also blocks basophil activation more efficiently than either agent
alone, providing a novel approach to probe regulatory mechanisms underlying IgE
hypersensitivity with implications for therapeutic interventions.
PMID- 27194390
TI - Primary hyperparathyroidism.
PMID- 27194388
TI - Targeting human respiratory syncytial virus transcription anti-termination factor
M2-1 to inhibit in vivo viral replication.
AB - Human respiratory syncytial virus (hRSV) is a leading cause of acute lower
respiratory tract infection in infants, elderly and immunocompromised
individuals. To date, no specific antiviral drug is available to treat or prevent
this disease. Here, we report that the Smoothened receptor (Smo) antagonist
cyclopamine acts as a potent and selective inhibitor of in vitro and in vivo hRSV
replication. Cyclopamine inhibits hRSV through a novel, Smo-independent
mechanism. It specifically impairs the function of the hRSV RNA-dependent RNA
polymerase complex notably by reducing expression levels of the viral anti
termination factor M2-1. The relevance of these findings is corroborated by the
demonstration that a single R151K mutation in M2-1 is sufficient to confer virus
resistance to cyclopamine in vitro and that cyclopamine is able to reduce virus
titers in a mouse model of hRSV infection. The results of our study open a novel
avenue for the development of future therapies against hRSV infection.
PMID- 27194391
TI - Zinc- and copper-porphyrins in aqueous solution - two similar complexes with
strongly contrasting hydration.
AB - We present detailed analysis of the hydration behavior of zinc and copper bound
porphyrins treated via ab initio quantum mechanical charge field molecular
dynamics which agrees well with available experimental data. The computed metal
water coordination in the case of zinc bound porphyrin demonstrates a strong
association of water with zinc compared to the copper-water interaction which
correlates well with the calculated free energy of binding. The H-bond dynamics
in these hydrated systems yield weaker H-bond interactions as compared to that
observed in the case of metal-free porphyrin; nevertheless, the effect of metal
association with porphyrin resulted in shifts in the vibrational frequencies.
These characteristic data suggest a contrasting behavior between these
metalloporphyrins in solution which could also serve to correlate with the
properties of biological systems.
PMID- 27194389
TI - Irc3 is a mitochondrial DNA branch migration enzyme.
AB - Integrity of mitochondrial DNA (mtDNA) is essential for cellular energy
metabolism. In the budding yeast Saccharomyces cerevisiae, a large number of
nuclear genes influence the stability of mitochondrial genome; however, most
corresponding gene products act indirectly and the actual molecular mechanisms of
mtDNA inheritance remain poorly characterized. Recently, we found that a
Superfamily II helicase Irc3 is required for the maintenance of mitochondrial
genome integrity. Here we show that Irc3 is a mitochondrial DNA branch migration
enzyme. Irc3 modulates mtDNA metabolic intermediates by preferential binding and
unwinding Holliday junctions and replication fork structures. Furthermore, we
demonstrate that the loss of Irc3 can be complemented with mitochondrially
targeted RecG of Escherichia coli. We suggest that Irc3 could support the
stability of mtDNA by stimulating fork regression and branch migration or by
inhibiting the formation of irregular branched molecules.
PMID- 27194392
TI - Force generation and wing deformation characteristics of a flapping-wing micro
air vehicle 'DelFly II' in hovering flight.
AB - The study investigates the aerodynamic performance and the relation between wing
deformation and unsteady force generation of a flapping-wing micro air vehicle in
hovering flight configuration. Different experiments were performed where fluid
forces were acquired with a force sensor, while the three-dimensional wing
deformation was measured with a stereo-vision system. In these measurements, time
resolved power consumption and flapping-wing kinematics were also obtained under
both in-air and in-vacuum conditions. Comparison of the results for different
flapping frequencies reveals different wing kinematics and deformation
characteristics. The high flapping frequency case produces higher forces
throughout the complete flapping cycle. Moreover, a phase difference occurs in
the variation of the forces, such that the low flapping frequency case precedes
the high frequency case. A similar phase lag is observed in the temporal
evolution of the wing deformation characteristics, suggesting that there is a
direct link between the two phenomena. A considerable camber formation occurs
during stroke reversals, which is mainly determined by the stiffener orientation.
The wing with the thinner surface membrane displays very similar characteristics
to the baseline wing, which implies the dominance of the stiffeners in terms of
providing rigidity to the wing. Wing span has a significant effect on the
aerodynamic efficiency such that increasing the span length by 4 cm results in a
6% enhancement in the cycle-averaged X-force to power consumption ratio compared
to the standard DelFly II wings with a span length of 28 cm.
PMID- 27194393
TI - A randomized controlled trial of self-regulated modified constraint-induced
movement therapy in sub-acute stroke patients.
AB - BACKGROUND AND PURPOSE: Emerging research suggests the use of self-regulation
(SR) for improving functional regain in patients post stroke. SR is proposed to
produce an added effect to effective modified constraint-induced movement therapy
(mCIMT). This study aimed to examine the effect of a self-regulated mCIMT
programme (SR-mCIMT) for functional regain in patients with sub-acute stroke.
METHODS: Eighty-six patients completed the trial: SR-mCIMT, n = 29; mCIMT, n =
31; or conventional functional rehabilitation, n = 26. All interventions were 2
week therapist-guided training. Outcome measurements, taken by a blinded
assessor, examined arm function [Action Research Arm Test (ARAT), Fugl-Meyer
Assessment (FMA)], daily task performance [Lawton Instrumental Activities of
Daily Living Scale (Lawton IADL)] and self-perceived arm use in functional tasks
[Motor Activity Log (MAL)]. RESULTS: Significant differences were found with the
SR-mCIMT outperforming the other groups after the intervention (ARAT, P = 0.006;
FMA, Lawton IADL and MAL, all Ps < 0.001). In terms of the carry-over effect, the
SR-mCIMT group outperformed in the hand and coordination subscales of ARAT and
FMA (P = 0.012-0.013) and the self-perceived quality of arm use (P = 0.002).
CONCLUSION: A combination of SR and mCIMT could produce an added effect in
functional regain in patients post stroke.
PMID- 27194396
TI - Preface.
PMID- 27194395
TI - A Thioether-Stabilized d-Proline-l-Proline-Induced beta-Hairpin Peptide of
Defensin Segment Increases Its Anti-Candida albicans Ability.
AB - We report a beta-hairpin dual stabilizing strategy: a d-proline-l-proline (d-Pro
l-Pro) dipeptide as the nucleating turn, and a thioether tether as a side-chain
linkage at a precisely designed position to stabilize the beta-hairpin. This
method was used to modify the C-terminal beta-hairpin moiety of the plant
defensin, pv-defensin, in order to obtain a stabilized peptide with enhanced anti
Candida albicans activity (MIC 84-3.0 MUm), high serum stability (50 % remaining
after 48 h) and low hemolysis (<10 % at 152 MUm). This modified peptide
penetrated the C. albicans cell membrane within 5 min and showed high activity
against clinically isolated antibiotic-resistant C. albicans and Candida glabrata
strains.
PMID- 27194394
TI - Risk of extracolonic cancers for people with biallelic and monoallelic mutations
in MUTYH.
AB - Germline mutations in the DNA base excision repair gene MUTYH are known to
increase a carrier's risk of colorectal cancer. However, the risks of other
(extracolonic) cancers for MUTYH mutation carriers are not well defined. We
identified 266 probands (91% Caucasians) with a MUTYH mutation (41 biallelic and
225 monoallelic) from the Colon Cancer Family Registry. Mutation status, sex, age
and histories of cancer from their 1,903 first- and 3,255 second-degree relatives
were analyzed using modified segregation analysis conditioned on the
ascertainment criteria. Compared with incidences for the general population,
hazard ratios (HRs) (95% confidence intervals [CIs]) for biallelic MUTYH mutation
carriers were: urinary bladder cancer 19 (3.7-97) and ovarian cancer 17 (2.4
115). The HRs (95% CI) for monoallelic MUTYH mutation carriers were: gastric
cancer 9.3 (6.7-13); hepatobiliary cancer 4.5 (2.7-7.5); endometrial cancer 2.1
(1.1-3.9) and breast cancer 1.4 (1.0-2.0). There was no evidence for an increased
risk of cancers at the other sites examined (brain, pancreas, kidney or
prostate). Based on the USA population incidences, the estimated cumulative risks
(95% CI) to age 70 years for biallelic mutation carriers were: bladder cancer 25%
(5-77%) for males and 8% (2-33%) for females and ovarian cancer 14% (2-65%). The
cumulative risks (95% CI) for monoallelic mutation carriers were: gastric cancer
5% (4-7%) for males and 2.3% (1.7-3.3%) for females; hepatobiliary cancer 3% (2
5%) for males and 1.4% (0.8-2.3%) for females; endometrial cancer 3% (2%-6%) and
breast cancer 11% (8-16%). These unbiased estimates of both relative and absolute
risks of extracolonic cancers for people, mostly Caucasians, with MUTYH mutations
will be important for their clinical management.
PMID- 27194397
TI - The pharmacokinetics of dronedarone in normolipidemic and hyperlipidemic rats.
AB - The objectives of the current study were to characterize the pharmacokinetic
profile of dronedarone in the rat, and to examine the effect of hyperlipidemia on
its pharmacokinetics. Single doses of dronedarone were administered to rats
intravenously (4 mg/kg), orally (55 mg/kg) and intraperitoneally (65 mg/kg). To
induce hyperlipidemia, some of the rats were administered intraperitoneal doses
of poloxamer 407 before giving an oral dose of dronedarone. After intravenous
doses of 4 mg/kg dronedarone, plasma clearance and volume of distribution at
steady-state were 25.1 +/- 8.09 mL/min/kg and 10.8 +/- 4.77 L/kg, respectively.
After oral doses the maximum plasma concentrations (Cmax) and their median time
of attainment (tmax) were 1.87 +/- 1.65 mg/mL and 3.5 h, respectively.
Intraperitoneal administration of 65 mg/kg dronedarone base yielded plasma Cmax
and median tmax of 0.816 +/- 0.611 mg/mL and 3 h, respectively. Protein binding
was high in NL and HL plasma. Dronedarone is extensively distributed with high
volume of distribution in the rat. The drug showed poor bioavailability (<20%)
after oral and intraperitoneal administration. The increased plasma
concentrations after oral dosing to hyperlipidemic rats appears to be
attributable to a direct effect on metabolizing enzymes or transport proteins.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27194398
TI - Reduction of Tubulin Expression in Angomonas deanei by RNAi Modifies the
Ultrastructure of the Trypanosomatid Protozoan and Impairs Division of Its
Endosymbiotic Bacterium.
AB - In the last two decades, RNA interference pathways have been employed as a useful
tool for reverse genetics in trypanosomatids. Angomonas deanei is a nonpathogenic
trypanosomatid that maintains an obligatory endosymbiosis with a bacterium
related to the Alcaligenaceae family. Studies of this symbiosis can help us to
understand the origin of eukaryotic organelles. The recent elucidation of both
the A. deanei and the bacterium symbiont genomes revealed that the host protozoan
codes for the enzymes necessary for RNAi activity in trypanosomatids. Here, we
tested the functionality of the RNAi machinery by transfecting cells with dsRNA
to a reporter gene (green fluorescent protein), which had been previously
expressed in the parasite and to alpha-tubulin, an endogenous gene. In both
cases, protein expression was reduced by the presence of specific dsRNA,
inducing, respectively, a decreased GFP fluorescence and the formation of
enlarged cells with modified arrangement of subpellicular microtubules.
Furthermore, symbiont division was impaired. These results indicate that the RNAi
system is active in A. deanei and can be used to further explore gene function in
symbiont-containing trypanosomatids and to clarify important aspects of symbiosis
and cell evolution.
PMID- 27194400
TI - Fecal microbiota transplantation for the intestinal decolonization of extensively
antimicrobial-resistant opportunistic pathogens: a review.
AB - Treatment options for multidrug-resistant (MDR) bacterial infections are limited
and often less effective. Non-pharmacologic approaches to preventing or treating
MDR infections are currently restricted to improved antimicrobial stewardship and
infection control practices. Fecal microbiota transplantation (FMT), a highly
effective treatment for recurrent Clostridium difficile infection, has emerged as
a promising therapy for intestinal MDR bacterial decolonization. A total of eight
case reports have been published showing FMT resulted in intestinal
decolonization of extended spectrum beta-lactamase (ESBL)-producing and
carbapenemase-producing Enterobacteriaceae, vancomycin-resistant Enterococci, or
methicillin-resistant Staphylococcus aureus. The procedure has been shown to work
even in immunocompromised patients and those experiencing medical crises without
any adverse events. Five trials are currently underway to further investigate the
use of FMT for MDR bacterial decolonization. FMT is a completely novel way to
eradicate drug-resistant bacteria from the intestinal reservoir and should be
further investigated to address the global problem of difficult-to-treat, MDR
bacterial infections.
PMID- 27194401
TI - Estimation of lumbar spinal loading and trunk muscle forces during asymmetric
lifting tasks: application of whole-body musculoskeletal modelling in OpenSim.
AB - Large spinal compressive force combined with axial torsional shear force during
asymmetric lifting tasks is highly associated with lower back injury (LBI). The
aim of this study was to estimate lumbar spinal loading and muscle forces during
symmetric lifting (SL) and asymmetric lifting (AL) tasks using a whole-body
musculoskeletal modelling approach. Thirteen healthy males lifted loads of 7 and
12 kg under two lifting conditions (SL and AL). Kinematic data and ground
reaction force data were collected and then processed by a whole-body
musculoskeletal model. The results show AL produced a significantly higher peak
lateral shear force as well as greater peak force of psoas major, quadratus
lumborum, multifidus, iliocostalis lumborum pars lumborum, longissimus thoracis
pars lumborum and external oblique than SL. The greater lateral shear forces
combined with higher muscle force and asymmetrical muscle contractions may have
the biomechanical mechanism responsible for the increased risk of LBI during AL.
Practitioner Summary: Estimating lumbar spinal loading and muscle forces during
free-dynamic asymmetric lifting tasks with a whole-body musculoskeletal modelling
in OpenSim is the core value of this research. The results show that certain
muscle groups are fundamentally responsible for asymmetric movement, thereby
producing high lumbar spinal loading and muscle forces, which may increase risks
of LBI during asymmetric lifting tasks.
PMID- 27194399
TI - Cre-dependent DREADD (Designer Receptors Exclusively Activated by Designer Drugs)
mice.
AB - DREADDs, designer receptors exclusively activated by designer drugs, are
engineered G protein-coupled receptors (GPCR) which can precisely control GPCR
signaling pathways (for example, Gq, Gs, and Gi). This chemogenetic technology
for control of GPCR signaling has been successfully applied in a variety of in
vivo studies, including in mice, to remotely control GPCR signaling, for example,
in neurons, glia cells, pancreatic beta-cells, or cancer cells. In order to fully
explore the in vivo applications of the DREADD technology, we generated hM3Dq and
hM4Di strains of mice which allow for Cre recombinase-mediated restricted
expression of these pathway-selective DREADDs. With the many Cre driver lines now
available, these DREADD lines will be applicable to studying a wide array of
research and preclinical questions. genesis 54:439-446, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27194402
TI - Chordoid Glioma of Third Ventricle With an Epidermoid Cyst: Coexistence or Common
Histogenesis?
AB - Chordoid glioma (CG) is a World Health Organization classified grade II tumor
located exclusively in the region of anterior third ventricle. Association of CG
with other lesions is extremely rare. We report a case of CG in a 45-year-old
male coexisting with an epidermoid cyst in the third ventricle. Ultrastructural
examination of the CG revealed microvilli, junctional complexes, and intermediate
filaments within the cytoplasm suggesting origin from specialized ependyma. The
association of the 2 lesions appears coincidental as convincing evidence for a
common histogenesis was not found.
PMID- 27194403
TI - A randomized-controlled trial of high- or low-volume intravenous Plasma-Lyte((r))
to prevent hypotension during sedation for colonoscopy.
AB - PURPOSE: The purpose of this study was to compare the incidence of hypotension
during sedation in adults presenting for elective colonoscopy and randomized to
intravenous Plasma-Lyte 148((r)) at either 2 mL.kg(-1) (low volume) or 20 mL.kg(
1) (high volume). METHODS: Patients aged >= 18 yr presenting for elective
colonoscopy, with or without gastroscopy, after oral bowel preparation were
randomized to receive the intervention immediately before the start of the
procedure. Hypotension was defined as a >= 25% decrease in systolic blood
pressure (SBP) from baseline during the procedure. Secondary outcomes included
SBP < 90 mmHg, lowest SBP during sedation, duration of hypotension, use of
vasopressors, postoperative outcomes, and cost. RESULTS: Seventy-five patients
were randomly allocated to either the low-volume or high-volume group,
respectively (total n = 150). The incidence of hypotension was similar in the two
groups (59% vs 56%, respectively; odds ratio, 0.90; 95% confidence interval, 0.47
to 1.71; P = 0.74). The incidence of SBP < 90 mmHg, the lowest SBP during
sedation, the duration of hypotension, the use of vasopressors, and postoperative
outcomes were also similar in the two groups. CONCLUSIONS: This study does not
support the routine use of 20 mL.kg(-1) of intravenous Plasma-Lyte 148 to prevent
hypotension and other complications during sedation for elective colonoscopy in
adult patients. Clinical Trials Registry (ANZCTR 12615001288516).
PMID- 27194404
TI - Circumcision of male infants and children as a public health measure in developed
countries: A critical assessment of recent evidence.
AB - In December of 2014, an anonymous working group under the United States' Centers
for Disease Control and Prevention (CDC) issued a draft of the first-ever federal
recommendations regarding male circumcision. In accordance with the American
Academy of Pediatrics' circumcision policy from 2012 - but in contrast to the
more recent 2015 policy from the Canadian Paediatric Society as well as prior
policies (still in force) from medical associations in Europe and Australasia -
the CDC suggested that the benefits of the surgery outweigh the risks. In this
article, we provide a brief scientific and conceptual analysis of the CDC's
assessment of benefit versus risk, and argue that it deserves a closer look.
Although we set aside the burgeoning bioethical debate surrounding the moral
permissibility of performing non-therapeutic circumcisions on healthy minors, we
argue that, from a scientific and medical perspective, current evidence suggests
that such circumcision is not an appropriate public health measure for developed
countries such as the United States.
PMID- 27194405
TI - Fructose, but not glucose, impairs insulin signaling in the three major insulin
sensitive tissues.
AB - Human studies support the relationship between high intake of fructose-sweetened
beverages and type 2 diabetes, but there is a debate on whether this effect is
fructose-specific or it is merely associated to an excessive caloric intake. Here
we investigate the effects of 2 months' supplementation to female rats of
equicaloric 10% w/v fructose or glucose solutions on insulin sensitivity in
target tissues. Fructose supplementation caused hepatic deposition of
triglycerides and changed the fatty acid profile of this fraction, with an
increase in monounsaturated and a decrease in polyunsaturated species, but did
not cause inflammation and oxidative stress. Fructose but not glucose
supplemented rats displayed an abnormal glucose tolerance test, and did not show
increased phosphorylation of V-akt murine thymoma viral oncogene homolog-2 (Akt)
in white adipose tissue and liver after insulin administration. In skeletal
muscle, phosphorylation of Akt and of Akt substrate of 160 kDA (AS160) was not
impaired but the expression of the glucose transporter type 4 (GLUT4) in the
plasma membrane was reduced only in fructose-fed rats. In conclusion, fructose
but not glucose supplementation causes fatty liver without inflammation and
oxidative stress and impairs insulin signaling in the three major insulin
responsive tissues independently from the increase in energy intake.
PMID- 27194406
TI - Transfer of Two-Dimensional Oligonucleotide Patterns onto Stereocontrolled
Plasmonic Nanostructures through DNA-Origami-Based Nanoimprinting Lithography.
AB - The precise functionalization of self-assembled nanostructures with spatial and
stereocontrol is a major objective of nanotechnology and holds great promise for
many applications. Herein, the nanoscale addressability of DNA origami was
exploited to develop a precise copy-machine-like platform that can transfer two
dimensional oligonucleotide patterns onto the surface of gold nanoparticles
(AuNPs) through a deliberately designed toehold-initiated DNA displacement
reaction. This strategy of DNA-origami-based nanoimprinting lithography (DONIL)
demonstrates high precision in controlling the valence and valence angles of
AuNPs. These DNA-decorated AuNPs act as precursors in the construction of
discrete AuNP clusters with desired chirality.
PMID- 27194407
TI - Microbial translocation and skeletal muscle in young and old vervet monkeys.
AB - Intestinal barrier dysfunction leads to microbial translocation (MT) and
inflammation in vertebrate and invertebrate animal models. Age is recently
recognized as a factor leading to MT, and in some human and animal model studies,
MT was associated with physical function. We evaluated sarcopenia, inflammation,
MT biomarkers, and muscle insulin sensitivity in healthy female vervet monkeys (6
27 years old). Monkeys were fed consistent diets and had large and varied
environments to facilitate physical activity, and stable social conditions. Aging
led to sarcopenia as indicated by reduced walking speeds and muscle mass, but
general metabolic health was similar in older monkeys (n = 25) as compared to
younger ones (n = 26). When older monkeys were physically active, their MT burden
approximated that in young monkeys; however, when older monkeys were sedentary,
MT burden was dramatically increased. MT levels were positively associated with
inflammatory burden and negatively associated with skeletal muscle insulin
sensitivity. Time spent being active was positively associated with insulin
sensitivity as expected, but this relationship was specifically modified by the
individual monkey's MT, not inflammatory burden. Our data supports clinical
observations that MT interacts with physical function as a factor in healthy
aging.
PMID- 27194408
TI - Inflammatory insult during pregnancy accelerates age-related behavioral and
neurobiochemical changes in CD-1 mice.
AB - Data shows that inflammation during pregnancy significantly exerts a long-term
influence on offspring, such as increasing the risk of adult cognition decline in
animals. However, it is unclear whether gestational inflammation affects the
neurobehavioral and neurobiochemical outcomes in the mother-self during aging. In
this study, pregnant CD-1 mice intraperitoneally received lipopolysaccharide
(LPS) in two doses (25 and 50 g/kg, respectively) or normal saline daily during
gestational days 15-17. At the age of 15 months, a battery of behavioral tasks
was employed to evaluate their species-typical behaviors, sensorimotor ability,
anxiety levels, and spatial learning and memory abilities. An immunohistochemical
method was utilized preliminarily to detect neurobiochemical indicators
consisting of amyloid-beta, phosphorylated tau, presynaptic proteins
synaptotagmin-1 and syntaxin-1, glial fibrillary acidic protein (GFAP), and
histone-4 acetylation on the K8 site (H4K8ac). The behavioral results showed that
LPS exposure during pregnancy exacerbated a decline in 15-month-old CD-1 mice's
abilities to nest, their sensorimotor and spatial learning and memory
capabilities, and increased their anxiety levels. The neurobiochemical results
indicated that gestational LPS exposure also intensified age-related hippocampal
changes, including increased amyloid-beta42, phosphorylated tau, synaptotagmin-1
and GFAP, and decreased syntaxin-1 and H4K8ac. Our results suggested that the
inflammatory insult during pregnancy could be an important risk factor for the
development of Alzheimer's disease, and the H4K8 acetylation might play an
important role in the underlying mechanism. This study offers a perspective for
improving strategies that support healthy development and successful aging.
PMID- 27194409
TI - Surgical considerations in FAP-related pouch surgery: Could we do better?
AB - The ileoanal pouch has become the standard restorative procedure of choice for
patients with the classical phenotype in FAP (familial adenomatous polyposis) and
also for ulcerative colitis (UC). Whilst we tend to encounter descriptive
analyses comparing functional outcome, fertility and quality of life (QOL)
between series in literature, there may be an urgent need to discuss the subtle
technical modifications that may be pivotal for improving long-term QOL in FAP
patients. Our aim is to review the current literature and discuss the aspects of
ileal pouch-anal anastomosis that may require specific reevaluation for FAP.
Surgical strategies aimed at minimizing post-interventional desmoid growth is one
of the most important aspects. For this study, the following topics of interest
were selected: Timing of surgery, IRA or ileoanal pouch for classical FAP,
laparoscopic or conventional surgery, TME or mesenteric dissection, preservation
of the ileocolic vessels, handsewn or double-staple anastomosis, shape and size
of pouch, protective ileostomy, Last and definitely not least: how to manage
desmoid plaques or desmoids at the time of prophylactic surgery. For the depicted
technicalities of the procedure, a review of recent literature was performed and
evaluated. For the topics selected, only sparse reference in literature was
identified that was focused on the specific condition situation of FAP. Almost
all pouch literature focusses on the procedural aspects, and FAP patients are
always a very minor number. Therefore it becomes obvious that the specific entity
is not adequately taken into account. This is a serious bias for identification
of important steps in the procedure that may be beneficial for patients with
either of the diseases. The results of this study demonstrate that several
technical differences for construction of ileoanal pouches in FAP patients
deserve more attention and prospective evaluation-perhaps even randomized trials.
The role, importance and potential benefit or deterioration of outcome in most of
the discussed technicalities remains unclear to date. Significant differences
between the underlying diseases (UC and FAP) have not been taken into
consideration, such as specifically the management of precursor desmoid lesions
at the time of prophylactic surgery as well as prevention of desmoid tumors.
Several of the aspects discussed in this paper should be prospectively evaluated
in larger and exclusive series of FAP patients.
PMID- 27194411
TI - A tryptophan-containing fluorescent intramolecular complex as a designer peptidic
proton sensor.
AB - Pyrene and tryptophan groups judiciously placed on a novel molecular scaffold,
namely, bispidine exhibited fluorescence due to the formation of an unprecedented
emissive intramolecular complex in polar solvents. Upon protonation, the emission
signal from the pyrene unit enhances at the expense of the emission signal from
the complex. The probe demonstrates good sensitivity, excellent selectivity, and
adequate reversibility towards proton sensing. The present design based on the
bispidine scaffold opens up newer opportunities for the design of novel bispidine
peptide sensors.
PMID- 27194410
TI - Increased non-HDL-C level linked with a rapid rate of renal function decline in
HIV-infected patients.
AB - BACKGROUND: The risk of developing CKD is increased in HIV-infected patients;
however, the relationship between renal function decline and lipid abnormalities
currently remains unclear in these patients. METHODS: A retrospective cohort
study was conducted on 661 HIV-infected patients, whose estimated glomerular
filtration rates (eGFRs) were consecutively measured over 6 years. The rate of
declines in eGFR per year was calculated, with decreases being evaluated using a
linear mixed effect model. The distribution of decreases in eGFR >= 30 % from
baseline during the follow-up period was compared across quartiles of non-high
density lipoprotein cholesterol (HDL-C) levels using the Cochran-Armitage test. A
multivariate logistic regression model was built to examine the relationship
between dyslipidemia and decreases in eGFR. RESULTS: The prevalence of CKD
increased from 8.5 to 21.2 % during the follow-up. The average of 6 annual eGFR
decline rates was 2.01 +/- 0.09 ml/min/1.73 m2/year, which was more than 6-fold
higher than that of age-matched controls. The distribution of decreases in eGFR
significantly increased across the quartiles of non-HDL-C (p value for trend =
0.0359). Non-HDL-C levels greater than the median value of the cohort were
identified as a significant risk factor for decreased eGFR [odds ratio (95 %
confidence interval), 1.77 (1.07-3.00)]. CONCLUSION: Increased non-HDL-C levels
are a risk factor for renal function decline in HIV-infected patients.
PMID- 27194413
TI - Erratum to: 'Multimodal perioperative care plus immunonutrition versus
traditional care in total hip arthroplasty: a randomized pilot study'.
PMID- 27194412
TI - Cellular and molecular drivers of differential organ growth: insights from the
limbs of Monodelphis domestica.
AB - A fundamental question in biology is "how is growth differentially regulated
during development to produce organs of particular sizes?" We used a new model
system for the study of differential organ growth, the limbs of the opossum
(Monodelphis domestica), to investigate the cellular and molecular basis of
differential organ growth in mammals. Opossum forelimbs grow much faster than
hindlimbs, making opossum limbs an exceptional system with which to study
differential growth. We first used the great differences in opossum forelimb and
hindlimb growth to identify cellular processes and molecular signals that
underlie differential limb growth. We then used organ culture and pharmacological
addition of FGF ligands and inhibitors to test the role of the Fgf/Mitogen
activated protein kinases (MAPK) signaling pathway in driving these cellular
processes. We found that molecular signals from within the limb drive differences
in cell proliferation that contribute to the differential growth of the forelimb
and hindlimbs of opossums. We also found that alterations in the Fgf/MAPK pathway
can generate differences in cell proliferation that mirror those observed between
wild-type forelimb and hindlimbs of opossums and that manipulation of Fgf/MAPK
signaling affects downstream focal adhesion-extracellular matrix (FA-ECM) and Wnt
signaling in opossum limbs. Taken together, these findings suggest that
evolutionary changes in the Fgf/MAPK pathway could help drive the observed
differences in cell behaviors and growth in opossum forelimb and hindlimbs.
PMID- 27194414
TI - Moral distress of nursing undergraduates: Myth or reality?
AB - INTRODUCTION: During their education process, nursing undergraduates experience
ethical conflicts and dilemmas that can lead to moral distress. Moral distress
can deprive the undergraduates of their working potential and may cause physical
and mental health problems. OBJECTIVE: We investigated the experiences of the
undergraduates in order to identify the existence of moral distress caused by
ethical conflict and dilemmas experienced during their nursing education. Ethical
considerations: This study was designed according to the principles of research
with human beings and was approved by the Human Research Ethics Committee.
METHOD: A qualitative multiple-case study. Two federal higher education
institutions were surveyed, from which 58 undergraduates in nursing participated
in the study. The undergraduates were undergoing their professional training. The
data were collected through focus groups and were submitted to thematic content
analysis, with the resources of the ATLAS TI 7.0 software. RESULTS: Moral
distress in undergraduates is a reality and was identified in three axes of
analysis: (1) moral distress is experienced by undergraduates in the reality of
healthcare services, (2) the teacher as a source of moral distress, and (3) moral
distress as a positive experience. CONCLUSION: The undergraduates in nursing
manifest moral distress in different stages of their education, particularly
during their professional training. The academic community should reflect and
seek solutions for the reality of moral distress in undergraduates.
PMID- 27194415
TI - A longitudinal analysis of chronic arm morbidity following breast cancer surgery.
AB - Arm morbidity (AM) arising from breast cancer (BC) treatment can detrimentally
impact quality of life; often limiting a survivor's ability to participate in
valued activities. The present study explored (a) the developmental time course
of AM [restricted range of motion (ROM), pain, and arm volume changes], negative
affect, and perceived disability in the immediate years post-surgery, and (b) the
mediating role of perceived disability on the relationship between AM and
negative affect over time. In this 5-year longitudinal study, BC survivors from
four Canadian oncology clinics (n = 431) completed five annual clinical
assessments, where differences in ROM (shoulder abduction, external rotation) and
arm volume between the affected and non-affected arm were measured. The profile
of mood states (POMS), disability of arm, shoulder, hand, and McGill Pain
Questionnaire-Short form were completed. Results from general linear modeling
showed that AM, negative affect, and perceived disability were greatest 1-year
post-surgery, declined, and with the exception of arm volume changes, were
significantly lower 5 years later. Negative affect was significantly associated
with restrictions in shoulder abduction and external rotation (average r = -0.15;
p < 0.05) and present arm pain (average r = 0.28, p < 0.01) at most assessments.
The mediating role of perceived disability on the relationship between AM and
negative affect was statistically significant in a majority of assessments.
Perceived disability is the underlying factor driving the relationship between AM
and mood disturbance over time. Rehabilitative therapy to improve survivors'
functional well-being might mitigate the negative impacts of AM on emotional
health.
PMID- 27194416
TI - Detection of 22q11.2 microduplication by cell-free DNA screening and chromosomal
microarray in fetus with multiple anomalies.
PMID- 27194417
TI - Synthesis of advanced aluminide intermetallic coatings by low-energy Al-ion
radiation.
AB - Metals that work at high temperatures (for instance, superalloys in gas-turbines)
depend on thermally grown oxide (TGO, commonly alumina) to withstand corrosion
attack. Nickel Aluminide (NiAl) as one superior alumina TGO former plays an
important role in protective coatings for turbine blades in gas-turbine engines
used for aircraft propulsion and power generation. Lowering TGO growth rate is
essentially favored for offering sustainable protection, especially in thermal
barrier coatings (TBC). However, it can only be achieved currently by a strategy
of adding the third element (Pt or reactive elements) into NiAl during
traditional diffusion- or deposition-based synthesis of the coating. Here we
present a highly flexible Al-ion radiation-based synthesis of advanced NiAl
coatings, achieving low TGO growth rate without relying on the third element
addition. Our results expand the strategy for lowering TGO growth rate and
demonstrate potentials for ion radiation in advancing materials synthesis.
PMID- 27194418
TI - Intrinsically aged dermal fibroblasts fail to differentiate into adipogenic
lineage.
PMID- 27194419
TI - TaZAT8, a C2H2-ZFP type transcription factor gene in wheat, plays critical roles
in mediating tolerance to Pi deprivation through regulating P acquisition, ROS
homeostasis and root system establishment.
AB - Transcription factors (TFs) play critical roles in mediating defense of plants to
abiotic stresses through regulating downstream defensive genes. In this study, a
wheat C2H2-ZFP (zinc finger protein) type TF gene designated as TaZAT8 was
functionally characterized in mediating tolerance to the inorganic phosphate (Pi)
starvation stress. TaZAT8 bears conserved motifs harboring in the C2H2-ZFP type
counterparts across vascular plant species. The expression of TaZAT8 was shown to
be induced in roots upon Pi deprivation, with a Pi concentration- and temporal
dependent manner. Overexpression of TaZAT8 in tobacco conferred plants improved
tolerance to Pi deprivation; the transgenic lines exhibited enlarged phenotype
and elevated biomass and phosphorus (P) accumulation relative to wild-type (WT)
after Pi-starvation treatment. NtPT1 and NtPT2, the tobacco phosphate transporter
(PT) genes, showed increased transcripts in the Pi-deprived transgenic lines,
indicative of their transcriptional regulation by TaZAT8. Overexpression analysis
of these PT genes validated their function in mediating Pi acquisition under the
Pi deprivation conditions. Additionally, the TaZAT8-overexpressing lines also
behaved enhanced antioxidant enzyme (AE) activities and enlarged root system
architecture (RSA) with respect to WT. Evaluation of the transcript abundance of
tobacco genes encoding AE and PIN proteins, including NtMnSOD1, NtSOD1, NtPOD1;2,
NtPOD1;5, NtPOD1;6, and NtPOD1;9, and NtPIN1 and NtPIN4 are upregulated in the
TaZAT8-overexpressing lines. Overexpression of NtPIN1 and NtPIN4 conferred plants
to enlarged RSA and elevated biomass under the Pi-starvation stress conditions.
Our investigation provides insights into plant adaptation to the Pi-starvation
stress mediated by distinct ZFP TFs through modulation of Pi acquisition and
cellular ROS detoxicity.
PMID- 27194420
TI - Comparison of Measured to Predicted Estimations of Nonpoint Source Contaminants
Using Conservation Practices in an Agriculturally-Dominated Watershed in
Northeast Arkansas, USA.
AB - In efforts to reduce nonpoint source runoff and improve water quality, Best
Management Practices (BMPs) were implemented in the Outlet Larkin Creek
Watershed. Farmers need to make scientifically informed decisions concerning BMPs
addressing contaminants from agricultural fields. The BMP Tool was developed from
previous studies to estimate BMP effectiveness at reducing nonpoint source
contaminants. The purpose of this study was to compare the measured percent
reduction of dissolved phosphorus (DP) and total suspended solids to the reported
percent reductions from the BMP Tool for validation. Similarities were measured
between the BMP Tool and the measured water quality parameters. Construction of a
sedimentation pond resulted in 74 %-76 % reduction in DP as compared to 80 % as
predicted with the BMP Tool. However, further research is needed to validate the
tool for additional water quality parameters. The BMP Tool is recommended for
future BMP implementation as a useful predictor for farmers.
PMID- 27194421
TI - Bioconcentration of Ag, Cd, Co, Mn and Zn in the Mangrove Oyster (Crassostrea
gasar) and Preliminary Human Health Risk Assessment: A Radiotracer Study.
AB - Bioaccumulation kinetics of five dissolved metals were determined in the mangrove
oyster Crassostrea gasar, using corresponding radiotracers ((54)Mn, (57)Co,
(65)Zn, (109)Cd and (110m)Ag). Additionally, their bioaccessibility to human
consumers was estimated. Results indicated that over a 14-day exposure (54)Mn and
(57)Co were linearly concentrated in oysters whereas (109)Cd, (65)Zn and (110m)Ag
were starting to saturate (steady-state not reached). Whole-body concentration
factors at 14 days (CF14d in toto) ranged from 187 +/- 65 to 629 +/- 179 with the
lowest bioconcentration capacity for Co and the highest for Ag. Depuration
kinetics were best described by a double-exponential model with associated
biological half-lives ranging from 26 days (Ag) to almost 8 months (Zn and Cd).
Bioaccessible fraction of the studied elements was estimated using in vitro
digestions, which suggested that oysters consumed seasoned with lemon enhanced
the accessibility of Cd, Mn and Zn to human consumers, but not Ag and Co.
PMID- 27194422
TI - [The epidemiology of food allergy in Europe].
AB - The interpretation of epidemiological data on food hypersensitivities should
clearly separate two issues: the disposition to respond symptomatically to
certain foods and the actual reactions occurring, which can be observed only when
there is sufficient consumption or targeted exposure/provocation.The exact
specification of the case definition is essential for reporting and interpreting
food hypersensitivity frequencies. In Europe, prevalence estimates of self
reported reactions are reported from 5.7 to 61.6 %, and physician-diagnosed
hypersensitivities from 0.2 to 4.2 %. Consideration of only double-blind proven
immediate-type reactions gave estimates ranging from 0.0 to 2.2 %. The
disposition for severe reactions against food might be less frequent, but cannot
be estimated robustly from published data. The only data available for Germany
estimates a prevalence of IgE-mediated reactions of 2,5% for Berlin.The most
common triggers of early childhood food allergy are cow's milk and hen's egg,
which usually cause mild symptoms, limited to the skin. Food allergy aquired in
infancy usually disappears by early school age.The European Anaphylaxis Registry
documents the spectrum of severe allergic reactions. England has a larger case
series for fatal reactions. No incidence can be estimated from either approach,
because reporting is voluntary. Additionally, the discussed data does not inform
about the clinical relevance of severe allergic reactions against foods.Future
systematic studies about the incidence and course of food hypersensitivity should
examine sufficiently large groups of people using objective diagnostic criteria.
PMID- 27194423
TI - Excision of HIV-1 DNA by gene editing: a proof-of-concept in vivo study.
AB - A CRISPR/Cas9 gene editing strategy has been remarkable in excising segments of
integrated HIV-1 DNA sequences from the genome of latently infected human cell
lines and by introducing InDel mutations, suppressing HIV-1 replication in
patient-derived CD4+ T-cells, ex vivo. Here, we employed a short version of the
Cas9 endonuclease, saCas9, together with a multiplex of guide RNAs (gRNAs) for
targeting the viral DNA sequences within the 5'-LTR and the Gag gene for removing
critically important segments of the viral DNA in transgenic mice and rats
encompassing the HIV-1 genome. Tail-vein injection of transgenic mice with a
recombinant Adeno-associated virus 9 (rAAV9) vector expressing saCas9 and the
gRNAs, rAAV:saCas9/gRNA, resulted in the cleavage of integrated HIV-1 DNA and
excision of a 978 bp DNA fragment spanning between the LTR and Gag gene in the
spleen, liver, heart, lung and kidney as well as in the circulating lymphocytes.
Retro-orbital inoculation of rAAV9:saCas9/gRNA in transgenic rats eliminated a
targeted segment of viral DNA and substantially decreased the level of viral gene
expression in circulating blood lymphocytes. The results from the proof-of
concept studies, for the first time, demonstrate the in vivo eradication of HIV-1
DNA by CRISPR/Cas9 on delivery by an rAAV9 vector in a range of cells and tissues
that harbor integrated copies of viral DNA.
PMID- 27194425
TI - Childhood Maltreatment in the Migraine Patient.
AB - OPINION STATEMENT: Maltreatment during childhood increases vulnerability to a
host of health disorders, including migraine. Putative mechanisms linking
maltreatment and migraine include stress-induced dysregulation of the
hypothalamic-pituitary-adrenal (HPA) axis, as well as disruption of other stress
mediating homeostatic systems, including those involving endocannabinoids,
monoamine neurotransmitters, oxytocin, and inflammation. Prolonged elevation of
glucocorticoids alters the neural architecture of the limbic system, resulting in
the structural as well as functional changes described in both maltreatment and
in migraine. Although treatment trials for migraine have not stratified
participants by abuse history, strategies, such as cognitive behavioral therapy,
which alter stress responsivity, may be particularly effective in this subgroup.
Some therapies involving the endocannabinoid, serotonergic, oxytonergic, and
inflammatory systems are under investigation for migraine. Anti-epileptic drugs
such as valproate and topiramate, which are FDA approved for migraine treatment,
are also known to interfere with epigenetic changes induced by stress. Discerning
the role for this mechanism in treatment of maltreated migraineurs may introduce
another therapeutic avenue.
PMID- 27194424
TI - Potassium: friend or foe?
AB - The kidney plays an essential role in maintaining homeostasis of ion
concentrations in the blood. Because the concentration gradient of potassium
across the cell membrane is a key determinant of the membrane potential of cells,
even small deviations in serum potassium level from the normal setpoint can lead
to severe muscle dysfunction, resulting in respiratory failure and cardiac
arrest. Less severe hypo- and hyperkalemia are also associated with morbidity and
mortality across various patient populations. In addition, deficiencies in
potassium intake have been associated with hypertension and adverse
cardiovascular and renal outcomes, likely due in part to the interrelated
handling of sodium and potassium by the kidney. Here, data on the beneficial
effects of potassium on blood pressure and cardiovascular and renal outcomes will
be reviewed, along with the physiological basis for these effects. In some
patient populations, however, potassium excess is deleterious. Risk factors for
the development of hyperkalemia will be reviewed, as well as the risks and
benefits of existing and emerging therapies for hyperkalemia.
PMID- 27194428
TI - Irish medical school in Bahrain may breach human rights law.
PMID- 27194426
TI - Comparison of anti-anginal effect of cilnidipine with those of nicardipine and
nifedipine in the vasopressin-induced angina model of rats.
AB - We assessed the anti-anginal effects of cilnidipine in comparison with those of
nicardipine and nifedipine (1 and 10 ug/kg, n = 6 for each drug) or vehicle (n =
6) by using the vasopressin-induced angina model of rats. The administration of
vasopressin (0.5 IU/kg, i.v.) to the rats depressed the S-wave level of the
electrocardiogram reflecting the presence of subendocardial ischemia, whereas it
significantly increased the mean blood pressure, resulting in the decrease of the
heart rate and the prolongation of the PR interval possibly through a reflex
mediated increase in vagal tone. Cilnidipine suppressed the vasopressin-induced
depression of the S-wave level in a dose-related manner, which was not observed
by nicardipine or nifedipine. In addition, the low dose of cilnidipine hardly
affected the vasopressin-induced pressor response, but it attenuated the negative
dromotropic effect, suggesting N-type Ca2+ channel inhibition by cilnidipine
might have suppressed the parasympathetic nerve activity in vivo like those
reported in the sympathetic nerve. Thus, cilnidipine may become a useful strategy
for inhibiting coronary vasospasm-induced anginal attack.
PMID- 27194427
TI - Comparative assessment of phototherapy protocols for reduction of oxidative
stress in partially transected spinal cord slices undergoing secondary
degeneration.
AB - BACKGROUND: Red/near-infrared light therapy (R/NIR-LT) has been developed as a
treatment for a range of conditions, including injury to the central nervous
system (CNS). However, clinical trials have reported variable or sub-optimal
outcomes, possibly because there are few optimized treatment protocols for the
different target tissues. Moreover, the low absolute, and wavelength dependent,
transmission of light by tissues overlying the target site make accurate dosing
problematic. RESULTS: In order to optimize light therapy treatment parameters, we
adapted a mouse spinal cord organotypic culture model to the rat, and
characterized myelination and oxidative stress following a partial transection
injury. The ex vivo model allows a more accurate assessment of the relative
effect of different illumination wavelengths (adjusted for equal quantal
intensity) on the target tissue. Using this model, we assessed oxidative stress
following treatment with four different wavelengths of light: 450 nm (blue); 510
nm (green); 660 nm (red) or 860 nm (infrared) at three different intensities:
1.93 * 10(16) (low); 3.85 * 10(16) (intermediate) and 7.70 * 10(16) (high)
photons/cm(2)/s. We demonstrate that the most effective of the tested wavelengths
to reduce immunoreactivity of the oxidative stress indicator 3-nitrotyrosine
(3NT) was 660 nm. 860 nm also provided beneficial effects at all tested
intensities, significantly reducing oxidative stress levels relative to control
(p <= 0.05). CONCLUSIONS: Our results indicate that R/NIR-LT is an effective
antioxidant therapy, and indicate that effective wavelengths and ranges of
intensities of treatment can be adapted for a variety of CNS injuries and
conditions, depending upon the transmission properties of the tissue to be
treated.
PMID- 27194429
TI - Adipocytes Viability After Suction-Assisted Lipoplasty: Does the Technique
Matter?
AB - BACKGROUND: Suction-assisted lipoplasty (SAL; liposuction) is an established
aesthetic procedure in plastic surgery. The main parameters differentiating one
method of lipoplasty from another are safety, consistency of results, and other
more technical parameters. Due to the recent popularity of lipotransfer, the
quality of extracted fat has become a relevant parameter. We compare the
viability of extracted adipocytes after dry SAL, hyper-tumescent PAL (power
assisted lipoplasty), and water-assisted lipoplasty (WAL). METHODS: We used
fluorescent microscopy to differentiate viable from necrotic/apoptotic cells
after liposuction using each of the mentioned methods. RESULTS: The ratio of
living cells between the three methods was significantly different with dry
liposuction yielding inferior ratios (p = 0.011). When omitting extreme results,
we found that the body-jet technique (WAL) yielded higher ratios of living cells
than the hyper-tumescent technique (p < 0.001). The total number of cells was
highest in the hyper-tumescent method (p = 0.013). CONCLUSIONS: Our results
indicate that the hyper-tumescent technique yields the highest number of cells,
whereas the body-jet technique yields the highest living cells ratio. The dry
technique is clearly inferior to both. NO LEVEL ASSIGNED: This journal requires
that authors assign a level of evidence to each article. For a full description
of these Evidence-Based Medicine ratings, please refer to the Table of Contents
or the online Instructions to Authors www.springer.com/00266 .
PMID- 27194430
TI - Whole Blood Viscosity and Cardiovascular Diseases: A Forgotten Old Player of the
Game.
PMID- 27194431
TI - Pheochromocytoma in Old World Primates (Macaca mulatta and Chlorocebus aethiops).
AB - Pheochromocytoma, a rarely reported adrenal gland neoplasm in Old World primates,
was diagnosed in 5 rhesus macaques (Macaca mulatta) and 2 African green monkeys
(Chlorocebus aethiops) from 3 research institutions. Age and sex were available
for 6 primates. Two males and 4 females were affected, ranging in age from 9 to
31 years. All neoplasms were unilateral and, in the cases reporting the affected
gland, 4 involved the right adrenal gland and 2 involved the left. Diagnosis was
established by characteristic histologic features. Immunohistochemically,
neoplastic cells in all cases expressed chromogranin A and met-enkephalin and
were negative for melan-A and inhibin. Six of 7 tumors were positive for beta
endorphin. Pulmonary metastases were present in 2 rhesus macaques and portal vein
invasion in 1 African green monkey. To the authors' knowledge, this is the first
report of malignant pheochromocytoma in Old World primates.
PMID- 27194432
TI - No association between dysplasminogenemia with p.Ala620Thr mutation and atypical
hemolytic uremic syndrome.
AB - Atypical hemolytic uremic syndrome (aHUS), a form of thrombotic microangiopathy,
is caused by the uncontrolled activation of the alternative pathway of complement
on the cell surface that leads to microangiopathic hemolytic anemia,
thrombocytopenia, and renal failure. A recent genetic analysis of aHUS patients
identified deleterious mutations not only in complement or complement regulatory
genes but also in the plasminogen gene, suggesting that subnormal plasminogen
activity may be related to the degradation of thrombi in aHUS.
Dysplasminogenemia, which is caused by a genetic variant in the plasminogen gene,
PLG:p.Ala620Thr, is commonly observed in the northeast Asian populations,
including Japanese. To examine the association between dysplasminogenemia and
aHUS, we genotyped PLG:p.Ala620Thr in 103 Japanese patients with aHUS. We
identified five aHUS patients with PLG:p.Ala620Thr; the minor allele frequency
(MAF) was thus 0.024. The MAF in the patient group was not significantly
different from those obtained from a general Japanese population (MAF = 0.020)
and the Japanese genetic variation HGDV database (MAF = 0.021) (P = 0.62 and
0.61, respectively). We concluded that, although carriers with PLG:p.Ala620Thr
show low plasminogen activity, this is not a predisposing variant for aHUS and
that individuals of dysplasminogenemia are not at significantly increased risk of
aHUS.
PMID- 27194433
TI - Reversible Pharmacological Induction of Motor Symptoms in MPTP-Treated Mice at
the Presymptomatic Stage of Parkinsonism: Potential Use for Early Diagnosis of
Parkinson's Disease.
AB - A crucial event in the pathogenesis of Parkinson's disease is the death of
dopaminergic neurons of the nigrostriatal system, which are responsible for the
regulation of motor function. Motor symptoms first appear in patients 20-30 years
after the onset of the neurodegeneration, when there has been a loss of an
essential number of neurons and depletion of compensatory reserves of the brain,
which explains the low efficiency of treatment. Therefore, the development of a
technology for the diagnosing of Parkinson's disease at the preclinical stage is
of a high priority in neurology. In this study, we have developed at an
experimental model a fundamentally novel for neurology approach for diagnosis of
Parkinson's disease at the preclinical stage. This methodology, widely used for
the diagnosis of chronic diseases in the internal medicine, is based on the
application of a challenge test that temporarily increases the latent failure of
a specific functional system, thereby inducing the short-term appearance of
clinical symptoms. The provocation test was developed by a systemic
administration of alpha-methyl-p-tyrosine (alphaMpT), a reversible inhibitor of
tyrosine hydroxylase to MPTP-treated mice at the presymptomatic stage of
parkinsonism. For this, we first selected a minimum dose of alphaMpT, which
caused a decrease of the dopamine level in the striatum of normal mice below the
threshold at which motor dysfunctions appear. Then, we found the maximum dose of
alphaMpT at which a loss of dopamine in the striatum of normal mice did not reach
the threshold level, and motor behavior was not impaired. We showed that alphaMpT
at this dose induced a decrease of the dopamine concentration in the striatum of
MPTP-treated mice at the presymptomatic stage of parkinsonism below a threshold
level that results in the impairment of motor behavior. Finally, we proved that
alphaMpT exerts a temporal and reversible influence on the nigrostriatal
dopaminergic system of MPTP-treated mice with no long-term side effects on other
catecholaminergic systems. Thus, the above experimental data strongly suggest
that alphaMpT-based challenge test might be considered as the provocation test
for Parkinson's disease diagnosis at the preclinical stage in the future clinical
trials.
PMID- 27194434
TI - Stiffness as a Risk Factor for Achilles Tendon Injury in Running Athletes.
AB - BACKGROUND: Overuse injuries are multifactorial resulting from cumulative
loading. Therefore, clear differences between normal and at-risk individuals may
not be present for individual risk factors. Using a holistic measure that
incorporates many of the identified risk factors, focusing on multiple joint
movement patterns may give better insight into overuse injuries. Lower body
stiffness may provide such a measure. OBJECTIVE: To identify how risk factors for
Achilles tendon injuries influence measures of lower body stiffness. METHODS:
SPORTDiscus, Web of Science, CINAHL and PubMed were searched for Achilles tendon
injury risk factors related to vertical, leg and joint stiffness in running
athletes. RESULTS: Increased braking force and low surface stiffness, which were
clearly associated with increased risk of Achilles tendon injuries, were also
found to be associated with increased lower body stiffness. High arches and
increased vertical and propulsive forces were protective for Achilles tendon
injuries and were also associated with increased lower body stiffness. Risk
factors for Achilles tendon injuries that had unclear associations were also
investigated with the evidence trending towards an increase in leg stiffness and
a decrease in ankle stiffness being detrimental to Achilles tendon health.
CONCLUSION: Few studies have investigated the link between lower body stiffness
and Achilles injury. High stiffness is potentially associated with risk factors
for Achilles tendon injuries although some of the evidence is controversial.
Prospective injury studies are needed to confirm this relationship. Large amounts
of high-intensity or high-speed work or running on soft surfaces such as sand may
increase Achilles injury risk. Coaches and clinicians working with athletes with
new or reoccurring injuries should consider training practices of the athlete and
recommend reducing speed or sand running if loading is deemed to be excessive.
PMID- 27194436
TI - Ultrasound follow-up in a patient with intestinal obstruction due to post
traumatic intramural duodenal hematoma.
AB - We report the case of a 7-year-old girl with intestinal obstruction due to post
traumatic intramural duodenal hematoma. She had fallen from the monkey bars the
day before presenting to our hospital, and was admitted with signs of abdominal
pain, vomiting, and nausea. Abdominal ultrasonography, computed tomography (CT),
and magnetic resonance imaging (MRI) demonstrated a heterogeneous solid mass
located within the duodenal wall, compressing the descending part of the
duodenum. The inferior vena cava was also compressed by the mass lesion, although
no associated symptoms were evident. Based on these findings, the mass lesion was
considered to represent intramural hematoma causing intestinal obstruction. She
was managed conservatively with total parenteral nutrition. Although CT and MRI
are useful for differentiating hematoma from other intestinal tumors,
ultrasonography is minimally invasive and easier to perform repeatedly. In case
of duodenal hematoma, ultrasonography may be quite helpful for diagnosis and
follow-up by monitoring tumor size and characteristics, and the degree of
duodenal compression during conservative treatment.
PMID- 27194435
TI - Discordant CSF/plasma HIV-1 RNA in patients with unexplained low-level viraemia.
AB - The central nervous system has been proposed as a sanctuary site where HIV can
escape antiretroviral control and develop drug resistance. HIV-1 RNA can be at
higher levels in CSF than plasma, termed CSF/plasma discordance. We aimed to
examine whether discordance in CSF is associated with low level viraemia (LLV) in
blood. In this MRC-funded multicentre study, we prospectively recruited patients
with LLV, defined as one or more episode of unexplained plasma HIV-1 RNA within
12 months, and undertook CSF examination. Separately, we prospectively collected
CSF from patients undergoing lumbar puncture for a clinical indication. Patients
with durable suppression of viraemia and no evidence of CNS infection were
identified as controls from this group. Factors associated with CSF/plasma HIV-1
discordance overall were examined. One hundred fifty-three patients were
recruited across 13 sites; 40 with LLV and 113 undergoing clinical lumbar
puncture. Seven of the 40 (18 %) patients with LLV had CSF/plasma discordance,
which was significantly more than 0/43 (0 %) with durable suppression in blood
from the clinical group (p = 0.005). Resistance associated mutations were shown
in six CSF samples from discordant patients with LLV (one had insufficient sample
for testing), which affected antiretroviral therapy at sampling in five. Overall
discordance was present in 20/153 (13 %) and was associated with nadir CD4 but
not antiretroviral concentrations in plasma or CSF. CSF/plasma discordance is
observed in patients with LLV and is associated with antiretroviral resistance
associated mutations in CSF. The implications for clinical practice require
further investigation.
PMID- 27194437
TI - UltraPro Hernia System, Prolene Hernia System and Lichtenstein for primary
inguinal hernia repair: 3-year outcomes of a prospective randomized controlled
trial.
AB - PURPOSE: Chronic pain and discomfort are common after inguinal hernia repair
(IHR). In this study, results from a 3-year follow-up from a randomized
controlled study comparing three different mesh repairs for postoperative pain,
discomfort, Quality of Life (QoL) and patient satisfaction are reported. METHODS:
Between November 1, 2006 and January 31, 2009, 309 men, who underwent day surgery
for primary unilateral inguinal hernia under local anesthesia, were randomized to
three different mesh repairs; UltraPro Hernia System (U), Prolene Hernia System
(P) and Lichtenstein procedure (L). RESULTS: Preoperatively, there were no
differences between groups regarding demographics, symptoms, inguinal pain or QoL
(SF-36 and a hernia-specific questionnaire). Operating time, postoperative pain,
complications and time to full recovery were similar. At 36 months, 21 patients
indicated pain [L, n = 6, P, n = 6 and U, n = 9; VAS (median (IQR)): L 0.4 (0.2
1.7), P 0.2 (0.1-2.3) and U 1.6 (0.7-4.6), p = ns]. Physical QoL was reduced in
all groups before surgery and was similarly increased to normal levels after 3
months without further changes throughout the study. Although 92 % of
participants were satisfied, sixteen percent reported any discomfort from the
groin (ns between groups). Five recurrences were reported (L, n = 2, P, n = 1 and
U, n = 2, p = ns). CONCLUSIONS: After 3 years of follow-up, all three procedures
provided equally good results regarding, pain, discomfort and QoL and could
therefore be recommended for primary IHR in LA.
PMID- 27194439
TI - Psychosocial Risk Factors Related to Ischemic Heart Disease in Women.
AB - BACKGROUND: Psychosocial risk factors such as stress and psychiatric disorders
are known to have negative impacts on health outcomes, but their effects on
ischemic heart disease, particularly in women, remain to be fully understood
despite contributing to one-third of the population attributable risk in acute
myocardial infarction. METHODS: The impact of stress, social isolation, low
socioeconomic status, hostility and anger, and stress-related psychiatric
disorders on cardiovascular outcomes and the potential mechanisms that underlie
their association with ischemic heart disease, with a focus on women, is
evaluated. Online search of relevant terms, including the aforementioned risk
factors, women, and ischemic heart disease, was utilized to find recent and
pertinent trials. RESULTS: Psychosocial risk factors increase cardiovascular risk
in both women and men. However, current literature points to a greater degree of
adverse cardiovascular events in women who experience these risk factors than in
men, but the literature is not as well-defined as the data regarding traditional
risk factors and cardiovascular disease. CONCLUSION: Dedicated study of the sex
differences in ischemic heart disease incidence and recurrence, including the
impact of psychosocial risk factors, is warranted for the development of
appropriate gender-specific diagnostic testing and treatment options in heart
disease.
PMID- 27194440
TI - The Impact of Small Heat Shock Proteins (HspBs) in Alzheimer's and Other
Neurological Diseases.
AB - BACKGROUND: Heat shock proteins are powerful endogenous cytoprotective proteins
which help cells to survive recurrent cellular stress events. Identifying the
underlying molecular mechanisms and molecular targets is especially interesting
since it may help to develop new therapeutic strategies for the treatment of
diseases. OBJECTIVE: This review will focus on the group of small heat shock
proteins, also named HspBs. HspBs play an important role in various neurological
diseases. Most neurodegenerative diseases are characterized by a distinct
pathology with accumulation and aggregation of misfolded proteins, such as
deposits of amyloid plaques or neurofibrillary tangles in Alzheimer's disease.
Such pathological protein aggregates are thought to lead to cellular dysfunction
and finally to cell death. HspBs display chaperone-like functions and are able to
prevent protein aggregation by which they may slow down progression of these
diseases. However, HspBs have multiple additional functions which also may
contribute to neuroprotection. RESULTS/CONCLUSIONS: In this review we will first
give an overview of the HspB protein family, their structure, functions and
expression pattern. Then we will highlight their impact in the brain, in
neurodegenerative diseases and especially in Alzheimer's disease and try to
unravel their multifactorial effects in several aspects of the disease
pathologies.
PMID- 27194441
TI - Protein Amyloidogenesis Investigated by Small Angle Scattering.
AB - In the last decades, the study of the mechanisms inducing amyloid fibril
formation has involved several experimental and theoretical biophysical
approaches. Many efforts have been made by scientist at the borderline between
biology, chemistry, biochemistry and physics in order to understand why and in
which way a protein starts its amyloidogenic pattern. This fundamental research
issue is evolving in parallel to the development of drugs and inhibitors able to
modify protein self assembly towards amyloid fibrils. Small angle xray and
neutron scattering experiments represent suitable methods to investigate protein
amyloidogenesis and the possible effects of inhibitors: they are in-solution
techniques, require low amount of sample and their time-resolution makes it
possible to follow aggregation pattern. In this paper we review small angle x-ray
and neutron scattering studies dedicated to investigate amyloid beta peptide and
alpha-synuclein, related to Alzheimer's and Parkinson's diseases, respectively,
together with some other studies that introduced innovative models to describe
with small angle scattering techniques amyloid fibrillation processes.
PMID- 27194438
TI - Pulmonary arterial hypertension in children: diagnosis using ratio of main
pulmonary artery to ascending aorta diameter as determined by multi-detector
computed tomography.
AB - BACKGROUND: The ratio of the transverse diameter of the main pulmonary artery
(MPA) to ascending aorta as determined at multi-detector CT is a tool that can be
used to assess the pulmonary arterial size in cases of pulmonary arterial
hypertension in children. OBJECTIVE: To establish a ratio of MPA to ascending
aorta diameter using multi-detector CT imaging suggestive of pulmonary arterial
hypertension in children. We hypothesize that a defined ratio of MPA to ascending
aorta is identifiable on multi-detector CT and that higher ratios can be used to
reliably diagnose the presence of pulmonary arterial hypertension in children.
MATERIALS AND METHODS: We calculated the multi-detector CT ratio of MPA to
ascending aorta diameter in 44 children with documented pulmonary arterial
hypertension by right heart catheterization and in 44 age- and gender-matched
control children with no predisposing factors for pulmonary arterial
hypertension. We compared this multi-detector-CT-determined ratio with the MPA
pressure in the study group, as well as with the ratio of MPA to ascending aorta
in the control group. A threshold ratio value was calculated to accurately
identify children with pulmonary arterial hypertension. RESULTS: Children with
documented primary pulmonary arterial hypertension have a significantly higher
ratio of MPA to ascending aorta (1.46) than children without pulmonary arterial
hypertension (1.11). A ratio of 1.3 carries a positive likelihood of 34 and a
positive predictive value of 97% for the diagnosis of pulmonary arterial
hypertension. CONCLUSION: The pulmonary arteries were larger in children with
pulmonary arterial hypertension than in a control group of normal children. A CT
measured ratio of MPA to ascending aorta of 1.3 should raise the suspicion of
pulmonary arterial hypertension in children.
PMID- 27194442
TI - Supercritical Water as Nanomedium for Gasification of Lignite-Water Suspension.
AB - The gasification of an aqueous suspension of lignite from Alexandria coalfield
(Ukraine) under the supercritical pressure was studied. The initial rates of the
formation of hydrogen, carbon dioxide and methane were evaluated. The mutually
stimulating interaction of the components of "brown coal-water-mineral matter"
system was shown due to the influence of nanoscaled water medium on the formation
of dipole-inductive, dispersive and ionic associates. In the temperature range of
300-450 degrees C, the oxygen source for gaseous products of the lignite
supercritical gasification is mainly ion-associative nanoclustered water. The
source of hydrogen at the subcritical temperature is the organic part of brown
coal. For the supercritical water, the source of H is the nanoscale medium with
ion associates. The last ones were responsible for the further transformation of
coal.
PMID- 27194443
TI - Strength Improvement of Glass Substrates by Using Surface Nanostructures.
AB - Defects and heterogeneities degrade the strength of glass with different surface
and subsurface properties. This study uses surface nanostructures to improve the
bending strength of glass and investigates the effect of defects on three glass
types. Borosilicate and aluminosilicate glasses with a higher defect density than
fused silica exhibited 118 and 48 % improvement, respectively, in bending
strength after surface nanostructure fabrication. Fused silica, exhibited limited
strength improvement. Therefore, a 4-MUm-deep square notch was fabricated to
study the effect of a dominant defect in low defect density glass. The reduced
bending strength of fused silica caused by artificial defect increased 65 % in
the presence of 2-MUm-deep nanostructures, and the fused silica regained its
original strength when the nanostructures were 4 MUm deep. In fragmentation
tests, the fused silica specimen broke into two major portions because of the
creation of artificial defects. The number of fragments increased when
nanostructures were fabricated on the fused silica surface. Bending strength
improvement and fragmentation test confirm the usability of this method for
glasses with low defect densities when a dominant defect is present on the
surface. Our findings indicate that nanostructure-based strengthening is suitable
for all types of glasses irrespective of defect density, and the observed Weibull
modulus enhancement confirms the reliability of this method.
PMID- 27194444
TI - Hierarchical Heterostructures of NiCo2O4@XMoO4 (X = Ni, Co) as an Electrode
Material for High-Performance Supercapacitors.
AB - Hierarchical heterostructures of NiCo2O4@XMoO4 (X = Ni, Co) were developed as an
electrode material for supercapacitor with improved pseudocapacitive performance.
Within these hierarchical heterostructures, the mesoporous NiCo2O4 nanosheet
arrays directly grown on the Ni foam can not only act as an excellent
pseudocapacitive material but also serve as a hierarchical scaffold for growing
NiMoO4 or CoMoO4 electroactive materials (nanosheets). The electrode made of
NiCo2O4@NiMoO4 presented a highest areal capacitance of 3.74 F/cm(2) at 2
mA/cm(2), which was much higher than the electrodes made of NiCo2O4@CoMoO4 (2.452
F/cm(2)) and NiCo2O4 (0.456 F/cm(2)), respectively. Meanwhile, the NiCo2O4@NiMoO4
electrode exhibited good rate capability. It suggested the potential of the
hierarchical heterostructures of NiCo2O4@CoMoO4 as an electrode material in
supercapacitors.
PMID- 27194445
TI - Myelin Sheath Development in the Maxillary Nerve of the Newborn Pig.
AB - Myelination, the ensheathing of neuronal axons by myelin, is important for the
proper function of both central and peripheral nervous systems. Various studies
have investigated the quantitative parameters of myelination in certain species.
Pigs are among the species of which their use as laboratory animals in
neuroscience research increased the past few decades. However, there is limited
data regarding the myelination process in the pig. Moreover, the maxillary nerve
is crucial for Pseudorabies Virus (PrV) neuropathogenesis. In this context, a
quantitative analysis of various myelination parameters of the maxillary nerve
was performed, during the first 5 weeks of porcine post-natal development, the
time period, which exhibits the highest interest for PrV neuropathogenesis. The
evaluation was conducted in four groups of uninfected pigs, at the time of birth
(group 0w), at the age of 1 week (group 1w), 3 weeks (group 3w) and 5 weeks
(group 5w), using toluidine blue staining, immunofluorescence and electron
microscopy. Axon and fibre diameter, perimeter and surface, myelin sheath
thickness and g-ratio were measured on histological sections transverse to the
longitudinal axis of the maxillary nerve. The thickness of myelin sheath was 0.76
MUm for group 0w, 0.94 MUm for group 1w, 0.98 MUm for group 3w and 1.03 MUm for
group 5w. The g-ratio was 0.529, 0.540, 0.542 and 0.531 for the respective animal
groups. The results of this study contribute to the understanding of the
myelination process in the pig will be used for the study of PrV effects on the
myelination development of newborn piglets' maxillary nerve and may shed new
light to their vulnerability to the virus.
PMID- 27194446
TI - Chitosan/Chondroitin Sulfate Membranes Produced by Polyelectrolyte Complexation
for Cartilage Engineering.
AB - Membranes made of chitosan (CHT) and chondroitin sulfate (CS) are herein
presented using a polyelectrolyte complexation sedimentation/evaporation method.
The membranes present high roughness and heterogeneous morphology induced by salt
crystals. Exposing the membranes to different salt concentrations induces
saloplastic behavior, as shown by an increasing water absorption and decreasing
stiffness while exposed to increasing concentrations of salt. Establishing
contact between two parts of a cut membrane leads to their self-adhesion and
maintenance of their stretching ability. The membranes sustain the adhesion of
ATDC5 prechondrocyte cells, inducing their rearrangement in cellular aggregates
typical of chondrogenesis, and the expression of cartilage markers. Impregnated
TGF-beta3 remains loaded after 14 days of incubation, releasing only 1.2% of its
total loaded mass. CHT/CS polyelectrolyte membranes are here shown as suitable
candidates for the biomedical field, namely, for cartilage regeneration.
PMID- 27194448
TI - Leishmaniasis, conflict, and political terror: A spatio-temporal analysis.
AB - BACKGROUND: Leishmaniasis has been estimated to cause the ninth largest burden
amongst global infectious diseases. Occurrence of the disease has been
anecdotally associated with periods of conflict, leading to its referral as a
disease of 'guerrilla warfare.' Despite this, there have been few studies that
quantitatively investigate the extent to which leishmaniasis coincides with
conflict or political terror. METHODOLOGY: This study employed a longitudinal
approach to empirically test for an association between cutaneous and visceral
leishmaniasis incidence with occurrence of conflict and political terror at the
national level, annually for 15 years (1995-2010). Leishmaniasis incidence data
were collected for 54 countries, and combined with UCDP/PRIO Armed Conflict and
Amnesty International political terror datasets. Mixed effects negative binomial
regression models clustered at the country-level were constructed to evaluate the
incidence rate ratios against the predictors, while controlling for wealth.
Additionally, to understand how and why conflict-terror may be associated with
leishmaniasis incidence, we conducted a historical analysis. We identify and
discuss posited causal mechanisms in the literature, and critically assessed
pathways by which leishmaniasis might occur in places and times of conflict
terror. RESULTS: There was a significant dose-response relationship for disease
incidence based on increasing levels of conflict and terror. Country-years
experiencing very high levels of conflict-terror were associated with a 2.38
times higher [95% CI: 1.40-4.05] and 6.02 times higher [95% CI: 2.39-15.15]
incidence of cutaneous and visceral leishmaniasis, respectively. Historical
analysis indicated that conflict and terror contribute to-or coincide with
leishmaniasis incidence through processes of population displacement and health
system deterioration. CONCLUSIONS: This research highlights the potentially
increased risks for cutaneous and visceral leishmaniasis incidence in areas of
high conflict-terror. Notably, conflict-terror may act as an empirical proxy for
indirect and concomitant processes of social breakdown, migration, and
instability, which emerge as the dominant processes associated with disease
incidence.
PMID- 27194447
TI - Clinical significance of BRAF mutation status in circulating tumor DNA of
metastatic melanoma patients at baseline.
AB - Circulating tumor DNA is a promising non-invasive tool for cancer monitoring. The
main objective of our work was to investigate the relationship between mutant
BRAF DNA in plasma and clinical response. Thirty-eight stage IV patients with a
V600 mutated BRAF melanoma were included prior to any treatment. DNA was
extracted from plasma and mutant DNA was detected using the amplification
refractory mutation system method. Before the beginning of any treatment, the
corresponding BRAF mutation was detected in 29 of the 38 tested plasma samples
(76.3% positive per cent agreement). We observed a strong correlation between the
presence of circulating mutated DNA and overall survival (OS; P=.02), and with
the number of metastatic sites (P=.01). The presence of circulating mutated DNA
was also strongly correlated with serum LDH activity (P<.01) and S100 protein
concentration (P<.01). Finally, seven patients presented discordant BRAF status
in different tumor sites. In all these patients, the test performed on ctDNA was
positive, suggesting that ctDNA analysis might be less sensitive to tumor
heterogeneity. Altogether, these results suggest that plasmatic mutant BRAF DNA
is a prognostic factor of OS, correlated with tumor burden. In addition, it
represents an interesting alternative source of DNA to detect BRAF mutations
before treatment.
PMID- 27194450
TI - A retrospective review of cyproheptadine for feeding intolerance in children less
than three years of age: effects and side effects.
AB - AIM: Premature infants and those with brain injury often suffer from feeding
intolerance and vomiting in the first several years of life. Cyproheptadine is a
medication with antihistaminergic, antiserotonergic and anticholinergic effects,
thought to increase gastric accommodation. We aimed to retrospectively review our
experience using cyproheptadine for feeding intolerance and/or vomiting in
children under the age of three. METHODS: This is a retrospective chart review of
39 patients less than three years of age who were prescribed cyproheptadine for
feeding intolerance or vomiting and had follow-up information available. RESULTS:
Starting dose ranged widely (from 0.069 to 0.825 mg/kg/day). Side effects such as
sleepiness and constipation were rare. The medication had a significant positive
effect, defined as resolution of vomiting, improved feeding tolerance or improved
comfort with feeds, in 67% of children. An additional 28% showed possible
improvement, defined as some improvement in vomiting or improvement in vomiting
or feeding tolerance in conjunction with other changes in addition to
cyproheptadine. CONCLUSION: In our experience, cyproheptadine appears to be safe
and effective in decreasing vomiting and feeding intolerance in children less
than three years of age. A trial of cyproheptadine could be considered before
invasive testing in infants with feeding issues.
PMID- 27194449
TI - A rationally engineered yeast pyruvyltransferase Pvg1p introduces sialylation
like properties in neo-human-type complex oligosaccharide.
AB - Pyruvylation onto the terminus of oligosaccharide, widely seen from prokaryote to
eukaryote, confers negative charges on the cell surface and seems to be
functionally similar to sialylation, which is found at the end of human-type
complex oligosaccharide. However, detailed molecular mechanisms underlying
pyruvylation have not been clarified well. Here, we first determined the crystal
structure of fission yeast pyruvyltransferase Pvg1p at a resolution of 2.46 A.
Subsequently, by combining molecular modeling with mutational analysis of active
site residues, we obtained a Pvg1p mutant (Pvg1p(H168C)) that efficiently
transferred pyruvyl moiety onto a human-type complex glycopeptide. The resultant
pyruvylated human-type complex glycopeptide recognized similar lectins on lectin
arrays as the alpha2,6-sialyl glycopeptides. This newly-generated pyruvylation of
human-type complex oligosaccharides would provide a novel method for glyco
bioengineering.
PMID- 27194452
TI - Dynamics of chemical vapor sensing with MoS2 using 1T/2H phase contacts/channel.
AB - Ultra-thin transition metal dichalcogenides (TMDs) films show remarkable
potential for use in chemical vapor sensing devices. Electronic devices
fabricated from TMD films are inexpensive, inherently flexible, low-power,
amenable to industrial-scale processing because of emergent growth techniques,
and have shown high sensitivity and selectivity to electron donor analyte
molecules important for explosives and nerve gas detection. However, for devices
reported to date, the conductance response to chemical vapors is dominated by
Schottky contacts, to the detriment of the sensitivity, selectivity, recovery,
and obscuring their intrinsic behavior. Here, we use contact engineering to
transition the contacts in a MoS2 FET-based chemical vapor sensor to the 1T
conducting phase, while leaving the channel in the 2H semiconducting state, and
thus providing Ohmic contacts to the film. We demonstrate that the resultant
sensors have much improved electrical characteristics, are more selective, and
recover fully after chemical vapor exposure-all major enhancements to previously
MoS2 sensor devices. We identify labile nitrogen-containing electron donors as
the primary species that generate a response in MoS2, and we study the dynamics
of the sensing reactions, identifying two possible qualitative models for the
chemical sensing reaction.
PMID- 27194451
TI - Cell-cell contact and matrix adhesion promote alphaSMA expression during TGFbeta1
induced epithelial-myofibroblast transition via Notch and MRTF-A.
AB - During epithelial-mesenchymal transition (EMT) epithelial cells lose cell-cell
adhesion, exhibit morphological changes, and upregulate the expression of
cytoskeletal proteins. Previous studies have demonstrated that complete
disruption of cell-cell contact can promote transforming growth factor (TGF)
beta1-induced EMT and the expression of the myofibroblast marker alpha smooth
muscle actin (alphaSMA). Furthermore, increased cell spreading mediates TGFbeta1
induced alphaSMA expression during EMT. Here, we sought to examine how the
presence of partial cell-cell contacts impacts EMT. A microfabrication approach
was employed to decouple the effects of cell-cell contact and cell-matrix
adhesion in TGFbeta1-induced EMT. When cell spreading is controlled, the presence
of partial cell-cell contacts enhances expression of alphaSMA. Moreover, cell
spreading and intercellular contacts together control the subcellular
localization of activated Notch1 and myocardin related transcription factor
(MRTF)-A. Knockdown of Notch1 or MRTF-A as well as pharmacological inhibition of
these pathways abates the cell-cell contact mediated expression of alphaSMA.
These data suggest that the interplay between cell-matrix adhesion and
intercellular adhesion is an important determinant for some aspects of TGFbeta1
induced EMT.
PMID- 27194453
TI - Knee joint angle and vasti muscle electromyograms during fatiguing contractions.
AB - We compared vasti muscle electromyograms for two knee joint angles during
fatiguing tetanic contractions. Tetanic contraction of the knee extensors was
evoked for 70 s by electrical stimulation of the femoral nerve at knee joint
angles of 60 degrees (extended, with 0 degrees indicating full extension) and
110 degrees (flexed) in eight healthy men. Surface electromyography was recorded
from the vastus intermedius (VI), vastus lateralis (VL) and vastus medialis (VM)
muscles. Knee extension force and M-wave amplitudes and durations were calculated
every 7 s, which were normalized by the initial value. Normalized knee extension
force was decreased at the flexed knee joint angle compared with that of the
extended knee joint angle (P<0.05). Decreased normalized M-wave amplitude and
increased normalized M-wave duration of the VI were greater at the flexed knee
joint angle than the extended knee joint angle (P<0.05), whereas those for the VL
and VM were similar (P>0.05). These results suggest that peripheral fatigue
profiles of the VI might be greater at the flexed than the extended knee joint
angles, but that of VL and VM might be similar in the tested range of knee joint
angles (i.e. 60 degrees -110 degrees ) during continuous tetanic contraction
induced by electrical stimulation. Therefore, greater reduction of knee extension
force at the flexed knee joint angle than the extended knee joint angle may
reflect fatigue development of the VI more than other quadriceps femoris
components.
PMID- 27194454
TI - Engineering protein interfaces yields ferritin disassembly and reassembly under
benign experimental conditions.
AB - Ferritin nanocages are promising platforms for drug encapsulation. However,
extreme conditions (pH <= 2) required for dissociation limit their application.
Here, we engineered protein interfaces to yield ferritin nanocages which
disassemble at pH 4.0 and reassemble at pH 7.5. During this process, bioactive
molecules can be encapsulated within the protein cavity.
PMID- 27194455
TI - One-Pot Synthesis of 2,4,5-Trisubstituted Imidazoles via [2 + 2 + 1]
Cycloannulation of 1,3-Bishet(aryl)-monothio-1,3-diketones, alpha-Substituted
Methylamines and Sodium Nitrite through alpha-Nitrosation of Enaminones.
AB - An efficient one-pot synthesis of a series of diversely functionalized
trisubstituted 4(5)het(aroyl)-2,5(4)-het(aryl)/alkylimidazoles from readily
available 1,3-bishet(aryl)monothio-1,3-diketones has been reported. This novel
sequential one-pot, three step protocol, wherein three new carbon nitrogen bonds
are formed in contiguous fashion, involves in situ generation of enaminones by
reaction of monothio-1,3-diketones with alpha-substituted methylamines, followed
by their alpha-nitrosation with sodium nitrite and subsequent base mediated
intramolecular heterocyclization of the resulting alpha-hydroxyiminoimines to
trisubstituted imidazoles in high yields under mild conditions. These newly
prepared 4(5)-het(aroyl)-5(4)-het(aryl)/alkylimidazoles are shown to exist as
tautomeric mixture, however, their subsequent alkylation with methyl iodide in
the presence of potassium carbonate affords 1-N-methy-2,5-bishet(aryl)-4
het(aroyl)imidazoles in highly regioselective fashion in most of the cases.
Synthesis of few 4(5)-(2-hydroxyphenyl)-2,5(4)-substituted imidazoles, which are
known to be good coordinating ligands, has also been reported. A probable
mechanism for the formation of these imidazoles from hydroxyiminoimine
intermediates has also been suggested.
PMID- 27194457
TI - HSI colour-coded analysis of scattered light of single plasmonic nanoparticles.
AB - Single plasmonic nanoparticles (PNPs) analysis with dark-field microscopic
imaging (iDFM) has attracted much attention in recent years. The ability for
quantitative analysis of iDFM is critical, but cumbersome, for characterizing and
analyzing the scattered light of single PNPs. Here, a simple automatic HSI colour
coding method is established for coding dark-field microscopic (DFM) images of
single PNPs with localized surface plasmon resonance (LSPR) scattered light,
showing that hue value in the HSI system can realize accurate quantitative
analysis of iDFM and providing a novel approach for quantitative chemical and
biochemical imaging at the single nanoparticle level.
PMID- 27194456
TI - Exploring the Utility of Web-Based Social Media Advertising to Recruit Adult
Heavy-Drinking Smokers for Treatment.
AB - BACKGROUND: Identifying novel ways to recruit smokers for treatment studies is
important. In particular, certain subgroups of adult smokers, such as heavy
drinking smokers, are at increased risk for serious medical problems and are less
likely to try quitting smoking, so drawing this hard-to-reach population into
treatment is important for improving health outcomes. OBJECTIVE: This study
examined the utility of Facebook advertisements to recruit smokers and heavy
drinking smokers for treatment research and evaluated smoking and alcohol use and
current treatment goals among those who responded to the Web-based survey.
METHODS: Using Facebook's advertising program, 3 separate advertisements ran for
2 months targeting smokers who were thinking about quitting. Advertisements were
shown to adult (at least 18 years of age), English-speaking Facebook users in the
greater New Haven, Connecticut, area. Participants were invited to complete a Web
based survey to determine initial eligibility for a smoking cessation research
study. RESULTS: Advertisements generated 1781 clicks and 272 valid, completed
surveys in 2 months, with one advertisement generating the most interest.
Facebook advertising was highly cost-effective, averaging $0.27 per click, $1.76
per completed survey, and $4.37 per participant meeting initial screening
eligibility. On average, those who completed the Web-based survey were 36.8 (SD
10.4) years old, and 65.8% (179/272) were female. Advertisements were successful
in reaching smokers; all respondents reported daily smoking (mean 16.2 [SD 7.0]
cigarettes per day). The majority of smokers (254/272, 93.4%) were interested in
changing their smoking behavior immediately. Many smokers (161/272, 59.2%) also
reported heavy alcohol consumption at least once a month. Among smokers
interested in reducing their alcohol use, more were heavy drinkers (45/56, 80.4%)
compared to non-heavy drinkers (11/56, 19.6%; chi(2)[1,N=272]=13.0, P<.001). Of
those who met initial screening eligibility from the Web-based survey, 12.7%
(14/110) attended an in-person follow-up appointment. CONCLUSIONS: Social media
advertisements designed to target smokers were cost-effective and successful for
reaching adult smokers interested in treatment. Additionally, recruiting for
smokers reached those who also drink alcohol heavily, many of whom were
interested in changing this behavior as well. However, additional social media
strategies may be needed to engage individuals into treatment after completion of
Web-based screening surveys.
PMID- 27194459
TI - Acute side effects of three commonly used gadolinium contrast agents in the
paediatric population.
AB - OBJECTIVE: To determine the incidence of acute side effects of three commonly
used gadolinium contrast agents in the paediatric population. METHODS: A
retrospective review of medical records was performed to determine the incidence
of acute adverse side effects of i.v. gadolinium contrast agents [MultiHance((r))
(Bracco Diagnostics Inc., Princeton, NJ), Magnevist((r)) (Bayer Healthcare
Pharmaceuticals, Wayne, NJ) or Gadavist((r)) (Bayer HealthCare Pharmaceuticals)]
in paediatric patients. RESULTS: 40 of the 2393 patients who received gadolinium
contrast agents experienced acute side effects, representing an incidence of
1.7%. The majority of the acute side effects (in 30 patients) were nausea and
vomiting. The incidence was significantly higher in non-sedated patients (2.37%
vs 0.7%; p = 0.0018). Furthermore, without sedation, the incidence of both nausea
and vomiting was significantly higher in children receiving MultiHance, with a
4.48% incidence of nausea when compared with Magnevist (0.33%, p < 0.0001) and
Gadavist (0.28%, p < 0.0001) and a 2.36% incidence of vomiting compared with
those for Magnevist (0.50%, p = 0.0054) and Gadavist (0.28%, p = 0.014), whereas
no difference was observed between Magnevist and Gadavist within the power of the
study. In addition, there was no apparent difference between any of the three
contrast agents for the incidence of allergy or other acute side effects
detected, given the sample size. CONCLUSION: The gadolinium contrast agents
MultiHance, Magnevist and Gadavist have a low incidence of acute side effects in
the paediatric population, a rate that is further reduced in moderately sedated
patients. MultiHance demonstrated significantly increased incidence of
gastrointestinal symptoms compared with Magnevist and Gadavist. ADVANCES IN
KNOWLEDGE: The incidence of acute side effects of three commonly used gadolinium
contrast agents was determined in the paediatric population, which can have
clinical implications.
PMID- 27194460
TI - Dilatation of the spinal epidural venous plexus in patients with prominent
epidural fat.
AB - OBJECTIVE: The purpose of this study was to evaluate the correlation between the
dilatation of the spinal epidural venous plexus (SEVP) and the amount of epidural
fat (EF). METHODS: Between January 2007 and January 2012, 116 patients with
prominent EF and 116 control subjects without prominent EF were included in this
study. On the lumbar MR images, we graded the amount of EF and counted the number
of vertebrae to determine the longitudinal extent of the EF. We evaluated and
classified the dilatation of the SEVP and the degree of central canal stenosis.
RESULTS: SEVP dilatation significantly differed between the group with prominent
EF and the control group (p-value < 0.0001). Dilatation of the anterior epidural
veins was seen in all subjects with dilatation of the SEVP. In the group with
prominent EF, 80 (69%) patients showed dilatation of the posterior epidural
veins. The longitudinal extent of the prominent EF was significantly associated
with the grade of SEVP dilatation. The EF grade and the sum of the EF grades of
all levels of the lumbar spine with prominent EF showed a positive correlation
with the grade of central canal stenosis (r = 0.421 and r = 0.347, respectively;
p-value < 0.0001). CONCLUSION: The dilatation of epidural veins was statistically
significant in patients with prominent EF. The detection of SEVP dilatation on MR
images may be helpful for spine surgery involving the epidural space. ADVANCES IN
KNOWLEDGE: Owing to the risk of bleeding, the detection of SEVP dilatation on MRI
may be helpful when considering decompression surgery with a posterior approach
for spinal stenosis caused by prominent EF.
PMID- 27194458
TI - The potential of imaging techniques as a screening tool for colorectal cancer: a
cost-effectiveness analysis.
AB - OBJECTIVE: Imaging may be promising for colorectal cancer (CRC) screening, since
it has test characteristics comparable with colonoscopy but is less invasive. We
aimed to assess the potential of CT colonography (CTC) and MR colonography (MRC)
in terms of (cost-effectiveness) using the Adenoma and Serrated pathway to
Colorectal CAncer model. METHODS: We compared several CTC and MRC strategies with
5- or 10-yearly screening intervals with no screening, 10-yearly colonoscopy
screening and biennial faecal immunochemical test (FIT) screening. We assumed
trial-based participation rates in the base-case analyses and varied the rates in
sensitivity analyses. Incremental lifetime costs and health effects were
estimated from a healthcare perspective. RESULTS: The health gain of CTC and MRC
was similar and ranged from 0.031 to 0.048 life-year gained compared with no
screening, for 2-5 screening rounds. Lifetime costs per person for MRC strategies
were ?60-110 higher than those for CTC strategies with an equal number of
screening rounds. All imaging-based strategies were cost-effective compared with
no screening. FIT screening was the dominant screening strategy, leading to most
LYG and highest cost-savings. Compared with three rounds of colonoscopy
screening, CTC with five rounds was found to be cost-effective in an incremental
analysis of imaging strategies. Assumptions on screening participation have a
major influence on the ordering of strategies in terms of costs and effects.
CONCLUSION: CTC and MRC have potential for CRC screening, compared with no
screening and compared with three rounds of 10-yearly colonoscopy screening. When
taking FIT screening as the reference, imaging is not cost-effective.
Participation is an important driver of effectiveness and cost estimates.
ADVANCES IN KNOWLEDGE: This is the first study to assess the cost-effectiveness
of MRC screening for CRC.
PMID- 27194462
TI - A first report of hydroxylated apatite as structural biomineral in Loasaceae -
plants' teeth against herbivores.
AB - Biomineralization provides living organisms with various materials for the
formation of resilient structures. Calcium phosphate is the main component of
teeth and bones in vertebrates, whereas especially silica serves for the
protection against herbivores on many plant surfaces. Functional calcium
phosphate structures are well-known from the animal kingdom, but had not so far
been reported from higher plants. Here, we document the occurrence of calcium
phosphate biomineralization in the South-American plant group Loasaceae (rock
nettle family), which have stinging trichomes similar to those of the well-known
stinging nettles (Urtica). Stinging hairs and the smaller, glochidiate trichomes
contained nanocrystalline hydroxylated apatite, especially in their distal
portions, replacing the silica found in analogous structures of other flowering
plants. This could be demonstrated by chemical, spectroscopic, and diffraction
analyses. Some species of Loasaceae contained both calcium phosphate and silica
in addition to calcium carbonate. The intriguing discovery of structural
hydroxylated apatite in plants invites further studies, e.g., on its systematic
distribution across the family, the genetic and cellular control of plant
biomineralization, the properties and ultrastructure of calcium phosphate. It may
prove the starting point for the development of biomimetic calcium phosphate
composites based on a cellulose matrix.
PMID- 27194463
TI - Using depletion to control colloidal crystal assemblies of hard cuboctahedra.
AB - Depletion interactions arise from entropic forces, and their ability to induce
aggregation and even ordering of colloidal particles through self-assembly is
well established, especially for spherical colloids. We vary the size and
concentration of penetrable hard sphere depletants in a system of cuboctahedra,
and we show how depletion changes the preferential facet alignment of the
colloids and thereby selects different crystal structures. Moreover, we explain
the cuboctahedra phase behavior using perturbative free energy calculations. We
find that cuboctahedra can form a stable simple cubic phase, and, remarkably,
that the stability of this phase can be rationalized only by considering the
effects of both the colloid and depletant entropy. We corroborate our results by
analyzing how the depletant concentration and size affect the emergent
directional entropic forces and hence the effective particle shape. We propose
the use of depletants as a means of easily changing the effective shape of self
assembling anisotropic colloids.
PMID- 27194461
TI - Vascular-targeted nanocarriers: design considerations and strategies for
successful treatment of atherosclerosis and other vascular diseases.
AB - Vascular-targeted nanocarriers are an attractive option for the treatment of a
number of cardiovascular diseases, as they allow for more specific delivery and
increased efficacy of many small molecule drugs. However, immune clearance,
limited cellular uptake, and particle-cell dynamics in blood flow can hinder
nanocarrier efficacy in many applications. This review aims to investigate
successful strategies for the use of vascular-targeted nanocarriers in the
treatment of cardiovascular diseases such as atherosclerosis. In particular, the
review will highlight strategies employed for actively targeting the components
of the atherosclerotic plaque, including endothelial cells, macrophages, and
platelets and passive targeting via endothelial permeability, as well as design
specifications (such as size, shape, and density) aimed at enhancing the ability
of nanocarriers to reach the vascular wall. WIREs Nanomed Nanobiotechnol 2016,
8:909-926. doi: 10.1002/wnan.1414 For further resources related to this article,
please visit the WIREs website.
PMID- 27194464
TI - Hematopoietic stem cell transplantation for people with sickle cell disease.
AB - BACKGROUND: Sickle cell disease is a genetic disorder involving a defect in the
red blood cells due to its sickled hemoglobin. The main therapeutic interventions
include preventive and supportive measures. Hematopoietic stem cell
transplantations are carried out with the aim of replacing the defective cells
and their progenitors (hematopoietic (i.e. blood forming) stem cells) in order to
correct the disorder. This is an update of a previously published review.
OBJECTIVES: To determine whether stem cell transplantation can improve survival
and prevent symptoms and complications associated with sickle cell disease. To
examine the risks of stem cell transplantation against the potential long-term
gain for people with sickle cell disease. SEARCH METHODS: We searched the
Cochrane Cystic Fibrosis and Genetic Disorders Group Group's Haemoglobinopathies
Trials Register complied from electronic searches of the Cochrane Central
Register of Controlled Trials (CENTRAL) (updated each new issue of The Cochrane
Library) and quarterly searches of MEDLINE.Unpublished work was identified by
searching the abstract books of major conference proceedings and we conducted a
search of the website: www.ClinicalTrials.gov.Date of the most recent search of
the Group's Haemoglobinopathies Trials Register: 06 October 2015. SELECTION
CRITERIA: Randomized controlled and quasi-randomized studies that compared any
method of stem cell transplantation with either each other or with any of the
preventive or supportive interventions (e.g. periodic blood transfusion, use of
hydroxyurea, antibiotics, pain relievers, supplemental oxygen) in people with
sickle cell disease irrespective of the type of sickle cell disease, gender and
setting. DATA COLLECTION AND ANALYSIS: No relevant trials were identified. MAIN
RESULTS: Ten trials were identified by the initial search and none for the
update. None of these trials were suitable for inclusion in this review. AUTHORS'
CONCLUSIONS: Reports on the use of hematopoietic stem cell transplantation
improving survival and preventing symptoms and complications associated with
sickle cell disease are currently limited to observational and other less robust
studies. No randomized controlled trial assessing the benefit or risk of
hematopoietic stem cell transplantations was found. Thus, this systematic review
identifies the need for a multicentre randomized controlled trial assessing the
benefits and possible risks of hematopoietic stem cell transplantations comparing
sickle status and severity of disease in people with sickle cell disease.
PMID- 27194465
TI - Higher Hemoglobin A1c After Discharge Is an Independent Predictor of Adverse
Outcomes in Patients With Acute Coronary Syndrome - Findings From the PACIFIC
Registry.
AB - BACKGROUND: Optimal medical therapy (OMT) and the management of coronary risk
factors are necessary for secondary prevention of major adverse cardiac and
cerebrovascular events (MACCE) in post-acute coronary syndrome (ACS) patients.
However, the effect of post-discharge patient adherence has not been investigated
in Japanese patients. METHODS AND RESULTS: The Prevention of AtherothrombotiC
Incidents Following Ischemic Coronary Attack (PACIFIC) registry was a
multicenter, prospective observational study of 3,597 patients with ACS. Death or
MACCE occurred in 229 patients between hospitalization and up to 1 year after
discharge. Among 2,587 patients, the association between OMT adherence and risk
factor control at 1 year and MACCE occurring between 1 and 2 years after
discharge was assessed. OMT was defined as the use of antiplatelet agents,
angiotensin-converting enzyme inhibitors, beta-blockers, and statins. Risk factor
targets were: low-density lipoprotein-cholesterol <100 mg/dl, HbA1c <7.0%, non
smoking status, blood pressure <130/80 mmHg, and 18.5<=body mass index<=24.9
kg/m(2). The incidence of MACCE was 1.8% and associated with female sex
(P=0.020), age >=75 years (P=0.004), HbA1c >=7.0% (P=0.004), LV ejection fraction
<35% (P<0.001), estimated glomerular filtration rate <60 ml/min (P=0.008), and
history of cerebral infarction (P=0.003). In multivariate analysis, lower post
discharge HbA1c was strongly associated with a lower risk of MACCE after ACS
(P=0.004). CONCLUSIONS: Hyperglycemia after discharge is a crucial target for the
prevention of MACCE in post-ACS patients. (Circ J 2016; 80: 1607-1614).
PMID- 27194466
TI - Impact of Age on the Functional Significance of Intermediate Epicardial Artery
Disease.
AB - BACKGROUND: The functional significance of an intermediate coronary lesion is
crucial for determining the treatment strategy, but age-related changes in
cardiovascular function could affect the functional significance of an epicardial
stenosis. The aim of this study was therefore to investigate the impact of age on
fractional flow reserve (FFR) measurements in patients with intermediate coronary
artery disease (CAD). METHODS AND RESULTS: Intracoronary pressure measurements
and intravascular ultrasound (IVUS) were performed in 178 left anterior
descending coronary arteries with intermediate stenosis. The morphological
characteristics and FFR of 91 lesions in patients <65 years old were compared
with those of 87 patients >=65 years old. There was no difference in lesion
location, diameter stenosis, minimum lumen area, plaque burden, or lesion length
between the 2 age groups. Elderly patients had higher FFR (0.81+/-0.06 vs. 0.79+/
0.06, P=0.004) and lower ?FFR, defined as the difference between resting Pd/Pa
and FFR (0.13+/-0.05 vs. 0.15+/-0.05, P=0.014). Age, along with the location and
degree of stenosis, was independently associated with FFR and ?FFR (beta=0.162,
P=0.008; beta=-0.131, P=0.043, respectively). CONCLUSIONS: Elderly patients with
intermediate CAD are more likely to have higher FFR and lower ?FFR, despite a
similar degree of epicardial stenosis, compared with younger patients. (Circ J
2016; 80: 1583-1589).
PMID- 27194467
TI - Prognostic Significance of Insomnia in Heart Failure.
AB - BACKGROUND: Insomnia is associated with incident heart failure (HF), but the
clinical significance and impact of insomnia on HF remain unclear. METHODS AND
RESULTS: Consecutive 1,011 patients admitted for HF were divided into 2 groups
according to the presence of insomnia: HF with insomnia (insomnia group, n=519)
and HF without insomnia (non-insomnia group, n=492). We compared (1) cardiac
event rates including cardiac death and worsening HF; and (2) underlying clinical
background including laboratory data, echocardiographic data, and cardiopulmonary
exercise test between the 2 groups. On Kaplan-Meier analysis, cardiac event rate
was significantly higher in the insomnia group than in the non-insomnia group
(39.1 vs. 23.4%, P<0.001). The insomnia group, as compared with the non-insomnia
group, had (1) higher plasma renin activity (P=0.042), renin concentration
(P=0.007), and aldosterone (P=0.047); (2) lower peak VO2(14.9 vs. 16.3 ml/kg/min,
P=0.002) and higher VE/VCO2slope (36.0 vs. 33.5, P=0.001); and (3) similar B-type
natriuretic peptide and left ventricular ejection fraction. Importantly, on
multivariate Cox proportional hazard analysis after adjusting for potential
confounding factors, insomnia was an independent predictor of cardiac events in
HF patients (hazard ratio, 1.899; P<0.001). CONCLUSIONS: Insomnia is an
independent predictor of cardiac events in HF patients. HF patients with insomnia
have activated renin-angiotensin-aldosterone system and lower exercise capacity.
(Circ J 2016; 80: 1571-1577).
PMID- 27194468
TI - Increased Coronary Perivascular Adipose Tissue Volume in Patients With
Vasospastic Angina.
AB - BACKGROUND: Recent studies have suggested that coronary perivascular adipose
tissue (PVAT) impairs coronary vasomotion, so we examined whether PVAT is
increased at the spastic coronary segment in patients with vasospastic angina
(VSA). METHODS AND RESULTS: PVAT volume in the left anterior descending (LAD)
coronary arteries on CT coronary angiography was significantly increased in 48
VSA patients with LAD spasm compared with 18 controls (30.7+/-2.0 vs. 21.0+/-3.2
cm(3), P=0.01), whereas that of total epicardial adipose tissue was comparable
between the 2 groups. CONCLUSIONS: The results suggested an important role of
PVAT in the pathogenesis of coronary spasm. (Circ J 2016; 80: 1653-1656).
PMID- 27194469
TI - Characteristics and Outcomes of Bath-Related Out-of-Hospital Cardiac Arrest in
Japan.
AB - BACKGROUND: Characteristics and outcomes of emergency patients with bath-related
sudden cardiac arrest in prehospital settings have not been sufficiently
investigated. METHODS AND RESULTS: From a prospective population-based registry,
which covers all out-of-hospital cardiac arrests (OHCAs) in Osaka City, a total
of 642 patients who had a bath-related OHCA from 2012 to 2014 were enrolled in
the analyses. The characteristics and outcomes of OHCA were compared by three
locations of arrest: home baths (n=512), public baths (n=102), and baths in other
public institutions (n=28). Overall, bath-related OHCAs mainly occurred in winter
(December-February, 48.9%, 314/642). The proportion of OHCAs that were witnessed
by bystanders was 6.4% (33/512) in home baths, 17.6% (18/102) in public baths,
and 25.0% (7/28) in baths in other public institutions. The proportion of public
access automated external defibrillator pad application was 0.8% (4/512) in home
baths, 6.9% (7/102) in public baths, and 50.0% (14/28) in baths in other public
institutions. Only 1 survivor with a favorable neurologic outcome was observed in
a home bath, whereas there were no patients who survived with favorable
neurologic outcomes in public baths and baths in other public institutions.
CONCLUSIONS: Bath-related OHCAs mainly occurred in winter, and the outcome of
victims was exceedingly poor, irrespective of location of arrest. The
establishment of preventive measures as well as earlier recognition of cardiac
arrest by bystanders are needed. (Circ J 2016; 80: 1564-1570).
PMID- 27194470
TI - Shining the Light on Calcium in the Catheterization Lab.
PMID- 27194471
TI - Inhibition of the hexosamine biosynthetic pathway promotes castration-resistant
prostate cancer.
AB - The precise molecular alterations driving castration-resistant prostate cancer
(CRPC) are not clearly understood. Using a novel network-based integrative
approach, here, we show distinct alterations in the hexosamine biosynthetic
pathway (HBP) to be critical for CRPC. Expression of HBP enzyme glucosamine
phosphate N-acetyltransferase 1 (GNPNAT1) is found to be significantly decreased
in CRPC compared with localized prostate cancer (PCa). Genetic loss-of-function
of GNPNAT1 in CRPC-like cells increases proliferation and aggressiveness, in
vitro and in vivo. This is mediated by either activation of the PI3K-AKT pathway
in cells expressing full-length androgen receptor (AR) or by specific protein 1
(SP1)-regulated expression of carbohydrate response element-binding protein
(ChREBP) in cells containing AR-V7 variant. Strikingly, addition of the HBP
metabolite UDP-N-acetylglucosamine (UDP-GlcNAc) to CRPC-like cells significantly
decreases cell proliferation, both in-vitro and in animal studies, while also
demonstrates additive efficacy when combined with enzalutamide in-vitro. These
observations demonstrate the therapeutic value of targeting HBP in CRPC.
PMID- 27194474
TI - Liposome production by microfluidics: potential and limiting factors.
AB - This paper provides an analysis of microfluidic techniques for the production of
nanoscale lipid-based vesicular systems. In particular we focus on the key issues
associated with the microfluidic production of liposomes. These include, but are
not limited to, the role of lipid formulation, lipid concentration, residual
amount of solvent, production method (including microchannel architecture), and
drug loading in determining liposome characteristics. Furthermore, we propose
microfluidic architectures for the mass production of liposomes with a view to
potential industrial translation of this technology.
PMID- 27194472
TI - Sodium tanshinone IIA sulfonate inhibits hypoxia-induced enhancement of SOCE in
pulmonary arterial smooth muscle cells via the PKG-PPAR-gamma signaling axis.
AB - Our laboratory previously showed that sodium tanshinone IIA sulfonate (STS)
inhibited store-operated Ca(2+) entry (SOCE) through store-operated Ca(2+)
channels (SOCC) via downregulating the expression of transient receptor potential
canonical proteins (TRPC), which contribute to the formation of SOCC (Wang J,
Jiang Q, Wan L, Yang K, Zhang Y, Chen Y, Wang E, Lai N, Zhao L, Jiang H, Sun Y,
Zhong N, Ran P, Lu W. Am J Respir Cell Mol Biol 48: 125-134, 2013). The detailed
molecular mechanisms by which STS inhibits SOCE and downregulates TRPC, however,
remain largely unknown. We have previously shown that, under hypoxic conditions,
inhibition of protein kinase G (PKG) and peroxisome proliferator-activated
receptor-gamma (PPAR-gamma) signaling axis results in the upregulation of TRPC
(Wang J, Yang K, Xu L, Zhang Y, Lai N, Jiang H, Zhang Y, Zhong N, Ran P, Lu W. Am
J Respir Cell Mol Biol 49: 231-240, 2013). This suggests that strategies
targeting the restoration of this signaling pathway may be an effective treatment
strategy for pulmonary hypertension. In this study, our results demonstrated that
STS treatment can effectively prevent the hypoxia-mediated inhibition of the PKG
PPAR-gamma signaling axis in rat distal pulmonary arterial smooth muscle cells
(PASMCs) and distal pulmonary arteries. These effects of STS treatment were
blocked by pharmacological inhibition or specific small interfering RNA knockdown
of either PKG or PPAR-gamma. Moreover, targeted PPAR-gamma agonist markedly
enhanced the beneficial effects of STS. These results comprehensively suggest
that STS treatment can prevent hypoxia-mediated increases in intracellular
calcium homeostasis and cell proliferation, by targeting and restoring the
hypoxia-inhibited PKG-PPAR-gamma signaling pathway in PASMCs.
PMID- 27194473
TI - Regulation of membrane KCNQ1/KCNE1 channel density by sphingomyelin synthase 1.
AB - Sphingomyelin synthase (SMS) catalyzes the conversion of phosphatidylcholine and
ceramide to sphingomyelin and diacylglycerol. We previously showed that SMS1
deficiency leads to a reduction in expression of the K(+) channel KCNQ1 in the
inner ear (Lu MH, Takemoto M, Watanabe K, Luo H, Nishimura M, Yano M, Tomimoto H,
Okazaki T, Oike Y, and Song WJ. J Physiol 590: 4029-4044, 2012), causing hearing
loss. However, it remains unknown whether this change in expression is
attributable to a cellular process or a systemic effect in the knockout animal.
Here, we examined whether manipulation of SMS1 activity affects KCNQ1/KCNE1
currents in individual cells. To this end, we expressed the KCNQ1/KCNE1 channel
in human embryonic kidney 293T cells and evaluated the effect of SMS1
manipulations on the channel using whole cell recording. Application of
tricyclodecan-9-yl-xanthogenate, a nonspecific inhibitor of SMSs, significantly
reduced current density and altered channel voltage dependence. Knockdown of SMS1
by a short hairpin RNA, however, reduced current density alone. Consistent with
this, overexpression of SMS1 increased the current density without changing
channel properties. Furthermore, application of protein kinase D inhibitors also
suppressed current density without changing channel properties; this effect was
nonadditive with that of SMS1 short hairpin RNA. These results suggest that SMS1
positively regulates KCNQ1/KCNE1 channel density in a protein kinase D-dependent
manner.
PMID- 27194475
TI - Densely charged polyelectrolyte-stuffed nanochannel arrays for power generation
from salinity gradient.
AB - We devised anodized aluminium oxide (AAO) frame-supported polyelectrolytic ion
exchange membranes for the application of electrical power generation systems
where salinity differences are present. A series of polyelectrolytic AAO
membranes (PAMs) were fabricated as a function of concentration of monomers and
cross-linkers. Of the ion-selective PAMs as made, the membranes from the most
concentrated monomers and cross-linkers, C-PAM100 and A-PAM100, showed the
highest area resistances and permselectivities (the resistances were 4.9 and 2.9
Omega . cm(2), the permseletivities for C-PAM100 and A-PAM100 were 99 and 89%,
respectively). The measured resistances and permselectivities allowed the power
density to be estimated for C-PAM100 and A-PAM100, 3.5 W/m(2), and experimentally
obtained power density using a reverse electrodialysis (RED) stack was 17.3
mW/m(2). In addition, we investigated the influence of an AAO framework on a
membrane resistance by comparing the PAMs with polyelectrolyte-stuffed
capillaries, revealing that the resistance of the PAM has plenty of potential to
be further reduced by optimizing the AAO pore spaces.
PMID- 27194478
TI - Examining the Uptake of Central Nervous System Drugs and Candidates across the
Blood-Brain Barrier.
AB - Assessing the equilibration of the unbound drug concentrations across the blood
brain barrier (Kp,uu) has progressively replaced the partition coefficient based
on the ratio of the total concentration in brain tissue to blood (Kp). Here, in
vivo brain distribution studies were performed on a set of central nervous system
(CNS)-targeted compounds in both rats and P-glycoprotein (P-gp) genetic knockout
mice. Several CNS drugs are characterized by Kp,uu values greater than unity,
inferring facilitated uptake across the rodent blood-brain barrier (BBB).
Examples are shown in which Kp,uu also increases above unity on knockout of P-gp,
highlighting the composite nature of this parameter with respect to facilitated
BBB uptake, efflux, and passive diffusion. Several molecules with high Kp,uu
values share common structural elements, whereas uptake across the BBB appears
more prevalent in the CNS-targeted drug set than the chemical templates being
generated within the current lead optimization paradigm. Challenges for
identifying high Kp,uu compounds are discussed in the context of acute versus
steady-state data and cross-species differences. Evidently, there is a need for
better predictive models of human brain Kp,uu.
PMID- 27194477
TI - Functional Selectivity of CB2 Cannabinoid Receptor Ligands at a Canonical and
Noncanonical Pathway.
AB - The CB2 cannabinoid receptor (CB2) remains a tantalizing, but unrealized
therapeutic target. CB2 receptor ligands belong to varied structural classes and
display extreme functional selectivity. Here, we have screened diverse CB2
receptor ligands at canonical (inhibition of adenylyl cyclase) and noncanonical
(arrestin recruitment) pathways. The nonclassic cannabinoid (-)-cis-3-[2-hydroxy
4-(1,1-dimethylheptyl)phenyl]-trans-4-(3-hydroxypropyl)cyclohexanol (CP55940) was
the most potent agonist for both pathways, while the classic cannabinoid ligand
(6aR,10aR)-3-(1,1-Dimethylbutyl)-6a,7,10,10a-tetrahydro-6,6,9-trimethyl-6H
dibenzo[b,d]pyran JWH133) was the most efficacious agonist among all the ligands
profiled in cyclase assays. In the cyclase assay, other classic cannabinoids
showed little [(-)-trans-Delta(9)-tetrahydrocannabinol and (-)-(6aR,7,10,10aR)
tetrahydro-6,6,9-trimethyl-3-(1-methyl-1-phenylethyl)-6H-dibenzo[b,d]pyran-1-ol]
(KM233) to no efficacy [(6aR,10aR)-1-methoxy-6,6,9-trimethyl-3-(2-methyloctan-2
yl)-6a,7,10,10a-tetrahydrobenzo[c]chromene(L759633) and (6aR,10aR)-3-(1,1
dimethylheptyl)-6a,7,8,9,10,10a-hexahydro-1-methoxy-6,6-dimethyl-9-methylene-6H
dibenzo[b,d]pyran]L759656. Most aminoalkylindoles, including [(3R)-2,3-dihydro-5
methyl-3-(4-morpholinylmethyl)pyrrolo[1,2,3-de]-1,4-benzoxazin-6-yl]-1
naphthalenyl-methanone, monomethanesulfonate (WIN55212-2), were moderate efficacy
agonists. The cannabilactone 3-(1,1-dimethyl-heptyl)-1-hydroxy-9-methoxy
benzo(c)chromen-6-one (AM1710) was equiefficacious to CP55940 to inhibit adenylyl
cyclase, albeit with lower potency. In the arrestin recruitment assays, all
classic cannabinoid ligands failed to recruit arrestins, indicating a bias toward
G-protein coupling for this class of compound. All aminoalkylindoles tested,
except for WIN55212-2 and (1-pentyl-1H-indol-3-yl)(2,2,3,3
tetramethylcyclopropyl)-methanone (UR144), failed to recruit arrestin. WIN55212-2
was a low efficacy agonist for arrestin recruitment, while UR144 was arrestin
biased with no significant inhibition of cyclase. Endocannabinoids were G-protein
biased with no arrestin recruitment. The diarylpyrazole antagonist 5-(4-chloro-3
methylphenyl)-1-[(4-methylphenyl)methyl]-N-[(1S,2S,4R)-1,3,3
trimethylbicyclo[2.2.1]hept-2-yl]-1H-pyrazole-3-carboxamide (SR144258) was an
inverse agonist in cyclase and arrestin recruitment assays while the
aminoalkylindole 6-iodo-2-methyl-1-[2-(4-morpholinyl)ethyl]-1H-indol-3-yl](4
methoxyphenyl)methanone (AM630) and carboxamide N-(1,3-benzodioxol-5-ylmethyl)
1,2-dihydro-7-methoxy-2-oxo-8-(pentyloxy)-3-quinolinecarboxamide (JTE907) were
inverse agonists in cyclase but low efficacy agonists in arrestin recruitment
assays. Thus, CB2 receptor ligands display strong and varied functional
selectivity at both pathways. Therefore, extreme care must be exercised when
using these compounds to infer the role of CB2 receptors in vivo.
PMID- 27194479
TI - Treatment with Adenosine Receptor Agonist Ameliorates Pain Induced by Acute and
Chronic Inflammation.
AB - Rheumatoid arthritis is an inflammatory autoimmune condition, and tumor necrosis
factor-alpha (TNF-alpha) plays an important role in its pathophysiology. In
vitro, (E)-N'-(3,4-dimethoxybenzylidene)-N-methylbenzohydrazide (LASSBio-1359)
has exhibited anti-TNF-alpha properties, and in vivo these effects are mediated
via activation of adenosine receptor. This work investigates the antinociceptive
action of LASSBio-1359 in murine models of acute and chronic inflammatory pain.
Male mice received an intraperitoneal injection of LASSBio-1359 and then were
evaluated in formalin- and carrageenan-induced paw edema assays. Complete
Freund's adjuvant (CFA) was used to induce a mouse model of monoarthritis. These
mice were treated with LASSBio-1359 by oral gavage to evaluate thermal and
mechanical hyperalgesia. TNF-alpha and inducible nitric oxide synthase (iNOS)
expression as well as histologic features were analyzed. The time of reactivity
to formalin in the neurogenic phase was reduced from 56.3 +/- 6.0 seconds to 32.7
+/- 2.2 seconds and 23.8 +/- 2.6 seconds after treatment with LASSBio-1359 at
doses of 10 mg/kg and 20 mg/kg, respectively. A reversal of the antinociceptive
action of LASSBio-1359 was observed in the inflammatory phase after treatment
with ZM 241385 [4-(2-[7-amino-2-(2-furly)[1,2,4]triazolo[2,3-a][1,3,5]triazin-5
ylamino]ethyl)phenol], an adenosine A2A antagonist. Carrageenan-induced thermal
and mechanical hyperalgesia were reduced after treatment with LASSBio-1359.
Similarly, CFA-induced thermal and mechanical hyperalgesia were reduced after
treatment with LASSBio-1359 (25 and 50 mg/kg). Levels of TNF-alpha and iNOS
expression increased in the monoarthritis model and were normalized in animals
treated with LASSBio-1359, which was also associated with beneficial effects in
the histologic analysis. These results suggest that LASSBio-1359 represents an
alternative treatment of monoarthritis.
PMID- 27194480
TI - The Role of Program-Supported Mentoring Relationships in Promoting Youth Mental
Health, Behavioral and Developmental Outcomes.
AB - This study examined the relationship between youth mentoring status and
behavioral, developmental, and emotional outcomes for 859 youths aged 6-17
participating in a national survey of Big Brothers Big Sisters community
mentoring relationships (MRs). Youth self-reported behaviors and mental health
occurred at the baseline assessment (before being paired to a mentor) and at 18
months follow-up. Youth mentoring status was categorized as follows: (1)
continuous MR less than 12 months (n = 131); (2) continuous MR 12 or more months
(n = 253); (3) dissolved MR less than 12 months (n = 110); (4) dissolved MR 12 or
more months (n = 70); 5) MR with a second mentor (re-matched; n = 83); and (6);
never mentored (n = 212). Structural equation model results at 18 months revealed
that mentored youths, especially those in MR lasting 12 or more months
(continuous or dissolved), reported significantly fewer behavioral problems and
fewer symptoms of depression and social anxiety than did non-mentored youths.
They also reported stronger coping skills and emotional support from parents.
Mentored girls and boys in long-term relationships experienced positive outcomes.
Re-matched girls displayed better outcomes than did never-mentored girls while
there was some evidence of harmful outcomes for re-matched boys. Threats to
internal validity are examined including the possibility of pre-existing baseline
differences between mentored and non-mentored youths. Implications for mentoring
programs are discussed.
PMID- 27194481
TI - Model inversion via multi-fidelity Bayesian optimization: a new paradigm for
parameter estimation in haemodynamics, and beyond.
AB - We present a computational framework for model inversion based on multi-fidelity
information fusion and Bayesian optimization. The proposed methodology targets
the accurate construction of response surfaces in parameter space, and the
efficient pursuit to identify global optima while keeping the number of expensive
function evaluations at a minimum. We train families of correlated surrogates on
available data using Gaussian processes and auto-regressive stochastic schemes,
and exploit the resulting predictive posterior distributions within a Bayesian
optimization setting. This enables a smart adaptive sampling procedure that uses
the predictive posterior variance to balance the exploration versus exploitation
trade-off, and is a key enabler for practical computations under limited budgets.
The effectiveness of the proposed framework is tested on three parameter
estimation problems. The first two involve the calibration of outflow boundary
conditions of blood flow simulations in arterial bifurcations using multi
fidelity realizations of one- and three-dimensional models, whereas the last one
aims to identify the forcing term that generated a particular solution to an
elliptic partial differential equation.
PMID- 27194476
TI - Evaluating cell reprogramming, differentiation and conversion technologies in
neuroscience.
AB - The scarcity of live human brain cells for experimental access has for a long
time limited our ability to study complex human neurological disorders and
elucidate basic neuroscientific mechanisms. A decade ago, the development of
methods to reprogramme somatic human cells into induced pluripotent stem cells
enabled the in vitro generation of a wide range of neural cells from virtually
any human individual. The growth of methods to generate more robust and defined
neural cell types through reprogramming and direct conversion into induced
neurons has led to the establishment of various human reprogramming-based neural
disease models.
PMID- 27194482
TI - The social brain: scale-invariant layering of Erdos-Renyi networks in small-scale
human societies.
AB - The cognitive ability to form social links that can bind individuals together
into large cooperative groups for safety and resource sharing was a key
development in human evolutionary and social history. The 'social brain
hypothesis' argues that the size of these social groups is based on a
neurologically constrained capacity for maintaining long-term stable
relationships. No model to date has been able to combine a specific socio
cognitive mechanism with the discrete scale invariance observed in ethnographic
studies. We show that these properties result in nested layers of self-organizing
Erdos-Renyi networks formed by each individual's ability to maintain only a small
number of social links. Each set of links plays a specific role in the formation
of different social groups. The scale invariance in our model is distinct from
previous 'scale-free networks' studied using much larger social groups; here, the
scale invariance is in the relationship between group sizes, rather than in the
link degree distribution. We also compare our model with a dominance-based
hierarchy and conclude that humans were probably egalitarian in hunter-gatherer
like societies, maintaining an average maximum of four or five social links
connecting all members in a largest social network of around 132 people.
PMID- 27194485
TI - Structural analysis of oncogenic mutation of isocitrate dehydrogenase 1.
AB - Arginine to histidine mutation at position 132 (R132H) in isocitrate
dehydrogenase 1 (IDH1) led to reduced affinity of the respective enzymes for
isocitrate and increased affinity for alpha-ketoglutarate (AKG) and NADPH. This
phenomenon retarded oxidative decarboxylation of isocitrate to AKG and conferred
a novel enzymatic activity that facilitated the reduction of AKG to d-2
hydroxyglutarate (d-2HG). The loss of isocitrate utilization and gain of 2HG
production from IDH1 R132H had been taken up as a fundamental problem and to
solve this, structural biology approaches were adopted. Interaction analysis was
carried out to investigate the IDH1 substrate binding environment. The altered
behaviour of mutant and native IDH1 in interaction analysis was explored by
performing long-term molecular dynamics simulations (~300 ns). This study reports
a comprehensive atomic behaviour of the gain-of-function mutation (R132H) in the
IDH1 enzyme which in turn provides a direction towards new therapeutics.
PMID- 27194483
TI - Distinct properties of semiquinone species detected at the ubiquinol oxidation Qo
site of cytochrome bc1 and their mechanistic implications.
AB - The two-electron ubiquinol oxidation or ubiquinone reduction typically involves
semiquinone (SQ) intermediates. Natural engineering of ubiquinone binding sites
of bioenergetic enzymes secures that SQ is sufficiently stabilized, so that it
does not leave the site to membranous environment before full oxidation/reduction
is completed. The ubiquinol oxidation Qo site of cytochrome bc1 (mitochondrial
complex III, cytochrome b6f in plants) has been considered an exception with
catalytic reactions assumed to involve highly unstable SQ or not to involve any
SQ intermediate. This view seemed consistent with long-standing difficulty in
detecting any reaction intermediates at the Qo site. New perspective on this
issue is now offered by recent, independent reports on detection of SQ in this
site. Each of the described SQs seems to have different spectroscopic properties
leaving space for various interpretations and mechanistic considerations. Here,
we comparatively reflect on those properties and their consequences on the SQ
stabilization, the involvement of SQ in catalytic reactions, including proton
transfers, and the reactivity of SQ with oxygen associated with superoxide
generation activity of the Qo site.
PMID- 27194486
TI - First-principles computation of surface segregation in L10 CoPt magnetic
nanoparticles.
AB - In this study, we have employed the first-principles density functional theory
(DFT) computational method to predict the influence of surface segregation on the
magnetic properties of small L10 CoPt nanoparticles. For both the modelled
cuboidal (with a chemical formula of Co26Pt12) and cuboctahedral (with a chemical
formula of Co18Pt20) CoPt nanoparticles, the DFT calculations predict that Pt
surface segregation should occur thermodynamically. Associated with this Pt
surface segregation, the surface-segregated CoPt magnetic nanoparticles are
predicted to have significantly reduced magnetic moments and magnetic anisotropy
energies than those of the corresponding bulk-terminated (i.e. non-segregated)
nanoparticles. Hence, our study suggests that surface segregation could
deteriorate the magnetic properties of CoPt nanoparticles.
PMID- 27194484
TI - Gradual conversion of cellular stress patterns into pre-stressed matrix
architecture during in vitro tissue growth.
AB - The complex arrangement of the extracellular matrix (ECM) produced by cells
during tissue growth, healing and remodelling is fundamental to tissue function.
In connective tissues, it is still unclear how both cells and the ECM become and
remain organized over length scales much larger than the distance between
neighbouring cells. While cytoskeletal forces are essential for assembly and
organization of the early ECM, how these processes lead to a highly organized ECM
in tissues such as osteoid is not clear. To clarify the role of cellular tension
for the development of these ordered fibril architectures, we used an in vitro
model system, where pre-osteoblastic cells produced ECM-rich tissue inside
channels with millimetre-sized triangular cross sections in ceramic scaffolds.
Our results suggest a mechanical handshake between actively contracting cells and
ECM fibrils: the build-up of a long-range organization of cells and the ECM
enables a gradual conversion of cell-generated tension to pre-straining the ECM
fibrils, which reduces the work cells have to generate to keep mature tissue
under tension.
PMID- 27194487
TI - Telemedicine for the acute management of stroke in Burgundy, France: an
evaluation of effectiveness and safety.
AB - BACKGROUND: In the context of the development of telemedicine in France to
address low thrombolysis rates and limited stroke infrastructures, a star-shaped
telestroke network was implemented in Burgundy (1.6 million inhabitants). We
evaluated the safety and effectiveness of this network for thrombolysis in acute
ischemic stroke patients. METHODS: One hundred and thirty-two consecutive
patients who received intravenous thrombolysis during a telemedicine procedure
(2012-2014) and 222 consecutive patients who were treated at the stroke center of
Dijon University Hospital, France (2011-2012) were included. Main outcomes were
the modified Rankin scale (mRS) score and case fatality at 3 months. Comparisons
between groups were made using multivariable ordinal logistic regression and
logistic regression analyses, respectively. RESULTS: Baseline characteristics of
telethrombolysis patients were similar to those of patients undergoing
thrombolysis locally except for a higher frequency of previous cancer and pre
morbid handicap, and a trend towards greater severity at admission in the former.
The distribution of mRS scores at 3 months was similar between groups, as were
case-fatality rates (18.9% in the telethrombolysis group versus 16.5%, P = 0.56).
In multivariable models, telethrombolysis did not independently influence
functional outcomes at 3 months (odds ratio for a shift towards a worse outcome
on the mRS, 1.11; 95% confidence interval, 0.74-1.66, P = 0.62) or death (odds
ratio, 0.86; 95% confidence interval, 0.44-1.69, P = 0.66). CONCLUSION: The
implementation of a regional telemedicine network for the management of acute
ischemic stroke appeared to be effective and safe. Thanks to this network, the
proportion of patients who benefit from thrombolysis will increase. Further
research is needed to evaluate economic benefits.
PMID- 27194488
TI - Gastrointestinal and liver disease in Adult Life After Childhood Cancer in
Scandinavia: A population-based cohort study.
AB - Survival after childhood cancer diagnosis has remarkably improved, but emerging
evidence suggests that cancer-directed therapy may have adverse gastrointestinal
late effects. We aimed to comprehensively assess the frequency of
gastrointestinal and liver late effects among childhood cancer survivors and
compare this frequency with the general population. Our population-based cohort
study included all 1-year survivors of childhood and adolescent cancer in
Denmark, Finland, Iceland, Norway and Sweden diagnosed from the 1940s and 1950s.
Our outcomes of interest were hospitalization rates for gastrointestinal and
liver diseases, which were ascertained from national patient registries. We
calculated standardized hospitalization rate ratios (RRs) and absolute excess
rates comparing hospitalizations of any gastrointestinal or liver disease and for
specific disease entities between survivors and the general population. The study
included 31,132 survivors and 207,041 comparison subjects. The median follow-up
in the hospital registries were 10 years (range: 0-42) with 23% of the survivors
being followed at least to the age of 40 years. Overall, survivors had a 60%
relative excess of gastrointestinal or liver diseases [RR: 1.6, 95% confidence
interval (CI): 1.6-1.7], which corresponds to an absolute excess of 360 (95% CI:
330-390) hospitalizations per 100,000 person-years. Survivors of hepatic tumors,
neuroblastoma and leukemia had the highest excess of gastrointestinal and liver
diseases. In addition, we observed a relative excess of several specific diseases
such as esophageal stricture (RR: 13; 95% CI: 9.2-20) and liver cirrhosis (RR:
2.9; 95% CI: 2.0-4.1). Our findings provide useful information about the breadth
and magnitude of late complications among childhood cancer survivors and can be
used for generating hypotheses about potential exposures related to these
gastrointestinal and liver late effects.
PMID- 27194489
TI - Outcomes for Hip Arthroscopy According to Sex and Age: A Comparative Matched
Group Analysis.
AB - BACKGROUND: Factors such as age and sex are postulated to play a role in outcomes
following arthroscopy for femoroacetabular impingement; however, to our
knowledge, no data currently delineate outcomes on the basis of these factors.
The purpose of this study was to compare clinical outcomes of patients undergoing
hip arthroscopy for femoroacetabular impingement according to sex and age.
METHODS: One hundred and fifty patients undergoing hip arthroscopy for
femoroacetabular impingement by a single fellowship-trained surgeon were
prospectively analyzed, with 25 patients in each of the following groups: female
patients younger than 30 years of age, female patients 30 to 45 years of age,
female patients older than 45 years of age, male patients younger than 30 years
of age, male patients 30 to 45 years of age, and male patients older than 45
years of age. The primary outcomes included the Hip Outcome Score Activities of
Daily Living Subscale (HOS-ADL), Hip Outcome Score Sport-Specific Subscale (HOS
Sport), the modified Harris hip score (mHHS), and clinical improvement at the
time of follow-up. RESULTS: At a minimum 2-year follow-up, all groups
demonstrated significant improvements in the HOS-ADL, the HOS-Sport, and the mHHS
(p < 0.0001). Female patients older than 45 years of age scored significantly
worse on the HOS-ADL, HOS-Sport, and mHHS compared with female patients younger
than 30 years of age (p < 0.0001 for all) and female patients 30 to 45 years of
age (p < 0.017 for all). Male patients older than 45 years of age scored
significantly worse on all outcomes compared with male patients younger than 30
years of age (p <= 0.011 for all) and male patients 30 to 45 years of age (p <=
0.021 for all). Incorporating both sexes, patients older than 45 years of age
scored significantly worse on all outcomes compared with patients younger than 30
years of age (p < 0.0001 for all) and patients 30 to 45 years of age (p <= 0.001
for all). Female patients older than 45 years of age had significantly reduced
radiographic preoperative joint space width compared with the two other female
groups and the male groups who were 45 years of age or younger (p < 0.05 for
all). CONCLUSIONS: Although all patients had significant improvements in all
outcomes following hip arthroscopy, patients older than 45 years of age performed
worse than younger patients, with female patients older than 45 years of age
demonstrating the lowest outcome scores. In the age group of 45 years or younger,
female patients performed as well as male patients in terms of hip clinical
outcome scores. Overall, care must be individualized to optimize outcomes
following hip arthroscopy for femoroacetabular impingement. LEVEL OF EVIDENCE:
Prognostic Level II. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 27194490
TI - Capital Femoral Growth Plate Extension Predicts Cam Morphology in a Longitudinal
Radiographic Study.
AB - BACKGROUND: Recent evidence has suggested that cam morphology may be related to
alterations in the capital femoral growth plate during adolescence. The purpose
of this study was to evaluate the relationship between capital femoral growth
plate extension and cam morphology in a longitudinal radiographic study. METHODS:
We used a historical, longitudinal radiographic collection to identify 96 healthy
adolescents (54 boys and 42 girls) with at least 5 consecutive annual radiographs
of the left hip including closure of the capital femoral physis. We reviewed 554
anteroposterior radiographs of the left hip to measure the anteroposterior
modification of the alpha angle of Notzli and of the superior epiphyseal
extension ratio (EER), measured as the ratio of extension of the capital femoral
epiphysis down the femoral neck relative to the diameter of the femoral head.
Measurements were made at 3 points in femoral head maturation corresponding to
the Oxford bone age (OBA) femoral head stages 5, 6, and 7/8. RESULTS: There was a
mean increase in the anteroposterior alpha angle (10.7 degrees +/- 14.0 degrees
) and EER (0.12 +/- 0.08) between OBA stages 5 and 7/8, corresponding to
maturation and closure of the capital femoral physis. There was a positive
correlation between the final anteroposterior alpha angle and both the final EER
(r = 0.60) and the change in the EER (r = 0.58). A receiver operating
characteristic curve generated to predict an anteroposterior alpha angle of >=78
degrees through the EER demonstrated an area under the curve of 0.93, indicating
that an increasing EER had excellent diagnostic accuracy for predicting
concurrent cam morphology. CONCLUSIONS AND CLINICAL RELEVANCE: Superior
epiphyseal extension was directly and temporally associated with an increase in
anteroposterior alpha angle and more cam-like morphology. This alteration in the
capital femoral epiphysis occurred immediately before physeal closure (OBA stage
7/8). Given the association of epiphyseal extension with activities that increase
shear forces across the physis, we proposed that epiphyseal extension may be an
adaptive mechanism to stabilize the physis and prevent slipped capital femoral
epiphysis.
PMID- 27194491
TI - The Effectiveness of the Latarjet Procedure in Patients with Chronic Locked
Anterior Shoulder Dislocation: A Retrospective Study.
AB - BACKGROUND: Chronic locked anterior shoulder dislocation is a difficult clinical
problem for patients and surgeons. Prior studies have proposed a variety of
surgical techniques to address this problem; however, the failure rate is high.
To our knowledge, there have been no previously published studies on the clinical
outcome of the Latarjet procedure for the treatment of chronic locked anterior
shoulder dislocation. The purpose of this study was to evaluate the short-term
subjective, objective, and radiographic outcomes of patients with chronic locked
anterior shoulder dislocation after a Latarjet procedure. METHODS: From January
2005 to January 2013, 43 patients with chronic locked anterior shoulder
dislocation were treated surgically in our institution. Open Latarjet procedures
were performed in 35 patients. A subscapularis tenotomy or split was chosen on
the basis of the ability to achieve open reduction. Outcomes were assessed
preoperatively and postoperatively with the American Shoulder and Elbow Surgeons
(ASES) score, the visual analog scale (VAS) for pain, the University of
California Los Angeles (UCLA) shoulder rating scale, and the Constant-Murley
rating scale. A comparison of the clinical outcomes among the patients who
underwent subscapularis tenotomy and repair, those who underwent a procedure that
used the subscapularis-splitting technique, and those who underwent a concomitant
humeral head replacement was performed. RESULTS: Twenty-five shoulders of 25
patients were available for a mean follow-up of 31.6 months. At the time of the
latest follow-up, the range of motion and the shoulder functional evaluations
(VAS [p = 0.02], ASES [p = 0.01], Constant score [p = 0.01], and UCLA score [p =
0.04]) were significantly improved. The overall redislocation or subluxation rate
was 48% (12 of 25): 0% (0 of 5) for the subscapularis-splitting group, 53% (8 of
15) for the subscapularis tenotomy and repair group, and 80% (4 of 5) for the
humeral head replacement group. The ASES score (p = 0.006), UCLA score (p =
0.014), Constant score (p = 0.046), and external rotation (p = 0.048) were
significantly better, and less severe glenohumeral osteoarthritis was found in
patients who had undergone a Latarjet procedure with subscapularis-splitting
compared with those who had undergone subscapularis tenotomy and repair.
CONCLUSIONS: The Latarjet procedure for the treatment of chronic locked anterior
shoulder dislocation can be successful if the shoulder reduction can be performed
without requiring a subscapularis tenotomy. If this reduction is not possible,
then other surgical reconstruction should be considered. LEVEL OF EVIDENCE:
Therapeutic Level IV. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 27194492
TI - Predicting Occurrence of Spine Surgery Complications Using "Big Data" Modeling of
an Administrative Claims Database.
AB - BACKGROUND: Postoperative metrics are increasingly important in determining
standards of quality for physicians and hospitals. Although complications
following spinal surgery have been described, procedural and patient variables
have yet to be incorporated into a predictive model of adverse-event occurrence.
We sought to develop a predictive model of complication occurrence after spine
surgery. METHODS: We used longitudinal prospective data from a national claims
database and developed a predictive model incorporating complication type and
frequency of occurrence following spine surgery procedures. We structured our
model to assess the impact of features such as preoperative diagnosis, patient
comorbidities, location in the spine, anterior versus posterior approach, whether
fusion had been performed, whether instrumentation had been used, number of
levels, and use of bone morphogenetic protein (BMP). We assessed a variety of
adverse events. Prediction models were built using logistic regression with
additive main effects and logistic regression with main effects as well as all 2
and 3-factor interactions. Least absolute shrinkage and selection operator
(LASSO) regularization was used to select features. Competing approaches included
boosted additive trees and the classification and regression trees (CART)
algorithm. The final prediction performance was evaluated by estimating the area
under a receiver operating characteristic curve (AUC) as predictions were applied
to independent validation data and compared with the Charlson comorbidity score.
RESULTS: The model was developed from 279,135 records of patients with a minimum
duration of follow-up of 30 days. Preliminary assessment showed an adverse-event
rate of 13.95%, well within norms reported in the literature. We used the first
80% of the records for training (to predict adverse events) and the remaining 20%
of the records for validation. There was remarkable similarity among methods,
with an AUC of 0.70 for predicting the occurrence of adverse events. The AUC
using the Charlson comorbidity score was 0.61. The described model was more
accurate than Charlson scoring (p < 0.01). CONCLUSIONS: We present a modeling
effort based on administrative claims data that predicts the occurrence of
complications after spine surgery. CLINICAL RELEVANCE: We believe that the
development of a predictive modeling tool illustrating the risk of complication
occurrence after spine surgery will aid in patient counseling and improve the
accuracy of risk modeling strategies.
PMID- 27194493
TI - Combined Intra-Articular and Intravenous Tranexamic Acid Reduces Blood Loss in
Total Knee Arthroplasty: A Randomized, Double-Blind, Placebo-Controlled Trial.
AB - BACKGROUND: In total knee arthroplasty, both intravenous (IV) and intra-articular
(IA) administration of tranexamic acid (TXA) have been shown to reduce blood loss
in several randomized controlled trials, although routine use of systemic TXA is
considerably more common. However, to our knowledge, the additional benefit of IA
administration of TXA when combined with IV administration, without the use of a
tourniquet, has not been previously investigated. Thus, the aim of this study was
to evaluate whether combined IV and IA administration of TXA reduced total blood
loss compared with IV-only administration of TXA. METHODS: In this randomized,
double-blind, placebo-controlled trial, 60 patients scheduled for total knee
arthroplasty were randomized to one of two interventions. The TXA IV and IA group
received combined administration of TXA consisting of 1 g administered
intravenously preoperatively and 3 g diluted in 100 mL of saline solution
administered intra-articularly after closure of the capsule. The TXA IV and
placebo group received 1 g of TXA administered intravenously only and 100 mL of
saline solution administered intra-articularly. IA TXA was administrated through
a needle. The primary outcome was the 24-hour calculated blood loss. Secondary
outcomes were blood loss on postoperative day 2, thromboembolic complications,
and transfusion rate. Blood loss was calculated by hemoglobin differences using
the Gross formula. RESULTS: Data on the primary outcome were available for all 60
included patients. Baseline characteristics were comparable between the
allocation groups. The mean 24-hour blood loss (and standard deviation) was 466
+/- 313 mL in the TXA IV and IA group compared with 743 +/- 358 mL in the TXA IV
and placebo group; treatment effect (difference), 277 mL (95% confidence interval
[CI], 103 to 451 mL) (p = 0.002). Second-day blood loss was 644 +/- 382 mL in the
TXA IV and IA group compared with 1017 +/- 519 mL in the TXA IV and placebo
group; treatment effect, 373 mL (95% CI, 132 to 614 mL) (p = 0.003). No
thromboembolic complications were observed within 90 days postoperatively.
CONCLUSIONS: The combined administration of IV and IA TXA resulted in a
clinically relevant reduction in blood loss of 37% compared with IV TXA alone
both at 24 hours postoperatively and on postoperative day 2. No thromboembolic
complications were observed. LEVEL OF EVIDENCE: Therapeutic Level I. See
Instructions for Authors for a complete description of levels of evidence.
PMID- 27194494
TI - Systematic Quantification of Stabilizing Effects of Subtalar Joint Soft-Tissue
Constraints in a Novel Cadaveric Model.
AB - BACKGROUND: Distinguishing between ankle instability and subtalar joint
instability is challenging because the contributions of the subtalar joint's soft
tissue constraints are poorly understood. This study quantified the effects on
joint stability of systematic sectioning of these constraints followed by
application of torsional and drawer loads simulating a manual clinical
examination. METHODS: Subtalar joint motion in response to carefully controlled
inversion, eversion, internal rotation, and external rotation moments and
multidirectional drawer forces was quantified in fresh-frozen cadaver limbs.
Sequential measurements were obtained under axial load approximating a non-weight
bearing clinical setting with the foot in neutral, 10 degrees of dorsiflexion,
and 10 degrees and 20 degrees of plantar flexion. The contributions of the
components of the inferior extensor retinaculum were documented after incremental
sectioning. The calcaneofibular, cervical, and interosseous talocalcaneal
ligaments were then sectioned sequentially, in two different orders, to produce
five different ligament-insufficiency scenarios. RESULTS: Incremental detachment
of the components of the inferior extensor retinaculum had no effect on subtalar
motion independent of foot position. Regardless of the subsequent ligament
sectioning order, significant motion increases relative to the intact condition
occurred only after transection of the calcaneofibular ligament. Sectioning of
this ligament produced increased inversion and external rotation, which was most
evident with the foot dorsiflexed. CONCLUSIONS: Calcaneofibular ligament
disruption results in increases in subtalar inversion and external rotation that
might be detectable during a manual examination. Insufficiency of other subtalar
joint constraints may result in motion increases that are too subtle to be
perceptible. CLINICAL RELEVANCE: If calcaneofibular ligament insufficiency is
established, its reconstruction or repair should receive priority over that of
other ankle or subtalar periarticular soft-tissue structures.
PMID- 27194495
TI - Percent Body Fat Is More Predictive of Function After Total Joint Arthroplasty
Than Body Mass Index.
AB - BACKGROUND: Obesity has an important impact on the future of total joint
arthroplasty; however, the definition and influence of obesity on surgical risks
and outcomes remain controversial. Our hypothesis was that percent body fat was
better than body mass index (BMI) at identifying clinical risks and patient
reported functional outcomes following arthroplasty. METHODS: Clinical and
functional outcomes were collected prospectively in 215 patients undergoing
primary total knee arthroplasty (115 patients) or total hip arthroplasty (100
patients) at a mean time of twenty-four months (range, twelve to forty months).
Clinical data included patient demographic characteristics, preoperative
evaluation including measurements of BMI and percent body fat, intraoperative
records, hospital course or events, and postoperative outpatient follow-up.
Patient-reported outcomes were obtained through a series of questionnaires: a
surgical satisfaction survey; the University of California, Los Angeles (UCLA)
activity scale; the Knee Injury and Osteoarthritis Outcome Score (KOOS) for total
knee arthroplasty; and the Hip Disability and Osteoarthritis Outcome Score (HOOS)
for total hip arthroplasty. Multivariable regression models were used to identify
significant body mass predictors of outcomes (p < 0.05). RESULTS: Higher percent
body fat predicted occurrence of any medical or surgical complication (odds ratio
per one standard deviation increase, 1.58 [95% confidence interval, 1.04 to
2.40]; p = 0.033). Percent body fat was also a predictor of the UCLA activity
score (risk ratio, 0.92 [95% confidence interval, 0.85 to 0.98]; p = 0.013) and
pain scores (risk ratio, 1.18 [95% confidence interval, 1.03 to 1.36]; p =
0.017), and it trended toward significance for the surgical satisfaction score
(odds ratio, 1.96 [95% confidence interval, 0.93 to 4.15]; p = 0.078), whereas
BMI was not predictive of these functional outcomes. Additionally, with regard to
surgical procedure-specific outcome scores, percent body fat was predictive of
outcomes after total knee arthroplasty (KOOS pain, p = 0.015, and KOOS activities
of daily living, p = 0.002), but not for those after total hip arthroplasty.
CONCLUSIONS: Percent body fat should be considered when predicting clinical and
functional outcomes at two years following total joint arthroplasty. Percent body
fat may help surgeons to improve risk stratifications, to project patient
reported functional outcomes, and to better educate obese patients with regard to
postoperative expectations prior to undergoing elective total joint arthroplasty.
LEVEL OF EVIDENCE: Prognostic Level II. See Instructions for Authors for a
complete description of levels of evidence.
PMID- 27194496
TI - Racial and Socioeconomic Disparities in Hip Fracture Care.
AB - BACKGROUND: Despite declines in both the incidence of and mortality following hip
fracture, there are racial and socioeconomic disparities in treatment access and
outcomes. We evaluated the presence and implications of disparities in delivery
of care, hypothesizing that race and community socioeconomic characteristics
would influence quality of care for patients with a hip fracture. METHODS: We
collected data from the New York State Department of Health Statewide Planning
and Research Cooperative System (SPARCS), which prospectively captures
information on all discharges from nonfederal acute-care hospitals in New York
State. Records for 197,290 New York State residents who underwent surgery for a
hip fracture between 1998 and 2010 in New York State were identified from SPARCS
using International Classification of Diseases, Ninth Revision, Clinical
Modification (ICD-9-CM) codes. Multivariable regression models were used to
evaluate the association of patient characteristics, social deprivation, and
hospital/surgeon volume with time from admission to surgery, in-hospital
complications, readmission, and 1-year mortality. RESULTS: After adjusting for
patient and surgery characteristics, hospital/surgeon volume, social deprivation,
and other variables, black patients were at greater risk for delayed surgery
(odds ratio [OR] = 1.49; 95% confidence interval [CI] = 1.42, 1.57), a
reoperation (hazard ratio [HR] = 1.21; CI = 1.11, 1.32), readmission (OR = 1.17;
CI = 1.11, 1.22), and 1-year mortality (HR = 1.13; CI = 1.07, 1.21) than white
patients. Subgroup analyses showed a greater risk for delayed surgery for black
and Asian patients compared with white patients, regardless of social
deprivation. Additionally, there was a greater risk for readmission for black
patients compared with white patients, regardless of social deprivation. Compared
with Medicare patients, Medicaid patients were at increased risk for delayed
surgery (OR = 1.17; CI = 1.10, 1.24) whereas privately insured patients were at
decreased risk for delayed surgery (OR = 0.77; CI = 0.74, 0.81), readmission (OR
= 0.77; CI = 0.74, 0.81), complications (OR = 0.80; CI = 0.77, 0.84), and 1-year
mortality (HR = 0.80; CI = 0.75, 0.85). CONCLUSIONS: There are race and insurance
based disparities in delivery of care for patients with hip fracture, some of
which persist after adjusting for social deprivation. In addition to
investigation into reasons contributing to disparities, targeted interventions
should be developed to mitigate effects of disparities on patients at greatest
risk. LEVEL OF EVIDENCE: Prognostic Level III. See Instructions for Authors for a
complete description of levels of evidence.
PMID- 27194497
TI - Skill Acquisition and Retention Following Simulation-Based Training in Pavlik
Harness Application.
AB - BACKGROUND: Simulation-based learning is increasingly prevalent in many surgical
training programs, as medical education moves toward competency-based curricula.
In orthopaedic surgery, developmental dysplasia of the hip is a commonly treated
condition, where the standard of care for patients less than six months of age is
an orthotic device such as the Pavlik harness. However, despite widespread use of
the Pavlik harness and the potential complications that may arise from
inappropriate application, we know of no previously described formal training
curriculum for Pavlik harness application. METHODS: We developed a video and
model-based simulation learning module for Pavlik harness application. Two novice
groups (residents and allied health professionals) were exposed to the module
and, at pre-intervention, post-intervention, and retention testing, were
evaluated on their ability to apply a Pavlik harness to the model. Evaluations
were completed using a previously validated Objective Structured Assessment of
Technical Skills (OSATS) and a global rating scale (GRS) specific to Pavlik
harness application. A control group that did not undergo the module was also
evaluated at two time points to determine if exposure to the Pavlik harness alone
would affect skill acquisition. All groups were compared with a group of clinical
experts, whose scores were used as a competency benchmark. Statistical analysis
of skill acquisition and retention was conducted using t tests and analysis of
variance (ANOVA). RESULTS: Exposure to the learning module improved resident and
allied health professionals' competency in applying a Pavlik harness (p < 0.05)
to the level of the expert clinicians, and this level of competency was retained
one month after exposure to the module. Control subjects who were not exposed to
the module did not improve, nor did they achieve competency. CONCLUSIONS: The
simulation-based learning module was shown to be an effective tool for teaching
the application of a Pavlik harness, and learners demonstrated retainable skills
post-intervention. This learning module can form the cornerstone of formal
teaching of Pavlik harness application for developmental dysplasia of the hip.
PMID- 27194498
TI - Wound Irrigation Pressure Did Not Affect Reoperation Rate After Open Fracture
Repair, but Normal Saline Solution Was Better Than Castile Soap.
PMID- 27194499
TI - Hamstring Autograft Had Better Long-Term Survivorship Than Tibialis Posterior
Tendon Allograft for Anterior Cruciate Ligament Reconstruction.
PMID- 27194500
TI - Total Knee Replacement Plus Nonsurgical Treatment Was Better Than Nonsurgical
Treatment Alone for Knee Osteoarthritis.
PMID- 27194501
TI - What's New in Foot and Ankle Surgery.
PMID- 27194502
TI - Patient-Centered Care and Population Health: Establishing Their Role in the
Orthopaedic Practice.
AB - As health care increasingly emphasizes high value, the terms "population health"
and "patient-centered care" have become common, but their application is less
clear. Patient-centered care encourages using data to optimize care for an
individual. Population health offers a framework to consider how to efficiently
and effectively manage a condition for a population, how prevention affects large
groups, and the specific distribution of a given disorder. Integrating both
concepts into practice can facilitate required outcome-measure reporting and
potentially improve patient outcomes. Clinical practice guidelines and
appropriate use criteria are examples of reconciliation of these topics. By
embracing attempts to decrease variation in treating musculoskeletal disorders
while personalizing delivery to individual patients, surgeons may benefit from
the improvement of both efficiency and patient experience.
PMID- 27194503
TI - Publication Productivity and Experience: Factors Associated with Academic Rank
Among Orthopaedic Surgery Faculty in the United States.
AB - BACKGROUND: Many factors play a role in academic promotion among orthopaedic
surgeons. This study specifically examined the importance of publication
productivity metrics, career duration, and sex on academic rank in orthopaedic
surgery programs in the United States. METHODS: Faculty at 142 civilian academic
orthopaedic surgery departments in 2014 were identified. Geographic region,
department size, and 3 specific faculty characteristics (sex, career duration,
and academic position) were recorded. The Hirsch index (h-index), defined as the
number (h) of an investigator's publications that have been cited at least h
times, was recorded for each surgeon. The m-index was also calculated by dividing
the h-index by career duration in years. Thresholds for the h-index and the m
index were identified between junior and senior academic ranks. Multivariate
analysis was used to determine whether the 3 physician factors correlated
independently with academic rank. RESULTS: The analysis included 4,663
orthopaedic surgeons at 142 academic institutions (24.7% clinical faculty and
75.3% academic faculty). Among academic faculty, the median h-index was 5, the
median career duration was 15 years, and the median m-index was 0.37. Thresholds
between junior and senior faculty status were 12 for the h-index and 0.51 for the
m-index. Female academic faculty had a lower median h-index (3 compared with 5; p
< 0.001) and career duration (10 years compared with 16 years; p < 0.001) than
male academic faculty, but had a similar median m-index (0.33 compared with 0.38;
p = 0.103). A higher h-index and longer career duration correlated independently
with an increased probability of senior academic rank (p < 0.001), but sex did
not (p = 0.217). CONCLUSIONS: This analysis demonstrates that a higher h-index
and m-index correlate with a higher academic orthopaedic faculty rank. Although
female surgeons had a lower median h-index and a shorter median career duration
than male surgeons, their m-index was not significantly different, and thus sex
was not an independent predictor for senior academic rank. The identified
thresholds (h-index of 12 and m-index of 0.51) between junior and senior academic
ranks may be considered as factors in promotion considerations.
PMID- 27194504
TI - Classification and Diagnostic Criteria of Femoroacetabular Impingement Are
Essential for Clinical Outcome Evaluation: Commentary on an article by Rachel M.
Frank, MD, et al., "Outcomes for Hip Arthroscopy According to Sex and Age: A
Comparative Matched-Group Analysis".
PMID- 27194505
TI - The Rise of Structural Osteoarthritis of the Hip: Commentary on an article by
William Z. Morris, MD, et al.: "Capital Femoral Growth Plate Extension Predicts
Cam Morphology in a Longitudinal Radiographic Study".
PMID- 27194506
TI - Is There a Role for Body Fat Determination in Total Joint Arthroplasty?
Commentary on an article by Cameron K. Ledford, MD, et al.: "Percent Body Fat Is
More Predictive of Function After Total Joint Arthroplasty Than Body Mass Index".
PMID- 27194507
TI - Progress on lipid extraction from wet algal biomass for biodiesel production.
AB - Lipid recovery and purification from microalgal cells continues to be a
significant bottleneck in biodiesel production due to high costs involved and a
high energy demand. Therefore, there is a considerable necessity to develop an
extraction method which meets the essential requirements of being safe, cost
effective, robust, efficient, selective, environmentally friendly, feasible for
large-scale production and free of product contamination. The use of wet
concentrated algal biomass as a feedstock for oil extraction is especially
desirable as it would avoid the requirement for further concentration and/or
drying. This would save considerable costs and circumvent at least two lengthy
processes during algae-based oil production. This article provides an overview on
recent progress that has been made on the extraction of lipids from wet algal
biomass. The biggest contributing factors appear to be the composition of algal
cell walls, pre-treatments of biomass and the use of solvents (e.g. a solvent
mixture or solvent-free lipid extraction). We compare recently developed wet
extraction processes for oleaginous microalgae and make recommendations towards
future research to improve lipid extraction from wet algal biomass.
PMID- 27194509
TI - Effect of finishing instrumentation using NiTi hand files on volume, surface area
and uninstrumented surfaces in C-shaped root canal systems.
AB - AIM: To assess the effect of 90 degrees -oscillatory instrumentation with hand
files on several morphological parameters (volume, surface area and
uninstrumented surface) in C-shaped root canals after instrumentation using a
single-file reciprocation system (Reciproc; VDW, Munich, Germany) and a Self
Adjusting File System (SAF; ReDent Nova, Ra'anana, Israel). METHODOLOGY: Twenty
mandibular second molars with C-shaped canals and C1 canal configurations were
divided into two groups (n = 10) and instrumented with Reciproc and SAF
instruments. A size 30 NiTi hand K-file attached to a 90 degrees -oscillatory
motion handpiece was used as final instrumentation in both groups. The specimens
were scanned using micro-computed tomography after all procedures. Volume,
surface area increase and uninstrumented root canal surface were analysed using
CTAn software (Bruker-microCT, Kontich, Belgium). Also, the uninstrumented root
canal surface was calculated for each canal third. All values were compared
between groups using the Mann-Whitney test and within groups using the Wilcoxon's
signed-rank test. RESULTS: Instrumentation with Reciproc significantly increased
canal volume compared with instrumentation with SAF. Additionally, the canal
volumes were significantly increased after 90 degrees -oscillatory
instrumentation (between and within group comparison; (P < 0.05)). Regarding the
increase in surface area after all instrumentation protocols, statistical
analysis only revealed significant differences in the within groups comparison (P
< 0.05). Reciproc and SAF instrumentation yielded an uninstrumented root canal
surface of 28% and 34%, respectively, which was not significantly different (P >
0.05). Final oscillatory instrumentation significantly reduced the uninstrumented
root canal surface from 28% to 9% (Reciproc) and from 34% to 15% (SAF; P < 0.05).
The apical and middle thirds exhibited larger uninstrumented root canal surfaces
after the first instrumentation that was significantly reduced after oscillatory
instrumentation (P < 0.05). CONCLUSIONS: The Reciproc and SAF system were
associated with similar morphological parameters after instrumentation of
mandibular second molars with C-shaped canals except for a higher canal volume
increase in the Reciproc group compared to the SAF. Furthermore, the final use of
90 degrees -oscillatory instrumentation using NiTi hand files significantly
decreased the uninstrumented canal walls that remained after Reciproc and SAF
instrumentation.
PMID- 27194510
TI - Post-synthetic modifications of cadmium-based knots and links.
AB - Three topologically non-trivial cadmium(ii)-based complexes-Cd-[2]C, Cd-TK and Cd
SL-were simultaneously self-assembled in a dynamic library, individually isolated
and fully characterized using solid-state, gas-phase and solution-phase
techniques. Post-synthetic modifications, including reduction and
transmetalation, were subsequently achieved. Imine bond reduction followed by
demetallation led to the isolation of the corresponding organic molecules [2]C,
TK and SL. Transmetalation of Cd-TK and Cd-SL with the zinc(ii) cation resulted
in isolation of the corresponding zinc(ii)-containing complexes Zn-TK and Zn-SL.
PMID- 27194508
TI - NMR Fragment Screening Hit Induces Plasticity of BRD7/9 Bromodomains.
AB - The complex biology associated with inhibition of bromodomain and extra-terminal
(BET) domains by chemical probes has attracted increasing attention, and there is
a need to identify non-BET bromodomain (BD) inhibitors. Several potent inhibitors
of the BRD9 BD have recently been discovered, with anticancer and anti
inflammation activity. However, its paralogue, BRD7 BD, remains unexploited.
Here, we identified new chemotypes targeting BRD7 BD by using NMR fragment-based
screening. BRD7/9 BDs exhibit similar patterns of chemical-shift perturbation
upon the titration of hit compound 1. The crystal structure revealed that 1
repels the Y222 group of BRD9 BD in a similar way to that for butyryllysine, but
not acetyllysine and known inhibitors. Hit 1 induced less rearrangement of
residue F161 of BRD9 BD than acetyllysine, butyryllysine, and crotonyllysine. Our
study provides structural insight into a new generation of butyryllysine mimics
for probing the function of BRD7/9 BD.
PMID- 27194512
TI - Small Compartment Toxicity: CN VIII and Quality of Life: Hearing Loss, Tinnitus,
and Balance Disorders.
AB - Life experiences, industrial/environmental exposures, and administration of Food
and Drug Administration (FDA)-approved drugs may have unintended but detrimental
effects on peripheral and central auditory pathways. Most relevant to the
readership of this journal is the role that drug treatments approved by the FDA
as safe and effective appear to interact with 3 independent modes of toxicity
within the small compartment of the ear. What may seem to be trivial drug-induced
toxicity has the potential to change important measures of quality of life and
functional capacity of mid- to late-life patients. Drugs meant to treat can
become the source of interference in the activities of daily living, and as a
result, treatment compliance may be jeopardized. Ototoxicity has been defined as
the tendency of certain therapeutic agents and other chemical substances to cause
functional impairments and cellular degeneration of the tissues of the inner ear
resulting in hearing loss. However, one of the largest contributors to
hospitalizations is fall-related injuries in the elderly patients associated with
disorders of vestibular function linked to progressive and drug-induced
toxicities. Tinnitus affects 35 to 50 million adults representing approximately
25% of the US population, with 12 million seeking medical care and 2 to 3 million
reporting symptoms that were severely debilitating. This review is intended to
highlight these targets of neurotoxicity that threaten the usefulness of drug
treatments deemed safe and effective prior to access by the general public.
PMID- 27194511
TI - Sustained, localized salicylic acid delivery enhances diabetic bone regeneration
via prolonged mitigation of inflammation.
AB - Diabetes is a metabolic disorder caused by insulin resistance and/or deficiency
and impairs bone quality and bone healing due to altered gene expression, reduced
vascularization, and prolonged inflammation. No effective treatments for diabetic
bone healing are currently available, and most existing treatments do not
directly address the diabetic complications that impair bone healing. We recently
demonstrated that sustained and localized delivery of salicylic acid (SA) via an
SA-based polymer provides a low-cost approach to enhance diabetic bone
regeneration. Herein, we report mechanistic studies that delve into the
biological action and local pharmacokinetics of SA-releasing polymers shown to
enhance diabetic bone regeneration. The results suggest that low SA
concentrations were locally maintained at the bone defect site for more than 1
month. As a result of the sustained SA release, a significantly reduced
inflammation was observed in diabetic animals, which in turn, yielded reduced
osteoclast density and activity, as well as increased osteoblastogenesis. Based
upon these results, localized and sustained SA delivery from the SA-based polymer
effectively improved bone regeneration in diabetic animals by affecting both
osteoclasts and osteoblasts, thereby providing a positive basis for clinical
treatments. (c) 2016 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 104A:
2595-2603, 2016.
PMID- 27194513
TI - A simple and efficient ultrasonic-assisted extraction procedure combined with UV
Vis spectrophotometry for the pre-concentration and determination of folic acid
(vitamin B9) in various sample matrices.
AB - A simple and efficient ultrasonic-assisted extraction (UAE) procedure has been
proposed for the pre-concentration of (2S)-2-[(4-{[(2-amino-4-hydroxypteridin
yl)methyl]amino}phenyl)formamido]pentanedioic acid (folic acid) in vegetables,
pharmaceuticals and foods prior to determination at 540 nm using UV-Vis
spectrophotometry. The method is based on hydrophobic ternary complex formation
of folic acid with silver ions in the presence of cetyltrimethylammonium bromide
(CTAB) as a sensitivity enhancer counter ion at pH 7.0, and then extraction into
a micellar phase of polyethylene glycol monoalkyl ether (Genapol X-080). The
impacts on the extraction efficiency and complex formation of analytical
parameters such as sample pH, concentration of silver, concentration of
surfactants and extraction time, ultrasonic time and sample volume, were
investigated and optimised in detail. The matrix effect on the pre-concentration
and determination of folic acid was investigated, and it was observed that the
proposed method was highly selective against possible matrix co-extractives.
Under optimised conditions, a good linear relationship between the analytical
signal and folic acid concentration was obtained in the range of 0.6-180 MUg l(
1) with a detection limit of 0.19 MUg l(-1) and quantification limit of 0.63 MUg
l(-1). The applicability was evaluated using samples fortified at different
concentration levels, and recoveries higher than 94.1% were obtained. The
precision as the percent relative standard deviation (RSD%) was in range of 2.5
3.8% (10 and 40 MUg l(-1), n = 5). The proposed method was validated by analysis
of two standard reference materials (SRMs) and various real samples, and
satisfactory results were obtained.
PMID- 27194514
TI - Failure of combination therapy for Staphylococcus aureus bone infection: a case
of in vivo selection with resistance to rifampicin and fusidic acid.
AB - Staphylococcus aureus is one of the main etiologies of bone and device-related
infections. Treatment of these orthopedic infections combines mostly rifampicin
with other antibiotics. The recurrence or failure rate after fusidic
acid/rifampicin treatment remains low (<10%). We discuss here a case of
antibiotic treatment failure for Staphylococcus aureus bone infection with in
vivo selection of rifampicin and fusidic acid resistance. We also report a new
mutation in fusA gene involved in fusidic acid resistance.
PMID- 27194515
TI - A Qualitative Study Investigating the Continued Adoption of Breaking Free Online
Across a National Substance Misuse Organisation: Theoretical Conceptualisation of
Staff Perceptions.
AB - There is evidence for the effectiveness of computer-assisted therapies (CAT) in
healthcare; however, implementing CAT can be challenging due to new technologies
being perceived as 'disruptive'. This study used normalisation process theory
(NPT) to investigate how Breaking Free Online (BFO), a treatment programme for
substance misuse, is embedded as normal practice within Crime Reduction
Initiatives (CRI), a health and social care charity. Interviews were conducted
with CRI staff regarding their perceptions of the normalisation of BFO. Thematic
analyses were used and findings structured around NPT. Results suggest that staff
understood the benefits of BFO, particularly for those with a dual diagnosis.
However, there was some confusion surrounding job roles and difficulties with the
availability of resources. Whilst normalisation of BFO is progressing within CRI,
there are still some challenges. Clarification of the roles of staff and peer
mentors is an area in which further work is being conducted.
PMID- 27194516
TI - Estimation of peptide N-Calpha bond cleavage efficiency during MALDI-ISD using a
cyclic peptide.
AB - Matrix-assisted laser desorption/ionization in-source decay (MALDI-ISD) induces N
Calpha bond cleavage via hydrogen transfer from the matrix to the peptide
backbone, which produces a c'/z* fragment pair. Subsequently, the z* generates z'
and [z + matrix] fragments via further radical reactions because of the low
stability of the z*. In the present study, we investigated MALDI-ISD of a cyclic
peptide. The N-Calpha bond cleavage in the cyclic peptide by MALDI-ISD produced
the hydrogen-abundant peptide radical [M + 2H](+) * with a radical site on the
alpha-carbon atom, which then reacted with the matrix to give [M + 3H](+) and [M
+ H + matrix](+) . For 1,5-diaminonaphthalene (1,5-DAN) adducts with z fragments,
post-source decay of [M + H + 1,5-DAN](+) generated from the cyclic peptide
showed predominant loss of an amino acid with 1,5-DAN. Additionally, MALDI-ISD
with Fourier transform-ion cyclotron resonance mass spectrometry allowed for the
detection of both [M + 3H](+) and [M + H](+) with two (13) C atoms. These results
strongly suggested that [M + 3H](+) and [M + H + 1,5-DAN](+) were formed by N
Calpha bond cleavage with further radical reactions. As a consequence, the
cleavage efficiency of the N-Calpha bond during MALDI-ISD could be estimated by
the ratio of the intensity of [M + H](+) and [M + 3H](+) in the Fourier transform
ion cyclotron resonance spectrum. Because the reduction efficiency of a matrix
for the cyclic peptide cyclo(Arg-Gly-Asp-D-Phe-Val) was correlated to its
tendency to cleave the N-Calpha bond in linear peptides, the present method could
allow the evaluation of the efficiency of N-Calpha bond cleavage for MALDI matrix
development. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27194517
TI - Stepped collisional energy MS(All) : an analytical approach for optimal MS/MS
acquisition of complex mixture with diverse physicochemical properties.
AB - The analysis of complex mixtures is becoming increasingly important in various
fields, such as nutrition, medicinal plants and metabolomics. The components
contained in such complex mixtures are always characterized with diverse
physiochemical properties that pose a major challenge during the optimization of
various parameters using liquid chromatography-mass spectrometer (LC-MS). The
parameter 'CE energy' that is normally set at a fixed value with a moderate range
of CE spread during data-dependent acquisition (DDA) analysis, a prevalent
approach for untargeted identification, often fails to generate sufficient MS/MS
fragment ions for untargeted identification of components from complex mixtures.
Here we developed a simple and generally applicable acquisition method named
stepped MS(All) (sMS(All) ) in this study, aiming to obtain optimal MS/MS spectra
for identification of chemically diverse compounds from complex mixtures.
sMS(All) collects serial MS(All) scans acquired at low CE to gradually ramped-up
high CE values in a cycle that conventional DDA scans cannot afford. The
resultant MS/MS spectra of each compound were compared and evaluated among serial
MS(All) scans, and the optimal spectra were used for identification. An
untargeted data analysis strategy was then employed to analyze these optimal
MS/MS spectra by searching common diagnostic ions and connecting the diagnostic
ion families into a network via bridging components. This sMS(All) -based route
enables identification of 71 natural products from a herbal preparation, whereas
only 53 out of 71 compounds were identified using the classical DDA approach.
Therefore, the sMS(All) -based approach is expected to find its wide applications
for characterization of vastly diverse compounds with no priori knowledge from
various complex mixtures. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27194518
TI - ESI-MS/MS of expanded porphyrins: a look into their structure and aromaticity.
AB - Electrospray mass spectrometry/mass spectrometry was used to investigate the gas
phase properties of protonated expanded porphyrins, in order to correlate those
with their structure and conformation. We have selected five expanded meso
pentafluorophenyl porphyrins, respectively, a pair of oxidized/reduced fused
pentaphyrins (22 and 24 pi electrons), a pair of oxidized/reduced regular
hexaphyrins (26 and 28 pi electrons) and a regular doubly N-fused hexaphyrin (28
pi electrons). The gas-phase behavior of the protonated species of oxidized and
reduced expanded porphyrins is different. The oxidized species (aromatic Huckel
systems) fragment more extensively, mainly by the loss of two HF molecules. The
reduced species (Mobius aromatic or Mobius-like aromatic systems) fragment less
than their oxidized counterparts because of their increased flexibility. The
protonated regular doubly fused hexaphyrin (non-aromatic Huckel system) shows the
least fragmentation even at higher collision energies. In general, cyclization
through losses of HF molecules decreases from the aromatic Huckel systems to
Mobius aromatic or Mobius-like aromatic systems to non-aromatic Huckel systems
and is related to an increase in conformational distortion. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27194520
TI - Screening of synthetic phosphodiesterase-5 inhibitors in herbal dietary
supplements using transmission-mode desorption electrospray and high-resolution
mass spectrometry.
PMID- 27194519
TI - Development of a mass spectrometric hydroxyl-position determination method for
the hydroxyindole metabolites of JWH-018 by GC-MS/MS.
AB - One of the many issues of designer drugs of abuse like synthetic cannabinoids
(SCs) such as JWH-018 is that details on their metabolism has yet to be fully
elucidated and that multiple metabolites exist. The presence of isomeric
compounds poses further challenges in their identification. Our group has
previously shown the effectiveness of gas chromatography-electron ionization
tandem mass spectrometry (GC-EI-MS/MS) in the mass spectrometric differentiation
of the positional isomers of the naphthoylindole-type SC JWH-081, and speculated
that the same approach could be used for the metabolite isomers. Using JWH-018 as
a model SC, the aim of this study was to differentiate the positional isomers of
its hydroxyindole metabolites by GC-MS/MS. Standard compounds of JWH-018 and its
hydroxyindole metabolite positional isomers were first analyzed by GC-EI-MS in
full scan mode, which was only able to differentiate the 4-hydroxyindole isomer.
Further GC-MS/MS analysis was performed by selecting m/z 302 as the precursor
ion. All four isomers produced characteristic product ions that enabled the
differentiation between them. Using these ions, MRM analysis was performed on the
urine of JWH-018 administered mice and determined the hydroxyl positions to be at
the 6-position on the indole ring. GC-EI-MS/MS allowed for the regioisomeric
differentiation of the hydroxyindole metabolite isomers of JWH-018. Furthermore,
analysis of the fragmentation patterns suggests that the present method has high
potential to be extended to hydroxyindole metabolites of other naphthoylindole
type SCs in identifying the position of the hydroxyl group on the indole ring.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27194521
TI - Characterization of chemical constituents in Rhodiola Crenulate by high
performance liquid chromatography coupled with Fourier-transform ion cyclotron
resonance mass spectrometer (HPLC-FT-ICR MS).
AB - In this work, an approach using high-performance liquid chromatography coupled
with diode-array detection and Fourier-transform ion cyclotron resonance mass
spectrometer (HPLC-FT-ICR MS) for the identification and profiling of chemical
constituents in Rhodiola crenulata was developed for the first time. The
chromatographic separation was achieved on an Inertsil ODS-3 column (150 mm * 4.6
mm,3 um) using a gradient elution program, and the detection was performed on a
Bruker Solarix 7.0 T mass spectrometer equipped with electrospray ionization
source in both positive and negative modes. Under the optimized conditions, a
total of 48 chemical compounds, including 26 alcohols and their glycosides, 12
flavonoids and their glycosides, 5 flavanols and gallic acid derivatives, 4
organic acids and 1 cyanogenic glycoside were identified or tentatively
characterized. The results indicated that the developed HPLC-FT-ICR MS method
with ultra-high sensitivity and resolution is suitable for identifying and
characterizing the chemical constituents in R. crenulata. And it provides a
helpful chemical basis for further research on R. crenulata. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27194522
TI - Microwave plasma torch mass spectrometry for the direct detection of copper and
molybdenum ions in aqueous liquids.
AB - Microwave plasma torch (MPT) is a simple and low power-consumption ambient ion
source. And the MPT Mass spectra of many metal elements usually exhibit some
novel features different from their inductively coupled plasma (ICP) mass
spectra, which may be helpful for metal element analysis. Here, we presented the
results about the MPT mass spectra of copper and molybdenum elements by a linear
ion trap mass spectrometer (LTQ). The generated copper or molybdenum contained
ions in plasma were characterized further in collision-induced dissociated (CID)
experiments. These researches built a novel, direct and sensitive method for the
direct analysis of trace levels of copper and molybdenum in aqueous liquids.
Quantitative results showed that the limit of detection (LOD) by using MS(2)
procedure was estimated to be 0.265 ug/l (ppb) for copper and 0.497 ug/l for
molybdenum. The linear dynamics ranges cover at least 2 orders of magnitude and
the analysis of a single aqueous sample can be completed in 5-6 min with a
reasonable semi-quantitative sense. Two practical aqueous samples, milk and
urine, were also analyzed qualitatively with reasonable recovery rates and RSD.
These experimental data demonstrated that the MPT MS is able to turn into a
promising and hopeful tool in field analysis of copper and molybdenum ions in
water and some aqueous media, and can be applied in many fields, such as
environmental controlling, hydrogeology, and water quality inspection. Moreover,
MPT MS could also be used as the supplement of ICP-MS for the rapid and in-situ
analysis of metal ions. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27194523
TI - Shift reagents in ion mobility spectrometry: the effect of the number of
interaction sites, size and interaction energies on the mobilities of valinol and
ethanolamine.
AB - Overlapping peaks interfere in ion mobility spectrometry (IMS), but they are
separated introducing mobility shift reagents (SR) in the buffer gas forming
adducts with different collision cross-sections (size). IMS separations using SR
depend on the ion mobility shifts which are governed by adduct's size and
interaction energies (stabilities). Mobility shifts of valinol and ethanolamine
ions were measured by electrospray-ionization ion mobility-mass spectrometry
(MS). Methyl-chloro propionate (M) was used as SR; 2-butanol (B) and nitrobenzene
(N) were used for comparison. Density functional theory was used for
calculations. B produced the smallest mobility shifts because of its small size.
M and N have two strong interaction sites (oxygen atoms) and similar molecular
mass, and they should produce similar shifts. For both ethanolamine and valinol
ions, stabilities were larger for N adducts than those of M. With ethanolamine, M
produced a 68% shift, large compared to that using N, 61%, because M has a third
weak interaction site on the chlorine atom and, therefore, M has more interaction
possibilities than N. This third site overrode the oxygen atoms' interaction
energy that favored the adduction of ethanolamine with N over that with M. On the
contrary, with valinol mobility shifts were larger with N than with M (21 vs 18%)
because interaction energy favored even more adduction of valinol with N than
with M; that is, the interaction energy difference between adducts of valinol
with M and N was larger than that between those adducts with ethanolamine, and
the third M interaction could not override this larger difference. Mobility
shifts were explained based on the number of SR's interaction sites, size of ions
and SR, and SR-ion interaction energies. This is the first time that the number
of interaction sites is used to explain mobility shifts in SR-assisted IMS.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27194524
TI - Application to Photocatalytic H2 Production of a Whole-Cell Reaction by
Recombinant Escherichia coli Cells Expressing [FeFe]-Hydrogenase and Maturases
Genes.
AB - A photocatalytic H2 production system using an inorganic-bio hybrid photocatalyst
could contribute to the efficient utilization of solar energy, but would require
the development of a new approach for preparing a H2 -forming biocatalyst. In the
present study, we constructed a recombinant strain of Escherichia coli expressing
the genes encoding the [FeFe]-hydrogenase and relevant maturases from Clostridium
acetobutylicum NBRC 13948 for use as a biocatalyst. We investigated the direct
application of a whole-cell of the recombinant E. coli. The combination of TiO2 ,
methylviologen, and the recombinant E. coli formed H2 under light irradiation,
demonstrating that whole cells of the recombinant E. coli could be employed for
photocatalytic H2 production without any time-consuming and costly manipulations
(for example, enzyme purification). This is the first report of the direct
application of a whole-cell reaction of recombinant E. coli to photocatalytic H2
production.
PMID- 27194525
TI - The Toxic Effect of ALLN on Primary Rat Retinal Neurons.
AB - N-acetyl-leucyl-leucyl-norleucinal (ALLN), an inhibitor of proteasomes and
calpain, is widely used to reduce proteasomes or calpain-mediated cell death in
rodents. However, ALLN is toxic to retinal neurons to some extent. At the
concentration of 10 MUM, ALLN is non-toxic to cortical neurons, but induces cell
death of retinal neurons in vitro. The tolerance concentration of ALLN for
retinal neurons is unclear, and the precise mechanism of cell death induced by
ALLN remains elusive. In this study, we investigated the toxic effect of ALLN on
primary retinal neurons. The 3-(4,5-dimethylthiazole-2-yl)-2,5
diphenyltetrazolium bromide (MTT) assay showed no significant changes of cell
viability at 1 MUM but decreased cell viability after treatment of ALLN at 2.5,
5, and 7.5 MUM. Lactate dehydrogenase (LDH) release was highly elevated and
propidium iodide (PI)-positive cells were significantly increased at 2.5, 5, and
7.5 MUM after all treatment times. Moreover, the protein levels of caspase-3 were
up-regulated at 5 and 7.5 MUM after 12 and 24 h of ALLN treatment. The ratio of
Bax/Bcl-2 was raised and Annexin V-positive cells were increased at 5 and 7.5 MUM
after 12 and 24 h of ALLN treatment. However, there were no significant changes
in either the ratio of microtubule-associated protein 1 light chain 3 (LC3)
II/LC3 I or monodansylcadaverine (MDC) staining. Our data clearly show that at
the concentrations equal to and higher than 2.5 MUM, ALLN may induce cell death
of primary retinal neurons by necrosis and apoptosis, but not autophagy. These
suggest that primary retinal neurons are more susceptible to ALLN treatment and
provide a possible mechanism for the cell death of ALLN-sensitive cells in ALLN
injury.
PMID- 27194527
TI - Transport and selective chaining of bidisperse particles in a travelling wave
potential.
AB - We combine experiments, theory and numerical simulation to investigate the
dynamics of a binary suspension of paramagnetic colloidal particles dispersed in
water and transported above a stripe-patterned magnetic garnet film. The
substrate generates a one-dimensional periodic energy landscape above its
surface. The application of an elliptically polarized rotating magnetic field
causes the landscape to translate, inducing direct transport of paramagnetic
particles placed above the film. The ellipticity of the applied field can be used
to control and tune the interparticle interactions, from net repulsive to net
attractive. When considering particles of two distinct sizes, we find that,
depending on their elevation above the surface of the magnetic substrate, the
particles feel effectively different potentials, resulting in different
mobilities. We exploit this feature to induce selective chaining for certain
values of the applied field parameters. In particular, when driving two types of
particles, we force only one type to condense into travelling parallel chains.
These chains confine the movement of the other non-chaining particles within
narrow colloidal channels. This phenomenon is explained by considering the
balance of pairwise magnetic forces between the particles and their individual
coupling with the travelling landscape.
PMID- 27194528
TI - Predictors of Health Care Seeking Behavior During Pregnancy, Delivery, and the
Postnatal Period in Rural Tanzania.
AB - Objectives Four antenatal visits, delivery in a health facility, and three
postnatal visits are the World Health Organization recommendations for women to
optimize maternal health outcomes. This study examines maternal compliance with
the full recommended maternal health visits in rural Tanzania with the goal of
illuminating interventions to reduce inequalities in maternal health. Methods
Analysis included 907 women who had given birth within two years preceding a
survey of women of reproductive age. Multinomial logistic regression was used to
assess the influence of maternal, household, and community-level characteristics
on four alternative classes defining relative compliance with optimal
configuration of maternal health care seeking behavior. Results Parity, wealth
index, timeliness of ANC initiation, nearest health facility type, religion, and
district of residence were significant predictors of maternal health care seeking
when adjusted for other factors. Multiparous women compared to primiparous were
less likely to seek care at the high level [RRR 0.16, 95 % confidence interval
(CI) 0.06-0.46], at the mid-level (RRR 0.22, 95 % CI 0.09-0.58), and the mid-low
level (RRR 0.27, 95 % CI 0.09-0.80). Women in the highest wealth index compared
to those in the poorest group were almost three times more likely to seek the
highest two levels of care versus the lowest level (high RRR 2.92, 95 % CI 1.27
6.71, mid-level RRR 2.71, 95 % 1.31-5.62). Conclusion Results suggest that
efforts to improve the overall impact of services on the continuum of care in
rural Tanzania would derive particular benefit from strategies that improve
maternal health coverage among multiparous and low socioeconomic status women.
PMID- 27194526
TI - Chondroitin sulphate: a focus on osteoarthritis.
AB - Chondroitin sulfate (CS) being a natural glycosaminoglycan is found in the
cartilage and extracellular matrix. It shows clinical benefits in symptomatic
osteoarthritis (OA) of the finger, knee, hip joints, low back, facial joints and
other diseases due to its anti-inflammatory activity. It also helps in OA by
providing resistance to compression, maintaining the structural integrity,
homeostasis, slows breakdown and reduces pain in sore muscles. It is most often
used in combination with glucosamine to treat OA. CS is a key role player in the
regulation of cell development, cell adhesion, proliferation, and
differentiation. Its commercial applications have been continuously explored in
the engineering of biological tissues and its combination with other biopolymers
to formulate scaffolds which promote and accelerate the regeneration of damaged
structure. It is approved in the USA as a dietary supplement for OA, while it is
used as a symptomatic slow-acting drug (SYSADOA) in Europe and some other
countries. Any significant side effects or overdoses of CS have not been reported
in clinical trials suggesting its long-term safety. This review highlights the
potential of CS, either alone or in combination with other drugs, to attract the
scientists engaged in OA treatment and management across the world.
PMID- 27194529
TI - The Ulcerative Colitis Endoscopic Index of Severity is Useful to Predict Medium-
to Long-Term Prognosis in Ulcerative Colitis Patients with Clinical Remission.
AB - BACKGROUND: The ulcerative colitis endoscopic index of severity [UCEIS] is a
validated scoring system. Nevertheless, few studies have investigated its
usefulness in clinical settings. In this study, we aimed to predict the clinical
prognosis of patients with ulcerative colitis [UC] in clinical remission using
the UCEIS. METHODS: A total of 285 UC patients who underwent a colonoscopy
between April 2012 and March 2013 were enrolled. We reviewed clinical
characteristics and endoscopic scores at the time of the colonoscopy and checked
the clinical remission rate of the patients until September 2015. Clinical
remission and recurrence were defined as a partial Mayo score of <=1 and >=3,
respectively. RESULTS: UCEIS was strongly correlated with the Mayo endoscopic
score [r=0.93], moderately correlated with clinical severity [r=0.64] and mildly
correlated with C-reactive protein [r=0.34]. The recurrence rate increased
gradually as it became more endoscopically severe [5.0% for UCEIS=0, 22.4% for
UCEIS=1, 27.0% for UCEIS=2, 35.7% for UCEIS=3 and 75.0% for UCEIS=4-5] in
patients with clinical remission. UCEIS and the concomitant use of thiopurine
were independent factors predicting clinical recurrence. A multivariate analysis
indicated that the absence of bleeding [p<=0.001] and the absence of mucosal
damage [p<0.001] in a colonoscopy were independent factors for prolongation of
clinical remission. CONCLUSION: The UCEIS is useful to predict the medium- to
long-term outcomes of UC patients with clinical remission. The absence of
bleeding or mucosal damage is important for maintaining clinical remission.
PMID- 27194530
TI - Direct and Indirect Effects of Tofacitinib on Treatment Satisfaction in Patients
with Ulcerative Colitis.
AB - BACKGROUND AND AIMS: This mediation modelling analysis evaluated direct and
indirect effects of tofacitinib, an oral, small molecule Janus kinase inhibitor
under investigation for ulcerative colitis, on patient treatment satisfaction.
METHODS: Data from an 8-week randomized Phase 2 trial [NCT00787202] in adults
with moderate-to-severe, active ulcerative colitis receiving twice-daily
tofacitinib 0.5-15mg [n=146] or placebo [n=48] were analysed in patient-reported
[n=149] and clinician-reported [n=170] outcomes-based mediation models. Binary
predictor variable: Treatment [pooled active treatment vs placebo]. Eventual
dependent variable: Week 8 patient treatment satisfaction [measured on a five
point Likert scale]. Mediators of treatment effect on satisfaction: Week 8
Inflammatory Bowel Disease Questionnaire domains [Bowel Symptoms, Emotional
Health, Social Function and Systemic Symptoms] and Mayo scale domains [Stool
Frequency, Rectal Bleeding, Physician's Global Assessment and Endoscopic Disease
Activity] for patient-reported and clinician-reported models, respectively.
RESULTS: Overall tofacitinib indirect effect on satisfaction via Inflammatory
Bowel Disease Questionnaire domains was 40.5% [p<0.05] and via Mayo scale domains
was 84.0% [p<0.01] for patient-reported and clinician-reported models,
respectively. Bowel function had the most important indirect effect: of the total
tofacitinib effect on satisfaction, 32.4% [p=0.05] was indirectly mediated via
Bowel Symptoms; and 30.0% [p=0.04] via Stool Frequency. In total, 59.5% [p<0.01]
and only 16.0% [p=0.56] of tofacitinib's effect on satisfaction was unrelated to
Inflammatory Bowel Disease Questionnaire and Mayo scale domains in the patient
reported and clinician-reported models, respectively. CONCLUSIONS: Bowel function
is an important factor for patient treatment satisfaction with tofacitinib.
Treatment effect on patient satisfaction was almost completely mediated via
improvement in Mayo scale domains.
PMID- 27194531
TI - Genetic Deletion of Tissue Inhibitor of Metalloproteinase-1/TIMP-1 Alters
Inflammation and Attenuates Fibrosis in Dextran Sodium Sulphate-induced Murine
Models of Colitis.
AB - BACKGROUND AND AIMS: Increased levels of tissue inhibitor of metalloproteinase-1
[TIMP-1] have been detected in both inflammatory and fibrotic lesions in Crohn's
disease. In a murine model of chronic inflammation, fibrosis was associated with
an increase in TIMP-1 and inhibition of matrix metalloproteinase [MMP]-mediated
degradation. We investigated the effect of TIMP-1 deficiency in acute and chronic
murine models of colitis. METHODS: Colitis was induced via oral administration of
dextran sodium sulphate [DSS] to B6.129S4-Timp1tm1Pds/J knock-out [KO] and
C57BL/6J wild-type [WT] mice. Levels of inflammation and fibrosis were assessed
and gelatin zymographies and gene expression microarrays were performed. RESULTS:
Compared with WT mice, TIMP-1 KO mice had higher inflammatory parameters after
acute DSS administration and developed less fibrosis after chronic DSS
administration. MMP-2 levels were increased in WT versus TIMP-1 KO mice with
acute colitis, whereas a trend for higher proMMP-9 levels was observed in WT
versus TIMP-1 KO mice with chronic colitis. In control conditions, several immune
related genes [e.g Ido1, Cldn8] were differentially expressed between young TIMP
1 KO and WT mice, but to a lesser extent between older TIMP-1 KO and WT mice. In
response to DSS, the gene expression pattern was significantly different between
young TIMP-1 KO and WT mice, whereas it was similar in older TIMP-1 KO and WT
mice. CONCLUSIONS: TIMP-1 deficiency leads to differential expression of immune
related genes and to attenuated development of fibrosis. Unravelling the role of
TIMP-1 in intestinal remodelling is necessary to develop more effective and more
targeted therapeutic strategies for intestinal fibrosis.
PMID- 27194532
TI - Symptomatic Patency Capsule Retention in Suspected Crohn's Disease.
AB - The main limitation of capsule endoscopy is the risk of capsule retention. In
patients with suspected Crohn's disease, however, this complication is rare, and
if a small bowel stenosis is not reliably excluded, small bowel patency can be
confirmed with the Pillcam patency capsule. We present two patients examined for
suspected Crohn's disease who experienced significant symptoms from a retained
patency capsule. Both patients had Crohn's disease located in the terminal ileum.
In one patient, the patency capsule caused abdominal pain and vomiting and was
visualized at magnetic resonance enterography 9 days after ingestion. Symptoms
improved spontaneously. Another patient experienced small bowel perforation with
severe peritonitis caused by an intact patency capsule wedged in a small bowel
stricture. We conclude that the Pillcam patency capsule is an effective modality
for securing small bowel patency prior to capsule endoscopy. However, it should
be emphasized that delayed patency capsule degradation and symptomatic capsule
retention is a rare but potentially severe complication which should be treated
aggressively, either medically or endoscopically.
PMID- 27194533
TI - IBD: In Food We Trust.
AB - BACKGROUND AND AIMS: Both science and patients associate diet with inflammatory
bowel disease [IBD]. There is no doubt that links between IBD and diet are
numerous, based on both epidemiological studies and experimental studies.
However, scientific evidence to support dietary advice is currently lacking, and
dietary counselling for IBD patients is often limited in clinical practice to the
improvement of nutrient intake. This review aimed to focus on both patient's
beliefs about and molecular mechanisms for crosstalk between nutrients and
inflammation. METHODS: A literature search using PubMed was performed to identify
relevant studies on diet and/or nutrients and their role in IBD. Pubmed [from
inception to January 20, 2016] was searched using the terms: 'Crohn', 'colitis','
intestinal epithelial cells', and a list of terms relating to diet or numerous
specific nutrients. Terms associated with nutrients were individually tested in
the context of IBD. Reference lists from studies selected were manually searched
to identify further relevant reports. Manuscripts about diet in the context of
IBD from basic science, epidemiological studies, or clinical trials were selected
and reviewed. Only articles published in English were included. RESULTS:
Epidemiological studies highlight the key role of diet in IBD development, and
many IBD patients report diet as a triggering factor in relapse of disease. In
addition, we present research on the impact of nutrients on innate immunity.
CONCLUSION: Diet may offer an alternative approach to restoring deficient innate
immunity in IBD, and this may be the scientific rationale for providing dietary
counselling for IBD patients.
PMID- 27194535
TI - Mechanical properties and biocompatibility of co-axially electrospun polyvinyl
alcohol/maghemite.
AB - Electrospinning is a simple and efficient process in producing nanofibers. To
fabricate nanofibers made of a blend of two constituent materials, co-axial
electrospinning method is an option. In this method, the constituent materials
contained in separate barrels are simultaneously injected using two syringe
nozzles arranged co-axially and the materials mix during the spraying process
forming core and shell of the nanofibers. In this study, co-axial electrospinning
method is used to fabricate nanofibers made of polyvinyl alcohol and maghemite
(gamma-Fe2O3). The concentration of polyvinyl alcohol and amount of maghemite
nanoparticle loading were varied, at 5 and 10 w/v% and at 1-10 v/v%,
respectively. The mechanical properties (strength and Young's modulus), porosity,
and biocompatibility properties (contact angle and cell viability) of the
electrospun mats were evaluated, with the same mats fabricated by regular single
nozzle electrospinning method as the control. The co-axial electrospinning method
is able to fabricate the expected polyvinyl alcohol/maghemite nanofiber mats. It
was noticed that the polyvinyl alcohol/maghemite electrospun mats have lower
mechanical properties (i.e. strength and stiffness) and porosity, more
hydrophilicity (i.e. lower contact angle), and similar cell viability compared to
the mats fabricated by single-nozzle electrospinning method.
PMID- 27194536
TI - HCV inter-subtype 1a/1b recombinant detected by complete-genome next-generation
sequencing.
AB - Next-generation sequencing (NGS) provides a practical approach to HCV complete
genome sequencing, detecting low-frequency variants and allowing analysis of
viral genetic diversity (quasispecies) in the sample, and so far, it is very
useful for identifying preexisting drug-resistant mutants and emerging escape
mutations, as well as detecting viral recombinants containing genomic regions
from different genotypes and subtypes. The aim of this study was to analyze the
complete coding region of hepatitis C virus (HCV) genotype 1 (subtypes 1a and 1b)
from patients with chronic infection who were direct-acting antiviral (DAA)
naive. Next-generation sequencing (Ion TorrentTM PGM) was used to determine the
sequence of the complete coding region of 100 HCV-monoinfected DAA-naive patients
(51 and 49 subtypes 1a and 1b, respectively). We report the first description of
nearly complete HCV genome sequences of subtype 1a and 1b isolates from a large
population of Brazilian patients with chronic hepatitis C, and HCV-1a grouped in
two different clades. Using this methodology, an inter-subtype 1a/1b recombinant
was identified in this study.
PMID- 27194537
TI - Atrial ectopy and N-terminal pro-B-type natriuretic peptide as predictors of
atrial fibrillation: a population-based cohort study.
AB - Aims: The risk of incident atrial fibrillation (AF) can be estimated by clinical
parameters in the Framingham AF risk model. Elevated N-terminal pro-B-type
natriuretic peptide (NT-proBNP) and increased rate of premature atrial
contractions (PACs) have been shown to be associated with AF, but the additive
value of both of these biomarkers in the Framingham AF risk model has not been
fully examined. Methods and results: A total of 646 subjects from the Copenhagen
Holter Study (mean age 64.4 +/- 6.8 years, 41.6% women) with no history of prior
AF, stroke or cardiovascular disease were followed for the diagnosis of incident
AF or death (median follow-up time 14.4 years). Median NT-proBNP was 6.7 pmol/L
(IQR: 3.6-13.5), median PAC count was 1.4 beats/h (IQR: 0.6-4.5), 71 (11.0%)
subjects developed AF, and 244 (37.8%) died. Multiple Cox regression including
Framingham AF risk score, log-transformed NT-proBNP, and log-transformed PAC
showed a significant increase in AF hazard risk [hazard ratio (HR) 1.45, 95%
confidence interval (CI) 1.14-1.85, P = 0.002; HR 1.23, 95% CI 1.09-1.39, P =
0.001]. The addition of PAC to the Framingham AF risk model significantly
improved the time-dependent area under the receiver operating characteristic
curve (AUC 65.6 vs. 72.6; P = 0.008), while the addition of NT-proBNP did not.
Conclusion: Atrial fibrillation risk discrimination was significantly improved by
the addition of PAC to the Framingham AF risk model, but not by the addition of
NT-proBNP.
PMID- 27194534
TI - Targeting cellular and molecular drivers of head and neck squamous cell
carcinoma: current options and emerging perspectives.
AB - Despite improvements in functional outcomes attributable to advances in
radiotherapy, chemotherapy, surgical techniques, and imaging techniques, survival
in head and neck squamous cell carcinoma (HNSCC) patients has improved only
marginally during the last couple of decades, and optimal therapy has yet to be
devised. Genomic complexity and intratumoral genetic heterogeneity may contribute
to treatment resistance and the propensity for locoregional recurrence.
Countering this, it demands a significant effort from both basic and clinical
scientists in the search for more effective targeted therapies. Recent genomewide
studies have provided valuable insights into the genetic basis of HNSCC,
uncovering potential new therapeutic opportunities. In addition, several studies
have elucidated how inflammatory, immune, and stromal cells contribute to the
particular properties of these neoplasms. In the present review, we introduce
recent findings on genomic aberrations resulting from whole-genome sequencing of
HNSCC, we discuss how the particular microenvironment affects the pathogenesis of
this disease, and we describe clinical trials exploring new perspectives on the
use of combined genetic and cellular targeted therapies.
PMID- 27194538
TI - 'Real-world' atrial fibrillation management in Europe: observations from the 2
year follow-up of the EURObservational Research Programme-Atrial Fibrillation
General Registry Pilot Phase.
AB - Aims: Atrial fibrillation (AF) is commonly associated with a high risk of stroke,
thromboembolism, and mortality. The 1-year follow-up of the EURObservational
Research Programme-Atrial Fibrillation (EORP-AF) Pilot Registry demonstrated a
high mortality but good outcomes with European Society of Cardiology guideline
adherent therapy. Whether these 'real-world' observations on patients managed by
European cardiologists extend to 2 years remains uncertain. Methods and results:
In this report from the EORP-AF General Registry Pilot Phase, we provide data on
the 2-year follow-up outcomes. Consistent with the 1-year follow-up report, only
a small proportion of patients were symptomatic (24.9%), with minor differences
between the different AF subtypes. Persistence of oral anticoagulant (OAC)
therapy remains high at 2-years, with ~80% of patients treated with OAC. The
prescribing rates of non-vitamin K antagonist oral anticoagulants are
progressively increasing (13.7% at 2 years). Rate and rhythm control approaches
remained consistent across the entire follow-up observation. Overall mortality
rates remained high, with 5.0% of patients dead during the 2-year follow-up,
mostly due to cardiovascular causes (61.8%). Atrial fibrillation readmissions
were frequent, particularly related to arrhythmias and heart failure. On
multivariate analyses, any cardiovascular reason for admission rather than AF was
significantly associated with increased mortality during the 2-year follow-up.
Conclusion: In this 2-year follow-up report from EORP-AF, mortality rates with AF
remain high from cardiovascular causes, despite the high prevalent use of OAC.
Improved management strategies to reduce major adverse outcomes in AF patients
are needed.
PMID- 27194539
TI - Plasma homocysteine levels predict early recurrence after catheter ablation of
persistent atrial fibrillation.
AB - AIMS: To assess the association and the predictive value of plasma homocysteine
(Hcy) with early recurrence in persistent atrial fibrillation patients after a
single ablation procedure. METHODS AND RESULTS: Two hundred and fifty-seven
consecutive patients with persistent atrial fibrillation who underwent successful
catheter ablation were enrolled. Early recurrence of atrial tachyarrhythmia was
documented within 3 months after ablation. The logistic regression analysis and
Kaplan-Meier curve analysis were used to evaluate the association of Hcy with
early recurrence. During the 3-month follow-up, 75 (29.2%) patients experienced
recurrence. Patients with early recurrence were older, more likely to have larger
left atrial diameter and higher CHA2DS2-VASc score (all P< 0.001). Plasma Hcy
levels were significantly elevated in patients with early recurrence compared
with those without early recurrence (15.1 +/- 4.1 vs. 12.4 +/- 3.7 umol/L, P<
0.001). In multivariate analysis, Hcy was significantly associated with early
recurrence (OR 1.188, 95% CI 1.097-1.286, P< 0.001). Hcy demonstrated a
predictive value with AUC of 0.688 (95% CI 0.623-0.753, P< 0.001). The optimal
cut-off value was 14 umol/L for Hcy (sensitivity 69%, specificity 59%). Patients
with Hcy >=14 umol/L had higher early recurrence rate compared with those with
Hcy <14 umol/L (41 vs. 22%, P= 0.006). CONCLUSION: Plasma Hcy levels are
associated with early recurrence of atrial tachyarrhythmia after catheter
ablation in persistent atrial fibrillation patients, thus it should be taken into
account in prediction of early recurrence.
PMID- 27194540
TI - Differential effect of ganglionic plexi ablation in a patient with neurally
mediated syncope and intermittent atrioventricular block.
AB - AIMS: In patients with severe neurally mediated syncope (NMS), radiofrequency
catheter ablation (RFA) of ganglionic plexi (GP) has been proposed as a new
therapeutic approach. Cardio-inhibitory response during NMS is usually related to
the sinoatrial (SA) and less frequently to atrioventricular (AV) node.
Differential effect of GP ablation on SA and AV node is poorly understood.
METHODS AND RESULTS: We report a case of a 35-year-old female with frequent
symptomatic episodes of advanced AV block treated by anatomically guided RFA at
empirical sites of GPs. After RFA at the septal portion of the right atrium
superior vena cava junction, heart rate accelerated from 62 to 91 beats/min and
PR interval prolonged from 213 to 344 ms. Sustained first-degree AV block allowed
to observe directly the effects of subsequent RFA on the AV nodal properties.
Subsequent RFA at right- and left-sided aspects of the inter-atrial septum had no
further effect on heart rate and PR interval. Ablation at the inferior left GP
was critical for restoration of normal AV conduction (final PR interval of 187
ms). No bradycardia episodes were observed by implantable loop recorder during
the follow-up of 10 months and the patient was symptomatically improved.
CONCLUSION: This is the first clinical case showing the differential effect of GP
ablation on SA and AV nodal function, and critical importance of targeting the GP
at the postero-inferior left atrium. The successful procedure corroborates
clinical utility of ablation treatment instead of pacemaker implantation in
selected patients with cardio-inhibitory NMS.
PMID- 27194541
TI - Entrapment of a diagnostic catheter in a novel multipolar basket catheter
(OrionTM) during right atrial mapping.
PMID- 27194542
TI - Cost effectiveness of LAA closure in patients with AF and contraindications to
warfarin: comment.
PMID- 27194543
TI - Arrhythmogenic right ventricular cardiomyopathy: implications of next-generation
sequencing in appropriate diagnosis.
AB - Aims: To evaluate potential differences in the genetic profile of cases with
'definite', 'borderline', and 'possible' arrhythmogenic right ventricular
cardiomyopathy (ARVC) phenotype by 2010 task force criteria using a custom
genetic panel after whole-exome analysis. Methods and results: We performed whole
exome sequencing in 14 cases with the clinical diagnosis ARVC using an 'Illumina
HighSeq 2000' system. We presented our initial results focused on 96 known
cardiomyopathy and channelopathy genes. According to the 2010 task force
criteria, 7/14 cases (50%) were classified as 'definite' phenotype, 4/14 (29%)
were 'borderline', and 3/14 (21%) were diagnosed with the 'possible' phenotype.
Nine out of 14 patients (64%) were males, and all were Caucasians, with an
average age at genetic diagnosis of 50 +/- 15 years. Among the seven cases with
the 'definite' phenotype, six (86%) had a putative desmosomal mutation, while
none of the seven patients with a 'possible' or borderline task force
classification phenotype hosted putative mutations in desmosomal genes. Four
(57%) of them had rare variants in other dilated cardiomyopathy (DCM) genes.
Conclusions: Most of the patients with 'definite' ARVC phenotype by task force
2010 host mutations in desmosomal genes. Weaker ARVC phenotypes host
variants/mutations in other DCM genes and result in a disease spectrum, including
DCM or phenocopies of ARVC.
PMID- 27194545
TI - Impact of Telephone-Based Care Coordination on Use of Cessation Medications
Posthospital Discharge: A Randomized Controlled Trial.
AB - Introduction: Smokers benefit from ongoing cessation support upon leaving the
hospital and returning to their home environment. This study examined the impact
of telephone-delivered care coordination on utilization of and adherence to
cessation pharmacotherapy after hospital discharge. Methods: Inpatient smokers (n
= 606) were randomized to receive counseling with care coordination (CCC) or
counseling alone (C) for smoking cessation. Both groups received written
materials and telephone-based cessation counseling during hospitalization and
postdischarge. CCC recipients received help in selecting, obtaining, and
refilling affordable pharmacotherapy prescriptions during and after
hospitalization. Study outcomes included self-reported utilization, duration of
use, and type of medication during the 3 months postdischarge. Results: Of the
487 (80%) of participants completing 3-month follow-up, 211 (43.3%) reported
using cessation pharmacotherapy postdischarge; this did not differ by study arm
(CCC: 44.7%, C: 42.0%, p = .55). Use of pharmacotherapy postdischarge was
associated with smoking at least 20 cigarettes/day at baseline (odds ratio [OR]:
1.48; 95% confidence interval [CI]: 1.00-2.19) and receipt of pharmacotherapy
during hospitalization (OR: 4.00; 95% CI: 2.39-6.89). Smokers with Medicaid (OR:
2.29; 95% CI: 1.32-4.02) or other insurance (OR: 1.69; 95% CI: 1.01-2.86) were
more likely to use pharmacotherapy postdischarge than those with no health care
coverage. Less than one in four (23.8% of CCC; 22.2% of C) continued
pharmacotherapy beyond 4 weeks. Conclusions: Supplemental care coordination did
not improve use of postdischarge pharmacotherapy beyond that of inpatient
treatment and behavioral counseling. Insurance coverage and use of medications
during the hospitalization are associated with higher use of evidence-based
treatment postdischarge. Implications: Many hospitalized smokers do not receive
the benefits of cessation pharmacotherapy postdischarge and telephone quitline
programs often fail to help smokers procure pharmacotherapy. Thus, effective
strategies are needed to improve utilization and adherence to evidence-based
cessation therapies when smokers leave the hospital. We found that use of
postdischarge pharmacotherapy was strongly associated with receipt of
pharmacotherapy during the hospitalization and with the availability of insurance
to cover the costs of treatment. Additional efforts to coordinate pharmacotherapy
services did not improve either utilization or adherence to therapy.
PMID- 27194544
TI - Self-Administered Nicotine Suppresses Body Weight Gain Independent of Food Intake
in Male Rats.
AB - INTRODUCTION: The action of nicotine to suppress body weight is often cited as a
factor impacting smoking initiation and the failure to quit. Despite the weight
suppressant effects of nicotine, smokers and nonsmokers report equal daily
caloric intake. The weight-suppressive effects of nicotine in animal models of
smoking are poorly understood. Furthermore, the Food and Drug Administration has
authority to implement a policy markedly reducing nicotine levels in cigarettes;
such a reduction could reduce smoking behavior, but have detrimental effects on
body weight. The aim of this investigation was to examine the effects of self
administered nicotine on body weight and food intake in rats. METHODS: In
Experiment 1, rats with ad libitum access to chow responded for intravenous
infusions of nicotine (60 ug/kg/infusion) or saline in daily 1-hour sessions;
body weight and 24-hour food intake were measured. Experiment 2 tested the
effects of subcutaneous injections of nicotine on food intake. In Experiment 3,
rats were food restricted and self-administered nicotine across a range of doses
(3.75-60 ug/kg/infusion) while body weight was measured. In Experiment 4, rats
self-administered 60 ug/kg/infusion nicotine before reduction to one of several
doses (1.875-15 ug/kg/infusion) for 50 days. RESULTS: Self-administered nicotine
suppressed weight gain independent of food intake. In food restricted rats, self
administered nicotine dose-dependently suppressed body weight gain. In rats self
administering 60 ug/kg/infusion nicotine, dose reduction increased body weight.
CONCLUSIONS: Self-administered nicotine, even at low doses, suppressed body
independent of food intake; this may have important implications for nicotine
reduction policy. IMPLICATIONS: The results of the present studies demonstrate
that self-administered nicotine suppresses body weight independent of food intake
in rats. Further, the present studies establish that self-administered nicotine
suppresses body weight even at very low doses and that reduction of nicotine dose
results in weight gain. These results have important implications for nicotine
reduction policy.
PMID- 27194546
TI - Prenatal Tobacco Exposure Shortens Telomere Length in Children.
AB - INTRODUCTION: Preliminary evidence suggests a possible association between
prenatal tobacco exposure and telomere length in children. This study was
conducted to investigate whether maternal smoking during pregnancy was associated
with telomere shortening in their children and whether prenatal and childhood
exposure to environmental tobacco had any impact on this association. METHODS:
This is a population-representative study on the association between prenatal
tobacco exposure and telomere length in children. Ninety-eight Hong Kong Chinese
children aged under 15 years with prenatal tobacco exposure and 98 age- and
gender-matched controls were recruited from a population health study with
stratified random sampling. RESULTS: Telomere length in children with prenatal
tobacco exposure was significantly shorter than in those with no exposure (mean
T/S ratio = 24.9 [SD = 8.58] in exposed vs. 28.97 [14.15] in control groups; P =
0.02). A negative dose-response relationship was observed between the T/S ratio
and tobacco exposure duration: the longer the duration of maternal smoking in
pregnancy, the shorter the child's telomere length. The association between the
child's telomere length and prenatal tobacco exposure remained significant after
considering the influence of family socioeconomic status and exposure to
environmental tobacco smoke during pregnancy and childhood. CONCLUSIONS: Prenatal
tobacco exposure was associated with telomere shortening in children. As this may
impose significant health impacts through fetal genetic programming, more efforts
should be made to reduce fetal tobacco exposure by educating pregnant women to
not smoke and motivating smokers to quit in early pregnancy. IMPLICATIONS: As
reflected by telomere shortening, prenatal tobacco exposure in children can cause
premature aging and increased health risks, which we suggest is entirely
preventable. Not smoking during pregnancy or quitting smoking is critical to
improving the health outcome of our future generations as prenatal tobacco
exposure may affect children's biological programming.
PMID- 27194547
TI - Tularemia vaccines.
AB - Francisella tularensis is the causative agent of the potentially lethal disease
tularemia. Due to a low infectious dose and ease of airborne transmission,
Francisella is classified as a category A biological agent. Despite the possible
risk to public health, there is no safe and fully licensed vaccine. A potential
vaccine candidate, an attenuated live vaccine strain, does not fulfil the
criteria for general use. In this review, we will summarize existing and new
candidates for live attenuated and subunit vaccines.
PMID- 27194548
TI - Amplification of FGFR1 gene and expression of FGFR1 protein is found in different
histological types of lung carcinoma.
AB - Although lung cancer continues to be the leading cause of cancer-related death,
accurate diagnosis followed by personalized treatment is expected to raise the 5
year survival rate. Targeted therapies are now in routine clinical use, in
particular for lung adenocarcinoma (ADC). Fibroblast growth factor receptor 1
(FGFR1) has recently emerged as a molecular target, especially in squamous
cell/epidermoid carcinoma (SQC) of the lung. This paper evaluates FGFR1
expression and gene copy number in adenocarcinomas, squamous cell carcinomas,
pleomorphic carcinomas (PLEOMC) and adenosquamous carcinomas (ADSQC) of the lung
and also explores the epithelial-mesenchymal transition (EMT) pathway. We studied
76 lung carcinomas: 34 ADC, 24 SQC, 10 PLEOMC and 8 ADSQC. FGFR1 expression was
evaluated by immunohistochemistry and gene amplification by fluorescence in situ
hybridization (FISH). Higher FGFR1 protein expression was observed in all tumour
types compared to non-tumour tissue. FGFR1 expression was higher in ADC and
PLEOMC than in SQC. We found a tendency to higher expression in ADC than in SQC
and significantly higher expression in PLEOMC than in other histological
subtypes. FISH-based amplification of FGFR1 was identified in 15 (20 %) lung
carcinomas: 5 (15 %) ADC, 5 (21 %) SQC, 3 (30 %) PLEOMC and 2 (25 %) ADSQC.
Amplification was more frequent in SQC without significant differences. FGFR1
protein is expressed in the majority of lung carcinomas, though it is higher in
ADC and PLEOMC (the latter may reflect the importance of FGFR1 control of the EMT
pathway). FGFR1 amplification was identified in all types of lung carcinoma.
Although FGFR1 is most frequently amplified in SQC, other histological types
merit assessment of FGFR1 amplification, in order to select patients that might
benefit from targeted therapy.
PMID- 27194549
TI - Risk factors for hypertensive attack during pheochromocytoma resection.
AB - PURPOSE: We aimed to retrospectively evaluate the risk factors for hypertensive
attack during adrenalectomy in patients with pheochromocytoma. Despite the
development of newer surgical and anesthetic techniques for the management of
pheochromocytoma, intraoperative hypertensive attack continues to present a
challenge. MATERIALS AND METHODS: Data from 53 patients diagnosed with
pheochromocytoma at Kyungpook National Uriversity Medical Center between January
2000 and June 2012 were retrospectively analyzed. The subjects were divided into
2 groups depending on the presence or absence of hypertensive attack at the time
of surgery. Patient demographic characteristics and preoperative evaluations were
assessed for their prognostic relevance with respect to hypertensive attack. A
univariate analysis was conducted, and a multivariate logistic regression
analysis was also performed. RESULTS: In the univariate analysis, systolic blood
pressure at presentation, preoperative hormonal status (including epinephrine,
norepinephrine, vanillylmandelic acid, and metanephrine levels in a 24-hour urine
sample), tumor size, and postoperative systolic blood pressure were significantly
associated with the development of hypertensive attack. In the multivariate
analysis, preoperative epinephrine level and tumor size were independent factors
that predicted hypertensive attack. The highest odds ratio for tumor size (2.169)
was obtained at a cutoff value of 4.25 cm and the highest odds ratio for
preoperative epinephrine (1.020) was obtained at a cutoff value of 166.3 ug/d.
CONCLUSIONS: In this study, a large tumor size and an elevated preoperative
urinary epinephrine level were risk factors for intraoperative hypertensive
attack in patients with pheochromocytoma.
PMID- 27194550
TI - Defining and simulating open-ended novelty: requirements, guidelines, and
challenges.
AB - The open-endedness of a system is often defined as a continual production of
novelty. Here we pin down this concept more fully by defining several types of
novelty that a system may exhibit, classified as variation, innovation, and
emergence. We then provide a meta-model for including levels of structure in a
system's model. From there, we define an architecture suitable for building
simulations of open-ended novelty-generating systems and discuss how previously
proposed systems fit into this framework. We discuss the design principles
applicable to those systems and close with some challenges for the community.
PMID- 27194552
TI - The MELFO-Study: Prospective, Randomized, Clinical Trial for the Evaluation of a
Stage-adjusted Reduced Follow-up Schedule in Cutaneous Melanoma Patients-Results
after 1 Year.
AB - BACKGROUND: Guidelines for evidence-based follow-up in melanoma patients are not
available. This study examined whether a reduced follow-up schedule affects:
patient-reported outcome measures, detection of recurrences, and follow-up costs.
METHODS: This multicenter trial included 180 patients treated for AJCC stage IB
II cutaneous melanoma, who were randomized in a conventional follow-up schedule
group (CSG, 4 visits first year, n = 93) or experimental follow-up schedule group
(ESG, 1-3 visits first year, n = 87). Patients completed the State-Trait Anxiety
Inventory, cancer worry scale, impact of events scale, and a health-related
quality of life questionnaire (HRQoL, RAND-36). Physicians registered
clinicopathologic features and the number of outpatient clinic visits. RESULTS:
Sociodemographic and illness-related characteristics were equal in both groups.
After 1-year follow-up, the ESG reported significantly less cancer-related stress
response symptoms than the CSG (p = 0.01), and comparable anxiety, mental HRQoL,
and cancer-related worry. Mean cancer-related worry and stress response symptoms
decreased over time (p < 0.001), whereas mental HRQoL increased over time (p <
0.001) in all melanoma patients. Recurrence rate was 9 % in both groups, mostly
patient-detected and not physician-detected (CSG 63 %, ESG 43 %, p = 0.45).
Hospital costs of 1-year follow-up were reduced by 45 % in the ESG compared to
the CSG. CONCLUSIONS: This study shows that the stage-adjusted, reduced follow-up
schedule did not negatively affect melanoma patients' mental well-being and the
detection of recurrences compared with conventional follow-up as dictated by the
Dutch guideline, at 1 year after diagnosis. Additionally, reduced follow-up was
associated with significant hospital cost reduction.
PMID- 27194553
TI - Completion Lymph Node Dissection or Observation for Melanoma Sentinel Lymph Node
Metastases: A Decision Analysis.
AB - BACKGROUND: Long-term, randomized trial results comparing completion lymph node
dissection (CLND) with observation for patients with sentinel lymph node (SLN)
metastases are not available. Our goal was to determine whether melanoma patients
with SLN metastases should undergo CLND. METHODS: We developed a Markov model to
simulate the prognosis of hypothetical cohorts of patients with SLN metastases
who underwent either immediate CLND or observation with delayed CLND if
macroscopic disease developed. Model parameters were derived from published
studies and included the likelihood of non-SLN metastases, risk of dying from
melanoma, CLND complication rates, and health-related quality-of-life weights.
Outcomes included 5-year overall survival (OS), life expectancy (LE), and quality
adjusted life expectancy (QALE). RESULTS: The projected 5-year OS for 50-year-old
patients with SLN metastases who underwent immediate CLND was 67.2 % compared
with 63.1 % for the observation group. The LE gained by undergoing immediate CLND
ranged from 2.19 years for patients aged 30 to 0.64 years for patients aged 70
years. The QALE gained by undergoing immediate CLND ranged from 1.39 quality
adjusted life years for patients aged 30 to 0.36 for patients aged 70 years. In
sensitivity analysis over a clinically plausible range of values for each input
parameter, immediate CLND was no longer beneficial when the rate of long-term
complications increased and the quality-of-life weight for long-term
complications decreased. CONCLUSIONS: Immediate CLND following positive SLN
biopsy was associated with OS and QALE gains compared with observation and
delayed CLND for those who develop clinically apparent LN metastases.
PMID- 27194554
TI - The customer is always right? Subjective target symptoms and treatment
preferences in patients with psychosis.
AB - Clinicians and patients differ concerning the goals of treatment. Eighty
individuals with schizophrenia were assessed online about which symptoms they
consider the most important for treatment, as well as their experience with
different interventions. Treatment of affective and neuropsychological problems
was judged as more important than treatment of positive symptoms (p < 0.005).
While most individuals had experience with Occupational and Sports Therapy, only
a minority had received Cognitive-Behavioral Therapy, Family Therapy, and
Psychoeducation with family members before. Patients appraised Talk,
Psychoanalytic, and Art Therapy as well as Metacognitive Training as the most
helpful treatments. Clinicians should carefully take into consideration patients'
preferences, as neglect of consumers' views may compromise outcome and adherence
to treatment.
PMID- 27194555
TI - Review of PI3K/mTOR Inhibitors Entering Clinical Trials to Treat Triple Negative
Breast Cancers.
AB - BACKGROUND: Constitutive activation of the PI3K/mTOR signaling pathway is
observed in most, if not all, breast cancers. Accordingly, many PI3K and/or mTOR
inhibitors have entered clinical trials, and completed studies should soon reveal
the efficacy of these new drug families in the treatment of cancer patients.
OBJECTIVE: We present the PI3K/Akt/mTOR signaling pathway and the structure and
the anti-tumor efficiency of some mTOR inhibitors such as rapalogues and
competitive inhibitors, which have entered clinical trials. We also discuss some
of the clinical trial results associated with these molecules mainly focusing on
studies performed on relapsing breast cancer patients - but not only. RESULTS:
Most of the clinical trials with PI3K/mTOR inhibitors alone or in combination
with chemotherapies were performed in heavily pre-treated patients and revealed
non-negligible amounts of partial responses and long-term stable disease for
these patients. Therefore, these compounds seem to prevent tumor growth and
survival of cancer cells in Human, representing a new range of anti-tumor drugs
that can be utilized not only as first-line treatments but as second- and third
line agents for patients who relapse. CONCLUSION: Drugs inhibiting the PI3K/mTOR
signaling pathway may represent tailored anti-tumor agents, paving the way for
their clinical application in different tumor types.
PMID- 27194557
TI - Tensions of Health: Narratives of Employee Wellness Program Participants.
AB - This article examines dialectical tensions in the health narratives of
participants of the Employee Wellness Program (EWP) of a large public university
in the southeastern United States. Semi-structured interviews (n = 12) with team
leaders in the program indicated that health is a multifaceted concept
characterized by three pairs of dialectical tensions: autonomy versus connection,
private versus public, and control versus lack of control. These findings suggest
that to better promote health and wellness in the workplace, EWP staff should
consider employees' unique experiences and beliefs about health when designing
organization-wide programs and campaigns.
PMID- 27194556
TI - Heteroaromatic Pim Kinase Inhibitors Containing a Pyrazole Moiety.
AB - This review, of the literature published between 2010 and 2015 reports that
molecules containing a non-fused and/or fused pyrazole moiety could exhibit very
potent activity toward Pim kinases, including the inhibition of cellular Bad
phosphorylation as well as antiproliferative activity against various cancer
cells. Even if Pim kinase inhibitors currently in clinical trial do not exhibit a
pyrazole moiety, heteroaromatic kinase inhibitors containing an indazole part
such as Axitinib and Pazopanib already reached the market. Therefore, one can
imagine that in the future, heteroaromatic derivatives inhibiting Pim kinases
including pyrazoles could be identified and used for their diagnostic and/or
therapeutic potential alone or in combination with other drugs for the diseases
in which Pim kinases are involved.
PMID- 27194558
TI - Employment Maintenance and Intimate Partner Violence.
AB - Intimate partner violence (IPV) is a major public health problem in the United
States. Negative outcomes of IPV affect women's attainment and maintenance of
employment. The purpose of this study was to develop a theoretical framework that
described and explained the process by which women who have experienced IPV
attain and maintain employment. Grounded theory methodology was used to analyze
interviews of 34 women who had experienced IPV. Analysis suggested that women who
had experienced IPV could attain employment; however, they had difficulty
maintaining employment. Entanglement of work and IPV was experienced by all 34
participants because of the perpetrator controlling their appearance, sabotaging
their work, interfering with their work, or controlling their finances. Some
women described ways in which they disentangled work from IPV through a dynamic
unraveling process, with periods of re-entanglement, resulting in job security
and satisfaction.
PMID- 27194559
TI - Mediastinal Adiposity Influences the Technical Difficulty of Thoracic Procedure
in Minimally Invasive Esophagectomy.
AB - BACKGROUND: Obesity is considered to influence the difficulty of surgery.
However, whether mediastinal adiposity influences the difficulty of the thoracic
procedure in minimally invasive esophagectomy (MIE) remains unclear. METHODS:
Computed tomography volumetry was performed in 142 patients who underwent MIE
between 2012 and 2014. We measured abdominal visceral fat area (AVFA) at the
umbilicus level and mediastinal fat area (MFA) at the tracheal carina level. The
influence of MFA on the difficulty of the thoracic procedure was assessed using
the thoracic procedure duration as a parameter, and the effect of MFA on
morbidity after MIE was assessed. RESULTS: MFA was significantly smaller than
AVFA (p < 0.01). There was a positive correlation between them (p < 0.01). A
significant positive correlation was observed between MFA and thoracic procedure
duration (p < 0.01). Multivariate analysis revealed that MFA was independently
correlated with prolonged thoracic procedure duration (p < 0.01). Regarding
postoperative complications associated with the thoracic procedure, there were no
significant differences in MFA between patients with or without pneumonia and
those with or without chylothorax. Patients who experienced recurrent laryngeal
nerve palsy (RLNP) had greater MFA than those who did not (p = 0.04).
Multivariate analysis revealed that MFA was a significant predictor of the
occurrence of RLNP (p = 0.04). CONCLUSIONS: Although the extent of mediastinal
adiposity was much lesser than that of abdominal visceral adiposity, it could be
a predictor of the difficulty of the thoracic procedure as well as the risk of
RLNP in MIE.
PMID- 27194561
TI - The Hernia-Neck-Ratio (HNR), a Novel Predictive Factor for Complications of
Umbilical Hernia.
AB - BACKGROUND: Umbilical hernia is a common pathology and surgical repair is advised
to prevent complications in symptomatic patients. However, risk factors that
predict such advert events are unknown. The aim of the study was to determine
whether morphological characteristics are associated with the occurrence of
complications. METHOD: Retrospective review of adult patients with elective and
emergent umbilical hernia repair operated from January 2004 to December 2013. The
size of the hernia and the size of the neck were measured based on operative
reports, ultrasound, CT or MRI images. The Hernia-Neck-Ratio (HNR) was then
calculated as novel risk indicator. RESULTS: 106 patients underwent umbilical
hernia repair (70 for uncomplicated and 36 for complicated hernia) as single
procedure. The median size of the hernia sac was statistically significantly
smaller in the uncomplicated group (30 mm, interquartile range (IQR) 20-49 vs. 50
mm, IQR 40-71, p = 0.037). The median size of the neck was not different between
both groups (15 mm, IQR 11-29 vs. 16 mm, IQR 12-21, p = 0.44). The median HNR was
smaller in the uncomplicated group (1.76, IQR 1.45-2.18 vs. 3.33, IQR 2.97-3.91,
p = 0.00026). Based on ROC curve analysis (area under the curve: 0.9038), a cut
off value of 2.5 was associated with 91 % sensitivity and 84 % specificity.
CONCLUSIONS: A novel predictive factor for complications related to umbilical
hernia is proposed. The Hernia-Neck Ratio can easily be calculated. These results
suggest that umbilical hernia with HNR >2.5 should be operated, irrespective of
the presence of symptoms.
PMID- 27194560
TI - Enhanced Recovery Pathway for Right and Left Colectomy: Comparison of Functional
Recovery.
AB - BACKGROUND: Enhanced recovery (ERAS) guidelines do not differentiate between left
and right-sided colectomies, but differences in recovery have been reported for
the two procedure types. We aimed to compare compliance with the ERAS protocol
and outcomes after right versus left colectomy. METHODS: Between June 2011 and
September 2014, all patients undergoing elective colonic resection were treated
according to a standardized ERAS protocol and entered a prospective database.
This retrospective analysis compared right and left colectomy regarding
application of the ERAS pathway, bowel recovery, complications, and hospital
stay. RESULTS: Eighty-five patients with right colectomy matched well with 138
left-sided resections for baseline demographics. Overall compliance with the ERAS
protocol was 76 % for right versus 77 % for left colectomy patients (p = 0.492).
First flatus occurred at postoperative day 2 in both groups (p = 0.057); first
stool was observed after a median of 3 (right) and 2 days (left), respectively (p
= 0.189). Twenty patients (24 %) needed postoperative nasogastric tube after
right colectomy compared to 11 patients (8 %) after left colectomy (p = 0.002).
Overall complication rates were 49 and 37 % for right and left colectomy,
respectively (p = 0.071). Median postoperative length of stay was 6 days (IQR 4
9) after right and 5 days (IQR 4-7.5) after left colectomy (p = 0.020).
CONCLUSION: Overall compliance with the protocol was equally high in both groups
showing that ERAS protocol was applicable for right and left colectomy.
Functional recovery however, tended to be slower after right colectomy, and
postoperative ileus rate was significantly higher. More cautious early feeding
after right colectomy should be considered.
PMID- 27194563
TI - Succinate metabolism: a new therapeutic target for myocardial reperfusion injury.
AB - Myocardial ischaemia/reperfusion (IR) injury is a major cause of death worldwide
and remains a disease for which current clinical therapies are strikingly
deficient. While the production of mitochondrial reactive oxygen species (ROS) is
a critical driver of tissue damage upon reperfusion, the precise mechanisms
underlying ROS production have remained elusive. More recently, it has been
demonstrated that a specific metabolic mechanism occurs during ischaemia that
underlies elevated ROS at reperfusion, suggesting a unifying model as to why so
many different compounds have been found to be cardioprotective against IR
injury. This review will discuss the role of the citric acid cycle intermediate
succinate in IR pathology focusing on the mechanism by which this metabolite
accumulates during ischaemia and how it can drive ROS production at Complex I via
reverse electron transport. We will then examine the potential for manipulating
succinate accumulation and metabolism during IR injury in order to protect the
heart against IR damage and discuss targets for novel therapeutics designed to
reduce reperfusion injury in patients.
PMID- 27194564
TI - Neural response patterns in spider, blood-injection-injury and social fearful
individuals: new insights from a simultaneous EEG/ECG-fMRI study.
AB - In the present simultaneous EEG/ECG-fMRI study we compared the temporal and
spatial characteristics of the brain responses and the cardiac activity during
fear picture processing between spider, blood-injection-injury (BII) and social
fearful as well as healthy (non-fearful) volunteers. All participants were
presented with two neutral and six fear-related blocks of pictures: two social,
two spider and two blood/injection fear blocks. In a social fear block neutral
images were occasionally interspersed with photographs of angry faces and social
exposure scenes. In spider and blood/injection fear blocks neutral pictures were
interspersed with spider fear-relevant and blood/injection pictures,
respectively. When compared to healthy controls the social fear group responded
with increased activations in the anterior orbital, middle/anterior cingulate and
middle/superior temporal areas for pictures depicting angry faces and with a few
elevated superior frontal activations for social exposure scenes. In the
blood/injection fear group, heart rate was decreased and the activity in the
middle/inferior frontal and visual processing regions was increased for
blood/injection pictures. The HR decrease for blood/injection pictures correlated
with increased frontal responses. In the spider fear group, spider fear-relevant
pictures triggered increased activations within a broad subcortical and cortical
neural fear network. The HR response for spider fear-relevant stimuli was
increased and correlated with an increased insula and hippocampus activity. When
compared to healthy controls, all fear groups showed higher LPP amplitudes for
their feared cues and an overall greater P1 hypervigilance effect. Contrasts
against the fear control groups showed that the increased responses for fear
specific stimuli are mostly related to specific fears and not to general anxiety
proneness. The results suggest different engagement of cognitive evaluation and
down-regulation strategies and an overall increased sensitization of the fear
system in the three fear groups.
PMID- 27194562
TI - Comparison of Salvage Living Donor Liver Transplantation and Local Regional
Therapy for Recurrent Hepatocellular Carcinoma.
AB - BACKGROUND AND AIMS: Salvage liver transplantation (LT) provides definite
treatment for recurrent hepatocellular carcinoma (HCC) after primary liver
resection. However, salvage living donor LT (SLDLT) involves more surgical
difficulty, compared to local regional therapy (LRT). The optimal treatment
strategy remains unclear. This study aims to compare the survival between SLDLT
and LRT for patients with recurrent HCC after primary hepatic resection in a
single center. METHODS: Between 2001 and 2010, 211 patients with recurrent HCC
after primary liver resection and a certain Milan criteria were enrolled. Among
these patients, 41 and 170 patients received SLDLT and LRT, respectively.
Clinical parameters were analyzed in terms of overall survival by multivariate
analysis. RESULTS: The 1-, 3-, and 5-year overall survival rates were 90.2, 80.4,
and 80.4 % in the SLDLT group, and 91.6, 71.7, and 51.1 % in LRT group,
respectively. A paradoxical phenomenon of survival was noticed-a poorer survival
rate within the first year in the SLDLT group but a better one after that year.
For patients who survived more than 1 year, the SLDLT led to significantly better
survival outcomes than LRT (P < 0.001). In multivariate analysis, SLDLT and alpha
fetoprotein (AFP) level < 200 ng/ml at recurrence were associated with better
survival. CONCLUSIONS: To manage recurrent HCC, SLDLT with reasonable surgical
mortality provided better long-term survival. AFP is an independent risk factor
for overall survival.
PMID- 27194567
TI - On the Variability and Increasing Trends of Heat Waves over India.
AB - Over India, heat waves occur during the summer months of April to June. A gridded
daily temperature data set for the period, 1961-2013 has been analyzed to examine
the variability and trends in heat waves over India. For identifying heat waves,
the Excess Heat Factor (EHF) and 90(th) percentile of maximum temperatures were
used. Over central and northwestern parts of the country, frequency, total
duration and maximum duration of heat waves are increasing. Anomalous persistent
high with anti-cyclonic flow, supplemented with clear skies and depleted soil
moisture are primarily responsible for the occurrence of heat waves over India.
Variability of heat waves over India is influenced by both the tropical Indian
Ocean and central Pacific SST anomalies. The warming of the tropical Indian Ocean
and more frequent El Nino events in future may further lead to more frequent and
longer lasting heat waves over India.
PMID- 27194565
TI - Role of lateral septum glucagon-like peptide 1 receptors in food intake.
AB - Hindbrain glucagon-like peptide 1 (GLP-1) neurons project to numerous forebrain
areas, including the lateral septum (LS). Using a fluorescently labeled GLP-1
receptor (GLP-1R) agonist, Exendin 4 (Ex4), we demonstrated GLP-1 receptor
binding throughout the rat LS. We examined the feeding effects of Ex4 and the GLP
1R antagonist Exendin (9-39) (Ex9) at doses subthreshold for effect when
delivered to the lateral ventricle. Intra-LS Ex4 suppressed overnight chow and
high-fat diet (HFD) intake, and Ex9 increased chow and HFD intake relative to
vehicle. During 2-h tests, intra-LS Ex9 significantly increased 0.25 M sucrose
and 4% corn oil. Ex4 can cause nausea, but intra-LS administration of Ex4 did not
induce pica. Furthermore, intra-LS Ex4 had no effect on anxiety-like behavior in
the elevated plus maze. We investigated the role of LS GLP-1R in motivation for
food by examining operant responding for sucrose on a progressive ratio (PR)
schedule, with and without a nutrient preload to maximize GLP-1 neuron
activation. The preload strongly suppressed PR responding, but blockade of GLP-1R
in the intermediate subdivision of the LS did not affect motivation for sucrose
under either load condition. The ability of the nutrient load to suppress
subsequent chow intake was significantly attenuated by intermediate LS Ex9
treatment. By contrast, blockade of GLP-1R in the dorsal subdivision of the LS
increased both PR responding and overnight chow intake. Together, these studies
suggest that endogenous activity of GLP-1R in the LS influence feeding, and dLS
GLP-1Rs, in particular, play a role in motivation.
PMID- 27194568
TI - Use of double decidual sac sign to confirm intrauterine pregnancy location prior
to sonographic visualization of embryonic contents.
AB - OBJECTIVES: To determine the diagnostic accuracy of the double decidual sac sign
(DDSS) for predicting an intrauterine pregnancy (IUP) prior to visualization of
embryonic contents, using modern high-resolution transvaginal sonography (TVS).
METHODS: The study was conducted following STARD guidelines and participants were
recruited prospectively from Nurture Fertility, Nottingham, UK, following in
vitro fertilization/intracytoplasmic sperm injection treatment between 1 January
2015 and 31 October 2015. Women were excluded if there was no evidence of
intrauterine fluid collection during the index test, a yolk sac or fetal pole was
visible during the index test, no outcome data were available or pregnancy
location could not be determined by the reference standard. The index test
consisted of TVS at 32-34 days' gestation using a high-frequency transvaginal
probe. Reference standard was TVS at 7 weeks' gestation. The outcome of interest
was an IUP. RESULTS: A total of 67 intrauterine fluid collections were observed
and included in the analysis, of which 61 exhibited the DDSS and 65 were proven
to be IUPs. Two ectopic pregnancies were included, neither of which demonstrated
the DDSS. The DDSS therefore had a sensitivity of 93.9% (95% CI, 85.0-98.3%),
specificity of 100% (95% CI, 15.8-100%) and overall diagnostic accuracy of 94.0%
(95% CI, 88.3-99.7%) for predicting an IUP. The negative likelihood ratio and
positive and negative predictive values were 0.06 (95% CI, 0.02-0.16), 100% (95%
CI, 94.1-100%) and 33.3% (95% CI, 4.3-77.7%), respectively. CONCLUSION: With
modern high-resolution TVS, presence of the DDSS can be used to confirm
accurately IUP location prior to sonographic visualization of embryonic contents,
and therefore to exclude effectively ectopic pregnancy. Absence of the DDSS,
however, does not preclude an IUP. Copyright (c) 2016 ISUOG. Published by John
Wiley & Sons Ltd.
PMID- 27194569
TI - Structural Diversification of Lyngbyatoxin A by Host-Dependent Heterologous
Expression of the tleABC Biosynthetic Gene Cluster.
AB - Natural products have enormous structural diversity, yet little is known about
how such diversity is achieved in nature. Here we report the structural
diversification of a cyanotoxin-lyngbyatoxin A-and its biosynthetic intermediates
by heterologous expression of the Streptomyces-derived tleABC biosynthetic gene
cluster in three different Streptomyces hosts: S. lividans, S. albus, and S.
avermitilis. Notably, the isolated lyngbyatoxin derivatives, including four new
natural products, were biosynthesized by crosstalk between the heterologous
tleABC gene cluster and the endogenous host enzymes. The simple strategy
described here has expanded the structural diversity of lyngbyatoxin A and its
biosynthetic intermediates, and provides opportunities for investigation of the
currently underestimated hidden biosynthetic crosstalk.
PMID- 27194570
TI - Mean arterial pressure values calculated using seven different methods and their
associations with target organ deterioration in a single-center study of 1878
individuals.
AB - To assess the differences among seven different methods for the calculation of
mean arterial pressure (MAP) and to identify the formula that provides MAP values
that are more closely associated with target organ deterioration as expressed by
the carotid cross-sectional area (CSA), carotid-to-femoral pulse-wave velocity
(cf-PWV) and left ventricular mass (LVM). The study population consisted of 1878
subjects who underwent noninvasive cardiovascular risk assessment. Blood pressure
(BP) was assessed in all subjects, and MAP was calculated by direct oscillometry
and six different formulas. Carotid artery ultrasound imaging was performed in
1628 subjects. The CSA of the right and left common carotid artery (CCA) were
calculated and used as surrogates of arterial wall mass and hypertrophy. Aortic
stiffness was evaluated in 1763 subjects by measuring the cf-PWV. Finally, 218
subjects underwent echocardiographic examination for the assessment of LVM. Among
the examined methods of MAP calculation, the formula MAP1=[diastolic BP]+0.412 *
[pulse pressure] yielded the strongest correlations with the LVM, cf-PWV and CSA
of the right and left CCA, even after adjusting for age and gender. The MAP
calculation using the 0.412 was superior compared with the traditional formula
that uses the 0.33 for the discrimination of subjects with left ventricular and
carotid wall hypertrophy, as well as subjects with increased aortic stiffness.
MAP estimated with the 0.412 is better correlated with target organ deterioration
compared with other formulas. Future studies are needed to explore the accuracy
of these formulas for MAP estimation compared with direct intra-arterial BP
measurement.
PMID- 27194571
TI - Maternal home blood pressure as a predictor of infant birth weight.
PMID- 27194572
TI - Effects of uric-acid-lowering therapy on renal outcomes: the future looks
promising.
PMID- 27194573
TI - Retinal vessel caliber and its relationship with nocturnal blood pressure dipping
status: the SABPA study.
AB - Adverse changes in retinal microvasculature caliber are associated with incident
hypertension, coronary heart disease and stroke. The absence of a nocturnal
dipping in arterial pressure may induce changes throughout the vascular tree,
including the retinal microvasculature, but the later link is not sufficiently
studied. We explored the relationship between retinal vessel caliber and dipping
status in a group of black and white teachers. The study included black (n=68)
and white (n=81) men (24-66 years) from the SABPA study. We measured 24 h
ambulatory blood pressure and the percentage mean arterial pressure
dipping(%MAPdip) was calculated as (diurnal MAP-nocturnal MAP)/diurnal MAP * 100.
Retinal images were captured and the central retinal artery equivalent (CRAE) and
central retinal vein equivalent (CRVE) calculated. Black men demonstrated higher
diurnal and nocturnal MAP (P?0.001) and a lesser %MAPdip compared with white men
(P=0.047). When stratified by dipping status, black non-dippers (n=33) revealed
an increased CRVE (P<0.001) compared with their dipper counterparts (n=35). In
black men, CRVE was negatively (R2=0.38, beta=-0.47, P<0.001) associated with
%MAPdip independent of 24 h MAP or nocturnal MAP. CRVE also associated negatively
with dipping status as a dichotomized variable (R2=0.29, beta=-0.32, P=0.006),
independent of 24 h MAP. These associations were absent in the white men. In
conclusion, in this group of black men, a non-dipping blood pressure profile was
associated with a larger CRVE, suggesting microvascular deterioration due to the
absence of nocturnal dipping in blood pressure. This may add to our understanding
of the stroke risk in black populations.
PMID- 27194574
TI - Left atrial enlargement and blood pressure variability in untreated hypertensive
patients.
PMID- 27194575
TI - Establishment of a new three-dimensional human epidermal model reconstructed from
plucked hair follicle-derived keratinocytes.
PMID- 27194576
TI - Comparative Transcriptomics of Alternative Developmental Phenotypes in a Marine
Gastropod.
AB - Alternative phenotypes are discrete phenotypic differences that develop in
response to both genetic and environmental cues. Nutritive embryos, which arrest
their development to serve as nutrition for their viable siblings, are an example
of an alternative developmental phenotype found in many animal groups. Females of
the marine snail, Crepidula navicella, produce broods that consist mainly of
nutritive embryos and a small number of viable embryos. In order to better
understand the genetic mechanisms that lead to the development of alternative
phenotypes in this species, we compared the transcriptomes of viable and
nutritive embryos at the earliest stage that we were able to distinguish visually
between the two. Using high-throughput Illumina sequencing, we assembled and
annotated a de novo transcriptome and compared transcript levels in viable and
nutritive embryos. Viable embryos express high levels of transcripts associated
with known developmental events, while nutritive embryos express high levels of
apoptosis-related transcripts. Gene Ontology term enrichment with GOSeq found
that these are associated with the negative regulation of apoptotic processes.
This enrichment, combined with morphological evidence, suggests that apoptosis is
important in the formation of gastrula-like nutritive embryos. Apoptosis has been
implicated in the development of alternative phenotypes in other animal groups,
raising the possibility that this mechanism's role in alternative phenotypes is
conserved in gastropod development. We suggest possible alternative mechanisms of
nutritive embryo development. Most importantly, we contribute further evidence to
the hypothesis that nutritive embryos are an alternative developmental phenotype.
PMID- 27194578
TI - Electro-mechanical sensing in freestanding monolayered gold nanoparticle
membranes.
AB - The electro-mechanical sensing properties of freestanding monolayered membranes
of dodecanethiol coated 7 nm gold nanoparticles (NPs) are investigated using AFM
force spectroscopy and conductive AFM simultaneously. The electrical resistance
of the NP membranes increases sensitively with the point-load force applied in
the center of the membranes using an AFM tip. Numerical simulations of electronic
conduction in a hexagonally close-packed two-dimensional (2D) array of NPs under
point load-deformation are carried out on the basis of electronic transport
measurements at low temperatures and strain modeling of the NP membranes by
finite element analysis. These simulations, supporting AFM-based electro
mechanical measurements, attribute the high strain sensitivity of the monolayered
NP membranes to the exponential dependence of the tunnel electron transport in 2D
NP arrays on the strain-induced length variation of the interparticle junctions.
This work thus evidences a new class of highly sensitive nano-electro-mechanical
systems based on freestanding monolayered gold NP membranes.
PMID- 27194577
TI - Predictors of renal histopathology in antineutrophil cytoplasmic antibody
associated glomerulonephritis.
AB - OBJECTIVES: Prompt, aggressive therapy is vital for anti-neutrophil cytoplasmic
antibody (ANCA)-associated glomerulonephritis. In this regard, we aimed to
identify predictors of distinct renal histopathological classes at the time of
clinical diagnosis. PATIENTS & METHODS: An inception cohort of patients with
biopsy proven ANCA-associated glomerulonephritis was studied retrospectively.
Demographics, clinical, laboratory, serological and radiological parameters were
analyzed. Patients were classified on the basis of renal histopathology. A risk
score was developed for each histopathological class using univariate and
stepwise logistic regression analyses. RESULTS: Variables independently
associated with focal class included disease duration up to diagnosis <8 weeks,
absence of erythrocyte casts by urine microscopy and eGFR >49 ml/min/1.73 m(2);
with crescentic class >40 erythrocytes/hpf, identification of erythrocyte casts
in urine, upper respiratory tract involvement and eGFR <49 ml/min/1.73 m(2); with
mixed class age >54 years, male gender, and absence of upper respiratory tract
involvement. In the presence of these risk factors a predictive risk score for
each histopathological classes was calculated: odds ratio, 95% confidence
intervals (CI), for focal class (>=2 risk factors, 20.8 (95% CI: 5.1-84.2), p <
0.0001, and 441.0 (95% CI: 16.8-11,590), p = 0.0003 for crescentic class (>=3
risk factors) while the small number of patients in the mixed and sclerotic class
precluded any estimates. CONCLUSION: We propose a predictive algorithm of
specific histolopathological classes of ANCA-associated glomerulonephritis, which
might provide a crude estimation of the disease activity in the glomeruli at
presentation. This tool might assist the clinician in making decisions regarding
the level of intensity of inductive immunosuppressive therapy at clinical
diagnosis.
PMID- 27194579
TI - Modulation of tumor eIF4E by antisense inhibition: A phase I/II translational
clinical trial of ISIS 183750-an antisense oligonucleotide against eIF4E-in
combination with irinotecan in solid tumors and irinotecan-refractory colorectal
cancer.
AB - The eukaryotic translation initiation factor 4E (eIF4E) is a potent oncogene that
is found to be dysregulated in 30% of human cancer, including colorectal
carcinogenesis (CRC). ISIS 183750 is a second-generation antisense
oligonucleotide (ASO) designed to inhibit the production of the eIF4E protein. In
preclinical studies we found that EIF4e ASOs reduced expression of EIF4e mRNA and
inhibited proliferation of colorectal carcinoma cells. An additive
antiproliferative effect was observed in combination with irinotecan. We then
performed a clinical trial evaluating this combination in patients with
refractory cancer. No dose-limiting toxicities were seen but based on
pharmacokinetic data and tolerability the dose of irinotecan was reduced to 160
mg/m(2) biweekly. Efficacy was evaluated in 15 patients with irinotecan
refractory colorectal cancer. The median time of disease control was 22.1 weeks.
After ISIS 183750 treatment, peripheral blood levels of eIF4E mRNA were decreased
in 13 of 19 patients. Matched pre- and posttreatment tumor biopsies showed
decreased eIF4E mRNA levels in five of nine patients. In tumor tissue, the
intracellular and stromal presence of ISIS 183750 was detected by IHC in all
biopsied patients. Although there were no objective responses stable disease was
seen in seven of 15 (47%) patients who were progressing before study entry, six
of whom were stable at the time of the week 16 CT scan. We were also able to
confirm through mandatory pre- and posttherapy tumor biopsies penetration of the
ASO into the site of metastasis.
PMID- 27194581
TI - Soccer training programme improved the body composition of pre-adolescent boys
and increased their satisfaction with their body image.
PMID- 27194580
TI - Personalized blood flow computations: A hierarchical parameter estimation
framework for tuning boundary conditions.
AB - We propose a hierarchical parameter estimation framework for performing patient
specific hemodynamic computations in arterial models, which use structured tree
boundary conditions. A calibration problem is formulated at each stage of the
hierarchical framework, which seeks the fixed point solution of a nonlinear
system of equations. Common hemodynamic properties, like resistance and
compliance, are estimated at the first stage in order to match the objectives
given by clinical measurements of pressure and/or flow rate. The second stage
estimates the parameters of the structured trees so as to match the values of the
hemodynamic properties determined at the first stage. A key feature of the
proposed method is that to ensure a large range of variation, two different
structured tree parameters are personalized for each hemodynamic property. First,
the second stage of the parameter estimation framework is evaluated based on the
properties of the outlet boundary conditions in a full body arterial model: the
calibration method converges for all structured trees in less than 10 iterations.
Next, the proposed framework is successfully evaluated on a patient-specific
aortic model with coarctation: only six iterations are required for the
computational model to be in close agreement with the clinical measurements used
as objectives, and overall, there is a good agreement between the measured and
computed quantities. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27194582
TI - Genome-wide analysis of transcription factors involved in maize embryonic callus
formation.
AB - In this study, a maize inbred line with a strong capacity to induce embryonic
callus, 18-599R, was used to analyze the transcription factors expressed during
embryonic callus formation. A total of 1180 transcription factors were found to
be expressed during three key stages of callus induction. Of these, compared with
control, 361, 346 and 328 transcription factors were significantly downregulated
during stages I, II and III, respectively. In contrast, 355, 372 and 401
transcription factors (TFs) were upregulated during the respective stages. We
constructed a transcription factor-mediated regulatory network and found that
plant hormone signal transduction was the pathway most significantly enriched
among TFs. This pathway includes 48 TFs regulating cell enlargement, cell
differentiation, cell division and cell dedifferentiation via the response to
plant hormones. Through real-time polymerase chain reaction (PCR) and degradome
sequencing, we identified 23 transcription factors that are regulated by miRNA.
Through further analysis, ZmMYB138, a member of the MYB transcription factor
family localized in the nucleus, was verified to promote embryonic callus
formation in the maize embryo through GA signal transduction.
PMID- 27194584
TI - Synthesis, photophysical, electrochemical and electrochemiluminescence properties
of A2B2 zinc porphyrins: the effect of pi-extended conjugation.
AB - The synthesis of two A2B2 porphyrins, {5,15-bis-[4-(octyloxy)phenyl]
porphyrinato}zinc(ii) () and {5,15-bis-(carbazol-3-yl-ethynyl)-10,20-bis-[4
(octyloxy)phenyl]-porphinato}-zinc(ii) (), is reported. Their photophysical
properties were studied by steady-state absorption and emission. Substituting the
carbazolylethynyl moieties at two of the meso positions results in a large
bathochromic shift of all the absorption bands, a notable increase in the
absorption coefficient of the Q(0,0) band, and higher fluorescence quantum yield
compared to porphyrin , with two unsubstituted meso positions. Cyclic voltammetry
and digital simulation show that electrogenerated radical ions of are more stable
than those of . The lack of substituents at the meso positions of leads to
dimerization reactions of the radical cation. Despite this, the annihilation
reaction of and produces very similar electrogenerated chemiluminescence (ECL)
intensity. Spectroelectrochemical experiments demonstrate that the
electroreduction of leads to a strong absorption band that might quench the ECL.
PMID- 27194583
TI - Novel small molecule 11beta-HSD1 inhibitor from the endophytic fungus Penicillium
commune.
AB - Two new phenone derivatives penicophenones A (1) and B (2), a new cyclic
tetrapeptide penicopeptide A (3), and five known compounds were isolated from the
culture broth of Penicillium commune, an endophytic fungus derived from Vitis
vinifera. Compounds 1-3 were elucidated by extensive spectroscopic analyses
including 1D and 2D NMR and HRESIMS. The absolute configurations of 1 and 3 were
determined by comparing its ECD with related molecules and modified Marfey's
analysis, respectively. Penicophenone A (1) possesses a rare benzannulated 6,6
spiroketal moiety, which is a new member of the unusual structural class with
peniphenone A as the representative. Compound 3 exhibited significant inhibition
activities against 11beta-hydroxysteroid dehydrogenase type 1 (11beta-HSD1) in
vitro and showed strong binding affinity to 11beta-HSD1. Moreover, compound 3
treatments decreased the lipid droplet accumulation associate with the inhibition
of 11beta-HSD1 expression in differentiate-induced 3T3-L1 preadipocytes.
Furthermore, the molecular docking demonstrated that compound 3 coordinated in
the active site of 11beta-HSD1 is essential for the ability of diminishing the
enzyme activity.
PMID- 27194585
TI - Temperature-induced structure switch in thermo-responsive micellar
interpolyelectrolyte complexes: toward core-shell-corona and worm-like
morphologies.
AB - The spontaneous formation and thermo-responsiveness of a colloidally-stable
interpolyelectrolyte complex (IPEC) based on a linear temperature-sensitive
diblock copolymer poly(vinyl sulfonate)31-b-poly(N-isopropyl acrylamide)27 (PVS31
b-PNIPAM27) and a star-shaped quaternized miktoarm polymer poly(ethylene
oxide)114-(poly(2-(dimethylamino)ethyl methacrylate)17)4 (PEO114-(qPDMAEMA17)4)
was investigated in aqueous media at 0.3 M NaCl by means of dynamic light
scattering (DLS), small angle X-ray scattering (SAXS), and cryogenic transmission
electron microscopy (cryo-TEM). The micellar macromolecular co-assemblies show a
temperature-dependent size and morphology, which result from the lower critical
solution temperature (LCST) behavior of the PNIPAM-blocks. Hence, the micellar co
assemblies grow upon heating. At 60 degrees C, spherical core-shell-corona co
assemblies are proposed with a hydrophobic PNIPAM core, a water-insoluble IPEC
shell, and a hydrophilic PEO corona. These constructs develop into a rod-like
structure upon extended equilibration. In turn, PEO-arms and PNIPAM-blocks within
a hydrophilic mixed two-component corona surround the water-insoluble IPEC domain
at 20 degrees C, thereby forming spherical core-corona co-assemblies.
Reversibility of the structural changes is suggested by the scattering data. This
contribution addresses the use of a combination of oppositely charged thermo
responsive and bis-hydrophilic star-shaped polymeric components toward IPECs of
diverse morphological types.
PMID- 27194586
TI - Inbreeding depression in Zebu cattle traits.
AB - The productivity of herds may be negatively affected by inbreeding depression,
and it is important to know how intense is this effect on the livestock
performance. We performed a comprehensive analysis involving five Zebu breeds
reared in Brazil to estimate inbreeding depression in productive and reproductive
traits. Inbreeding depression was estimated for 13 traits by including the
individual inbreeding rate as a linear covariate in the standard genetic
evaluation models. For all breeds and for almost all traits (no effect was
observed on gestation length), the performance of the animals was compromised by
an increase in inbreeding. The average inbreeding depression was -0.222% and
0.859% per 1% of inbreeding for linear regression coefficients scaled on the
percentage of mean (betam ) and standard deviation (betasigma ), respectively.
The means for betam (and betasigma ) were -0.269% (-1.202%) for weight/growth
traits and -0.174% (-0.546%) for reproductive traits. Hence, inbreeding
depression is more pronounced in weight/growth traits than in reproductive
traits. These findings highlight the need for the management of inbreeding in the
respective breeding programmes of the breeds studied here.
PMID- 27194587
TI - An in vivo invertebrate evaluation system for identifying substances that
suppress sucrose-induced postprandial hyperglycemia.
AB - Sucrose is a major sweetener added to various foods and beverages. Excessive
intake of sucrose leads to increases in blood glucose levels, which can result in
the development and exacerbation of lifestyle-related diseases such as obesity
and diabetes. In this study, we established an in vivo evaluation system using
silkworms to explore substances that suppress the increase in blood glucose
levels caused by dietary intake of sucrose. Silkworm hemolymph glucose levels
rapidly increased after intake of a sucrose-containing diet. Addition of acarbose
or voglibose, alpha-glycosidase inhibitors clinically used for diabetic patients,
suppressed the dietary sucrose-induced increase in the silkworm hemolymph glucose
levels. Screening performed using the sucrose-induced postprandial hyperglycemic
silkworm model allowed us to identify some lactic acid bacteria that inhibit the
increase in silkworm hemolymph glucose levels caused by dietary intake of
sucrose. The inhibitory effects of the Lactococcus lactis #Ll-1 bacterial strain
were significantly greater than those of different strains of lactic acid
bacteria. No effect of the Lactococcus lactis #Ll-1 strain was observed in
silkworms fed a glucose diet. These results suggest that the sucrose diet-induced
postprandial hyperglycemic silkworm is a useful model for evaluating chemicals
and lactic acid bacteria that suppress increases in blood glucose levels.
PMID- 27194589
TI - Simvastatin downregulated C35 expression and inhibited the proliferation of colon
cancer cells Lovo and HT29 in vitro.
AB - The aim of this study was to investigate the antitumor effect of simvastatin in
human colon cancer and the possible underlying mechanism. We found that
simvastatin dose-dependently inhibited the proliferation of human colon cancer
cells Lovo and HT29 using a MTT assay. Real-time PCR and Western blotting assays
showed that simvastatin significantly suppressed C35 expression at both mRNA and
protein levels. Since C35 is known to have a significant oncogenic role in cancer
development via promoting cell proliferation and migration, results obtained in
the current study imply that downregulation of C35 expression might be involved
in the antitumor effect of simvastatin on colon cancer.
PMID- 27194590
TI - Results of human factors testing in a novel Hemodialysis system designed for ease
of patient use.
AB - Introduction Home hemodialysis has not been widely adopted despite superior
outcomes relative to conventional in-center hemodialysis. Patients receiving home
hemodialysis experience high rates of technique failure owing to machine
complexity, training burden, and the inability to master treatments
independently. Methods We conducted human factors testing on 15 health care
professionals (HCPs) and 15 patients upon release of the defined training program
on the TabloTM Hemodialysis System. Each participant completed one training and
one testing session conducted in a simulated clinical environment. Training
sessions lasted <3 hours for HCPs and <4 hours for patients, with an hour break
between sessions for knowledge decay. During the testing session, we recorded
participant behavior and data according to standard performance and safety-based
criteria. Findings Of 15 HCPs, 10 were registered nurses and five patient care
technicians, with a broad range of dialysis work experience and no limitations
other than visual correction. Of 15 patients (average age 48 years), 13 reported
no limitations and two reported modest limitations-partial deafness and blindness
in one eye, respectively. The average error rate was 4.4 per session for HCPs and
2.9 per session for patients out of a total possible 1,710 opportunities for
errors. Despite having received minimal training, neither HCPs nor patients
committed safety-related errors that required mitigation; rather, we noted only
minor errors and operational difficulties. Discussion The TabloTM Hemodialysis
System is easy to use, and may help to enable self-care and home hemodialysis in
settings heretofore associated with high rates of technique failure.
PMID- 27194588
TI - The calcium sensor Copine-6 regulates spine structural plasticity and learning
and memory.
AB - Hippocampal long-term potentiation (LTP) represents the cellular response of
excitatory synapses to specific patterns of high neuronal activity and is
required for learning and memory. Here we identify a mechanism that requires the
calcium-binding protein Copine-6 to translate the initial calcium signals into
changes in spine structure. We show that Copine-6 is recruited from the cytosol
of dendrites to postsynaptic spine membranes by calcium transients that precede
LTP. Cpne6 knockout mice are deficient in hippocampal LTP, learning and memory.
Hippocampal neurons from Cpne6 knockouts lack spine structural plasticity as do
wild-type neurons that express a Copine-6 calcium mutant. The function of Copine
6 is based on its binding, activating and recruiting the Rho GTPase Rac1 to cell
membranes. Consistent with this function, the LTP deficit of Cpne6 knockout mice
is rescued by the actin stabilizer jasplakinolide. These data show that Copine-6
links activity-triggered calcium signals to spine structural plasticity necessary
for learning and memory.
PMID- 27194591
TI - Removal of electrostatic artifacts in magnetic force microscopy by controlled
magnetization of the tip: application to superparamagnetic nanoparticles.
AB - Magnetic force microscopy (MFM) has been demonstrated as valuable technique for
the characterization of magnetic nanomaterials. To be analyzed by MFM techniques,
nanomaterials are generally deposited on flat substrates, resulting in an
additional contrast in MFM images due to unavoidable heterogeneous electrostatic
tip-sample interactions, which cannot be easily distinguished from the magnetic
one. In order to correctly interpret MFM data, a method to remove the
electrostatic contributions from MFM images is needed. In this work, we propose a
new MFM technique, called controlled magnetization MFM (CM-MFM), based on the in
situ control of the probe magnetization state, which allows the evaluation and
the elimination of electrostatic contribution in MFM images. The effectiveness of
the technique is demonstrated through a challenging case study, i.e., the
analysis of superparamagnetic nanoparticles in absence of applied external
magnetic field. Our CM-MFM technique allowed us to acquire magnetic images
depurated of the electrostatic contributions, which revealed that the magnetic
field generated by the tip is sufficient to completely orient the
superparamagnetic nanoparticles and that the magnetic tip-sample interaction is
describable through simple models once the electrostatic artifacts are removed.
PMID- 27194592
TI - The incidence, risk and functional outcomes of intracranial haemorrhage in
children with inherited bleeding disorders at one haemophilia center.
AB - INTRODUCTION: Intracranial haemorrhage (ICH) is the most serious bleeding event
for patients with inherited bleeding disorders (IBD). The risks and long-term
consequences remain unknown. AIM: This single-centre service evaluation aimed to
identify the incidence, risks and long-term outcomes following ICH in patients
with IBD. METHODS: The IBD database and medical notes between 1987 and 2013 were
reviewed. Children without apparent neurological deficit following ICH completed
standardized assessments and supplementary information sheets. RESULTS: ICH was
confirmed in 38/1111 children with IBD. The overall risk of ICH amongst children
with IBD was 3.4% (95% CI: 2.5, 4.7%). However, 27/38 had an ICH in the first
year of life, 18 of which were in the neonatal period. In children with IBD who
had an ICH, the risks of ICH in the neonatal period or first year of life were
18/38 (47%) (95% CI: 32, 63%) and 27/38 (71%) (95% CI: 55, 83%) respectively.
Mortality risk from ICH in children with an IBD was 5/38 (13%) (95% CI: 5.8, 27.3
%). Ten of 32 survivors had known neurological sequelae including motor disorder
deficits (MDD) while 22 had no documented evidence of neurological impairment or
MDD. Re-evaluation was possible in 17/22 children, 8 of whom demonstrated
evidence of MDD. After re-evaluation, the risk of significant neurological MDD
from ICH increased from 31% CI (95% CI: 18, 49%) to 56% CI (95% CI: 39, 72%).
CONCLUSION: Risks and consequences of ICH in IBD were highest within the neonatal
period and first year of life. MDD after ICH was not reliably identified in early
life and ongoing monitoring in the first decade of life will facilitate
educational support or physical rehabilitation.
PMID- 27194593
TI - Network analysis and cross species comparison of protein-protein interaction
networks of human, mouse and rat cytochrome P450 proteins that degrade
xenobiotics.
AB - Cytochrome P450 (CYP) enzymes that degrade xenobiotics play a critical role in
the metabolism and biotransformation of drugs and xenobiotics in humans as well
as experimental animal models such as mouse and rat. These proteins function as a
network collectively as well as independently. Though there are several reports
on the organization, regulation and functionality of various CYP enzymes at the
molecular level, the understanding of organization and functionality of these
proteins at the holistic level remain unclear. The objective of this study is to
understand the organization and functionality of xenobiotic degrading CYP enzymes
of human, mouse and rat using network theory approaches and to study species
differences that exist among them at the holistic level. For our analysis, a
protein-protein interaction (PPI) network for CYP enzymes of human, mouse and rat
was constructed using the STRING database. Topology, centrality, modularity and
robustness analyses were performed for our predicted CYP PPI networks that were
then validated by comparison with randomly generated network models. Network
centrality analyses of CYP PPI networks reveal the central/hub proteins in the
network. Modular analysis of the CYP PPI networks of human, mouse and rat
resulted in functional clusters. These clusters were subjected to ontology and
pathway enrichment analysis. The analyses show that the cluster of the human CYP
PPI network is enriched with pathways principally related to xenobiotic/drug
metabolism. Endo-xenobiotic crosstalk dominated in mouse and rat CYP PPI
networks, and they were highly enriched with endogenous metabolic and signaling
pathways. Thus, cross-species comparisons and analyses of human, mouse and rat
CYP PPI networks gave insights about species differences that existed at the
holistic level. More investigations from both reductionist and holistic
perspectives can help understand CYP metabolism and species extrapolation in a
much better way.
PMID- 27194594
TI - Novel nonsense mutation of the TSC2 gene in a case of Tuberous Sclerosis Complex.
PMID- 27194595
TI - Strain-induced growth instability and nanoscale surface patterning in perovskite
thin films.
AB - Despite extensive studies on the effects of epitaxial strain on the evolution of
the lattice and properties of materials, considerably less work has explored the
impact of strain on growth dynamics. In this work, we demonstrate a growth-mode
transition from 2D-step flow to self-organized, nanoscale 3D-island formation in
PbZr0.2Ti0.8O3/SrRuO3/SrTiO3 (001) heterostructures as the kinetics of the growth
process respond to the evolution of strain. With increasing heterostructure
thickness and misfit dislocation formation at the buried interface, a periodic,
modulated strain field is generated that alters the adatom binding energy and, in
turn, leads to a kinetic instability that drives a transition from 2D growth to
ordered, 3D-island formation. The results suggest that the periodically varying
binding energy can lead to inhomogeneous adsorption kinetics causing preferential
growth at certain sites. This, in conjunction with the presence of an Ehrlich
Schwoebel barrier, gives rise to long-range, periodically-ordered arrays of so
called "wedding cake" 3D nanostructures which self-assemble along the [100] and
[010].
PMID- 27194596
TI - Lack of a synergistic effect of arginine-glutamic acid on the physical stability
of spray-dried bovine serum albumin.
AB - Improving the physical stability of spray-dried proteins is essential for
enabling pulmonary delivery of biotherapeutics as a noninvasive alternative to
injections. Recently, a novel combination of two amino acids - l-arginine (l-Arg)
and l-glutamic acid (l-Glu), has been reported to have synergistic protein
stabilizing effects on various protein solutions. Using spray-dried bovine serum
albumin (BSA) reconstituted in solution as a model protein, we investigated the
synergistic effect of these amino acids on the physical stability of proteins.
Five BSA solutions were prepared: (1) BSA with no amino acids (control); (2) with
50 mM l-Arg; (3) with 200 mM l-Arg, (4) with 50 mM l-Glu and (5) with 25:25 mM of
Arg:Glu. All solutions were spray-dried and accelerated studies at high
temperatures were performed. Following accelerated studies, monomer BSA loss was
measured using SE-HPLC. We found that l-Arg significantly improved the physical
stability of spray-dried BSA even at low concentrations, however, when combined
with l-Glu, was ineffective at reducing monomer BSA loss. Our findings
demonstrate the limitations in using Arg-Glu for the stabilization of spray-dried
BSA. Furthermore, we found that a low concentration of l-Glu enhanced monomer BSA
loss. These findings may have significant implications on the design of future
biotherapeutic formulations.
PMID- 27194599
TI - Two-step fabrication of self-catalyzed Ga-based semiconductor nanowires on Si by
molecular-beam epitaxy.
AB - For the epitaxial growth of Ga-based III-V semiconductor nanowires (NWs) on Si,
Ga droplets could provide a clean and compatible solution in contrast to the
common Au catalyst. However, the use of Ga droplets is rather limited except for
that in Ga-catalyzed GaAs NW studies in a relatively narrow growth temperature
(Ts) window around 620 degrees C on Si. In this paper, we have investigated the
two-step growth of Ga-catalyzed III-V NWs on Si (111) substrates by molecular
beam epitaxy. First, by optimizing the surface oxide, vertically aligned GaAs NWs
with a high yield are obtained at Ts = 620 degrees C. Then a two-temperature
procedure is adopted to preserve Ga droplets at lower Ts, which leads to an
extension of Ts down to 500 degrees C for GaAs NWs. Based on this procedure,
systematic morphological and structural studies for Ga-catalyzed GaAs NWs in the
largest Ts range could be presented. Then within the same growth scheme, for the
first time, we demonstrate Ga-catalyzed GaAs/GaSb heterostructure NWs. These GaSb
NWs are axially grown on the GaAs NW sections and are pure zinc-blende single
crystals. Compositional measurements confirm that the catalyst particles indeed
mainly consist of Ga and GaSb sections are of high purity but with a minor
composition of As. In the end, we present GaAsSb NW growth with a tunable Sb
composition. Our results provide useful information for the controllable
synthesis of multi-compositional Ga-catalyzed III-V semiconductor NWs on Si for
heterogeneous integration.
PMID- 27194598
TI - A Special Font for People with Dyslexia: Does it Work and, if so, why?
AB - In 2008 Christian Boer, a Dutch artist, developed a special font ("Dyslexie") to
facilitate reading in children and adults with dyslexia. The font has received a
lot of media attention worldwide (e.g., TheGuardian.com, Slate.com,
TheAtlantic.com, USA Today, and io9.com). Interestingly, there is barely any
empirical evidence for the efficacy of Dyslexie. This study aims to examine if
Dyslexie is indeed more effective than a commonly used sans serif font (Arial)
and, if so, whether this can be explained by its relatively large spacing
settings. Participants were 39 low-progress readers who were learning to read in
English. They were asked to read four different texts in four different font
conditions that were all matched on letter display size (i.e., x-height), but
differed in the degree to which they were matched for spacing settings. Results
showed that low-progress readers performed better (i.e., read 7% more words per
minute) in Dyslexie font than in standardly spaced Arial font. However, when
within-word spacing and between-word spacing of Arial font was matched to that of
Dyslexie font, the difference in reading speed was no longer significant. We
concluded that the efficacy of Dyslexie font is not because of its specially
designed letter shapes, but because of its particular spacing settings. Copyright
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27194600
TI - Techniques: Spinning organoids shed light on Zika.
PMID- 27194597
TI - Long-term ferrocyanide application via deicing salts promotes the establishment
of Actinomycetales assimilating ferrocyanide-derived carbon in soil.
AB - Cyanides are highly toxic and produced by various microorganisms as defence
strategy or to increase their competitiveness. As degradation is the most
efficient way of detoxification, some microbes developed the capability to use
cyanides as carbon and nitrogen source. However, it is not clear if this
potential also helps to lower cyanide concentrations in roadside soils where
deicing salt application leads to significant inputs of ferrocyanide. The
question remains if biodegradation in soils can occur without previous
photolysis. By conducting a microcosm experiment using soils with/without pre
exposition to road salts spiked with (13) C-labelled ferrocyanide, we were able
to confirm biodegradation and in parallel to identify bacteria using ferrocyanide
as C source via DNA stable isotope probing (DNA-SIP), TRFLP fingerprinting and
pyrosequencing. Bacteria assimilating (13) C were highly similar in the pre
exposed soils, belonging mostly to Actinomycetales (Kineosporia, Mycobacterium,
Micromonosporaceae). In the soil without pre-exposition, bacteria belonging to
Acidobacteria (Gp3, Gp4, Gp6), Gemmatimonadetes (Gemmatimonas) and
Gammaproteobacteria (Thermomonas, Xanthomonadaceae) used ferrocyanide as C source
but not the present Actinomycetales. This indicated that (i) various bacteria are
able to assimilate ferrocyanide-derived C and (ii) long-term exposition to
ferrocyanide applied with deicing salts leads to Actinomycetales outcompeting
other microorganisms for the use of ferrocyanide as C source.
PMID- 27194601
TI - Punctuated Shutdown of Atlantic Meridional Overturning Circulation during
Greenland Stadial 1.
AB - The Greenland Stadial 1 (GS-1; ~12.9 to 11.65 kyr cal BP) was a period of North
Atlantic cooling, thought to have been initiated by North America fresh water
runoff that caused a sustained reduction of North Atlantic Meridional Overturning
Circulation (AMOC), resulting in an antiphase temperature response between the
hemispheres (the 'bipolar seesaw'). Here we exploit sub-fossil New Zealand kauri
trees to report the first securely dated, decadally-resolved atmospheric
radiocarbon ((14)C) record spanning GS-1. By precisely aligning Southern and
Northern Hemisphere tree-ring (14)C records with marine (14)C sequences we
document two relatively short periods of AMOC collapse during the stadial, at
~12,920-12,640 cal BP and 12,050-11,900 cal BP. In addition, our data show that
the interhemispheric atmospheric (14)C offset was close to zero prior to GS-1,
before reaching 'near-modern' values at ~12,660 cal BP, consistent with
synchronous recovery of overturning in both hemispheres and increased Southern
Ocean ventilation. Hence, sustained North Atlantic cooling across GS-1 was not
driven by a prolonged AMOC reduction but probably due to an equatorward migration
of the Polar Front, reducing the advection of southwesterly air masses to high
latitudes. Our findings suggest opposing hemispheric temperature trends were
driven by atmospheric teleconnections, rather than AMOC changes.
PMID- 27194602
TI - Dopamine-loaded liposome and its application in electrochemical DNA biosensor.
AB - In this study, disruption and lyophilization-rehydration of dopamine-loaded
liposome and its application in electrochemical DNA biosensor was investigated.
The liposomes containing soyphosphatidylcholine and cholesterol were prepared
through thin-layer hydration. First, an investigation was carried out to find an
appropriate lysing agent for disruption of prepared liposomes. Differential pulse
voltammetry, as a high sensitive electrochemical technique, was used along with a
multi-walled carbon nanotubes modified glassy carbon electrode for sensitive
electrochemical detection of released dopamine from disrupted liposomes. Various
lysing agents were investigated and finally, the disruption of liposomes using
methanol was selected without any surfactant, because of its least fouling
effect. Then, lyophilization of dopamine-loaded liposomes was carried out using
sucrose as cryoprotectant. The electrochemical studies of lyophilized liposomes
showed that the remained dopamine in sucrose-protected liposomes was higher than
sucrose-free liposomes. Furthermore, sucrose has no interference in
electrochemical studies. Then, with the addition of biotin-X-DHPE to liposome
formulation, the lyophilized sucrose protected dopamine-loaded biotin-tagged
liposomes were prepared and the feasibility of application of them in
electrochemical DNA biosensor was investigated as signal enhancer and verified
for detection of oligonucleotides.
PMID- 27194603
TI - Dissipative and Autonomous Square-Wave Self-Oscillation of a Macroscopic Hybrid
Self-Assembly under Continuous Light Irradiation.
AB - Building a bottom-up supramolecular system to perform continuously autonomous
motions will pave the way for the next generation of biomimetic mechanical
systems. In biological systems, hierarchical molecular synchronization underlies
the generation of spatio-temporal patterns with dissipative structures. However,
it remains difficult to build such self-organized working objects via artificial
techniques. Herein, we show the first example of a square-wave limit-cycle self
oscillatory motion of a noncovalent assembly of oleic acid and an azobenzene
derivative. The assembly steadily flips under continuous blue-light irradiation.
Mechanical self-oscillation is established by successively alternating
photoisomerization processes and multi-stable phase transitions. These results
offer a fundamental strategy for creating a supramolecular motor that works
progressively under the operation of molecule-based machines.
PMID- 27194604
TI - Editorial.
PMID- 27194607
TI - Polydactyly: A Review.
AB - Polydactyly, also known as hyperdactyly, is a common congenital limb defect,
which can present with various morphologic phenotypes. Apart from cosmetic and
functional impairments, it can be the first indication of an underlying syndrome
in the newborn. Usually, it follows an autosomal dominant pattern of inheritance
with defects occurring in the anteroposterior patterning of limb development.
Although many mutations have been discovered, teratogens have also been
implicated in leading to this anomaly, thus making it of multifactorial origin.
There are three polydactyly subtypes (radial, ulnar, and central), and treatment
options depend on the underlying feature.
PMID- 27194606
TI - Early Bubble Continuous Positive Airway Pressure: Investigating Interprofessional
Best Practices for the NICU Team.
AB - Premature neonates delivered <32 completed weeks gestation are unprepared to
handle the physiologic demands of extrauterine life. Within the respiratory
system, alveolar instability and collapse can cause decreased functional residual
capacity, impaired oxygenation, and hypoxemia leading to respiratory distress
syndrome. Supportive measures are indicated immediately after birth to establish
physiologic stability including bubble continuous positive airway pressure (CPAP)
or endotracheal intubation and mechanical ventilation. CPAP is a noninvasive,
gentle mode of ventilation that can mitigate the effects of lung immaturity, but
prolonged use can increase the risk for nasal breakdown. Strategies to mitigate
this risk must be infused as best practices in the NICU environment. The purpose
of this article is to propose an evidence-based best practice care bundle for the
early initiation of CPAP in the delivery room and associated skin barrier
protection strategies for premature neonates <32 weeks gestation and weighing
<1,500 g.
PMID- 27194608
TI - Integrated Evaluation of Neonatal Hemodynamics Program Optimizing Organ Perfusion
and Performance in Critically Ill Neonates, Part 1: Understanding Physiology of
Neonatal Hemodynamics.
AB - Integrated evaluation of neonatal hemodynamics is the integration of information
obtained by echocardiography, clinical evaluation, and biochemical markers, in
addition to the clinical information obtained from noninvasive and invasive
monitoring of blood pressure and arterial and tissue oxygenation, leading to the
formulation of a medical recommendation. This review will focus on the physiology
of cardiovascular dynamics and oxygen delivery.
PMID- 27194609
TI - Transition from NICU to Home: Are the Parents Ready to Manage Any Emergency? An
Evidence-Based Project.
AB - Transitioning the care of a previously critically ill infant to home poses many
challenges for the parents. Prior to the infant's discharge, the parents undergo
rigorous training to continue the care of their infants at home. Even after
training, parents may feel overwhelmed by the thought of managing an emergency at
home. This evidence-based practice project aims to provide parents with
additional hands-on practice of cardiopulmonary resuscitation (CPR) prior to
their infant's discharge. Based on this project, a program of teaching CPR
regularly is established currently in the NICU at Nemours Alfred I. duPont
Hospital for Children.
PMID- 27194610
TI - Development of the Gastrointestinal System: An Embryonic and Fetal Review.
AB - The embryonic and fetal development of the gastrointestinal (GI) tract is
important in understanding the development of many GI disorders. This article
will review the GI development and relate it to various defects and disorders
seen in the preterm and term infant.
PMID- 27194611
TI - Hypoxic-Ischemic Encephalopathy and Serum Magnesium Monitoring and Maintenance.
AB - Magnesium plays important roles in many physiologic functions including protein
synthesis, bone development, and cell membrane function. There is some evidence
to suggest a role for magnesium sulfate as a therapeutic neuroprotective agent
along with therapeutic hypothermia in infants with hypoxic-ischemic
encephalopathy, but studies are inconclusive. Ischemic insult and hypothermia may
both play a role in altered magnesium levels in this population.
PMID- 27194612
TI - Idea to Publication: Sharing Your Clinical Innovations.
AB - Nurses are actively involved in the development of innovative practice projects
and in the development of evidence-based guidelines. But rarely are the ideas of
nurses and clinical leaders shared in professional publications. This column
discusses the importance of sharing one's work through publication and provides
strategies to get started.
PMID- 27194613
TI - The NICU Mom Who Rarely Visits and What You Can Do About It.
AB - NICU nurses often worry about NICU moms who are not able to visit their babies
regularly and rightfully so. The mother is key to not only the baby's ability to
survive but also thrive, and spare visits do little to help with the bonding
process. This article provides solid tips on increasing the NICU mom's visits
using strengths and positives instead of guilt.
PMID- 27194614
TI - Continuing Education Course.
PMID- 27194615
TI - News of the Academy of Neonatal Nursing.
PMID- 27194616
TI - A new jump-landing protocol identifies differences in healthy, coper, and
unstable ankles in collegiate athletes.
AB - Ankle sprains are the most common injury in sport. With stability being an
important risk factor for ankle sprains, a jump-landing protocol that can elicit
differences in time-to-stabilisation (TTS) is necessary. The objective of this
study was to develop a jump-landing protocol that could identify differences in
TTS among healthy, 'coper', and unstable ankles of high-level athletes. 61
Division I collegiate athletes (32 females, 29 males; age: 19.9 +/- 1.2 years;
height: 176.6 +/- 9.5 cm; mass: 74.3 +/- 10.8 kg) participated in a jump-landing
protocol that utilised sporting movements with preparatory steps and a vertical
propulsion of the body in two multi-directional jumps. Utilising the landing on a
force plate, ground reaction forces were used to quantify TTS. TTS of the
unstable group (1.58 +/- 0.62s) was significantly longer than the healthy (1.19
+/- 0.37s; p = 0.050) and 'coper' (1.13 +/- 0.49s; p = 0.019) groups in the
forward hops. In addition, TTS of the lateral hops in the unstable group (1.55 +/
0.63s) was also significantly longer than the healthy (1.14 +/- 0.37s; p =
0.026) and 'coper' (1.15 +/- 0.39s; p = 0.028) groups. This new jump-landing
protocol was able to elicit differences in TTS in high-level athletes that were
not found using previous protocols. This new jump-landing protocol could be an
effective tool to identify injury risk for high-level athletes.
PMID- 27194617
TI - Gene expression characterizes different nutritional strategies among three
mixotrophic protists.
AB - Mixotrophic protists, i.e. protists that can carry out both phototrophy and
heterotrophy, are a group of organisms with a wide range of nutritional
strategies. The ecological and biogeochemical importance of these species has
recently been recognized. In this study, we investigated and compared the gene
expression of three mixotrophic protists, Prymnesium parvum, Dinobyron sp. and
Ochromonas sp. under light and dark conditions in the presence of prey using RNA
Seq. Gene expression of the obligately phototrophic P. parvum and Dinobryon sp.
changed significantly between light and dark treatments, while that of primarily
heterotrophic Ochromonas sp. was largely unchanged. Gene expression of P. parvum
and Dinobryon sp. shared many similarities, especially in the expression patterns
of genes related to reproduction. However, key genes involved in central carbon
metabolism and phagotrophy had different expression patterns between these two
species, suggesting differences in prey consumption and heterotrophic nutrition
in the dark. Transcriptomic data also offered clues to other physiological traits
of these organisms such as preference of nitrogen sources and photo-oxidative
stress. These results provide potential target genes for further exploration of
the mechanisms of mixotrophic physiology and demonstrate the potential usefulness
of molecular approaches in characterizing the nutritional modes of mixotrophic
protists.
PMID- 27194618
TI - Influence of Ambient Odors on Time Perception in a Retrospective Paradigm.
AB - Environmental stimuli can influence time perception, including sensory
stimulations. Among them, odors are known to modulate emotion, attention,
behavior, or performance, but few studies have investigated the possible effects
of ambient odors on time perception. Thus, the present study aimed to compare in
a retrospective paradigm the time estimation in three conditions, i.e., with
phenyl ethyl alcohol as a pleasant odor, pyridine as unpleasant odor, and a
control condition without ambient odor. A total of 90 participants (M age = 23
years, 10 months) took part in three different tasks, i.e., an aesthetic
classification task, a sensorimotor checking task, and a mathematical operations
task. Results showed a better accuracy of the time estimation in odor condition
(1) independently of the characteristics of odorants (2) limited to tasks with a
low cognitive involvement. These findings are discussed in relation to the
possible role of attention and arousal in the modulation of time perception by
ambient odors.
PMID- 27194619
TI - Activity in the rat olfactory cortex is correlated with behavioral response to
odor: a microPET study.
AB - How olfactory cortical areas interpret odor maps evoked in the olfactory bulb and
translate odor information into behavioral responses is still largely unknown.
Indeed, rat olfactory cortices encompass an extensive network located in the
ventral part of the brain, thus complicating the use of invasive functional
methods. In vivo imaging techniques that were previously developed for brain
activation studies in humans have been adapted for use in rodents and facilitate
the non-invasive mapping of the whole brain. In this study, we report an initial
series of experiments designed to demonstrate that microPET is a powerful tool to
investigate the neural processes underlying odor-induced behavioral response in a
large-scale olfactory neuronal network. After the intravenous injection of
[18F]Fluorodeoxyglucose ([18F]FDG), awake rats were placed in a ventilated
Plexiglas cage for 50 min, where odorants were delivered every 3 min for a 10-s
duration in a random order. Individual behavioral responses to odor were
classified into categories ranging from 1 (head movements associated with a short
sniffing period in response to a few stimulations) to 4 (a strong reaction,
including rearing, exploring and sustained sniffing activity, to several
stimulations). After [18F]FDG uptake, rats were anesthetized to perform a PET
scan. This experimental session was repeated 2 weeks later using the same animals
without odor stimulation to assess the baseline level of activation in each
individual. Two voxel-based statistical analyses (SPM 8) were performed: (1) a
two-sample paired t test analysis contrasting baseline versus odor scan and (2) a
correlation analysis between voxel FDG activity and behavioral score. As
expected, the contrast analysis between baseline and odor session revealed
activations in various olfactory cortical areas. Significant increases in glucose
metabolism were also observed in other sensory cortical areas involved in whisker
movement and in several modules of the cerebellum involved in motor and sensory
function. Correlation analysis provided new insight into these results. [18F]FDG
uptake was correlated with behavioral response in a large part of the anterior
piriform cortex and in some lobules of the cerebellum, in agreement with the
previous data showing that both piriform cortex and cerebellar activity in humans
can be driven by sniffing activity, which was closely related to the high
behavioral scores observed in our experiment. The present data demonstrate that
microPET imaging offers an original perspective for rat behavioral neuroimaging.
PMID- 27194620
TI - German insurers call for central database of complaints against doctors.
PMID- 27194621
TI - Endocytosis of indium-tin-oxide nanoparticles by macrophages provokes pyroptosis
requiring NLRP3-ASC-Caspase1 axis that can be prevented by mesenchymal stem
cells.
AB - The biological effects of indium-tin-oxide (ITO) are of considerable importance
because workers exposed to indium compounds have been diagnosed with interstitial
lung disease or pulmonary alveolar proteinosis; however, the pathophysiology of
these diseases is undefined. Here, mice intraperitoneally inoculated with ITO
nanoparticles (ITO-NPs) resulted in peritonitis dependent in NLRP3 inflammasome,
with neutrophils recruitment and interleukin-1beta (IL-1beta) production. Withal
peritoneal macrophages exposed ex vivo to ITO-NPs caused IL-1beta secretion and
cytolysis. Further, alveolar macrophages exposed to ITO-NPs in vitro showed ITO
NP endocytosis and production of tumor necrosis factor-alpha (TNF-alpha) and IL
1beta, ensued cell death by cytolysis. This cell death was RIPK1-independent but
caspase1-dependent, and thus identified as pyroptosis. Endocytosis of ITO-NPs by
activated THP-1 cells induced pyroptosis with IL-1beta/TNF-alpha production and
cytolysis, but not in activated THP-1 cells with knockdown of NLRP3, ASC, or
caspase1. However, exposing activated THP-1 cells with NLRP3 or ASC knockdown to
ITO-NPs resulted in cell death but without cytolysis, with deficiency in IL
1beta/TNF-alpha, and revealing features of apoptosis. While, mesenchymal stem
cells (MSCs) co-cultured with macrophages impaired both inflammation and cell
death induced by ITO-NPs. Together, our findings provide crucial insights to the
pathophysiology of respiratory diseases caused by ITO particles, and identify
MSCs as a potent therapeutic.
PMID- 27194622
TI - Influence of cut-off value on prevalence of short cervical length.
AB - OBJECTIVE: To assess the distribution of cervical length (CL) in a large cohort
of asymptomatic low-risk women with singleton pregnancy and no previous preterm
birth and to explain the low prevalence of short CL <= 30 mm in this cohort.
METHODS: This was a secondary analysis of a multicenter cohort study with an
embedded randomized controlled trial (Triple P trial; NTR-2078) on the prevention
of preterm birth with progesterone. In the cohort study, CL was measured in
asymptomatic low-risk women with singleton pregnancy to investigate its
predictive capacity to identify those at increased risk for preterm birth. A
short CL was defined by a cut-off value of <= 30 mm, based on existing
literature. Women with a short CL were subsequently included in a randomized
controlled trial evaluating the effect of progesterone, compared with placebo, on
preterm birth. In total, 57 centers and 20 234 women participated in the study.
Normal distributions for CL were simulated based on the mean and SD of the
original data. The distribution of CL was assessed for each individual center and
measurements were compared between levels of care: primary (29 ultrasound
centers), secondary (21 general hospitals) and tertiary (seven university medical
centers) care institutions. Comparison was also performed between centers with
low, intermediate and high volume of CL measurements. CL distributions before (n
= 12 284 women) and after (n = 7950 women) a national symposium, at which the
prevalence of short CL measurements was addressed publicly, were analyzed.
RESULTS: Between November 2009 and August 2013, 20 234 women had CL measurements,
of whom 367 (1.8%) had a short CL. Mean +/- SD CL was 44.2 +/- 7.8 mm. A 'dip' in
the distribution of CL measurements between 20 and 30 mm was observed, defined by
a ratio of < 50% when comparing the number of measurements in observed and
simulated normal distributions. The dip was present in 89% of participating
centers. All centers showed a dip in the distribution of measurements <= 30 mm
when analyzed according to the level of care and volume of measurements. A
significant difference was found when comparing the distribution before and after
publicly addressing the low prevalence of short CL (1.7% vs 2.0% of measurements
were <= 30 mm, respectively; P < 0.001). CONCLUSIONS: A cut-off value of 30 mm
for CL was used to include women in a randomized clinical trial that was embedded
in a cohort study. We suggest that the use of a predefined cut-off value for a
short cervix influences the distribution of the CL measurements. Since the
measurement is not blinded, preference of assessors for the control or
intervention arms may have introduced selection bias. This might have resulted in
fewer measurements around the cut-off value. Other trials using similar designs
could benefit from this observation and take precautions to avoid selection bias.
Copyright (c) 2016 ISUOG. Published by John Wiley & Sons Ltd.
PMID- 27194625
TI - Sexy again: the renaissance of neutrophils in psoriasis.
AB - Notwithstanding their prominent presence in psoriatic skin, the functional role
of neutrophilic granulocytes still remains somewhat enigmatic. Sparked by
exciting scientific discoveries regarding neutrophil functions within the last
years, the interest in these short-lived cells of the innate immune system has
been boosted recently. While it had been known for some time that neutrophils
produce and respond to a number of inflammatory mediators, recent research has
linked neutrophils with the pathogenic functions of IL-17, possibly in
conjunction with the formation of NETs (neutrophil extracellular traps).
Antipsoriatic therapies exert their effects, at least in part, through
interference with neutrophils. Neutrophils also appear to connect psoriasis with
comorbid diseases. However, directly tampering with neutrophil functions is not
trivial as evinced by the failure of therapeutic approaches targeting redundantly
regulated cellular communication networks. It has also become apparent that
neutrophils link important pathogenic functions of the innate and the adaptive
immune system and that they are intricately involved in regulatory networks
underlying the pathophysiology of psoriasis. In order to advocate intensified
research into the role of this interesting cell population, we here highlight
some features of neutrophils and put them into perspective with our current view
of the pathophysiology of psoriasis.
PMID- 27194626
TI - The effect of antagonist tooth contact on the biomechanical response of custom
fitted mouthguards.
AB - BACKGROUND/AIM: Custom-fitted mouthguards are devices used to prevent dental
injuries. The aim of this study was to verify the influence of the antagonist
contact on the stresses and strains of the anterior teeth, shock absorption and
displacement of EVA custom-fitted mouthguards during a horizontal impact.
MATERIALS AND METHODS: Finite element models of human maxillary central incisors
with and without a mouthguard for different occlusion conditions (with and
without antagonist contact) were created based on tomography. A nonlinear dynamic
impact analysis using the single-step Houbolt method was performed in which a
rigid object hit the model at 1 m s-1 . Strain and stress were evaluated by means
of Von Mises and Critical modified Von Mises criterion and shock absorption
during impact were calculated as well as the mouthguard displacement. RESULTS:
The model without mouthguard and without antagonist contact showed the highest
stress and strain values at the enamel and dentin in the tooth crown on impact
compared to the model without mouthguard and with antagonist contact. Mouthguard
presence reduced the stress and strain values regardless of the occlusion
condition. The mouthguard displacement decreased with the mandibular antagonist
contact. CONCLUSIONS: Mouthguards are efficient at decreasing the stress and
strain values on the tooth in front of an impact reaching more than 90% of shock
absorption. A mouthguard with balanced occlusion and maximum number of contacts
with mandibular anterior teeth should be considered because it reduces mouthguard
displacement.
PMID- 27194627
TI - NHS and public health reorganization in England: health protection and emergency
planning, preparedness and response perspective.
PMID- 27194628
TI - Learning Problems in Children of Refugee Background: A Systematic Review.
AB - CONTEXT: Learning problems are common, affecting up to 1 in 10 children. Refugee
children may have cumulative risk for educational disadvantage, but there is
limited information on learning in this population. OBJECTIVE: To review the
evidence on educational outcomes and learning problems in refugee children and to
describe their major risk and resource factors. DATA SOURCES: Medline, Embase,
PubMed, Cumulative Index to Nursing and Allied Health Literature, PsycINFO, and
Education Resources Information Center. STUDY SELECTION: English-language
articles addressing the prevalence and determinants of learning problems in
refugee children. DATA EXTRACTION: Data were extracted and analyzed according to
Arksey and O'Malley's descriptive analytical method for scoping studies. RESULTS:
Thirty-four studies were included. Refugee youth had similar secondary school
outcomes to their native-born peers; there were no data on preschool or primary
school outcomes. There were limited prevalence data on learning problems, with
single studies informing most estimates and no studies examining specific
language disorders or autism spectrum disorders. Major risk factors for learning
problems included parental misunderstandings about educational styles and
expectations, teacher stereotyping and low expectations, bullying and racial
discrimination, premigration and postmigration trauma, and forced detention.
Major resource factors for success included high academic and life ambition,
"gift-and-sacrifice" motivational narratives, parental involvement in education,
family cohesion and supportive home environment, accurate educational assessment
and grade placement, teacher understanding of linguistic and cultural heritage,
culturally appropriate school transition, supportive peer relationships, and
successful acculturation. LIMITATIONS: Studies are not generalizable to other
cohorts. CONCLUSIONS: This review provides a summary of published prevalence
estimates for learning problems in resettled refugee children, highlights key
risk and resource factors, and identifies gaps in research.
PMID- 27194629
TI - Rooming-In to Treat Neonatal Abstinence Syndrome: Improved Family-Centered Care
at Lower Cost.
AB - BACKGROUND AND OBJECTIVE: The incidence and associated costs of neonatal
abstinence syndrome (NAS) have recently risen sharply; newborns with NAS occupy
4% of NICU beds. We implemented a coordinated program for NAS including
standardized protocols for scoring, medications and weaning, and a calm rooming
in environment, to improve family-centered care and to decrease both length of
stay (LOS) and hospital costs. METHODS: In early 2013, a multidisciplinary
quality improvement team began consecutive plan-do-study-act (PDSA) cycles. We
trained nurses in modified Finnegan scoring, ensured scoring only after on-demand
feeds during skin-to-skin care, and standardized physician score interpretation.
We provided prenatal family education, increased family involvement in symptom
monitoring and nonpharmacologic treatment, and treated otherwise healthy infants
on the inpatient pediatric unit instead of in the NICU. We measured outcomes
using statistical process control methods. RESULTS: At baseline, 46% of inborn
infants at-risk for NAS were treated with morphine; by 2015, this decreased to
27%. Adjunctive use of phenobarbital decreased from 13% to 2% in the same period.
Average LOS for morphine-treated newborns decreased from 16.9 to 12.3 days,
average hospital costs per treated infant decreased from $19 737 to $8755, and
costs per at-risk infant dropped from $11 000 to $5300. Cumulative morphine dose
decreased from 13.7 to 6.6 mg per treated newborn. There were no adverse events,
and 30-day readmission rates remained stable. CONCLUSIONS: A coordinated,
standardized NAS program safely reduced pharmacologic therapy, LOS, and hospital
costs. Rooming-in with family and decreased use of NICU beds were central to
achieved outcomes.
PMID- 27194630
TI - Cardiac troponin T is necessary for normal development in the embryonic chick
heart.
AB - The heart is the first functioning organ to develop during embryogenesis. The
formation of the heart is a tightly regulated and complex process, and
alterations to its development can result in congenital heart defects. Mutations
in sarcomeric proteins, such as alpha myosin heavy chain and cardiac alpha actin,
have now been associated with congenital heart defects in humans, often with
atrial septal defects. However, cardiac troponin T (cTNT encoded by gene TNNT2)
has not. Using gene-specific antisense oligonucleotides, we have investigated the
role of cTNT in chick cardiogenesis. TNNT2 is expressed throughout heart
development and in the postnatal heart. TNNT2-morpholino treatment resulted in
abnormal atrial septal growth and a reduction in the number of trabeculae in the
developing primitive ventricular chamber. External analysis revealed the
development of diverticula from the ventricular myocardial wall which showed no
evidence of fibrosis and still retained a myocardial phenotype. Sarcomeric
assembly appeared normal in these treated hearts. In humans, congenital
ventricular diverticulum is a rare condition, which has not yet been genetically
associated. However, abnormal haemodynamics is known to cause structural defects
in the heart. Further, structural defects, including atrial septal defects and
congenital diverticula, have previously been associated with conduction
anomalies. Therefore, to provide mechanistic insights into the effect that cTNT
knockdown has on the developing heart, quantitative PCR was performed to
determine the expression of the shear stress responsive gene NOS3 and the
conduction gene TBX3. Both genes were differentially expressed compared to
controls. Therefore, a reduction in cTNT in the developing heart results in
abnormal atrial septal formation and aberrant ventricular morphogenesis. We
hypothesize that alterations to the haemodynamics, indicated by differential NOS3
expression, causes these abnormalities in growth in cTNT knockdown hearts. In
addition, the muscular diverticula reported here suggest a novel role for
mutations of structural sarcomeric proteins in the pathogenesis of congenital
cardiac diverticula. From these studies, we suggest TNNT2 is a gene worthy of
screening for those with a congenital heart defect, particularly atrial septal
defects and ventricular diverticula.
PMID- 27194633
TI - Novice Nurse Practitioner Workforce Transition Into Primary Care: A Literature
Review.
AB - The purpose of this integrative review was to analyze the current state of the
science related to the novice nurse practitioner (NP) transition into primary
care. A systematic review of the literature was conducted using the databases
Pubmed, MEDLINE, Ovid, and Cumulative Index to Nursing and Allied Health
Literature (CINAHL) with the terms nurse practitioner, role, and transition to
search articles published after 1997. Three major themes emerged from the
literature related to the novice NP transition into primary care. These themes
were categorized as "experiencing role ambiguity," "quality of professional and
interpersonal relationships," and "facing intrinsic and extrinsic obstacles."
Strategies to reduce role ambiguity, improve the quality of professional
relationships, and mitigate extrinsic obstacles faced by novice NPs may improve
their transition into the primary care workforce. Educational institutions,
employers, and novice NPs all have a stake in the successful transition from
student to primary care practitioner and should be engaged in developing
effective NP transition-to-practice programs.
PMID- 27194632
TI - The LAW index as an accurate indicator of the initiation of antiviral treatment
in patients with chronic hepatitis B.
AB - BACKGROUND AND AIM: Considering that inflammation and fibrosis are major factors
for the indication of antiviral treatment, liver stiffness measurements could
help identify patients who require antiviral treatment. This study evaluated
factors that best identify patients who require antiviral treatment and to
develop a new indicator for chronic hepatitis B (CHB). METHODS: Patients with CHB
were randomly classified into a training or validation group, and a model for
predicting necroinflammatory activity >= A3 or fibrosis grade >= F2 (A3F2) was
established in the training group using binary regression analysis and validated
in the validation group. Predictive efficacy was compared using area under the
receiver-operating characteristics curve analysis. RESULTS: Four-hundred ninety
two patients were enrolled. In the training group, female sex, aspartate
aminotransferase-to-platelet count ratio index (APRI), and liver stiffness were
independent predictors of A3F2 on multivariate analysis. These variables were
used to construct a novel model, called the LAW (liver stiffness, APRI, woman)
index, as follows: 1.5 * liver stiffness value (kPa) + 3.9 * APRI + 3.2 if
female. The LAW index was a better predictor of A3F2 than the APRI or liver
stiffness measurement in both training group (0.870; 95% confidence interval,
0.822-0.910) and validation group (0.862; 95% confidence interval, 0.813-0.903).
CONCLUSIONS: The LAW index was able to accurately identify patients with CHB who
required antiviral treatment. A LAW index of >10.1 could be a strong indicator
for the initiation of antiviral treatment in patients with CHB.
PMID- 27194631
TI - Effect of salivary agglutination on oral streptococcal clearance by human
polymorphonuclear neutrophil granulocytes.
AB - Salivary agglutination is an important host defense mechanism to aggregate oral
commensal bacteria as well as invading pathogens. Saliva flow and subsequent
swallowing more easily clear aggregated bacteria compared with single cells.
Phagocytic clearance of bacteria through polymorphonuclear neutrophil
granulocytes also seems to increase to a certain extent with the size of
bacterial aggregates. To determine a connection between salivary agglutination
and the host innate immune response by phagocytosis, an in vitro agglutination
assay was developed reproducing the average size of salivary bacterial
aggregates. Using the oral commensal Streptococcus gordonii as a model organism,
the effect of salivary agglutination on phagocytic clearance through
polymorphonuclear neutrophil granulocytes was investigated. Here we describe how
salivary aggregates of S. gordonii are readily cleared through phagocytosis,
whereas single bacterial cells showed a significant delay in being phagocytosed
and killed. Furthermore, before phagocytosis the polymorphonuclear neutrophil
granulocytes were able to induce a specific de-aggregation, which was dependent
on serine protease activity. The data presented suggest that salivary
agglutination of bacterial cells leads to an ideal size for recognition by
polymorphonuclear neutrophil granulocytes. As a first line of defense, these
phagocytic cells are able to recognize the aggregates and de-aggregate them via
serine proteases to a more manageable size for efficient phagocytosis and
subsequent killing in the phagolysosome. This observed mechanism not only
prevents the rapid spreading of oral bacterial cells while entering the
bloodstream but would also avoid degranulation of involved polymorphonuclear
neutrophil granulocytes, so preventing collateral damage to nearby tissue.
PMID- 27194634
TI - Barriers and Promoters to Participation in the Era of Shared Treatment Decision
Making.
AB - This study aimed to identify the barriers and promoters for participation in
cancer treatment decision in the era of shared decision-making (SDM) process. A
qualitative design was utilized. Nineteen nurses and 11 nurse practitioners from
oncology inpatient and outpatient settings participated in semi-structured
interviews. Data were analyzed using directed content analysis. The findings
include practice barrier, patient barrier, institutional policy barrier,
professional barrier, scope of practice barrier, insurance coverage barrier, and
administrative barrier. Multidisciplinary team approach, having a nursing voice
during SDM, high level of knowledge of the disease and treatment, and personal
valuation of SDM participation were perceived as promoters. Oncology nurses and
nurse practitioners face many barriers to their participation during SDM.
Organizational support and system-wide culture of SDM are essential to achieve
better cancer treatment decisions outcome. Additional studies are needed to
determine the factors that can promote more participation among nurses and nurse
practitioners.
PMID- 27194635
TI - Transverse lie with prolapsed arm in a female red-howler monkey (Alouatta guariba
clamitans - Cabrera, 1940).
AB - This study focuses on a case of a red-howler monkey (Alouatta guariba clamitans)
which was found with a fetus in a transverse lie position with a prolapsed arm.
The topic of this research is well justified as there are no data on this
condition involving this type of non-human primate in literature. In this study,
a red-howler monkey was observed by locals pulling at her pelvic region for 3
days near a farm. On the third day, the monkey was found lying on the ground at
which point she offered no resistance when approached. The environmental police
took the monkey to receive medical attention. During the physical examination, it
was quickly observed that the monkey was pregnant; the right forelimb of the
fetus was exposed from the vulva. An ultrasound revealed a non-viable fetus, and
due to the severe weakness of the mother, we opted for euthanasia. During the
necropsy, not only was the fetus found macerated but it was also in a transverse
lie position with a prolapsed arm and presented no external or internal injuries
consistent with trauma.
PMID- 27194637
TI - Preliminary survey of local bacteriophages with lytic activity against multi-drug
resistant bacteria.
AB - Bacteriophages (phages) represent a potential alternative for combating multi
drug resistant bacteria. Because of their narrow host range and the ever
emergence of novel pathogen variants the continued search for phages is a
prerequisite for optimal treatment of bacterial infections. Here we performed an
ad hoc survey in the surroundings of a University hospital for the presence of
phages with therapeutic potential. To this end, 16 aquatic samples of different
origins and locations were tested simultaneously for the presence of phages with
lytic activity against five current, but distinct strains each from the ESKAPE
group (i.e., Enterococcus faecium, Staphylococcus aureus, Klebsiella pneumoniae,
Acinetobacter baumannii, Pseudomonas aeruginosa, and Enterobacter cloacae).
Phages could be isolated for 70% of strains, covering all bacterial species
except S. aureus. Apart from samples from two lakes, freshwater samples were
largely devoid of phages. By contrast, one liter of hospital effluent collected
at a single time point already contained phages active against two-thirds of
tested strains. In conclusion, phages with lytic activity against nosocomial
pathogens are unevenly distributed across environments with the prime source
being the immediate hospital vicinity.
PMID- 27194636
TI - CLOCK promotes 3T3-L1 cell proliferation via Wnt signaling.
AB - Circadian genes control most of the physiological functions including cell cycle.
Cell proliferation is a critical factor in the differentiation of progenitor
cells. However, the role of Clock gene in the regulation of cell cycle via
wingless-type (Wnt) pathway and the relationship between Clock and adipogenesis
are unclear. We found that the circadian locomotor output cycles kaput (Clock)
regulated the proliferation and the adipogenesis of 3T3-L1 preadipocytes. We
found that Clock attenuation inhibited the viability of 3T3-L1 preadipocytes in
the cell counting kit 8. The expression of c-Myc and Cyclin D1 decreased
dramatically in 3T3-L1 when Clock was silenced with short interfering RNA and was
also decreased in fat tissue and adipose tissue-derived stem cells of
Clock(Delta19) mice. Clock directly controls the expression of the components of
Wnt signal transduction pathway, which was verified by serum shock, chromatin
immunoprecipitation, Western blot, and quantitative real-time polymerase chain
reaction (qRT-PCR). Furthermore, IWR-1, a Wnt signal pathway inhibitor, inhibited
the cell cycle promotion by CLOCK, which was detected by cell viability assay,
flow cytometry, and qRT-PCR. Therefore, CLOCK transcription control of Wnt
signaling promotes cell cycle progression in 3T3-L1 preadipocytes. Clock
inhibited the adipogenesis on day 2 in 3T3-L1 cells via Oil Red O staining and
qRT-PCR detection and probably related to cellular differentiation. These data
provide evidence that the circadian gene Clock regulates the proliferation of
preadipocytes and affects adipogenesis. (c) 2016 IUBMB Life, 68(7):557-568, 2016.
PMID- 27194640
TI - Multiplexed neural recording along a single optical fiber via optical
reflectometry.
AB - We introduce the design and theoretical analysis of a fiber-optic architecture
for neural recording without contrast agents, which transduces neural electrical
signals into a multiplexed optical readout. Our sensor design is inspired by
electro-optic modulators, which modulate the refractive index of a waveguide by
applying a voltage across an electro-optic core material. We estimate that this
design would allow recording of the activities of individual neurons located at
points along a 10-cm length of optical fiber with 40-MUm axial resolution and
sensitivity down to 100 MUV using commercially available optical reflectometers
as readout devices. Neural recording sites detect a potential difference against
a reference and apply this potential to a capacitor. The waveguide serves as one
of the plates of the capacitor, so charge accumulation across the capacitor
results in an optical effect. A key concept of the design is that the sensitivity
can be improved by increasing the capacitance. To maximize the capacitance, we
utilize a microscopic layer of material with high relative permittivity. If
suitable materials can be found-possessing high capacitance per unit area as well
as favorable properties with respect to toxicity, optical attenuation, ohmic
junctions, and surface capacitance-then such sensing fibers could, in principle,
be scaled down to few-micron cross-sections for minimally invasive neural
interfacing. We study these material requirements and propose potential material
choices. Custom-designed multimaterial optical fibers, probed using a
reflectometric readout, may, therefore, provide a powerful platform for neural
sensing.
PMID- 27194639
TI - Facile Preparation of Bright-Fluorescent Soft Materials from Small Organic
Molecules.
AB - Highly fluorescent and biocompatible soft materials are desirable for many
potential applications, but their synthetic processes are somehow complicated.
Herein, we have explored the feasibility of synthesis of unconventional
fluorescence soft materials from small organic molecules under mild conditions. A
new blue-fluorescent soft material with high quantum yield (89.6 %) and eutectic
feature prepared by simple heat treatment of citric acid (CA) and cysteine (Cys)
aqueous mixtures below 100 degrees C in air was reported. The as-prepared
fluorescent material has the features of facile preparation, low cost, scalable
production and easy to process, making it suitable for applications like
fluorescent labeling and light-emitting devices. This new finding opens a new
venue for the preparation of fluorescent soft materials.
PMID- 27194638
TI - Stress reticulocytes lose transferrin receptors by an extrinsic process involving
spleen and macrophages.
AB - As they mature into erythrocytes during normal erythropoiesis, reticulocytes lose
surface transferrin receptors before or concurrently with reticulin. Exosome
release accounts for most of the loss of transferrin receptors from
reticulocytes. During erythropoietic stress, reticulocytes are released early
from hematopoietic tissues and have increased reticulin staining and transferrin
receptors. Flow cytometry of dually stained erythrocytes of mice recovering from
phlebotomy demonstrated delayed loss of reticulin and transferrin receptors
during in vitro maturation compared to in vivo maturation, indicating that an in
vivo process extrinsic to the reticulocytes facilitates their maturation.
Splenectomy or macrophage depletion by liposomal clodronate inhibited in vivo
maturation of reticulocytes and increased the numbers of reticulin-negative,
transferrin receptor-positive cells during and after recovery from phlebotomy.
This reticulin-negative, transferrin receptor-positive population was rarely
found in normal mice. Transmission electron microscopy demonstrated that the
reticulin-negative, transferrin receptor-positive cells were elongated and
discoid erythrocytes, but they had intracellular and surface structures that
appeared to be partially degraded organelles. The results indicate that
maturation of circulating stress reticulocytes is enhanced by an extrinsic
process that occurs in the spleen and involves macrophage activity. Complete loss
of reticulin with incomplete loss of surface transferrin receptors in this
process produces a reticulin-negative, transferrin receptor-positive erythrocyte
population that has potential utility for detecting prior erythropoietic stresses
including bleeding, hemolysis and erythropoietin administration, even after
recovery has been completed. Am. J. Hematol. 91:875-882, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27194641
TI - Hospitalization costs and complications in hospitalized patients with type 2
diabetes mellitus in Beijing, China.
AB - BACKGROUND: The aim of the present study was to investigate hospitalization
costs, diabetes complications, and their relationships using a large dataset in
Beijing, China. METHODS: Data for 2006-10 from the 38 top-ranked (Grade 3 A)
hospitals in Beijing, obtained from electronic Hospitalization Summary Reports
(HSRs), were analyzed for hospitalization costs and diabetic complications.
Patient demographics, types of costs, and length of hospital stay (LOS) were also
evaluated. RESULTS: During the period evaluated, 62 523 patients with diabetes
were hospitalized, of which 41 875 (67.0 %) had diabetes-associated
complications. The median cost of hospitalization for diabetic patients was
7996.11 RMB. Prescribed drugs and laboratory tests were two major contributors to
hospitalization costs, accounting for 36.2 % and 22.4 %, respectively.
Hospitalization costs were significantly associated with LOS, number of
complications, age, year of admission, admission status, sex, and medical
insurance (P < 0.001). Both hospitalization costs and LOS increased substantially
with an increase in the number of complications (P < 0.001). The highest
hospitalization costs were seen in those diabetic patients with foot
complications. CONCLUSION: Diabetic complications have a significant effect on
increases in hospitalization costs and LOS in patients with type 2 diabetes
mellitus.
PMID- 27194642
TI - Heart Rate-Corrected QT and JT Intervals in Electrocardiograms in Physically Fit
Students and Student Athletes.
AB - In literature, data on the prevalence of prolonged and shortened corrected QT
(QTc) have shown considerable variability. The aim of the study was to compare
QTc and JTc intervals of competitive student athletes and noncompetitive sport
participants to QTc cutoff points used in athletes. A group of 485 physically fit
candidates for the study of kinesiology (139 female and 346 male candidates) aged
18-20 participated in the study. Basic anthropometry, field fitness test,
cardiovascular, electrocardiograms measurements, and blood sampling for lipid
profile were conducted. The prolonged QTc according to European Society of
Cardiology criteria was found in 2.9% of female and 4.3% of male students. When
the "Seattle criteria" were used, the proportion of prolonged QTc was 1.44% in
female and 0.29% in male students. The shortened QTc according to the Seattle
cutoff points was presented in 0.7% of female and 2.0% of male students. The JTc
over 400 ms was found in 0.72% of female and 0.29% of male students. The JTc
shorter than 320 ms was presented in 0.7% of female and 1.1% of male students. No
significant differences were found between students involved in competitive sport
and those involved in recreational sporting activities. Female students had lower
body mass index and blood pressure values, better blood lipid profile, and lower
uric acid concentrations. In conclusion, the Seattle criteria markedly decreased
the proportion of prolonged QTc in student athletes, particularly in male
students. It seems that the JTc interval could be a better parameter than the QTc
interval for the estimation of specific repolarization time in physically fit
university students.
PMID- 27194643
TI - Influence of nucleobase stoichiometry on the self-assembly of ABC triblock
copolymers.
AB - ABC triblock copolymers bearing adenine- and thymine-functionalized external
blocks self-assembled into long-range, ordered lamellar microphase-separated
morphologies on non-patterned substrates. Intermolecular hydrogen bonding formed
thymine-adenine triplets and promoted self-assembly into well-defined lamellae
consisting of poly(n-butyl acrylate) soft domains and complementary nucleobase
hard domains, while thymine-adenine duplets contributed to superior mechanical
properties.
PMID- 27194644
TI - Ablative non-sequential fractional ultrapulsed CO2 laser pretreatment improves
conventional photodynamic therapy with methyl aminolevulinate in a novel human in
vitro 3D actinic keratosis skin model.
PMID- 27194645
TI - Picture This: Exploring the Lived Experience of High-Functioning Stroke Survivors
Using Photovoice.
AB - An increasing number of high-functioning stroke survivors are present with
minimal functional impairments and are often discharged with reduced access to
community reintegration. Our objectives were to explore the lived experience of
high-functioning stroke survivors and to identify gaps in community and
rehabilitation services. Photovoice was used with five high-functioning stroke
survivors to photo-document their experiences. A modified inductive thematic
analysis was used, and meanings behind the photographs were elicited through four
focus group sessions followed by photography exhibitions. Five themes emerged:
lack of understanding and consideration for persons with disability, emotional
and behavioral impacts after stroke, self-reliance and dependence on others,
importance of appropriate and accessible services, and financial determinants of
quality of life. By including service users' voices; investing in adapted,
community-based programs; and providing educational programs for creating
attitudinal change among service providers, the polarization between who can and
cannot access services will be reduced.
PMID- 27194646
TI - Sixty seconds on . . . psilocybin.
PMID- 27194647
TI - Fluorescent liquid pyrene derivative-in-water microemulsions.
AB - A fluorescent liquid pyrene derivative with a high fluorescence quantum yield
(65%) in the bulk state is reported. With this as the sole oil phase, stable
luminescent oil-in-water microemulsions have been prepared. Increasing the
loading of liquid pyrene swells the droplets, as detected by small-angle neutron
scattering. These larger droplets have a greater proportion of pyrene excimer
emission contribution in their photoluminescence spectra, which leads to a red
shift in the chromaticity of the emission.
PMID- 27194649
TI - To thine own self be true? Clarifying the effects of identity discrepancies on
psychological distress and emotions.
AB - After many years of research across disciplines, it remains unclear whether
people are more motivated to seek appraisals that accurately match self-views
(self-verification) or are as favorable as possible (self-enhancement). Within
sociology, mixed findings in identity theory have fueled the debate. A problem
here is that a commonly employed statistical approach does not take into account
the direction of a discrepancy between how we see ourselves and how we think
others see us in terms of a given identity, yet doing so is critical for
determining which self-motive is at play. We offer a test of three competing
models of identity processes, including a new "mixed motivations" model where
self-verification and self-enhancement operate simultaneously. We compare the
models using the conventional statistical approach versus response surface
analysis. The latter method allows us to determine whether identity discrepancies
involving over-evaluation are as distressing as those involving under-evaluation.
We use nationally representative data and compare results across four different
identities and multiple outcomes. The two statistical approaches lead to the same
conclusions more often than not and mostly support identity theory and its
assumption that people seek self-verification. However, response surface tests
reveal patterns that are mistaken as evidence of self-verification by
conventional procedures, especially for the spouse identity. We also find that
identity discrepancies have different effects on distress and self-conscious
emotions (guilt and shame). Our findings have implications not only for research
on self and identity across disciplines, but also for many other areas of
research that incorporate these concepts and/or use difference scores as
explanatory variables.
PMID- 27194648
TI - Happiness and Identities.
AB - Previous sociological research has focused on macro forces that are associated
with overall happiness with one's life, but it has neglected an analysis of
happiness in immediate situations and the micro forces that may shape it. In this
study, we examine social structural as well as individual factors that may
influence happiness in situations that are morally challenging. Data are examined
from an experiment in which satisfying self-interests may involve cheating to get
ahead. The results reveal that while distal, structural factors influence
happiness for those who do not cheat, proximal, individual factors influence
happiness for those who cheat. We discuss how both macro and micro forces may
shape happiness in situations.
PMID- 27194650
TI - Moral opinion polarization and the erosion of trust.
AB - Since Puntam's seminal work on declining levels of social capital, the question
of how social trust is formed has reached unprecedented heights of critical
enquiry. While most of the current research concentrates on ethnic diversity and
income inequality as the main influences driving down generalized trust, we focus
on opinion polarization as another potential impact factor on trust. In more
detail, we investigate the extent to which polarization over morally charged
issues such as homsexuality, abortion and euthanasia affects individuals'
likelihood to trust others. We hypothesize that moral issues have a natural
tendency to divide societies' opinions into opposing poles and, thus, to
challenge social cohesion in modern civil societies. Based on hierarchical
analyses of the fifth wave of the World Values Survey (WVS) - comprising a sample
of 39 countries - our results reveal that individuals living in countries
characterized by more opinion polarization tend to have less trust in other
people.
PMID- 27194651
TI - The consequences of parental labor migration in China for children's emotional
wellbeing.
AB - Using data from the 2010 wave of the China Family Panel Studies (CFPS), we study
the effects of internal migration in China on the emotional wellbeing of children
age 10-15. The 2010 CFPS, a national probability sample survey of the Chinese
population, includes 3464 children within this age range. We compare five groups:
rural children with local registration living with both parents; urban children
with local registration living with both parents; children accompanying their
migrant parent(s), children left behind with one parent when the other parent
goes out to work; and children left behind or sent to live with others when both
parents go out to work. We expect the last three groups to be at risk of
increased emotional difficulties compared to children living with both parents.
We test these expectations using both conventional regression models and
community fixed-effects models. The evidence supporting our expectations is very
weak and inconsistent, leading us to conclude that in the Chinese context family
arrangements have little impact on the emotional wellbeing of children. We
conclude by offering some conjectures as to why this is so.
PMID- 27194652
TI - Universalism, conservation and attitudes toward minority groups.
AB - Findings from previous studies corroborate the hypothesis that universalism and
conservation values are associated with negative attitudes toward immigration. In
the current study we examine whether universalism and conservation values also
play a critical role in the explanation of attitudes toward other minority
groups. Drawing on previous research on group-focused enmity, we explore its
relations with universalism and conservation values in a German sample. Employing
structural equation modeling, we find that individuals who prioritize
universalism values approve of various minorities more whereas those who
prioritize conservation values exhibit more disapproval.
PMID- 27194653
TI - Inter-generational micro-class mobility during and after socialism: The power,
education, autonomy, capital, and horizontal (PEACH) model in Hungary.
AB - We propose a theoretical model of how occupational mobility operates differently
under socialism than under market regimes. Our model specifies four vertical
dimensions of occupational resources-power, education, autonomy, and capital-plus
a horizontal dimension consisting of linkages among occupations in the same
economic branch. Given the nature of state socialist political-economic
institutions, we expect power to exhibit much stronger effects in the socialist
mobility regime, while autonomy and capital should play greater stratifying roles
after the market transition. Education should have stable effects, and horizontal
linkages should diminish in strength with market reforms. We estimate our model's
parameters using data from surveys conducted in Hungary during and after the
socialist period. We adopt a micro-class approach, though we test it against
approaches that use more aggregated class categories. Our model provides a
superior fit to other mobility models, and our results confirm our hypotheses
about the distinctive features of the state socialist mobility regime. Mobility
researchers often look for common patterns characterizing mobility in all
industrialized societies. Our findings suggest that national institutions can
produce fundamentally distinct patterns of mobility.
PMID- 27194654
TI - Does counterterrorist legislation hurt human rights practices? A longitudinal
cross-national analysis.
AB - In the aftermath of the 9/11 terrorist attacks, many countries have passed new
counterterrorist legislation. One of the common assumptions about such
legislation is that it comes with a price: a compromise to practices of human
rights. Previous research, looking at a wide range of case studies, suggested
that this is indeed the case and that counterterrorist legislation often leads to
subsequent repression. However, no large-scale cross-national study has yet
assessed this relationship. Relying on a newly assembled database on nation-level
counterterrorist legislation for the years 1981-2009, we conduct a cross-national
time series analysis of legislation and repression. Our analyses find little
evidence for a significant relationships between national counterterrorist
legislation and various measures of core human rights in most countries. However,
while legislation does not affect repression of physical integrity rights in
countries with low and high levels of repression, it is associated with greater
state repression in countries with intermediate scores of repression.
PMID- 27194655
TI - Do scheduled caste and scheduled tribe women legislators mean lower gender-caste
gaps in primary schooling in India?
AB - Despite India's substantial investments in primary schooling, gaps in schooling
persist across gender and caste-with scheduled caste and scheduled tribe (SC/ST)
girls being particularly disadvantaged. The representation of SC/ST women in
state legislatures may help to mitigate this disadvantage. Specifically, because
of her intersecting gender and caste/tribe identities, a SC/ST woman legislator
might maintain a strong sense of solidarity especially with SC/ST girls and
women, and support legislative policies benefitting SC/ST girls. Consequently,
for this reason, we expect that living in a district where SC/ST women represent
in state legislatures in a higher proportion may increase SC/ST girls' primary
school completion, progression and performance. We tested this hypothesis using
district-level data between 2000 and 2004 from the Indian Election Commission,
the 2004/5 India Human Development Survey, and the Indian Census of 2001. As
expected, the representation of SC/ST women in state legislatures was positively
associated with SC/ST girls' grade completion and age-appropriate grade
progression but was apparent not SC/ST girls' primary-school performance. SC/ST
women's representation in state legislatures may reduce gender-caste gaps in
primary-school attainment in India.
PMID- 27194656
TI - Measuring students' school context exposures: A trajectory-based approach.
AB - Studies of school effects on children's outcomes usually use single time-point
measures. I argue that this approach fails to account for (1) age-based variation
in children's sensitivity to their surroundings; (2) differential effects
stemming from differences in the length of young people's exposures; and (3)
moves between contexts and endogenous changes over time within them. To evaluate
the merits of this argument, I specify and test a longitudinal model of school
effects on children's academic performance. Drawing on recent advances in finite
mixture modeling, I identify a series of distinct school context trajectories
that extend across a substantial portion of respondents' elementary and secondary
school years. I find that these trajectories vary significantly with respect to
shape, with some students experiencing significant changes in their environments
over time. I then show that students' trajectories of exposure are related to
their 8th grade achievement, even after controlling for point-in-time measures of
school context.
PMID- 27194657
TI - Math-oriented fields of study and the race gap in graduation likelihoods at elite
colleges.
AB - This study examines the relationship between chosen field of study and the race
gap in college completion among students at elite colleges. Fields of study are
characterized by varying institutional arrangements, which impact the academic
performance of students in higher education. If the effect of fields on
graduation likelihoods is unequal across racial groups, then this may account for
part of the overall race gap in college completion. Results from a large sample
of students attending elite colleges confirm that fields of study influence the
graduation likelihoods of all students, above and beyond factors such as
students' academic and social backgrounds. This effect, however, is asymmetrical:
relative to white students, the negative effect of the institutional arrangements
of math-oriented fields on graduation likelihood is greater for black students.
Therefore, the race gap is larger within math-oriented fields than in other
fields, which contributes to the overall race gap in graduation likelihoods at
these selective colleges. These results indicate that a nontrivial share of the
race gap in college completion is generated after matriculation, by the
environments that students encounter in college. Consequently, policy
interventions that target field of study environments can substantially mitigate
racial disparities in college graduation rates.
PMID- 27194658
TI - Staying in STEM or changing course: Do natives and immigrants pursue the path of
least resistance?
AB - This paper examines why Science, Technology, Engineering and Math (STEM) fields
are becoming "immigrant" fields of study as native students shift from STEM
fields to law, medicine and business. Using data from the 2010 National Survey of
College Graduates, the analyses find that foreign college-educated immigrants
with STEM degrees tend to remain in STEM fields, while natives are more likely to
shift from STEM fields to law, medicine and business in graduate school. Among
those who moved into law, medicine and business, the gains in earnings are larger
for natives than for foreign educated immigrants. These results have important
implications for the social mobility of highly educated natives and immigrants.
PMID- 27194659
TI - Intermediate peer contexts and educational outcomes: Do the friends of students'
friends matter?
AB - Sociologists of education have long been interested in the effects of peer
relations on educational outcomes. Recent theory and research on adolescence
suggest that peers on the boundaries of students' friendship networks may play an
important role in shaping behaviors and educational outcomes. In this study, we
examine the importance of a key "intermediate peer context" for students'
outcomes: the friends of a student's friends. Our findings indicate both friends'
and friends' friends' characteristics independently predict students' college
expectations and their risk of dropping out of high school (although only
friends' characteristics predict GPA). Our models suggest the magnitude of
students' friends-of-friends' characteristics are at least as large their
friends' characteristics. Together, the association between the peer context and
students outcomes is considerably larger when accounting for both the
characteristics of students' friends and the friends of their friends.
PMID- 27194660
TI - Early cognitive skills of Mexican-origin children: The roles of parental nativity
and legal status.
AB - Although one-third of children of immigrants have undocumented parents, little is
known about their early development. Using data from the Los Angeles Family and
Neighborhood Survey and decennial census, we assessed how children's cognitive
skills at ages 3 to 5 vary by ethnicity, maternal nativity, and maternal legal
status. Specifically, Mexican children of undocumented mothers were contrasted
with Mexican children of documented mothers and Mexican, white, and black
children with U.S.-born mothers. Mexican children of undocumented mothers had
lower emergent reading skills than all other groups and lower emergent
mathematics skills than all groups with U.S.-born mothers. Multilevel regression
models showed that differences in reading skills are explained by aspects of the
home environment, but the neighborhood context also matters. Cross-level
interactions suggest that immigrant concentration boosts emergent reading and
mathematics skills for children with undocumented parents, but does not similarly
benefit children whose parents are native born.
PMID- 27194661
TI - Why do Asian Americans academically outperform Whites? - The cultural explanation
revisited.
AB - We advocate an interactive approach to examining the role of culture and SES in
explaining Asian Americans' achievement. We use Education Longitudinal Study
(ELS) 2002 baseline data to test our proposition that the cultural orientation of
Asian American families is different from that of white American families in ways
that mediate the effects of family SES on children's academic achievement. The
results support our hypothesis, indicating that: (1) SES's positive effects on
achievement are stronger among white students than among Asian-Americans; (2) the
association between a family's SES and behaviors and attitudes is weaker among
Asian-Americans than among Whites; (3) a fraction of the Asian-White achievement
gap can be accounted for by ethnic differences in behaviors and attitudes,
particularly ethnic differences in family SES's effects on behaviors and
attitudes. We find that Asian Americans' behaviors and attitudes are less
influenced by family SES than those of Whites are and that this difference helps
generate Asians' premium in achievement. This is especially evident at lower
levels of family SES.
PMID- 27194663
TI - Urban density and the metabolic reach of metropolitan areas: A panel analysis of
per capita transportation emissions at the county-level.
AB - We engage a tension in the urban environment literature that positions cities as
both drivers of environmental destruction and loci of environmental protection.
We argue that the traditional binary view of cities as either harmful or
beneficial is too simplistic; we advance a more nuanced understanding of cities
to study their internal and external metabolic effects in terms of carbon
emissions from on-road transportation at the county-level across the continental
United States between 2002 and 2007. First, utilizing satellite imagery from the
National Land Cover Database, we create a novel measure of population density by
quantifying the number of people per square mile of impervious surface area.
Second, we develop a measure of metropolitan adjacency from the rural
classifications datasets published by the USDA. In spatial regression models, we
find that while higher density reduces emissions, counties that are
geographically isolated from metropolitan areas actually have lower per capita
emissions, all else equal. We elaborate on the conceptual, methodological, and
practical implications of our study in the conclusion.
PMID- 27194662
TI - Parental and adolescent health behaviors and pathways to adulthood.
AB - This paper examines associations among parental and adolescent health behaviors
and pathways to adulthood. Using data from the National Longitudinal Study of
Adolescent to Adult Health, we identify a set of latent classes describing
pathways into adulthood and examine health-related predictors of these pathways.
The identified pathways are consistent with prior research using other sources of
data. Results also show that both adolescent and parental health behaviors
differentiate pathways. Parental and adolescent smoking are associated with
lowered probability of the higher education pathway and higher likelihood of the
work and the work & family pathways (entry into the workforce soon after high
school completion). Adolescent drinking is positively associated with the work
pathway and the higher education pathway, but decreases the likelihood of the
work & family pathway. Neither parental nor adolescent obesity are associated
with any of the pathways to adulthood. When combined, parental/adolescent smoking
and adolescent drinking are associated with displacement from the basic
institutions of school, work, and family.
PMID- 27194664
TI - Light and shadows: An analysis of racial differences between siblings in Brazil.
AB - Using data from the 2010 Census of Brazil, this article quantitatively examines
the phenomenon of sibling differences in racial classification. In sum, the
findings demonstrate that within-sibling racial heterogeneity occurs in 17-19% of
families. The strongest predictor of racial discordance between siblings is
racial discordance between parents. Furthermore, within-sibling regression models
establish that race exhibits a modest but statistically significant association
with some education and labor market outcomes. Most outcomes are not associated
with race for siblings aged 15-19, although in families with both sexes, darker
females have more favorable educational outcomes, while darker males have less
favorable outcomes. In contrast, darker siblings aged 20-25 are less advantaged
than their lighter brothers and sisters along a number of dimensions. They have
significantly lower education, lower personal income, lower formal employment,
and lower occupational status. It is argued that patterns for siblings aged 20-25
may be indicative of individual racial discrimination.
PMID- 27194665
TI - American football and national pride: Racial differences.
PMID- 27194666
TI - Gangs, clubs, and alcohol: The effect of organizational membership on adolescent
drinking behavior.
AB - How does adolescent organizational membership in general, and simultaneous
membership in distinct types of organizations in particular, impact drinking
behavior? While past studies have focused either on the learning effect of
involvement with gangs or on the constraining influence of conventional
organizations on adolescent problem behavior, we explore the possibility that
conventional school clubs can serve as socializing opportunities for existing
gang members to engage in drinking behavior with non-gang club members. Using the
Add Health data, we show that gang members drink more often, and engage in more
binge drinking, than non-members. More importantly, individuals who are members
of both gangs and school clubs drink alcohol at greater levels than those who are
solely involved in gangs. In addition, non-gang adolescents who are co-members
with gang members in the same school club are more likely to drink alcohol than
non-members. This result has important implications for understanding the role of
organizations in adolescent behavior and suggests that the study of delinquent
behaviors would benefit from devoting more attention to individuals who bridge
distinct types of organizations.
PMID- 27194668
TI - Relationships Between Internal and External Training Load in Team-Sport Athletes:
Evidence for an Individualized Approach.
AB - PURPOSE: The aim of this study was to quantify and predict relationships between
rating of perceived exertion (RPE) and GPS training-load (TL) variables in
professional Australian football (AF) players using group and individualized
modeling approaches. METHODS: TL data (GPS and RPE) for 41 professional AF
players were obtained over a period of 27 wk. A total of 2711 training
observations were analyzed with a total of 66 +/- 13 sessions/player (range 39
89). Separate generalized estimating equations (GEEs) and artificial-neural
network analyses (ANNs) were conducted to determine the ability to predict RPE
from TL variables (ie, session distance, high-speed running [HSR], HSR %, m/min)
on a group and individual basis. RESULTS: Prediction error for the individualized
ANN (root-mean-square error [RMSE] 1.24 +/- 0.41) was lower than the group ANN
(RMSE 1.42 +/- 0.44), individualized GEE (RMSE 1.58 +/- 0.41), and group GEE
(RMSE 1.85 +/- 0.49). Both the GEE and ANN models determined session distance as
the most important predictor of RPE. Furthermore, importance plots generated from
the ANN revealed session distance as most predictive of RPE in 36 of the 41
players, whereas HSR was predictive of RPE in just 3 players and m/min was
predictive of RPE in just 2 players. CONCLUSIONS: This study demonstrates that
machine learning approaches may outperform more traditional methodologies with
respect to predicting athlete responses to TL. These approaches enable further
individualization of load monitoring, leading to more accurate training
prescription and evaluation.
PMID- 27194669
TI - Junior doctors' contract agreement is reached.
PMID- 27194670
TI - Evaluation of 99m Tc-resveratrol as a colon cancer targeting probe.
AB - The study aimed to evaluate cancer-targeting potential of a newly synthesised
radiopharmaceutical, 99m Tc-resveratrol in vivo, using colon cancer model. Colon
cancer was induced in 20 male Sprague-Dawley rats by subcutaneous administration
of 1,2-dimethylhydrazine (DMH), dissolved in 1 mM EDTA-normal saline, at a dose
of 30 mg/kg body weight twice a week for first 4 weeks and once a week for next
12 weeks. A control group containing normal rats was used for result comparison.
Colon cancer in DMH-treated group was confirmed by gross analysis of the colon,
by histopathological analysis and molecular marker study in tumour tissue. At the
end of the treatment period, the animals from the two groups were used for bio
distribution evaluation of 99m Tc-resveratrol at different time intervals. High
uptake of 99m Tc-resveratrol was recorded in rat liver, spleen and kidneys, and
the ratio of colon tumour uptake to normal colon uptake in DMH-treated rats
increased significantly (P <= 0.01) with time, to reach a maximum value at 2 h
but decreased thereafter. High uptake at the tumour site as compared to normal
colon tissue was observed; however, the uptake by cancer cells at the target site
was limited by high reticulo-endothelial uptake and rapid metabolism.
PMID- 27194667
TI - Sociometric network structure and its association with methamphetamine use norms
among homeless youth.
AB - Homeless youths' social networks are consistently linked with their substance
use. Social networks influence behavior through several mechanisms, especially
social norms. This study used sociometric analyses to understand whether social
norms of drug use behaviors are clustered in network structures and whether these
perceived norms (descriptive and injunctive) influence youths' drug use
behaviors. An event-based approach was used to delineate boundaries of the two
sociometric networks of homeless youth, one in Los Angeles, CA (n = 160) and the
other in Santa Monica, CA (n = 130). Network characteristics included centrality
(i.e., popularity) and cohesiveness (location in dense subnetworks). The primary
outcome was recent methamphetamine use. Results revealed that both descriptive
and injunctive norms influenced methamphetamine use. Network cohesion was found
to be associated with perception of both descriptive and injunctive norms in both
networks, however in opposite directions. Network interventions therefore might
be effective if designed to capitalize on social influence that naturally occurs
in cohesive parts of networks.
PMID- 27194671
TI - Plasma micoRNA-122 as a predictive marker for treatment response following
transarterial chemoembolization in patients with hepatocellular carcinoma.
AB - BACKGROUND AND AIM: Circulating microRNA (miR)-122 has recently been investigated
as a potential biomarker of various hepatic diseases, such as chronic hepatitis
and hepatocellular carcinoma (HCC). We investigated the association between
plasma miR-122 levels and the treatment outcomes following transarterial
chemoembolization (TACE) in HCC patients. METHODS: We included 177 HCC patients
treated with TACE in the study; TACE refractoriness and liver transplantation
free survival were evaluated during follow up. Pretreatment plasma miR-122 levels
were assessed using quantitative real-time polymerase chain reaction. Relative
quantification of miR-122 expression (fold change) was determined using the 2(
DeltaDeltaCt) method. MiR-16 was used as an internal control for the
normalization of miRNA data. RESULTS: During the mean follow up of 22.4 (range, 1
79) months, 112 (69.5%) patients exhibited TACE refractoriness. Multivariate
analyses showed that tumor number (hazard ratio [HR], 2.51; 95% confidence
interval [CI], 1.43-4.41; P = 0.001) and tumor size (HR, 2.65; 95% CI, 1.62-4.32;
P = 0.000) can independently predict overall TACE refractoriness. High miR-122
expression (> 100) was associated with early TACE refractoriness (within 1 year;
HR, 2.77; 95% CI, 1.12-6.86; P = 0.028), together with tumor number (HR, 22.73;
95% CI, 2.74-188.66; P = 0.004) and tumor size (HR, 4.90; 95% CI, 1.99-12.06; P =
0.001). Univariate analyses showed that high miR-122 expression tends to be
associated with poor liver transplantation-free survival (HR, 1.42; 95% CI, 0.95
2.11; P = 0.085). However, it was statistically insignificant in multivariate
analysis. CONCLUSION: High expression levels of plasma miR-122 are associated
with early TACE refractoriness in HCC patients treated with TACE.
PMID- 27194672
TI - Appendicitis during pregnancy in a Greenlandic Inuit woman; antibiotic treatment
as a bridge-to-surgery in a remote area.
AB - Appendicitis during pregnancy causes severe diagnostic problems, and is
associated with an increase in perforation rate and morbidity compared to that in
the normal population. In addition, it may cause preterm birth and fetal loss. In
remote areas, appendicitis during pregnancy, besides presenting diagnostic
problems, also creates treatment difficulties. In Northern Greenland,
geographical distances are vast, and weather conditions can be extreme. We report
a case of a Greenlandic Inuit woman who presented with appendicitis during
pregnancy. The nearest hospital with surgical and anaesthetic care was located
nearly 1200 km away, and, due to extreme weather conditions, she could not be
transferred immediately. She was treated with intravenous antibiotic treatment,
and after weather conditions had improved, she was transferred by aeroplane and
underwent appendicectomy. She recovered without complications. Our case suggests
that appendicitis during pregnancy may be treated with antibiotics in remote
areas until surgical treatment is available.
PMID- 27194673
TI - Uncommon cause of acute encephalopathy in liver cirrhosis.
AB - A 49-year-old woman with a medical history of alcoholic cirrhosis status post
transjugular intrahepatic portosystemic shunt (post-TIPS) in 2012, and ongoing
alcohol abuse, presented to the hospital, with haematuria. CT intravenous
pyelogram (IVP) was normal except for 'a large intrahepatic cystic mass adjacent
to the TIPS, causing intrahepatic biliary duct dilation'. The patient also
presented with acute encephalopathy, jaundice, right upper quadrant abdominal
pain and hyperbilirubinaemia (total bilirubin of 8.1 mg/dL with direct bilirubin
of 3.0 mg/dL). She remained encephalopathic despite adequate treatment for
alcohol withdrawal, hepatic encephalopathy and enterococcus urinary tract
infection. MRI of the abdomen later confirmed presence of an obstructing biloma.
The biloma, drained by CT-guided percutaneous drains, demonstrated an Escherichia
coli and ESBL Klebsiella infection. The patient's encephalopathy completely
resolved after treatment of the infected biloma. With adequate drainage, her
hyperbilirubinaemia resolved to her post-TIPS baseline (total bilirubin of 3.7
mg/dL with direct bilirubin of 3.3 mg/dL).
PMID- 27194674
TI - Trichoblastic carcinosarcoma: an authentic cutaneous carcinosarcoma.
AB - We describe a trichoblastic carcinosarcoma on the forehead of a 65-year-old man.
This is the fifth case of trichoblastic carcinosarcoma in the literature and the
first to be reported from the USA. It was successfully treated with direct
excision. Trichoblastic carcinosarcoma should be distinguished from epidermal
carcinosarcoma. We believe trichoblastic carcinosarcoma is an authentic
carcinosarcoma derived from multiple progenitor cells whereas epidermal
carcinosarcomas are derived from a single progenitor cell that undergoes
epithelial to mesenchymal transition, and are better considered as sarcomatoid
carcinomas.
PMID- 27194675
TI - First reported association of chronic lymphocytic leukaemia and interstitial
granulomatous dermatitis.
AB - Interstitial granulomatous dermatitis (IGD), a rare disease, is well known to be
associated with connective tissue disorders, malignancies and several drugs. We
describe this first case of IGD in association with chronic lymphocytic
leukaemia/small lymphocytic lymphoma (CLL/SLL). A 66-year-old woman with a 6-year
history of untreated CLL/SLL, presented with a 2-month history of progressively
worsening eruption of the left thigh, along with fatigue, lymphadenopathy and
night sweats. Skin biopsy showed findings consistent with IGD and infiltration of
CLL. The eruption was non-responsive to treatment with antibiotics and local
steroids. There was a significant improvement in the rash after an initial cycle
of chemotherapy (combination therapy with bendamustine and rituximab) and
complete resolution by the third cycle, for the treatment of her CLL. We suggest
that the possibility of an underlying haematological malignancy should be
investigated in patients with a skin rash non-responsive to conventional therapy.
PMID- 27194676
TI - Paraneoplastic cerebellar degeneration as a marker of endometrial cancer
recurrence.
AB - An 84-year-old woman developed a cerebellar syndrome having undergone a total
abdominal hysterectomy and bilateral salpingo-oophorectomy for endometrial cancer
1 year previously. She was found to be anti-Yo antibody positive and was
diagnosed with paraneoplastic cerebellar degeneration (PCD). A subsequent
positron emission tomography scan and lymph node biopsy identified recurrence of
her endometrial cancer. This case illustrates how PCD can be an indicator of
cancer recurrence, underlines the significance of PCD as a prompt to search for
underlying malignancy, and highlights the difficulties PCD poses to the clinician
in terms of diagnosis and management.
PMID- 27194677
TI - Multimodality imaging of a paediatric pancreatic fracture.
PMID- 27194678
TI - Benign anlage tumour: a very unusual neck mass.
AB - A 44-year-old woman presented with a slow-growing asymptomatic neck swelling at
the left medial clavicle. Haematological and biochemical work up was normal and
an ultrasound confirmed the swelling, but needle aspiration was non-diagnostic.
As lymphoma was the main differential diagnosis, the swelling was completely
excised. Immunohistochemistry yielded a rare lesion, suspected to represent a
myoepithelial/mixed cellularity tumour of soft tissue. The extreme rarity of
these tumours required a confirmatory secondary opinion, which ultimately led to
it being identified as a benign anlage tumour (previously known as an ectopic
hamartomatous thymoma) This case highlights the fact that thorough assessment of
patients with neck swellings should be undertaken to rule out sinister causes
keeping in mind more rare differentials-helping to guide final management.
PMID- 27194679
TI - Long-term therapy-free remission in a patient with platelet-derived growth factor
receptor beta-rearranged myeloproliferative neoplasm.
PMID- 27194680
TI - Isolated hydatid cyst of the breast that developed after breast feeding.
AB - A hydatid cyst of the breast is extremely rare, even in endemic areas. There are
few reports of breast hydatid cysts. We report a case of an isolated hydatid cyst
of the breast that was identified as a painless breast lump that had increased in
size just after completion of breast feeding and was present with a painful
breast mass after 25 years. This may indicate the possibility of retrograde
passage of an Echinococcus granulosus egg through lactating ducts during breast
feeding, liberation of an embryo that penetrates ductal mucus and enters the
breast tissue and then develops into a hydatid cyst. When a patient comes from an
area with little healthcare and where hydatid cysts are epidemic, and if this
disease was indicated by radiologic or serologic examination, total mass excision
without spillage is the best diagnostic and treatment.
PMID- 27194681
TI - Intracerebral fibroma: a case report and review of the literature.
AB - Intracerebral fibromas are among the most rare neoplasms found in the central
nervous system. Ten previously reported cases have been documented in the
literature including only two reported cases since 1985. As a result, little is
known about these uncommon intracerebral fibrous tumors. We report a case of an
intracerebral fibroma without dural or leptomeningeal attachment, discuss the
pertinent diagnostic findings and briefly review all prior reports of this
entity.
PMID- 27194682
TI - Mature brain tissue in the sacrococcygeal region.
AB - Complete mature brain tissue in sacrococcygeal region is a rare congenital
anomaly in a newborn, which usually is misdiagnosed for sacrococcygeal teratoma.
Glial tumor-like ependymoma is also common in sacrococcygeal area but mostly
appears later in life. We present a case of complete heterotopic brain tissue in
the sacrococcygeal region. The patient underwent total excision of mass with
coccygectomy. To our knowledge it is the second case being reported.
PMID- 27194684
TI - Analysis of Humoral Immune Responses to Surface and Virulence-Associated
Chlamydia abortus Proteins in Ovine and Human Abortions by Use of a Newly
Developed Line Immunoassay.
AB - The obligate intracellular bacterium Chlamydia abortus is the causative agent of
enzootic abortion of ewes and poses a significant zoonotic risk for pregnant
women. Using proteomic analysis and gene expression library screening in a
previous project, we identified potential virulence factors and candidates for
serodiagnosis, of which nine were scrutinized here with a strip immunoassay. We
have shown that aborting sheep exhibited a strong antibody response to surface
(MOMP, MIP, Pmp13G) and virulence-associated (CPAF, TARP, SINC) antigens. While
the latter disappeared within 18 weeks following abortion in a majority of the
animals, antibodies to surface proteins persisted beyond the duration of the
study. In contrast, nonaborting experimentally infected sheep developed mainly
antibodies to surface antigens (MOMP, MIP, Pmp13G), all of which did not persist.
We were also able to detect antibodies to these surface antigens in C abortus
infected women who had undergone septic abortion, whereas a group of shepherds
and veterinarians with occupational exposure to C abortus-infected sheep revealed
only sporadic immune responses to the antigens selected. The most specific
antigen for the serodiagnosis of human C abortus infections was Pmp13G, which
showed no cross-reactivity with other chlamydiae infecting humans. We suggest
that Pmp13G-based serodiagnosis accomplished by the detection of antibodies to
virulence-associated antigens such as CPAF, TARP, and SINC may improve the
laboratory diagnosis of human and animal C abortus infections.
PMID- 27194683
TI - Standard Genotyping Overestimates Transmission of Mycobacterium tuberculosis
among Immigrants in a Low-Incidence Country.
AB - Immigrants from regions with a high incidence of tuberculosis (TB) are a risk
group for TB in low-incidence countries such as Switzerland. In a previous
analysis of a nationwide collection of 520 Mycobacterium tuberculosis isolates
from 2000 to 2008, we identified 35 clusters comprising 90 patients based on
standard genotyping (24-locus mycobacterial interspersed repetitive-unit-variable
number tandem-repeat [MIRU-VNTR] typing and spoligotyping). Here, we used whole
genome sequencing (WGS) to revisit these transmission clusters. Genome-based
transmission clusters were defined as isolate pairs separated by <=12 single
nucleotide polymorphisms (SNPs). WGS confirmed 17/35 (49%) MIRU-VNTR typing
clusters; the other 18 clusters contained pairs separated by >12 SNPs. Most
transmission clusters (3/4) of Swiss-born patients were confirmed by WGS, as
opposed to 25% (4/16) of the clusters involving only foreign-born patients. The
overall clustering proportion was 17% (90 patients; 95% confidence interval [CI],
14 to 21%) by standard genotyping but only 8% (43 patients; 95% CI, 6 to 11%) by
WGS. The clustering proportion was 17% (67/401; 95% CI, 13 to 21%) by standard
genotyping and 7% (26/401; 95% CI, 4 to 9%) by WGS among foreign-born patients
and 19% (23/119; 95% CI, 13 to 28%) and 14% (17/119; 95% CI, 9 to 22%),
respectively, among Swiss-born patients. Using weighted logistic regression, we
found weak evidence of an association between birth origin and transmission
(adjusted odds ratio of 2.2 and 95% CI of 0.9 to 5.5 comparing Swiss-born
patients to others). In conclusion, standard genotyping overestimated recent TB
transmission in Switzerland compared to WGS, particularly among immigrants from
regions with a high TB incidence, where genetically closely related strains often
predominate. We recommend the use of WGS to identify transmission clusters in
settings with a low incidence of TB.
PMID- 27194685
TI - Comparison of Virulence Gene Identification, Ribosomal Spacer PCR, and Pulsed
Field Gel Electrophoresis for Typing of Staphylococcus aureus Strains Isolated
from Cases of Subclinical Bovine Mastitis in the United States.
AB - Staphylococcus aureus is one of the most important pathogens causing contagious
mastitis in dairy cattle worldwide. The objectives of this study were to
determine if recently described S. aureus genotype B was present among previously
characterized isolates from cases of bovine intramammary infection in the United
States and to compare pulsed-field gel electrophoresis (PFGE) to the combination
of ribosomal spacer PCR (RS-PCR) and virulence gene identification for typing of
S. aureus strains. The hypothesis was that isolates that were previously
characterized as contagious would be identified as genotype B and that the
results of the two strain-typing methods would be comparable. Isolates were
selected from a collection of S. aureus isolates from eight dairy farms. Mammary
quarter milk somatic cell count (SCC) and N-acetyl-beta-d-gluconaminidase
(NAGase) activity data were known and used to evaluate strain pathogenicity. RS
PCR was performed with conventional gel electrophoresis, and PCR was used for
toxin gene identification. RS-PCR patterns were associated with a specific
virulence gene pattern, as previously reported. Five RS-PCR banding patterns were
identified. None of the isolates were characterized as genotype B. No association
between RS-PCR types and milk SCC was found; however, NAGase activity was
significantly higher in milk from mammary glands infected with RS-PCR banding
type 1 (RSP type 1) than in milk from those infected with RSP type 2. The
discriminatory power values were 1.0 and 0.46 for PFGE and RS-PCR, respectively.
These data suggest that genotype B may have a limited geographic distribution and
that PFGE is more discriminatory than RS-PCR performed with conventional gel
electrophoresis for typing of S. aureus isolates of bovine origin.
PMID- 27194687
TI - A New Genomics-Driven Taxonomy of Bacteria and Archaea: Are We There Yet?
AB - Taxonomy is often criticized for being too conservative and too slow and having
limited relevance because it has not taken into consideration the latest methods
and findings. Yet the cumulative work product of its practitioners underpins
contemporary microbiology and serves as a principal means of shaping and
referencing knowledge. Using methods drawn from the field of exploratory data
analysis, this minireview examines the current state of the field as it
transitions from a taxonomy based on 16S rRNA gene sequences to one based on
whole-genome sequences and tests the validity of some commonly held beliefs.
PMID- 27194686
TI - Improved Sensitivity of a Dual-Target HIV-1 Qualitative Test for Plasma and Dried
Blood Spots.
AB - The use of nucleic acid detection for HIV type 1 (HIV-1) detection is strongly
recommended in infants <18 months of age, in whom serology is unreliable. This
study evaluated the Cobas AmpliPrep/Cobas TaqMan HIV-1 Qualitative Test v2.0
(TaqMan HIV-1 Qual Test, v2.0), a dual-target total nucleic acid real-time PCR
assay. The limit of detection (LOD) of the new test in plasma and dried blood
spots (DBS) was determined with the 2nd International HIV-1 RNA WHO standard. The
specificity of the assay was tested with EDTA plasma (n = 1,301) and DBS from HIV
negative adults (n = 1,000). The sensitivity was determined using HIV-1-positive
samples (n = 169 adult EDTA plasma, n = 172 adult DBS, and n = 100 infant DBS)
that included group M, subtypes A to H, CRF01_AE, CRF02_AG, and groups O and N.
All positive specimens and a subset of the negative specimens were also tested
with the Abbott RealTime HIV-1 Qual assay (RealTime). The LOD of the TaqMan assay
was 20 copies/ml in plasma and 300 copies/ml in DBS, with specificities of 99.8%
in plasma and 99.9% in DBS. The TaqMan assay results were 100% concordant with
RealTime results in EDTA plasma samples and in 100 HIV-1-negative adult DBS.
Among 172 HIV-1-positive DBS from adults, the TaqMan assay showed positive
results for all DBS while RealTime missed five DBS with low target
concentrations. Infant DBS results were 100% concordant. The improved sensitivity
of the Cobas AmpliPrep/Cobas TaqMan HIV-1 Qualitative Test, v2.0, compared to
current commercially available assays may enable earlier diagnosis and treatment
in adults and infants. The dual-target test may ensure HIV-1 detection even if a
mutation is present in one of the two target regions. The DBS sample matrix
facilitates virological testing in remote areas.
PMID- 27194688
TI - Evaluation of Molecular Methods for Identification of Salmonella Serovars.
AB - Classification by serotyping is the essential first step in the characterization
of Salmonella isolates and is important for surveillance, source tracking, and
outbreak detection. To improve detection and reduce the burden of salmonellosis,
several rapid and high-throughput molecular Salmonella serotyping methods have
been developed.The aim of this study was to compare three commercial kits, Salm
SeroGen (Salm Sero-Genotyping AS-1 kit), Check&Trace (Check-Points), and xMAP
(xMAP Salmonella serotyping assay), to the Salmonella genoserotyping array (SGSA)
developed by our laboratory. They were assessed using a panel of 321 isolates
that represent commonly reported serovars from human and nonhuman sources
globally. The four methods correctly identified 73.8% to 94.7% of the isolates
tested. The methods correctly identified 85% and 98% of the clinically important
Salmonella serovars Enteritidis and Typhimurium, respectively. The methods
correctly identified 75% to 100% of the nontyphoidal, broad host range Salmonella
serovars, including Heidelberg, Hadar, Infantis, Kentucky, Montevideo, Newport,
and Virchow. The sensitivity and specificity of Salmonella serovars Typhimurium
and Enteritidis ranged from 85% to 100% and 99% to 100%, respectively.It is
anticipated that whole-genome sequencing will replace serotyping in public health
laboratories in the future. However, at present, it is approximately three times
more expensive than molecular methods. Until consistent standards and
methodologies are deployed for whole-genome sequencing, data analysis and
interlaboratory comparability remain a challenge. The use of molecular serotyping
will provide a valuable high-throughput alternative to traditional serotyping.
This comprehensive analysis provides a detailed comparison of commercial kits
available for the molecular serotyping of Salmonella.
PMID- 27194689
TI - Follow-Up of External Quality Controls for PCR-Based Diagnosis of Whooping Cough
in a Hospital Laboratory Network (Renacoq) and in Other Hospital and Private
Laboratories in France.
AB - The French National Reference Centre (NRC) for Whooping Cough carried out an
external quality control (QC) analysis in 2010 for the PCR diagnosis of whooping
cough. The main objective of the study was to assess the impact of this QC in the
participating laboratories through a repeat analysis in 2012.
PMID- 27194690
TI - Multicenter Evaluation of Meridian Bioscience HSV 1&2 Molecular Assay for
Detection of Herpes Simplex Virus 1 and 2 from Clinical Cutaneous and
Mucocutaneous Specimens.
AB - Herpes simplex virus (HSV) causes acute and relapsing symptoms characterized by
ulcerative lesions. Laboratory diagnosis of HSV in cutaneous or mucocutaneous
lesions has historically been performed with the use of viral cell culture
systems; however, these tests are laborious and suffer decreased sensitivity for
advanced-stage lesions. The recent availability of FDA-cleared moderately complex
assays has resulted in the increased use of molecular diagnostics for the routine
detection of HSV in superficial swab specimens. We performed a clinical
evaluation of the recently FDA-cleared illumigene HSV 1&2 loop-mediated
isothermal amplification (LAMP) assay (Meridian Bioscience, Cincinnati OH) for
the detection and differentiation of HSV-1 and HSV-2 in cutaneous and
mucocutaneous swab specimens. A total of 1,153 clinical swab specimens were
collected and tested at 7 different clinical centers. Each specimen was tested
for the presence of HSV-1 and HSV-2 using the illumigene assay, and results were
compared to those of the enzyme-linked virus-inducible system (ELVIS) as the
reference method. Overall, the illumigene assay demonstrated a sensitivity and
specificity of 94.8% and 95.5%, respectively, for the detection of HSV-1.
Detection of HSV-2 was similar, with a sensitivity of 98.9% and a specificity of
95.5%. Discrepant analysis was performed using an alternative molecular test
(AmpliVue HSV1+2 assay; Quidel Molecular, San Diego, CA) on 91/99 specimens that
were recorded as false positive (FP) or false negative (FN) compared to the
reference method. In total, 57/78 (73%) FP and 9/13 (69%) FN illumigene results
were supported by the AmpliVue result. The illumigene HSV 1&2 assay demonstrated
high sensitivity and specificity to detect and differentiate HSV in clinical
specimens and identified 57 additional specimens that were positive for HSV
compared to culture. The use of LAMP eliminates the need for the cycling of
temperatures and provides results in less than 60 min, with approximately 2 min
of hands-on time per specimen.
PMID- 27194691
TI - Feasibility and Operational Performance of Tuberculosis Detection by Loop
Mediated Isothermal Amplification Platform in Decentralized Settings: Results
from a Multicenter Study.
AB - Currently available nucleic acid amplification platforms for tuberculosis (TB)
detection are not designed to be simple or inexpensive enough to implement in
decentralized settings in countries with a high burden of disease. The loop
mediated isothermal amplification platform (LAMP) may change this. We conducted a
study in adults with symptoms suggestive of TB in India, Uganda, and Peru to
establish the feasibility of using TB-LAMP (Eiken Chemical Co.) in microscopy
laboratories compared with using smear microscopy against a reference standard of
solid and liquid cultures. Operational characteristics were evaluated as well. A
total of 1,777 participants met the eligibility criteria and were included for
analysis. Overall, TB-LAMP sensitivities among culture-positive samples were
97.2% (243/250; 95% confidence interval [CI], 94.3% to 98.2%) and 62.0% (88/142;
95% CI, 53.5% to 70.0%) for smear-positive and smear-negative TB, respectively,
but varied widely by country and operator. Specificities ranged from 94.5%
(446/472; 95% CI, 92.0% to 96.4%) to 98.0% (350/357; 95% CI, 96.0% to 99.2%) by
country. A root cause analysis identified high temperatures, high humidity,
and/or low reaction volumes as possible causes for false-positive results, as
they may result in nonspecific amplification. The study was repeated in India
with training focused on vulnerable steps and an updated protocol; 580
participants were included for analysis. Specificity in the repeat trial was
96.6% (515/533; 95% CI, 94.7% to 97.9%). To achieve acceptable performance of
LAMP at the microscopy center level, significant training and infrastructure
requirements are necessary.
PMID- 27194692
TI - Identification of Goose-Origin Parvovirus as a Cause of Newly Emerging Beak
Atrophy and Dwarfism Syndrome in Ducklings.
AB - A recent epizootic outbreak, in China, of duck beak atrophy and dwarfism syndrome
(BADS) was investigated using electron microscopic, genetic, and virological
studies, which identified a parvovirus with a greater similarity to goose
parvovirus (GPV) (97% protein homology) than to Muscovy duck parvovirus (MDPV)
(90% protein homology). The new virus, provisionally designated GPV-QH15, was
found to be antigenically more closely related to GPV than to MDPV in a virus
neutralization assay. These findings were further supported by phylogenetic
analysis showing that GPV-QH15 evolved from goose lineage parvoviruses, rather
than from Muscovy duck- or other duck species-related parvoviruses. In all, two
genetic lineages (GPV I and GPV II) were identified from the GPV samples
analyzed, and GPV-QH15 was found to be closely clustered with two known goose
origin parvoviruses (GPVa2006 and GPV1995), together forming a distinctive GPV
IIa sublineage. Finally, structural modeling revealed that GPV-QH15 and the
closely related viruses GPVa2006 and GPV1995 possessed identical clusters of
receptor-interacting amino acid residues in the VP2 protein, a major determinant
of viral receptor binding and host specificity. Significantly, these three
viruses differed from MDPVs and other GPVs at these positions. Taken together,
these results suggest that GPV-QH15 represents a new variant of goose-origin
parvovirus that currently circulates in ducklings and causes BADS, a syndrome
reported previously in Europe. This new finding highlights the need for future
surveillance of GPV-QH15 in poultry in order to gain a better understanding of
both the evolution and the biology of this emerging parvovirus.
PMID- 27194693
TI - Treponema pallidum Strain Types and Association with Macrolide Resistance in
Sydney, Australia: New TP0548 Gene Types Identified.
AB - Strain typing of Treponema pallidum, using the three-target enhanced
classification scheme, was performed with 191 samples obtained between 2004 and
2011 in Sydney, Australia. The most common strain type was 14d/g (92/191 samples
[48%]). Two new TP0548 gene types were detected (m and n). Strain type was
associated with macrolide resistance and possible acquisition outside Australia.
PMID- 27194694
TI - Collateral damage or a shadow of safety? The effects of signalling heterospecific
neighbours on the risks of parasitism and predation.
AB - Although males often display from mixed-species aggregations, the influence of
nearby heterospecifics on risks associated with sexual signalling has not been
previously examined. We tested whether predation and parasitism risks depend on
proximity to heterospecific signallers. Using field playback experiments with
calls of two species that often display from the same ponds, tungara frogs and
hourglass treefrogs, we tested two hypotheses: (1) calling near heterospecific
signallers attractive to eavesdroppers results in increased attention from
predatory bats and parasitic midges (collateral damage hypothesis) or (2) calling
near heterospecific signallers reduces an individual's predation and parasitism
risks, as eavesdroppers are drawn to the heterospecifics (shadow of safety
hypothesis). Bat visitation was not affected by calling neighbours. The number of
frog-biting midges attracted to hourglass treefrog calls, however, rose threefold
when played near tungara calls, supporting the collateral damage hypothesis. We
thus show that proximity to heterospecific signallers can drastically alter both
the absolute risks of signalling and the relative strengths of pressures from
predation and parasitism. Through these mechanisms, interactions between
heterospecific guild members are likely to influence the evolution of signalling
strategies and the distribution of species at both local and larger scales.
PMID- 27194695
TI - Cell proliferation and migration during early development of a symbiotic
scleractinian coral.
AB - In scleractinian reef-building corals, patterns of cell self-renewal, migration
and death remain virtually unknown, limiting our understanding of cellular
mechanisms underlying initiation of calcification, and ontogenesis of the
endosymbiotic dinoflagellate relationship. In this study, we pulse-labelled the
coral Stylophora pistillata for 24 h with BrdU at four life stages (planula,
early metamorphosis, primary polyp and adult colony) to investigate coral and
endosymbiont cell proliferation during development, while simultaneously
recording TUNEL-positive (i.e. apoptotic) nuclei. In the primary polyp, the fate
of BrdU-labelled cells was tracked during a 3-day chase. The pharynx and
gastrodermis were identified as the most proliferative tissues in the developing
polyp, and BrdU-labelled cells accumulated in the surface pseudostratified
epithelium and the skeletogenic calicodermis during the chase, revealing cell
migration to these epithelia. Surprisingly, the lowest cell turnover was recorded
in the calicodermis at all stages, despite active, ongoing skeletal deposition.
In dinoflagellate symbionts, DNA synthesis was systematically higher than coral
host gastrodermis, especially in planula and early metamorphosis. The symbiont to
host cell ratio remained constant, however, indicating successive post-mitotic
control mechanisms by the host of its dinoflagellate density in early life
stages, increasingly shifting to apoptosis in the growing primary polyp.
PMID- 27194696
TI - Tiny vampires in ancient seas: evidence for predation via perforation in fossils
from the 780-740 million-year-old Chuar Group, Grand Canyon, USA.
AB - One explanation for the Early Neoproterozoic expansion of eukaryotes is the
appearance of eukaryovorous predators-i.e. protists that preyed on other
protists. Evidence for eukaryovory at this time, however, is indirect, based on
inferences from character state reconstructions and molecular clocks, and on the
presence of possible defensive structures in some protistan fossils. Here I
describe 0.1-3.4 um circular holes in seven species of organic-walled
microfossils from the 780-740 million-year-old Chuar Group, Grand Canyon,
Arizona, USA, that are similar to those formed today by predatory protists that
perforate the walls of their prey to consume the contents inside. Although best
known in the vampyrellid amoebae, this 'vampire-like' behaviour is widespread
among eukaryotes, making it difficult to infer confidently the identity of the
predator. Nonetheless, the identity of the prey is clear: some-and perhaps all-of
the fossils are eukaryotes. These holes thus provide the oldest direct evidence
for predation on eukaryotes. Larger circular and half-moon-shaped holes in vase
shaped microfossils from the upper part of the unit may also be the work of 'tiny
vampires', suggesting a diversity of eukaryovorous predators lived in the ancient
Chuar sea.
PMID- 27194698
TI - Acclimatization to high-variance habitats does not enhance physiological
tolerance of two key Caribbean corals to future temperature and pH.
AB - Corals are acclimatized to populate dynamic habitats that neighbour coral reefs.
Habitats such as seagrass beds exhibit broad diel changes in temperature and pH
that routinely expose corals to conditions predicted for reefs over the next 50
100 years. However, whether such acclimatization effectively enhances
physiological tolerance to, and hence provides refuge against, future climate
scenarios remains unknown. Also, whether corals living in low-variance habitats
can tolerate present-day high-variance conditions remains untested. We
experimentally examined how pH and temperature predicted for the year 2100
affects the growth and physiology of two dominant Caribbean corals (Acropora
palmata and Porites astreoides) native to habitats with intrinsically low (outer
reef terrace, LV) and/or high (neighbouring seagrass, HV) environmental variance.
Under present-day temperature and pH, growth and metabolic rates (calcification,
respiration and photosynthesis) were unchanged for HV versus LV populations.
Superimposing future climate scenarios onto the HV and LV conditions did not
result in any enhanced tolerance to colonies native to HV. Calcification rates
were always lower for elevated temperature and/or reduced pH. Together, these
results suggest that seagrass habitats may not serve as refugia against climate
change if the magnitude of future temperature and pH changes is equivalent to
neighbouring reef habitats.
PMID- 27194697
TI - Evolution of long-term coloration trends with biochemically unstable ingredients.
AB - The evolutionarily persistent and widespread use of carotenoid pigments in animal
coloration contrasts with their biochemical instability. Consequently, evolution
of carotenoid-based displays should include mechanisms to accommodate or limit
pigment degradation. In birds, this could involve two strategies: (i) evolution
of a moult immediately prior to the mating season, enabling the use of
particularly fast-degrading carotenoids and (ii) evolution of the ability to
stabilize dietary carotenoids through metabolic modification or association with
feather keratins. Here, we examine evolutionary lability and transitions between
the two strategies across 126 species of birds. We report that species that
express mostly unmodified, fast-degrading, carotenoids have pre-breeding moults,
and a particularly short time between carotenoid deposition and the subsequent
breeding season. Species that expressed mostly slow-degrading carotenoids in
their plumage accomplished this through increased metabolic modification of
dietary carotenoids, and the selective expression of these slow-degrading
compounds. In these species, the timing of moult was not associated with
carotenoid composition of plumage displays. Using repeated samples from
individuals of one species, we found that metabolic modification of dietary
carotenoids significantly slowed their degradation between moult and breeding
season. Thus, the most complex and colourful ornamentation is likely the most
biochemically stable in birds, and depends less on ecological factors, such as
moult timing and migration tendency. We suggest that coevolution of metabolic
modification, selective expression and biochemical stability of plumage
carotenoids enables the use of unstable pigments in long-term evolutionary trends
in plumage coloration.
PMID- 27194699
TI - The emergence of latent infection in the early evolution of Mycobacterium
tuberculosis.
AB - Mycobacterium tuberculosis has an unusual natural history in that the vast
majority of its human hosts enter a latent state that is both non-infectious and
devoid of any symptoms of disease. From the pathogen perspective, it seems
counterproductive to relinquish reproductive opportunities to achieve a detente
with the host immune response. However, a small fraction of latent infections
reactivate to the disease state. Thus, latency has been argued to provide a safe
harbour for future infections which optimizes the persistence of M. tuberculosis
in human populations. Yet, if a pathogen begins interactions with humans as an
active disease without latency, how could it begin to evolve latency properties
without incurring an immediate reproductive disadvantage? We address this
question with a mathematical model. Results suggest that the emergence of
tuberculosis latency may have been enabled by a mechanism akin to cryptic genetic
variation in that detrimental latency properties were hidden from natural
selection until their expression became evolutionarily favoured.
PMID- 27194700
TI - Major evolutionary transitions of life, metabolic scaling and the number and size
of mitochondria and chloroplasts.
AB - We investigate the effects of trophic lifestyle and two types of major
evolutionary transitions in individuality-the endosymbiotic acquisition of
organelles and development of multicellularity-on organellar and cellular
metabolism and allometry. We develop a quantitative framework linking the size
and metabolic scaling of eukaryotic cells to the abundance, size and metabolic
scaling of mitochondria and chloroplasts and analyse a newly compiled,
unprecedented database representing unicellular and multicellular cells covering
diverse phyla and tissues. Irrespective of cellularity, numbers and total volumes
of mitochondria scale linearly with cell volume, whereas chloroplasts scale
sublinearly and sizes of both organelles remain largely invariant with cell size.
Our framework allows us to estimate the metabolic scaling exponents of organelles
and cells. Photoautotrophic cells and organelles exhibit photosynthetic scaling
exponents always less than one, whereas chemoheterotrophic cells and organelles
have steeper respiratory scaling exponents close to one. Multicellularity has no
discernible effect on the metabolic scaling of organelles and cells. In contrast,
trophic lifestyle has a profound and uniform effect, and our results suggest that
endosymbiosis fundamentally altered the metabolic scaling of free-living
bacterial ancestors of mitochondria and chloroplasts, from steep ancestral
scaling to a shallower scaling in their endosymbiotic descendants.
PMID- 27194701
TI - The peripheral olfactory code in Drosophila larvae contains temporal information
and is robust over multiple timescales.
AB - We studied the electrophysiological activity of two classes of Drosophila
melanogaster larval olfactory sensory neurons (OSNs), Or24a and Or74a, in
response to 1 s stimulation with butanol, octanol, 2-heptanone, and propyl
acetate. Each odour/OSN combination produced unique responses in terms of spike
count and temporal profile. We used a classifier algorithm to explore the
information content of OSN activity, and showed that as well as spike count, the
activity of these OSNs included temporal information that enabled the classifier
to accurately identify odours. The responses of OSNs during continuous odour
exposure (5 and 20 min) showed that both types of neuron continued to respond,
with no complete adaptation, and with no change to their ability to encode
temporal information. Finally, we exposed larvae to octanol for 3 days and found
only minor quantitative changes in OSN response to odours, indicating that the
larval peripheral code is robust when faced with long-term exposure to odours,
such as would be found in a natural context.
PMID- 27194702
TI - Eighty-five million years of Pacific Ocean gyre ecosystem structure: long-term
stability marked by punctuated change.
AB - While the history of taxonomic diversification in open ocean lineages of ray
finned fish and elasmobranchs is increasingly known, the evolution of their roles
within the open ocean ecosystem remains poorly understood. To assess the relative
importance of these groups through time, we measured the accumulation rate of
microfossil fish teeth and elasmobranch dermal denticles (ichthyoliths) in deep
sea sediment cores from the North and South Pacific gyres over the past 85
million years (Myr). We find three distinct and stable open ocean ecosystem
structures, each defined by the relative and absolute abundance of elasmobranch
and ray-finned fish remains. The Cretaceous Ocean (pre-66 Ma) was characterized
by abundant elasmobranch denticles, but low abundances of fish teeth. The
Palaeogene Ocean (66-20 Ma), initiated by the Cretaceous/Palaeogene mass
extinction, had nearly four times the abundance of fish teeth compared with
elasmobranch denticles. This Palaeogene Ocean structure remained stable during
the Eocene greenhouse (50 Ma) and the Eocene-Oligocene glaciation (34 Ma),
despite large changes in the overall accumulation of both groups during those
intervals, suggesting that climate change is not a primary driver of ecosystem
structure. Dermal denticles virtually disappeared from open ocean ichthyolith
assemblages approximately 20 Ma, while fish tooth accumulation increased
dramatically in variability, marking the beginning of the Modern Ocean. Together,
these results suggest that open ocean fish community structure is stable on long
timescales, independent of total production and climate change. The timing of the
abrupt transitions between these states suggests that the transitions may be due
to interactions with other, non-preserved pelagic consumer groups.
PMID- 27194703
TI - Reciprocal relationships between behaviour and parasites suggest that negative
feedback may drive flexibility in male reproductive behaviour.
AB - Parasites are ubiquitous components of the environment that contribute to
behavioural and life-history variation among hosts. Although it is well known
that host behaviour can affect parasite infection risk and that parasites can
alter host behaviour, the potential for dynamic feedback between these processes
is poorly characterized. Using Grant's gazelle (Nanger granti) as a model, we
tested for reciprocal effects of behaviour on parasites and parasites on
behaviour to understand whether behaviour-parasite feedback could play a role in
maintaining variation in male reproductive behaviour. Adult male gazelles either
defend territories to attract mates or reside in bachelor groups. Territoriality
is highly variable both within- and between-individuals, suggesting that
territory maintenance is costly. Using a combination of longitudinal and
experimental studies, we found that individual males transition frequently
between territorial and bachelor reproductive status, and that elevated parasite
burdens are a cost of territoriality. Moreover, among territorial males,
parasites suppress aspects of behaviour related to territory maintenance and
defence. These results suggest that territorial behaviour promotes the
accumulation of parasites in males, and these parasites dampen the very
behaviours required for territory maintenance. Our findings suggest that
reciprocal feedback between host behaviour and parasitism could be a mechanism
maintaining variation in male reproductive behaviour in the system.
PMID- 27194704
TI - Individual fluctuations in toxin levels affect breeding site fidelity in a
chemically defended amphibian.
AB - Behaviours that influence habitat selection strongly determine species movement
patterns. One component of animal behaviour that largely influences movement
patterns and habitat choice is site fidelity. California newts (family
Salamandridae) demonstrate remarkable site fidelity, typically homing to the same
pool of a stream each breeding season. Individuals often occupy a specific pool
throughout the breeding season, but some males shift among breeding pools,
altering their set of potential mates, competitors, and predators. In this study,
we measured dermal concentrations of the chemical defence compound tetrodotoxin
(TTX) in recaptured male California newts (Taricha torosa) over five breeding
seasons to evaluate whether relative TTX concentrations are associated with
breeding site fidelity in the field. Our five years of field sampling indicates
that TTX concentrations of individuals and group means fluctuate tremendously,
implying that TTX is not a stable phenotypic trait. Despite such fluctuations, we
found that an individual's relative TTX concentration explains fidelity to a
breeding pool and suggests that newts may be able to assess both their own
concentrations of TTX and that of conspecifics to make decisions about remaining
in or abandoning a breeding pool. These results provide us a novel dimension to
chemical defence phenotypes in nature and their ecological consequences,
potentially requiring a re-evaluation of the coevolutionary dynamics of predation
pressure on toxin-laden organisms.
PMID- 27194705
TI - Host-parasitoid evolution in a metacommunity.
AB - Patch size and isolation are predicted to alter both species diversity and
evolution; yet, there are few empirical examples of eco-evolutionary feedback in
metacommunities. We tested three hypotheses about eco-evolutionary feedback in a
gall-forming fly, Eurosta solidaginis and two of its natural enemies that select
for opposite traits: (i) specialization and poor dispersal ability constrain a
subset of natural enemies from occupying small and isolated patches, (ii) this
constraint alters selection on the gall fly, causing phenotypic shifts towards
traits resistant to generalist and dispersive enemies in small and isolated
patches, and (iii) reduced dispersal evolves in small, isolated populations. We
sampled patches in a natural metacommunity and found support for all hypotheses;
Eurosta's specialist wasp parasitoid attacked fewer galls in small and isolated
patches, generating a selection gradient that favoured small galls resistant to
predation by a dispersive and generalist bird predator. Phenotype distributions
matched this selection gradient, and these phenotypic differences were maintained
in a common garden experiment. Finally, we found lower dispersal abilities in
small and isolated patches, a phenotypic shift that aids in the maintenance of
local adaptation. We suggest that the trophic rank and the species traits of
consumers are central to evolution in metacommunities.
PMID- 27194707
TI - MS5 Mediates Early Meiotic Progression and Its Natural Variants May Have
Applications for Hybrid Production in Brassica napus.
AB - During meiotic prophase I, chromatin undergoes dynamic changes to establish a
structural basis for essential meiotic events. However, the mechanism that
coordinates chromosome structure and meiotic progression remains poorly
understood in plants. Here, we characterized a spontaneous sterile mutant
MS5(b)MS5(b) in oilseed rape (Brassica napus) and found its meiotic chromosomes
were arrested at leptotene. MS5 is preferentially expressed in reproductive
organs and encodes a Brassica-specific protein carrying conserved coiled-coil and
DUF626 domains with unknown function. MS5 is essential for pairing of homologs in
meiosis, but not necessary for the initiation of DNA double-strand breaks. The
distribution of the axis element-associated protein ASY1 occurs independently of
MS5, but localization of the meiotic cohesion subunit SYN1 requires functional
MS5. Furthermore, both the central element of the synaptonemal complex and the
recombination element do not properly form in MS5(b)MS5(b) mutants. Our results
demonstrate that MS5 participates in progression of meiosis during early prophase
I and its allelic variants lead to differences in fertility, which may provide a
promising strategy for pollination control for heterosis breeding.
PMID- 27194706
TI - Genetic Analysis of Physcomitrella patens Identifies ABSCISIC ACID NON
RESPONSIVE, a Regulator of ABA Responses Unique to Basal Land Plants and Required
for Desiccation Tolerance.
AB - The anatomically simple plants that first colonized land must have acquired
molecular and biochemical adaptations to drought stress. Abscisic acid (ABA)
coordinates responses leading to desiccation tolerance in all land plants. We
identified ABA nonresponsive mutants in the model bryophyte Physcomitrella patens
and genotyped a segregating population to map and identify the ABA NON-RESPONSIVE
(ANR) gene encoding a modular protein kinase comprising an N-terminal PAS domain,
a central EDR domain, and a C-terminal MAPKKK-like domain. anr mutants fail to
accumulate dehydration tolerance-associated gene products in response to drought,
ABA, or osmotic stress and do not acquire ABA-dependent desiccation tolerance.
The crystal structure of the PAS domain, determined to 1.7-A resolution, shows a
conserved PAS-fold that dimerizes through a weak dimerization interface. Targeted
mutagenesis of a conserved tryptophan residue within the PAS domain generates
plants with ABA nonresponsive growth and strongly attenuated ABA-responsive gene
expression, whereas deleting this domain retains a fully ABA-responsive
phenotype. ANR orthologs are found in early-diverging land plant lineages and
aquatic algae but are absent from more recently diverged vascular plants. We
propose that ANR genes represent an ancestral adaptation that enabled drought
stress survival of the first terrestrial colonizers but were lost during land
plant evolution.
PMID- 27194709
TI - Vascular Cell Induction Culture System Using Arabidopsis Leaves (VISUAL) Reveals
the Sequential Differentiation of Sieve Element-Like Cells.
AB - Cell differentiation is a complex process involving multiple steps, from initial
cell fate specification to final differentiation. Procambial/cambial cells, which
act as vascular stem cells, differentiate into both xylem and phloem cells during
vascular development. Recent studies have identified regulatory cascades for
xylem differentiation. However, the molecular mechanism underlying phloem
differentiation is largely unexplored due to technical challenges. Here, we
established an ectopic induction system for phloem differentiation named Vascular
Cell Induction Culture System Using Arabidopsis Leaves (VISUAL). Our results
verified similarities between VISUAL-induced Arabidopsis thaliana phloem cells
and in vivo sieve elements. We performed network analysis using transcriptome
data with VISUAL to dissect the processes underlying phloem differentiation,
eventually identifying a factor involved in the regulation of the master
transcription factor gene APL Thus, our culture system opens up new avenues not
only for genetic studies of phloem differentiation, but also for future
investigations of multidirectional differentiation from vascular stem cells.
PMID- 27194711
TI - Shifting Paradigms and the Term Schizophrenia.
PMID- 27194708
TI - The Proteasome Stress Regulon Is Controlled by a Pair of NAC Transcription
Factors in Arabidopsis.
AB - Proteotoxic stress, which is generated by the accumulation of unfolded or
aberrant proteins due to environmental or cellular perturbations, can be
mitigated by several mechanisms, including activation of the unfolded protein
response and coordinated increases in protein chaperones and activities that
direct proteolysis, such as the 26S proteasome. Using RNA-seq analyses combined
with chemical inhibitors or mutants that induce proteotoxic stress by impairing
26S proteasome capacity, we defined the transcriptional network that responds to
this stress in Arabidopsis thaliana This network includes genes encoding core and
assembly factors needed to build the complete 26S particle, alternative
proteasome capping factors, enzymes involved in protein
ubiquitylation/deubiquitylation and cellular detoxification, protein chaperones,
autophagy components, and various transcriptional regulators. Many loci in this
proteasome-stress regulon contain a consensus cis-element upstream of the
transcription start site, which was previously identified as a binding site for
the NAM/ATAF1/CUC2 78 (NAC78) transcription factor. Double mutants disrupting
NAC78 and its closest relative NAC53 are compromised in the activation of this
regulon and notably are strongly hypersensitive to the proteasome inhibitors
MG132 and bortezomib. Given that NAC53 and NAC78 homo- and heterodimerize, we
propose that they work as a pair in activating the expression of numerous factors
that help plants survive proteotoxic stress and thus play a central regulatory
role in maintaining protein homeostasis.
PMID- 27194712
TI - High serum creatinine nonlinearity: a renal vital sign?
AB - Patients with chronic kidney disease (CKD) may have nonlinear serum creatinine
concentration (SC) trajectories, especially as CKD progresses. Variability in SC
is associated with renal failure and death. However, present methods for
measuring SC variability are unsatisfactory because they blend information about
SC slope and variance. We propose an improved method for defining and calculating
a patient's SC slope and variance so that they are mathematically distinct, and
we test these methods in a large sample of US veterans, examining the correlation
of SC slope and SC nonlinearity (SCNL) and the association of SCNL with time to
stage 4 CKD (CKD4) and death. We found a strong correlation between SCNL and rate
of CKD progression, time to CKD4, and time to death, even in patients with normal
renal function. We therefore argue that SCNL may be a measure of renal
autoregulatory dysfunction that provides an early warning sign for CKD
progression.
PMID- 27194710
TI - Education, material condition and physical functioning trajectories in middle
aged and older adults in Central and Eastern Europe: a cross-country comparison.
AB - BACKGROUND: Two competing hypotheses, cumulative advantage/disadvantage and age
as-leveller, have been proposed to explain the contradictory findings on
socioeconomic differences in health over the lifespan. To test these hypotheses,
this investigation examined the influence of educational attainment and material
condition on individual trajectories of physical functioning (PF) in unexplored
ageing populations in Central and Eastern Europe. METHODS: 28 783 men and women
aged 45-69 years selected from populations in seven Czech towns, Krakow (Poland)
and Novosibirsk (Russia). PF was measured by the Physical Functioning Subscale
(PF-10) of the Short-Form-36 questionnaire (SF-36) at baseline and three
subsequent occasions. The highest educational attainment was self-reported at
baseline, and material condition was captured by the sum score of 12 household
amenities and assets. RESULTS: In all cohorts, participants with a university
degree had the highest PF-10 score at baseline and slowest rate of decline in the
score during follow-up, while the lowest baseline scores and fastest decline rate
were found in participants with less than secondary education in all cohorts and
in Russians with secondary education. Similar disparities in the baseline PF-10
score and decline rate were observed across tertiles of material condition, but
differences in decline rates across the three tertiles among Czechs or between
the lower two tertiles among Russians were not statistically significant.
CONCLUSIONS: Disparities in PF by educational attainment and material condition
among middle-aged and older adults in Central and Eastern Europe existed at
baseline and widened during ~10 years of follow-up, supporting the cumulative
advantage/disadvantage hypothesis.
PMID- 27194713
TI - Pyridoxamine reduces postinjury fibrosis and improves functional recovery after
acute kidney injury.
AB - Acute kidney injury (AKI) is a common and independent risk factor for death and
chronic kidney disease (CKD). Despite promising preclinical data, there is no
evidence that antioxidants reduce the severity of injury, increase recovery, or
prevent CKD in patients with AKI. Pyridoxamine (PM) is a structural analog of
vitamin B6 that interferes with oxidative macromolecular damage via a number of
different mechanisms and is in a phase 3 clinical efficacy trial to delay CKD
progression in patients with diabetic kidney disease. Because oxidative stress is
implicated as one of the main drivers of renal injury after AKI, the ability of
PM to interfere with multiple aspects of oxidative damage may be favorable for
AKI treatment. In these studies we therefore evaluated PM treatment in a mouse
model of AKI. Pretreatment with PM caused a dose-dependent reduction in acute
tubular injury, long-term postinjury fibrosis, as well as improved functional
recovery after ischemia-reperfusion AKI (IR-AKI). This was associated with a dose
dependent reduction in the oxidative stress marker isofuran-to-F2-isoprostane
ratio, indicating that PM reduces renal oxidative damage post-AKI. PM also
reduced postinjury fibrosis when administered 24 h after the initiating injury,
but this was not associated with improvement in functional recovery after IR-AKI.
This is the first report showing that treatment with PM reduces short- and long
term injury, fibrosis, and renal functional recovery after IR-AKI. These
preclinical findings suggest that PM, which has a favorable clinical safety
profile, holds therapeutic promise for AKI and, most importantly, for prevention
of adverse long-term outcomes after AKI.
PMID- 27194716
TI - Postischemic microvasculopathy and endothelial progenitor cell-based therapy in
ischemic AKI: update and perspectives.
AB - Acute kidney injury (AKI) dramatically increases mortality of hospitalized
patients. Incidences have been increased in recent years. The most frequent cause
is transient renal hypoperfusion or ischemia which induces significant tubular
cell dysfunction/damage. In addition, two further events take place: interstitial
inflammation and microvasculopathy (MV). The latter evolves within minutes to
hours postischemia and may result in permanent deterioration of the peritubular
capillary network, ultimately increasing the risk for chronic kidney disease
(CKD) in the long term. In recent years, our understanding of the
molecular/cellular processes responsible for acute and sustained
microvasculopathy has increasingly been expanded. The methodical approaches for
visualizing impaired peritubular blood flow and increased vascular permeability
have been optimized, even allowing the depiction of tissue abnormalities in a
three-dimensional manner. In addition, endothelial dysfunction, a hallmark of MV,
has increasingly been recognized as an inductor of both vascular malfunction and
interstitial inflammation. In this regard, so-called regulated necrosis of the
endothelium could potentially play a role in postischemic inflammation.
Endothelial progenitor cells (EPCs), represented by at least two major
subpopulations, have been shown to promote vascular repair in experimental AKI,
not only in the short but also in the long term. The discussion about the true
biology of the cells continues. It has been proposed that early EPCs are most
likely myelomonocytic in nature, and thus they may simply be termed proangiogenic
cells (PACs). Nevertheless, they reliably protect certain types of tissues/organs
from ischemia-induced damage, mostly by modulating the perivascular
microenvironment in an indirect manner. The aim of the present review is to
summarize the current knowledge on postischemic MV and EPC-mediated renal repair.
PMID- 27194715
TI - Heat shock factor 1 induces crystallin-alphaB to protect against cisplatin
nephrotoxicity.
AB - Cisplatin, a wildly used chemotherapy drug, induces nephrotoxicity that is
characterized by renal tubular cell apoptosis. In response to toxicity, tubular
cells can activate cytoprotective mechanisms, such as the heat shock response.
However, the role and regulation of the heat shock response in cisplatin-induced
nephrotoxicity remain largely unclear. In the present study, we demonstrated the
induction of heat shock factor (Hsf)1 and the small heat shock protein crystallin
alphaB (CryAB) during cisplatin nephrotoxicity in mice. Consistently, cisplatin
induced Hsf1 and CryAB in a cultured renal proximal tubular cells (RPTCs). RPTCs
underwent apoptosis during cisplatin treatment, which was increased when Hsf1 was
knocked down. Transfection or restoration of Hsf1 into Hsf1 knockdown cells
suppressed cisplatin-induced apoptosis, further supporting a cytoprotective role
of Hsf1 and its associated heat shock response. Moreover, Hsf1 knockdown
increased Bax translocation to mitochondria and cytochrome c release into the
cytosol. In RPTCs, Hsf1 knockdown led to a specific downregulation of CryAB.
Transfection of CryAB into Hsf1 knockdown cells diminished their sensitivity to
cisplatin-induced apoptosis, suggesting that CryAB may be a key mediator of the
cytoprotective effect of Hsf1. Taken together, these results demonstrate a heat
shock response in cisplatin nephrotoxicity that is mediated by Hsf1 and CryAB to
protect tubular cells against apoptosis.
PMID- 27194717
TI - Perioperative glutamine supplementation restores disturbed renal arginine
synthesis after open aortic surgery: a randomized controlled clinical trial.
AB - Postoperative renal failure is a common complication after open repair of an
abdominal aortic aneurysm. The amino acid arginine is formed in the kidneys from
its precursor citrulline, and citrulline is formed from glutamine in the
intestines. Arginine enhances the function of the immune and cardiovascular
systems, which is important for recovery after surgery. We hypothesized that
renal arginine production is diminished after ischemia-reperfusion injury caused
by clamping of the aorta during open abdominal aortic surgery and that parenteral
glutamine supplementation might compensate for this impaired arginine synthesis.
This open-label clinical trial randomized patients who underwent clamping of the
aorta during open abdominal aortic surgery to receive a perioperative supplement
of intravenous alanyl-glutamine (0.5 g.kg(-1).day(-1); group A, n = 5) or no
supplement (group B, n = 5). One day after surgery, stable isotopes and tracer
methods were used to analyze the metabolism and conversion of glutamine,
citrulline, and arginine. Whole body plasma flux of glutamine, citrulline, and
arginine was significantly higher in group A than in group B (glutamine: 391 +/-
34 vs. 258 +/- 19 MUmol.kg(-1).h(-1), citrulline: 5.7 +/- 0.4 vs. 2.8 +/- 0.4
MUmol.kg(-1).h(-1), and arginine: 50 +/- 4 vs. 26 +/- 2 MUmol.kg(-1).h(-1), P <
0.01), as was the synthesis of citrulline from glutamine (4.8 +/- 0.7 vs. 1.6 +/-
0.3 MUmol.kg(-1).h(-1)), citrulline from arginine (2.3 +/- 0.3 vs. 0.96 +/- 0.1
MUmol.kg(-1).h(-1)), and arginine from glutamine (7.7 +/- 0.4 vs. 2.8 +/- 0.2
MUmol.kg(-1).h(-1)), respectively (P < 0.001 for all). In conclusion, the
production of citrulline and arginine is severely reduced after clamping during
aortic surgery. This study shows that an intravenous supplement of glutamine
increases the production of citrulline and arginine and compensates for the
inhibitory effect of ischemia-reperfusion injury.
PMID- 27194714
TI - The proximal tubule is the primary target of injury and progression of kidney
disease: role of the glomerulotubular junction.
AB - There is an alarming global increase in the incidence of end-stage kidney
disease, for which early biomarkers and effective treatment options are lacking.
Largely based on the histology of the end-stage kidney and on the model of
unilateral ureteral obstruction, current investigation is focused on the
pathogenesis of renal interstitial fibrosis as a central mechanism in the
progression of chronic kidney disease (CKD). It is now recognized that cumulative
episodes of acute kidney injury (AKI) can lead to CKD, and, conversely, CKD is a
risk factor for AKI. Based on recent and historic studies, this review shifts
attention from the glomerulus and interstitium to the proximal tubule as the
primary sensor and effector in the progression of CKD as well as AKI. Packed with
mitochondria and dependent on oxidative phosphorylation, the proximal tubule is
particularly vulnerable to injury (obstructive, ischemic, hypoxic, oxidative,
metabolic), resulting in cell death and ultimately in the formation of atubular
glomeruli. Animal models of human glomerular and tubular disorders have provided
evidence for a broad repertoire of morphological and functional responses of the
proximal tubule, revealing processes of degeneration and repair that may lead to
new therapeutic strategies. Most promising are studies that encompass the entire
life cycle from fetus to senescence, recognizing epigenetic factors. The
application of techniques in molecular characterization of tubule segments and
the development of human kidney organoids may provide new insights into the
mammalian kidney subjected to stress or injury, leading to biomarkers of early
CKD and new therapies.
PMID- 27194718
TI - Increased angiotensinogen expression, urinary angiotensinogen excretion, and
tissue injury in nonclipped kidneys of two-kidney, one-clip hypertensive rats.
AB - In angiotensin II (ANG II)-dependent hypertension, there is an angiotensin type 1
receptor-dependent amplification mechanism enhancing intrarenal angiotensinogen
(AGT) formation and secretion in the tubular fluid. To evaluate the role of
increased arterial pressure, AGT mRNA, protein expression, and urinary AGT (uAGT)
excretion and tissue injury were assessed in both kidneys of two-kidney, one-clip
Sprague-Dawley hypertensive rats subjected to left renal arterial clipping (0.25
mm gap). By 18-21 days, systolic arterial pressure increased to 180 +/- 3 mmHg,
and uAGT increased. Water intake, body weights, 24-h urine volumes, and sodium
excretion were similar. In separate measurements of renal function in
anesthetized rats, renal plasma flow and glomerular filtration rate were similar
in clipped and nonclipped kidneys and not different from those in sham rats,
indicating that the perfusion pressure to the clipped kidneys remained within the
autoregulatory range. The nonclipped kidneys exhibited increased urine flow and
sodium excretion. The uAGT excretion was significantly greater in nonclipped
kidneys compared with clipped and sham kidneys. AGT mRNA was 2.15-fold greater in
the nonclipped kidneys compared with sham (1.0 +/- 0.1) or clipped (0.98 +/-
0.15) kidneys. AGT protein levels were also greater in the nonclipped kidneys.
The nonclipped kidneys exhibited greater glomerular expansion and immune cell
infiltration, medullary fibrosis, and cellular proliferation than the clipped
kidneys. Because both kidneys have elevated ANG II levels, the greater tissue
injury in the nonclipped kidneys indicates that an increased arterial pressure
synergizes with increased intrarenal ANG II to stimulate AGT production and exert
greater renal injury.
PMID- 27194719
TI - 20-HETE and CYP4A2 omega-hydroxylase contribute to the elevated blood pressure in
hyperandrogenemic female rats.
AB - In male rats, androgen supplements increase 20-hydroxyeicosatetraenoic acid (20
HETE) via cytochrome P-450 (CYP)4A omega-hydroxylase and cause an increase in
blood pressure (BP). In the present study, we determined the roles of 20-HETE and
CYP4A2 on the elevated BP in hyperandrogenemic female rats. Chronic
dihydrotestosterone (DHT) increased mean arterial pressure (MAP) in female
Sprague-Dawley rats (96 +/- 2 vs. 108 +/- 2 mmHg, P < 0.05) and was associated
with increased renal microvascular CYP4A2 mRNA expression (15-fold), endogenous
renal 20-HETE (5-fold), and omega-hydroxylase activity (3-fold). Chronic DHT also
increased MAP in low salt-fed Dahl salt-resistant female rats (81 +/- 4 vs. 95 +/
1 mmHg, P < 0.05) but had no effect on MAP in Dahl salt-sensitive female rats
(154 +/- 3 vs. 153 +/- 3 mmHg), which are known to be 20-HETE deficient. To test
the role of CYP4A2, female CYP4A2(-/-) and SS.5(Bn) (wild type) rats were treated
with DHT. DHT increased MAP in SS.5(Bn) female rats (104 +/- 1 vs. 128 +/- 1
mmHg, P < 0.05) but had no effect in CYP4A2(-/-) female rats (118 +/- 1 vs. 120
+/- 1 mmHg). Renal microvascular 20-HETE was reduced in control CYP4A2(-/-)
female rats and was increased with DHT in SS.5(Bn) female rats (6-fold) but not
CYP4A2(-/-) female rats. omega-Hydroxylase activity was 40% lower in control
CYP4A2(-/-) female rats than in SS.5(Bn) female rats, and DHT decreased omega
hydroxylase activity in SS.5(Bn) female rats (by 50%) but significantly increased
omega-hydroxylase activity in CYP4A2(-/-) female rats (3-fold). These data
suggest that 20-HETE via CYP4A2 contributes to the elevation in BP in
hyperandrogenemic female rats. The data also suggest that 20-HETE synthesis
inhibition may be effective in treating the elevated BP in women with
hyperandrogenemia, such as women with polycystic ovary syndrome.
PMID- 27194722
TI - Glucagon actions on the kidney revisited: possible role in potassium homeostasis.
AB - It is now recognized that the metabolic disorders observed in diabetes are not,
or not only due to the lack of insulin or insulin resistance, but also to
elevated glucagon secretion. Accordingly, selective glucagon receptor antagonists
are now proposed as a novel strategy for the treatment of diabetes. However,
besides its metabolic actions, glucagon also influences kidney function. The
glucagon receptor is expressed in the thick ascending limb, distal tubule, and
collecting duct, and glucagon regulates the transepithelial transport of several
solutes in these nephron segments. Moreover, it also influences solute transport
in the proximal tubule, possibly by an indirect mechanism. This review summarizes
the knowledge accumulated over the last 30 years about the influence of glucagon
on the renal handling of electrolytes and urea. It also describes a possible
novel role of glucagon in the short-term regulation of potassium homeostasis.
Several original findings suggest that pancreatic alpha-cells may express a
"potassium sensor" sensitive to changes in plasma K concentration and could
respond by adapting glucagon secretion that, in turn, would regulate urinary K
excretion. By their combined actions, glucagon and insulin, working in a
combinatory mode, could ensure an independent regulation of both plasma glucose
and plasma K concentrations. The results and hypotheses reviewed here suggest
that the use of glucagon receptor antagonists for the treatment of diabetes
should take into account their potential consequences on electrolyte handling by
the kidney.
PMID- 27194720
TI - Hippo signaling in the kidney: the good and the bad.
AB - The Hippo signaling pathway is an evolutionarily conserved kinase cascade,
playing multiple roles in embryonic development that controls organ size, cell
proliferation, and apoptosis. At the center of this network lie the Hippo kinase
target and downstream pathway effector Yes-associated protein (YAP) and its
paralog TAZ. In its phosphorylated form, cytoplasmic YAP is sequestered in an
inactive state. When it is dephosphorylated, YAP, a potent oncogene, is activated
and relocates to the nucleus to interact with a number of transcription factors
and signaling regulators that promote cell growth, differentiation, and survival.
The identification of YAP activation in human cancers has made it an attractive
target for chemotherapeutic drug development. Little is known to date about the
function of the Hippo pathway in the kidney, but that is rapidly changing. Recent
studies have shed light on the role of Hippo-YAP signaling in glomerular and
lower urinary tract embryonic development, maintenance of podocyte homeostasis,
the integrity of the glomerular filtration barrier, regulation of renal tubular
cyst growth, renal epithelial injury in diabetes, and renal fibrogenesis. This
review summarizes the current knowledge of the Hippo-YAP signaling axis in the
kidney under normal and disease conditions.
PMID- 27194723
TI - Oscillatory dynamics in a bacterial cross-protection mutualism.
AB - Cooperation between microbes can enable microbial communities to survive in harsh
environments. Enzymatic deactivation of antibiotics, a common mechanism of
antibiotic resistance in bacteria, is a cooperative behavior that can allow
resistant cells to protect sensitive cells from antibiotics. Understanding how
bacterial populations survive antibiotic exposure is important both clinically
and ecologically, yet the implications of cooperative antibiotic deactivation on
the population and evolutionary dynamics remain poorly understood, particularly
in the presence of more than one antibiotic. Here, we show that two Escherichia
coli strains can form an effective cross-protection mutualism, protecting each
other in the presence of two antibiotics (ampicillin and chloramphenicol) so that
the coculture can survive in antibiotic concentrations that inhibit growth of
either strain alone. Moreover, we find that daily dilutions of the coculture lead
to large oscillations in the relative abundance of the two strains, with the
ratio of abundances varying by nearly four orders of magnitude over the course of
the 3-day period of the oscillation. At modest antibiotic concentrations, the
mutualistic behavior enables long-term survival of the oscillating populations;
however, at higher antibiotic concentrations, the oscillations destabilize the
population, eventually leading to collapse. The two strains form a successful
cross-protection mutualism without a period of coevolution, suggesting that
similar mutualisms may arise during antibiotic treatment and in natural
environments such as the soil.
PMID- 27194721
TI - Klotho/fibroblast growth factor 23- and PTH-independent estrogen receptor-alpha
mediated direct downregulation of NaPi-IIa by estrogen in the mouse kidney.
AB - Estrogen treatment causes renal phosphate (Pi) wasting and hypophosphatemia in
rats and humans; however, the signaling mechanisms mediating this effect are
still poorly understood. To determine the specific roles of estrogen receptor
isoforms (ERalpha and ERbeta) and the Klotho pathway in mediating these effects,
we studied the effects of estrogen on renal Pi handling in female mice with null
mutations of ERalpha or ERbeta or Klotho and their wild type (WT) using balance
studies in metabolic cages. Estrogen treatment of WT and ERbeta knockout (KO)
mice caused a significant reduction in food intake along with increased renal
phosphate wasting. The latter resulted from a significant downregulation of NaPi
IIa and NaPi-IIc protein abundance. The mRNA expression levels of both
transporters were unchanged in estrogen-treated mice. These effects on both food
intake and renal Pi handling were absent in ERalpha KO mice. Estrogen treatment
of Klotho KO mice or parathyroid hormone (PTH)-depleted thyroparathyroidectomized
mice exhibited a significant downregulation of NaPi-IIa with no change in the
abundance of NaPi-IIc. Estrogen treatment of a cell line (U20S) stably
coexpressing both ERalpha and ERbeta caused a significant downregulation of NaPi
IIa protein when transiently transfected with a plasmid containing full-length or
open-reading frame (ORF) 3'-untranslated region (UTR) but not 5'-UTR ORF of mouse
NaPi-IIa transcript. In conclusion, estrogen causes phosphaturia and
hypophosphatemia in mice. These effects result from downregulation of NaPi-IIa
and NaPi-IIc proteins in the proximal tubule through the activation of ERalpha.
The downregulation of NaPi-IIa by estrogen involves 3'-UTR of its mRNA and is
independent of Klotho/fibroblast growth factor 23 and PTH signaling pathways.
PMID- 27194724
TI - Broadly neutralizing epitopes in the Plasmodium vivax vaccine candidate Duffy
Binding Protein.
AB - Plasmodium vivax Duffy Binding Protein (PvDBP) is the most promising vaccine
candidate for P. vivax malaria. The polymorphic nature of PvDBP induces strain
specific immune responses, however, and the epitopes of broadly neutralizing
antibodies are unknown. These features hamper the rational design of potent DBP
based vaccines and necessitate the identification of globally conserved epitopes.
Using X-ray crystallography, small-angle X-ray scattering, hydrogen-deuterium
exchange mass spectrometry, and mutational mapping, we have defined epitopes for
three inhibitory mAbs (mAbs 2D10, 2H2, and 2C6) and one noninhibitory mAb (3D10)
that engage DBP. These studies expand the currently known inhibitory epitope
repertoire by establishing protective motifs in subdomain three outside the
receptor-binding and dimerization residues of DBP, and introduce globally
conserved protective targets. All of the epitopes are highly conserved among DBP
alleles. The identification of broadly conserved epitopes of inhibitory
antibodies provides critical motifs that should be retained in the next
generation of potent vaccines for P. vivax malaria.
PMID- 27194726
TI - Reproducibility will only come with data liberation.
PMID- 27194725
TI - LATERAL BRANCHING OXIDOREDUCTASE acts in the final stages of strigolactone
biosynthesis in Arabidopsis.
AB - Strigolactones are a group of plant compounds of diverse but related chemical
structures. They have similar bioactivity across a broad range of plant species,
act to optimize plant growth and development, and promote soil microbe
interactions. Carlactone, a common precursor to strigolactones, is produced by
conserved enzymes found in a number of diverse species. Versions of the MORE
AXILLARY GROWTH1 (MAX1) cytochrome P450 from rice and Arabidopsis thaliana make
specific subsets of strigolactones from carlactone. However, the diversity of
natural strigolactones suggests that additional enzymes are involved and remain
to be discovered. Here, we use an innovative method that has revealed a missing
enzyme involved in strigolactone metabolism. By using a transcriptomics approach
involving a range of treatments that modify strigolactone biosynthesis gene
expression coupled with reverse genetics, we identified LATERAL BRANCHING
OXIDOREDUCTASE (LBO), a gene encoding an oxidoreductase-like enzyme of the 2
oxoglutarate and Fe(II)-dependent dioxygenase superfamily. Arabidopsis lbo
mutants exhibited increased shoot branching, but the lbo mutation did not enhance
the max mutant phenotype. Grafting indicated that LBO is required for a graft
transmissible signal that, in turn, requires a product of MAX1. Mutant lbo
backgrounds showed reduced responses to carlactone, the substrate of MAX1, and
methyl carlactonoate (MeCLA), a product downstream of MAX1. Furthermore, lbo
mutants contained increased amounts of these compounds, and the LBO protein
specifically converts MeCLA to an unidentified strigolactone-like compound. Thus,
LBO function may be important in the later steps of strigolactone biosynthesis to
inhibit shoot branching in Arabidopsis and other seed plants.
PMID- 27194727
TI - The caspase-8 inhibitor emricasan combines with the SMAC mimetic birinapant to
induce necroptosis and treat acute myeloid leukemia.
AB - Resistance to chemotherapy is a major problem in cancer treatment, and it is
frequently associated with failure of tumor cells to undergo apoptosis.
Birinapant, a clinical SMAC mimetic, had been designed to mimic the interaction
between inhibitor of apoptosis proteins (IAPs) and SMAC/Diablo, thereby relieving
IAP-mediated caspase inhibition and promoting apoptosis of cancer cells. We show
that acute myeloid leukemia (AML) cells are sensitive to birinapant-induced death
and that the clinical caspase inhibitor emricasan/IDN-6556 augments, rather than
prevents, killing by birinapant. Deletion of caspase-8 sensitized AML to
birinapant, whereas combined loss of caspase-8 and the necroptosis effector MLKL
(mixed lineage kinase domain-like) prevented birinapant/IDN-6556-induced death,
showing that inhibition of caspase-8 sensitizes AML cells to birinapant-induced
necroptosis. However, loss of MLKL alone did not prevent a caspase-dependent
birinapant/IDN-6556-induced death, implying that AML will be less likely to
acquire resistance to this drug combination. A therapeutic breakthrough in AML
has eluded researchers for decades. Demonstrated antileukemic efficacy and safety
of the birinapant/emricasan combination in vivo suggest that induction of
necroptosis warrants clinical investigation as a therapeutic opportunity in AML.
PMID- 27194728
TI - Activation of concurrent apoptosis and necroptosis by SMAC mimetics for the
treatment of refractory and relapsed ALL.
AB - More precise treatment strategies are urgently needed to decrease toxicity and
improve outcomes for treatment-refractory leukemia. We used ex vivo drug response
profiling of high-risk, relapsed, or refractory acute lymphoblastic leukemia
(ALL) cases and identified a subset with exquisite sensitivity to small-molecule
mimetics of the second mitochondria-derived activator of caspases (SMAC) protein.
Potent ex vivo activity of the SMAC mimetic (SM) birinapant correlated with
marked in vivo antileukemic effects, as indicated by delayed engraftment,
decreased leukemia burden, and prolonged survival of xenografted mice.
Antileukemic activity was dependent on simultaneous execution of apoptosis and
necroptosis, as demonstrated by functional genomic dissection with a multicolored
lentiCRISPR approach to simultaneously disrupt multiple genes in patient-derived
ALL. SM specifically targeted receptor-interacting protein kinase 1 (RIP1)
dependent death, and CRISPR-mediated disruption of RIP1 completely blocked SM
induced death yet had no impact on the response to standard antileukemic agents.
Thus, SM compounds such as birinapant circumvent escape from apoptosis in
leukemia by activating a potent dual RIP1-dependent apoptotic and necroptotic
cell death, which is not exploited by current therapy. Ex vivo drug activity
profiling could provide important functional diagnostic information to identify
patients who may benefit from targeted treatment with birinapant in early
clinical trials.
PMID- 27194730
TI - Host resistance to intranasal Acinetobacter baumannii reinfection in mice.
AB - Acinetobacter baumannii is a major causative agent of healthcare-associated
infection and develops multidrug resistance rapidly. However, little is known in
the host defense mechanisms against this infection. In this study, we examined if
mice recovered from a previous intranasal A. baumannii infection (recovered mice)
are fully protected against a subsequent reinfection. We found that, despite the
presence of specific serum IgG and mucosal IgA responses prior to the
reinfection, the recovered mice were only marginally better protected against
intranasal challenge with low doses of homologous or heterologous A. baumannii
strains than the naive mice. Post-challenge immune and inflammatory (cells and
cytokines) responses were generally comparable between recovered and naive mice
although the recovered mice produced significantly higher amounts of IFN-gamma
and IL-17 and had higher percentages and numbers of resident lung CD44(hi)CD62L(
)CD4(+) and CD19(+) B lymphocytes. Taken together, our results suggest that mice
recovered from a previous A. baumannii infection remain susceptible to
reinfection, indicating the complexity of immune protection mechanism for this
Gram-negative, multidrug-resistant emerging pathogen.
PMID- 27194731
TI - Adaptive response of broilers to dietary phosphorus and calcium restrictions.
AB - The aim of this study was to evaluate the capacity of chickens to adapt to and
compensate for early dietary restriction of non-phytate P ( NPP: ) and/or Ca (10
to 21 d) in a later phase (22 to 35 d), and to determine whether compensatory
processes depend on the P and Ca concentrations in the finisher diet. Four diets
were formulated and fed to broilers from 10 to 21 d in order to generate birds
with different mineral status: L1 (0.6% Ca, 0.30% NPP), L2 (0.6% Ca, 0.45% NPP),
H1 (1.0% Ca, 0.30% NPP), and H2 (1.0% Ca, 0.45% NPP). On d 22, each group was
divided into three groups which received a low (L, 0.48% Ca, 0.24% NPP), moderate
(M, 0.70% Ca, 0.35% NPP), or high (H, 0.90% Ca, 0.35% NPP) finisher diet until 35
d, resulting in a total of 12 treatments. Lowering the Ca level enhanced apparent
ileal digestibility of P (P AID) at 21 d especially with the high NPP level (Ca *
NPP, P < 0.01). The lower bone mineralization observed at 21 d in broilers fed
the L1 diet compared to those fed the H2 diet had disappeared by 35 d with long
term stimulation of the P AID with the low NPP level (P < 0.001). Although P AID
and growth performance were improved in birds fed the L1L compared to the L1H and
H2H treatments, tibia characteristics tended to be lower in birds fed the L1L
compared to those fed the L1H treatment. Birds fed the H1M treatment had higher P
AID, growth performance and tibia ash content than those fed the H1H treatment. A
significant increase in the mRNA levels of several genes encoding Ca and P
transporters was observed at 35 d in birds fed the L1 followed by the L diet
compared to birds fed the L1 followed by the M diet. In conclusion, chickens are
able to adapt to early dietary changes in P and Ca through improvement of
digestive efficiency in a later phase, and the extent of the compensation in
terms of growth performance and bone mineralization depends on the P and Ca
levels in the subsequent diet.
PMID- 27194732
TI - Effects of development and delayed feed access on ghrelin expression in neonatal
broiler chickens.
AB - Two experiments were conducted to study the effects of development and delayed
feed access on ghrelin expression in neonatal chickens. In experiment 1, ghrelin
levels in ad libitum-fed chickens were assessed from hatching (0 h) to 120 h.
Ghrelin mRNA expression increased after hatching and reached peak levels at 24 h;
levels were 1.8-fold higher compared to those at 0 h. Afterward, ghrelin
expression decreased consistently throughout the later experimental period, and
at 120 h, it was only 16.0% of 0 h levels. The density of ghrelin immunopositive
cells in the proventriculus and plasma ghrelin levels decreased slightly from
hatching to 48 h and later increased slowly until the end of the experimental
period. In a follow-up study, chickens were assigned randomly into 2 groups after
hatching, a control group ( C: , fed ad libitum) and a delayed feeding group (
F+SF: , 72-h fast period, subsequently fed ad libitum). Delayed feed access for
72 h up-regulated ghrelin mRNA expression significantly in the proventriculus (P
< 0.05) to 2.1-fold higher levels compared to the control, while the density of
ghrelin immunopositive cells and the plasma ghrelin level decreased (P < 0.05) to
28.4% and 64.8% of the control, respectively. After the onset of feeding, the
ghrelin mRNA expression in the delayed feeding group was decreased but still
higher than that of the control (P < 0.05). The density of ghrelin immunopositive
cells and the plasma ghrelin level climbed quickly and all returned to the
control level with a supply of food for 48 h. These results suggest that the
onset of feeding in neonatal chickens stimulated an increase in ghrelin peptide
levels and that ghrelin peptide levels increased with age. Neonatal chickens
respond to food deprivation in a different way than do young and adult chickens.
PMID- 27194733
TI - Review: Effects of different growth rates in broiler breeder and layer hens on
some productive traits.
AB - Genetic selection that has been carried out for several dozen years has led to
significant progress in poultry production by improving productive traits and
increasing the profitability of broiler breeder and layer hen production. After
hatching, broilers and layers differ mainly in feed intake, growth rate,
efficiency of nutrient utilization, and development of muscles and adipose
tissue. A key role can be played by hormonal mechanisms of appetite control in
broilers and layers. The paper discusses the consequences of different growth
rates resulting from long-term genetic selection on feed intake, efficiency of
nutrient utilization, and development of muscles and adipose tissue, with
particular consideration of the hormonal mechanisms of appetite control in
broilers and layers. The information presented in this review paper shows that it
would be worth comparing these issues in a meta-analysis.
PMID- 27194729
TI - Increased GVHD-related mortality with broad-spectrum antibiotic use after
allogeneic hematopoietic stem cell transplantation in human patients and mice.
AB - Intestinal bacteria may modulate the risk of infection and graft-versus-host
disease (GVHD) after allogeneic hematopoietic stem cell transplantation (allo
HSCT). Allo-HSCT recipients often develop neutropenic fever, which is treated
with antibiotics that may target anaerobic bacteria in the gut. We
retrospectively examined 857 allo-HSCT recipients and found that treatment of
neutropenic fever with imipenem-cilastatin and piperacillin-tazobactam
antibiotics was associated with increased GVHD-related mortality at 5 years
(21.5% for imipenem-cilastatin-treated patients versus 13.1% for untreated
patients, P = 0.025; 19.8% for piperacillin-tazobactam-treated patients versus
11.9% for untreated patients, P = 0.007). However, two other antibiotics also
used to treat neutropenic fever, aztreonam and cefepime, were not associated with
GVHD-related mortality (P = 0.78 and P = 0.98, respectively). Analysis of stool
specimens from allo-HSCT recipients showed that piperacillin-tazobactam
administration was associated with perturbation of gut microbial composition.
Studies in mice demonstrated aggravated GVHD mortality with imipenem-cilastatin
or piperacillin-tazobactam compared to aztreonam (P < 0.01 and P < 0.05,
respectively). We found pathological evidence for increased GVHD in the colon of
imipenem-cilastatin-treated mice (P < 0.05), but no difference in the
concentration of short-chain fatty acids or numbers of regulatory T cells.
Notably, imipenem-cilastatin treatment of mice with GVHD led to loss of the
protective mucus lining of the colon (P < 0.01) and the compromising of
intestinal barrier function (P < 0.05). Sequencing of mouse stool specimens
showed an increase in Akkermansia muciniphila (P < 0.001), a commensal bacterium
with mucus-degrading capabilities, raising the possibility that mucus degradation
may contribute to murine GVHD. We demonstrate an underappreciated risk for the
treatment of allo-HSCT recipients with antibiotics that may exacerbate GVHD in
the colon.
PMID- 27194734
TI - Allelic differences in a vacuolar invertase affect Arabidopsis growth at early
plant development.
AB - Improving carbon fixation in order to enhance crop yield is a major goal in plant
sciences. By quantitative trait locus (QTL) mapping, it has been demonstrated
that a vacuolar invertase (vac-Inv) plays a key role in determining the radical
length in Arabidopsis. In this model, variation in vac-Inv activity was detected
in a near isogenic line (NIL) population derived from a cross between two
divergent accessions: Landsberg erecta (Ler) and Cape Verde Island (CVI), with
the CVI allele conferring both higher Inv activity and longer radicles. The aim
of the current work is to understand the mechanism(s) underlying this QTL by
analyzing structural and functional differences of vac-Inv from both accessions.
Relative transcript abundance analyzed by quantitative real-time PCR (qRT-PCR)
showed similar expression patterns in both accessions; however, DNA sequence
analyses revealed several polymorphisms that lead to changes in the corresponding
protein sequence. Moreover, activity assays revealed higher vac-Inv activity in
genotypes carrying the CVI allele than in those carrying the Ler allele. Analyses
of purified recombinant proteins showed a similar K m for both alleles and a
slightly higher V max for that of Ler. Treatment of plant extracts with foaming
to release possible interacting Inv inhibitory protein(s) led to a large increase
in activity for the Ler allele, but no changes for genotypes carrying the CVI
allele. qRT-PCR analyses of two vac-Inv inhibitors in seedlings from parental and
NIL genotypes revealed different expression patterns. Taken together, these
results demonstrate that the vac-Inv QTL affects root biomass accumulation and
also carbon partitioning through a differential regulation of vac-Inv inhibitors
at the mRNA level.
PMID- 27194735
TI - Identification and localization of bioactive naphthoquinones in the roots and
rhizosphere of Paterson's curse (Echium plantagineum), a noxious invader.
AB - Bioactive plant secondary products are frequently the drivers of complex
rhizosphere interactions, including those with other plants, herbivores and
microbiota. These chemically diverse molecules typically accumulate in a highly
regulated manner in specialized plant tissues and organelles. We studied the
production and localization of bioactive naphthoquinones (NQs) in the roots of
Echium plantagineum, an invasive endemic weed in Australia. Roots of E.
plantagineum produced red-coloured NQs in the periderm of primary and secondary
roots, while seedling root hairs exuded NQs in copious quantities. Confocal
imaging and microspectrofluorimetry confirmed that bioactive NQs were deposited
in the outer layer of periderm cells in mature roots, resulting in red
colouration. Intracellular examination revealed that periderm cells contained
numerous small red vesicles for storage and intracellular transport of shikonins,
followed by subsequent extracellular deposition. Periderm and root hair extracts
of field- and phytotron-grown plants were analysed by UHPLC/Q-ToF MS (ultra high
pressure liquid chromatography coupled to quadrupole time of flight mass
spectrometry) and contained more than nine individual NQs, with
dimethylacrylshikonin, and phytotoxic shikonin, deoxyshikonin and acetylshikonin
predominating. In seedlings, shikonins were first found 48h following germination
in the root-hypocotyl junction, as well as in root hair exudates. In contrast,
the root cortices of both seedling and mature root tissues were devoid of NQs.
SPRE (solid phase root zone extraction) microprobes strategically placed in soil
surrounding living E. plantagineum plants successfully extracted significant
levels of bioactive shikonins from living roots, rhizosphere and bulk soil
surrounding roots. These findings suggest important roles for accumulation of
shikonins in the root periderm and subsequent rhizodeposition in plant defence,
interference, and invasion success.
PMID- 27194736
TI - WAX INDUCER1 (HvWIN1) transcription factor regulates free fatty acid biosynthetic
genes to reinforce cuticle to resist Fusarium head blight in barley spikelets.
AB - Fusarium head blight (FHB), caused by Fusarium graminearum, is one of the most
devastating diseases of wheat and barley. Resistance to FHB is highly complex and
quantitative in nature, and is most often classified as resistance to spikelet
infection and resistance to spread of pathogen through the rachis. In the present
study, a resistant (CI9831) and a susceptible (H106-371) two-row barley
genotypes, with contrasting levels of spikelet resistance to FHB, pathogen or
mock-inoculated, were profiled for metabolites based on liquid chromatography and
high resolution mass spectrometry. The key resistance-related (RR) metabolites
belonging to fatty acids, phenylpropanoids, flavonoids and terpenoid biosynthetic
pathways were identified. The free fatty acids (FFAs) linoleic and palmitic acids
were among the highest fold change RR induced (RRI) metabolites. These FFAs are
deposited as cutin monomers and oligomers to reinforce the cuticle, which acts as
a barrier to pathogen entry. Quantitative real-time PCR studies revealed higher
expressions of KAS2, CYP86A2, CYP89A2, LACS2 and WAX INDUCER1 (HvWIN1)
transcription factor in the pathogen-inoculated resistant genotype than in the
susceptible genotype. Knockdown of HvWIN1 by virus-induced genes silencing (VIGS)
in resistant genotype upon pathogen inoculation increased the disease severity
and fungal biomass, and decreased the abundance of FFAs like linoleic and
palmitic acids. Notably, the expression of CYP86A2, CYP89A2 and LAC2 genes was
also suppressed, proving the link of HvWIN1 in regulating these genes in cuticle
biosynthesis as a defense response.
PMID- 27194737
TI - CitAP2.10 activation of the terpene synthase CsTPS1 is associated with the
synthesis of (+)-valencene in 'Newhall' orange.
AB - Aroma is a vital characteristic that determines the quality and commercial value
of citrus fruits, and characteristic volatiles have been analyzed in different
citrus species. In sweet orange, Citrus sinensis, the sesquiterpene (+)-valencene
is a key volatile compound in the fruit peel. Valencene synthesis is catalyzed by
the terpene synthase CsTPS1, but the transcriptional mechanisms controlling its
gene expression are unknown. Here, the AP2/ERF (APETALA2/ethylene response
factor) transcription factor, CitAP2.10, is characterized as a regulator of (+)
valencene synthesis. The expression pattern of CitAP2.10 was positively
correlated with (+)-valencene content and CsTPS1 expression. Dual-luciferase
assays indicated that CitAP2.10 could trans-activate the CsTPS1 promoter.
Ethylene enhanced expression of CitAP2.10 and this effect was abolished by the
ethylene antagonist 1-methylcyclopropene. The role and function of CitAP2.10 in
(+)-valencene biosynthesis were confirmed using the Arabidopsis homolog (AtWRI1),
which also transiently activated the CsTPS1 promoter. Furthermore, transient over
expression of CitAP2.10 triggered (+)-valencene biosynthesis in sweet orange
fruit. These results indicate that CitAP2.10 regulates (+)-valencene synthesis
via induction of CsTPS1 mRNA accumulation.
PMID- 27194738
TI - Characterization of purple acid phosphatases involved in extracellular dNTP
utilization in Stylosanthes.
AB - Stylo (Stylosanthes spp.) is a pasture legume predominant in tropical and
subtropical areas, where low phosphorus (P) availability is a major constraint
for plant growth. Therefore, stylo might exhibit superior utilization of the P
pool on acid soils, particularly organic P. However, little is known about
mechanisms of inorganic phosphate (Pi) acquisition employed by stylo. In this
study, the utilization of extracellular deoxy-ribonucleotide triphosphate (dNTP)
and the underlying physiological and molecular mechanisms were examined for two
stylo genotypes with contrasting P efficiency. Results showed that the P
efficient genotype, TPRC2001-1, was superior to the P-inefficient genotype, Fine
stem, when using dNTP as the sole P source. This was reflected by a higher dry
weight and total P content for TPRC2001-1 than for Fine-stem, which was
correlated with higher root-associated acid phosphatase (APase) activities in
TPRC2001-1 under low P conditions. Subsequently, three PAP members were cloned
from TPRC2001-1: SgPAP7, SgPAP10, and SgPAP26 Expression levels of these three
SgPAPs were up-regulated by Pi starvation in stylo roots. Furthermore, there was
a higher abundance of transcripts of SgPAP7 and SgPAP10 in TPRC2001-1 than in
Fine-stem. Subcellular localization analysis demonstrated that these three SgPAPs
were localized on the plasma membrane. Overexpression of these three SgPAPs could
result in significantly increased root-associated APase activities, and thus
extracellular dNTP utilization in bean hairy roots. Taken together, the results
herein suggest that SgPAP7, SgPAP10, and SgPAP26 may differentially contribute to
root-associated APase activities, and thus control extracellular dNTP utilization
in stylo.
PMID- 27194739
TI - New insights into the post-translational modification of multiple
phosphoenolpyruvate carboxylase isoenzymes by phosphorylation and
monoubiquitination during sorghum seed development and germination.
AB - Phosphoenolpyruvate carboxylase (PEPC; E.C. 4.1.1.31) was characterized in
developing and germinating sorghum seeds, focusing on the transcript and
polypeptide abundance of multiple plant-type phosphoenolpyruvate carboxylase
(PTPC) genes, and the post-translational modification of each isoenzyme by
phosphorylation versus monoubiquitination during germination. We observed high
levels of SbPPC4 (Sb07g014960) transcripts during early development (stage I),
and extensive transcript abundance of SbPPC2 (Sb02g021090) and SbPPC3
(Sb04g008720) throughout the entire life cycle of the seed. Although tandem mass
spectrometry (MS) analysis of immunopurified PTPC indicated that four different
PTPC isoenzymes were expressed in the developing and germinating seeds, SbPPC3
was the most abundant isozyme of the developing seed, and of the embryo and the
aleurone layer of germinating seeds. In vivo phosphorylation of the different
PTPC isoenzymes at their conserved N-terminal seryl phosphorylation site during
germination was also established by MS/MS analysis. Furthermore, three of the
four isoenzymes were partially monoubiquitinated, with MS/MS pinpointing SbPPC2
and SbPPC3 monoubiquitination at the conserved Lys-630 and Lys-624 residues,
respectively. Our results demonstrate that monoubiquitination and phosphorylation
simultaneously occur in vivo with different PTPC isozymes during seed
germination. In addition, we show that PTPC monoubiquitination in germinating
sorghum seeds always increases at stage II (emergence of the radicle), is
maintained during the aerobic period of rapid cell division and reserve
mobilization, and remains relatively constant until stage IV-V when coleoptiles
initiate the formation of the photosynthetic tissues.
PMID- 27194740
TI - Tissue-specific laser microdissection of the Brassica napus funiculus improves
gene discovery and spatial identification of biological processes.
AB - The three primary tissue systems of the funiculus each undergo unique
developmental programs to support the growth and development of the filial seed.
To understand the underlying transcriptional mechanisms that orchestrate
development of the funiculus at the globular embryonic stage of seed development,
we used laser microdissection coupled with RNA-sequencing to produce a high
resolution dataset of the mRNAs present in the epidermis, cortex, and vasculature
of the Brassica napus (canola) funiculus. We identified 7761 additional genes in
these tissues compared with the whole funiculus organ alone using this
technology. Differential expression and enrichment analyses were used to identify
several biological processes associated with each tissue system. Our data show
that cell wall modification and lipid metabolism are prominent in the epidermis,
cell growth and modification occur in the cortex, and vascular tissue
proliferation and differentiation occur in the central vascular strand. We
provide further evidence that each of the three tissue systems of the globular
stage funiculus are involved in specific biological processes that all co
ordinate to support seed development. The identification of genes and gene
regulators responsible for tissue-specific developmental processes of the canola
funiculus now serves as a valuable resource for seed improvement research.
PMID- 27194741
TI - The alpha-subunit of the rice heterotrimeric G protein, RGA1, regulates drought
tolerance during the vegetative phase in the dwarf rice mutant d1.
AB - Essential in the Green Revolution was the development of high-yielding dwarf
varieties of rice (Oryza sativa L.), but their selection was not based on
responses to water limitation. We studied physiological responses to progressive
drought of the dwarf rice mutant, d1, in which the RGA1 gene, which encodes the
GTP-binding alpha-subunit of the heterotrimeric G protein, is non-functional.
Wild-type (WT) plants cease net carbon fixation 11 days after water is withheld,
while d1 plants maintain net photosynthesis for an additional week. During
drought, d1 plants exhibit greater stomatal conductance than the WT, but both
genotypes exhibit the same transpirational water loss per unit leaf area. This is
explained by a smaller driving force for water loss in d1 owing to its lower leaf
temperatures, consistent with its more erect architecture. As drought becomes
more severe, WT plants show an accelerated decline in photosynthesis, which may
be exacerbated by the higher leaf temperatures in the WT. We thus show how a rice
mutant with dwarf and erect leaves has a decreased susceptibility to water
stress. Accordingly, it may be useful to incorporate RGA1 mutation in breeding or
biotechnological strategies for development of drought-resistant rice.
PMID- 27194742
TI - The grapevine VvibZIPC22 transcription factor is involved in the regulation of
flavonoid biosynthesis.
AB - In grapevine, flavonoids constitute one of the most abundant subgroups of
secondary metabolites, influencing the quality, health value, and typicity of
wines. Their synthesis in many plant species is mainly regulated at the
transcriptional level by modulation of flavonoid pathway genes either by single
regulators or by complexes of different regulators. In particular, bZIP and MYB
factors interact synergistically in the recognition of light response units
present in the promoter of some genes of the pathway, thus mediating light
dependent flavonoid biosynthesis. We recently identified VvibZIPC22, a member of
clade C of the grapevine bZIP family, in a quantitative trait locus (QTL)
specifically associated with kaemperol content in mature berries. Here, to
validate the involvement of this candidate gene in the fine regulation of
flavonol biosynthesis, we characterized its function by in vitro and in vivo
experiments. A role for this gene in the control of flavonol biosynthesis was
indeed confirmed by its highest expression at flowering and during UV light
mediated induction, paralleled by accumulation of the flavonol synthase 1
transcript and flavonol compounds. The overexpression of VvibZIPC22 in tobacco
caused a significant increase in several flavonoids in the flower, via induction
of general and specific genes of the pathway. In agreement with this evidence,
VvibZIPC22 was able to activate the promoters of specific genes of the flavonoid
pathway, alone or together with other factors, as revealed by transient reporter
assays. These findings, supported by in silico indications, allowed us to propose
VvibZIPC22 as a new regulator of flavonoid biosynthesis in grapevine.
PMID- 27194743
TI - Embryological Origin of Human Smooth Muscle Cells Influences Their Ability to
Support Endothelial Network Formation.
AB - Vascular smooth muscle cells (SMCs) from distinct anatomic locations derive from
different embryonic origins. Here we investigated the respective potential of
different embryonic origin-specific SMCs derived from human embryonic stem cells
(hESCs) to support endothelial network formation in vitro. SMCs of three distinct
embryological origins were derived from an mStrawberry-expressing hESC line and
were cocultured with green fluorescent protein-expressing human umbilical vein
endothelial cells (HUVECs) to investigate the effects of distinct SMC subtypes on
endothelial network formation. Quantitative analysis demonstrated that lateral
mesoderm (LM)-derived SMCs best supported HUVEC network complexity and survival
in three-dimensional coculture in Matrigel. The effects of the LM-derived SMCs on
HUVECs were at least in part paracrine in nature. A TaqMan array was performed to
identify the possible mediators responsible for the differential effects of the
SMC lineages, and a microarray was used to determine lineage-specific
angiogenesis gene signatures. Midkine (MDK) was identified as one important
mediator for the enhanced vasculogenic potency of LM-derived SMCs. The functional
effects of MDK on endothelial network formation were then determined by small
interfering RNA-mediated knockdown in SMCs, which resulted in impaired network
complexity and survival of LM-derived SMC cocultures. The present study is the
first to show that SMCs from distinct embryonic origins differ in their ability
to support HUVEC network formation. LM-derived SMCs best supported endothelial
cell network complexity and survival in vitro, in part through increased
expression of MDK. A lineage-specific approach might be beneficial for vascular
tissue engineering and therapeutic revascularization. SIGNIFICANCE: Mural cells
are essential for the stabilization and maturation of new endothelial cell
networks. However, relatively little is known of the effect of the developmental
origins of mural cells on their signaling to endothelial cells and how this
affects vessel development. The present study demonstrated that human smooth
muscle cells (SMCs) from distinct embryonic origins differ in their ability to
support endothelial network formation. Lateral mesoderm-derived SMCs best support
endothelial cell network complexity and survival in vitro, in part through
increased expression of midkine. A lineage-specific approach might be beneficial
for vascular tissue engineering and therapeutic revascularization.
PMID- 27194744
TI - Grafted Subventricular Zone Neural Stem Cells Display Robust Engraftment and
Similar Differentiation Properties and Form New Neurogenic Niches in the Young
and Aged Hippocampus.
AB - : As clinical application of neural stem cell (NSC) grafting into the brain would
also encompass aged people, critical evaluation of engraftment of NSC graft
derived cells in the aged hippocampus has significance. We examined the
engraftment and differentiation of alkaline phosphatase-positive NSCs expanded
from the postnatal subventricular zone (SVZ), 3 months after grafting into the
intact young or aged rat hippocampus. Graft-derived cells engrafted robustly into
both young and aged hippocampi. Although most graft-derived cells pervasively
migrated into different hippocampal layers, the graft cores endured and contained
graft-derived neurons expressing neuron-specific nuclear antigen (NeuN) and gamma
amino butyric acid in both groups. A fraction of migrated graft-derived cells in
the neurogenic subgranular zone-granule cell layer also expressed NeuN. Neuronal
differentiation was, however, occasionally seen amid graft-derived cells that had
migrated into non-neurogenic regions, where substantial fractions differentiated
into S-100beta+ astrocytes, NG2+ oligodendrocyte progenitors, or Olig2+ putative
oligodendrocytes. In both age groups, graft cores located in non-neurogenic
regions displayed many doublecortin-positive (DCX+) immature neurons at 3 months
after grafting. Analyses of cells within graft cores using birth dating and
putative NSC markers revealed that DCX+ neurons were newly born neurons derived
from engrafted cells and that putative NSCs persisted within the graft cores.
Thus, both young and aged hippocampi support robust engraftment and similar
differentiation of SVZ-NSC graft-derived cells. Furthermore, some grafted NSCs
retain the "stemness" feature and produce new neurons even at 3 months after
grafting, implying that grafting of SVZ-NSCs into the young or aged hippocampus
leads to establishment of new neurogenic niches in non-neurogenic regions.
SIGNIFICANCE: The results demonstrate that advanced age of the host at the time
of grafting has no major adverse effects on engraftment, migration, and
differentiation of grafted subventricular zone-neural stem cells (SVZ-NSCs) in
the intact hippocampus, as both young and aged hippocampi promoted excellent
engraftment, migration, and differentiation of SVZ-NSC graft-derived cells in the
present study. Furthermore, SVZ-NSC grafts showed ability for establishing
neurogenic niches in non-neurogenic regions, generating new neurons for extended
periods after grafting. This phenomenon will be beneficial if these niches can
continuously generate new neurons and glia in the grafted hippocampus, as newly
generated neurons and glia are expected to improve, not only the
microenvironment, but also the plasticity and function of the aged hippocampus.
Overall, these results have significance because the potential application of NSC
grafting for treatment of neurodegenerative disorders at early stages of disease
progression and age-related impairments would mostly involve aged persons as
recipients.
PMID- 27194746
TI - Overnutrition, ectopic lipid and the metabolic syndrome.
AB - The metabolic syndrome is a constellation of metabolic risk factors including
atherogenic dyslipidemia (elevated serum triglycerides, reduced high-density
lipoprotein (HDL) cholesterol), elevated blood pressure, dysglycemia (insulin
resistance and elevated serum glucose), a pro-inflammatory state, and a
prothrombotic state. Most persons with metabolic syndrome are obese, and usually
have abdominal obesity. Generally, obesity is a reflection of overnutrition. A
current view is that when adipose tissue fails to store all excess nutrients as
triglyceride, lipid begins to accumulate in various tissues (eg, muscle, liver,
pancreas, and heart). This accumulation is called ectopic lipid. Various
mechanisms have been proposed whereby ectopic lipid is detrimental in different
tissues; these derangements induce metabolic risk factors. The foundation of the
metabolic syndrome thus appears to be overnutrition, that is, more nutrient
intake than can be safely disposed by lipid oxidation. Excess dietary
carbohydrate also induces ectopic lipid. Of interest, less than half of obese
individuals develop metabolic syndrome. Through various mechanisms they adapt to
overnutrition so as to minimize lipid overload in tissues, and consequently,
prevent the syndrome.
PMID- 27194745
TI - Epigenetic Library Screen Identifies Abexinostat as Novel Regulator of Adipocytic
and Osteoblastic Differentiation of Human Skeletal (Mesenchymal) Stem Cells.
AB - : The epigenetic mechanisms promoting lineage-specific commitment of human
skeletal (mesenchymal or stromal) stem cells (hMSCs) into adipocytes or
osteoblasts are still not fully understood. Herein, we performed an epigenetic
library functional screen and identified several novel compounds, including
abexinostat, which promoted adipocytic and osteoblastic differentiation of hMSCs.
Using gene expression microarrays, chromatin immunoprecipitation for H3K9Ac
combined with high-throughput DNA sequencing (ChIP-seq), and bioinformatics, we
identified several key genes involved in regulating stem cell proliferation and
differentiation that were targeted by abexinostat. Concordantly, ChIP
quantitative polymerase chain reaction revealed marked increase in H3K9Ac
epigenetic mark on the promoter region of AdipoQ, FABP4, PPARgamma, KLF15, CEBPA,
SP7, and ALPL in abexinostat-treated hMSCs. Pharmacological inhibition of focal
adhesion kinase (PF-573228) or insulin-like growth factor-1R/insulin receptor
(NVP-AEW51) signaling exhibited significant inhibition of abexinostat-mediated
adipocytic differentiation, whereas inhibition of WNT (XAV939) or transforming
growth factor-beta (SB505124) signaling abrogated abexinostat-mediated osteogenic
differentiation of hMSCs. Our findings provide insight into the understanding of
the relationship between the epigenetic effect of histone deacetylase inhibitors,
transcription factors, and differentiation pathways governing adipocyte and
osteoblast differentiation. Manipulating such pathways allows a novel use for
epigenetic compounds in hMSC-based therapies and tissue engineering.
SIGNIFICANCE: This unbiased epigenetic library functional screen identified
several novel compounds, including abexinostat, that promoted adipocytic and
osteoblastic differentiation of human skeletal (mesenchymal or stromal) stem
cells (hMSCs). These data provide new insight into the understanding of the
relationship between the epigenetic effect of histone deacetylase inhibitors,
transcription factors, and differentiation pathways controlling adipocyte and
osteoblast differentiation of hMSCs. Manipulating such pathways allows a novel
use for epigenetic compounds in hMSC-based therapies for tissue engineering, bone
disease, obesity, and metabolic-disorders.
PMID- 27194747
TI - Faecal eosinophil cationic protein and serum immunoglobulin E in relation to
infant feeding practices.
AB - Background To date, the effects of exclusive breastfeeding duration and timing of
solid food introduction on allergy prevention are unclear. The aim of this study
was to determine the effect of variable feeding practices on intestinal
inflammation in infants using faecal eosinophil cationic protein as a surrogate
marker and to assess whether faecal eosinophil cationic protein is associated
with serum immunoglobulin E. Methods Subjects ( n = 206) were enrolled from the
Prediction of Allergies in Taiwanese CHildren (PATCH) birth cohort study. Stool
samples were collected at 6 and 12 months for determining eosinophil cationic
protein, and blood was collected for determining total and allergen-specific
immunoglobulin E at 12 months. We compared these biomarkers between infants with
variable exclusive breastfeeding duration and infants introduced to solid foods
at various periods. The association between faecal eosinophil cationic protein,
total serum immunoglobulin E and specific immunoglobulin E was also analysed.
Results Faecal eosinophil cationic protein was significantly higher in
exclusively breastfed infants compared with formula-fed infants and infants who
were not exclusively breastfed at 6 months of age ( P < 0.05). At 12 months,
infants who were introduced to solid foods at 5-6 months had the lowest faecal
eosinophil cationic protein compared with those who were introduced at earlier
and later periods. There was no significant association between faecal eosinophil
cationic protein and serum immunoglobulin E. Conclusion We found that
breastfeeding exclusively for >6 months did not reduce serum immunoglobulin E,
but rather increased intestinal inflammation. Faecal eosinophil cationic protein
was not associated with total serum immunoglobulin E and specific immunoglobulin
E and might not be a useful indictor of immunoglobulin E sensitization in
infancy.
PMID- 27194749
TI - Epistasis and the Dynamics of Reversion in Molecular Evolution.
AB - Recent studies of protein evolution contend that the longer an amino acid
substitution is present at a site, the less likely it is to revert to the amino
acid previously occupying that site. Here we study this phenomenon of decreasing
reversion rates rigorously and in a much more general context. We show that,
under weak mutation and for arbitrary fitness landscapes, reversion rates
decrease with time for any site that is involved in at least one epistatic
interaction. Specifically, we prove that, at stationarity, the hazard function of
the distribution of waiting times until reversion is strictly decreasing for any
such site. Thus, in the presence of epistasis, the longer a particular character
has been absent from a site, the less likely the site will revert to its prior
state. We also explore several examples of this general result, which share a
common pattern whereby the probability of having reverted increases rapidly at
short times to some substantial value before becoming almost flat after a few
substitutions at other sites. This pattern indicates a characteristic tendency
for reversion to occur either almost immediately after the initial substitution
or only after a very long time.
PMID- 27194748
TI - Retinal Axon Guidance Requires Integration of Eya and the Jak/Stat Pathway into
Phosphotyrosine-Based Signaling Circuitries in Drosophila.
AB - The transcriptional coactivator and phosphatase eyes absent (Eya) is dynamically
compartmentalized between the nucleus and cytoplasm. Although the nuclear
transcriptional circuits within which Eya operates have been extensively
characterized, understanding of its cytoplasmic functions and interactions
remains limited. Our previous work showed that phosphorylation of Drosophila Eya
by the Abelson tyrosine kinase can recruit Eya to the cytoplasm and that eya
abelson interactions are required for photoreceptor axons to project to correct
layers in the brain. Based on these observations, we postulated that
photoreceptor axon targeting might provide a suitable context for identifying the
cytoplasmic signaling cascades with which Eya interacts. Using a dose-sensitive
eya misexpression background, we performed an RNA interference-based genetic
screen to identify suppressors. Included among the top 10 hits were nonreceptor
tyrosine kinases and multiple members of the Jak/Stat signaling network (hop,
Stat92E, Socs36E, and Socs44A), a pathway not previously implicated in axon
targeting. Individual loss-of-function phenotypes combined with analysis of
axonal projections in Stat92E null clones confirmed the importance of
photoreceptor autonomous Jak/Stat signaling. Experiments in cultured cells
detected cytoplasmic complexes between Eya and Hop, Socs36E and Socs44A; the
latter interaction required both the Src homology 2 motif in Socs44A and tyrosine
phosphorylated Eya, suggesting direct binding and validating the premise of the
screen. Taken together, our data provide new insight into the cytoplasmic
phosphotyrosine signaling networks that operate during photoreceptor axon
guidance and suggest specific points of interaction with Eya.
PMID- 27194753
TI - Social Participation and Cognitive Decline Among Community-dwelling Older Adults:
A Community-based Longitudinal Study.
AB - Objective: To examine whether social participation (SP) by older individuals is
associated with cognitive decline (CD). Method: Participants were community
dwelling older adults aged 65 or older with both independent activities of daily
living and normal cognitive performance at baseline (2,768 men and 3,325 women).
CD was evaluated using the Cognitive Performance Scale. Logistic regression
analysis stratified by gender was used to examine CD in relation to SP, with
nonparticipation as reference. Age, family, body mass index, pensions,
comorbidities, medications, alcohol, smoking, depression, self-rated health, and
instrumental activities of daily living were used as covariates. Results: During
the 3-year follow-up, 16.7% of eligible participants reported CD. After covariate
adjustments, greater social group participation was associated with CD prevention
for women only. Lessened negative CD effects were found in respondents active in
these particular types of social outlets: neighborhood associations (odds ratio =
0.81, 95% confidence interval = 0.66-0.99) and local event groups (0.79, 0.63
0.99) for men, and hobby groups (0.70, 0.54-0.91) and volunteer groups (0.66,
0.45-0.96) for women. Discussion: Our results suggest that greater social group
participation prevents CD in women, while the beneficial effect of each type of
SP on cognition differs between genders. Determining which types of social groups
are best for community-dwelling older people's participation based on gender may
help them maintain their cognitive functioning abilities.
PMID- 27194751
TI - Novel Intronic RNA Structures Contribute to Maintenance of Phenotype in
Saccharomyces cerevisiae.
AB - The Saccharomyces cerevisiae genome has undergone extensive intron loss during
its evolutionary history. It has been suggested that the few remaining introns
(in only 5% of protein-coding genes) are retained because of their impact on
function under stress conditions. Here, we explore the possibility that novel
noncoding RNA structures (ncRNAs) are embedded within intronic sequences and are
contributing to phenotype and intron retention in yeast. We employed de novo RNA
structure prediction tools to screen intronic sequences in S. cerevisiae and 36
other fungi. We identified and validated 19 new intronic RNAs via RNA sequencing
(RNA-seq) and RT-PCR. Contrary to the common belief that excised introns are
rapidly degraded, we found that, in six cases, the excised introns were
maintained intact in the cells. In another two cases we showed that the ncRNAs
were further processed from their introns. RNA-seq analysis confirmed that
introns in ribosomal protein genes are more highly expressed when they contain
predicted RNA structures. We deleted the novel intronic RNA structure within the
GLC7 intron and showed that this region, rather than the intron itself, is
responsible for the cell's ability to respond to salt stress. We also showed a
direct association between the in cis presence of the intronic RNA and GLC7
expression. Overall, these data support the notion that some introns may have
been maintained in the genome because they harbor functional RNA structures.
PMID- 27194750
TI - Heterozygote Advantage Is a Common Outcome of Adaptation in Saccharomyces
cerevisiae.
AB - Adaptation in diploids is predicted to proceed via mutations that are at least
partially dominant in fitness. Recently, we argued that many adaptive mutations
might also be commonly overdominant in fitness. Natural (directional) selection
acting on overdominant mutations should drive them into the population but then,
instead of bringing them to fixation, should maintain them as balanced
polymorphisms via heterozygote advantage. If true, this would make adaptive
evolution in sexual diploids differ drastically from that of haploids. The
validity of this prediction has not yet been tested experimentally. Here, we
performed four replicate evolutionary experiments with diploid yeast populations
(Saccharomyces cerevisiae) growing in glucose-limited continuous cultures. We
sequenced 24 evolved clones and identified initial adaptive mutations in all four
chemostats. The first adaptive mutations in all four chemostats were three copy
number variations, all of which proved to be overdominant in fitness. The fact
that fitness overdominant mutations were always the first step in independent
adaptive walks supports the prediction that heterozygote advantage can arise as a
common outcome of directional selection in diploids and demonstrates that
overdominance of de novo adaptive mutations in diploids is not rare.
PMID- 27194754
TI - Carbon Dioxide Angiography.
PMID- 27194752
TI - Buffering of Genetic Regulatory Networks in Drosophila melanogaster.
AB - Regulatory variation in gene expression can be described by cis- and trans
genetic components. Here we used RNA-seq data from a population panel of
Drosophila melanogaster test crosses to compare allelic imbalance (AI) in female
head tissue between mated and virgin flies, an environmental change known to
affect transcription. Indeed, 3048 exons (1610 genes) are differentially
expressed in this study. A Bayesian model for AI, with an intersection test,
controls type I error. There are ~200 genes with AI exclusively in mated or
virgin flies, indicating an environmental component of expression regulation. On
average 34% of genes within a cross and 54% of all genes show evidence for
genetic regulation of transcription. Nearly all differentially regulated genes
are affected in cis, with an average of 63% of expression variation explained by
the cis-effects. Trans-effects explain 8% of the variance in AI on average and
the interaction between cis and trans explains an average of 11% of the total
variance in AI. In both environments cis- and trans-effects are compensatory in
their overall effect, with a negative association between cis- and trans-effects
in 85% of the exons examined. We hypothesize that the gene expression level
perturbed by cis-regulatory mutations is compensated through trans-regulatory
mechanisms, e.g., trans and cis by trans-factors buffering cis-mutations. In
addition, when AI is detected in both environments, cis-mated, cis-virgin, and
trans-mated-trans-virgin estimates are highly concordant with 99% of all exons
positively correlated with a median correlation of 0.83 for cis and 0.95 for
trans We conclude that the gene regulatory networks (GRNs) are robust and that
trans-buffering explains robustness.
PMID- 27194755
TI - Rotarex Mechanical Debulking in Acute and Subacute Arterial Lesions.
AB - INTRODUCTION: Data regarding the effectiveness and safety of percutaneous
mechanical thrombectomy (PMT) in contemporary routine care are scarce. MATERIALS
AND METHODS: Consecutive patients undergoing PMT of native lower limb
acutely/subacutely occluded arteries were included. RESULTS: In all, 525
consecutive patients were available for analysis with a mean age of 66.7 +/- 10.7
years. Almost two-third (n = 324; 61.7%) were men with acutely (n = 211; 40.2%)
or subacutely (n = 314; 59.8%) occluded lesions presenting mostly in Rutherford
Becker category (RBC): 3 (30.3%) and 4 (47.6%). Mean lesion length was 159 mm
(range 22-279 mm), with moderate and severe calcification in 119 (23.3%) lesions.
PMT was performed solely in 161(27.2%), PMT + balloon angioplasty in 232 (39.1%),
provisional stenting in 169 (28.4%), and thrombolysis in 77 (13.9%)
interventions. Procedural technical success rate was 97.7%, with improvement in
RBC persisting in 74.1% of patients after 12 +/- 2.4 months mean time follow-up.
Overall 30 days major adverse events (MAEs) was 6.9% with a mortality rate of
1.1%. No death was directly related to the device. After 12 months, a promising
overall target lesion revascularization (TLR; 10.1%), non-TLR (6.6%), and major
amputation rates (2.3%) were found. One-year mortality was 8%. CONCLUSION:
Treatment with PMT resulted in clinic and hemodynamic improvement in the majority
of patients, thereby reducing the need for thrombolysis in a significant
proportion of patients. Prospective studies in this issue are recommended.
PMID- 27194757
TI - A Novel Open and Infectious Form of Echovirus 1.
AB - One of the hallmarks of enterovirus genome delivery is the formation of an
uncoating intermediate particle. Based on previous studies of mostly heated
picornavirus particles, intermediate particles were shown to have externalized
the innermost capsid protein (VP4) and exposed the N terminus of VP1 and to have
reduced infectivity. Here, in addition to the native and intact particle type, we
have identified another type of infectious echovirus 1 (E1) particle population
during infection. Our results show that E1 is slightly altered during entry,
which leads to the broadening of the major virion peak in the sucrose gradient.
In contrast, CsCl gradient separation revealed that in addition to the light
intact and empty particles, a dense particle peak appeared during infection in
cells. When the broad peak from the sucrose gradient was subjected to a CsCl
gradient, it revealed light and dense particles, further suggesting that the
shoulder represents the dense particle. The dense particle was permeable to SYBR
green II, it still contained most of its VP4, and it was able to bind to its
receptor alpha2beta1 integrin and showed high infectivity. A thermal assay
further showed that the alpha2beta1 integrin binding domain (I-domain) stabilized
the virus particle. Finally, heating E1 particles to superphysiological
temperatures produced more fragile particles with aberrant ultrastructural
appearances, suggesting that they are distinct from the dense E1 particles. These
results describe a more open and highly infectious E1 particle that is naturally
produced during infection and may represent a novel form of an uncoating
intermediate. IMPORTANCE: In this paper, we have characterized a possible
uncoating intermediate particle of E1 that is produced in cells during infection.
Before releasing their genome into the host cytosol, enteroviruses go through
structural changes in their capsid, forming an uncoating intermediate particle.
It was shown previously that structural changes can be induced by receptor
interactions and, in addition, by heating the native virion to superphysiological
temperatures. Here, we demonstrate that an altered, still infectious E1 particle
is found during infection. This particle has a more open structure, and it cannot
be formed by heating. It still contains the VP4 protein and is able to bind to
its receptor and cause infection. Moreover, we show that in contrast to some
other enteroviruses, the receptor-virion interaction has a stabilizing effect on
E1. This paper highlights the differences between enterovirus species and further
increases our understanding of various uncoating forms of enteroviruses.
PMID- 27194756
TI - Transformation by Polyomavirus Middle T Antigen Involves a Unique Bimodal
Interaction with the Hippo Effector YAP.
AB - Murine polyomavirus has repeatedly provided insights into tumorigenesis,
revealing key control mechanisms such as tyrosine phosphorylation and
phosphoinositide 3-kinase (PI3K) signaling. We recently demonstrated that
polyomavirus small T antigen (ST) binds YAP, a major effector of Hippo signaling,
to regulate differentiation. Here we characterize YAP as a target of middle T
antigen (MT) important for transformation. Through a surface including residues
R103 and D182, wild-type MT binds to the YAP WW domains. Mutation of either R103
or D182 of MT abrogates YAP binding without affecting binding to other signaling
molecules or the strength of PI3K or Ras signaling. Either genetic abrogation of
YAP binding to MT or silencing of YAP via short hairpin RNA (shRNA) reduced MT
transformation, suggesting that YAP makes a positive contribution to the
transformed phenotype. MT targets YAP both by activating signaling pathways that
affect it and by binding to it. MT signaling, whether from wild-type MT or the
YAP-binding MT mutant, promoted YAP phosphorylation at S127 and S381/397
(YAP2/YAP1). Consistent with the known functions of these phosphorylated serines,
MT signaling leads to the loss of YAP from the nucleus and degradation. Binding
of YAP to MT brings it together with protein phosphatase 2A (PP2A), leading to
the dephosphorylation of YAP in the MT complex. It also leads to the enrichment
of YAP in membranes. Taken together, these results indicate that YAP promotes MT
transformation via mechanisms that may depart from YAP's canonical oncogenic
transcriptional activation functions. IMPORTANCE: The highly conserved Hippo/YAP
pathway is important for tissue development and homeostasis. Increasingly,
changes in this pathway are being associated with cancer. Middle T antigen (MT)
is the primary polyomavirus oncogene responsible for tumor formation. In this
study, we show that MT signaling promotes YAP phosphorylation, loss from the
nucleus, and increased turnover. Notably, MT genetics demonstrate that YAP
binding to MT is important for transformation. Because MT also binds PP2A, YAP
bound to MT is dephosphorylated, stabilized, and localized to membranes. Taken
together, these results indicate that YAP promotes MT transformation via
mechanisms that depart from YAP's canonical oncogenic transcriptional activation
functions.
PMID- 27194758
TI - CD47 Plays a Role as a Negative Regulator in Inducing Protective Immune Responses
to Vaccination against Influenza Virus.
AB - An integrin-associated protein CD47, which is a ligand for the inhibitory
receptor signal regulatory protein alpha, is expressed on B and T cells, as well
as on most innate immune cells. However, the roles of CD47 in the immune
responses to viral infection or vaccination remain unknown. We investigated the
role of CD47 in inducing humoral immune responses after intranasal infection with
virus or immunization with influenza virus-like particles (VLPs). Virus infection
or vaccination with VLPs containing hemagglutinin from A/PR8/34 influenza virus
induced higher levels of antigen-specific IgG2c isotype dominant antibodies in
CD47-deficient (CD47KO) mice than in wild-type (WT) mice. CD47KO mice with
vaccination showed greater protective efficacy against lethal challenge, as
evidenced by no loss in body weight and reduced lung viral titers compared to WT
mice. In addition, inflammatory responses which include cytokine production,
leukocyte infiltrates, and gamma interferon-producing CD4(+) T cells, as well as
an anti-inflammatory cytokine (interleukin-10), were reduced in the lungs of
vaccinated CD47KO mice after challenge with influenza virus. Analysis of
lymphocytes indicated that GL7(+) germinal center B cells were induced at higher
levels in the draining lymph nodes of CD47KO mice compared to those in WT mice.
Notably, CD47KO mice exhibited significant increases in the numbers of antigen
specific memory B cells in spleens and plasma cells in bone marrow despite their
lower levels of background IgG antibodies. These results suggest that CD47 plays
a role as a negative regulator in inducing protective immune responses to
influenza vaccination. IMPORTANCE: Molecular mechanisms that control B cell
activation to produce protective antibodies upon viral vaccination remain poorly
understood. The CD47 molecule is known to be a ligand for the inhibitory receptor
signal regulatory protein alpha and expressed on the surfaces of most immune cell
types. CD47 was previously demonstrated to play an important role in modulating
the migration of monocytes, neutrophils, polymorphonuclear neutrophils, and
dendritic cells into the inflamed tissues. The results of this study demonstrate
new roles of CD47 in negatively regulating the induction of protective IgG
antibodies, germinal center B cells, and plasma cells secreting antigen-specific
antibodies, as well as macrophages, upon influenza vaccination and challenge. As
a consequence, vaccinated CD47-deficient mice demonstrated better control of
influenza viral infection and enhanced protection. This study provides insights
into understanding the regulatory functions of CD47 in inducing adaptive immunity
to vaccination.
PMID- 27194759
TI - Mosaic H5 Hemagglutinin Provides Broad Humoral and Cellular Immune Responses
against Influenza Viruses.
AB - The most effective way to prevent influenza virus infection is via vaccination.
However, the constant mutation of influenza viruses due to antigenic drift and
shift compromises vaccine efficacy. This represents a major challenge to the
development of a cross-protective vaccine that can protect against circulating
viral antigenic diversity. Using the modified vaccinia Ankara (MVA) virus, we had
previously generated a recombinant vaccine against highly pathogenic avian
influenza virus (H5N1) based on an in silico mosaic approach. This MVA-H5M
construct protected mice against multiple clades of H5N1 and H1N1 viruses. We
have now further characterized the immune responses using immunodepletion of T
cells and passive serum transfer, and these studies indicate that antibodies are
the main contributors in homosubtypic protection (H5N1 clades). Compared to a MVA
construct expressing hemagglutinin (HA) from influenza virus A/VN/1203/04 (MVA
HA), the MVA-H5M vaccine markedly increased and broadened B cell and T cell
responses against H5N1 virus. The MVA-H5M also provided effective protection with
no morbidity against H5N1 challenge, whereas MVA-HA-vaccinated mice showed
clinical signs and experienced significant weight loss. In addition, MVA-H5M
induced CD8(+) T cell responses that play a major role in heterosubtypic
protection (H1N1). Finally, expression of the H5M gene as either a DNA vaccine or
a subunit protein protected mice against H5N1 challenge, indicating the
effectiveness of the mosaic sequence without viral vectors for the development of
a universal influenza vaccine. IMPORTANCE: Influenza viruses infect up to one
billion people around the globe each year and are responsible for 300,000 to
500,000 deaths annually. Vaccines are still the main intervention to prevent
infection, but they fail to provide effective protection against heterologous
strains of viruses. We developed broadly reactive H5N1 vaccine based on an in
silico mosaic approach and previously demonstrated that modified vaccinia Ankara
expressing an H5 mosaic hemagglutinin prevented infection with multiple clades of
H5N1 and limited severe disease after H1N1 infection. Further characterization
revealed that antibody responses and T cells are main contributors to protection
against H5N1 and H1N1 viruses, respectively. The vaccine also broadens both T
cell and B cell responses compared to native H5 vaccine from influenza virus
A/Vietnam/1203/04. Finally, delivering the H5 mosaic as a DNA vaccine or as a
purified protein demonstrated effective protection similar to the viral vector
approach.
PMID- 27194760
TI - Uukuniemi Virus as a Tick-Borne Virus Model.
AB - In the last decade, novel tick-borne pathogenic phleboviruses in the family
Bunyaviridae, all closely related to Uukuniemi virus (UUKV), have emerged on
different continents. To reproduce the tick-mammal switch in vitro, we first
established a reverse genetics system to rescue UUKV with a genome close to that
of the authentic virus isolated from the Ixodes ricinus tick reservoir. The
IRE/CTVM19 and IRE/CTVM20 cell lines, both derived from I. ricinus, were
susceptible to the virus rescued from plasmid DNAs and supported production of
the virus over many weeks, indicating that infection was persistent. The
glycoprotein GC was mainly highly mannosylated on tick cell-derived viral
progeny. The second envelope viral protein, GN, carried mostly N-glycans not
recognized by the classical glycosidases peptide-N-glycosidase F (PNGase F) and
endoglycosidase H (Endo H). Treatment with beta-mercaptoethanol did not impact
the apparent molecular weight of GN On viruses originating from mammalian BHK-21
cells, GN glycosylations were exclusively sensitive to PNGase F, and the
electrophoretic mobility of the protein was substantially slower after the
reduction of disulfide bonds. Furthermore, the amount of viral nucleoprotein per
focus forming unit differed markedly whether viruses were produced in tick or BHK
21 cells, suggesting a higher infectivity for tick cell-derived viruses.
Together, our results indicate that UUKV particles derived from vector tick cells
have glycosylation and structural specificities that may influence the initial
infection in mammalian hosts. This study also highlights the importance of
working with viruses originating from arthropod vector cells in investigations of
the cell biology of arbovirus transmission and entry into mammalian hosts.
IMPORTANCE: Tick-borne phleboviruses represent a growing threat to humans
globally. Although ticks are important vectors of infectious emerging diseases,
previous studies have mainly involved virus stocks produced in mammalian cells.
This limitation tends to minimize the importance of host alternation in virus
transmission to humans and initial infection at the molecular level. With this
study, we have developed an in vitro tick cell-based model that allows production
of the tick-borne Uukuniemi virus to high titers. Using this system, we found
that virions derived from tick cells have specific structural properties and N
glycans that may enhance virus infectivity for mammalian cells. By shedding light
on molecular aspects of tick-derived viral particles, our data illustrate the
importance of considering the host switch in studying early virus-mammalian
receptor/cell interactions. The information gained here lays the basis for future
research on not only tick-borne phleboviruses but also all viruses and other
pathogens transmitted by ticks.
PMID- 27194761
TI - Cell-to-Cell Contact and Nectin-4 Govern Spread of Measles Virus from Primary
Human Myeloid Cells to Primary Human Airway Epithelial Cells.
AB - Measles is a highly contagious, acute viral illness. Immune cells within the
airways are likely first targets of infection, and these cells traffic measles
virus (MeV) to lymph nodes for amplification and subsequent systemic
dissemination. Infected immune cells are thought to return MeV to the airways;
however, the mechanisms responsible for virus transfer to pulmonary epithelial
cells are poorly understood. To investigate this process, we collected blood from
human donors and generated primary myeloid cells, specifically, monocyte-derived
macrophages (MDMs) and dendritic cells (DCs). MDMs and DCs were infected with MeV
and then applied to primary cultures of well-differentiated airway epithelial
cells from human donors (HAE). Consistent with previous results obtained with
free virus, infected MDMs or DCs were incapable of transferring MeV to HAE when
applied to the apical surface. Likewise, infected MDMs or DCs applied to the
basolateral surface of HAE grown on small-pore (0.4-MUm) support membranes did
not transfer virus. In contrast, infected MDMs and DCs applied to the basolateral
surface of HAE grown on large-pore (3.0-MUm) membranes successfully transferred
MeV. Confocal microscopy demonstrated that MDMs and DCs are capable of
penetrating large-pore membranes but not small-pore membranes. Further, by using
a nectin-4 blocking antibody or recombinant MeV unable to enter cells through
nectin-4, we demonstrated formally that transfer from immune cells to HAE occurs
in a nectin-4-dependent manner. Thus, both infected MDMs and DCs rely on cell-to
cell contacts and nectin-4 to efficiently deliver MeV to the basolateral surface
of HAE. IMPORTANCE: Measles virus spreads rapidly and efficiently in human airway
epithelial cells. This rapid spread is based on cell-to-cell contact rather than
on particle release and reentry. Here we posit that MeV transfer from infected
immune cells to epithelial cells also occurs by cell-to-cell contact rather than
through cell-free particles. In addition, we sought to determine which immune
cells transfer MeV infectivity to the human airway epithelium. Our studies are
based on two types of human primary cells: (i) myeloid cells generated from
donated blood and (ii) well-differentiated airway epithelial cells derived from
donor lungs. We show that different types of myeloid cells, i.e., monocyte
derived macrophages and dendritic cells, transfer infection to airway epithelial
cells. Furthermore, cell-to-cell contact is an important component of successful
MeV transfer. Our studies elucidate a mechanism by which the most contagious
human respiratory virus is delivered to the airway epithelium.
PMID- 27194762
TI - CD8+ T Cell Breadth and Ex Vivo Virus Inhibition Capacity Distinguish between
Viremic Controllers with and without Protective HLA Class I Alleles.
AB - The mechanisms of viral control and loss of viral control in chronically infected
individuals with or without protective HLA class I alleles are not fully
understood. We therefore characterized longitudinally the immunological and
virological features that may explain divergence in disease outcome in 70 HIV-1 C
clade-infected antiretroviral therapy (ART)-naive South African adults, 35 of
whom possessed protective HLA class I alleles. We demonstrate that, over 5 years
of longitudinal study, 35% of individuals with protective HLA class I alleles
lost viral control compared to none of the individuals without protective HLA
class I alleles (P = 0.06). Sustained HIV-1 control in patients with protective
HLA class I alleles was characteristically related to the breadth of HIV-1 CD8(+)
T cell responses against Gag and enhanced ability of CD8(+) T cells to suppress
viral replication ex vivo In some cases, loss of virological control was
associated with reduction in the total breadth of CD8(+) T cell responses in the
absence of differences in HIV-1-specific CD8(+) T cell polyfunctionality or
proliferation. In contrast, viremic controllers without protective HLA class I
alleles possessed reduced breadth of HIV-1-specific CD8(+) T cell responses
characterized by reduced ability to suppress viral replication ex vivo These data
suggest that the control of HIV-1 in individuals with protective HLA class I
alleles may be driven by broad CD8(+) T cell responses with potent viral
inhibitory capacity while control among individuals without protective HLA class
I alleles may be more durable and mediated by CD8(+) T cell-independent
mechanisms. IMPORTANCE: Host mechanisms of natural HIV-1 control are not fully
understood. In a longitudinal study of antiretroviral therapy (ART)-naive
individuals, we show that those with protective HLA class I alleles subsequently
experienced virologic failure compared to those without protective alleles. Among
individuals with protective HLA class I alleles, viremic control was associated
with broad CD8(+) T cells that targeted the Gag protein, and CD8(+) T cells from
these individuals exhibited superior virus inhibition capacity. In individuals
without protective HLA class I alleles, HIV-1-specific CD8(+) T cell responses
were narrow and poorly inhibited virus replication. These results suggest that
broad, highly functional cytotoxic T cells (cytotoxic T lymphocytes [CTLs])
against the HIV-1 Gag protein are associated with control among those with
protective HLA class I alleles and that loss of these responses eventually leads
to viremia. A subset of individuals appears to have alternative, non-CTL
mechanisms of viral control. These controllers may hold the key to an effective
HIV vaccine.
PMID- 27194763
TI - Measurements of Intrahost Viral Diversity Are Extremely Sensitive to Systematic
Errors in Variant Calling.
AB - With next-generation sequencing technologies, it is now feasible to efficiently
sequence patient-derived virus populations at a depth of coverage sufficient to
detect rare variants. However, each sequencing platform has characteristic error
profiles, and sample collection, target amplification, and library preparation
are additional processes whereby errors are introduced and propagated. Many
studies account for these errors by using ad hoc quality thresholds and/or
previously published statistical algorithms. Despite common usage, the majority
of these approaches have not been validated under conditions that characterize
many studies of intrahost diversity. Here, we use defined populations of
influenza virus to mimic the diversity and titer typically found in patient
derived samples. We identified single-nucleotide variants using two commonly
employed variant callers, DeepSNV and LoFreq. We found that the accuracy of these
variant callers was lower than expected and exquisitely sensitive to the input
titer. Small reductions in specificity had a significant impact on the number of
minority variants identified and subsequent measures of diversity. We were able
to increase the specificity of DeepSNV to >99.95% by applying an empirically
validated set of quality thresholds. When applied to a set of influenza virus
samples from a household-based cohort study, these changes resulted in a 10-fold
reduction in measurements of viral diversity. We have made our sequence data and
analysis code available so that others may improve on our work and use our data
set to benchmark their own bioinformatics pipelines. Our work demonstrates that
inadequate quality control and validation can lead to significant overestimation
of intrahost diversity. IMPORTANCE: Advances in sequencing technology have made
it feasible to sequence patient-derived viral samples at a level sufficient for
detection of rare mutations. These high-throughput, cost-effective methods are
revolutionizing the study of within-host viral diversity. However, the techniques
are error prone, and the methods commonly used to control for these errors have
not been validated under the conditions that characterize patient-derived
samples. Here, we show that these conditions affect measurements of viral
diversity. We found that the accuracy of previously benchmarked analysis
pipelines was greatly reduced under patient-derived conditions. By carefully
validating our sequencing analysis using known control samples, we were able to
identify biases in our method and to improve our accuracy to acceptable levels.
Application of our modified pipeline to a set of influenza virus samples from a
cohort study provided a realistic picture of intrahost diversity and suggested
the need for rigorous quality control in such studies.
PMID- 27194764
TI - Identification of Diverse Mycoviruses through Metatranscriptomics
Characterization of the Viromes of Five Major Fungal Plant Pathogens.
AB - Mycoviruses can have a marked effect on natural fungal communities and influence
plant health and productivity. However, a comprehensive picture of mycoviral
diversity is still lacking. To characterize the viromes of five widely dispersed
plant-pathogenic fungi, Colletotrichum truncatum, Macrophomina phaseolina,
Diaporthe longicolla, Rhizoctonia solani, and Sclerotinia sclerotiorum, a high
throughput sequencing-based metatranscriptomic approach was used to detect viral
sequences. Total RNA and double-stranded RNA (dsRNA) from mycelia and RNA from
samples enriched for virus particles were sequenced. Sequence data were assembled
de novo, and contigs with predicted amino acid sequence similarities to viruses
in the nonredundant protein database were selected. The analysis identified 72
partial or complete genome segments representing 66 previously undescribed
mycoviruses. Using primers specific for each viral contig, at least one fungal
isolate was identified that contained each virus. The novel mycoviruses showed
affinity with 15 distinct lineages: Barnaviridae, Benyviridae, Chrysoviridae,
Endornaviridae, Fusariviridae, Hypoviridae, Mononegavirales, Narnaviridae,
Ophioviridae, Ourmiavirus, Partitiviridae, Tombusviridae, Totiviridae,
Tymoviridae, and Virgaviridae More than half of the viral sequences were
predicted to be members of the Mitovirus genus in the family Narnaviridae, which
replicate within mitochondria. Five viral sequences showed strong affinity with
three families (Benyviridae, Ophioviridae, and Virgaviridae) that previously
contained no mycovirus species. The genomic information provides insight into the
diversity and taxonomy of mycoviruses and coevolution of mycoviruses and their
fungal hosts. IMPORTANCE: Plant-pathogenic fungi reduce crop yields, which
affects food security worldwide. Plant host resistance is considered a
sustainable disease management option but may often be incomplete or lacking for
some crops to certain fungal pathogens or strains. In addition, the rising issues
of fungicide resistance demand alternative strategies to reduce the negative
impacts of fungal pathogens. Those fungus-infecting viruses (mycoviruses) that
attenuate fungal virulence may be welcome additions for mitigation of plant
diseases. By high-throughput sequencing of the RNAs from 275 isolates of five
fungal plant pathogens, 66 previously undescribed mycoviruses were identified. In
addition to identifying new potential biological control agents, these results
expand the grand view of the diversity of mycoviruses and provide possible
insights into the importance of intracellular and extracellular transmission in
fungus-virus coevolution.
PMID- 27194765
TI - Dynein Regulators Are Important for Ecotropic Murine Leukemia Virus Infection.
AB - During the early steps of infection, retroviruses must direct the movement of the
viral genome into the nucleus to complete their replication cycle. This process
is mediated by cellular proteins that interact first with the reverse
transcription complex and later with the preintegration complex (PIC), allowing
it to reach and enter the nucleus. For simple retroviruses, such as murine
leukemia virus (MLV), the identities of the cellular proteins involved in
trafficking of the PIC in infection are unknown. To identify cellular proteins
that interact with the MLV PIC, we developed a replication-competent MLV in which
the integrase protein was tagged with a FLAG epitope. Using a combination of
immunoprecipitation and mass spectrometry, we established that the microtubule
motor dynein regulator DCTN2/p50/dynamitin interacts with the MLV preintegration
complex early in infection, suggesting a direct interaction between the incoming
viral particles and the dynein complex regulators. Further experiments showed
that RNA interference (RNAi)-mediated silencing of either DCTN2/p50/dynamitin or
another dynein regulator, NudEL, profoundly reduced the efficiency of infection
by ecotropic, but not amphotropic, MLV reporters. We propose that the cytoplasmic
dynein regulators are a critical component of the host machinery needed for
infection by the retroviruses entering the cell via the ecotropic envelope
pathway. IMPORTANCE: Retroviruses must access the chromatin of host cells to
integrate the viral DNA, but before this crucial event, they must reach the
nucleus. The movement through the cytoplasm-a crowded environment where diffusion
is slow-is thought to utilize retrograde transport along the microtubule network
by the dynein complex. Different viruses use different components of this
multisubunit complex. We found that the preintegration complex of murine leukemia
virus (MLV) interacts with the dynein complex and that regulators of this complex
are essential for infection. Our study provides the first insight into the
requirements for retrograde transport of the MLV preintegration complex.
PMID- 27194766
TI - ISG12a Restricts Hepatitis C Virus Infection through the Ubiquitination-Dependent
Degradation Pathway.
AB - Interferons (IFNs) restrict various kinds of viral infection via induction of
hundreds of IFN-stimulated genes (ISGs), while the functions of the majority of
ISGs are broadly unclear. Here, we show that a high-IFN-inducible gene, ISG12a
(also known as IFI27), exhibits a nonapoptotic antiviral effect on hepatitis C
virus (HCV) infection. Viral NS5A protein is targeted specifically by ISG12a,
which mediates NS5A degradation via a ubiquitination-dependent proteasomal
pathway. K374R mutation in NS5A domain III abrogates ISG12a-induced
ubiquitination and degradation of NS5A. S-phase kinase-associated protein 2
(SKP2) is identified as an ubiquitin E3 ligase for NS5A. ISG12a functions as a
crucial adaptor that promotes SKP2 to interact with and degrade viral protein.
Moreover, the antiviral effect of ISG12a is dependent on the E3 ligase activity
of SKP2. These findings uncover an intriguing mechanism by which ISG12a restricts
viral infection and provide clues for understanding the actions of innate
immunity. IMPORTANCE: Upon virus invasion, IFNs induce numerous ISGs to control
viral spread, while the functions of the majority of ISGs are broadly unclear.
The present study shows a novel antiviral mechanism of ISGs and elucidated that
ISG12a recruits an E3 ligase, SKP2, for ubiquitination and degradation of viral
protein and restricts viral infection. These findings provide important insights
into exploring the working principles of innate immunity.
PMID- 27194767
TI - Small-Molecule Fusion Inhibitors Bind the pH-Sensing Stable Signal Peptide-GP2
Subunit Interface of the Lassa Virus Envelope Glycoprotein.
AB - Arenavirus species are responsible for severe life-threatening hemorrhagic fevers
in western Africa and South America. Without effective antiviral therapies or
vaccines, these viruses pose serious public health and biodefense concerns.
Chemically distinct small-molecule inhibitors of arenavirus entry have recently
been identified and shown to act on the arenavirus envelope glycoprotein (GPC) to
prevent membrane fusion. In the tripartite GPC complex, pH-dependent membrane
fusion is triggered through a poorly understood interaction between the stable
signal peptide (SSP) and the transmembrane fusion subunit GP2, and our genetic
studies have suggested that these small-molecule inhibitors act at this interface
to antagonize fusion activation. Here, we have designed and synthesized
photoaffinity derivatives of the 4-acyl-1,6-dialkylpiperazin-2-one class of
fusion inhibitors and demonstrate specific labeling of both the SSP and GP2
subunits in a native-like Lassa virus (LASV) GPC trimer expressed in insect
cells. Photoaddition is competed by the parental inhibitor and other chemically
distinct compounds active against LASV, but not those specific to New World
arenaviruses. These studies provide direct physical evidence that these
inhibitors bind at the SSP-GP2 interface. We also find that GPC containing the
uncleaved GP1-GP2 precursor is not susceptible to photo-cross-linking, suggesting
that proteolytic maturation is accompanied by conformational changes at this
site. Detailed mapping of residues modified by the photoaffinity adducts may
provide insight to guide the further development of these promising lead
compounds as potential therapeutic agents to treat Lassa hemorrhagic fever.
IMPORTANCE: Hemorrhagic fever arenaviruses cause lethal infections in humans and,
in the absence of licensed vaccines or specific antiviral therapies, are
recognized to pose significant threats to public health and biodefense. Lead
small-molecule inhibitors that target the arenavirus envelope glycoprotein (GPC)
have recently been identified and shown to block GPC-mediated fusion of the viral
and cellular endosomal membranes, thereby preventing virus entry into the host
cell. Genetic studies suggest that these inhibitors act through a unique pH
sensing intersubunit interface in GPC, but atomic-level structural information is
unavailable. In this report, we utilize novel photoreactive fusion inhibitors and
photoaffinity labeling to obtain direct physical evidence for inhibitor binding
at this critical interface in Lassa virus GPC. Future identification of modified
residues at the inhibitor-binding site will help elucidate the molecular basis
for fusion activation and its inhibition and guide the development of effective
therapies to treat arenaviral hemorrhagic fevers.
PMID- 27194772
TI - Answers to Illuminations questions.
PMID- 27194769
TI - Stability of HIV Frameshift Site RNA Correlates with Frameshift Efficiency and
Decreased Virus Infectivity.
AB - Human immunodeficiency virus (HIV) replication is strongly dependent upon a
programmed ribosomal frameshift. Here we investigate the relationships between
the thermodynamic stability of the HIV type 1 (HIV-1) RNA frameshift site stem
loop, frameshift efficiency, and infectivity, using pseudotyped HIV-1 and HEK293T
cells. The data reveal a strong correlation between frameshift efficiency and
local, but not overall, RNA thermodynamic stability. Mutations that modestly
increase the local stability of the frameshift site RNA stem-loop structure
increase frameshift efficiency 2-fold to 3-fold in cells. Thus, frameshift
efficiency is determined by the strength of the thermodynamic barrier encountered
by the ribosome. These data agree with previous in vitro measurements, suggesting
that there are no virus- or host-specific factors that modulate frameshifting.
The data also indicate that there are no sequence-specific requirements for the
frameshift site stem-loop. A linear correlation between Gag-polymerase (Gag-Pol)
levels in cells and levels in virions supports the idea of a stochastic virion
assembly mechanism. We further demonstrate that the surrounding genomic RNA
secondary structure influences frameshift efficiency and that a mutation that
commonly arises in response to protease inhibitor therapy creates a functional
but inefficient secondary slippery site. Finally, HIV-1 mutants with enhanced
frameshift efficiencies are significantly less infectious, suggesting that
compounds that increase frameshift efficiency by as little as 2-fold may be
effective at suppressing HIV-1 replication. IMPORTANCE: HIV, like many
retroviruses, utilizes a -1 programmed ribosomal frameshift to generate viral
enzymes in the form of a Gag-Pol polyprotein precursor. Thus, frameshifting is
essential for viral replication. Here, we utilized a panel of mutant HIV strains
to demonstrate that in cells, frameshifting efficiency is correlated with the
stability of the local thermodynamic barrier to ribosomal translocation.
Increasing the stability of the frameshift site RNA increases the frameshift
efficiency 2-fold to 3-fold. Mutant viruses with increased frameshift
efficiencies have significantly reduced infectivity. These data suggest that this
effect might be exploited in the development of novel antiviral strategies.
PMID- 27194770
TI - Functional Incompatibility between the Generic NF-kappaB Motif and a Subtype
Specific Sp1III Element Drives the Formation of the HIV-1 Subtype C Viral
Promoter.
AB - Of the various genetic subtypes of human immunodeficiency virus types 1 and 2
(HIV-1 and HIV-2) and simian immunodeficiency virus (SIV), only in subtype C of
HIV-1 is a genetically variant NF-kappaB binding site found at the core of the
viral promoter in association with a subtype-specific Sp1III motif. How the
subtype-associated variations in the core transcription factor binding sites
(TFBS) influence gene expression from the viral promoter has not been examined
previously. Using panels of infectious viral molecular clones, we demonstrate
that subtype-specific NF-kappaB and Sp1III motifs have evolved for optimal gene
expression, and neither of the motifs can be replaced by a corresponding TFBS
variant. The variant NF-kappaB motif binds NF-kappaB with an affinity 2-fold
higher than that of the generic NF-kappaB site. Importantly, in the context of an
infectious virus, the subtype-specific Sp1III motif demonstrates a profound loss
of function in association with the generic NF-kappaB motif. An additional
substitution of the Sp1III motif fully restores viral replication, suggesting
that the subtype C-specific Sp1III has evolved to function with the variant, but
not generic, NF-kappaB motif. A change of only two base pairs in the central NF
kappaB motif completely suppresses viral transcription from the provirus and
converts the promoter into heterochromatin refractory to tumor necrosis factor
alpha (TNF-alpha) induction. The present work represents the first demonstration
of functional incompatibility between an otherwise functional NF-kappaB motif and
a unique Sp1 site in the context of an HIV-1 promoter. Our work provides
important leads as to the evolution of the HIV-1 subtype C viral promoter with
relevance for gene expression regulation and viral latency. IMPORTANCE: Subtype
specific genetic variations provide a powerful tool to examine how these
variations offer a replication advantage to specific viral subtypes, if any. Only
in subtype C of HIV-1 are two genetically distinct transcription factor binding
sites positioned at the most critical location of the viral promoter. Since a
single promoter regulates viral gene expression, the promoter variations can play
a critical role in determining the replication fitness of the viral strains. Our
work for the first time provides a scientific explanation for the presence of a
unique NF-kappaB binding motif in subtype C, a major HIV-1 genetic family
responsible for half of the global HIV-1 infections. The results offer compelling
evidence that the subtype C viral promoter not only is stronger but also is
endowed with a qualitative gain-of-function advantage. The genetically variant NF
kappaB and the Sp1III motifs may be respond differently to specific cell signal
pathways, and these mechanisms must be examined.
PMID- 27194773
TI - Answers to Epilogue questions.
PMID- 27194768
TI - Both cis and trans Activities of Foot-and-Mouth Disease Virus 3D Polymerase Are
Essential for Viral RNA Replication.
AB - The Picornaviridae is a large family of positive-sense RNA viruses that contains
numerous human and animal pathogens, including foot-and-mouth disease virus
(FMDV). The picornavirus replication complex comprises a coordinated network of
protein-protein and protein-RNA interactions involving multiple viral and host
cellular factors. Many of the proteins within the complex possess multiple roles
in viral RNA replication, some of which can be provided in trans (i.e., via
expression from a separate RNA molecule), while others are required in cis (i.e.,
expressed from the template RNA molecule). In vitro studies have suggested that
multiple copies of the RNA-dependent RNA polymerase (RdRp) 3D are involved in the
viral replication complex. However, it is not clear whether all these molecules
are catalytically active or what other function(s) they provide. In this study,
we aimed to distinguish between catalytically active 3D molecules and those that
build a replication complex. We report a novel nonenzymatic cis-acting function
of 3D that is essential for viral-genome replication. Using an FMDV replicon in
complementation experiments, our data demonstrate that this cis-acting role of 3D
is distinct from the catalytic activity, which is predominantly trans acting.
Immunofluorescence studies suggest that both cis- and trans-acting 3D molecules
localize to the same cellular compartment. However, our genetic and structural
data suggest that 3D interacts in cis with RNA stem-loops that are essential for
viral RNA replication. This study identifies a previously undescribed aspect of
picornavirus replication complex structure-function and an important methodology
for probing such interactions further. IMPORTANCE: Foot-and-mouth disease virus
(FMDV) is an important animal pathogen responsible for foot-and-mouth disease.
The disease is endemic in many parts of the world with outbreaks within livestock
resulting in major economic losses. Propagation of the viral genome occurs within
replication complexes, and understanding this process can facilitate the
development of novel therapeutic strategies. Many of the nonstructural proteins
involved in replication possess multiple functions in the viral life cycle, some
of which can be supplied to the replication complex from a separate genome (i.e.,
in trans) while others must originate from the template (i.e., in cis). Here, we
present an analysis of cis and trans activities of the RNA-dependent RNA
polymerase 3D. We demonstrate a novel cis-acting role of 3D in replication. Our
data suggest that this role is distinct from its enzymatic functions and requires
interaction with the viral genome. Our data further the understanding of genome
replication of this important pathogen.
PMID- 27194774
TI - Answers to Epilogue questions.
PMID- 27194775
TI - Answers to Epilogue questions.
PMID- 27194776
TI - Answers to Epilogue questions.
PMID- 27194777
TI - Fever, confusion, acute kidney injury: is this atypical neuroleptic malignant
syndrome following polypharmacy with clozapine and risperidone?
AB - OBJECTIVE: Clozapine is the gold-standard antipsychotic medication for treatment
refractory schizophrenia (TRS). However, one potentially lethal side effect of
clozapine, as with other antipsychotics, is neuroleptic malignant syndrome (NMS)
which could present differently in clozapine therapy. 'Atypical NMS' is a
recognised variant of NMS with less rigidity and delayed elevation of creatine
kinase; this variant is associated with clozapine. METHOD: A case from the
author's clinical practice was reviewed. RESULTS: A 67-year-old man with TRS was
treated with clozapine. Unfortunately, his physical condition deteriorated and he
presented with atypical NMS, which initially was treated as presumable urinary
tract infection. CONCLUSIONS: Atypical NMS is associated with clozapine. This
case exposes the potential difficulties in diagnosis, and highlights the
importance of considering less common diagnoses in acutely unwell psychiatric
patients.
PMID- 27194778
TI - Plumbing, philosophy and psychiatry.
AB - OBJECTIVES: To briefly examine shortcomings in the foundation concepts that
underlie theory and thought in contemporary psychiatry and to argue that these
shortcomings mislead research and practice. CONCLUSIONS: Psychiatry stands on a
complex of ideas and concepts that cannot be reduced to a satisfying or unifying
underlying conceptual system or idea. The ideas and concepts have been patched
together ad hoc and function until things go wrong. Growing professional dispute
and public disquiet indicates that things are going wrong. I suggest that
professional philosophers need to be engaged in the necessary infrastructure
repairs.
PMID- 27194779
TI - Finding an effective treatment for microvascular obstruction in STEMI: a road to
perdition?
PMID- 27194780
TI - Influence of Biochemical and Anthropometric Factors on the Presence of Insulin
Resistance in Adolescents.
AB - BACKGROUND: Insulin resistance plays a determinant role in the development of
metabolic syndrome in adolescents. The objective of the present study was to
determine the influence of factors commonly associated with insulin resistance in
a sample of adolescents. METHODS: This cross-sectional study included 976
adolescents from southeast Spain. Anthropometric and biochemical measurements
were performed, and insulin resistance was assessed using the homeostasis model
assessment-insulin resistance (HOMA-IR). RESULTS: Subjects with abnormal HOMA-IR
values had significantly higher body mass index (BMI), body fat content, waist
circumference, and systolic blood pressure (BP) than those with normal values.
Furthermore, levels of glucose, insulin, glycosylated hemoglobin, total
cholesterol, triglycerides, low-density lipoprotein-cholesterol, homocysteine,
nonesterified fatty acids, and ceruloplasmin were higher in subjects with
abnormal HOMA-IR values. Multivariate logistic regression analysis showed the
highest odds ratio (OR) for BMI and that combinations of BMI with body fat
content or systolic BP can increase the risk of insulin resistance 7-fold.
DISCUSSION: Anthropometric indicators have different levels of influence on the
risk of insulin resistance in adolescents, and a combination of two of these
indicators is enough to increase the risk 7-fold. Since the highest OR was
observed for BMI, the greatest effort should be directed to reducing this
parameter in adolescents. An adequate understanding by nursing personnel of
factors associated with insulin resistance is a key factor in the prevention of
this pathophysiological condition and its complications in adolescents.
PMID- 27194781
TI - Mitral regurgitation in heart failure: insights from CPET combined with exercise
echocardiography.
AB - Aims: In heart failure patients with reduced ejection fraction (HFrEF), exercise
induced functional mitral regurgitation (MR) may affect functional capacity and
outcome. We sought to study functional and cardiac phenotypes of HFrEF patients
according to the MR degree. Methods and results: We performed rest and exercise
echocardiography (Ex-Echo), simultaneously combined with cardiopulmonary exercise
test (CPET), in 102 HFrEF patients, identifying 3 groups: non-severe (ERO <20
mm2) MR (group A), exercise-induced severe (ERO >=20 mm2) MR (group B), and rest
severe MR (group C). Patients were tracked for the composite end point of death
and heart failure hospitalization. Group B (ERO: rest= 14 +/- 5 mm2, Ex= 28 +/- 6
mm2; P = < 0.001) had a functional impairment (workload = 56 +/- 21 vs. 50 +/- 17
watts, P = 0.42; peak VO2 = 11.8 +/- 3.2 vs. 11.5 +/- 3.0 mL/Kg/min, P = 0.70)
similar to Group C (ERO: rest = 29 +/- 7 mm2, Ex = 42 +/- 7 mm2, P = < 0.001),
associated with comparable advanced left ventricle remodelling (end diastolic
indexed volume = 107 +/- 34 vs. 115 +/- 30 mL/m2, P = 0.27), characterized by
exercise-induced pulmonary hypertension (PH) (Ex systolic pulmonary pressures =
63 +/- 16 mmHg). Group C showed the worse cardiac phenotype (right ventricle
dilatation, dysfunction, and rest PH) with severe ventilatory impairment (VE/VCO2
= 41.2 +/- 11) compared with Groups A and B. Moreover, Group C had the higher
rate of death and HF hospitalization. Conclusions: In HFrEF patients, severe
dynamic MR produces functional limitation similar to rest severe MR,
characterized by dynamic PH. Rest severe MR reflects the most advanced bi
ventricular remodelling associated with rest PH, the most unfavourable
ventilatory profile, and the worst mid-term outcome.
PMID- 27194782
TI - Prognostic value of left atrial function in systemic light-chain amyloidosis: a
cardiac magnetic resonance study.
AB - BACKGROUND: Cardiac involvement in systemic light-chain amyloidosis (AL) imparts
an adverse impact on outcome. The left atrium (LA), by virtue of its anatomical
location and muscular wall, is commonly affected by the amyloid process. Although
LA infiltration by amyloid fibrils leads to a reduction in its pump function, the
infiltration of the left ventricular (LV) myocardium results in diastolic
dysfunction with subsequent increase in filling pressures and LA enlargement.
Even though left atrial volume (LAV) is an independent prognostic marker in many
cardiomyopathies, its value in amyloid heart disease remains to be determined. In
addition, few data are available as to the prognostic value of LA function in
systemic AL. Using cardiac magnetic resonance (CMR), the current study aims to
assess the prognostic significance of the maximal LAV and total LA emptying
fraction (LAEF) in patients with AL. METHODS AND RESULTS: Fifty-four consecutive
patients (age 66 +/- 10 years, 59% males) with confirmed systemic AL and mean LV
ejection fraction of 60 +/- 12% underwent CMR. As compared with patients with no
or minimal cardiac involvement (Mayo Clinic [MC] stage I), those at moderate and
high risk (MC stages II and III) had significantly larger indexed maximal LAV (36
+/- 15 vs. 46 +/- 13 vs. 52 +/- 19 mL/m(2), P = 0.03) and indexed minimal LAV (20
+/- 6 vs. 34 +/- 11 vs. 44 +/- 17 mL/m(2), P < 0.001), lower LAEF (42 +/- 9 vs.
26 +/- 13 vs. 16 +/- 9%, P < 0.0001) but similar LVEF. Furthermore, myocardial
late gadolinium enhancement (LGE) was more frequent and significantly associated
with lower LAEF. LAEF was also significantly lower in symptomatic (NHYA >= II, 22
+/- 14%) as compared with asymptomatic patients (NYHA class I, 33 +/- 13%, P =
0.006). Two-year survival rate was lower in patients with LAEF <= 16% as compared
with those with LAEF > 16% (37 +/- 11 vs. 94 +/- 4%, P = 0.001). In multivariate
analysis, lower LAEF remained independently associated with a higher risk of 2
year mortality (HR = 1.08 per 1% decrease, 95% CI: 1.02-1.15, P = 0.003).
CONCLUSION: In patients with systemic AL, LAEF as assessed by CMR is associated
with NYHA functional class, MC stage, myocardial LGE and 2-year mortality.
PMID- 27194783
TI - Phosphoinositide 3-Kinase delta Regulates Dectin-2 Signaling and the Generation
of Th2 and Th17 Immunity.
AB - The C-type lectin receptor Dectin-2 can trigger the leukotriene C4 synthase
dependent generation of cysteinyl leukotrienes and the caspase-associated
recruitment domain 9- and NF-kappaB-dependent generation of cytokines, such as IL
23, IL-6, and TNF-alpha, to promote Th2 and Th17 immunity, respectively. Dectin-2
activation also elicits the type 2 cytokine IL-33, but the mechanism by which
Dectin-2 induces these diverse innate mediators is poorly understood. In this
study, we identify a common upstream requirement for PI3Kdelta activity for the
generation of each Dectin-2-dependent mediator elicited by the house dust mite
species, Dermatophagoides farinae, using both pharmacologic inhibition and small
interfering RNA knockdown of PI3Kdelta in bone marrow-derived dendritic cells.
PI3Kdelta activity depends on spleen tyrosine kinase (Syk) and regulates the
activity of protein kinase Cdelta, indicating that PI3Kdelta is a proximal Syk
dependent signaling intermediate. Inhibition of PI3Kdelta also reduces cysteinyl
leukotrienes and cytokines elicited by Dectin-2 cross-linking, confirming the
importance of this molecule in Dectin-2 signaling. Using an adoptive transfer
model, we demonstrate that inhibition of PI3Kdelta profoundly reduces the
capacity of bone marrow-derived dendritic cells to sensitize recipient mice for
Th2 and Th17 pulmonary inflammation in response to D. farinae Furthermore,
administration of a PI3Kdelta inhibitor during the sensitization of wild-type
mice prevents the generation of D. farinae-induced pulmonary inflammation. These
results demonstrate that PI3Kdelta regulates Dectin-2 signaling and its dendritic
cell function.
PMID- 27194785
TI - HNRNPR Regulates the Expression of Classical and Nonclassical MHC Class I
Proteins.
AB - MHC class I molecules, in addition to their role in specific activation of the
CTL of adaptive immune system, function also as the main ligands for NK cell
inhibitory receptors, which prevent NK cells from killing normal, healthy cells.
MHC class I proteins are divided into classical and nonclassical proteins. The
former group consists of hundreds of HLA-A, B, and C alleles, which are
universally expressed, whereas several alleles of the latter group, such as HLA
G, manifest a restricted expression pattern. Despite the important role played by
these molecules in innate and adaptive immune responses, their complex expression
regulation is not fully known. In our study, we investigated the regulation
processes controlling the expression of MHC class I molecules, with a particular
focus on their 3' untranslated regions. We identified heterogeneous nuclear
ribonucleoprotein R (HNRNPR) as an important positive regulator of classical and
nonclassical MHC class I molecules. HNRNPR is a RNA-binding protein belonging to
the heterogeneous nuclear ribonucleoprotein family, which has a known role in
processing of precursor mRNA. We demonstrated that HNRNPR binds MHC class I mRNAs
in their 3' untranslated regions and enhances their stability and consequently
their expression. Furthermore, regulation by HNRNPR modulates the cytotoxic
activity of NK cells. In conclusion, we show that HNRNPR acts as a general
positive regulator of MHC class I expression.
PMID- 27194784
TI - Correction of MFG-E8 Resolves Inflammation and Promotes Cutaneous Wound Healing
in Diabetes.
AB - Milk fat globule epidermal growth factor-factor 8 (MFG-E8) is a peripheral
glycoprotein that acts as a bridging molecule between the macrophage and
apoptotic cells, thus executing a pivotal role in the scavenging of apoptotic
cells from affected tissue. We have previously reported that apoptotic cell
clearance activity or efferocytosis is compromised in diabetic wound macrophages.
In this work, we test the hypothesis that MFG-E8 helps resolve inflammation,
supports angiogenesis, and accelerates wound closure. MFG-E8(-/-) mice displayed
impaired efferocytosis associated with exaggerated inflammatory response, poor
angiogenesis, and wound closure. Wound macrophage-derived MFG-E8 was recognized
as a critical driver of wound angiogenesis. Transplantation of MFG-E8(-/-) bone
marrow to MFG-E8(+/+) mice resulted in impaired wound closure and compromised
wound vascularization. In contrast, MFG-E8(-/-) mice that received wild-type bone
marrow showed improved wound closure and improved wound vascularization.
Hyperglycemia and exposure to advanced glycated end products inactivated MFG-E8,
recognizing a key mechanism that complicates diabetic wound healing. Diabetic
db/db mice suffered from impaired efferocytosis accompanied with persistent
inflammation and slow wound closure. Topical recombinant MFG-E8 induced
resolution of wound inflammation, improvements in angiogenesis, and acceleration
of closure, upholding the potential of MFG-E8-directed therapeutics in diabetic
wound care.
PMID- 27194786
TI - Lung Injury Combined with Loss of Regulatory T Cells Leads to De Novo Lung
Restricted Autoimmunity.
AB - More than one third of patients with chronic lung disease undergoing lung
transplantation have pre-existing Abs against lung-restricted self-Ags, collagen
type V (ColV), and k-alpha1 tubulin (KAT). These Abs can also develop de novo
after lung transplantation and mediate allograft rejection. However, the
mechanisms leading to lung-restricted autoimmunity remain unknown. Because these
self-Ags are normally sequestered, tissue injury is required to expose them to
the immune system. We previously showed that respiratory viruses can induce
apoptosis in CD4(+)CD25(+)Foxp3(+) regulatory T cells (Tregs), the key mediators
of self-tolerance. Therefore, we hypothesized that lung-tissue injury can lead to
lung-restricted immunity if it occurs in a setting when Tregs are impaired. We
found that human lung recipients who suffer respiratory viral infections
experienced a decrease in peripheral Tregs. Pre-existing lung allograft injury
from donor-directed Abs or gastroesophageal reflux led to new ColV and KAT Abs
post respiratory viral infection. Similarly, murine parainfluenza (Sendai)
respiratory viral infection caused a decrease in Tregs. Intratracheal
instillation of anti-MHC class I Abs, but not isotype control, followed by murine
Sendai virus infection led to development of Abs against ColV and KAT, but not
collagen type II (ColII), a cartilaginous protein. This was associated with
expansion of IFN-gamma-producing CD4(+) T cells specific to ColV and KAT, but not
ColII. Intratracheal anti-MHC class I Abs or hydrochloric acid in Foxp3-DTR mice
induced ColV and KAT, but not ColII, immunity, only if Tregs were depleted using
diphtheria toxin. We conclude that tissue injury combined with loss of Tregs can
lead to lung-tissue-restricted immunity.
PMID- 27194787
TI - Regulatory T Cell Dysfunction Acquiesces to BTLA+ Regulatory B Cells Subsequent
to Oral Intervention in Experimental Autoimmune Encephalomyelitis.
AB - Regulatory T cells (Tregs) induced during autoimmunity often become quiescent and
unable to resolve disease, suggesting inadequate activation. Resolution of
established experimental autoimmune encephalomyelitis (EAE) can be achieved with
myelin oligodendrocyte glycoprotein (MOG) fused to reovirus protein sigma1 (MOG
psigma1), which activates Tregs, restoring protection, but requiring other
regulatory cells to revitalize them. B cells have a dichotomous role in both the
pathogenesis and recovery from EAE. Although inflammatory B cells contribute to
EAE's pathogenesis, treatment of EAE mice with MOG-psigma1, but not OVA-psigma1,
resulted in an influx of IL-10-producing B220(+)CD5(+) B regulatory cells (Bregs)
enabling Tregs to recover their inhibitory activity, and in turn, leading to the
rapid amelioration of EAE. These findings implicate direct interactions between
Bregs and Tregs to facilitate this recovery. Adoptive transfer of B220(+)CD5(-) B
cells from MOG-psigma1-treated EAE or Bregs from PBS-treated EAE mice did not
resolve disease, whereas the adoptive transfer of MOG-psigma1-induced
B220(+)CD5(+) Bregs greatly ameliorated EAE. MOG-psigma1-, but not OVA-psigma1
induced IL-10-producing Bregs, expressed elevated levels of B and T lymphocyte
attenuator (BTLA) relative to CD5(-) B cells, as opposed to Tregs or effector T
(Teff) cells, whose BTLA expression was not affected. These induced Bregs
restored EAE Treg function in a BTLA-dependent manner. BTLA(-/-) mice showed more
pronounced EAE with fewer Tregs, but upon adoptive transfer of MOG-psigma1
induced BTLA(+) Bregs, BTLA(-/-) mice were protected against EAE. Hence, this
evidence shows the importance of BTLA in activating Tregs to facilitate recovery
from EAE.
PMID- 27194789
TI - MSX1 Modulates RLR-Mediated Innate Antiviral Signaling by Facilitating Assembly
of TBK1-Associated Complexes.
AB - Recognition of viral dsRNA by the retinoic acid-inducible gene-1-like receptors
(RLRs) triggers signaling cascades that lead to activation of the TBK1 kinase and
transcription factor IFN regulatory factor 3, induction of downstream antiviral
genes, and innate antiviral responses. In this study, we identified muscle
segment homeobox1 (MSX1) as an important modulator of RLR-mediated signaling
pathways. Knockdown or knockout of MSX1 significantly impaired Sendai virus
triggered activation of TBK1 and IFN regulatory factor 3, induction of downstream
antiviral genes, and cellular antiviral responses. Interestingly, MSX1 was
translocated from the nucleus to cytoplasm, particularly mitochondria upon
infection of Sendai virus. Biochemcially, MSX1 was important for assembly of
TBK1/IKK-related kinase-associated protein 1/TNFR-associated factor-associated NF
kappaB activator complexes. Our results suggest that MSX1 is an important
component of RLR-mediated signaling and reveal mechanisms on innate immune
responses against RNA viruses.
PMID- 27194788
TI - Experimental Anti-Inflammatory Drug Semapimod Inhibits TLR Signaling by Targeting
the TLR Chaperone gp96.
AB - Semapimod, a tetravalent guanylhydrazone, suppresses inflammatory cytokine
production and has potential in a variety of inflammatory and autoimmune
disorders. The mechanism of action of Semapimod is not well understood. In this
study, we demonstrate that in rat IEC-6 intestinal epithelioid cells, Semapimod
inhibits activation of p38 MAPK and NF-kappaB and induction of cyclooxygenase-2
by TLR ligands, but not by IL-1beta or stresses. Semapimod inhibits TLR4
signaling (IC50 ~0.3 MUmol) and acts by desensitizing cells to LPS; it fails to
block responses to LPS concentrations of >=5 MUg/ml. Inhibition of TLR signaling
by Semapimod is almost instantaneous: the drug is effective when applied
simultaneously with LPS. Semapimod blocks cell-surface recruitment of the MyD88
adapter, one of the earliest events in TLR signaling. gp96, the endoplasmic
reticulum-localized chaperone of the HSP90 family critically involved in the
biogenesis of TLRs, was identified as a target of Semapimod using ATP
desthiobiotin pulldown and mass spectroscopy. Semapimod inhibits ATP-binding and
ATPase activities of gp96 in vitro (IC50 ~0.2-0.4 MUmol). On prolonged exposure,
Semapimod causes accumulation of TLR4 and TLR9 in perinuclear space, consistent
with endoplasmic reticulum retention, an anticipated consequence of impaired gp96
chaperone function. Our data indicate that Semapimod desensitizes TLR signaling
via its effect on the TLR chaperone gp96. Fast inhibition by Semapimod is
consistent with gp96 participating in high-affinity sensing of TLR ligands in
addition to its role as a TLR chaperone.
PMID- 27194791
TI - Structural Basis for Eculizumab-Mediated Inhibition of the Complement Terminal
Pathway.
AB - Eculizumab is a humanized mAb approved for treatment of patients with paroxysmal
nocturnal hemoglobinuria and atypical hemolytic uremic syndrome. Eculizumab binds
complement component C5 and prevents its cleavage by C5 convertases, inhibiting
release of both the proinflammatory metabolite C5a and formation of the membrane
attack complex via C5b. In this study, we present the crystal structure of the
complex between C5 and a Fab fragment with the same sequence as eculizumab at a
resolution of 4.2 A. Five CDRs contact the C5 macroglobulin 7 domain, which
contains the entire epitope. A complete mutational scan of the 66 CDR residues
identified 28 residues as important for the C5-eculizumab interaction, and the
structure of the complex offered an explanation for the reduced C5 binding
observed for these mutant Abs. Furthermore, the structural observations of the
interaction are supported by the reduced ability of a subset of these mutated Abs
to inhibit membrane attack complex formation as tested in a hemolysis assay. Our
results suggest that eculizumab functions by sterically preventing C5 from
binding to convertases and explain the exquisite selectivity of eculizumab for
human C5 and how polymorphisms in C5 cause eculizumab-resistance in a small
number of patients with paroxysmal nocturnal hemoglobinuria.
PMID- 27194790
TI - Direct TLR-2 Costimulation Unmasks the Proinflammatory Potential of Neonatal CD4+
T Cells.
AB - Neonatal CD4(+) T cells have traditionally been viewed as deficient in their
capacity to produce Th1 cytokines in response to polyclonal or Ag-specific
stimuli. Thus, defining unique aspects of CD4(+) T cell activation and
development into Th1 effector cells in neonates is essential to the successful
development of novel vaccines and immunotherapies to protect infants from
intracellular pathogens. Using highly purified naive CD4(+) T cells derived from
cord and adult peripheral blood, we compared the impact of anti-CD3 stimulation
plus costimulation through TLR-2 performed in the absence of APC on CD4(+) T cell
cytokine production, proliferation, and expression of activation markers. In both
age groups, TLR-2 costimulation elicited activation of naive CD4(+) T cells,
characterized by robust production of IL-2 as well as key Th1-type cytokines IFN
gamma and TNF-alpha. TLR-2 costimulation also dramatically reduced naive T cell
production of the immunosuppressive cytokine IL-10. We observed that neonatal
naive CD4(+) T cells are uniquely sensitive to TLR-2-mediated costimulation,
which enabled them to produce equivalent amounts of IFN-gamma and more IL-2 when
compared with adult responses. Thus, neonatal CD4(+) T cells have a distinctive
propensity to use TLR-2-mediated costimulation for development into
proinflammatory Th1 effectors, and interventions that target CD4(+) T cell TLR-2
mediated responses may be exploited to enhance neonatal adaptive immunity.
PMID- 27194792
TI - Illuminating odors: when optogenetics brings to light unexpected olfactory
abilities.
AB - For hundreds of years, the sense of smell has generated great interest in the
world literature, oenologists, and perfume makers but less of scientists. Only
recently this sensory modality has gained new attraction in neuroscience when
original tools issued from physiology, anatomy, or molecular biology were
available to decipher how the brain makes sense of olfactory cues. However, this
move was promptly dampened by the difficulties of developing quantitative
approaches to study the relationship between the physical characteristics of
stimuli and the sensations they create. An upswing of olfactory investigations
occurred when genetic tools could be used in combination with devices borrowed
from the physics of light (a hybrid technique called optogenetics) to scrutinize
the olfactory system and to provide greater physiological precision for studying
olfactory-driven behaviors. This review aims to present the most recent studies
that have used light to activate components of the olfactory pathway, such as
olfactory receptor neurons, or neurons located further downstream, while leaving
intact others brain circuits. With the use of optogenetics to unravel the mystery
of olfaction, scientists have begun to disentangle how the brain makes sense of
smells. In this review, we shall discuss how the brain recognizes odors, how it
memorizes them, and how animals make decisions based on odorants they are capable
of sensing. Although this review deals with olfaction, the role of light will be
central throughout.
PMID- 27194793
TI - Synaptic activation of ribosomal protein S6 phosphorylation occurs locally in
activated dendritic domains.
AB - Previous studies have shown that induction of long-term potentiation (LTP)
induces phosphorylation of ribosomal protein S6 (rpS6) in postsynaptic neurons,
but the functional significance of rpS6 phosphorylation is poorly understood.
Here, we show that synaptic stimulation that induces perforant path LTP triggers
phosphorylation of rpS6 (p-rpS6) locally near active synapses. Using antibodies
specific for phosphorylation at different sites (ser235/236 versus ser240/244),
we show that strong synaptic activation led to dramatic increases in
immunostaining throughout postsynaptic neurons with selectively higher staining
for p-ser235/236 in the activated dendritic lamina. Following LTP induction,
phosphorylation at ser235/236 was detectable by 5 min, peaked at 30 min, and was
maintained for hours. Phosphorylation at both sites was completely blocked by
local infusion of the NMDA receptor antagonist, APV. Despite robust induction of
p-rpS6 following high frequency stimulation, assessment of protein synthesis by
autoradiography revealed no detectable increases. Exploration of a novel
environment led to increases in the number of p-rpS6-positive neurons throughout
the forebrain in a pattern reminiscent of immediate early gene induction and many
individual neurons that were p-rpS6-positive coexpressed Arc protein. Our results
constrain hypotheses about the possible role of rpS6 phosphorylation in
regulating postsynaptic protein synthesis during induction of synaptic
plasticity.
PMID- 27194794
TI - Influence of pharmacological manipulations of NMDA and cholinergic receptors on
working versus reference memory in a dual component odor span task.
AB - Developed as a tool to assess working memory capacity in rodents, the odor span
task (OST) has significant potential to advance drug discovery in animal models
of psychiatric disorders. Prior investigations indicate OST performance is
impaired by systemic administration of N-methyl-d-aspartate receptor (NMDA-r)
antagonists and is sensitive to cholinergic manipulations. The present study
sought to determine whether an impairment in OST performance can be produced by
systemic administration of the competitive NMDA-r antagonist 3-(2
carboxypiperazin-4-yl)propyl-1-phosphonic acid (CPP; 3, 10, 17 mg/kg i.p.) in a
unique dual-component variant of the OST, and whether this impairment is
ameliorated by nicotine (0.75 mg/kg i.p.). Male Sprague-Dawley rats were trained
to asymptotic level of performance on a 24-trial two-comparison incrementing
nonmatching to sample OST. In addition, rats were administered a two-comparison
olfactory reference memory (RM) task, which was integrated into the OST. The RM
task provided an assessment of the effects of drug administration on global
behavioral measures, long-term memory and motivation. Several measures of working
memory (span, longest run, and accuracy) were dose dependently impaired by CPP
without adversely affecting RM. Analysis of drug effects across trial blocks
demonstrated a significant impairment of performance even at low memory loads,
suggesting a CPP-induced deficit of olfactory short-term memory that is not load
dependent. Although nicotine did not ameliorate CPP-induced impairments in span
or accuracy, it did block the impairment in longest run produced by the 10 mg/kg
dose of CPP. Overall, our results indicate that performance in our 24 odor two
comparison OST is capacity dependent and that CPP impaired OST working, but not
reference, memory.
PMID- 27194795
TI - Retrosplenial cortex is required for the retrieval of remote memory for auditory
cues.
AB - The restrosplenial cortex (RSC) has a well-established role in contextual and
spatial learning and memory, consistent with its known connectivity with visuo
spatial association areas. In contrast, RSC appears to have little involvement
with delay fear conditioning to an auditory cue. However, all previous studies
have examined the contribution of the RSC to recently acquired auditory fear
memories. Since neocortical regions have been implicated in the permanent storage
of remote memories, we examined the contribution of the RSC to remotely acquired
auditory fear memories. In Experiment 1, retrieval of a remotely acquired
auditory fear memory was impaired when permanent lesions (either electrolytic or
neurotoxic) were made several weeks after initial conditioning. In Experiment 2,
using a chemogenetic approach, we observed impairments in the retrieval of remote
memory for an auditory cue when the RSC was temporarily inactivated during
testing. In Experiment 3, after injection of a retrograde tracer into the RSC, we
observed labeled cells in primary and secondary auditory cortices, as well as the
claustrum, indicating that the RSC receives direct projections from auditory
regions. Overall our results indicate the RSC has a critical role in the
retrieval of remotely acquired auditory fear memories, and we suggest this is
related to the quality of the memory, with less precise memories being RSC
dependent.
PMID- 27194797
TI - Late enrichment maintains accurate recent and remote spatial memory only in aged
rats that were unimpaired when middle aged.
AB - Exposure of rodents to a stimulating environment has beneficial effects on some
cognitive functions that are impaired during physiological aging, and especially
spatial reference memory. The present study investigated whether environmental
enrichment rescues these functions in already declining subjects and/or protects
them from subsequent decline. Subgroups of 17-mo-old female rats with unimpaired
versus impaired performance in a spatial reference memory task (Morris water
maze) were housed until the age of 24 mo in standard or enriched environment.
They were then trained in a second reference memory task, conducted in a
different room than the first, and recent (1 d) and remote (10 d) memory were
assessed. In unimpaired subgroups, spatial memory declined from 17 to 24 mo in
rats housed in standard conditions; an enriched environment during this period
allowed maintenance of accurate recent and remote spatial memory. At 24 mo, rats
impaired at the age of 17 mo housed in enriched environment learned the task and
displayed substantial recent memory, but their performance remained lower than
that of unimpaired rats, showing that enrichment failed to rescue spatial memory
in already cognitively declining rats. Controls indicated carryover effects of
the first water maze training, especially in aged rats housed in standard
condition, and confirmed the beneficial effect of enrichment on remote memory of
aged rats even if they performed poorly than young adults housed for the same
duration in standard or enriched condition.
PMID- 27194798
TI - The calmodulin-binding transcription activator CAMTA1 is required for long-term
memory formation in mice.
AB - The formation of long-term memory requires signaling from the synapse to the
nucleus to mediate neuronal activity-dependent gene transcription. Synapse-to
nucleus communication is initiated by influx of calcium ions through synaptic
NMDA receptors and/or L-type voltage-gated calcium channels and involves the
activation of transcription factors by calcium/calmodulin signaling in the
nucleus. Recent studies have drawn attention to a new family of transcriptional
regulators, the so-called calmodulin-binding transcription activator (CAMTA)
proteins. CAMTAs are expressed at particularly high levels in the mouse and human
brain, and we reasoned that, as calmodulin-binding transcription factors, CAMTAs
may regulate the formation of long-term memory by coupling synaptic activity and
calcium/calmodulin signaling to memory-related transcriptional responses. This
hypothesis is supported by genetic studies that reported a correlation between
Camta gene polymorphisms or mutations and cognitive capability in humans. Here,
we show that acute knockdown of CAMTA1, but not CAMTA2, in the hippocampus of
adult mice results in impaired performance in two memory tests, contextual fear
conditioning and object-place recognition test. Short-term memory and neuronal
morphology were not affected by CAMTA knockdown. Gene expression profiling in the
hippocampus of control and CAMTA knockdown mice revealed a number of putative
CAMTA1 target genes related to synaptic transmission and neuronal excitability.
Patch clamp recordings in organotypic hippocampal slice cultures provided further
evidence for CAMTA1-dependent changes in electrophysiological properties. In
summary, our study provides experimental evidence that confirms previous human
genetic studies and establishes CAMTA1 as a regulator of long-term memory
formation.
PMID- 27194796
TI - Motivational state, reward value, and Pavlovian cues differentially affect
skilled forelimb grasping in rats.
AB - Motor skills represent high-precision movements performed at optimal speed and
accuracy. Such motor skills are learned with practice over time. Besides
practice, effects of motivation have also been shown to influence speed and
accuracy of movements, suggesting that fast movements are performed to maximize
gained reward over time as noted in previous studies. In rodents, skilled motor
performance has been successfully modeled with the skilled grasping task, in
which animals use their forepaw to grasp for sugar pellet rewards through a
narrow window. Using sugar pellets, the skilled grasping task is inherently tied
to motivation processes. In the present study, we performed three experiments
modulating animals' motivation during skilled grasping by changing the
motivational state, presenting different reward value ratios, and displaying
Pavlovian stimuli. We found in all three studies that motivation affected the
speed of skilled grasping movements, with the strongest effects seen due to
motivational state and reward value. Furthermore, accuracy of the movement,
measured in success rate, showed a strong dependence on motivational state as
well. Pavlovian cues had only minor effects on skilled grasping, but results
indicate an inverse Pavlovian-instrumental transfer effect on movement speed.
These findings have broad implications considering the increasing use of skilled
grasping in studies of motor system structure, function, and recovery after
injuries.
PMID- 27194802
TI - Homeobox Is Pivotal for OsWUS Controlling Tiller Development and Female Fertility
in Rice.
AB - OsWUS has recently been shown to be a transcription factor gene critical for
tiller development and fertility in rice. The OsWUS protein consists of three
conserved structural domains, but their biological functions are still unclear.
We discovered a new rice mutant resulting from tissue culture, which hardly
produced tillers and exhibited complete female sterility. The male and female
floral organs of the mutant were morphologically indistinguishable from those of
the wild type. We named the mutant srt1 for completely sterile and reduced
tillering 1. Map-based cloning revealed that the mutant phenotypes were caused by
a mutation in OsWUS Compared with the two previously reported null allelic
mutants of OsWUS (tab1-1 and moc3-1), which could produce partial N-terminal
peptides of OsWUS, the srt1 protein contained a deletion of only seven amino
acids within the conserved homeobox domain of OsWUS. However, the mutant
phenotypes (monoculm and female sterility) displayed in srt1 were as typical and
severe as those in tab1-1 and moc3-1 This indicates that the homeobox domain of
SRT1 is essential for the regulation of tillering and sterility in rice. In
addition, srt1 showed an opposite effect on panicle development to that of the
two null allelic mutants, implying that the srt1 protein might still have partial
or even new functions on panicle development. The results of this study suggest
that the homeobox domain is pivotal for OsWUS function.
PMID- 27194800
TI - Fruiting Body Formation in Volvariella volvacea Can Occur Independently of Its
MAT-A-Controlled Bipolar Mating System, Enabling Homothallic and Heterothallic
Life Cycles.
AB - Volvariella volvacea is an important crop in Southeast Asia, but erratic fruiting
presents a serious challenge for its production and breeding. Efforts to explain
inconsistent fruiting have been complicated by the multinucleate nature, typical
lack of clamp connections, and an incompletely identified sexual reproductive
system. In this study, we addressed the life cycle of V. volvacea using whole
genome sequencing, cloning of MAT loci, karyotyping of spores, and fruiting
assays. Microscopy analysis of spores had previously indicated the possible
coexistence of heterothallic and homothallic life cycles. Our analysis of the MAT
loci showed that only MAT-A, and not MAT-B, controlled heterokaryotization. Thus,
the heterothallic life cycle was bipolar. Karyotyping of single spore isolates
(SSIs) using molecular markers supported the existence of heterokaryotic spores.
However, most SSIs were clearly not heterokaryotic, yet contained structural
variation (SV) markers relating to both alleles of both parents. Heterokaryons
from crossed, self-sterile homokaryons could produce fruiting bodies, agreeing
with bipolar heterothallism. Meanwhile, some SSIs with two different MAT-A loci
also produced fruiting bodies, which supported secondary homothallism. Next, SSIs
that clearly contained only one MAT-A locus (homothallism) were also able to
fruit, demonstrating that self-fertile SSIs were not, per definition, secondary
homothallic, and that a third life cycle or genetic mechanism must exist.
Finally, recombination between SV markers was normal, yet 10 out of 24 SV markers
showed 1:2 or 1:3 distributions in the spores, and large numbers of SSIs
contained doubled SV markers. This indicated selfish genes, and possibly partial
aneuploidy.
PMID- 27194799
TI - Big insights from small volumes: deciphering complex leukocyte behaviors using
microfluidics.
AB - Inflammation is an indispensable component of the immune response, and leukocytes
provide the first line of defense against infection. Although the major
stereotypic leukocyte behaviors in response to infection are well known, the
complexities and idiosyncrasies of these phenotypes in conditions of disease are
still emerging. Novel tools are indispensable for gaining insights into leukocyte
behavior, and in the past decade, microfluidic technologies have emerged as an
exciting development in the field. Microfluidic devices are readily customizable,
provide tight control of experimental conditions, enable high precision of ex
vivo measurements of individual as well as integrated leukocyte functions, and
have facilitated the discovery of novel leukocyte phenotypes. Here, we review
some of the most interesting insights resulting from the application of
microfluidic approaches to the study of the inflammatory response. The aim is to
encourage leukocyte biologists to integrate these new tools into increasingly
more sophisticated experimental designs for probing complex leukocyte functions.
PMID- 27194803
TI - Construction and Annotation of a High Density SNP Linkage Map of the Atlantic
Salmon (Salmo salar) Genome.
AB - High density linkage maps are useful tools for fine-scale mapping of quantitative
trait loci, and characterization of the recombination landscape of a species'
genome. Genomic resources for Atlantic salmon (Salmo salar) include a well
assembled reference genome, and high density single nucleotide polymorphism (SNP)
arrays. Our aim was to create a high density linkage map, and to align it with
the reference genome assembly. Over 96,000 SNPs were mapped and ordered on the 29
salmon linkage groups using a pedigreed population comprising 622 fish from 60
nuclear families, all genotyped with the 'ssalar01' high density SNP array. The
number of SNPs per group showed a high positive correlation with physical
chromosome length (r = 0.95). While the order of markers on the genetic and
physical maps was generally consistent, areas of discrepancy were identified.
Approximately 6.5% of the previously unmapped reference genome sequence was
assigned to chromosomes using the linkage map. Male recombination rate was lower
than females across the vast majority of the genome, but with a notable peak in
subtelomeric regions. Finally, using RNA-Seq data to annotate the reference
genome, the mapped SNPs were categorized according to their predicted function,
including annotation of ~2500 putative nonsynonymous variants. The highest
density SNP linkage map for any salmonid species has been created, annotated, and
integrated with the Atlantic salmon reference genome assembly. This map
highlights the marked heterochiasmy of salmon, and provides a useful resource for
salmonid genetics and genomics research.
PMID- 27194801
TI - Comparative Genomics of a Parthenogenesis-Inducing Wolbachia Symbiont.
AB - Wolbachia is an intracellular symbiont of invertebrates responsible for inducing
a wide variety of phenotypes in its host. These host-Wolbachia relationships span
the continuum from reproductive parasitism to obligate mutualism, and provide a
unique system to study genomic changes associated with the evolution of
symbiosis. We present the genome sequence from a parthenogenesis-inducing
Wolbachia strain (wTpre) infecting the minute parasitoid wasp Trichogramma
pretiosum The wTpre genome is the most complete parthenogenesis-inducing
Wolbachia genome available to date. We used comparative genomics across 16
Wolbachia strains, representing five supergroups, to identify a core Wolbachia
genome of 496 sets of orthologous genes. Only 14 of these sets are unique to
Wolbachia when compared to other bacteria from the Rickettsiales. We show that
the B supergroup of Wolbachia, of which wTpre is a member, contains a
significantly higher number of ankyrin repeat-containing genes than other
supergroups. In the wTpre genome, there is evidence for truncation of the protein
coding sequences in 20% of ORFs, mostly as a result of frameshift mutations. The
wTpre strain represents a conversion from cytoplasmic incompatibility to a
parthenogenesis-inducing lifestyle, and is required for reproduction in the
Trichogramma host it infects. We hypothesize that the large number of coding
frame truncations has accompanied the change in reproductive mode of the wTpre
strain.
PMID- 27194804
TI - The Rate and Spectrum of Spontaneous Mutations in Mycobacterium smegmatis, a
Bacterium Naturally Devoid of the Postreplicative Mismatch Repair Pathway.
AB - Mycobacterium smegmatis is a bacterium that is naturally devoid of known
postreplicative DNA mismatch repair (MMR) homologs, mutS and mutL, providing an
opportunity to investigate how the mutation rate and spectrum has evolved in the
absence of a highly conserved primary repair pathway. Mutation accumulation
experiments of M. smegmatis yielded a base-substitution mutation rate of 5.27 *
10(-10) per site per generation, or 0.0036 per genome per generation, which is
surprisingly similar to the mutation rate in MMR-functional unicellular
organisms. Transitions were found more frequently than transversions, with the
A:T->G:C transition rate significantly higher than the G:C->A:T transition rate,
opposite to what is observed in most studied bacteria. We also found that the
transition-mutation rate of M. smegmatis is significantly lower than that of
other naturally MMR-devoid or MMR-knockout organisms. Two possible candidates
that could be responsible for maintaining high DNA fidelity in this MMR-deficient
organism are the ancestral-like DNA polymerase DnaE1, which contains a highly
efficient DNA proofreading histidinol phosphatase (PHP) domain, and/or the
existence of a uracil-DNA glycosylase B (UdgB) homolog that might protect the GC
rich M. smegmatis genome against DNA damage arising from oxidation or
deamination. Our results suggest that M. smegmatis has a noncanonical Dam (DNA
adenine methylase) methylation system, with target motifs differing from those
previously reported. The mutation features of M. smegmatis provide further
evidence that genomes harbor alternative routes for improving replication
fidelity, even in the absence of major repair pathways.
PMID- 27194805
TI - An Improved Binary Vector and Escherichia coli Strain for Agrobacterium
tumefaciens-Mediated Plant Transformation.
AB - The plasmid vector pGreenII is widely used to produce plant transformants via a
process that involves propagation in Escherichia coli However, we show here that
pGreenII-based constructs can be unstable in E. coli as a consequence of them
hampering cell division and promoting cell death. In addition, we describe a new
version of pGreenII that does not cause these effects, thereby removing the
selective pressure for mutation, and a new strain of E. coli that better
tolerates existing pGreenII-based constructs without reducing plasmid yield. The
adoption of the new derivative of pGreenII and the E. coli strain, which we have
named pViridis and MW906, respectively, should help to ensure the integrity of
genes destined for study in plants while they are propagated and manipulated in
E. coli The mechanism by which pGreenII perturbs E. coli growth appears to be
dysregulation within the ColE1 origin of replication.
PMID- 27194807
TI - Using Genotyping by Sequencing to Map Two Novel Anthracnose Resistance Loci in
Sorghum bicolor.
AB - Colletotrichum sublineola is an aggressive fungal pathogen that causes
anthracnose in sorghum [Sorghum bicolor (L.) Moench]. The obvious symptoms of
anthracnose are leaf blight and stem rot. Sorghum, the fifth most widely grown
cereal crop in the world, can be highly susceptible to the disease, most notably
in hot and humid environments. In the southeastern United States the acreage of
sorghum has been increasing steadily in recent years, spurred by growing interest
in producing biofuels, bio-based products, and animal feed. Resistance to
anthracnose is, therefore, of paramount importance for successful sorghum
production in this region. To identify anthracnose resistance loci present in the
highly resistant cultivar 'Bk7', a biparental mapping population of F3:4 and F4:5
sorghum lines was generated by crossing 'Bk7' with the susceptible inbred 'Early
Hegari-Sart'. Lines were phenotyped in three environments and in two different
years following natural infection. The population was genotyped by sequencing.
Following a stringent custom filtering protocol, totals of 5186 and 2759
informative SNP markers were identified in the two populations. Segregation data
and association analysis identified resistance loci on chromosomes 7 and 9, with
the resistance alleles derived from 'Bk7'. Both loci contain multiple classes of
defense-related genes based on sequence similarity and gene ontologies. Genetic
analysis following an independent selection experiment of lines derived from a
cross between 'Bk7' and sweet sorghum 'Mer81-4' narrowed the resistance locus on
chromosome 9 substantially, validating this QTL. As observed in other species,
sorghum appears to have regions of clustered resistance genes. Further
characterization of these regions will facilitate the development of novel
germplasm with resistance to anthracnose and other diseases.
PMID- 27194808
TI - Transcriptomic Analysis of Differentially Expressed Genes During Larval
Development of Rapana venosa by Digital Gene Expression Profiling.
AB - During the life cycle of shellfish, larval development, especially metamorphosis,
has a vital influence on the dynamics, distribution, and recruitment of natural
populations, as well as seed breeding. Rapana venosa, a carnivorous gastropod, is
an important commercial shellfish in China, and is an ecological invader in the
United States, Argentina, and France. However, information about the mechanism of
its early development is still limited, because research in this area has long
suffered from a lack of genomic resources. In this study, 15 digital gene
expression (DGE) libraries from five developmental stages of R. venosa were
constructed and sequenced on the IIIumina Hi-Sequation 2500 platform.
Bioinformaticsanalysis identified numerous differentially and specifically
expressed genes, which revealed that genes associated with growth, nervous
system, digestive system, immune system, and apoptosis participate in important
developmental processes. The functional analysis of differentially expressed
genes was further implemented by gene ontology, and Kyoto encyclopedia of genes
and genomes enrichment. DGE profiling provided a general picture of the
transcriptomic activities during the early development of R. venosa, which may
provide interesting hints for further study. Our data represent the first
comparative transcriptomic information available for the early development of R.
venosa, which is a prerequisite for a better understanding of the physiological
traits controlling development.
PMID- 27194809
TI - ForestPMPlot: A Flexible Tool for Visualizing Heterogeneity Between Studies in
Meta-analysis.
AB - Meta-analysis has become a popular tool for genetic association studies to
combine different genetic studies. A key challenge in meta-analysis is
heterogeneity, or the differences in effect sizes between studies. Heterogeneity
complicates the interpretation of meta-analyses. In this paper, we describe
ForestPMPlot, a flexible visualization tool for analyzing studies included in a
meta-analysis. The main feature of the tool is visualizing the differences in the
effect sizes of the studies to understand why the studies exhibit heterogeneity
for a particular phenotype and locus pair under different conditions. We show the
application of this tool to interpret a meta-analysis of 17 mouse studies, and to
interpret a multi-tissue eQTL study.
PMID- 27194806
TI - Analysis of Plasminogen Genetic Variants in Multiple Sclerosis Patients.
AB - Multiple sclerosis (MS) is a prevalent neurological disease of complex etiology.
Here, we describe the characterization of a multi-incident MS family that
nominated a rare missense variant (p.G420D) in plasminogen (PLG) as a putative
genetic risk factor for MS. Genotyping of PLG p.G420D (rs139071351) in 2160 MS
patients, and 886 controls from Canada, identified 10 additional probands, two
sporadic patients and one control with the variant. Segregation in families
harboring the rs139071351 variant, identified p.G420D in 26 out of 30 family
members diagnosed with MS, 14 unaffected parents, and 12 out of 30 family members
not diagnosed with disease. Despite considerably reduced penetrance, linkage
analysis supports cosegregation of PLG p.G420D and disease. Genotyping of PLG
p.G420D in 14446 patients, and 8797 controls from Canada, France, Spain, Germany,
Belgium, and Austria failed to identify significant association with disease (P =
0.117), despite an overall higher prevalence in patients (OR = 1.32; 95% CI =
0.93-1.87). To assess whether additional rare variants have an effect on MS risk,
we sequenced PLG in 293 probands, and genotyped all rare variants in cases and
controls. This analysis identified nine rare missense variants, and although
three of them were exclusively observed in MS patients, segregation does not
support pathogenicity. PLG is a plausible biological candidate for MS owing to
its involvement in immune system response, blood-brain barrier permeability, and
myelin degradation. Moreover, components of its activation cascade have been
shown to present increased activity or expression in MS patients compared to
controls; further studies are needed to clarify whether PLG is involved in MS
susceptibility.
PMID- 27194810
TI - Systematic Protein-Protein Interaction Analysis Reveals Intersubcomplex Contacts
in the Nuclear Pore Complex.
AB - The nuclear pore complex (NPC) enables transport across the nuclear envelope. It
is one of the largest multiprotein assemblies in the cell, built from about 30
proteins called nucleoporins (Nups), organized into distinct subcomplexes.
Structure determination of the NPC is a major research goal. The assembled ~40
112 MDa NPC can be visualized by cryoelectron tomography (cryo-ET), while Nup
subcomplexes are studied crystallographically. Docking the crystal structures
into the cryo-ET maps is difficult because of limited resolution. Further,
intersubcomplex contacts are not well characterized. Here, we systematically
investigated direct interactions between Nups. In a comprehensive, structure
based, yeast two-hybrid interaction matrix screen, we mapped protein-protein
interactions in yeast and human. Benchmarking against crystallographic and
coaffinity purification data from the literature demonstrated the high coverage
and accuracy of the data set. Novel intersubcomplex interactions were validated
biophysically in microscale thermophoresis experiments and in intact cells
through protein fragment complementation. These intersubcomplex interaction data
provide direct experimental evidence toward possible structural arrangements of
architectural elements within the assembled NPC, or they may point to assembly
intermediates. Our data favors an assembly model in which major architectural
elements of the NPC, notably the Y-complex, exist in different structural
contexts within the scaffold.
PMID- 27194811
TI - A continuous spectrophotometric assay that distinguishes between phospholipase A1
and A2 activities.
AB - A new spectrophotometric assay was developed to measure, continuously and
specifically, phospholipase A1 (PLA1) or phospholipase A2 (PLA2) activities using
synthetic glycerophosphatidylcholines (PCs) containing alpha-eleostearic acid,
either at the sn-1 position [1-alpha-eleostearoyl-2-octadecyl-rac-glycero-3
phosphocholine (EOPC)] or at the sn-2 position [1-octadecyl-2-alpha-eleostearoyl
rac-glycero-3-phosphocholine (OEPC)]. The substrates were coated onto the wells
of microtiter plates. A nonhydrolyzable ether bond, with a non-UV-absorbing alkyl
chain, was introduced at the other sn position to prevent acyl chain migration
during lipolysis. Upon enzyme action, alpha-eleostearic acid is liberated and
then solubilized into the micellar phase. The PLA1 or PLA2 activity was measured
by the increase in absorbance at 272 nm due to the transition of alpha
eleostearic acid from the adsorbed to the soluble state. EOPC and OEPC
differentiate, with excellent accuracy, between PLA1 and PLA2 activity.
Lecitase((r)), guinea pig pancreatic lipase-related protein 2 (known to be a PLA1
enzyme), bee venom PLA2, and porcine pancreatic PLA2 were all used to validate
the assay. Compared with current assays used for continuously measuring PLA1 or
PLA2 activities and/or their inhibitors, the development of this sensitive
enzymatic method, using coated PC substrate analogs to natural lipids and based
on the UV spectroscopic properties of alpha-eleostearic acid, is a significant
improvement.
PMID- 27194812
TI - Targeting cAMP/PKA pathway for glycemic control and type 2 diabetes therapy.
AB - In mammals, cyclic adenosine monophosphate (cAMP) is an intracellular second
messenger that is usually elicited by binding of hormones and neurotransmitters
to G protein-coupled receptors (GPCRs). cAMP exerts many of its physiological
effects by activating cAMP-dependent protein kinase (PKA), which in turn
phosphorylates and regulates the functions of downstream protein targets
including ion channels, enzymes, and transcription factors. cAMP/PKA signaling
pathway regulates glucose homeostasis at multiple levels including insulin and
glucagon secretion, glucose uptake, glycogen synthesis and breakdown,
gluconeogenesis, and neural control of glucose homeostasis. This review
summarizes recent genetic and pharmacological studies concerning the regulation
of glucose homeostasis by cAMP/PKA in pancreas, liver, skeletal muscle, adipose
tissues, and brain. We also discuss the strategies for targeting cAMP/PKA pathway
for research and potential therapeutic treatment of type 2 diabetes mellitus
(T2D).
PMID- 27194813
TI - Reply to 'Safety profile of capecitabine as maintenance treatment after XELOX or
FOLFOX in metastatic colorectal cancer patients' by C. Cremolini et al.
PMID- 27194814
TI - Targeted sequencing of BRCA1 and BRCA2 across a large unselected breast cancer
cohort suggests that one-third of mutations are somatic.
AB - BACKGROUND: A mutation found in the BRCA1 or BRCA2 gene of a breast tumor could
be either germline or somatically acquired. The prevalence of somatic BRCA1/2
mutations and the ratio between somatic and germline BRCA1/2 mutations in
unselected breast cancer patients are currently unclear. PATIENTS AND METHODS:
Paired normal and tumor DNA was analyzed for BRCA1/2 mutations by massively
parallel sequencing in an unselected cohort of 273 breast cancer patients from
south Sweden. RESULTS: Deleterious germline mutations in BRCA1 (n = 10) or BRCA2
(n = 10) were detected in 20 patients (7%). Deleterious somatic mutations in
BRCA1 (n = 4) or BRCA2 (n = 5) were detected in 9 patients (3%). Accordingly,
about 1 in 9 breast carcinomas (11%) in our cohort harbor a BRCA1/2 mutation. For
each gene, the tumor phenotypes were very similar regardless of the mutation
being germline or somatically acquired, whereas the tumor phenotypes differed
significantly between wild-type and mutated cases. For age at diagnosis, the
patients with somatic BRCA1/2 mutations resembled the wild-type patients (median
age at diagnosis, germline BRCA1: 41.5 years; germline BRCA2: 49.5 years; somatic
BRCA1/2: 65 years; wild-type BRCA1/2: 62.5 years). CONCLUSIONS: In a population
without strong germline founder mutations, the likelihood of a BRCA1/2 mutation
found in a breast carcinoma being somatic was ~1/3 and germline 2/3. This may
have implications for treatment and genetic counseling.
PMID- 27194816
TI - Temporal dietary shift in jellyfish revealed by stable isotope analysis.
AB - A temporal change in the stable isotope (SI) composition of jellyfish in the Kiel
Fjord, Western Baltic Sea, was documented by analyzing delta13C, delta15N and
delta34S of bell tissue of Aurelia aurita and Cyanea capillata in the period
between June and October 2011. A strong and significant temporal change in all SI
values of A. aurita was found, including an increase of ~3 0/00 in delta13C, a
decrease of ~4 0/00 in delta15N and sharp decline of ~7 0/00 in delta34S. While
knowledge gaps in jellyfish isotope ecology, in particular the lack of reliable
trophic enrichment factors, call for a conservative interpretation of our data,
observed changes in particular in delta34S, as indicated by means of a MixSIR
mixing model, would be consistent with a temporal dietary shift in A. aurita from
mesozooplankton (>150 um) to microplankton and small re-suspended particles (0.8
20 um) from the benthos. Presence of a hitherto unidentified food source not
included in the model could also contribute to the shift. During the 2-month
occurrence of C. capillata, its isotope composition remained stable and was
consistent with a mainly mesozooplanktonic diet. Mixing model output, mainly
driven by delta34S values, indicated a lower proportion of A. aurita in the diet
of C. capillata than previously reported, and thus to a potentially lesser
importance of intraguild predation among jellyfish in the Kiel Fjord. Overall,
our results clearly highlighted the potential for substantial intraspecific
isotopic seasonal variation in jellyfish, which should be taken into account in
future feeding ecology studies on this group.
PMID- 27194815
TI - A prognostic regulatory pathway in stage I epithelial ovarian cancer: new hints
for the poor prognosis assessment.
AB - BACKGROUND: Clinical and pathological parameters of patients with epithelial
ovarian cancer (EOC) do not thoroughly predict patients' outcome. Despite the
good outcome of stage I EOC compared with that of stages III and IV, the risk
assessment and treatments are almost the same. However, only 20% of stage I EOC
cases relapse and die, meaning that only a proportion of patients need intensive
treatment and closer follow-up. Thus, the identification of cell mechanisms that
could improve outcome prediction and rationalize therapeutic options is an urgent
need in the clinical practice. PATIENTS AND METHODS: We have gathered together
203 patients with stage I EOC diagnosis, from whom snap-frozen tumor biopsies
were available at the time of primary surgery before any treatment. Patients,
with a median follow-up of 7 years, were stratified into a training set and a
validation set. RESULTS AND CONCLUSIONS: Integrated analysis of miRNA and gene
expression profiles allowed to identify a prognostic cell pathway, composed of 16
miRNAs and 10 genes, wiring the cell cycle, 'Activins/Inhibins' and 'Hedgehog'
signaling pathways. Once validated by an independent technique, all the elements
of the circuit resulted associated with overall survival (OS) and progression
free survival (PFS), in both univariate and multivariate models. For each
patient, the circuit expressions have been translated into an activation state
index (integrated signature classifier, ISC), used to stratify patients into
classes of risk. This prediction reaches the 89.7% of sensitivity and 96.6% of
specificity for the detection of PFS events. The prognostic value was then
confirmed in the external independent validation set in which the PFS events are
predicted with 75% sensitivity and 94.7% specificity. Moreover, the ISC shows
higher classification performance than conventional clinical classifiers. Thus,
the identified circuit enhances the understanding of the molecular mechanisms
lagging behind stage I EOC and the ISC improves our capabilities to assess, at
the time of diagnosis, the patient risk of relapse.
PMID- 27194817
TI - Be Careful Where You Smile: Culture Shapes Judgments of Intelligence and Honesty
of Smiling Individuals.
AB - Smiling individuals are usually perceived more favorably than non-smiling ones
they are judged as happier, more attractive, competent, and friendly. These
seemingly clear and obvious consequences of smiling are assumed to be culturally
universal, however most of the psychological research is carried out in WEIRD
societies (Western, Educated, Industrialized, Rich, and Democratic) and the
influence of culture on social perception of nonverbal behavior is still
understudied. Here we show that a smiling individual may be judged as less
intelligent than the same non-smiling individual in cultures low on the GLOBE's
uncertainty avoidance dimension. Furthermore, we show that corruption at the
societal level may undermine the prosocial perception of smiling-in societies
with high corruption indicators, trust toward smiling individuals is reduced.
This research fosters understanding of the cultural framework surrounding
nonverbal communication processes and reveals that in some cultures smiling may
lead to negative attributions.
PMID- 27194818
TI - Association of Common Variants in MMPs with Periodontitis Risk.
AB - Background. Matrix metalloproteinases (MMPs) are considered to play an important
role during tissue remodeling and extracellular matrix degradation. And
functional polymorphisms in MMPs genes have been reported to be associated with
the increased risk of periodontitis. Recently, many studies have investigated the
association between MMPs polymorphisms and periodontitis risk. However, the
results remain inconclusive. In order to quantify the influence of MMPs
polymorphisms on the susceptibility to periodontitis, we performed a meta
analysis and systematic review. Results. Overall, this comprehensive meta
analysis included a total of 17 related studies, including 2399 cases and 2002
healthy control subjects. Our results revealed that although studies of the
association between MMP-8 -799 C/T variant and the susceptibility to
periodontitis have not yielded consistent results, MMP-1 (-1607 1G/2G, -519 A/G,
and -422 A/T), MMP-2 (-1575 G/A, -1306 C/T, -790 T/G, and -735 C/T), MMP-3 (-1171
5A/6A), MMP-8 (-381 A/G and +17 C/G), MMP-9 (-1562 C/T and +279 R/Q), and MMP-12
(-357 Asn/Ser), as well as MMP-13 (-77 A/G, 11A/12A) SNPs are not related to
periodontitis risk. Conclusions. No association of these common MMPs variants
with the susceptibility to periodontitis was found; however, further larger-scale
and multiethnic genetic studies on this topic are expected to be conducted to
validate our results.
PMID- 27194820
TI - The Diagnostic Value of the Pleural Fluid C-Reactive Protein in Parapneumonic
Effusions.
AB - Purpose. The aim of this study was to evaluate the sensitivity of pleural C
reactive protein (CRP) biomarker levels in identifying parapneumonic effusions.
Methods. A single-center, retrospective review of 244 patients diagnosed with
pleural effusions was initiated among patients at the Rabin Medical Center, Petah
Tikva, Israel, between January 2011 and December 2013. The patients were
categorized into 4 groups according to their type of pleural effusion as follows:
heart failure, malignant, post-lung transplantation, and parapneumonic effusion.
Results. The pleural CRP levels significantly differentiated the four groups (p <
0.001) with the following means: parapneumonic effusion, 5.38 +/- 4.85 mg/dL;
lung transplant, 2.77 +/- 2.66 mg/dL; malignancy, 1.19 +/- 1.51 mg/dL; and heart
failure, 0.57 +/- 0.81 mg/dL. The pleural fluid CRP cut-off value for
differentiating among parapneumonic effusions and the other 3 groups was 1.38
mg/dL. The sensitivity, specificity, positive predictive value, and negative
predictive value were 84.2%, 71.5%, 37%, and 95%, respectively. A backward
logistic regression model selected CRP as the single predictor of parapneumonic
effusion (OR = 1.59, 95% CI = 1.37-1.89). Conclusions. Pleural fluid CRP levels
can be used to distinguish between parapneumonic effusions and other types of
exudative effusions. CRP levels < 0.64 mg/dL are likely to indicate a pleural
effusion from congestive heart failure, whereas levels >= 1.38 mg/dL are
suggestive of an infectious etiology.
PMID- 27194819
TI - Postnatal Changes in Humerus Cortical Bone Thickness Reflect the Development of
Metabolic Bone Disease in Preterm Infants.
AB - Objective. To use cortical bone thickness (CBT) of the humerus to identify risk
factors for the development of metabolic bone disease in preterm infants.
Methods. Twenty-seven infants born at <32 weeks of gestational age, with a birth
weight of <1,500 g, were enrolled. Humeral CBT was measured from chest
radiographs at birth and at 27-28, 31-32, and 36-44 weeks of postmenstrual age
(PMA). The risk factors for the development of osteomalacia were statistically
analyzed. Results. The humeral CBT at 36-44 weeks of PMA was positively
correlated with gestational age and birth weight and negatively correlated with
the duration of mechanical ventilation. CBT increased with PMA, except in six
very early preterm infants in whom it decreased. Based on logistic regression
analysis, gestational age and duration of mechanical ventilation were identified
as risk factors for cortical bone thinning. Conclusions. Humeral CBT may serve as
a radiologic marker of metabolic bone disease at 36-44 weeks of PMA in preterm
infants. Cortical bones of extremely preterm infants are fragile, even when age
is corrected for term, and require extreme care to lower the risk of fractures.
PMID- 27194821
TI - How to reduce the costs of ornaments without reducing their effectiveness? An
example of a mechanism from carotenoid-based plumage.
AB - ABSTRACT: Carotenoid-based ornaments are often considered to be honest indicators
of individual quality assessed by potential mates. However, males can use a
variety of strategies that minimize the amount of costly carotenoids used while
retaining the effectiveness of color signaling. Birds could do this by altering
pigment intake, metabolism, or its presentation to a potential signal receiver.
Here, we propose a new mechanism of lowering the costs of carotenoid displays in
birds: differential allocation of pigments within single feathers. We studied the
coloration of the yellow terminal tail bands of rectrices of male Bohemian
waxwings. Using reflectance spectrometry, we show that the two central rectrices
are most intensively colored compared to other rectrices. More detailed analyses
reveal that these differences result from feather-specific patterns of rectrices
coloration. The outer feather vanes of the outermost rectrices are more
intensively colored compared to the inner vanes. However, the central rectrices
have equally colored vanes that are, on average, more intensively pigmented than
the outermost rectrices. When the waxwing tail is folded, the outermost rectrices
are covered by other feathers, except for the narrow, outer vane. Central
rectrices, however, form the outermost layers which are not obscured by other
tail feathers. Thus, the feather vanes that are the most visible to potential
viewers are also the most pigmented. These results support the occurrence of a
previously overlooked mechanism to reduce the costs of carotenoid-based
ornaments: precise pigment distribution to maximize efficiency of signals within
single feathers. SIGNIFICANCE STATEMENT: Males of many bird species use bright
carotenoid-based plumage coloration to attract females. These traits are
physiologically expensive such that only individuals in prime condition can
develop the most vivid colors. Males often "cheat" to obtain attractive
appearances at lower costs. We showed that this goal could be achieved by
differential deposition of pigments into the most conspicuous feather regions.
Bohemian waxwing males have yellow tips on their rectrices of which the outer
vanes are more brightly colored compared to the inner vanes. These inner feather
vanes are usually covered by other feathers and are, thus, less visible to
conspecifics. The only exception is the pair of central rectrices that are fully
exposed, and both feather vanes are equally colored. In this species, males
minimize the use of costly carotenoid pigments while maintaining elaborate
ornamentation of plumage regions that are most visible to potential mates.
PMID- 27194822
TI - Intergroup encounters in Verreaux's sifakas (Propithecus verreauxi): who fights
and why?
AB - ABSTRACT: Individuals living in groups have to achieve collective action for
successful territorial defense. Because conflicts between neighboring groups
always involve risks and costs, individuals must base their decision to
participate in a given conflict on an evaluation of the trade-off between
potential costs and benefits. Since group members may differ in motivation to
engage in group encounters, they exhibit different levels of participation in
conflicts. In this study, we investigated factors influencing participation in
intergroup encounters in Verreaux's sifakas (Propithecus verreauxi), a group
living primate from Madagascar. Over a period of 12 months, we studied eight
adjacent sifaka groups in Kirindy Forest. We observed 71 encounters between known
neighboring groups in which adult females and males participated equally as
often. No individual participated in every encounter, and non-participation
occurred more often in larger groups. Females participated less often in
encounters when they had dependent infants, presumably to reduce the risk of
infanticide. Male participation was influenced by social status: dominant males
participated in most encounters, whereas males with fewer opportunities to
reproduce participated less often, hence male participation is influenced by the
incentive of maintaining access to females. The number of actively participating
individuals in the opponent group positively influenced the participation in both
sexes. Thus, sifakas seem to decide joining a given encounter opportunistically,
most likely based on a combination of individual incentives and the actual
circumstance of each encounter, suggesting that the complexity in intergroup
relationships appears to be the product of decisions made by each individual
group member. SIGNIFICANCE STATEMENT: Cooperation among group-living animals is
often challenged by collective action problems resulting from individual
differences in interests in contributing to collective behaviors. Intergroup
encounters involve distinguished costs and benefits for each individual despite
being in the same social group. Therefore, encounters between groups offer a good
opportunity to investigate individual participation in collective action. In this
study, we investigate the influence of different incentives on individual
participation in intergroup encounters in wild Malagasy primate, Verreaux's
sifakas. We propose a novel approach that takes into account the variable
circumstances of each conflict, such as the number of individuals fighting in
both groups as a predictor for participation. We believe that our study not only
provides novel data on wild sifakas, but it also offers new perspectives for the
interpretation of intergroup relationships in other taxa.
PMID- 27194824
TI - Floral Sonication is an Innate Behaviour in Bumblebees that can be Fine-Tuned
with Experience in Manipulating Flowers.
AB - Bumblebees demonstrate an extensive capacity for learning complex motor skills to
maximise exploitation of floral rewards. This ability is well studied in nectar
collection but its role in pollen foraging is less well understood. Floral
sonication is used by bees to extract pollen from some plant species with anthers
which must be vibrated (buzzed) to release pollen. Pollen removal is determined
by sonication characteristics including frequency and amplitude, and thus the
ability to optimise sonication should allow bees to maximise the pollen
collection. We investigated the ability of the buff-tailed bumblebee (Bombus
terrestris) to modify the frequency and amplitude of their buzzes with increasing
experience manipulating flowers of the buzz-pollinated plant Solanum rostratum.
We analysed flight and feeding vibrations generated by naive workers across
feeding bouts. Feeding buzzes were of a higher frequency and a lower amplitude
than flight buzzes. Both flight and feeding buzzes had reduced amplitudes with
increasing number of foraging trips. However, the frequency of their feeding
buzzes was reduced significantly more than their flight buzzes as bumblebee
workers gained experience manipulating flowers. These results suggest that
bumblebees are able to modify the characteristics of their buzzes with experience
manipulating buzz-pollinated flowers. We discuss our findings in the context of
bumblebee learning, and the current understanding of the optimal sonication
characteristics for releasing pollen in buzz-pollinated species. Our results
present a tantalising insight into the potential role of learning in floral
sonication, paving the way for future research in this area.
PMID- 27194823
TI - Effect of Therapeutic Touch in Patients with Cancer: a Literature Review.
AB - BACKGROUND: The use of complementary and alternative medicine (CAM) techniques
has been growing. The National Center for Complementary and Alternative Medicine
places therapeutic touch (TT) into the category of bio field energy. This
literature review is aimed at critically evaluating the data from clinical trials
examining the clinical efficacy of therapeutic touch as a supportive care
modality in adult patients with cancer. METHODS: Electronic databases (PubMed,
Scopus, Scholar Google, and Science Direct) were searched from the year 1990 to
2015 to locate potentially relevant peer-reviewed articles using the key words
therapeutic touch, touch therapy, neoplasm, cancer, and CAM. Additionally,
relevant journals and references of all the located articles were manually
searched for other potentially relevant studies. RESULTS: The number of 334
articles was found on the basis of the key words, of which 17 articles related to
the clinical trial were examined in accordance with the objectives of the study.
A total of 6 articles were in the final dataset in which several examples of the
positive effects of healing touch on pain, nausea, anxiety and fatigue, and life
quality and also on biochemical parameters were observed. CONCLUSION: Based on
the results of this study, an affirmation can be made regarding the use of TT, as
a non-invasive intervention for improving the health status in patients with
cancer. Moreover, therapeutic touch was proved to be a useful strategy for adult
patients with cancer.
PMID- 27194825
TI - e-Cadherin in 1-Methyl-4-phenyl-1,2,3,6-tetrahydropyridine-Induced Parkinson
Disease.
AB - Today a large number of studies are focused on clarifying the complexity and
diversity of the pathogenetic mechanisms inducing Parkinson disease. We used 1
methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP), a neurotoxin that induces
Parkinson disease, to evaluate the change of midbrain structure and the behavior
of the anti-inflammatory factor e-cadherin, interleukin-6, tyrosine hydroxylase,
phosphatase and tensin homolog, and caveolin-1. The results showed a strong
expression of e-cadherin, variation of length and thickness of the heavy
neurofilaments, increase of interleukin-6, and reduction of tyrosine hydroxylase
known to be expression of dopamine cell loss, reduction of phosphatase and tensin
homolog described to impair responses to dopamine, and reduction of caveolin-1
known to be expression of epithelial-mesenchymal transition and fibrosis. The
possibility that the overexpression of the e-cadherin might be implicated in the
anti-inflammatory reaction to MPTP treatment by influencing the behavior of the
other analyzed molecules is discussed.
PMID- 27194826
TI - Th17 Cell Response in SOD1G93A Mice following Motor Nerve Injury.
AB - An increased risk of ALS has been reported for veterans, varsity athletes, and
professional football players. The mechanism underlying the increased risk in
these populations has not been identified; however, it has been proposed that
motor nerve injury may trigger immune responses which, in turn, can accelerate
the progression of ALS. Accumulating evidence indicates that abnormal immune
reactions and inflammation are involved in the pathogenesis of ALS, but the
specific immune cells involved have not been clearly defined. To understand how
nerve injury and immune responses may contribute to ALS development, we
investigated responses of CD4(+) T cell after facial motor nerve axotomy (FNA) at
a presymptomatic stage in a transgenic mouse model of ALS (B6SJL SOD1(G93A)).
SOD1(G93A) mice, compared with WT mice, displayed an increase in the basal
activation state of CD4(+) T cells and higher frequency of Th17 cells, which were
further enhanced by FNA. In conclusion, SOD1(G93A) mice exhibit abnormal CD4(+) T
cell activation with increased levels of Th17 cells prior to the onset of
neurological symptoms. Motor nerve injury exacerbates Th17 cell responses and may
contribute to the development of ALS, especially in those who carry genetic
susceptibility to this disease.
PMID- 27194828
TI - Plasma cell-rich acute rejection: A morphologic archetype of combined cellular
and humoral rejection?
PMID- 27194827
TI - Bigelovii A Protects against Lipopolysaccharide-Induced Acute Lung Injury by
Blocking NF-kappaB and CCAAT/Enhancer-Binding Protein delta Pathways.
AB - Optimal methods are applied to acute lung injury (ALI) and the acute respiratory
distress syndrome (ARDS), but the mortality rate is still high. Accordingly,
further studies dedicated to identify novel therapeutic approaches to ALI are
urgently needed. Bigelovii A is a new natural product and may exhibit anti
inflammatory activity. Therefore, we sought to investigate its effect on
lipopolysaccharide- (LPS-) induced ALI and the underlying mechanisms. We found
that LPS-induced ALI was significantly alleviated by Bigelovii A treatment,
characterized by reduction of proinflammatory mediator production, neutrophil
infiltration, and lung permeability. Furthermore, Bigelovii A also downregulated
LPS-stimulated inflammatory mediator expressions in vitro. Moreover, both NF
kappaB and CCAAT/enhancer-binding protein delta (C/EBPdelta) activation were
obviously attenuated by Bigelovii A treatment. Additionally, phosphorylation of
both p38 MAPK and ERK1/2 (upstream signals of C/EBPdelta activation) in response
to LPS challenge was also inhibited by Bigelovii A. Therefore, Bigelovii A could
attenuate LPS-induced inflammation by suppression of NF-kappaB, inflammatory
mediators, and p38 MAPK/ERK1/2-C/EBPdelta, inflammatory mediators signaling
pathways, which provide a novel theoretical basis for the possible application of
Bigelovii A in clinic.
PMID- 27194829
TI - Th1, Th2 and Treg/T17 cytokines in two types of proliferative glomerulonephritis.
AB - IgA nephropathy (IgAN) and focal segmental necrotizing glomerulonephritis (FSNGN)
are characterized by proliferation of native glomerular cells and infiltration by
inflammatory cells. Several cytokines act as mediators of kidney damage in both
diseases. The aim of the present study was to investigate the role of Th1, Th2
and Treg/T17 cytokines in these types of proliferative glomerulonephritis.
Simultaneous measurement of Th1 interleukin (IL-2, IL-12, tumor necrosis factor
alpha [TNF-alpha], interferon-gamma [INF-gamma]), Th2 (IL-4, IL-5, IL-6, IL-10,
IL-13), Treg/T17 transforming growth factor-beta 1 (TGF-beta1, granulocyte
macrophage colony-stimulating factor [GM-CSF], IL-17) cytokines and C-C
chemokines Monocyte chemoattractant protein-1 (MCP-1, macrophage inflammatory
protein-1 [MIP-1] beta) was performed in first-morning urine samples, at the day
of renal biopsy, using a multiplex cytokine assay. Cytokine concentrations were
correlated with histological findings and renal function outcome. Urinary
excretion of Th1, Th2 and Treg/Th17 cytokines were significantly higher in FSNGN
compared to IgAN patients. In IgAN patients (n = 50, M/F: 36/14, M age: 40.7 [17
67] years), Th1, Th2 and T17 cytokines correlated significantly with the presence
of endocapillary proliferation, while in FSNGN patients (n = 40, M/F: 24/16, M
age: 56.5 [25-80] years), MCP-1 and TGF-beta1 had a positive correlation with
severe extracapillary proliferation (P = 0.001 and P = 0.002, respectively).
Urinary IL-17 was the only independent parameter associated with endocapillary
proliferation in IgAN and with MCP-1 urinary excretion in FSNGN. Response to
treatment was mainly predicted by IL-6 in IgAN, and by Th2 (IL-4, IL-6), Treg (GM
CSF) cytokines and MIP-1 beta in FSNGN. Th1, Th2 and T17 cytokines were directly
implicated in renal pathology in IgAN and possibly through MCP-1 production in
FSNGN. IL-17 and IL-6 seem to have a central role in inflammation and progression
of kidney injury.
PMID- 27194830
TI - Nephroprotective effect of estrogen and progesterone combination on cisplatin
induced nephrotoxicity in ovariectomized female rats.
AB - Recently, we reported that estrogen (Es) has no beneficial effect on cisplatin
(CP)-induced nephrotoxicity, but the role of progesterone (Pr) and the
combination of Es and Pr are not yet well-defined. In this study, we investigated
the protective role of Pr, and co-administration of Es/Pr on CP-induced
nephrotoxicity. Eighty-six ovariectomized female Wistar rats were divided into 13
groups, and the experiments were performed in two phases. In Phase I, Groups 1-4
received 2, 5, 10, and 25 mg/kg, IM Pr dissolved in sesame oil every 5 days for
four doses. Groups 5-8 had the same treatment regimen as Groups 1-4, but after
the third injection the animals also received continuous dose of CP (2.5
mg/kg/day, i.p.) for 8 days. Group 9, as the positive control group, received
sesame oil instead of Pr plus CP. Group 10, as the negative control group,
received sesame oil instead of Pr. After the most effective dose of Pr was
determined in Phase I, Groups 11-13 in Phase II received 10 mg/kg Pr plus either
0.25, 0.5, or 1 mg/kg, IM estradiol valerate every 5 days for four doses. After
the third injection, they also received a continuous dose of CP for 8 days. The
levels of blood urea nitrogen (BUN) and creatinine (Cr), kidney tissue damage
score (KTDS), and kidney weight (KW) increased and body weight (BW) decreased in
the positive control group (P < 0.05). Administration of Pr (10 mg/kg) plus CP
decreased KTDS and BW loss and KW. Co-administration of ES/Pr at specific doses
improved Cr, BUN, and KTDS; and resulted in reduced CP-induced nephrotoxicity.
The results obtained suggest that the beneficial effect of Pr on CP-induced
nephrotoxicity is dose-dependent. In addition, combination of Es/Pr with a
specific dose decreased CP-induced nephrotoxicity.
PMID- 27194831
TI - Expanding the antibody-mediated component of plasma cell-rich acute rejection: A
case series.
AB - Renal allograft rejection is mediated by T-cells (T-cell mediated rejection) or
by donor-specific antibodies (DSAs) (antibody mediated rejection, ABMR). Plasma
cell-rich acute rejection (PCAR) is a unique entity due to its peculiar
morphology and poor prognostic behavior. All allograft biopsies done at our
center from January 2013 to October 2014 were reviewed, and seven were identified
with a diagnosis of PCAR with antibody mediated rejection (ABMR). The allograft
biopsies were classified as per the Banff 2007 schema. Immunohistochemistry with
C4d, SV 40, CD3, CD20, CD138, kappa and lambda light chain was performed. Total
210 allograft biopsies were performed in the study period of which seven biopsies
(3.3%) were diagnosed as PCAR with ABMR. All these were late ABMRs (more than 6
months) with median posttransplant duration of 17 months. The allograft biopsy
showed features of PCAR along with glomerulitis, peritubular capillaritis, and
positive C4d. DSA was positive in six patients. All the patients were treated
with standard therapeutic measures of acute cellular rejection (ACR) and ABMR
including steroids, plasma exchange, rituximab and intravenous immunoglobulins.
All the patients had persistent graft dysfunction or graft loss on follow-up.
PMID- 27194832
TI - Unmasking of complements using proteinase-K in formalin fixed paraffin embedded
renal biopsies.
AB - Renal biopsy interpretation requires histopathology, direct immunofluorescence
(DIF) and electron microscopy. Formalin-fixed, paraffin-embedded tissue (FFPE)
sent for light microscopy can be used for DIF after antigen retrieval. However,
complement staining has not been satisfactory. We standardized DIF using
proteinase-K for antigen retrieval in FFPE renal biopsies. A pilot study was
conducted on known cases of membranous glomerulonephritis (MGN),
membranoproliferative type-1 (MPGN-1), immunoglobulin A nephropathy (IgAN), and
anti-glomerular basement disease (anti-GBM). Immunofluorescence panel included
fluorescein isothiocyanate (FITC) conjugated IgG, IgA, IgM, complements (C3 and
C1q), light chains (kappa, lambda) and fibrinogen antibodies. After
standardization of the technique, 75 renal biopsies and 43 autopsies cases were
stained. Out of 43 autopsy cases, immune-complex mediated glomerulonephritis (GN)
was confirmed in 18 cases (Lupus nephritis-11, IgAN-6, MGN-1), complement
mediated dense deposit disease (DDD-1) and monoclonal diseases in 4 cases
(amyloidosis-3, cast nephropathy-1). Immune-mediated injury was excluded in 17
cases (focal segmental glomerulosclerosis -3, crescentic GN-6 [pauci-immune-3,
anti-GBM-3], thrombotic microangiopathy-5, atherosclerosis-3). Renal biopsies (n
75) where inadequate or no frozen sample was available; this technique classified
52 mesangiocapillary pattern as MPGN type-1-46, DDD-2 and (C3GN-4). Others were
diagnosed as IgAN-3, lupus nephritis-2, MGN-4, diffuse proliferative
glomerulonephritis (DPGN)-1, Non-IC crescentic GN-1, monoclonal diseases-3. In
nine cases, DIF on FFPE tissue could not help in making diagnosis. Proteinase-K
enzymatic digestion of FFPE renal biopsies can unmask complements (both C3 and
C1q) in immune-complexes mediated and complement-mediated diseases. This method
showed good results on autopsy tissues archived for as long as 15 years.
PMID- 27194833
TI - A histopathological outlook on nephrotic syndrome: A pediatric perspective.
AB - The developing world is observing changing histopathological patterns of
idiopathic nephrotic syndrome (INS). However, the true burden of non-minimal
change disease (non-MCD) presenting as INS remains unestimated owing to a paucity
of data on renal biopsies. Data were collected from January 2006 to June 2014 on
75 children up to 16 years of age who underwent renal biopsies for INS. Mean age
at biopsy was 11.2 +/- 3.7 years. The male to female ratio was 1.5:1. A total of
25 (33.3%) children were steroid sensitive, 36 (48%) were steroid resistant, 10
(13.3%) were steroid dependent and 4 (5.3%) came with relapse of nephrotic
syndrome (NS). Focal segmental glomerulosclerosis (FSGS) was the most common
histopathological subtype observed in 35 (46.8%) children followed by membranous
glomerulonephritis (MGN) in 11 (14.7%), membranoproliferative glomerulonephritis
(MPGN) and mesangioproliferative glomerulonephritis (MSGN) in 4 (5.3%) each and
IgA nephropathy in one (1.3%). MCD was the histological lesion in 19 (25.3%)
children. The histopathology established FSGS as the main underlying cause of
steroid resistant NS. The study highlights the emergence of non-MCD as the common
cause of INS in the pediatric population and signifies the importance of renal
biopsies in children with INS.
PMID- 27194834
TI - Diabetes, hypertension, sanitation, and health education by high school students
in Guyana, South America.
AB - We initiated a program for early detection of diabetes and hypertension, the main
causes of kidney failure in Guyana, South America. We trained local high school
students with the goal that these students would stay in the villages for long
term, become health advocates and shift the reliance away from physicians. This
project involved 7 high school students who were taught to monitor the health of
one village of 1000-1500 population each. The program will be implemented for 3
years in which the entire population of seven villages (approximately 10,000
people) will be covered. This represents 1.3% population in Guyana. We present
data from the pilot study from the sample of 619 people. The prevalence of
diabetes mellitus was 13.9%. Among diabetics, 33.7% were using insulin and 86%
oral hypoglycemic agents. Prevalence of hypertension was 29.4%, 63.2% were
overweight and 17% were obese. About 9.9% patients were unaware about the
existence of hypertension. We have shown in our study that high school students
can be used to collect health data and monitor diabetes and hypertension. There
was also a significant incidence of undetected diabetes and hypertension.
PMID- 27194835
TI - Frequency of kidney diseases and clinical indications of pediatric renal biopsy:
A single center experience.
AB - Kidney biopsy occupies a fundamental position in the management of kidney
diseases. There are very few renal pathology studies available in the literature
from developing world. This study scrutinized the frequency and
clinicopathological relationship of kidney biopsies done at the kidney center
from 1997 to 2013 amongst pediatric patients. Kidney allograft biopsy were
excluded. The specimen was examined under light microscopy and immunofluorescence
while electron microscopy was not done. The study includes 423 patients, mean age
was 10.48 +/- 4.58 years, males 245 (57.9%) were more than females 178 (42.1%).
Nephrotic syndrome 314 (74.2%) was the most common clinical presentation followed
by acute nephritic syndrome 35 (8.3%) and acute renal failure 24 (5.7%). Primary
glomerulonephritis (PGN) was the most common group of diseases, seen in 360
(85.1%) followed by secondary glomerulonephritis (SGN) in 27 (6.4%) and
tubulointerstitial nephritis in 21 (5.0%). Among PGN, minimal change disease
(MCD) was the most dominant disease, with 128 (30.3%) cases followed by focal
segmental glomerulosclerosis FSGS in 109 (25.8%) and membranous
glomerulonephropathy in 27 (6.4%). Lupus nephritis (LN) was the leading cause of
glomerular disease in SGN followed by hemolytic uremic syndrome. In conclusion,
MCD is the most common histological finding, especially in younger children and
FSGS is second to it. SGN is rare, and the most common disease in this category
is LN while tubulointerstitial and vascular diseases are infrequent.
PMID- 27194837
TI - Cerebral venous thrombosis in a patient with acute postinfectious
glomerulonephritis.
AB - Thrombosis of the cerebral venous sinuses (CVT) is described in nephrotic
syndrome. A 13-year-old girl was admitted with acute post-infectious
glomerulonephritis (APIGN). Subsequently she developed recurrent seizures with
focal neurological deficits. On evaluation, she was found to have CVT. To the
best of our knowledge, this is the first report of CVT in APIGN. Identifying this
complication is imperative, as timely diagnosis and treatment could be
lifesaving.
PMID- 27194836
TI - Acute kidney injury and disseminated intravascular coagulation due to mercuric
chloride poisoning.
AB - Mercury is a toxic heavy metal and occurs in organic and inorganic forms.
Inorganic mercury includes elemental mercury and mercury salts. Mercury salts are
usually white powder or crystals, and widely used in indigenous medicines and
folk remedies in Asia. Inorganic mercury poisoning causes acute kidney injury
(AKI) and gastrointestinal manifestations and can be life-threatening. We
describe a case with unknown substance poisoning who developed AKI and
disseminated intravascular coagulation (DIC). Renal biopsy showed acute tubular
necrosis. Later, the consumed substance was proven to be mercuric chloride. His
renal failure improved over time, and his creatinine normalized after 2 months.
PMID- 27194838
TI - Role of diffusion weighted imaging in diagnosis of post transplant
lymphoproliferative disorders: Case reports and review of literature.
AB - Post transplant lymphoproliferative disorder include a spectrum of conditions
occurring in immunosuppressed post transplant recipients, lymphoma being the most
ominous. (18)F-fludeoxyglucose positron emission tomography with computed
tomography CT) is the current imaging gold standard for lymphoma imaging as it
allows both morphological and functional assessment. CT and/or conventional
magnetic resonance imaging (MRI) are used for morphological evaluation in
transplant recipients. Integrating diffusion weighted imaging with apparent
diffusion coefficient analysis in MRI protocol enhances its sensitivity and may
prove invaluable in response assessment in transplant recipients.
PMID- 27194839
TI - Ledipasvir and sofosbuvir for treatment of post- renal transplant hepatitis C
infection: A case report with review of literature.
AB - Liver disease due to hepatitis C infection in renal transplant recipients is
difficult to treat and often associated with reduced patient survival. A 43-year
old male, a renal allograft recipient, presented at 6 years follow-up with
significant weight loss over 3 months. He was detected to have new onset diabetes
mellitus together with hepatitis C virus (HCV) infection (genotype 1). His HCV
load remained high despite the change of immuno-suppression from tacrolimus to
cyclosporine. A decision to treat with a new anti-viral combination of ledipasvir
and sofosbuvir for 12 weeks was taken. Within 3 weeks, his raised serum
transaminases levels normalized and viral load became undetectable. At the end of
16 weeks, he continues to do well with normal renal function, has sustained
remission from hepatitis C infection and resolution of diabetes.
PMID- 27194840
TI - A rare case of phaeohyphomycosis caused by Fonsecaea pedrosoi in a child with
nephrotic syndrome.
AB - Dematiaceous fungi are the etiological agents of phaeohyphomycosis and are now
increasingly being recognized for causing disease in humans. A high level of
suspicion and routine fungal cultures are required to identify these cases. There
is no consensus regarding their management. Here, an unusual presentation of
phaeohyphomycosis (secondary to Fonsecaea pedrosoi) presenting as a disseminated
infection in a case of nephrotic syndrome is described.
PMID- 27194841
TI - Dense renal medulla sign.
PMID- 27194842
TI - Whole body calcification in a hemodialysis patient.
PMID- 27194843
TI - Bartter's syndrome in a geriatric patient.
PMID- 27194844
TI - Chronic kidney disease of unknown etiology: Is time for prevention.
PMID- 27194845
TI - Goodpasture's disease in a patient with Kartagener's syndrome: An unusual
association.
PMID- 27194846
TI - Digital gangrene in a child with atypical hemolytic uremic syndrome associated
with anti-factor H antibodies.
PMID- 27194847
TI - Crossed fused renal ectopia with Wolffian duct anomaly.
PMID- 27194848
TI - Renal cortical necrosis: A life-threatening manifestation of primary
antiphospholipid antibody syndrome.
PMID- 27194849
TI - Message from IAOMP president.
PMID- 27194850
TI - Message from IAOMP secretary.
PMID- 27194851
TI - Message from IAOMP treasurer.
PMID- 27194852
TI - From the Editor's desk.
PMID- 27194853
TI - CANCER CONUNDRUM.
PMID- 27194854
TI - Toto bodies.
PMID- 27194855
TI - Do the presence of Barr bodies in male jail inmates indicates criminality: A
pilot study.
AB - BACKGROUND: Cytogenetic studies from past decades have shown that interphase
cells of female cats contain a densely stained chromatin mass in their nuclei
called as Barr bodies (BBs) named after the scientist Murray Barr. BBs are unique
chromatin structures formed due to the condensation of the X-chromosome. Many
psychopathic disorders originate from defective genes including the multiple X
syndromes. Males with extra X-chromosome generally present with severe
personality disorder. The present study was conducted to determine the presence
of extra X-chromosome in male jail inmates through the detection of BB in
peripheral blood and buccal smear. MATERIALS AND METHODS: Study included 100 male
subjects (fifty jail inmates and fifty controls), after obtaining the consent,
peripheral blood smears (PBS) and buccal smears (BS) were prepared and stained
using Leishman's and cresyl violet stain respectively. One hundred neutrophils in
PBS and epithelial cells in BS were screened for detection of the BB; accumulated
data were tabulated and statistically analyzed using t-test and Chi-square test.
RESULTS: 60% of cases in PBS and 36% in BS showed positivity for the presence of
BB in jail inmates as compared to 14% of cases in PBS and none in BS were
positive for BB in controls. CONCLUSION: Presence of BB in male suggests
increased likelihood of criminal tendencies. Further studies are to be carried
out to compare the results with karyotyping.
PMID- 27194856
TI - Long-term effect of tobacco on unstimulated salivary pH.
AB - AIMS AND OBJECTIVES: The aim of this study was to analyze and compare the effects
of tobacco on salivary pH between tobacco chewers, smokers and controls.
MATERIALS AND METHODS: A total of 60 subjects (males and females) aged 25-40
years, were divided equally into three groups: Tobacco smokers (Group A), chewers
(Group B) and controls (Group C). Saliva of each subject was collected under
resting condition. Salivary pH was determined using the specific salivary pH
meter. RESULTS: The mean (+/-standard deviation) pH for Group A was 6.75 (+/
0.11), Group B was 6.5 (+/-0.29) and Group C was 7.00 (+/-0.28) after comparison.
The significant results showed lower salivary pH in Groups A and B as compared to
controls. Salivary pH was lowest in Group B compared to Group A and Group C.
CONCLUSION: This study indicates that a lower (acidic) salivary pH was observed
in tobacco users as compared with control. These alterations in pH due to the
long-term effect of tobacco use can render oral mucosa vulnerable to various oral
and dental diseases.
PMID- 27194857
TI - Mounting ground sections of teeth: Cyanoacrylate adhesive versus Canada balsam.
AB - INTRODUCTION: Hard tissues can be studied by either decalcification or by
preparing ground sections. Various mounting media have been tried and used for
ground sections of teeth. However, there are very few studies on the use of
cyanoacrylate adhesive as a mounting medium. AIMS: The aim of our study was to
evaluate the efficacy of cyanoacrylate adhesive (FevikwikTM) as a mounting medium
for ground sections of teeth and to compare these ground sections with those
mounted with Canada balsam. MATERIALS AND METHODS: Ground sections were prepared
from twenty extracted teeth. Each section was divided into two halves and mounted
on one slide, one with cyanoacrylate adhesive (FevikwikTM) and the other with
Canada balsam. Scoring for various features in the ground sections was done by
two independent observers. STATISTICAL ANALYSIS USED: Statistical analysis using
Student's t-test (unpaired) of average scores was performed for each feature
observed. RESULTS: No statistically significant difference was found between the
two for most of the features. However, cyanoacrylate was found to be better than
Canada balsam for observing striae of Retzius (P < 0.0205), enamel lamellae (P <
0.036), dentinal tubules (P < 0.0057), interglobular dentin (P < 0.0001),
sclerotic dentin - transmitted light (P < 0.00001), sclerotic dentin - polarized
light (P < 0.0002) and Sharpey's fibers (P < 0.0004). CONCLUSIONS: This initial
study shows that cyanoacrylate is better than Canada balsam for observing certain
features of ground sections of teeth. However, it remains to be seen whether it
will be useful for studying undecalcified sections of carious teeth and for soft
tissue sections.
PMID- 27194858
TI - A correlative study of the levels of salivary Streptococcus mutans, lactobacilli
and Actinomyces with dental caries experience in subjects with mixed and
permanent dentition.
AB - PURPOSE: The aim of the study was to estimate the salivary levels of
Streptococcus mutans, Lactobacilli and Actinomyces and to correlate it with
dental caries experience in mixed and permanent dentition. MATERIALS AND METHODS:
The sample size comprised 110 subjects. The decayed, missing and filled teeth
(DMFT) index of all the individuals participating in the study was calculated.
Saliva samples were collected from patients and samples were inoculated on
specific culture media and incubated for a period of 48 h. Based on colony
characteristics, S. mutans, Lactobacilli and Actinomyces were identified.
RESULTS: A positive correlation exists between DMFT and S. mutans, Lactobacilli
and Actinomyces in mixed dentition and permanent dentition group samples (P <
0.001). CONCLUSION: The conclusion from the results obtained was that S. Mutans,
lactobacilli and Actinomyces which are the components of the normal microbial
flora of the oral cavity play an important role in the pathogenesis of dental
caries and increased number of microorganisms is associated with an increased
caries frequency.
PMID- 27194859
TI - Immunohistochemical expression of p53 and its clinicopathological correlation
with modified Anneroth's histological grading system.
AB - INTRODUCTION AND OBJECTIVES: Oral squamous cell carcinoma (OSCC) is an epithelial
neoplasm generally beginning as focal overgrowth of altered stem cells near the
basement membrane, moving upward and laterally, replacing the normal epithelium.
Histopathological grading has been used for many decades in an attempt to predict
the clinical behavior of oral squamous cell carcinoma. In the present study,
Forty biopsies were studied for histological grading and p53 expression. The p53
expression was studied in relation to clinical parameters such as age, sex of
patient and site of tumors. Relation between histological grade of malignancy and
p53 protein expression was analysed. All cases were classified according to
Anneroth's histological malignancy grading system (1987). MATERIALS AND METHODS:
40 cases of OSCC were assessed for clinical parameters, Anneroth's histological
grading and immunohistochemically stained with p53 protien. STATISTICAL ANALYSIS:
The results obtained were analyzed using Spearman's Co-relation. OBSERVATIONS AND
RESULTS: The positive expression of p53 was found in 62% of carcinomas studied.
Positivity of p53 showed correlation with histological grade of malignancy and
with individual parameters like degree of keratinization, nuclear polymorphism,
number of mitoses and lymphoplasmacytic infiltration while showed a negative
correlation with pattern of invasion. CONCLUSION: Our study showed a significant
correlation between parameters of tumor cell population, lymphoplasmacytic
infiltration and p53 expression. A significant association between high grade of
malignancy and p53 overexpression and insignificant correlation of p53 with age,
sex of the patient and site of the tumor was found.
PMID- 27194860
TI - Aggrandizing oral submucous fibrosis grading using an adjunct special stain: A
pilot study.
AB - INTRODUCTION: Oral submucous fibrosis (OSMF) is graded according to various
histological factors which include the epithelial changes and the connective
tissue changes. These features though could be identified in routine hematoxylin
and eosin (H and E) staining; they could be better appreciated in special stains.
This pilot study is an attempt to identify a single special stain that can act as
an adjunct to H and E stain to help grade this potentially malignant disease.
AIMS AND OBJECTIVES: To assess if special stains can improvise on differentiating
the various histological changes seen in OSMF and to accordingly grade OSMF
cases. MATERIALS AND METHODS: Formalin-fixed paraffin-embedded tissue sections of
OSMF-10 cases of each grade (n = 30). Three special stains: Van-Gieson, Mallory's
trichrome and Masson trichrome. STATISTICAL ANALYSIS: The results obtained were
tabulated and statistically analyzed using Chi-square test. OBSERVATIONS AND
RESULTS: The thickness and degree of keratinization were best detected in
Mallory's stain (100%) and were statistically significant; the subepithelial
changes were better detected using special stains, especially Mallory's stain
(100%). The changes in collagen fibers were better visualized in all three
special stains but were not statistically significant. The changes in blood
vessels were better detected in Van-Gieson's and Mallory's stain; the obtained
results were statistically significant. The degree of fibrosis between muscle
bundles could be detected in all the three special stains, but when compared the
results were not statistically significant. The questionable areas of muscle
degeneration, especially in deeper connective tissue were better detected in
Mallory's (43%) and Masson's stain (43%) as compared to Van-Gieson stain (14%)
and the results obtained were statistically significant. The inflammatory cells
and dysplastic features are better visualized in routine H and E stains.
CONCLUSION: Pathogenesis of OSMF is related to fibro-elastic and muscle
degenerative changes in the connective tissue followed by secondary changes in
epithelium. Routine H and E, stains all the connective tissue components in
various shades of pink, use of special stains bestows contrast between different
components of connective tissue, thus improvising grading of OSMF. Mallory's
stain can be used as a single adjunct to H and E stain as both pattern of
keratinization in the epithelium and changes in the superficial and deeper
connective tissue could be ascertained.
PMID- 27194861
TI - Immunohistochemical detection of the receptor activator of nuclear factor Kappa B
ligand and c-fos in giant cell granuloma.
AB - BACKGROUND: Giant cell granuloma (GCG) is an intraosseous giant cell fibroblastic
lesion that predominantly affects the jaw bones in children and adults. Despite
its frequent local progression and destructive effect, it is traditionally
considered reparative or reactive in nature. The receptor activator of nuclear
factor Kappa B ligand (RANKL), a member of the tumor necrosis factor family and
the transcription factor c-fos play a major role in osteoclast proliferation and
differentiation. In this study, we examined the expression of RANKL and c-fos in
lesional tissues from seven patients with GCG. MATERIALS AND METHODS: Automated
immunohistochemical staining was performed on formalin-fixed paraffin-embedded
sections from 7 cases, using antibodies against RANKL, c-fos and p53. RESULTS:
All tissues showed nuclear staining for c-fos and cytoplasmic staining for RANKL.
The staining was strong, diffuse and observed in both mononuclear lesional cells
and giant cells. No staining was observed with p53. CONCLUSION: Expression of
RANKL and c-fos in this lesion, similar to what has been reported in giant cell
tumors of bone, suggests a similar pathogenesis and hence a potential response to
anti-RANKL inhibitors. A larger study is needed to confirm these findings and
define the relationship of this lesion to other giant cell-rich bone lesions.
PMID- 27194862
TI - Quantitative evaluation of microvessel density using CD34 in clinical variants of
ameloblastoma: An immunohistochemical study.
AB - BACKGROUND: Odontogenic epithelium plays an important role in the histogenesis of
odontogenic tumors of the jaws. Ameloblastomas, which arise from odontogenic
epithelium, are considered benign with little tendency to metastasize. Tumors
require an adequate supply of oxygen and a way to remove their waste products.
This can be achieved by angiogenesis. In situ quantification of the microvessel
density (MVD) is a usual method for assessing angiogenesis. Moreover,
angiogenesis may differ in subtypes of ameloblastomas and could play a role in
determining the pattern of tumor growth. AIM: The aim of the present study was to
demonstrate the expression of cluster of differentiation (CD34) in variants of
ameloblastomas and to correlate and compare their expression to the aggressive
behavior. MATERIALS AND METHODS: A retrospective cross-sectional study which
included forty paraffin blocks was conducted after obtaining ethical committee
clearance. Ten cases of pyogenic granuloma were used as a positive control and
thirty cases were of solid multicystic ameloblastoma (SMA), unicystic
ameloblastoma (UA) and desmoplastic ameloblastomas. Angiogenesis was assessed
using CD34 antigen and was immunohistochemically localized. Statistical analysis
was carried out for comparative analysis with the help of ANOVA test, Kolmogorov
Smirnov test and least significance difference test. RESULTS: A significant
correlation was obtained between the MVD of all the three variants, i.e., SMA, UA
and desmoplastic ameloblastomas which was statistically significant (P < 0.05).
CONCLUSION: Increased MVD in the three variants, i.e., SMA, UA and desmoplastic
ameloblastoma seen in the present study could suggest that the angiogenesis has
an important role in tumor progression and aggressiveness of ameloblastomas.
PMID- 27194863
TI - Role of preprocedural rinse and high volume evacuator in reducing bacterial
contamination in bioaerosols.
AB - CONTEXT: Microbial contamination, which occurs during dental procedures, has been
a potential threat to dental professionals and individuals. There has been a
growing concern over the role of bioaerosols in spread of various airborne
infections and also to reduce the risk of bioaerosol contamination. AIMS: This
study was to analyze the number of colony forming units (CFUs) in bioaerosols
generated during ultrasonic scaling procedure as well as to evaluate the efficacy
of chlorhexidine 0.12% (CHX) preprocedural mouth rinse and high volume evacuator
(HVE) in minimizing the bioaerosol contamination. METHODS: About 45 individuals
were divided into three Groups A, B and C. These groups underwent ultrasonic
scaling before and after the use of CHX (0.12%), HVE and combination of CHX
(0.12%) and HVE. Bioaerosols were collected on blood agar plates which were
incubated at 37 degrees C for 48 h, and the CFUs were counted with manual colony
counting device. A comparison was also done between A versus B, B versus C and A
versus C groups. STATISTICAL ANALYSIS USED: Student's t-test. RESULTS: We found a
significant reduction in the CFUs when CHX (0.12%) preprocedural rinse (P < 0),
or HVE (P < 0.001) or combination of both CHX (0.12%) and HVE were employed (P <
0.001). Maximum reduction in CFUs was observed when CHX (0.12%) and HVE were used
in combination as compared to their individual use. A moderate significance was
seen between A versus C groups but not with B versus C groups and A versus B
groups. CONCLUSION: From our study, we conclude that individual methods such as
CHX (0.12%) and HVE were useful to reduce the dental bioaerosols; however,
combination of both CHX (0.12%) and HVE is more efficient to reduce dental
bioaerosols than individual method.
PMID- 27194864
TI - Salivary alkaline phosphatase levels speak about association of smoking, diabetes
and potentially malignant diseases???
AB - BACKGROUND: Alkaline phosphatase (ALP) is a hydrolase intracellular enzyme
participating in the metabolic processes of cells. Rise in salivary ALP (S-ALP)
levels reflects inflammation and destruction of healthy tissues suggesting it as
a clinical biomarker. S-ALP is used in analyzing the severity of the disease
occurrence in smokers and nonsmokers who are diabetic and nondiabetic. S-ALP
levels are analyzed using autoanalyzer in 40 patients who visited our department.
AIMS AND OBJECTIVES: To determine the levels of S-ALP in diagnosing potentially
malignant conditions and debilitating diseases in early stages of inflammation
and altered cellular metabolism. MATERIALS AND METHODS: The study groups include:
(1) Group A - 10 smokers who are diabetic. (2) Group B - 10 smokers who are
nondiabetic. (3) Group C - 10 nonsmokers who are diabetic. (4) Group D - 10
nonsmokers and nondiabetic as control. Unstimulated saliva samples are collected
and run in auto-analyzer with ALP enzyme reagent to analyze ALP levels.
Comparison is made between all the four groups. RESULTS: Results were
statistically significant with increased activity of ALP levels in saliva from
Group A when compared to Group D. The results are Group A > Group B > Group C >
Group D. The results also revealed significant raise in levels of ALP levels in
saliva from smokers when compared to diabetes. Thus explaining adverse effects of
smoking. CONCLUSION: S-ALP can be considered to be the biomarker for evaluating
adverse effects of smoking, diabetes and other debilitating diseases in early
stages.
PMID- 27194865
TI - Immunohistochemical expression of budding uninhibited by benzimidazole related 1
in leukoplakia and oral squamous cell carcinoma.
AB - BACKGROUND: Budding uninhibited by benzimidazole related 1 (BUBR1) is an
important protein in the mitotic spindle assembly checkpoint. Alterations in
expression of BUBR1 have been reported in many premalignant and malignant
lesions. AIM: To compare the expression of BUBR1 with respect to the normal
mucosa and degree of dysplasia in oral leukoplakia (OL) and also with respect to
different histopathological grades of oral squamous cell carcinoma (OSCC).
MATERIALS AND METHODS: Neutral buffered formalin-fixed and paraffin-embedded
biopsy specimens 30 each of normal, OL and OSCC tissue were included in this
study. The expression of BUBR1 was detected using immunohistochemistry (IHC). The
scores obtained were subjected to ANOVA test. RESULTS: Significant correlation
was found in immunostaining between normal, dysplasia and OSCC groups with a P
value of 0.00001. The expression of BUBR1 was significant when compared with
different degrees of dysplasia and in different histopathological grades of OSCC
with a P value of 0.00001. CONCLUSION: Higher IHC scores were obtained with
increased histopathological grades of OL and OSCC suggesting its role as a
prognostic indicator.
PMID- 27194866
TI - A 5 year retrospective study of biopsied jaw lesions with the assessment of
concordance between clinical and histopathological diagnoses.
AB - INTRODUCTION: The jaw can be affected by several lesions that manifest in the
oral cavity, but little is known about their distribution patterns in various
populations. AIMS AND OBJECTIVES: This study presents the frequency and
distribution of biopsied jaw lesions recorded in Faculty of Dentistry and gathers
the information including provisional and final diagnosis of the lesions.
MATERIAL AND METHODS: Biopsy of 1938 lesions (2008-2013) was reviewed and 1473
lesions were included in this study. The provisional diagnosis and
histopathological validations of lesions were compared. Data on the location of
the lesion, as well as patient demographics, were also evaluated. The lesions
were divided into three major groups as 1 - developmental/reactive and
inflammatory lesions of the jaw, 2 - cystic lesion and 3 - tumor and tumor-like
lesions. STATISTICAL ANALYSIS: The variables were recorded and analysed using
descriptive statistics. RESULTS AND OBSERVATIONS: Three hundred and ninety-six
lesions were in Group 1 and periapical granuloma was the most frequent diagnosis.
Seven hundred and eighty-nine lesions were in Group 2 and the radicular cyst was
the most frequent diagnosis. Two hundred and eighty-eight lesions were in Group 3
and the keratocystic odontogenic tumor was the most frequent. Two hundred and
ninety-one biopsied lesions were in disagreement with respect to the diagnoses on
clinical and histopathological examination. CONCLUSION: Consequently, a
provisional diagnosis of some of the malignant lesions was reactive,
inflammatory, cystic or benign lesions, therefore the importance of evaluation of
the specimen is emphasized.
PMID- 27194867
TI - Economic methods used in fabrication of tissue microarray: A pilot study.
AB - BACKGROUND AND OBJECTIVE: Tissue microarray (TMA) is a method of harvesting small
disks of tissue from a range of standard paraffin tissue blocks and placing them
in an array on a recipient paraffin block such that hundreds of cases can be
analyzed simultaneously by using only a few microliters of antibody in
immunohistochemistry as a single experiment. The TMA construction done with the
help of automated tissue arrayer or commercially available rubber molds are
expensive. This study involved the fabrication of TMA using rubber-based
additional silicone mold constructed in the department and comparison of this
method with two other methods of fabricating TMA. MATERIALS AND METHODS: The TMA
mold was fabricated using silicone material in the department. The recipient
blocks were prepared. The tissue core prepared from donor blocks were inserted
into the recipient blocks. The sections taken from this were compared with the
TMA using double-sided adhesive tape technique and TMA by punching out holes in
prefabricated dummy paraffin recipient block for insertion of tissue core.
RESULTS: The TMA using a mold made of silicone showed more advantages than other
two methods. CONCLUSION: Fabricating TMA mold using silicone in the department is
inexpensive and yet efficient.
PMID- 27194868
TI - Correlation of mast cells in different stages of human periodontal diseases:
Pilot study.
AB - AIMS AND OBJECTIVES: The aim of this study was to evaluate and correlate the
relationship between mast cells counts and different stages of human periodontal
diseases. MATERIALS AND METHODS: The study sample comprised 50 patients, which
were divided into three groups, consisting of 10 cases of clinically healthy
gingival tissues (control group) 20 cases of dental plaque-induced gingivitis
with no attachment loss and 20 cases of localized chronic periodontitis (LCP)
characterized by the loss of periodontal support. The samples for control group
were obtained during tooth extractions for orthodontic reasons. The specimens
were immediately fixed in 10% neutral buffered formalin. CONCLUSION: In this
study, LCP cases had higher mast cell counts compared to gingivitis sites or
healthy tissues. Increased mast cell counts in the progressing sites of
periodontal diseases may indicate the importance of these cells in the
progression of chronic periodontitis.
PMID- 27194869
TI - Expression of human telomerase reverse transcriptase protein in oral epithelial
dysplasia and oral squamous cell carcinoma: An immunohistochemical study.
AB - BACKGROUND: Telomerase is an RNA-dependent DNA polymerase that synthesizes TTAGGG
telomeric DNA sequences and almost universally provides the molecular basis for
unlimited proliferative potential. The telomeres become shorter with each cycle
of replication and reach a critical limit; most cells die or enter stage of
replicative senescence. Telomere length maintenance by telomerase is required for
all the cells that exhibit limitless replicative potential. It has been
postulated that reactivation of telomerase expression is necessary for the
continuous proliferation of neoplastic cells to attain immortality. Use of
immunohistochemistry (IHC) is a useful, reliable method of localizing the human
telomerase reverse transcriptase (hTERT) protein in tissue sections which permits
cellular localization. Although there exists a lot of information on telomerase
in oral cancer, little is known about their expression in oral epithelial
dysplasia and their progression to oral squamous cell carcinoma (OSCC) compared
to normal oral mucosa. This study addresses this lacuna. AIMS: To compare the
expression of hTERT protein in oral epithelial dysplasia and OSCC with normal
oral mucosa by Immunohistochemical method. SUBJECTS AND METHODS: In this
preliminary study, IHC was used to detect the expression of hTERT protein in OSCC
(n = 20), oral epithelial dysplasia (n = 21) and normal oral mucosa (n = 10). The
tissue localization of immunostain, cellular localization of immunostain, nature
of stain, intensity of stain, percentage of cells stained with hTERT protein were
studied. A total number of 100 cells were counted in each slide. STATISTICAL
ANALYSIS: All the data were analyzed using SPSS software version 16.0. The tissue
localization, cellular localization of cytoplasmic/nuclear/both of hTERT stain,
staining intensity was compared across the groups using Pearson's Chi-square
test. The mean percentage of cells stained for oral epithelial dysplasia, OSCC
and normal oral mucosa were compared using analysis of variance (ANOVA). A P <
0.05 was considered to be statistically significant. RESULTS: The mean hTERT
positive cells in the study groups were as follows, 62.91% in normal oral mucosa
samples, 77.06% in oral epithelial dysplasia cases, and 81.48% in OSCC. In 61.9%
of oral epithelial dysplasia and 65% of OSCC in our study, staining was
visualized within the nucleus predominantly in the dot like pattern. There was a
statistically significant difference in the nature of nuclear stain between oral
epithelial dysplasia and OSCC (P = 0.023). CONCLUSIONS: Our results suggests that
the mean percentage of cells showing hTERT expression steadily increased from
normal oral mucosa to oral epithelial dysplasia to OSCC. The steady trend of
increase in the percentage of cells was evident in different grades of oral
epithelial dysplasia group and OSCC. The nature of hTERT staining did show
variations among the three groups and promise to be a potential surrogate marker
for malignant transformation. Further studies using IHC on larger sample size and
clinical follow-up of these patients will be ascertaining the full potential of
hTERT as a surrogate marker of epithelial transformation.
PMID- 27194870
TI - Pyruvic acid levels in serum and saliva: A new course for oral cancer screening?
AB - OBJECTIVE: Cancerous cells show increased glycolysis rate. This will increase
overall levels of pyruvate as it is one of the end products of glycolysis. The
present on-going study is to estimate the levels of pyruvate in saliva and serum
among healthy and oral cancer subjects. SETTINGS AND DESIGN: Hospital-based cross
sectional comparative study. METHODOLOGY: A total of 50 subjects among healthy
and oral cancer subjects were selected based on clinical and histological
criteria. Saliva and serum samples were collected and subjected to pyruvate level
estimation using biochemical analysis. STATISTICAL ANALYSIS: Descriptive analysis
and Mann-Whitney test were used to find the statistical difference between the
two independent groups. RESULTS: Serum pyruvic acid levels of the healthy group
were 1.09 +/- 0.14 and for oral cancer, it was 2.95 +/- 0.59 and salivary level
were 3.49 +/- 0.47 and 1.32 +/- 0.10 respectively. Mann-Whitney test showed
statistically significant difference in serum and salivary pyruvate level in
between two groups (P < 0.000 respectively). CONCLUSION: The present study showed
noticeable variation in the level of pyruvic acid among healthy and oral cancer
subjects. This generates the hypothesis that estimation of the pyruvic acid can
be a new tool to screening of the cancer.
PMID- 27194871
TI - Role of human papillomavirus and tumor suppressor genes in oral cancer.
AB - The incidence of oral cancer remains high and is associated with many deaths in
both Western and Asian countries. Several risk factors for the development of
oral cancer are now well known, including smoking, drinking and consumption of
smokeless tobacco products. Genetic predisposition to oral cancer has been found
in certain cases, but its components are not yet entirely clear. In accordance
with the multi-step theory of carcinogenesis, the natural history of oral cancer
seems to gradually evolve through transitional precursor lesions from normal
epithelium to a full-blown metastatic phenotype. A number of genomic lesions
accompany this transformation and a wealth of related results has appeared in
recent literature and is being summarized here. Furthermore, several key genes
have been implicated, especially well-known tumor suppressors such as the cyclin
dependent kinase inhibitors, TP53 and RB1 and oncogenes such as the cyclin
family, epidermal growth factor receptor and RAS. Viral infections, particularly
oncogenic human papillomavirus subtypes and Epstein-Barr virus, can have a
tumorigenic effect on oral epithelia and their role is discussed, along with
potential therapeutic interventions. A brief explanatory theoretical model of
oral carcinogenesis is provided and potential avenues for further research are
highlighted.
PMID- 27194872
TI - Prions in dentistry: A need to be concerned and known.
AB - Prion diseases were first discovered by Stanley B. Prusiner who defined prions as
infectious, transmissible proteinaceous particles that lack nucleic acid and are
composed exclusively of a modified isoform of the noninfectious cellular prion
protein (PrPC). These are incurable neurodegenerative conditions affecting both
animals and humans. They may be sporadic, infectious or inherited in origin.
Human prion diseases include Creutzfeldt-Jakob desease (CJD), Gerstmann-
Straussler-Scheinker disease, Kuru and Fatal familial insomnia. Prions resist the
conventional sterilization procedures and hence the dentists must be aware of
such diseases so as to opt standard methods of infection control and
decontamination for such infectious agents. This review article divulge the
dentists with a brief overview of the characteristics of prions, the risk of
transmission and the implications for infection control in dentist.
PMID- 27194873
TI - Molecular markers in oral lichen planus: A systematic review.
AB - Oral lichen planus (OLP) is a chronic inflammatory mucosal disease that is
usually detected in 0.5-2.2% of the human population. Among these, only 0.5-2.9%
of the lesions progress to carcinoma. However, there are no prognostic markers
available presently to recognize the increased risk in malignant transformation
of the lesions. Selected markers for cell proliferation, adhesion, apoptosis and
lymphocytic infiltration were analyzed by immunohistochemistry in addition to
static cytometry for DNA content. The concept linking OLP and oral squamous cell
carcinoma states that chronic inflammation results in crucial DNA damage, which
further progresses to development of carcinoma. Even though in the past decade,
enormous information has been accumulated on malignant potential of OLP, its
transformation still remains unclear. Hence, the purpose of this article was to
review cellular and molecular markers to understand the pathogenesis of OLP and
its progression toward malignancy.
PMID- 27194875
TI - A rare spindle-cell variant of non-Hodgkin's lymphoma of the mandible.
AB - A 64-year-old male farmer presented with a rapidly progressive swelling of the
left mandible since 6 months. The swelling was firm to hard, diffuse, nontender,
obliterating the vestibule with paresthesia of lower lip. The cone beam computed
tomography imaging revealed an ill-defined, moth-eaten radiolucency with
destruction of the buccal and lingual cortical plates. The rapid growth and
aggressive behavior of the lesion coupled with guidance from the patient's
previous reports from the incisional biopsy and fine needle aspiration cytology
warranted a mandibular resection. Microscopic examination showed an encapsulated
lesion situated in the connective tissue containing a mixture of proliferating
spindle-shaped cells arranged in fascicles and round cells infiltrating into the
connective tissue stroma and bone. The neoplastic cells exhibited atypical
features such as pleomorphism, hyperchromatism and increased mitotic figures with
noncleaved nuclei. A working diagnosis of a spindle-cell sarcoma was arrived at
with various differentials provided such as fibrosarcoma, rhabdomyosarcoma,
leiomyosarcoma, malignant peripheral nerve sheath tumor, Langerhans cell
histiocytosis and lymphoma and stating the need for immunohistochemistry to
subtype the tumor. The neoplastic cells were negative for Van Gieson's stain and
Masson's trichrome. Immunohistochemical analysis performed using desmin, smooth
muscle actin, S-100 and CD1a in a bid to determine the phenotype of the tumor and
rule out the previously stated differentials were all negative for the lesion.
Lymphoid markers such as leukocyte common antigen and CD20 (cluster
differentiation marker for B-cells) showed positivity in spindle-shaped cells as
well as round cells indicating the tumor to be a lymphoproliferative lesion of B
cell type. A final diagnosis of "spindle-cell variant of non-Hodgkin's lymphoma"
was rendered based on the immunohistochemical profile.
PMID- 27194874
TI - Epigenetics: A possible answer to the undeciphered etiopathogenesis and behavior
of oral lesions.
AB - Much controversy has existed over the etiopathogenesis and management of oral
lesions, especially oral malignancies. The knowledge of genetic basis is proving
to be inadequate in the light of emerging new mechanisms termed epigenetic
phenomena. The present review article aims to understand the role of epigenetic
mechanisms in oral lesions. Epigenetics is the study of acquired changes in
chromatin structure that arise independently of a change in the underlying
deoxyribonucleic acid (DNA) nucleotide sequence. Key components involved in
epigenetic regulation are DNA methylation, histone modifications and
modifications in micro ribonucleic acids (miRNA). Epigenetics is a reversible
system that can be affected by various environmental factors such as diet, drugs,
mental stress, physical activity and addictive substances such as tobacco,
nicotine and alcohol. Epigenetics may also play a role in explaining the
etiopathogenesis of developmental anomalies, genetic defects, cancer as well as
substance addiction (tobacco, cigarette and alcohol). Epigenetic modifications
may contribute to aberrant epigenetic mechanisms seen in oral precancers and
cancers. In the near future, epigenetic variations found in oral dysplastic cells
can act as a molecular fingerprint for malignancies. The literature in English
language was searched and a structured scientific review and meta-analysis of
scientific publications from the year 2000 to year 2015 was carried out from
various journals. It was observed that epigenetic marks can prove to be novel
markers for early diagnosis, prognosis and treatment of oral cancers as well as
other oral diseases.
PMID- 27194876
TI - Precursor B-cell lymphoblastic lymphoma of oral cavity: A case report with its
diagnostic workup.
AB - Lymphoblastic lymphoma (LBL), seen primarily in children or young adults, is a
malignant neoplasia that originates from B or T lymphocyte precursors and rarely
occurs in the oral cavity. In this localization, neither the clinical features
nor the radiologic appearances are pathognomic and can pose significant
diagnostic problems. Histopathologically, it presents as a round blue cell tumor.
An early and accurate diagnosis of this entity is very important due to its high
cure rate. We report a case of B-cell LBL involving oral cavity in a 10-year-old
child. The purpose of this report is to explore the diagnostic workup.
PMID- 27194877
TI - Epithelioid hemangioendothelioma of the mandibular gingiva: A rare case of
metastasis 4 years after radical excision and literature review.
AB - Malignant epithelioid hemangioendothelioma (MEH), or high-risk epithelioid
hemangioendothelioma, is a low- to intermediate-grade vascular malignancy. A few
cases of MEH have been documented in the head and neck region, including the
neck, thyroid gland, larynx and scalp. MEHs are extremely rare in the oral
cavity. Only 31 cases of MEH in the oral cavity were described in English
literature between 1975 and 2014. Further, only eleven cases were referred to MEH
of the maxillary or mandibular gingiva. No gingival MEH metastases have been
described in literature. We report a literature review and a case of MEH with a
metastatic occurrence 4 years after surgical excision.
PMID- 27194878
TI - Basal cell adenoma of maxillary sinus mimicking ameloblastoma.
AB - Basal cell adenoma (BCA) is a rare basaloid tumor, with only 20% of cases
occurring in minor salivary glands. Histologically, BCA is characterized by the
presence of basaloid cells and may frequently be mistaken with canalicular
adenoma, basal cell adenocarcinoma, adenoid cystic carcinoma and basaloid
squamous cell carcinoma. Immunohistochemistry may aid in arriving at a final
diagnosis as in the present case. Reported here is a case of locally aggressive
BCA. Histologically, the lesion mimicked ameloblastoma and other entities which
posed a diagnostic challenge. There are no reports of BCA presenting as an
aggressive lesion available in English literature so far; moreover, merely a
single case of BCA of maxillary sinus has been previously reported to the best of
our cognition. This case report highlights the rarity of this tumor with regards
to its site of origin, clinical behavior and histopathological mimics.
PMID- 27194880
TI - Extraskeletal myxoid chondrosarcoma of maxilla: A rare entity.
PMID- 27194879
TI - Sinonasal teratocarcinosarcoma: Case report of an unusual neoplasm.
AB - Sinonasal teratocarcinosarcoma is an extremely rare malignant tumor arising in
the sinonasal tract, having combined histological features of teratoma and
carcinosarcoma. Here, we are presenting a case of sinonasal teratocarcinosarcoma
in a 28-year-old male patient. A 28-year-old male patient presented with left
sided nasal obstruction and recurrent epistaxis for last 2 months. On
examination, a polypoid mass was noted in the left nasal cavity. The mass was
surgically resected. Paraffin-embedded sections were made and stained with
hematoxylin and eosin. Microscopic examination revealed intimate admixture of
carcinomatous (adenocarcinoma), sarcomatous (chondrosarcoma), primitive
neuroendocrine and teratoid elements (immature squamous elements). These findings
clearly suggest the diagnosis of sinonasal teratocarcinosarcoma. Sinonasal
teratocarcinosarcoma is highly malignant and locally aggressive. About 60% of the
patients do not survive beyond 3 years. Total excision and extensive sampling are
necessary to reach the diagnosis. Early diagnosis and management can give a
better prognosis.
PMID- 27194881
TI - Adjunctive role of dental restorations in personal identification of burnt
victims.
AB - BACKGROUND: Fire remains one of the major causes of morbidity and mortality
throughout the world and identification of a body from the fatal fire remains a
daunting task. Several forensic cases involve interpretation of burnt human
bodies from airline and automobile accidents, bombings and unlawful cremation.
Fire is also involved in homicides, suicides, accidental death, arson and in
attempts to destroy forensic evidence in criminal cases. Soft tissue destruction
from fire can be so extensive that conventional methods of identification may be
impossible. However, teeth survive even high temperatures due to their resistant
composition and so, obviously, the restorative material housed in the teeth are
even more secure and can yield valuable information in personal identification.
AIM: To assess the usefulness of most common restorations in personal
identification in burnt cases. MATERIALS AND METHODS: The study was conducted on
40 extracted teeth which were divided into four groups (Group 1 - Unrestored
teeth, Group 2 - Amalgam restored, Group 3 - Glass ionomer restored and Group 4 -
Composite resin restored teeth. The effect of incineration at 200 degrees C, 400
degrees C, 600 degrees C, 800 degrees C, 1000 degrees C for 15 min at each target
temperature followed by subsequent cooling was studied. RESULTS: Amalgam
restoration was resistant and intact even at 1000 degrees C, whereas GIC and
composite restoration are identifiable till 600 degrees C, the residual cavity
preparation leaves a clue for narrowing down the spectrum of identification.
PMID- 27194882
TI - Compound composite odontoma.
AB - The term odontoma has been used as a descriptor for any tumor of odontogenic
origin. It is a growth in which both epithelial and mesenchymal cells exhibits
complete differentiation. Odontomas are considered as hamartomas rather than true
neoplasm. They are usually discovered on routine radiographic examination.
Odontomas, according to the World Health Organization, are classified into
complex odontoma and compound odontomas. The present paper reports a case of
compound composite odontomas.
PMID- 27194883
TI - Fibrosarcoma of maxilla: A rare case report.
AB - Fibrosarcoma is a malignant tumor of fibroblasts. At one time, it was considered
one of the most common soft tissue sarcomas. However, the diagnosis of
fibrosarcoma is made much less frequently today because of the recognition and
separate classification of other spindle cell lesions that have similar
microscopic features. Of all the fibrosarcomas occurring in humans, only 0.05%
occur in the head and neck region. Here, we present a case of 22-year-old female
patient with the swelling on the left anterior aspect of the face.
Histopathologically, the lesion was diagnosed as fibrosarcoma and
immunohistochemically, the lesional cells showed positivity for vimentin.
PMID- 27194884
TI - Unusual presentation of squamous cell carcinoma in young female patient: A case
report and review of literature.
AB - Oral squamous cell carcinoma is the most common "malignant neoplasm" of
epithelial origin usually affecting individuals over 50 years of age. It is rare
in patients aged <40 years old. This report describes a case of squamous cell
carcinoma involving anterior mandibular alveolar region in a 17-year-old female
patient, with no history of deleterious habits. This report focuses on
etiological factors, diagnosis and prognosis related to the case.
PMID- 27194885
TI - Dentinogenic ghost cell tumor.
AB - Dentinogenic ghost cell tumor (DGCT) is a rare, odontogenic neoplasm which is
considered to be a solid variant of calcifying odontogenic cyst (COC) with
locally aggressive behavior. It accounts for only 2-14% of all COCs. To the best
of our knowledge, only 88 cases of DGCT have been reported in the literature from
1968 to 2014. Herewith, we report a case of DGCT in a 68-year-old male patient
with clinical presentation as a soft tissue growth over alveolar ridge and
histopathologically characterized by ameloblastomatous epithelium, abundance of
eosinophilic material and ghost cells.
PMID- 27194886
TI - Role of inflammation in developmental odontogenic pathosis.
AB - Periapical infection in the primary tooth may lead to periapical abscess,
granuloma, radicular cyst and even osteomyelitis. The infection in primary teeth
can also affect the developing successor teeth leading to hypomineralization,
hypoplasia, arrest of tooth development, regional odontodysplasia, delayed
eruption etc. The purpose of this article is to report a case of malformed
permanent tooth in relation to overlying infected deciduous teeth. The probable
role of inflammation in pathogenesis of the present condition is also being
discussed.
PMID- 27194887
TI - Alveolar rhabdomyosarcoma of maxilla.
AB - Rhabdomyosarcoma (RMS), a malignant neoplasm of skeletal muscle origin, is the
most common soft tissue sarcoma seen in childhood and adolescence. The most
frequent site is the head and neck accounting for 40% of all cases and other
involved sites are genitourinary tract, retroperitoneum, and to a lesser extent,
the extremities. RMS is relatively uncommon in the oral cavity and the
involvement of the jaws is extremely rare. Here, we report a case of 50-year-old
female with oral RMS involving maxillary alveolar region with clinical,
radiological, histopathological and immunohistochemical findings.
PMID- 27194888
TI - Can Testing the Impact of Minimal Hepatic Encephalopathy on Driving Skills be
Prolific to Translate Research to Real Life Clinical Medicine?
PMID- 27194889
TI - Driving Performance Among Patients with Cirrhosis Who Drove to Their Outpatient
Hepatology Clinic Appointments.
AB - BACKGROUND: Minimal hepatic encephalopathy (MHE) may adversely affect driving
skills. AIMS: To compare the driving performance of cirrhotic patients with and
without prior HE as well as controls using a driving stimulator and to correlate
psychometric testing with driving performance. METHODS: Adult patients with
cirrhosis, who drove to the outpatient clinic for their routine appointments
underwent a battery of driving and psychometric tests including number connection
tests A & B (NCT-A and NCT-B), digit symbol test (DST) and critical flicker and
fusion frequency (CFF) testing. RESULTS: Cirrhotics had significantly higher NCT
A (39.3 s vs. 31.2 s, P = 0.006) and DST scores (317 s vs. 245 s, P = 0.012), and
lower CFF scores Fusion (33 vs. 36 Hz, P = 0.05), Flicker (35 vs. 42 Hz, P =
0.007) than controls. There was no difference in NCT-A, DST and CFF scores
between patients with and without HE. Ten (22%) patients, 7 (27%) with prior HE
and 3 (15%) without prior HE, had abnormal NCT-A scores (i.e. >control mean +/-
2SD), and 12% of patients with prior HE had one or more driving test accidents,
while controls and patients without prior HE had none. Patients with cirrhosis
were more likely to hit pedestrians compared to controls (P = 0.05). There was no
correlation between CFF, DST and NCTB scores with driving performance test
results. CONCLUSIONS: Unlike previous reports, no significant differences were
noted between the patients with and without prior HE on psychometric testing, and
on the driving simulator, but driving accidents were seen in only those with
previous history of HE.
PMID- 27194890
TI - PAI-1 4G-4G, MTHFR 677TT, V Leiden 506Q, and Prothrombin 20210A in Splanchnic
Vein Thrombosis: Analysis of Individual Patient Data From Three Prospective
Studies.
AB - BACKGROUND: There are no univocal opinions on the role of genetic thrombophilia
on splanchnic vein thrombosis (SVT). We defined genetic thrombophilia the
presence of one of these thrombophilic genetic factors (THRGFs): PAI-1 4G-4G,
MTHFR 677TT, V Leiden 506Q, and prothrombin 20210A. OBJECTIVES: To evaluate the
frequencies of these THRGFs in SVT patients, we analyzed individual data of 482
Caucasian patients, recruited from 2000 to 2014 in three prospective studies. SVT
was defined as the presence of thrombosis of portal (PVT), mesenteric (MVT),
splenic (SPVT), cava (CT), and hepatic vein (Budd Chiari syndrome, BCS). Pre
hepatic SVT (pre-HSVT) was defined as PVT with or without MVT/SPVT, without BCS.
Post-hepatic SVT (post-HSVT) was BCS with or without PVT/MVT/SPVT. METHODS: We
compared 350 patients with liver cirrhosis (LC), 47 hepatocellular carcinoma
(HCC), 37 myeloproliferative neoplasm (MPN), 38 associated disease (AD), 10
without any associated disease (WAD), vs 150 healthy controls (HC); 437 patients
showed pre-HSVT and 45 post-HSVT. RESULTS: Thrombophilia was present in 294/482
(60.9%) patients: 189/350 LC (54.0%), 31/47 (66.0%) HCC, 29/39 (74.4%) MPN, 35/38
AD (92.1%), and 10/10 (100%) WAD, and 54/150 (36.0%) in HC. In the total group,
we found 175 PAI-1 4G-4G, 130 MTHFR 677TT, 42V Leiden 506Q, and 27 prothrombin
20210A; 75 patients showed presence of >1 TRHGF; the more frequent association
was PAI-1 4G-4G/MTHFR 677TT, in 36 patients. PAI-1 4G-4G and MTHFR 677TT were
significantly more frequent in patients with SVT (P values <0.005), whereas V
Leiden Q506 and prothrombin G20210A were not. PAI-1 4G-4G and MTHFR 677TT
distributions deviated significantly from that expected from a population in
Hardy-Weinberg equilibrium. Thrombophilia was significantly less frequent in
patients with pre-HSVT (250/437, 57.2%) than in patients with post-HSVT (44/45,
97.8%). CONCLUSIONS: Our study shows the significant prevalence of PAI-1 4G-4G
and MTHFR 677TT in SVT, mainly in post-HSVT.
PMID- 27194891
TI - Changes in Liver Volume in Patients with Chronic Hepatitis C Undergoing Antiviral
Therapy.
AB - AIM: Liver volumetric analysis has not been used to detect hepatic remodelling
during antiviral therapy before. We measured liver volume (LV) changes on
volumetric magnetic resonance imaging during hepatitis C antiviral therapy.
METHODS: 22 biopsy-staged patients (median [range] age 45(19-65) years; 9F, 13M)
with chronic hepatitis C virus infection were studied. LV was measured at the
beginning, end of treatment and 6 months post-treatment using 3D T1-weighted
acquisition, normalised to patient weight. Liver outlines were drawn manually on
4 mm thick image slices and LV calculated. Inter-observer agreement was analysed.
Patients were also assessed longitudinally using biochemical parameters and liver
stiffness using FibroscanTM. RESULTS: Sustained viral response (SVR) was achieved
in 13 patients with a mean baseline LV/kg of 0.022 (SD 0.004) L/kg. At the end of
treatment, the mean LV/kg was 0.025 (SD 0.004, P = 0.024 cf baseline LV/kg) and
0.026 (SD 0.004, P = 0.008 cf baseline LV/kg) 6 months post-treatment (P = 0.030
cf baseline, P = 0.004). Body weight-corrected end of treatment LV change was
significantly higher in patients with SVR compared to patients not attaining SVR
(P = 0.050). End of treatment LV change was correlated to initial ALT (R (2) =
0.479, P = 0.037), but not APRI, AST, viral load or liver stiffness measurements.
There was a correlation of 0.89 between observers for measured slice thickness.
CONCLUSIONS: LV increased during anti-viral treatment, while the body weight
corrected LV increase persisted post-antiviral therapy and was larger in patients
with SVR.
PMID- 27194893
TI - Acute-on-Chronic Liver Failure (ACLF) in Coastal Eastern India: "A Single-Center
Experience".
AB - BACKGROUND AND OBJECTIVES: Acute-On-Chronic liver failure (ACLF) is an emerging
entity. The present study was undertaken to analyze the clinical profile and
natural course of ACLF patients. PATIENTS AND METHODS: ACLF was defined as per
Asia Pacific Association for the Study of Liver consensus criteria 2009. Patients
fulfilling these criteria with some deviations were included and prospectively
evaluated for clinical profile, etiologies of acute decompensation (AD) and
underlying chronic liver disease, and short-term natural course [3 months].
RESULTS: Out of 123 patients with ACLF (mean age: 45.83 +/- 12.05 years;
male:female 109:14), 45.53% cases had prior history of AD, and 54.47% presented
for the first time as ACLF. Etiologies of cirrhosis were alcohol, cryptogenic,
and chronic hepatitis B virus infection in 65.04%, 23.57%, and 11.38% cases,
respectively. Recent history of alcohol intake (within 4 weeks) [42.27%] followed
by bacterial infections [36.58%] were the common etiologic precipitants for AD.
Only 87 (70.73%) out of 123 cases could be followed up for a duration of 3
months; 62 (71.26%) cases died by 3 months. Most deaths occurred in the
alcoholics compared to nonalcoholics [(43/53) 81.13% vs. (19/34) 55.88%; P =
0.01]. No significant difference in mortality rate was observed between ACLF
cases with history of prior AD compared to newly diagnosed ACLF cases [30/40
(75%) vs. 32/47 (68.09%); P = 0.477]. The prognostic markers [MELD, MELD-Na, CTP]
were not significantly different between survivors and nonsurvivors. CONCLUSION:
ACLF patients in our population had high short-term mortality rates with majority
of deaths in alcoholics. Alcohol intake and bacterial infections were mainly
responsible for AD in our study.
PMID- 27194892
TI - Hepatitis C Virus Infection in Patients with End-Stage Renal Disease: A Study
from a Tertiary Care Centre in India.
AB - BACKGROUND: Hepatitis C Virus (HCV) infection is common in patients with end
stage renal disease (ESRD) and is an important cause of liver disease. We
describe the demographic, clinical and biochemical profile of these patients from
a tertiary care center of north India. METHODS: Records of consecutive patients
of HCV infection with ESRD on maintenance hemodialysis or with renal
transplantation who presented to our unit from January 2009 to June 2013 were
analyzed. The diagnosis of HCV was based on HCV-RNA positivity and/or positive
anti-HCV serology. Those with positive anti-HCV serology and negative HCV-RNA on
two occasions at 3-month interval, without treatment with interferon, were
excluded. RESULTS: 140 patients (median age 44 years [range 18-68], 69% males)
were included. Six patients had co-infections (HBV 5, HIV 1). Most (99, 71%)
patients were asymptomatic for liver disease and HCV was identified either on
routine screening (39, 28%) or during investigation for raised liver enzymes (60,
43%). Remaining 41 (29%) were symptomatic for liver disease, either in the form
of jaundice alone (14, 10%), or decompensated liver disease (27, 19%). Median
time between initiation of hemodialysis and HCV detection was 33 (range 0-124)
months. Thirty-four (27%) patients had received renal transplantation. In 11
patients HCV was detected after renal transplantation. In 23 (18%) patients anti
HCV was falsely negative but HCV-RNA was positive. Nearly 35% patients had
concomitant diabetes. Median Bilirubin, AST, and ALT were 1.1 mg/dL, 42 IU/L and
44 IU/L, respectively. HCV-RNA was more than 5 log in 49/88(59%) patients. Median
HCV-RNA was 6.5 * 10(5) IU/ml (Range 650 to >10 million IU/ml). Genotype-1 was
the commonest genotype (30/56, 54%) followed by genotype-3 (17/56, 30%).
CONCLUSION: HCV infection is usually asymptomatic in patients with ESRD, however,
it may lead to jaundice and decompensated liver disease. False negative anti-HCV
is quite common. We found that genotype-1 was commoner than genotype-3 in our
cohort of ESRD patients. Most of the patients have high viral load.
PMID- 27194894
TI - Multiplex Reverse Transcriptase-PCR for Simultaneous Detection of Hepatitis B, C,
and E Virus.
AB - INTRODUCTION: The hepatitis B virus (HBV), HCV, and HEV may occur as singly or
concurrently in patients of different kind of liver disease. The rapid, reliable,
and cost-effective screening of these pathogens is required for the large
epidemiological studies. Therefore, a study has been planned to develop a
multiplex Reverse Transcriptase-PCR assay which can be used for the screening of
maximum number of pathogens at a time. METHODOLOGY: To develop multiplex Reverse
Transcriptase-Polymerase Chain Reaction (RT-PCR) assay for simultaneous detection
of HBV, HCV, and HEV; the serum samples of 54 patients who were positive either
singly or in co-infection with for HBV, HCV, and HEV serologically were screened
by uniplex PCR/RT-PCR followed by multiplex RT-PCR for HBV, HCV, and HEV using
specific primers. These primers can detect most genotypes of these viruses.
Multiplex RT-PCR was done in one tube for the identification of viral DNA/RNA
using a mixture of three pairs of specific primers for hepatitis B, C, and E
viruses. Representative positive samples of these viruses by uniplex/multiplex RT
PCR were also confirmed by sequencing followed by alignment with reference
strains sequence. RESULTS: The specificity of multiplex PCR was 100% with high
sensitivity 89%, 87%, and 74% for HBV, HCV, and HEV respectively. The sensitivity
and specificity of RT-multiplex PCR demonstrated a good correlation with that of
uniplex PCR. CONCLUSION: The study suggests that multiplex RT-PCR can serve as a
simple and reliable assay for rapid, sensitive, and cost-effective method for
simultaneous detection of super-infections with HEV particularly in Asian
countries as a cause of decompensation of chronic liver disease.
PMID- 27194895
TI - Coffee and Liver Disease.
AB - Coffee is the most popular beverage in the world. Consumption of coffee has been
shown to benefit health in general, and liver health in particular. This article
reviews the effects of coffee intake on development and progression of liver
disease due to various causes. We also describe the putative mechanisms by which
coffee exerts the protective effect. The clinical evidence of benefit of coffee
consumption in Hepatitis B and C, as well as nonalcoholic fatty liver disease and
alcoholic liver disease, has also been presented. Coffee consumption is
associated with improvement in liver enzymes (ALT, AST, and GGTP), especially in
individuals with risk for liver disease. Coffee intake more than 2 cups per day
in patients with preexisting liver disease has been shown to be associated with
lower incidence of fibrosis and cirrhosis, lower hepatocellular carcinoma rates,
as well as decreased mortality.
PMID- 27194897
TI - "Hockey Stick" may Strike Back: Hepatocellular Carcinoma on Noncirrhotic Liver as
a Late Toxicity of Lombo-Aortic Radiotherapy for Seminoma. A Review Triggered by
an Unusual Case.
AB - Most patients with testicular seminoma have been treated with a curative intent
for decades. Second cancers after radiotherapy for testicular seminoma before
1990 are a growing issue, and are related to previous generation of dose planning
and delineating strategies. Among those cancers, hepatocellular carcinoma is an
extremely rare occurrence, especially when affecting patients with healthy,
noncirrhotic liver. Here, we describe such a case in a patient of our
institution, and subsequently review the relevant literature and large
epidemiologic studies. Understanding those late and serious toxicity features may
help cancer care teams to screen and treat those patients appropriately.
PMID- 27194898
TI - Dengue Virus Transmission from Living Donor to Recipient in Liver
Transplantation: A Case Report.
AB - Many infections are transmitted from a donor to a recipient through organ
transplantations. The transmission of dengue virus from a donor to a recipient in
liver transplantation is a rare entity, and currently, there is no recommendation
for screening this virus prior to transplantation. We report a case of
transmission of dengue virus from donor to recipient after liver transplantation.
The recipient had a history of multiple admissions for hepatic encephalopathy and
ascites. He was admitted in the ICU for 15 days for chronic liver disease,
ascites, and acute kidney injury before transplantation. The donor was admitted 1
day before transplantation. The donor spiked fever on postoperative day 2
followed by thrombocytopenia and elevated liver enzymes. The donor blood test was
positive for dengue NS1 antigen. The recipient also had a similar clinical
picture on postoperative day 5 and his blood test was also positive for dengue
NS1 antigen. Hence, the diagnosis for posttransplant donor-derived allograft
related transmission of dengue infection was made. Both recipient and donor were
treated with supportive measures and discharged after their full recovery on
postoperative days 9 and 18, respectively. The effect of immunosuppression on
dengue presentation is still unclear and there is lack of literature available.
In our case, the recipient developed dengue fever similar to general population
without showing any feature of severe graft dysfunction. We have concluded that
dengue virus can also be transmitted from donor to recipient, and
immunosuppression did not have any adverse effect on the evolution of dengue
fever within the recipient. Delhi being a hyperendemic zone, screening for donors
(especially in season time) for dengue virus seems to be the best preventive
method to control donor-derived transmission of dengue to recipient.
PMID- 27194896
TI - Liver Transplantation for Alcohol-Related Liver Disease.
AB - Alcoholic liver disease (ALD) is a common indication for liver transplantation.
It is a much debated indication for deceased donor liver transplantation due to
organ shortage and potential of alcohol relapse after liver transplantation. A
six-month abstinence before liver transplantation is required at most centers to
decrease chances of alcohol relapse after liver transplantation. However, this
rule is not relevant for patients with severe alcoholic hepatitis or severely
decompensated patients who are unlikely to survive till 6 months. Long-term care
of these patients after liver transplantation includes assessment of relapse,
smoking, and surveillance of de novo malignancies. Current review discusses role
of abstinence, factors affecting alcohol relapse, liver transplantation for
alcoholic hepatitis, role of living donor liver transplantation, and long-term
care of ALD patients who undergo liver transplantation.
PMID- 27194899
TI - Pyoderma Gangrenosum-A New Manifestation of Wilson Disease?
AB - Seventeen year old girl, a known case of Wilson disease presented to us with a
non-healing skin ulcer followed by appearance of jaundice, ascites and
progressive fatigue of 1 month duration. She was diagnosed to have Wilson disease
5 years back and had been well controlled on d-penicillamine. On enquiry, she was
found to be noncompliant with her medication in the preceding 6 months. On
examination, she had severe pallor, icterus with moderate ascites and oedema
feet. Investigations revealed severe haemolytic anemia and deranged liver
function. The lesion was diagnosed to be pyoderma gangrenosum on skin biopsy. The
appearance of a cutaneous lesion followed by deterioration in the liver disease
and hemolysis suggested uncontrolled Wilson disease as the triggering factor.
Chelation therapy improved her haemoglobin and liver function as well as led to
healing of the ulcer. We describe pyoderma gangrenosum as a new manifestation of
Wilson disease.
PMID- 27194900
TI - A Rare Congenital Disorder of Intrahepatic Bile Ducts.
PMID- 27194901
TI - Advances in Management of Hepatocellular Carcinoma.
PMID- 27194903
TI - Variceal Banding: A Lesser-Known Complication.
PMID- 27194902
TI - Hepatobiliary Quiz Answers-17 (2016).
PMID- 27194904
TI - Risk factors for visual impairment associated with corneal diseases in southern
China.
AB - PURPOSE: To identify the most common etiologies of corneal disease and the risk
factors associated with worse visual outcomes in Changsha, Hunan, located in
southern China. METHODS: This observational, cross-sectional study evaluated 100
consecutive patients seen at the cornea clinic of The Second Xiangya Hospital of
Central South University. Ocular history, demographic information, and ocular use
of traditional Chinese medicine were recorded and analyzed. Causes of infectious
keratitis were diagnosed clinically. Fungal and acanthamoeba keratitis were
confirmed by confocal microscopy. Visual impairment was categorized based on
visual acuity according to World Health Organization recommendations. A binary
logistic regression model was used to calculate odds ratio (OR). RESULTS: One
hundred consecutive patients were evaluated. Sixty patients (60%) had
noninfectious corneal diseases, most commonly dry eye syndrome (26.7%, n=16),
followed by corneal abrasion (18.3%, n=11). Forty-five patients had infectious
keratitis, five of whom had both infectious and noninfectious etiologies. Of the
patients with infectious keratitis, viral keratitis was the most frequent cause
(57.8%, n=26), followed by fungal (20%, n=9) and bacterial (20%, n=9). Older age
(OR =5.08, P=0.048), male sex (OR =3.37, P=0.035), and rural residence (OR =3.11,
P=0.017) had increased odds of having worse visual impairment. Rural residence
was also associated with infectious keratitis (P=0.005), particularly bacterial
and fungal keratitis (P=0.046), and a history of ocular trauma (P=0.003).
Occupation was not a significant risk factor in this population. Fourteen
patients reported use of traditional Chinese medicine, with no association with
visual outcomes found. CONCLUSION: Older age, male sex, and rural residence were
associated with worse visual impairment. Prevalence and outcome of corneal
diseases may be improved with an increased awareness in these populations.
PMID- 27194905
TI - Pharmacokinetics and aqueous humor penetration of levofloxacin 1.5% and
moxifloxacin 0.5% in patients undergoing cataract surgery.
AB - PURPOSE: The objective of this study was to compare the pharmacokinetics of
levofloxacin 1.5% and moxifloxacin hydrochloride 0.5% ophthalmic solutions in
aqueous humor after multiple doses prior to cataract surgery. METHODS: Ninety
eight eyes underwent cataract surgery and met the requirements of PK analysis.
Eligible eyes were randomly assigned in a 1:1 ratio to receive levofloxacin or
moxifloxacin prior to cataract surgery and were randomized into one of four
sampling time points (ie, 1, 2, 4, and 6 hour post-last dose). Randomization was
investigator and laboratory-masked. Three days prior to cataract surgery, each
patient instilled one drop of the assigned study medication into the operative
eye four times daily. One aqueous humor specimen was collected from the eye at
the randomized time point. Aqueous humor specimens were assayed for drug
concentration using a validated liquid chromatography and tandem mass
spectrometer. RESULTS: Concentrations of the drug in the aqueous humor, as
described by mean Cmax and pooled AUC0-6 values, were greater for levofloxacin
than moxifloxacin (Cmax: 1.43, 0.87 MUg/ml, respectively, P=0.008; AUC0-6 6.1,
3.8 MUg.min/ml, P<0.001 respectively). No treatment-emergent adverse events were
reported. CONCLUSION: Significantly greater drug exposures were attained in
aqueous humor following the administration of levofloxacin 1.5% than moxifloxacin
0.5% ophthalmic solution. Achieving considerable higher drug concentration in the
aqueous humor with levofloxacin 1.5% may demonstrate a greater potential for
bacterial eradication.
PMID- 27194906
TI - Hospitalization in older patients due to adverse drug reactions -the need for a
prediction tool.
AB - Adverse drug reactions (ADRs) represent a major burden on society, resulting in
significant morbidity, mortality, and health care costs. Older patients living in
the community are particularly susceptible to ADRs, and are at an increased risk
of ADR-related hospitalization. This review summarizes the available evidence on
ADR-related hospital admission in older patients living in the community, with a
particular focus on risk factors for ADRs leading to hospital admission and the
need for a prediction tool for risk of ADR-related hospitalization in these
individuals. The reported proportion of hospital admissions due to ADRs has
ranged from 6% to 12% of all admissions in older patients. The main risk factors
or predictors for ADR-related admissions were advanced age, polypharmacy,
comorbidity, and potentially inappropriate medications. There is a clear need to
design intervention strategies to prevent ADR-related hospitalization in older
patients. To ensure the cost-effectiveness of such strategies, it would be
necessary to target them to those older individuals who are at highest risk of
ADR-related hospitalization. Currently, there are no validated tools to assess
the risk of ADRs in primary care. There is a clear need to investigate the
utility of tools to identify high-risk patients to target appropriate
interventions toward prevention of ADR-related hospital admissions.
PMID- 27194907
TI - Validity and reliability of the Persian version of the Brief Aging Perceptions
Questionnaire in Iranian older adults.
AB - BACKGROUND: Perceptions of aging refer to individuals' understanding of aging
within their sociocultural context. Proper measurement of this concept in various
societies requires accurate tools. OBJECTIVE: The present study was conducted
with the aim to translate and validate the Brief Aging Perceptions Questionnaire
(B-APQ) and assess its psychometric features in Iranian older adults. METHOD: In
this study, the Persian version of B-APQ was validated for 400 older adults. This
questionnaire was translated into Persian according to the Wild et al's model.
The Persian version was validated using content, face, and construct (using
confirmatory factor analysis) validities, and then its internal consistency and
test-retest reliability were measured. Data were analyzed using the statistical
software programs SPSS 18 and EQS-6.1. RESULTS: The confirmatory factor analysis
confirmed construct validity and five subscales of B-APQ. Test-retest reliability
with 3-week interval produced r=0.94. Cronbach's alpha was found to be 0.75 for
the whole questionnaire, and from 0.53 to 0.77 for the five factors. CONCLUSION:
The Persian version of B-APQ showed favorable validity and reliability, and thus
it can be used for measuring different dimensions of perceptions of aging in
Iranian older adults.
PMID- 27194908
TI - Vital capacity and COPD: the Swedish CArdioPulmonary bioImage Study (SCAPIS).
AB - BACKGROUND: Spirometric diagnosis of chronic obstructive pulmonary disease (COPD)
is based on the ratio of forced expiratory volume in 1 second (FEV1)/vital
capacity (VC), either as a fixed value <0.7 or below the lower limit of normal
(LLN). Forced vital capacity (FVC) is a proxy for VC. The first aim was to
compare the use of FVC and VC, assessed as the highest value of FVC or slow vital
capacity (SVC), when assessing the FEV1/VC ratio in a general population setting.
The second aim was to evaluate the characteristics of subjects with COPD who
obtained a higher SVC than FVC. METHODS: Subjects (n=1,050) aged 50-64 years were
investigated with FEV1, FVC, and SVC after bronchodilation. Global Initiative for
Chronic Obstructive Lung Disease (GOLD) COPDFVC was defined as FEV1/FVC <0.7,
GOLDCOPDVC as FEV1/VC <0.7 using the maximum value of FVC or SVC, LLNCOPDFVC as
FEV1/FVC below the LLN, and LLNCOPDVC as FEV1/VC below the LLN using the maximum
value of FVC or SVC. RESULTS: Prevalence of GOLDCOPDFVC was 10.0% (95% confidence
interval [CI] 8.2-12.0) and the prevalence of LLNCOPDFVC was 9.5% (95% CI 7.8
11.4). When estimates were based on VC, the prevalence became higher; 16.4% (95%
CI 14.3-18.9) and 15.6% (95% CI 13.5-17.9) for GOLDCOPDVC and LLNCOPDVC,
respectively. The group of additional subjects classified as having COPD based on
VC, had lower FEV1, more wheeze and higher residual volume compared to subjects
without any COPD. CONCLUSION: The prevalence of COPD was significantly higher
when the ratio FEV1/VC was calculated using the highest value of SVC or FVC
compared with using FVC only. Subjects classified as having COPD when using the
VC concept were more obstructive and with indications of air trapping. Hence, the
use of only FVC when assessing airflow limitation may result in a considerable
under diagnosis of subjects with mild COPD.
PMID- 27194909
TI - Voltammetric determination of melatonin using a graphene-based sensor in
pharmaceutical products.
AB - Melatonin can be sensitively detected in pharmaceuticals by cyclic voltammetry
and fixed-potential amperometry using a graphene-based sensor. The sensor
characterization of cyclic voltammetry constantly provides high values of
electrode active area and heterogeneous rate constant. In optimal conditions, the
sensor was applied for the determination of melatonin in different pharmaceutical
samples. The sensitivity to melatonin was 0.0371 A M(-1), and the limit of
detection was 0.87*10(-6) M. The data obtained by using the graphene-based sensor
for the detection of melatonin in pharmaceutical products were in good agreement
with the data provided by the producer. Since no interferences from the
excipients were found, using a separation technique was not necessary.
Additionally, the low price, ease of handling, small amount of sample, short time
per analysis, and possibility of automation are the important advantages that
recommend this methodology for quality control of pharmaceuticals.
PMID- 27194911
TI - Psychological and behavioral intervention improves the quality of life and mental
health of patients suffering from differentiated thyroid cancer treated with
postoperative radioactive iodine-131.
AB - BACKGROUND: We examined the effects of psychological and behavioral intervention
on health-related quality of life and mental health among patients suffering from
differentiated thyroid cancer (DTC) treated with postoperative radioactive iodine
131 (RAI). METHODS: Sixty patients with DTC, undergoing RAI, were randomly
assigned to receive either conventional nursing (n=30) or a 1-year psychological
and behavioral intervention based on conventional nursing (n=30). Health-related
quality of life and mental health issues, depression, and anxiety were measured
using the Quality of Life Core Questionnaire, Self-rating Depression Scale, and
Self-rating Anxiety Score, respectively. RESULTS: After RAI treatment, patients
in both groups showed improved functional capacities (ie, physical, role,
cognitive, emotional, and social) and global quality of life, along with reduced
depression and anxiety (P<0.05). At 1-year follow-up, compared with patients in
the routine nursing group, those in the psychological and behavioral intervention
group demonstrated greater improvements in functional capacities, global quality
of life, and depression and anxiety symptoms (P<0.05). CONCLUSION: Psychological
and behavioral interventions for patients with DTC undergoing RAI facilitated
positive outcomes, suggesting that nursing care models that include psychological
and behavioral interventions may be a complementary strategy for this patient
population.
PMID- 27194910
TI - Enhancing the in vivo transdermal delivery of gold nanoparticles using
poly(ethylene glycol) and its oleylamine conjugate.
AB - In this study, we investigated the effect of (ethylene glycol) (PEG) and PEG
oleylamine (OAm) functionalization on the skin permeation property of gold
nanoparticles (GNS) in vivo. Chemisorption of polymers onto GNS was verified by a
red shift in the ultraviolet-visible spectrum as well as by a change in the
nanoparticle surface charge. The physicochemical properties of pristine and
functionalized nanoparticles were analyzed by ultraviolet-visible spectroscopy,
zeta potential analyzer, and transmission electron microscopy. Transmission
electron microscopy revealed that the interparticle distance between
nanoparticles increased after GNS functionalization. Comparing the skin
permeation profile of pristine and functionalized GNS, the follicular deposition
of GNS increased twofold after PEG-OAm functionalization. Moreover, PEG- and PEG
OAm-functionalized nanoparticles were able to overcome the skin barrier and
deposit in the deeper subcutaneous adipose tissue. These findings demonstrate the
potential of PEG- and PEG-OAm-functionalized GNS in serving a multitude of
applications in transdermal pharmaceuticals.
PMID- 27194912
TI - High-intensity focused ultrasound therapy in combination with gemcitabine for
unresectable pancreatic carcinoma.
AB - OBJECTIVE: To investigate the therapeutic effect and safety of high-intensity
focused ultrasound (HIFU) therapy combined with gemcitabine in treating
unresectable pancreatic carcinoma. METHODS: The 45 patients suffering from
pancreatic carcinoma were randomized into two groups. The patients in the
experimental group (n=23) received HIFU in combination with gemcitabine and those
in the control group (n=22) received gemcitabine alone. The effect and clinical
benefit rates in the two groups were compared. The median survival time and 6
month and 12-month survival rates were calculated by Kaplan-Meier method and log
rank test. RESULTS: The median survival time and 6-month survival rate were
significantly higher in the experimental group than in the control group (8.91
months vs 5.53 months, 73.9% vs 40.9%, respectively P<0.05), but 12-month
survival rate was not statistically different between the two groups (13.0% vs
4.5%, P>0.05). The clinical benefit rates in the experimental group and the
control group were 69.6% and 36.3%, respectively (P<0.05). The pain remission
rate in the experimental group was significantly higher than that in the control
group (65.2% vs 31.8%, P<0.05). CONCLUSION: HIFU in combination with gemcitabine
is better than gemcitabine alone. This combinatorial therapy may become a better
and effective treatment for unresectable pancreatic carcinoma.
PMID- 27194913
TI - Management of acute and delayed chemotherapy-induced nausea and vomiting: role of
netupitant-palonosetron combination.
AB - PURPOSE: The purpose of this review is to summarize and discuss the recently
published data (both original studies and reviews) on the oral medication NEPA,
consisting of netupitant (a neurokinin-1 receptor antagonist [NK1RA], 300 mg
dose) and palonosetron (5-hydroxytryptamine [serotonin or 5HT] type 3 receptor
antagonist [5HT3RA], 0.5 mg dose), in the prevention of the acute and delayed
nausea and vomiting in patients receiving highly or moderately emetogenic
chemotherapy. METHODS: This review was based on the very limited number of
available published trials consisting of two Phase III studies and one Phase II
dose-selecting trial. RESULTS: These studies demonstrated some therapeutic
benefits of NEPA over related chemotherapy-induced nausea and vomiting (CINV)
prophylaxis management, as well as its beneficial safety profile. In particular,
compared with single-dose 0.5 mg palonosetron, the complete response rates for
all phases of CINV for the first cycle of highly emetogenic chemotherapy (with
cisplatin), as well as anthracycline-cyclophosphamide-based moderately emetogenic
chemotherapy, were significantly higher for single-dose NEPA. The high efficacy
of NEPA in terms of prevention of CINV continued throughout repeated cycles of
highly and moderately emetogenic therapies. CONCLUSION: It is currently
recommended that patients who are administered highly emetogenic chemotherapy
regimens should obtain a three-drug combination consisting of NK1RA, 5HT3RA, and
dexamethasone. The recently available oral combination of NEPA plus dexamethasone
provides an additional pharmacological management option that could be considered
in this scenario.
PMID- 27194916
TI - Medical student perspective: reducing patient waiting times in the UK National
Health Service.
PMID- 27194915
TI - Improving nurse-physician teamwork through interprofessional bedside rounding.
AB - BACKGROUND: Teamwork between physicians and nurses has a positive association
with patient satisfaction and outcomes, but perceptions of physician-nurse
teamwork are often suboptimal. OBJECTIVE: To improve nurse-physician teamwork in
a general medicine inpatient teaching unit by increasing face-to-face
communication through interprofessional bedside rounds. INTERVENTION: From July
2013 through October 2013, physicians (attendings and residents) and nurses from
four general medicine teams in a single nursing unit participated in bedside
rounding, which involved the inclusion of nurses in morning rounds with the
medicine teams at the patients' bedside. Based on stakeholder analysis and
feedback, a checklist for key patient care issues was created and utilized during
bedside rounds. ASSESSMENT: To assess the effect of bedside rounding on nurse
physician teamwork, a survey of selected items from the Safety Attitudes
Questionnaire (SAQ) was administered to participants before and after the
implementation of bedside rounds. The number of pages to the general medicine
teams was also measured as a marker of physician-nurse communication. RESULTS:
Participation rate in bedside rounds across the four medicine teams was 58%. SAQ
response rates for attendings, residents, and nurses were 36/36 (100%), 73/73
(100%), and 32/73 (44%) prior to implementation of bedside rounding and 36
attendings (100%), 72 residents (100%), and 14 (19%) nurses after the
implementation of bedside rounding, respectively. Prior to bedside rounding,
nurses provided lower teamwork ratings (percent agree) than residents and
attendings on all SAQ items; but after the intervention, the difference remained
significant only on SAQ item 2 ("In this clinical area, it is not difficult to
speak up if I perceive a problem with patient care", 64% for nurses vs 79% for
residents vs 94% for attendings, P=0.02). Also, resident responses improved on
SAQ item 1 ("Nurse input is well received in this area", 62% vs 82%, P=0.01).
CONCLUSION: Increasing face-to-face communication through interprofessional
bedside rounding can improve the perceptions of nurse-physician teamwork,
particularly among residents and nurses.
PMID- 27194914
TI - Diagnosis and screening of patients with hereditary angioedema in primary care.
AB - Hereditary angioedema (HAE) is a rare autosomal dominant disease that commonly
manifests with episodes of cutaneous or submucosal angioedema and intense
abdominal pain. The condition usually presents due to a deficiency of C1 esterase
inhibitor (C1-INH) that leads to the overproduction of bradykinin, causing an
abrupt increase in vascular permeability. A less-understood and less-common form
of the disease presents with normal C1-INH levels. Symptoms of angioedema may be
confused initially with mast cell-mediated angioedema, such as allergic
reactions, and may perplex physicians when epinephrine, antihistamine, or
glucocorticoid therapies do not provide relief. Similarly, abdominal attacks may
lead to unnecessary surgeries or opiate dependence. All affected individuals are
at risk for a life-threatening episode of laryngeal angioedema, which continues
to be a source of fatalities due to asphyxiation. Unfortunately, the diagnosis is
delayed on average by almost a decade due to a misunderstanding of symptoms and
general lack of awareness of the disease. Once physicians suspect HAE, however,
diagnostic methods are reliable and available at most laboratories, and include
testing for C4, C1-INH protein, and C1-INH functional levels. In patients with
HAE, management consists of acute treatment of an attack as well as possible
short- or long-term prophylaxis. Plasma-derived C1-INH, ecallantide, icatibant,
and recombinant human C1-INH are new treatments that have been shown to be safe
and effective in the treatment of HAE attacks. The current understanding of HAE
has greatly improved in recent decades, leading to growing awareness, new
treatments, improved management strategies, and better outcomes for patients.
PMID- 27194918
TI - Partial-thickness corneal tissue restoration after a chemical burn.
AB - PURPOSE: We describe a case of full-thickness corneal restoration after an acute
corneal burn with an acid agent. METHODS: A 32-year-old male reported painful
discomfort, redness, photophobia, and a decrease in visual acuity in the left eye
after a unilateral burn with an acid agent. Slit-lamp examination revealed
massive corneal melting involving necrotic sequestrum of the entire corneal
surface. Surgical approach was carried out in order to preserve residual ocular
tissues. RESULTS: Extensive corneal-conjunctival layer curettage of the necrotic
tissue was performed showing perfectly clear undamaged deep lamellar corneal
layers. The patient underwent multilayered amniotic membrane transplantation and
total capsular-conjunctival flap in order to preserve ocular tissue from further
melting or corneal perforation. A complete and spontaneous "restitutio ad
integrum" of the corneal layers was shown during the follow-up. The cornea was
perfectly clear with restored normal anatomical architecture. CONCLUSION: In this
case, a spontaneous full-thickness corneal tissue restoration occurred after an
acute chemical burn. Studies about the mechanisms whereby different cells
interact and replicate within the stroma may unveil the biology behind corneal
regeneration and transparency.
PMID- 27194917
TI - Genetics of Lafora progressive myoclonic epilepsy: current perspectives.
AB - Lafora disease (LD) is a fatal neurodegenerative disorder caused by loss-of
function mutations in either laforin glycogen phosphatase gene (EPM2A) or malin
E3 ubiquitin ligase gene (NHLRC1). LD is associated with gradual accumulation of
Lafora bodies (LBs). LBs are aggregates of polyglucosan, a long, linear, poorly
branched, hyperphosphorylated, insoluble form of glycogen. Loss-of-function
mutations either in the EPM2A or in the NHLRC1 gene lead to polyglucosan
formation. One hypothesis on LB formation is based on findings that laforin-malin
complex downregulates glycogen synthase (GS) through malin-mediated
ubiquitination, and the other one is based on findings that laforin
dephosphorylates glycogen. According to the first hypothesis, polyglucosan
formation is a result of increased GS activity, and according to the second, an
increased glycogen phosphate leads to glycogen conformational change, unfolding,
precipitation, and conversion to polyglucosan, while GS remains bound to the
precipitating glycogen. In this review, we summarize all the recent findings that
have important implications for the treatment of LD, all of them showing that
partial inhibition of GS activity may be sufficient to prevent the progression of
the disease. The current perspective in LD is high-throughput screening for small
molecules that act on the disease pathway, that is, partial inhibitors of GS,
which opens a therapeutic window for potential treatment of this fatal disease.
PMID- 27194919
TI - Lasers in tattoo and pigmentation control: role of the PicoSure((r)) laser
system.
AB - BACKGROUND AND OBJECTIVES: The use of picosecond lasers to remove tattoos has
greatly improved due to the long-standing outcomes of nanosecond lasers, both
clinically and histologically. The first aesthetic picosecond laser available for
this use was the PicoSure((r)) laser system (755/532 nm). Now that a vast amount
of research on its use has been conducted, we performed a comprehensive review of
the literature to validate the continued application of the PicoSure((r)) laser
system for tattoo removal. STUDY DESIGN AND METHODS: A PubMed search was
conducted using the term "picosecond" combined with "laser", "dermatology", and
"laser tattoo removal". RESULTS: A total of 13 articles were identified, and ten
of these met the inclusion criteria for this review. The majority of studies
showed that picosecond lasers are an effective and safe treatment mode for the
removal of tattoo pigments. Several studies also indicated potential novel
applications of picosecond lasers in the removal of various tattoo pigments (eg,
black, red, and yellow). Adverse effects were generally mild, such as transient
hypopigmentation or blister formation, and were rarely more serious, such as
scarring and/or textural change. CONCLUSION: Advancements in laser technologies
and their application in cutaneous medicine have revolutionized the field of
laser surgery. Computational modeling provides evidence that the optimal pulse
durations for tattoo ink removal are in the picosecond domain. It is recommended
that the PicoSure((r)) laser system continue to be used for safe and effective
tattoo removal, including for red and yellow pigments.
PMID- 27194920
TI - An Overview of Meat Industry in Sri Lanka: A Comprehensive Review.
AB - Livestock is considered as one of the most important segments in agriculture
since animal husbandry was practiced for centuries as a backyard system by rural
families. Livestock plays as a powerful tool in rural development where meat
industry contributes a dominant part. Meat and meat products become a vital
component in the diet, which had been one of the main protein sources
traditionally as well. The development in the livestock and meat industry of Sri
Lanka basically depends upon religious, cultural, and economic factors. There is
a growing demand for processed meat products in Sri Lankan urban culture and
several large scale processors entered the business during the past few decades.
The consumption of meat and meat products shows an upward trend in Sri Lanka
during the last decade and is anticipated to increase further in future. The
growth potential of the local meat industry is considerably high owing to the
improvement of the market and consumer perception. The present status, trends,
and future prospects for the Sri Lankan meat industry with respect to production,
consumption, processing, marketing, and improvement are discussed in this review.
PMID- 27194921
TI - The Relationship between Chemical Compositions, Meat Quality, and Palatability of
the 10 Primal Cuts from Hanwoo Steer.
AB - The relationship between chemical compositions, meat quality traits, and
palatability attributes in 10 primal cuts from Hanwoo steer carcasses were
assessed. Sensory palatability attributes of Hanwoo beef were more closely
related with fat content than to moisture or protein content. Among the chemical
compositions, only fat had a significant correlation with juiciness (0.67,
p<0.001), tenderness (0.32, p<0.05), and overall palatability (0.56, p<0.001).
Oleic acid (%) was not significantly related with overall palatability (p>0.05).
Overall palatability was negatively correlated with drip loss (-0.32, p<0.05),
cooking loss (-0.36, p<0.05), and shear force (-0.54, p<0.01). The correlation
between fat content and overall palatability was increased when higher fat cuts
(Ansim, Dungsim, Chaekeut, Yangjee, and Kalbi) were analyzed, compared to lower
fat cuts (Moksim, Abdari, Udun, Suldo, and Satae). Also, the correlation between
shear force and overall palatability was decreased in lower fat cuts compared to
higher fat cuts. Our results suggest that the palatability of Hanwoo beef can be
improved by increasing fat content in muscles, as increased fat content leads to
an increase in sensory tenderness, flavor, and juiciness.
PMID- 27194922
TI - Effects of Various Salts on Physicochemical Properties and Sensory
Characteristics of Cured Meat.
AB - This study was carried out to investigate the effects of refined, solar, and
bamboo salt on the physico-chemical properties and sensory characteristics of
cured pork loin. Moisture, protein, fat, and ash content, lightness, yellowness,
cooking yield, and color, juiciness, and tenderness of sensory properties on
curing pork loin exhibited no significant differences regardless of the nature of
salts. The pH of raw and cooked cured pork loin with added bamboo salt was higher
that of other salt treatments. However, the cooking loss, and Warner-Bratzler
shear force of cured pork loin with added refined salt was lower than those of
solar and bamboo salt pork loins cured. The flavor and overall acceptability
scores of treatments with refined salt was higher than those of solar and bamboo
salt treatments. The unique flavor of bamboo salt can render it as a functional
material for marinating meat products. In addition, the results of this study
reveal potential use of bamboo salt in meat curing.
PMID- 27194923
TI - Postmortem Aging of Beef with a Special Reference to the Dry Aging.
AB - Animal muscles are stored for specific period (aging) at refrigerated
temperatures, during and after which the living muscles start to convert into
meat and thus, attain certain superior properties in the final product.
Proteolysis, lipolysis, and oxidation are the major biochemical processes
involved during the postmortem aging of meat that affect the tenderness,
juiciness, and flavor, as well as sometimes may introduce certain undesirable
traits. This review analyzes the role of pre- and post-mortem factors that are
important for aging and their effect on the chemical and physical changes in the
"dry- and wet-aged meat." Thus, if the meat processing manufacturers optimize the
effects of aging for specific muscles, the palatability, color, and the shelf
life of the aged meat products could be significantly enhanced.
PMID- 27194924
TI - Anti-diabetic Effect of Fermented Milk Containing Conjugated Linoleic Acid on
Type II Diabetes Mellitus.
AB - Conjugated linoleic acid (CLA) is a group of positional and geometric isomers of
conjugated dienoic derivatives of linoleic acid. CLA has been reported to be able
to reduce body fat. In this study, we investigated the antidiabetic effect of
fermented milk (FM) containing CLA on type II diabetes db/db mice. Mice were
treated with 0.2% low FM, 0.6% high FM, or Glimepiride (GLM) for 6 wk. Our
results revealed that the body weight and the levels of fasting blood glucose,
serum insulin, and leptin were significantly decreased in FM fed mice compared to
db/db mice. Oral glucose tolerance and insulin tolerance were significantly
ameliorated in FM fed mice compared to db/db mice. Consistent with these results,
the concentrations of serum total cholesterol, triglycerides, and LDL cholesterol
were also significantly decreased in FM fed mice compared to db/db mice. However,
the concentration of HDL cholesterol was significantly higher in FM fed mice
compared to db/db mice. These results were similar to those of GLM, a commercial
anti-diabetic drug. Therefore, our results suggest that FM has anti-diabetic
effect as a functional food to treat type II diabetes mellitus.
PMID- 27194925
TI - Effect of Different Cooking Methods on the Composition of Intramuscular Fatty
Acids of Hyla Rabbit.
AB - The influence of three cooking methods (stewing, microwaving and Aluminium (Al)
foil-baking) was evaluated on the content of intramuscular lipid and the
composition of intramuscular fatty acids of Hyla rabbit. The percentage of
intramuscular lipid in cooked-longissimus dorsi (LD) (dry weight %) were in the
order mentioned below: microwaving > foil-baking > stewing. All treated samples
showed decrease in the proportion of polyunsaturated fatty acids (PUFA) and
monounsaturated fatty acids (MUFA), whilst increase in the proportion of
saturated (SFA) and n-6/n-3 value during processing. All of the cooked samples
had the n-6/n-3 ratio within the recommended range (5-10). By the analysis of
partial least squares regression (PLSR), the microwaving treatment was better to
keep the stability of unsaturated fatty acids (UFA), whilst the long-time Al foil
baking did the most serious damage to UFA, especially the PUFA. In addition, the
heating method showed greater influence on the samples than the processing time.
The shorter processing time was better to retain the intramuscular PUFA of Hyla
rabbit, especially the LC-PUFAs (C20-22). Considering all the factors,
microwaving showed the superiority in reserving the composition of intramuscular
fatty acids of Hyla rabbit.
PMID- 27194926
TI - Inhibiting the Growth of Escherichia coli O157:H7 in Beef, Pork, and Chicken Meat
using a Bacteriophage.
AB - This study aimed to inhibit Escherichia coli (E. coli) O157:H7 artificially
contaminated in fresh meat using bacteriophage. Among 14 bacteriophages, the
highly lytic bacteriophage BPECO19 strain was selected to inhibit E. coli O157:H7
in artificially contaminated meat samples. Bacteriophage BPECO19 significantly
reduced E. coli O157:H7 bacterial load in vitro in a multiplicity of infection
(MOI)-dependent manner. E. coli O157:H7 was completely inhibited only in 10 min
in vitro by the treatment of 10,000 MOI BPECO19. The treatment of BPECO19 at
100,000 MOI completely reduced 5 Log CFU/cm(2) E. coli O157:H7 bacterial load in
beef and pork at 4 and 8h, respectively. In chicken meat, a 4.65 log reduction of
E. coli O157:H7 was observed at 4 h by 100,000 MOI. The treatment of single
bacteriophage BPECO19 was an effective method to control E. coli O157:H7 in meat
samples.
PMID- 27194927
TI - Establishing Quantitative Standards for Residual Alkaline Phosphatase in
Pasteurized Milk.
AB - The alkaline phosphatase (ALP) assay is a rapid and convenient method for
verifying milk pasteurization. Since colorimetric ALP assays rely on subjective
visual assessments, their results are especially unreliable near the detection
limits. In this study, we attempted to establish quantitative criteria for
residual ALP in milk by using a more objective method based on spectrophotometric
measurements. Raw milk was heat-treated for 0, 10, 20, 30, and 40 min and then
subjected to ALP assays. The quantitative criteria for residual ALP in the milk
was determined as 2 MUg phenol/mL of milk, which is just above the ALP value of
milk samples heat-treated for 30 min. These newly proposed methodology and
criteria could facilitate the microbiological quality control of milk.
PMID- 27194928
TI - Supplementation of Pork Patties with Bovine Plasma Protein Hydrolysates Augments
Antioxidant Properties and Improves Quality.
AB - This study investigated the effects of bovine plasma protein (PP) hydrolysates on
the antioxidant and quality properties of pork patties during storage. Pork
patties were divided into 4 groups: without butylated hydroxytoluene (BHT) and PP
hydrolysates (control), 0.02% BHT (T1), 1% PP hydrolysates (T2), and 2% PP
hydrolysates (T3). Pork patty supplemented with PP hydrolysates had higher pH
values and lower weight loss during cooking than the control patties. Results
showed that lightness and hardness both decreased upon the addition of PP
hydrolysates. All samples containing BHT and PP hydrolysates had reduced TBARS
and peroxide values during storage. In particular, 2% PP hydrolysates were more
effective in delaying lipid oxidation than were the other treatments. It was
concluded that treatment with 2% PP hydrolysates can enhance the acceptance of
pork patty.
PMID- 27194929
TI - Detection for Non-Milk Fat in Dairy Product by Gas Chromatography.
AB - The aim of this study was to evaluate the potential use of fatty acids,
triacylglycerols, and cholesterol in the detection of adulterated milk fat. The
fatty acid, triacylglycerol, and cholesterol profiles of the mixtures of milk and
non-milk fat (adulteration ratios of 10%, 30%, 50%, 70%, and 90%) were analyzed
by gas chromatography. The results showed that concentrations of the fatty acids
with oleic acid (C18:1n9c) and linoleic acid (C18:2n6c), triglycerides with C52
and C54, and cholesterol detected are proportional to the adulteration ratios
remarkably. Oleic acid (C18:1n9c), linoleic acid (C18:2n6c), C52, and C54 were
lower in pure milk fat than in adulterated mixtures. In contrast, pure milk has a
higher cholesterol concentration than all adulterated mixtures (adulteration
concentration in the range 10-90%). Thus, we suggest that oleic acid (C18:1n9c),
linoleic acid (C18:2n6c), C52, C54, and cholesterol are suitable indicators and
can be used as biomarkers to rapidly detect adulterated milk fat by gas
chromatography. This study is expected to provide basic data for adulteration and
material usage. Moreover, this new approach can detect the presence of foreign
oils and fats in the milk fat of cheese and can find application in related
studies.
PMID- 27194930
TI - Application of Electron-Beam Irradiation Combined with Aging for Improvement of
Microbiological and Physicochemical Quality of Beef Loin.
AB - The combined effects of irradiation and aging temperature on the microbial and
chemical quality of beef loin were investigated. The samples were vacuum
packaged, irradiated at 0 or 2 kGy using electron-beam (EB), and stored for 10 d
at different aging temperatures (2, 14, or 25C). The microbial growth, shear
values, meat color, and nucleotide-related flavor compounds of the samples were
analyzed. The irradiation effect on inactivation of foodborne pathogens was also
investigated. The population of Listeria monocytogenes and Escherhia coli O157:H7
inoculated in beef samples decreased in proportion to the irradiation dose.
Irradiation reduced the total aerobic bacteria (TAB) over the storage, but higher
aging temperature increased the TBA. Thus TAB increased sharply in non-irradiated
and high temperature-aged (14, 25C) beef samples after 5 d. With increasing aging
temperature and aging time, shear force values decreased. Lipid oxidation could
be reduced by short aging time at low aging temperature. The color a* values of
the irradiated beef were lower than those of the non-irradiated throughout the
aging period. As aging period and temperature increased, IMP decreased and
hypoxanthine increased. Considering microbial and physicochemical properties,
irradiation can be used for raw beef to be aged at relatively high temperature to
shorten aging time and cost.
PMID- 27194931
TI - The Breed and Sex Effect on the Carcass Size Performance and Meat Quality of Yak
in Different Muscles.
AB - The carcass size performances and the meat quality of Gannan and Sibu yak were
determined using M. supraspinatus (SU), M. longissimus thoracis (LT) and
M.quadriceps femoris (QF). It is found that Sibu yak had significantly higher
carcass weight (CW) than Gannan yak with difference of nearly 40 kg, as well as
significantly higher eye muscle area (EMA), carcass thorax depth (CTD), round
perimeter (RP), etc. The carcass performances of steer yak were significantly
higher than heifer yak except meat thickness at round (MTR) (p<0.05). The results
show that both yak breed and gender had significant effects on carcass
performances. It could be seen that the variation of carcass size performances
from breeds is as large as from gender (50.22% and 46.25% of total variation,
respectively) through principal component analysis (PCA). Sibu yak had
significantly higher L*, b*, WBSF, cooking loss and Fat content, while Gannan yak
had significantly higher a*, press loss, protein content and moisture (p<0.05).
Yak gender and muscle had insignificant effects on meat colour and water holding
capacity (p>0.05). The variation of meat quality of yak from breed is up to
59.46% of total variation according to PCA. It is shown that the difference
between breeds, for Gannan yak and Sibu yak, plays an important role in carcass
size performance and meat quality.
PMID- 27194932
TI - Effects of Edible Films Containing Procyanidin on the Preservation of Pork Meat
during Chilled Storage.
AB - Procyanidins, which are natural antioxidants and antimicrobials found in grapes,
enhance the quality and extend the shelf life of meat. We explored the effects of
edible films incorporating procyanidins on pork loin stored for various times.
Procyanidins (0, 0.1, and 0.3%, w/w) were incorporated into the edible films. We
assessed meat color, pH, levels of volatile basic nitrogen (VBN) and 2
thiobarbituric acid-reactive substances (TBARS), and microbial populations for 14
d. The chromaticities and pH values of pork loin wrapped in film containing
procyanidins (0.1% and 0.3%) generally increased (p<0.05) with storage time. VBN
and TBARS levels, and total bacterial and Escherichia coli (E. coli) counts,
significantly decreased (p<0.05) in the procyanidin groups. In particular,
procyanidins strongly inhibited TBARS formation. Thus, our findings suggest that
edible film impregnated with procyanidins inhibits lipid oxidation and microbial
growth, thereby enhancing the quality and shelf life of pork meat.
PMID- 27194933
TI - Anti-Aging Effects of the Hanwoo Leg Bone, Foot and Tail Infusions (HLI, HFI and
HTI) on Skin Fibroblast.
AB - Many researchers revealed that collagen contribute to maintaining the skin's
elasticity and inhibit wrinkling of skin. Korean native cattle (Hanwoo) bone (leg
bone, foot and tail) infusion contains the various inorganic materials, collagen
and chondroitin sulfate. All of this, a large quantity of collagen is included in
Hanwoo infusion. Therefore, this study emphasized on the effects of collagen in
the Hanwoo bone infusion. For the first time, Hanwoo bone infusions were directly
added to the media of Human Dermal Fibroblast (NHDF-c) to test anti-aging
effects. First, it was identified that growth rate of skin fibroblast was
increased. Furthermore, the Hanwoo bone infusion increased a 50% of fibroblast
collagen synthesis. Also, suppression of skin fibroblast aging was confirmed by
treatment Hanwoo bone infusion. In conclusion, this study demonstrates the
effects of infusion made from Hanwoo leg bone, foot and tail on anti-aging,
wrinkle inhibiting and skin fibroblast elasticity maintaining. Therefore, this
study identified that traditional infusion has effects that are good for skin
elasticity.
PMID- 27194934
TI - Physicochemical and Sensory Properties of Appenzeller Cheese Supplemented with
Powdered Microcapsule of Tomato Extract during Ripening.
AB - The objective of this study was to determine the physicochemical and sensory
properties of Appenzeller cheese supplemented with different concentrations (0,
1, 2, 3, and 4%, w/w) of powdered microcapsules of tomato extracts (PMT) during
ripening at 14C for 6 mon. The particle sizes of PMT ranged from 1 to 10 m
diameter with an average particle size of approximately 2 m. Butyric acid (C4)
concentrations of PMT-added Appenzeller cheese were significantly higher than
that of the control. Lactic acid bacteria counts in the cheese were not
significantly influenced by ripening time from 0 to 6 mon or the concentrations
(0-4%, w/w) of PMT. In terms of texture, the hardness of PMT-added Appenzeller
cheese was significantly increased compared to the control. The gumminess and
chewiness of PMT-added Appenzeller cheese were similar to those of the control.
However, both cohesiveness and springiness of PMT-added Appenzeller cheese were
slightly decreased. In sensory analysis, bitterness and sourness of Appenzeller
cheese were not significantly changed after supplementation of PMT, but sweetness
of the cheese was significantly increased after increasing the ripening time from
0 to 6 mon and increasing the concentration from 1 to 4% (w/w). Based on these
results, the addition of the concentrations (1-4%, w/w) of PMT to Appenzeller
cheese can be used to develop functional Appenzeller cheese.
PMID- 27194935
TI - Analysis of Methionine Oxidation in Myosin Isoforms in Porcine Skeletal Muscle by
LC-MS/MS Analysis.
AB - The purpose of this study was to analyze oxidized methionines in the myosin
isoforms of porcine longissimus thoracis, psoas major, and semimembranosus
muscles by liquid chromatography (LC) and mass spectrometry (MS). A total of 836
queries matched to four myosin isoforms (myosin-1, -2, -4, and -7) were analyzed
and each myosin isoform was identified by its unique peptides (7.3-13.3). Forty
four peptides were observed from all three muscles. Seventeen peptides were
unique to the myosin isoform and the others were common peptides expressed in two
or more myosin isoforms. Five were identified as oxidized peptides with one or
two methionine sulfoxides with 16 amu of mass modification. Methionines on
residues 215 (215), 438 (438), 853 (851), 856 (854), 1071 (1069), and 1106 (1104)
of myosin-1 (myosin-4) were oxidized by the addition of oxygen. Myosin-2 had two
oxidized methionines on residues 215 and 438. No queries matched to myosin-7 were
observed as oxidized peptides. LC-MS/MS allows analysis of the oxidation of
specific amino acids on specific residue sites, as well as in specific proteins
in the food system.
PMID- 27194936
TI - Kinetic Behavior of Salmonella on Low NaNO2 Sausages during Aerobic and Vacuum
Storage.
AB - This study evaluated the growth kinetics of Salmonella spp. in processed meat
products formulated with low sodium nitrite (NaNO2). A 5-strain mixture of
Salmonella spp. was inoculated on 25-g samples of sausages formulated with sodium
chloride (NaCl) (1.0%, 1.25%, and 1.5%) and NaNO2 (0 and 10 ppm) followed by
aerobic or vacuum storage at 10C and 15C for up to 816 h or 408 h, respectively.
The bacterial cell counts were enumerated on xylose lysine deoxycholate agar, and
the modified Gompertz model was fitted to the Salmonella cell counts to calculate
the kinetic parameters as a function of NaCl concentration on the growth rate
(GR; Log CFU/g/h) and lag phase duration (LPD; h). A linear equation was then
fitted to the parameters to evaluate the effect of NaCl concentration on the
kinetic parameters. The GR values of Salmonella on sausages were higher (p<0.05)
with 10 ppm NaNO2 concentration than with 0 ppm NaNO2. The GR values of
Salmonella decreased (p<0.05) as NaCl concentration increased, especially at 10C.
This result indicates that 10 ppm NaNO2 may increase Salmonella growth at low
NaCl concentrations, and that NaCl plays an important role in inhibiting
Salmonella growth in sausages with low NaNO2.
PMID- 27194937
TI - Physicochemical Characterization and Potential Prebiotic Effect of Whey Protein
Isolate/Inulin Nano Complex.
AB - The purposes of this study were to investigate the impacts of concentration
levels of whey protein isolate (WPI) and inulin on the formation and
physicochemical properties of WPI/inulin nano complexes and to evaluate their
potential prebiotic effects. WPI/inulin nano complexes were produced using the
internal gelation method. Transmission electron microscopy (TEM) and particle
size analyzer were used to assess the morphological and physicochemical
characterizations of nano complexes, respectively. The encapsulation efficiency
of resveratrol in nano complexes was studied using HPLC while the potential
prebiotic effects were investigated by measuring the viability of probiotics. In
TEM micrographs, the globular forms of nano complexes in the range of 10 and 100
nm were successfully manufactured. An increase in WPI concentration level from 1
to 3% (w/v) resulted in a significant (p<0.05) decrease in the size of nano
complexs while inulin concentration level did not affect the size of nano
complexes. The polydispersity index of nano complexes was below 0.3 in all cases
while the zeta-potential values in the range of -2 and -12 mV were observed. The
encapsulation efficiency of resveratrol was significantly (p<0.05) increased as
WPI and inulin concentration levels were increased from 1 to 3% (w/v). During
incubation at 37C for 24 h, WPI/inulin nano complexes exhibited similar viability
of probiotics with free inulin and had significantly (p<0.05) higher viability
than negative control. In conclusions, WPI and inulin concentration levels were
key factors affecting the physicochemical properties of WPI/inulin nano complexes
and had potential prebiotic effect.
PMID- 27194939
TI - Identification of Microorganisms in Duck Meat Products Available in Korea and the
Effect of High Hydrostatic Pressure.
AB - The objective of this study was to investigate the microbial count of duck meat
and duck meat products commercially available in Korea. High hydrostatic pressure
(HHP) treatment was applied at 0.1, 300, 400, and 500 MPa for 5 min to enhance
the microbiological safety of duck meats. The levels of total aerobic bacteria
were in the ranges of 3.53-6.19 and 3.62-6.85 Log CFU/g in raw and smoked duck
products, respectively. By DNA sequence analysis, we identified microorganisms
responsible for spoilage, with the most common species in the raw and smoked duck
products being Aeromonas spp. or Pseudomonas spp. and Leuconostoc mesenteroides,
respectively. HHP treatment significantly reduced the levels of total aerobic
bacteria in raw and smoked duck products. This study demonstrates that HHP
treatment may be used to effectively improve the safety of raw and smoked duck
meat products.
PMID- 27194940
TI - Witnessing the elimination of magic wands.
AB - This paper discusses static verification of programs that have been specified
using separation logic with magic wands. Magic wands are used to specify
incomplete resources in separation logic, i.e., if missing resources are
provided, a magic wand allows one to exchange these for the completed resources.
One of the applications of the magic wand operator is to describe loop invariants
for algorithms that traverse a data structure, such as the imperative version of
the tree delete problem (Challenge 3 from the VerifyThis@FM2012 Program
Verification Competition), which is the motivating example for our work. Most
separation logic-based static verification tools do not provide support for magic
wands, possibly because validity of formulas containing the magic wand is, by
itself, undecidable. To avoid this problem, in our approach the program annotator
has to provide a witness for the magic wand, thus circumventing undecidability
due to the use of magic wands. A witness is an object that encodes both
instructions for the permission exchange that is specified by the magic wand and
the extra resources needed during that exchange. We show how this witness
information is used to encode a specification with magic wands as a specification
without magic wands. Concretely, this approach is used in the VerCors tool set:
annotated Java programs are encoded as Chalice programs. Chalice then further
translates the program to BoogiePL, where appropriate proof obligations are
generated. Besides our encoding of magic wands, we also discuss the encoding of
other aspects of annotated Java programs into Chalice, and in particular, the
encoding of abstract predicates with permission parameters. We illustrate our
approach on the tree delete algorithm, and on the verification of an iterator of
a linked list.
PMID- 27194938
TI - Anti-Oxidative and Anti-Inflammation Activities of Pork Extracts.
AB - This study was conducted to evaluate the antioxidative and anti-inflammatory
effects of boiled pork powder (BPP) and hot water extract powder (HWEP) from 4
cuts of meat from Landrace * Yorkshire * Duroc (LYD). The highest DPPH radical
scavenging activities determined were from BPP of Boston butt (13.65 M TE) and
HWEP of loin (19.40 M TE) and ham (21.45 M TE). The 2,2-azino-bis-3
ethylbenzothiazoline-6-sulfonic acid (ABTS) radical scavenging activities of BPP
from shoulder ham (39.28 M TE) and ham (39.43 M TE) were higher than those of
other meat cuts, while HWEP of ham exhibited the highest ABTS radical scavenging
activity. A higher oxygen radical absorbance capacity was determined for BPP from
ham (198.35 M TE) and in HWEP from loin (204.07 M TE), Boston butt (192.85 M TE),
and ham (201.36 M TE). Carnosine content of BPP and HWEP from loin and were
determined to be 106.68 and 117.77 mg/g on a dry basis, respectively. The
anserine content of BPP (5.26 mg/g, dry basis) and HWEP (6.79 mg/g, dry basis) of
shoulder ham exhibited the highest value as compared to the extracts from the
other meat cuts. The viability of RAW 264.7 cells was increased with increasing
HWEP from loin and ham treatment. In addition, the expression of IL-6 and TNF
alpha was significantly reduced by HWEP from loin and ham, in a dose dependent
manner. These results suggested that boiled pork and hot water extract of pork
have antioxidative and cytokine inhibitory effects.
PMID- 27194941
TI - In Vitro Antileukemia Activity of ZSTK474 on K562 and Multidrug Resistant
K562/A02 Cells.
AB - Chronic myelogenous leukemia (CML) is a malignant hematological disorder mainly
caused by the Bcr-Abl tyrosine kinase. While Bcr-Abl inhibitors including
Imatinib showed antitumor efficacy on many CML patients, resistance was
frequently reported in recent years. Therefore, novel drugs for CML are still
expected. ZSTK474 is a specific phosphatidylinositol 3-kinase (PI3K) inhibitor
that we identified. In the present study, the efficacy of ZSTK474, alone or in
combination with Imatinib, on K562 CML cells as well as on its multidrug
resistance counterpart K562/A02 cells, was investigated. ZSTK474 inhibited the
cell proliferation with an IC50 of 4.69 MUM for K562 and 7.57 MUM for K562/A02
cells, respectively. Treatment by ZSTK474 resulted in cell cycle arrest in G1
phase, which might be associated with upregulation of p27, and downregulation of
cyclin D1. ZSTK474 also inhibited phosphorylation of Akt and GSK-3beta, which
might be involved in the effect on the above cell cycle-related proteins.
Moreover, combination of ZSTK474 and Imatinib indicated synergistic effect on
both cell lines. In conclusion, ZSTK474 exhibited antileukemia activity alone,
and showed synergistic effect when combined with Imatinib, on CML K562 cells as
well as the multidrug resistant ones, providing a potential therapeutic approach
for CML patients.
PMID- 27194942
TI - Dimethyloxaloylglycine Promotes the Angiogenic Activity of Mesenchymal Stem Cells
Derived from iPSCs via Activation of the PI3K/Akt Pathway for Bone Regeneration.
AB - The vascularization of tissue-engineered bone is a prerequisite step for the
successful repair of bone defects. Hypoxia inducible factor-1alpha (HIF-1alpha)
plays an essential role in angiogenesis-osteogenesis coupling during bone
regeneration and can activate the expression of angiogenic factors in mesenchymal
stem cells (MSCs). Dimethyloxaloylglycine (DMOG) is an angiogenic small molecule
that can inhibit prolyl hydroxylase (PHD) enzymes and thus regulate the stability
of HIF-1alpha in cells at normal oxygen tension. Human induced pluripotent stem
cell-derived MSCs (hiPSC-MSCs) are promising alternatives for stem cell therapy.
In this study, we evaluated the effect of DMOG on promoting hiPSC-MSCs
angiogenesis in tissue-engineered bone and simultaneously explored the underlying
mechanisms in vitro. The effectiveness of DMOG in improving the expression of HIF
1alpha and its downstream angiogenic genes in hiPSC-MSCs demonstrated that DMOG
significantly enhanced the gene and protein expression profiles of angiogenic
related factors in hiPSC-MSCs by sustaining the expression of HIF-1alpha. Further
analysis showed that DMOG-stimulated hiPSC-MSCs angiogenesis was associated with
the phosphorylation of protein kinase B (Akt) and with an increase in VEGF
production. The effects could be blocked by the addition of the
phosphatidylinositol 3-kinase (PI3K) inhibitor LY294002. In a critical-sized
calvarial defect model in rats, DMOG-treated hiPSC-MSCs showed markedly improved
angiogenic capacity in the tissue-engineered bone, leading to bone regeneration.
Collectively, the results indicate that DMOG, via activation of the PI3K/Akt
pathway, promotes the angiogenesis of hiPSC-MSCs in tissue-engineered bone for
bone defect repair and that DMOG-treated hiPSC-MSCs can be exploited as a
potential therapeutic tool in bone regeneration.
PMID- 27194945
TI - A Novel Role of Cab45-G in Mediating Cell Migration in Cancer Cells.
AB - Ca(2+)-binding protein of 45 kDa (Cab45), a CREC family member, is reported to be
associated with Ca(2+)-dependent secretory pathways and involved in multiple
diseases including cancers. Cab45-G, a Cab45 isoform protein, plays an important
role in protein sorting and secretion at Golgi complex. However, its role in
cancer cell migration remains elusive. In this study, we demonstrate that Cab45-G
exhibited an increased expression in cell lines with higher metastatic potential
and promoted cell migration in multiple types of cancer cells. Overexpression of
Cab45-G resulted in an altered expression of the molecular mediators of
epithelial-mesenchymal transition (EMT), which is a critical step in the tumor
metastasis. Quantitative real-time PCR showed that overexpression of Cab45-G
increased the expression of matrix metalloproteinase-2 and -7 (MMP-2 and MMP-7).
Conversely, knock-down of Cab45-G reduced the expression of the above MMPs.
Moreover, forced expression of Cab45-G upregulated the level of phosphorylated
ERK and modulated the secretion of extracellular proteins fibronectin and
fibulin. Furthermore, in human cervical and esophageal cancer tissues, the
expression of Cab45-G was found to be significantly correlated with that of MMP
2, further supporting the importance of Cab45-G on regulating cancer metastasis.
Taken together, these results suggest that Cab45-G could regulate cancer cell
migration through various molecular mechanisms, which may serve as a therapeutic
target for the treatment of cancers.
PMID- 27194944
TI - Partial Mullerian Duct Retention in Smad4 Conditional Mutant Male Mice.
AB - Mullerian duct regression is a complex process which involves the AMH signalling
pathway. We have previously demonstrated that besides AMH and its specific type
II receptor (AMHRII), BMPR-IA and Smad5 are two essential factors implicated in
this mechanism. Mothers against decapentaplegic homolog 4 (Smad4) is a
transcription factor and the common Smad (co-Smad) involved in transforming
growth factor beta (TGF-beta) signalling pathway superfamily. Since Smad4 null
mutants die early during gastrulation, we have inactivated Smad4 in the Mullerian
duct mesenchyme. Specific inactivation of Smad4 in the urogenital ridge leads to
the partial persistence of the Mullerian duct in adult male mice. Careful
examination of the urogenital tract reveals that the Mullerian duct retention is
randomly distributed either on one side or both sides. Histological analysis
shows a uterus-like structure, which is confirmed by the expression of estrogen
receptor alpha. As previously described in a beta-catenin conditional mutant
mouse model, beta-catenin contributes to Mullerian duct regression. In our mutant
male embryos, it appears that beta-catenin expression is locally reduced along
the urogenital ridge as compared to control mice. Moreover, the expression
pattern is similar to those observed in control female mice. This study shows
that reduced Smad4 expression disrupts the Wnt/beta-catenin signalling leading to
the partial persistence of Mullerian duct.
PMID- 27194943
TI - RNA-Seq and iTRAQ Reveal the Dwarfing Mechanism of Dwarf Polish Wheat (Triticum
polonicum L.).
AB - The dwarfing mechanism of Rht-dp in dwarf Polish wheat (DPW) is unknown. Each
internode of DPW was significantly shorter than it in high Polish wheat (HPW),
and the dwarfism was insensitive to photoperiod, abscisic acid (ABA), gibberellin
(GA), cytokinin (CK), auxin and brassinolide (BR). To understand the mechanism,
three sets of transcripts, DPW, HPW, and a chimeric set (a combination of DPW and
HPW), were constructed using RNA sequencing (RNA-Seq). Based on the chimeric
transcripts, 2,446 proteins were identified using isobaric tags for relative and
absolute quantification (iTRAQ). A total of 108 unigenes and 12 proteins were
considered as dwarfism-related differentially expressed genes (DEGs) and
differentially expressed proteins (DEPs), respectively. Among of these DEGs and
DEPs, 6 DEGs and 6 DEPs were found to be involved in flavonoid and S-adenosyl
methionine (SAM) metabolisms; 5 DEGs and 3 DEPs were involved in cellulose
metabolism, cell wall plasticity and cell expansion; 2 DEGs were auxin
transporters; 2 DEPs were histones; 1 DEP was a peroxidase. These DEGs and DEPs
reduced lignin and cellulose contents, increased flavonoid content, possibly
decreased S-adenosyl-methionine (SAM) and polyamine contents and increased S
adenosyl-L-homocysteine hydrolase (SAHH) content in DPW stems, which could limit
auxin transport and reduce extensibility of the cell wall, finally limited cell
expansion (the cell size of DPW was significantly smaller than HPW cells) and
caused dwarfism in DPW.
PMID- 27194946
TI - Ubisol-Q10 Prevents Glutamate-Induced Cell Death by Blocking Mitochondrial
Fragmentation and Permeability Transition Pore Opening.
AB - Mitochondrial dysfunction and oxidative stress are the major events that lead to
the formation of mitochondrial permeability transition pore (mPTP) during
glutamate-induced cytotoxicity and cell death. Coenzyme Q10 (CoQ10) has widely
been used for the treatment of mitochondrial disorders and neurodegenerative
diseases. Comparing to traditional lipid-soluble CoQ10, water soluble CoQ10
(Ubisol-Q10) has high intracellular and intra-mitochondrial distribution. The
aims of the present study are to determine the neuroprotective effects of Ubisol
Q10 on glutamate-induced cell death and to explore its functional mechanisms.
HT22 neuronal cells were exposed to glutamate. Cell viability was measured and
mitochondrial fragmentation was assessed by mitochondrial imaging. The mPTP
opening was determined by mitochondrial membrane potential and calcium retention
capacity. The results revealed that the anti-glutamate toxicity effects of Ubisol
Q10 was associated with its ability to block mitochondrial fragmentation, to
maintain calcium retention capacity and mitochondrial membrane potential, and to
prevent mPTP formation, AIF release, and DNA fragmentation. We concluded that
Ubisol-Q10 protects cells from glutamate toxicity by preserving the integrity of
mitochondrial structure and function. Therefore, adequate CoQ10 supplementation
may be beneficial in preventing cerebral stroke and other disorders that involve
mitochondrial dysfunction.
PMID- 27194947
TI - Ribose Accelerates Gut Motility and Suppresses Mouse Body Weight Gaining.
AB - The increasing prevalence of obesity is closely related to excessive energy
consumption. Clinical intervention of energy intake is an attractive strategy to
fight obesity. However, the current FDA-approved weight-loss drugs all have
significant side effects. Here we show that ribose upregulates gut motility and
suppresses mice body weight gain. Ribokinase, which is encoded by Rbks gene, is
the first enzyme for ribose metabolism in vivo. Rbks mutation resulted in ribose
accumulation in the small intestine, which accelerated gut movement. Ribose oral
treatment in wild type mice also enhanced bowel motility and rendered mice
resistance to high fat diets. The suppressed weight gain was resulted from
enhanced ingested food excretion. In addition, the effective dose of ribose
didn't cause any known side effects (i.e. diarrhea and hypoglycemia). Overall,
our results show that ribose can regulate gut motility and energy homeostasis in
mice, and suggest that administration of ribose and its analogs could regulate
gastrointestinal motility, providing a novel therapeutic approach for
gastrointestinal dysfunction and weight control.
PMID- 27194948
TI - Interleukin-10 Family and Tuberculosis: An Old Story Renewed.
AB - The interleukin-10 (IL-10) family of cytokines consists of six immune mediators,
namely IL-10, IL-19, IL-20, IL-22, IL-24 and IL-26. IL-10, IL-22, IL-24 and IL-26
are critical for the regulation of host defense against Mycobacterium
tuberculosis infections. Specifically, IL-10 and IL-26 can suppress the
antimycobacterial immunity and promote the survival of pathogen, while IL-22 and
IL-24 can generate protective responses and inhibit the intracellular growth of
pathogen. Knowledge about the new players in tuberculosis immunology, namely IL
10 family, can inform novel immunity-based countermeasures and host directed
therapies against tuberculosis.
PMID- 27194950
TI - Different Modulatory Mechanisms of Renal FXYD12 for Na(+)-K(+)-ATPase between Two
Closely Related Medakas upon Salinity Challenge.
AB - Upon salinity challenge, the Na(+)-K(+)-ATPase (NKA) of fish kidney plays a
crucial role in maintaining ion and water balance. Moreover, the FXYD protein
family was found to be a regulator of NKA. Our preliminary results revealed that
fxyd12 was highly expressed in the kidneys of the two closely related euryhaline
medaka species (Oryzias dancena and O. latipes) from different natural habitats
(brackish water and fresh water). In this study, we investigated the expression
and association of renal FXYD12 and NKA alpha-subunit as well as potential
functions of FXYD12 in the two medakas. These findings illustrated and compared
the regulatory roles of FXYD12 for NKA in kidneys of the two medakas in response
to salinity changes. In this study, at the mRNA and/or protein level, the
expression patterns were similar for renal FXYD12 and NKA in the two medakas.
However, different patterns of NKA activities and different interaction levels
between FXYD12 and NKA were found in the kidneys of these two medakas. The
results revealed that different strategies were used in the kidneys of the two
medaka species upon salinity challenge. On the other hand, gene knockdown
experiments demonstrated that the function of O. dancena FXYD12 allowed
maintenance of a high level of NKA activity. The results of the present study
indicated that the kidneys of the examined euryhaline medakas originating from
brackish water and fresh water exhibited different modulatory mechanisms through
which renal FXYD12 enhanced NKA activity to maintain internal homeostasis. Our
findings broadened the knowledge of expression and functions of FXYD proteins,
the modulators of NKA, in vertebrates.
PMID- 27194952
TI - A Novel Protein Elicitor (PeBA1) from Bacillus amyloliquefaciens NC6 Induces
Systemic Resistance in Tobacco.
AB - Here we reported a novel protein elicitor from Bacillus amyloliquefaciens NC6
induced systemic resistance (ISR) in tobacco. The purification was executed by
ion-exchange chromatography, native-page extraction and HPLC, and the amino acid
sequence was identified by mass spectrometry. This recombinant elicitor protein,
expressed in Escherichia coli by an E1 expression vector, had good thermal
stability, and the elicitor caused a clearly defined hypersensitive response (HR)
necrosis in tobacco leaves. It could also trigger early defence events, including
generation of reactive oxygen species (H2O2 and O2 (-)) and phenolic-compound
accumulation. Quantitative real-time PCR (Q-RT-PCR) results indicated that
several plant defence genes, including the salicylic acid (SA)-responsive PR1a,
PR1b, PR5, and phenylalanine ammonia lyase (PAL), as well as the jasmonic acid
(JA)-responsive PDF1.2 and CORONATINE INSENSITIVE 1 (COI1), were all up
regulated. Moreover, infiltration conferred systemic resistance against a broad
spectrum of pathogens, including Tobacco mosaic virus (TMV) and the fungal
pathogen Botrytis cinerea.
PMID- 27194951
TI - Chemoprevention of Low-Molecular-Weight Citrus Pectin (LCP) in Gastrointestinal
Cancer Cells.
AB - BACKGROUND & AIMS: Low-molecular-weight citrus pectin (LCP) is a complex
polysaccharide that displays abundant galactosyl (i.e., sugar carbohydrate)
residues. In this study, we evaluated the anti-tumor properties of LCP that lead
to Bcl-xL -mediated dampening of apoptosis in gastrointestinal cancer cells.
METHODS: We used AGS gastric cancer and SW-480 colorectal cancer cells to
elucidate the effects of LCP on cell viability, cell cycle and apoptosis in
cultured cells and tumor xenografts. RESULTS: Significantly decreased cell
viabilities were observed in LCP treated AGS and SW-480 cells (P<0.05). Cell
cycle-related protein expression, such as Cyclin B1, was also decreased in LCP
treated groups as compared to the untreated group. The AGS or SW-480 cell-line
tumor xenografts were significantly smaller in the LCP treated group as compared
the untreated group (P<0.05). LCP treatment decreased Galectin-3 (GAL-3)
expression levels, which is an important gene in cancer metastasis that results
in reversion of the epithelial-mesenchymal transition (EMT), and increased
suppression of Bcl-xL and Survivin to promote apoptosis. Moreover, results
demonstrated synergistic tumor suppressor activity of LCP and 5-FU against
gastrointestinal cancer cells both in vivo and in vitro. CONCLUSIONS: LCP
effectively inhibits the growth and metastasis of gastrointestinal cancer cells,
and does so in part by down-regulating Bcl-xL and Cyclin B to promote apoptosis,
and suppress EMT. Thus, LCP alone or in combination with other treatments has a
high potential as a novel therapeutic strategy to improve the clinical therapy of
gastrointestinal cancer.
PMID- 27194949
TI - New Strategies for the Treatment of Solid Tumors with CAR-T Cells.
AB - Recent years, we have witnessed significant progresses in both basic and clinical
studies regarding novel therapeutic strategies with genetically engineered T
cells. Modification with chimeric antigen receptors (CARs) endows T cells with
tumor specific cytotoxicity and thus induce anti-tumor immunity against
malignancies. However, targeting solid tumors is more challenging than targeting
B-cell malignancies with CAR-T cells because of the histopathological structure
features, specific antigens shortage and strong immunosuppressive environment of
solid tumors. Meanwhile, the on-target/off-tumor toxicity caused by relative
expression of target on normal tissues is another issue that should be reckoned.
Optimization of the design of CAR vectors, exploration of new targets, addition
of safe switches and combination with other treatments bring new vitality to the
CAR-T cell based immunotherapy against solid tumors. In this review, we focus on
the major obstacles limiting the application of CAR-T cell therapy toward solid
tumors and summarize the measures to refine this new cancer therapeutic modality.
PMID- 27194953
TI - Discovery and Characterization of Iron Sulfide and Polyphosphate Bodies
Coexisting in Archaeoglobus fulgidus Cells.
AB - Inorganic storage granules have long been recognized in bacterial and eukaryotic
cells but were only recently identified in archaeal cells. Here, we report the
cellular organization and chemical compositions of storage granules in the
Euryarchaeon, Archaeoglobus fulgidus strain VC16, a hyperthermophilic, anaerobic,
and sulfate-reducing microorganism. Dense granules were apparent in A. fulgidus
cells imaged by cryo electron microscopy (cryoEM) but not so by negative stain
electron microscopy. Cryo electron tomography (cryoET) revealed that each cell
contains one to several dense granules located near the cell membrane. Energy
dispersive X-ray (EDX) spectroscopy and scanning transmission electron microscopy
(STEM) show that, surprisingly, each cell contains not just one but often two
types of granules with different elemental compositions. One type, named iron
sulfide body (ISB), is composed mainly of the elements iron and sulfur plus
copper; and the other one, called polyphosphate body (PPB), is composed of
phosphorus and oxygen plus magnesium, calcium, and aluminum. PPBs are likely used
for energy storage and/or metal sequestration/detoxification. ISBs could result
from the reduction of sulfate to sulfide via anaerobic energy harvesting pathways
and may be associated with energy and/or metal storage or detoxification. The
exceptional ability of these archaeal cells to sequester different elements may
have novel bioengineering applications.
PMID- 27194955
TI - Astrospheres and Solar-like Stellar Winds.
AB - Stellar analogs for the solar wind have proven to be frustratingly difficult to
detect directly. However, these stellar winds can be studied indirectly by
observing the interaction regions carved out by the collisions between these
winds and the interstellar medium (ISM). These interaction regions are called
"astrospheres", analogous to the "heliosphere" surrounding the Sun. The
heliosphere and astrospheres contain a population of hydrogen heated by charge
exchange processes that can produce enough H I Lyalpha absorption to be
detectable in UV spectra of nearby stars from the Hubble Space Telescope (HST).
The amount of astrospheric absorption is a diagnostic for the strength of the
stellar wind, so these observations have provided the first measurements of solar
like stellar winds. Results from these stellar wind studies and their
implications for our understanding of the solar wind are reviewed here. Of
particular interest are results concerning the past history of the solar wind and
its impact on planetary atmospheres.
PMID- 27194956
TI - Infrared Solar Physics.
AB - The infrared solar spectrum contains a wealth of physical data about our Sun, and
is explored using modern detectors and technology with new ground-based solar
telescopes. The scientific motivation behind exploring these wavelengths is
presented, along with a brief look at the rich history of observations here.
Several avenues of solar physics research exploiting and benefiting from
observations at infrared wavelengths from roughly 1000 nm to 12 400 nm are
discussed, and the instrument and detector technology driving this research is
briefly summarized. Finally, goals for future work at infrared wavelengths are
presented in conjunction with ground and space-based observations.
PMID- 27194954
TI - A microfluidic approach to parallelized transcriptional profiling of single
cells.
AB - The ability to correlate single-cell genetic information with cellular phenotypes
is of great importance to biology and medicine, as it holds the potential to gain
insight into disease pathways that is unavailable from ensemble measurements. We
present a microfluidic approach to parallelized, rapid, quantitative analysis of
messenger RNA from single cells via RT-qPCR. The approach leverages an array of
single-cell RT-qPCR analysis units formed by a set of parallel microchannels
concurrently controlled by elastomeric pneumatic valves, thereby enabling
parallelized handling and processing of single cells in a drastically simplified
operation procedure using a relatively small number of microvalves. All steps for
single-cell RT-qPCR, including cell isolation and immobilization, cell lysis,
mRNA purification, reverse transcription and qPCR, are integrated on a single
chip, eliminating the need for off-chip manual cell and reagent transfer and qPCR
amplification as commonly used in existing approaches. Additionally, the approach
incorporates optically transparent microfluidic components to allow monitoring of
single-cell trapping without the need for molecular labeling that can potentially
alter the targeted gene expression and utilizes a polycarbonate film as a barrier
against evaporation to minimize the loss of reagents at elevated temperatures
during the analysis. We demonstrate the utility of the approach by the
transcriptional profiling for the induction of the cyclin-dependent kinase
inhibitor 1a and the glyceraldehyde 3-phosphate dehydrogenase in single cells
from the MCF-7 breast cancer cell line. Furthermore, the methyl methanesulfonate
is employed to allow measurement of the expression of the genes in individual
cells responding to a genotoxic stress.
PMID- 27194957
TI - Coronal Loops: Observations and Modeling of Confined Plasma.
AB - Coronal loops are the building blocks of the X-ray bright solar corona. They owe
their brightness to the dense confined plasma, and this review focuses on loops
mostly as structures confining plasma. After a brief historical overview, the
review is divided into two separate but not independent parts: the first
illustrates the observational framework, the second reviews the theoretical
knowledge. Quiescent loops and their confined plasma are considered and,
therefore, topics such as loop oscillations and flaring loops (except for non
solar ones, which provide information on stellar loops) are not specifically
addressed here. The observational section discusses the classification,
populations, and the morphology of coronal loops, its relationship with the
magnetic field, and the loop stranded structure. The section continues with the
thermal properties and diagnostics of the loop plasma, according to the
classification into hot, warm, and cool loops. Then, temporal analyses of loops
and the observations of plasma dynamics, hot and cool flows, and waves are
illustrated. In the modeling section, some basics of loop physics are provided,
supplying fundamental scaling laws and timescales, a useful tool for
consultation. The concept of loop modeling is introduced and models are divided
into those treating loops as monolithic and static, and those resolving loops
into thin and dynamic strands. More specific discussions address modeling the
loop fine structure and the plasma flowing along the loops. Special attention is
devoted to the question of loop heating, with separate discussion of wave (AC)
and impulsive (DC) heating. Large-scale models including atmosphere boxes and the
magnetic field are also discussed. Finally, a brief discussion about stellar
coronal loops is followed by highlights and open questions.
PMID- 27194958
TI - The Solar Cycle.
AB - : The solar cycle is reviewed. The 11-year cycle of solar activity is
characterized by the rise and fall in the numbers and surface area of sunspots. A
number of other solar activity indicators also vary in association with the
sunspots including; the 10.7 cm radio flux, the total solar irradiance, the
magnetic field, flares and coronal mass ejections, geomagnetic activity, galactic
cosmic ray fluxes, and radioisotopes in tree rings and ice cores. Individual
solar cycles are characterized by their maxima and minima, cycle periods and
amplitudes, cycle shape, the equatorward drift of the active latitudes,
hemispheric asymmetries, and active longitudes. Cycle-to-cycle variability
includes the Maunder Minimum, the Gleissberg Cycle, and the Gnevyshev-Ohl (even
odd) Rule. Short-term variability includes the 154-day periodicity, quasi
biennial variations, and double-peaked maxima. We conclude with an examination of
prediction techniques for the solar cycle and a closer look at cycles 23 and 24.
ELECTRONIC SUPPLEMENTARY MATERIAL: Supplementary material is available for this
article at 10.1007/lrsp-2015-4.
PMID- 27194959
TI - Flare Observations.
AB - : Solar flares are observed at all wavelengths from decameter radio waves to
gamma-rays at 100 MeV. This review focuses on recent observations in EUV, soft
and hard X-rays, white light, and radio waves. Space missions such as RHESSI,
Yohkoh, TRACE, and SOHO have enlarged widely the observational base. They have
revealed a number of surprises: Coronal sources appear before the hard X-ray
emission in chromospheric footpoints, major flare acceleration sites appear to be
independent of coronal mass ejections (CMEs), electrons, and ions may be
accelerated at different sites, there are at least 3 different magnetic
topologies, and basic characteristics vary from small to large flares. Recent
progress also includes improved insights into the flare energy partition, on the
location(s) of energy release, tests of energy release scenarios and particle
acceleration. The interplay of observations with theory is important to deduce
the geometry and to disentangle the various processes involved. There is
increasing evidence supporting reconnection of magnetic field lines as the basic
cause. While this process has become generally accepted as the trigger, it is
still controversial how it converts a considerable fraction of the energy into
non-thermal particles. Flare-like processes may be responsible for large-scale
restructuring of the magnetic field in the corona as well as for its heating.
Large flares influence interplanetary space and substantially affect the Earth's
lower ionosphere. While flare scenarios have slowly converged over the past
decades, every new observation still reveals major unexpected results,
demonstrating that solar flares, after 150 years since their discovery, remain a
complex problem of astrophysics including major unsolved questions. ELECTRONIC
SUPPLEMENTARY MATERIAL: Supplementary material is available for this article at
10.12942/lrsp-2008-1.
PMID- 27194960
TI - Solar Surface Convection.
AB - : We review the properties of solar convection that are directly observable at
the solar surface, and discuss the relevant underlying physics, concentrating
mostly on a range of depths from the temperature minimum down to about 20 Mm
below the visible solar surface. The properties of convection at the main energy
carrying (granular) scales are tightly constrained by observations, in particular
by the detailed shapes of photospheric spectral lines and the topology (time- and
length-scales, flow velocities, etc.) of the up- and downflows. Current
supercomputer models match these constraints very closely, which lends credence
to the models, and allows robust conclusions to be drawn from analysis of the
model properties. At larger scales the properties of the convective velocity
field at the solar surface are strongly influenced by constraints from mass
conservation, with amplitudes of larger scale horizontal motions decreasing
roughly in inverse proportion to the scale of the motion. To a large extent, the
apparent presence of distinct (meso- and supergranulation) scales is a result of
the folding of this spectrum with the effective "filters" corresponding to
various observational techniques. Convective motions on successively larger
scales advect patterns created by convection on smaller scales; this includes
patterns of magnetic field, which thus have an approximately self-similar
structure at scales larger than granulation. Radiative-hydrodynamical simulations
of solar surface convection can be used as 2D/3D time-dependent models of the
solar atmosphere to predict the emergent spectrum. In general, the resulting
detailed spectral line profiles agree spectacularly well with observations
without invoking any micro- and macroturbulence parameters due to the presence of
convective velocities and atmosphere inhomogeneities. One of the most noteworthy
results has been a significant reduction in recent years in the derived solar C,
N, and O abundances with far-reaching consequences, not the least for
helioseismology. Convection in the solar surface layers is also of great
importance for helioseismology in other ways; excitation of the wave spectrum
occurs primarily in these layers, and convection influences the size of global
wave cavity and, hence, the mode frequencies. On local scales convection
modulates wave propagation, and supercomputer convection simulations may thus be
used to test and calibrate local helioseismic methods. We also discuss the
importance of near solar surface convection for the structure and evolution of
magnetic patterns: faculae, pores, and sunspots, and briefly address the question
of the importance or not of local dynamo action near the solar surface. Finally,
we discuss the importance of near solar surface convection as a driver for
chromospheric and coronal heating. ELECTRONIC SUPPLEMENTARY MATERIAL:
Supplementary material is available for this article at 10.12942/lrsp-2009-2.
PMID- 27194961
TI - Coronal Holes.
AB - Coronal holes are the darkest and least active regions of the Sun, as observed
both on the solar disk and above the solar limb. Coronal holes are associated
with rapidly expanding open magnetic fields and the acceleration of the high
speed solar wind. This paper reviews measurements of the plasma properties in
coronal holes and how these measurements are used to reveal details about the
physical processes that heat the solar corona and accelerate the solar wind. It
is still unknown to what extent the solar wind is fed by flux tubes that remain
open (and are energized by footpoint-driven wave-like fluctuations), and to what
extent much of the mass and energy is input intermittently from closed loops into
the open-field regions. Evidence for both paradigms is summarized in this paper.
Special emphasis is also given to spectroscopic and coronagraphic measurements
that allow the highly dynamic non-equilibrium evolution of the plasma to be
followed as the asymptotic conditions in interplanetary space are established in
the extended corona. For example, the importance of kinetic plasma physics and
turbulence in coronal holes has been affirmed by surprising measurements from the
UVCS instrument on SOHO that heavy ions are heated to hundreds of times the
temperatures of protons and electrons. These observations point to specific kinds
of collisionless Alfven wave damping (i.e., ion cyclotron resonance), but
complete theoretical models do not yet exist. Despite our incomplete knowledge of
the complex multi-scale plasma physics, however, much progress has been made
toward the goal of understanding the mechanisms ultimately responsible for
producing the observed properties of coronal holes.
PMID- 27194962
TI - Wave Modeling of the Solar Wind.
AB - The acceleration and heating of the solar wind have been studied for decades
using satellite observations and models. However, the exact mechanism that leads
to solar wind heating and acceleration is poorly understood. In order to improve
the understanding of the physical mechanisms that are involved in these processes
a combination of modeling and observational analysis is required. Recent models
constrained by satellite observations show that wave heating in the low-frequency
(MHD), and high-frequency (ion-cyclotron) range may provide the necessary
momentum and heat input to coronal plasma and produce the solar wind. This review
is focused on the results of several recent solar modeling studies that include
waves explicitly in the MHD and the kinetic regime. The current status of the
understanding of the solar wind acceleration and heating by waves is reviewed.
PMID- 27194963
TI - Solar Cycle Prediction.
AB - A review of solar cycle prediction methods and their performance is given,
including forecasts for cycle 24. The review focuses on those aspects of the
solar cycle prediction problem that have a bearing on dynamo theory. The scope of
the review is further restricted to the issue of predicting the amplitude (and
optionally the epoch) of an upcoming solar maximum no later than right after the
start of the given cycle. Prediction methods form three main groups. Precursor
methods rely on the value of some measure of solar activity or magnetism at a
specified time to predict the amplitude of the following solar maximum. Their
implicit assumption is that each numbered solar cycle is a consistent unit in
itself, while solar activity seems to consist of a series of much less tightly
intercorrelated individual cycles. Extrapolation methods, in contrast, are based
on the premise that the physical process giving rise to the sunspot number record
is statistically homogeneous, i.e., the mathematical regularities underlying its
variations are the same at any point of time and, therefore, it lends itself to
analysis and forecasting by time series methods. Finally, instead of an analysis
of observational data alone, model based predictions use physically (more or
less) consistent dynamo models in their attempts to predict solar activity. In
their overall performance during the course of the last few solar cycles,
precursor methods have clearly been superior to extrapolation methods.
Nevertheless, most precursor methods overpredicted cycle 23, while some
extrapolation methods may still be worth further study. Model based forecasts
have not yet had a chance to prove their skills. One method that has yielded
predictions consistently in the right range during the past few solar cycles is
that of K. Schatten et al., whose approach is mainly based on the polar field
precursor. The incipient cycle 24 will probably mark the end of the Modern
Maximum, with the Sun switching to a state of less strong activity. It will
therefore be an important testbed for cycle prediction methods and, by inference,
for our understanding of the solar dynamo.
PMID- 27194964
TI - Solar Adaptive Optics.
AB - : Adaptive optics (AO) has become an indispensable tool at ground-based solar
telescopes. AO enables the ground-based observer to overcome the adverse effects
of atmospheric seeing and obtain diffraction limited observations. Over the last
decade adaptive optics systems have been deployed at major ground-based solar
telescopes and revitalized ground-based solar astronomy. The relatively small
aperture of solar telescopes and the bright source make solar AO possible for
visible wavelengths where the majority of solar observations are still performed.
Solar AO systems enable diffraction limited observations of the Sun for a
significant fraction of the available observing time at ground-based solar
telescopes, which often have a larger aperture than equivalent space based
observatories, such as HINODE. New ground breaking scientific results have been
achieved with solar adaptive optics and this trend continues. New large aperture
telescopes are currently being deployed or are under construction. With the aid
of solar AO these telescopes will obtain observations of the highly structured
and dynamic solar atmosphere with unprecedented resolution. This paper reviews
solar adaptive optics techniques and summarizes the recent progress in the field
of solar adaptive optics. An outlook to future solar AO developments, including a
discussion of Multi-Conjugate AO (MCAO) and Ground-Layer AO (GLAO) will be given.
ELECTRONIC SUPPLEMENTARY MATERIAL: Supplementary material is available for this
article at 10.12942/lrsp-2011-2.
PMID- 27194965
TI - Magnetic Structure of Sunspots.
AB - : In this review we give an overview about the current state-of-knowledge of the
magnetic field in sunspots from an observational point of view. We start by
offering a brief description of tools that are most commonly employed to infer
the magnetic field in the solar atmosphere with emphasis in the photosphere of
sunspots. We then address separately the global and local magnetic structure of
sunspots, focusing on the implications of the current observations for the
different sunspots models, energy transport mechanisms, extrapolations of the
magnetic field towards the corona, and other issues. ELECTRONIC SUPPLEMENTARY
MATERIAL: Supplementary material is available for this article at 10.12942/lrsp
2011-4.
PMID- 27194966
TI - A Loss or a Gain, Is It Not All the Same?
PMID- 27194967
TI - SHOX Haploinsufficiency as a Cause of Syndromic and Nonsyndromic Short Stature.
AB - SHOX in the short arm pseudoautosomal region (PAR1) of sex chromosomes is one of
the major growth genes in humans. SHOX haploinsufficiency results in idiopathic
short stature and Leri-Weill dyschondrosteosis and is associated with the short
stature of patients with Turner syndrome. The SHOX protein likely controls
chondrocyte apoptosis by regulating multiple target genes including BNP,Fgfr3,
Agc1, and Ctgf. SHOX haploinsufficiency frequently results from deletions and
duplications in PAR1 involving SHOX exons and/or the cis-acting enhancers, while
exonic point mutations account for a small percentage of cases. The clinical
severity of SHOX haploinsufficiency reflects hormonal conditions rather than
mutation types. Growth hormone treatment seems to be beneficial for cases with
SHOX haploinsufficiency, although the long-term outcomes of this therapy require
confirmation. Future challenges in SHOX research include elucidating its precise
function in the developing limbs, identifying additional cis-acting enhancers,
and determining optimal therapeutic strategies for patients.
PMID- 27194968
TI - Stuve-Wiedemann Syndrome: Update on Clinical and Genetic Aspects.
AB - Stuve-Wiedemann syndrome is a rare autosomal recessive disorder characterized by
bowed long bones, joint restrictions, dysautonomia, and respiratory and feeding
difficulties, leading to death in the neonatal period and infancy in several
occasions. Since the first cases in 1971, much has been learned about this
condition, including its molecular basis - mutations in the leukemia inhibitory
factor receptor gene (LIFR) -, natural history and management possibilities. This
review aims to highlight the clinical aspects, radiological features, molecular
findings, and management strategies in Stuve-Wiedemann syndrome.
PMID- 27194970
TI - A Novel ECM1 Splice Site Mutation in Lipoid Proteinosis: Case Report plus Review
of the Literature.
AB - Lipoid proteinosis (LP) is an autosomal recessive genodermatosis known to be
caused by mutations in ECM1. Nonsense and missense mutations are the most common
variations in LP. Up to date, only 6 splice site mutations have been observed. We
report on a 26-year-old female LP patient from a Turkish consanguineous family
carrying a novel homozygous splice site mutation in intron 8 of the ECM1 gene and
summarize the current knowledge on ECM1 mutations and possible genotype-phenotype
correlations.
PMID- 27194971
TI - A Family with Craniofrontonasal Syndrome and a Mutation (p.G151S) in the EFNB1
Gene: Expanding the Phenotype.
AB - Craniofrontonasal syndrome (CFNS) is a rare genetic entity with X-linked dominant
inheritance. CFNS is due to mutations in the Ephrin-B1 (EFNB1) gene. It is
characterized by brachycephaly, frontonasal dysplasia, palate/lip defects, dental
malocclusion, short neck, split nails, syndactyly, toe and finger defects, and
minor skeletal defects. Intelligence is usually unaffected. CFNS exhibits
unexpected manifestations between males and females as the latter are more
affected. Cellular or metabolic interference due to X inactivation explains the
more severe phenotype in heterozygous females. One family with several members
affected with CFNS and 100 healthy controls were examined. DNA from leukocytes
was isolated to analyze the EFNB1 gene. We did molecular modeling to assess the
impact of the mutation on the EFNB1-encoded protein. DNA sequencing analysis of
the EFNB1 gene of the affected members showed the heterozygous missense mutation
c.451G>A in the EFNB1 gene (GRcH38, chrX: 68,839,708; GERP score in hg38 of
9.961). This transition mutation resulted in the substitution of Gly at position
151 by Ser. Analysis of the healthy members of the family and 100 unrelated
controls showed a normal sequence of the EFNB1 gene. Phenotypes of the patients
in this family differ from the classical CFNS due to the decreased size of sulci
and fissures, subarachnoid space and ventricles, and the absence of a cleft
lip/palate.
PMID- 27194969
TI - Short Stature in Isodicentric Y Chromosome and Three Copies of the SHOX Gene:
Clinical Report and Review of Literature.
AB - Short stature homeobox gene (SHOX) mutations and pseudoautosomal region 1 (PAR1)
deletions encompassing SHOX are known causes of Leri-Weill dyschondrosteosis and
isolated short stature, while 3 copies of SHOX in cases with triple sex
chromosome constitution are responsible for tall stature. Duplications involving
SHOX have been rarely reported, and they were found in individuals with short,
normal and tall stature. An adopted boy with short stature, isodicentric Y
chromosome and 3 copies of SHOX is described. Normal growth hormone (GH)
secretion and insulin-like growth factor 1 (IGF1) increase during an IGF1
generation test were found, ruling out impaired GH-IGF1 axis. No other organic or
psychiatric causes of impaired growth were found. GH treatment improved linear
growth, as reported in children with SHOX haploinsufficiency. This new report and
the review of literature support that SHOX duplication may cause short stature,
especially in those children with duplications of the 5'SHOX regulatory elements.
Chromosome analysis and detailed molecular characterization of the duplicated
region should be warranted in individuals with SHOX duplications in order to
investigate the presence of occult chromosome imbalance. Additional reports and
follow-up till adult height are needed to give conclusions on long-term efficacy
and safety of GH treatment in short children with SHOX duplication.
PMID- 27194973
TI - Interstitial Chromosome 3p14.1 Deletion due to a Maternal Insertion: Phenotype
and Association with Balanced Parental Rearrangement.
AB - Interstitial deletions of 3p14p12 are rare chromosome abnormalities. We present a
patient with multiple congenital anomalies and a 15.4-Mb interstitial loss of
chromosome 3p14p12 detected by chromosomal microarray (CMA). Our patient shared
many phenotypic features with other reported cases involving the same region
including prominent forehead, short palpebral fissures, hand and foot anomalies,
genital abnormalities, and bilateral hearing loss. Given the clinical similarity
of these cases with significant overlap of the deleted regions, it is likely that
the phenotype is related to the deletion of specific genes within the region.
Further molecular cytogenetic investigation revealed that our patient's
rearrangement was derived from a cryptic insertion of a segment of chromosome 3p
into chromosome 18q in the mother, which was balanced and therefore not visible
on the mother's CMA. To our knowledge, this finding has not been previously
reported. This case illustrates the importance of using molecular cytogenetics
for structural analysis and parental studies. CMA is commonly the first-line
study in patients with multiple congenital anomalies; however, it is not the
appropriate modality to define a structural rearrangement that may be the cause
of a deletion. The use of adjunct studies to define the mechanism of an
identified copy number aberration has direct clinical application: to identify
the underlying cause of the chromosomal abnormality and to define the recurrence
risk. Additionally, this case adds to the current body of work regarding a
recurrent phenotype that can be attributed to interstitial chromosome 3p
deletions, which may help define the phenotypic implications of deletions in this
region and support early clinical management.
PMID- 27194972
TI - X-Linked Candidate Genes for a Ciliopathy-Like Disorder.
AB - The ability to interrogate the genome via chromosomal microarray and sequencing
based technologies has accelerated the ability to rapidly and accurately define
etiologies as well as new candidate genes related to genetic conditions. We
describe a male patient with a lethal presentation of a multiple congenital
anomaly syndrome that appeared consistent with a ciliopathy phenotype. The
patient was found to have a novel maternally inherited 1.9-Mb X chromosome
deletion including 4 known genes. Presently, the biological functions of these
genes are not well delineated. However, at least one of these genes may be a
promising candidate gene for this pattern of anomalies based on the function of
related genes and information from publicly available copy number variant
databases of control and affected individuals. These genes would bear further
scrutiny in larger cohorts of patients with similar phenotypes.
PMID- 27194974
TI - Four Different Tumors Arising in a Nevus Sebaceous.
AB - Nevus sebaceous is known by its association with one or more secondary tumors,
but more than three multiple tumors arising from a nevus sebaceous is extremely
rare. A 67-year-old female presented with a light brown plaque on the back of her
head that contained a dome-shaped black node and an erosive lesion.
Histopathological examination showed atypical basaloid cells in the black node.
At the periphery of that node, structures resembling follicular germs extruded
from interlacing cords in the upper portion and tumor nests with sebocytes were
in the lower portion. In the erosive lesion, papillated structures with an
apocrine epithelium were observed. In the light brown plaque, enlargement of
sebaceous lobules was noted. From those histopathological features, a diagnosis
of syringocystadenoma papilliferum, sebaceoma, trichoblastoma and basal cell
carcinoma arising from a nevus sebaceous was made. We discuss the rarity of
multiple tumors arising from a nevus sebaceous.
PMID- 27194975
TI - Eccrine Poroma Arising within Nevus Sebaceous.
AB - Nevus sebaceous is a congenital, benign hamartomatous lesion, characterized by a
yellowish to skin-colored, hairless, verrucous plaque on the head and neck
region. In later life, a secondary tumor, either benign or malignant, can develop
within nevus sebaceous. Eccrine poroma developing on nevus sebaceous is extremely
rare. There are few case reports of eccrine poroma developing within nevus
sebaceous. We report a case of a 30-year-old female who presented with a
congenital, hairless, verrucous, yellowish lesion on the scalp and an
erythematous nodule arising within the yellowish lesion for 8 months. Her
clinical presentation and histopathological findings were compatible with nevus
sebaceous and eccrine poroma.
PMID- 27194976
TI - A Second Case of Gobello Nevus Syndrome.
AB - An uncommon type of epidermal nevus characterized by hyperpigmented
hyperkeratotic bands following a Blaschko-linear pattern and generalized
follicular hyperkeratosis were observed in a 17-year-old male patient who
additionally showed tufted hair folliculitis on the scalp and clinodactyly of the
fifth finger of both hands. The combination of epidermal nevus with skeletal
abnormalities was first described by Gobello et al. [Dermatology 2000;201:51-55]
as a new epidermal nevus syndrome that was named after the first author of this
work. Our case shows identical clinical and histopathological features and
represents the second case of this rare syndrome reported in the literature.
PMID- 27194977
TI - Nilotinib-Induced Keratosis Pilaris.
AB - Nilotinib is a second-generation Bcr-Abl tyrosine kinase inhibitor (TKI) that is
approved for the treatment of imatinib-resistant chronic myeloid leukaemia
expressing the Bcr-Abl mutation. Cutaneous adverse drug reactions occur more
frequently in patients using this medication. We present a case of nilotinib
induced keratosis pilaris that did not have accompanying symptoms of alopecia or
pruritus. Greater recognition of this association is needed so that appropriate
treatment can be instituted to ensure a good oncologic outcome.
PMID- 27194978
TI - Intractable Postoperative Wounds Caused by Self-Inflicted Trauma in a Patient
with Cutaneous Munchausen Syndrome Presenting as a Pyoderma Gangrenosum-Like
Lesion.
AB - A 50-year-old Japanese woman consulted the emergency department of our hospital
for bleeding due to an intractable postoperative wound on the lower abdomen; the
postoperative wound was owing to a laparoscopic cholecystectomy performed 1 year
previously for acute cholecystitis. She presented with a painful ulcer on her
right lower abdomen. She also presented with multiple scars, skin grafts on the
extremities, and a missing left lower leg, the causes for all of which were
unexplained. The results of her blood test were normal, except for the hemoglobin
level. Histology of the skin biopsy specimen from the ulcer did not show any
specific findings. The previous surgeon who had performed the laparoscopic
cholecystectomy revealed that surgical wound dehiscence had occurred during her
admission. After a body restraint had been applied, the ulcer improved. Medical
records indicated that she had been admitted to the department of plastic surgery
at our hospital for skin grafting of a leg ulcer. During that admission, she
refused to consult with the department of psychiatry, al-though the staff
suspected mental disorders. Therefore, we diagnosed her with cutaneous Munchausen
syndrome. After vacuum-assisted closure (VAC) therapy had been performed to
prevent her from traumatizing the ulcer again, it rapidly became granulated and
reepithelialized. Munchausen syndrome is characterized by feigning physical
symptoms to seek attention. Patients self-inflict numerous lesions, keep getting
admitted to different hospitals, and feign acute illness, usually spectacular
diseases. VAC therapy may be effective for preventing patients with cutaneous
Munchausen syndrome from traumatizing their wounds.
PMID- 27194979
TI - Transient Efficacy of Tofacitinib in Alopecia Areata Universalis.
AB - Alopecia areata is a common autoimmune disorder that targets hair follicles.
Swarms of lymphocytes surround the basis of the follicles, inducing loss of
pigmented terminal hair and subsequently inhibit further hair growth. Depending
on the extent of involvement, alopecia areata can be associated with a dramatic
reduction of quality of life. Currently, no targeted treatment option is
available, and topical immune therapies or immunosuppressive drugs are typically
used with mixed success. Recently, several cases of alopecia areata responding to
Janus kinase inhibitors were published. Here, we report on a businessman with
alopecia areata universalis who was treated with tofacitinib. We observed initial
signs of hair regrowth in the same timeframe as previously reported, but efficacy
quickly waned again, leading to renewed effluvium. Thus, even though tofacitinib
and ruxolitinib are a promising new treatment option, we have yet to learn more
about their potential role in each particular patient's individual treatment
strategy.
PMID- 27194980
TI - Alectinib-Induced Alopecia in a Patient with Anaplastic Lymphoma Kinase-Positive
Non-Small Cell Lung Cancer.
AB - Alectinib, a novel alternative anaplastic lymphoma kinase (ALK) inhibitor, is
highly effective against ALK-positive non-small cell lung cancer (NSCLC) and is
well tolerated. Molecular targeted agents generally have little contribution to
alopecia. We encountered a case of alopecia that developed gradually over 2
months after initiation of alectinib administration for the treatment of ALK
positive NSCLC. The patient had no history of alopecia in previous treatments of
cisplatin + pemetrexed and crizotinib. The present case indicates that alopecia
should be taken into consideration as toxicity during alectinib treatment, which
could adversely affect the psychological and emotional condition and quality of
life even in patients treated with specific molecular targeted agents.
PMID- 27194981
TI - Urachal Carcinoma with Choroidal, Lung, Lymph Node, Adrenal, Mammary, and Bone
Metastases and Peritoneal Carcinomatosis Showing Partial Response after
Chemotherapy Treatment with a Modified Docetaxel, Cisplatin and 5-Fluorouracil
Regimen.
AB - Urachal carcinoma (UC) is a rare tumor mainly affecting middle-aged males.
Metastases occur most frequently in lymph nodes and the lungs. There are no
standard adjuvant and metastatic treatments. We report the case of a 36-year-old
female with UC treated with partial cystectomy who relapsed 3 years after surgery
with left choroidal, lung, mediastinal lymph node, right adrenal, mammary, and
bone metastases as well as peritoneal carcinomatosis. She obtained a partial
response after 10 cycles of chemotherapy with a modified docetaxel, cisplatin and
5-fluorouracil (mTPF) regimen. This is the first report on the use of the mTPF
regimen in UC and on the existence of choroidal, adrenal, and mammary metastases.
PMID- 27194982
TI - A Case of Hypophosphatemia with Increased Urinary Excretion of Phosphorus
Associated with Ibrutinib.
AB - Ibrutinib, an irreversible oral inhibitor of Bruton's tyrosine kinase, has been
used in the treatment of patients with multiple hematologic malignancies. A 59
year-old male with chronic lymphocytic leukemia was treated with 420 mg/day of
ibrutinib. No evidence of bruising or diarrhea was noted. The treatment was
complicated by a transient increase in creatinine (from a baseline of 1.2 to 1.5
mg/dl) and potassium (reaching a peak of 6.5 mEq/l). Uric acid and calcium levels
were normal. The patient developed hypophosphatemia (prior to initiation of
therapy the serum phosphorus was 2.9 mg/dl). No metabolic acidosis was noted.
Urinalysis showed no glucosuria or proteinuria. Urinary fraction of excretion of
phosphate was found to be 345% (normal <5%). Because of these changes, ibrutinib
was held, and the patient was given kayexalate. Serum potassium normalized. Serum
phosphorus was checked a couple of weeks later and also normalized. A lower dose
of ibrutinib (140 mg/day) was restarted. Upon follow-up, the phosphorus level has
been between 2.9 and 3.2 mg/dl. No further evidence of hyperkalemia has been
noted. Renal function has remained at baseline. To the best of our knowledge,
this is the first case report describing the mechanism of hypophosphatemia in a
patient treated with ibrutinib.
PMID- 27194983
TI - Gastric Schwannoma Mimicking Malignant Gastrointestinal Stromal Tumor Exhibiting
Increased Fluorodeoxyglucose Uptake.
AB - A schwannoma is a kind of neurogenic tumor that rarely occurs in the
gastrointestinal tract. Gastric schwannomas make up 0.2% of all gastric
neoplasms. Gastrointestinal stromal tumors (GIST) are the most common mesenchymal
tumors and up to 60-70% of GIST occur in the stomach. Schwannoma and GIST are
similar in clinical features, so they are difficult to differentiate
preoperatively. Differential diagnosis of these two submucosal tumors is
important because of the malignant potential of GIST and the relatively benign
course of gastric schwannomas. We report a 49-year-old woman who was diagnosed
after operation with a gastric schwannoma, which was suspected a malignant GIST
by fluorine-18-fluorodeoxyglucose positron emission computed tomography imaging.
PMID- 27194984
TI - Epidermal Cyst in the Scrotum Successfully Treated while Preserving the Testis: A
Case Report.
AB - A 66-year-old male was referred to our hospital for further examination of a
scrotal mass. Because of the risk of testicular cancer, we first clamped the
vessels as a course of higher orchiectomy. Then, we approached the tumor through
the scrotum and successfully resected it while preserving the testis. A
histopathological diagnosis revealed an epidermal cyst. We herein report a rare
case of an intrascrotal epidermal cyst successfully treated while preserving the
testis.
PMID- 27194985
TI - BRAF Mutation (V600E) Prevalence in Mexican Patients Diagnosed with Melanoma.
AB - BACKGROUND: B-Raf is a serine/threonine protein kinase activating the MAP
kinase/ERK-signaling pathway. It has been shown that 50% of melanomas harbor
activating BRAF mutations, with over 90% being the V600E mutation. OBJECTIVE: The
goal of this research was to determine the prevalence of the BRAF V600E mutation
in patients from Central Mexico diagnosed with primary melanoma. METHODS: Skin
biopsies from 47 patients with melanoma were obtained from the dermatology
department of the Hospital General 'Dr. Manuel Gea Gonzalez' in Mexico City. For
BRAF mutation determination, after DNA isolation, the gene region where the
mutation occurs was amplified by PCR. Subsequently, the presence or absence of
the V600E mutation was detected by Sanger sequencing performed at the private
molecular diagnostic laboratory Vitagenesis in Monterrey, Mexico. RESULTS: Of the
47 patients sampled, 6.4% harbored the V600E mutation. No statistical
significance was found between mutations and the type of tumor.
PMID- 27194986
TI - Increased Intracranial Pressure in a Boy with Gorham-Stout Disease.
AB - Gorham-Stout disease (GSD), also known as vanishing bone disease, is a rare
disorder, which most commonly presents in children and young adults and is
characterized by an excessive proliferation of lymphangiomatous tissue within the
bones. This lymphangiomatous proliferation often affects the cranium and, due to
the proximate location to the dura surrounding cerebrospinal fluid (CSF) spaces,
can result in CSF leaks manifesting as intracranial hypotension with clinical
symptoms to include orthostatic headache, nausea, and vertigo. We present the
case of a boy with GSD and a known history of migraine headaches who presented
with persistent headaches due to increased intracranial pressure. Although
migraine had initially been suspected, he was eventually diagnosed with
intracranial hypertension after developing ophthalmoplegia and papilledema. We
describe the first known instance of successful medical treatment of increased
intracranial pressure in a patient with GSD.
PMID- 27194987
TI - Simultaneous Spinal and Intracranial Chronic Subdural Hematoma Cured by
Craniotomy and Laminectomy: A Video Case Report.
AB - Simultaneous spinal and intracranial chronic subdural hematoma (CSDH) is a rare
entity. A 67-year-old man visited our hospital due to headache after diving into
a river 2 weeks before. Non-enhanced computed tomography (CT) and magnetic
resonance imaging (MRI) revealed bilateral intracranial CSDH. The bilateral CSDH
was evacuated and his symptoms improved. Three days after craniotomy, he
complained of sensory disturbance on his buttocks. Lumbar MRI showed a space
occupying lesion behind the thecal sac at L5. CT with myelography showed a
subdural mass lesion; there was no communication with the subarachnoid space.
Fourteen days after craniotomy, L5 laminectomy was performed and the dura mater
was incised carefully. The video shows that a liquid hematoma similar to the
intracranial CSDH flowed out, followed by cerebrospinal fluid. His symptoms
improved after the operation and the hematoma did not recur. This is a rare
condition of spinal CSDH demonstrated by neuroimaging and intraoperative video.
PMID- 27194988
TI - A Dextral Primary Progressive Aphasia Patient with Right Dominant Hypometabolism
and Tau Accumulation and Left Dominant Amyloid Accumulation.
AB - BACKGROUND: Primary progressive aphasia (PPA) is a degenerative disease that
presents as progressive decline of language ability with preservation of other
cognitive functions in the early stages. Three subtypes of PPA are known:
progressive nonfluent aphasia, semantic dementia, and logopenic aphasia (LPA).
PATIENTS AND METHODS: We report the case of a 77-year-old patient with PPA whose
clinical findings did not correspond to the three subtypes but mainly fit LPA.
Unlike other LPA patients, however, this patient showed a right hemisphere
predominant glucose hypometabolism and tau accumulation and a left hemisphere
predominant amyloid deposition. The right-handed patient presented with
comprehension difficulty followed by problems naming familiar objects. This
isolated language problem had deteriorated rapidly for 2 years, followed by
memory difficulties and impairment of daily activities. Using a Korean version of
the Western Aphasia Battery, aphasia was consistent with a severe form of
Wernicke's aphasia. According to the brain magnetic resonance imaging and (18)F
fludeoxyglucose positron emission tomography results, right hemisphere atrophy
and hypometabolism, more predominant on the right hemisphere than the left, were
apparent despite the fact that Edinburgh Handedness Questionnaire scores
indicated strong right-handedness. On Pittsburgh compound B-PET, amyloid
accumulation was asymmetrical with the left hemisphere being more predominant
than the right, whereas (18)F-T807-PET showed a right dominant tau accumulation.
CONCLUSIONS: This is the first report of atypical PPA, in which the patient
exhibited crossed aphasia and asymmetrical amyloid accumulation.
PMID- 27194989
TI - Acute Retinal Necrosis Associated with Epstein-Barr Virus in a Patient Undergoing
Immunosuppressive Therapy.
AB - Acute retinal necrosis (ARN) is a rapidly progressive and severe retinitis
resulting in a poor visual outcome. Infections caused by herpes viruses such as
herpes simplex virus (HSV) types 1 and 2 or the varicella zoster virus (VZV) are
known to be implicated in the development of ARN. In the present study, an 80
year-old female with ARN was examined. She had been affected with rheumatoid
arthritis and had taken methotrexate for over 10 years. Her right eye showed
clinical features of ARN, and her left eye showed mild retinitis. The genomic DNA
in the aqueous humor and vitreous fluid from her right eye were analyzed by a
comprehensive polymerase chain reaction (PCR) assay to screen infectious
pathogens including viruses. The Epstein-Barr virus (EBV) was detected from both
specimens, but neither HSV or VZV nor cytomegalovirus was detected. She underwent
intraocular surgery following systemic corticosteroid and acyclovir applications.
However, although the retinitis of her right eye was extinguished, the final
visual outcome was blindness due to optic nerve atrophy. There are few reports
indicating that EBV is associated with ARN development. The present findings
suggest that EBV alone can be the causative agent of ARN.
PMID- 27194990
TI - Two Patients with Dry Eye Disease Followed Up Using an Expression Assay of Ocular
Surface Mucin.
AB - PURPOSE: We report 2 patients with dry eye disease followed up using the
expression levels of ocular surface mucin. CASE REPORTS: Patient 1: a 57-year-old
woman with Sjogren's syndrome-associated dry eyes experienced severe dryness and
foreign body sensation in both her eyes, and instilled sodium hyaluronate
ophthalmic solution 0.3% about 10-15 times daily. We measured the expression
levels of MUC5AC mRNA (MUC5AC) and MUC16 mRNA (MUC16) by using real-time reversed
transcription polymerase chain reaction for the specimens of modified impression
cytology. Expression levels of MUC5AC and MUC16 on her ocular surface were very
low. Subjective symptoms and expression levels of ocular surface mucin improved
after combined treatment of rebamipide (4 times daily) and fluorometholone (once
daily) ophthalmic suspension. Patient 2: a 62-year-old man with chronic graft
versus-host disease-associated dry eye experienced severe foreign body sensation
and developed superficial punctate keratopathy with mucous thread and filamentary
keratitis. Expression level of MUC5AC was very high at baseline. Subjective
symptoms and expression levels of ocular surface mucin improved by combined
treatment of rebamipide (4 times daily) and fluorometholone (once daily)
ophthalmic suspension. CONCLUSION: Clinical test for MUC gene expression on the
ocular surface was found to be useful in the follow-up of dry eye treatment.
PMID- 27194991
TI - Serum Cortisol-to-Cortisone Ratio and Blood Pressure in Severe Obesity before and
after Weight Loss.
AB - BACKGROUND/AIMS: The pathogenesis of obesity-associated hypertension is poorly
understood. Serum cortisol-to-cortisone ratio (F/E ratio) is a marker of cortisol
metabolism. Our objective was to determine whether the serum F/E ratio is
associated with blood pressure (BP) in patients after significant weight loss
(>=15% from baseline weight). METHODS: Sera from 43 nondiabetic, severely obese
males participating in a weight management program were assayed for F and E by
mass spectrometry. We assessed whether changes in the F/E ratio accompanying
weight loss correlate with changes in the systolic (SBP) and diastolic BP (DBP).
Linear regression was used to evaluate change in the F/E ratio as a predictor of
change in BP. RESULTS: The body mass index decreased from 40.8 +/- 5.6 to 33.7 +/
4.8 (p < 0.001); also, SBP (133.2 +/- 13.8 vs. 124.1 +/- 14.3 mm Hg; p < 0.001)
and DBP (69.8 +/- 8.0 vs. 66.6 +/- 9.4 mm Hg; p = 0.026) decreased during the
study. The baseline F/E ratio tended to associate with baseline DBP (Spearman's r
= -0.29, p = 0.06), and change in the serum F/E ratio correlated with change in
DBP (Spearman's r = -0.32, p = 0.036). Change in the F/E ratio also tended to
associate with change in SBP (Spearman's r = -0.27, p = 0.08). A multiple linear
regression model adjusted for change in the F/E ratio and age explained 22% of
the variance in SBP change (R(2) = 0.22, p = 0.007). Change in the F/E ratio
independently predicted change in SBP (p = 0.036). CONCLUSION: In our sample of
nondiabetic, severely obese males, change in the serum F/E ratio was associated
with change in BP after weight loss.
PMID- 27194992
TI - Clinical Practice of Two Measurements of Home Blood Pressure on Each Occasion in
Patients with Chronic Kidney Disease.
AB - BACKGROUND/AIMS: Although several guidelines propose two or three measurements of
home blood pressure (HBP) on each occasion, the actual status of multiple
measurements is not clear in the practical management of hypertension. We
surveyed the details regarding two measurements of HBP in patients with chronic
kidney disease (CKD). METHODS: HBP was measured twice every morning and evening
over 7 consecutive days in 175 CKD patients. The distribution of the differences
between two BP values (2nd - 1st BP) and their association with BP parameters
were evaluated. RESULTS: The 2nd - 1st morning systolic BP (SBP) and diastolic BP
(DBP) differences were -2.3 +/- 4.1 and -0.4 +/- 2.6 mm Hg, respectively. The
proportion of 2nd - 1st morning SBP differences >0 mm Hg was 31.7% in a total of
1,195 measurements. Eighty patients (45.7%) had days with a difference <=-5 mm Hg
and days with a difference >=5 mm Hg in morning SBP during 7 days. The
multivariate regression analysis of the SD values of 2nd - 1st morning SBP as a
dependent variable showed that the SD value of the 1st morning SBP (beta = 0.65,
p < 0.001) was a significant determinant. CONCLUSION: Although the 2nd SBP was 2
3 mm Hg lower than the 1st SBP in the population as a whole, various differences
were found for each subject during 7 days. 2nd - 1st BP variability might be
associated with day-by-day 1st BP variability.
PMID- 27194993
TI - Assessing the Relationship of Angiotensin II Type 1 Receptors with Erythropoietin
in a Human Model of Endogenous Angiotensin II Type 1 Receptor Antagonism.
AB - HYPOTHESIS/INTRODUCTION: Angiotensin II (Ang II) has been shown to control
erythropoietin (EPO) synthesis as Ang II type 1 receptor (AT1R) blockers block
Ang-II-induced EPO oversecretion. To further explore the involvement of AT1R in
processes controlling EPO levels, plasma EPO and mononuclear cell NADPH oxidase 4
(NOX4) - a NOX family member involved in oxygen sensing, which is a process
central to controlling EPO levels - were assessed in Bartter's/Gitelman's
syndrome (BS/GS) patients, a human model of endogenous AT1R antagonism and
healthy subjects. Heme oxygenase (HO)-1, antioxidant and anti-inflammatory factor
related to NOX4 activation, and the relationship of EPO and NOX4 to HO-1 were
also assessed. MATERIALS AND METHODS: EPO was measured by chemiluminescent
immunoassay, HO-1 by sandwich immunoassay and NOX4 protein expression by Western
blot. RESULTS: EPO was increased in BS/GS patients compared to healthy subjects
(7.64 +/- 2.47 vs. 5.23 +/- 1.07 U/l; p = 0.025), whereas NOX4 did not differ
between BS/GS and healthy subjects (1.76 +/- 0.61 vs. 1.65 +/- 0.54 densitometric
units; p = n.s.), and HO-1 was increased in BS/GS patients compared to healthy
subjects (9.58 +/- 3.07 vs. 5.49 +/- 1.04 ng/ml; p = 0.003). NOX4 positively
correlated with HO-1 only in BS/GS patients; no correlation was found between EPO
and either NOX4 or HO-1 in those two groups. CONCLUSIONS: The effect of the renin
angiotensin system on EPO cannot be solely mediated by Ang II via AT1R signaling,
but rather, EPO levels are also determined by a complex interrelated set of
signals that involve AT2R, nitric oxide levels, NOX4 and HO-1 activity.
PMID- 27194994
TI - Renalase and Biomarkers of Contrast-Induced Acute Kidney Injury.
AB - BACKGROUND: Contrast-induced acute kidney injury (CI-AKI) remains one of the
crucial issues related to the development of invasive cardiology. The massive use
of contrast media exposes patients to a great risk of contrast-induced
nephropathy and chronic kidney disease development, and increases morbidity and
mortality rates. The serum creatinine concentration does not allow for a timely
and accurate CI-AKI diagnosis; hence numerous other biomarkers of renal injury
have been proposed. Renalase, a novel catecholamine-metabolizing amine oxidase,
is synthesized mainly in proximal tubular cells and secreted into urine and
blood. It is primarily engaged in the degradation of circulating catecholamines.
Notwithstanding its key role in blood pressure regulation, renalase remains a
potential CI-AKI biomarker, which was shown to be markedly downregulated in the
aftermath of renal injury. In this sense, renalase appears to be the first CI-AKI
marker revealing an actual loss of renal function and indicating disease
severity. SUMMARY: The purpose of this review is to summarize the contemporary
knowledge about the application of novel biomarkers of CI-AKI and to highlight
the potential role of renalase as a functional marker of contrast-induced renal
injury. KEY MESSAGES: Renalase may constitute a missing biochemical link in the
mutual interplay between kidney and cardiac pathology known as the cardiorenal
syndrome.
PMID- 27194996
TI - Extracorporeal Membrane Oxygenation and the Kidney.
AB - BACKGROUND: Extracorporeal membrane oxygenation (ECMO) is an effective therapy
for patients with reversible cardiac and/or respiratory failure. Acute kidney
injury (AKI) often occurs in patients supported with ECMO; it frequently evolves
into chronic kidney damage or end-stage renal disease and is associated with a
reported 4-fold increase in mortality rate. Although AKI is generally due to the
hemodynamic alterations associated with the baseline disease, ECMO itself may
contribute to maintaining kidney dysfunction through several mechanisms. SUMMARY:
AKI may be related to conditions derived from or associated with extracorporeal
therapy, leading to a reduction in renal oxygen delivery and/or to inflammatory
damage. In particular, during pathological conditions requiring ECMO, the
biological defense mechanisms maintaining central perfusion by a reduction of
perfusion to peripheral organs (such as the kidney) have been identified as
pretreatment and patient-related risk factors for AKI. Hormonal pathways are also
impaired in patients supported with ECMO, leading to failures in mechanisms of
renal homeostasis and worsening fluid overload. Finally, inflammatory damage, due
to the primary disease, heart and lung crosstalk with the kidney or associated
with extracorporeal therapy itself, may further increase the susceptibility to
AKI. Renal replacement therapy can be integrated into the main extracorporeal
circuit during ECMO to provide for optimal fluid management and removal of
inflammatory mediators. KEY MESSAGES: AKI is frequently observed in patients
supported with ECMO. The pathophysiology of the associated AKI is chiefly related
to a reduction in renal oxygen delivery and/or to inflammatory damage. Risk
factors for AKI are associated with a patient's underlying disease and ECMO
related conditions.
PMID- 27194995
TI - Association of Body Mass Index with Clinical Outcomes in Non-Dialysis-Dependent
Chronic Kidney Disease: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Previous studies have not shown a consistent link between body mass
index (BMI) and outcomes such as mortality and kidney disease progression in non
dialysis-dependent chronic kidney disease (CKD) patients. Therefore, we aimed to
complete a systematic review and meta-analysis study on this subject. METHODS: We
searched MEDLINE, EMBASE, Web of Science, Cumulative Index to Nursing and Allied
Health Literature (CINAHL), and Cochrane Central Register of Controlled Trials
(CENTRAL), and screened 7,123 retrieved studies for inclusion. Two investigators
independently selected the studies using predefined criteria and assessed each
study's quality using the Newcastle-Ottawa quality assessment scale. We meta
analyzed the results based on the BMI classification system by the WHO. RESULTS:
We included 10 studies (with a total sample size of 484,906) in the systematic
review and 4 studies in the meta-analyses. The study results were generally
heterogeneous. However, following reanalysis of the largest reported study and
our meta-analyses, we observed that in stage 3-5 CKD, being underweight was
associated with a higher risk of death while being overweight or obese class I
was associated with a lower risk of death; however, obesity classes II and III
were not associated with risk of death. In addition, reanalysis of the largest
available study showed that a higher BMI was associated with an incrementally
higher risk of kidney disease progression; however, this association was
attenuated in our pooled results. For earlier stages of CKD, we could not
complete meta-analyses as the studies were sparse and had heterogeneous BMI
classifications and/or referent BMI groups. CONCLUSION: Among the group of
patients with stage 3-5 CKD, we found a differential association between obesity
classes I-III and mortality compared to the general population, indicating an
obesity paradox in the CKD population.
PMID- 27194998
TI - Elevated Fibroblast Growth Factor 23 Concentration: Prediction of Mortality among
Chronic Kidney Disease Patients.
AB - BACKGROUND: The osteocyte-derived hormone, fibroblast growth factor 23 (FGF23),
regulates the phosphorus metabolism and suppresses 1,25-dihydroxyvitamin D
production, thereby mitigating hyperphosphatemia in patients with renal
disorders. An elevated FGF23 level is suggested to be an early biomarker of
altered phosphorus metabolism in the initial stages of chronic kidney disease
(CKD) and acts as a strong predictor of mortality in dialysis patients. In the
Saudi population, there is no report on the FGF23 level in CKD patients to date.
This study aims to estimate the plasma FGF23 levels in the Saudi population and
to correlate it with its clinical manifestations in order to ascertain its role
in the pathogenesis of CKD patients. METHODS: The FGF23 level in the plasma
samples was determined using ELISA in a diverse cohort of 89 cases with stage 3-5
CKD and 100 healthy subjects. The plasma FGF23 level was correlated with other
biochemical parameters. RESULTS: The results revealed that the FGF23 level was
markedly elevated among CKD patients compared to the control group, and a
significant inverse correlation was observed between the FGF23 level and
glomerular filtration rate. FGF23 elevation was approximately 40-fold among stage
5 patients compared to the control, while the elevation of phosphate, parathyroid
hormone (PTH) and alkaline phosphatase was 2-, 3- and 8-fold in this stage,
respectively. CONCLUSION: Elevated FGF23 levels may have a strong correlation
with the disease pathogenesis. In addition, FGF23 might be a future therapeutic
target to intervene against the progression of CKD as well as to increase patient
survivability.
PMID- 27194997
TI - The Role of Congestion in Cardiorenal Syndrome Type 2: New Pathophysiological
Insights into an Experimental Model of Heart Failure.
AB - BACKGROUND: In cardiorenal syndrome type 2 (CRS2), the role of systemic
congestion in heart failure (HF) is still obscure. We studied a model of CRS2
[monocrotaline (MCT)-treated rats] secondary to pulmonary hypertension and right
ventricular (RV) failure in order to evaluate the contribution of prevalent
congestion to the development of kidney injury. METHODS: Ten animals were treated
with MCT for 4 weeks until they developed HF. Eleven animals were taken as
controls. Signs of hypertrophy and dilatation of the right ventricle demonstrated
the occurrence of HF. Brain natriuretic peptide (BNP), serum creatinine
(sCreatinine), both kidney and heart neutrophil gelatinase-associated lipocalin
(NGAL), matrix metallopeptidase 9 (MMP9), serum cytokines as well as kidney and
heart cell death, as assessed by TUNEL, were studied. RESULTS: Rats with HF
showed higher BNP levels [chronic HF (CHF) 4.8 +/- 0.5 ng/ml; controls 1.5 +/-
0.2 ng/ml; p < 0.0001], marked RV hypertrophy and dilatation (RV mass/RV volume:
CHF 1.46 +/- 0.31, controls 2.41 +/- 0.81; p < 0.01) as well as pleural and
peritoneal effusions. A significant increase in proinflammatory cytokines and
sCreatinine was observed (CHF 3.06 +/- 1.3 pg/ml vs. controls 0.54 +/- 0.23
pg/ml; p = 0.04). Serum (CHF 562.7 +/- 93.34 ng/ml vs. controls 245.3 +/- 58.19
ng/ml; p = 0.02) as well as renal and heart tissue NGAL levels [CHF 70,680 +/-
4,337 arbitrary units (AU) vs. controls 32,120 +/- 4,961 AU; p = 0.001] rose
significantly, and they were found to be complexed with MMP9 in CHF rats. A
higher number of kidney TUNEL-positive tubular cells was also detected (CHF
114.01 +/- 45.93 vs. controls 16.36 +/- 11.60 cells/mm(2); p = 0.0004).
CONCLUSION: In this model of CHF with prevalent congestion, kidney injury is
characterized by tubular damage and systemic inflammation. The upregulated NGAL
complexed with MMP9 perpetuates the vicious circle of kidney/heart damage by
enhancing the enzymatic activity of MMP9 with extracellular matrix degradation,
worsening heart remodeling.
PMID- 27194999
TI - Screening for Language Disorders in Stroke: German Validation of the Language
Screening Test (LAST).
AB - BACKGROUND: Screening of aphasia in acute stroke is crucial for directing
patients to early language therapy. The Language Screening Test (LAST),
originally developed in French, is a validated language screening test that
allows detection of a language deficit within a few minutes. The aim of the
present study was to develop and validate two parallel German versions of the
LAST. METHODS: The LAST includes subtests for naming, repetition, automatic
speech, and comprehension. For the translation into German, task constructs and
psycholinguistic criteria for item selection were identical to the French LAST. A
cohort of 101 stroke patients were tested, all of whom were native German
speakers. Validation of the LAST was based on (1) analysis of equivalence of the
German versions, which was established by administering both versions
successively in a subset of patients, (2) internal validity by means of internal
consistency analysis, and (3) external validity by comparison with the short
version of the Token Test in another subset of patients. RESULTS: The two German
versions were equivalent as demonstrated by a high intraclass correlation
coefficient of 0.91. Furthermore, an acceptable internal structure of the LAST
was found (Cronbach's alpha = 0.74). A highly significant correlation (r = 0.74,
p < 0.0001) between the LAST and the short version of the Token Test indicated
good external validity of the scale. CONCLUSION: The German version of the LAST,
available in two parallel versions, is a new and valid language screening test in
stroke.
PMID- 27195000
TI - Comparison between Early-Onset and Late-Onset Alzheimer's Disease Patients with
Amnestic Presentation: CSF and (18)F-FDG PET Study.
AB - BACKGROUND/AIMS: To investigate the differences in brain glucose consumption
between patients with early onset of Alzheimer's disease (EOAD, aged <=65 years)
and patients with late onset of Alzheimer's disease (LOAD, aged >65 years).
METHODS: Differences in brain glucose consumption between the groups have been
evaluated by means of Statistical Parametric Mapping version 8, with the use of
age, sex, Mini-Mental State Examination and cerebrospinal fluid values of ABeta1
42, phosphorylated Tau and total Tau as covariates in the comparison between EOAD
and LOAD. RESULTS: As compared to LOAD, EOAD patients showed a significant
decrease in glucose consumption in a wide portion of the left parietal lobe (BA7,
BA31 and BA40). No significant differences were obtained when subtracting the
EOAD from the LOAD group. CONCLUSIONS: The results of our study show that
patients with EOAD show a different metabolic pattern as compared to those with
LOAD that mainly involves the left parietal lobe.
PMID- 27195001
TI - Brain Perfusion in Corticobasal Syndrome with Progressive Aphasia.
AB - BACKGROUND: Brain perfusion may differ between patients with corticobasal
syndrome (CBS) with and without aphasia. METHODS: Twenty-six (9 males and 17
females; mean age 76 +/- 5.3 years) patients with CBS were enrolled in the study.
Brain MRI and single-photon emission computed tomography were performed in all
subjects. Language was evaluated using the Standard Language Test of Aphasia. The
patients were divided into two subgroups according to the presence or absence of
progressive aphasia. Differences in the regional cerebral blood flow (rCBF)
between the two groups were detected based on voxel-by-voxel group analysis using
Statistical Parametric Mapping 8. RESULTS: All patients exhibited asymmetric
motor symptoms and signs, including limb apraxia, bradykinesia, and akinetic
rigidity. Of 26 patients, 9 had a clinically obvious language disturbance,
characterized as nonfluent aphasia. Almost all CBS patients with aphasia
exhibited cortical atrophy predominantly in the left frontal and temporal lobes
with widening of the Sylvian fissure on MRI. The rCBF in the left middle frontal
gyrus differed significantly between CBS patients with and without aphasia.
CONCLUSION: CBS patients with aphasia exhibit motor symptoms predominantly on the
right side and cortical atrophy mainly in the left perisylvian cortices. In
particular, left frontal dysfunction might be related to nonfluent aphasia in
CBS.
PMID- 27195002
TI - Cerebrospinal Fluid TDP-43 in Frontotemporal Lobar Degeneration and Amyotrophic
Lateral Sclerosis Patients with and without the C9ORF72 Hexanucleotide Expansion.
AB - BACKGROUND: TDP-43 is the main protein component of ubiquitinated inclusions in a
subgroup of frontotemporal lobar degeneration (FTLD) and amyotrophic lateral
sclerosis (ALS) patients. The C9ORF72 hexanucleotide expansion is one of the main
mutations associated with TDP-43 pathology in FTLD and ALS. Our aim was to
analyze cerebrospinal fluid (CSF) TDP-43 levels and Alzheimer's disease
biomarkers in FTLD and ALS patients and to test whether the C9ORF72 expansion
carrier status affects these variables. METHODS: The patient cohort consisted of
90 clinically well-characterized FTLD (n = 69) and ALS (n = 21) patients. There
were 30 patients with the C9ORF72 expansion and 60 patients without the
expansion. CSF TDP-43, ABeta1-42, t-tau, and phospho-tau levels were measured
using commercial ELISA kits. RESULTS: There was no difference in CSF TDP-43
levels between the C9ORF72 expansion carriers and the noncarriers. CSF TDP-43
levels were higher in ALS patients than in FTLD patients, and this finding was
independent of the C9ORF72 expansion carrier status. Males had significantly
higher TDP-43 levels than females (p = 0.008 in the total cohort). CONCLUSION:
CSF TDP-43 does not seem to distinguish the C9ORF72 expansion carriers from
noncarriers. However, higher CSF TDP-43 levels were detected in ALS than in FTLD,
which might be an indicator of a more rapid progression of TDP-43 pathology in
ALS.
PMID- 27195003
TI - Polychromatic Iterative Statistical Material Image Reconstruction for Photon
Counting Computed Tomography.
AB - This work proposes a dedicated statistical algorithm to perform a direct
reconstruction of material-decomposed images from data acquired with photon
counting detectors (PCDs) in computed tomography. It is based on local
approximations (surrogates) of the negative logarithmic Poisson probability
function. Exploiting the convexity of this function allows for parallel updates
of all image pixels. Parallel updates can compensate for the rather slow
convergence that is intrinsic to statistical algorithms. We investigate the
accuracy of the algorithm for ideal photon-counting detectors. Complementarily,
we apply the algorithm to simulation data of a realistic PCD with its spectral
resolution limited by K-escape, charge sharing, and pulse-pileup. For data from
both an ideal and realistic PCD, the proposed algorithm is able to correct beam
hardening artifacts and quantitatively determine the material fractions of the
chosen basis materials. Via regularization we were able to achieve a reduction of
image noise for the realistic PCD that is up to 90% lower compared to material
images form a linear, image-based material decomposition using FBP images.
Additionally, we find a dependence of the algorithms convergence speed on the
threshold selection within the PCD.
PMID- 27195004
TI - Online Knowledge-Based Model for Big Data Topic Extraction.
AB - Lifelong machine learning (LML) models learn with experience maintaining a
knowledge-base, without user intervention. Unlike traditional single-domain
models they can easily scale up to explore big data. The existing LML models have
high data dependency, consume more resources, and do not support streaming data.
This paper proposes online LML model (OAMC) to support streaming data with
reduced data dependency. With engineering the knowledge-base and introducing new
knowledge features the learning pattern of the model is improved for data
arriving in pieces. OAMC improves accuracy as topic coherence by 7% for streaming
data while reducing the processing cost to half.
PMID- 27195005
TI - Planning the City Logistics Terminal Location by Applying the Green p-Median
Model and Type-2 Neurofuzzy Network.
AB - The paper herein presents green p-median problem (GMP) which uses the adaptive
type-2 neural network for the processing of environmental and sociological
parameters including costs of logistics operators and demonstrates the influence
of these parameters on planning the location for the city logistics terminal
(CLT) within the discrete network. CLT shows direct effects on increment of
traffic volume especially in urban areas, which further results in negative
environmental effects such as air pollution and noise as well as increased number
of urban populations suffering from bronchitis, asthma, and similar respiratory
infections. By applying the green p-median model (GMM), negative effects on
environment and health in urban areas caused by delivery vehicles may be reduced
to minimum. This model creates real possibilities for making the proper
investment decisions so as profitable investments may be realized in the field of
transport infrastructure. The paper herein also includes testing of GMM in real
conditions on four CLT locations in Belgrade City zone.
PMID- 27195006
TI - Clinicopathologic Significance of Extranodal Tumor Extension in Colorectal
Adenocarcinoma with Regional Lymph Node Metastasis.
AB - Background. This study investigated the clinicopathologic significance of
extranodal tumor extension in colorectal adenocarcinoma with lymph node
metastasis. Method. Included were 419 patients who underwent curative resection
for primary colorectal adenocarcinoma. Results. Extranodal tumor extension was
observed more frequently in tumors with ulceroinfiltrative gross type (p =
0.026), higher histologic grade (p = 0.012), high grade tumor budding (p =
0.003), vascular invasion (p < 0.001), perineural invasion (p = 0.015), tumor
deposit (p < 0.001), high ratio of metastatic/total lymph nodes (p < 0.001), and
high pN stage (p < 0.001). Overall survival was significantly different between
an extranodal tumor extension (-) group and an extranodal tumor extension (+)
group for both N1 (p = 0.022) and N2 homogeneous staging (p = 0.007). Both
overall (p = 0.002) and disease-free survival (p = 0.001) were significantly
different between the two groups in an N1a homogeneous group and overall survival
was significantly different (p = 0.016) in an N2b homogeneous group. Conclusion.
Our study demonstrated that extranodal tumor extension was a useful prognostic
factor for colorectal adenocarcinoma with lymph node metastasis, especially in
homogeneous pN staging groups.
PMID- 27195007
TI - Do Aging and Tactile Noise Stimulation Affect Responses to Support Surface
Translations in Healthy Adults?
AB - Appropriate neuromuscular responses to support surface perturbations are crucial
to prevent falls, but aging-related anatomical and physiological changes affect
the appropriateness and efficiency of such responses. Low-level noise application
to sensory receptors has shown to be effective for postural improvement in a
variety of different balance tasks, but it is unknown whether this intervention
may have value for improvement of corrective postural responses. Ten healthy
younger and ten healthy older adults were exposed to sudden backward translations
of the support surface. Low-level noise (mechanical vibration) to the foot soles
was added during random trials and temporal (response latency) and spatial
characteristics (maximum center-of-pressure excursion and anterior-posterior path
length) of postural responses were assessed. Mixed-model ANOVA was applied for
analysis of postural response differences based on age and vibration condition.
Age affected postural response characteristics, but older adults were well able
to maintain balance when exposed to a postural perturbation. Low-level noise
application did not affect any postural outcomes. Healthy aging affects some
specific measures of postural stability, and in high-functioning older
individuals, a low-level noise intervention may not be valuable. More research is
needed to investigate if recurring fallers and neuropathy patients could benefit
from the intervention in postural perturbation tasks.
PMID- 27195008
TI - Consecutive Case Series of Healed Single-Molar Sites Immediately Restored with
Wide-Diameter Implants: A 1-Year Evaluation.
AB - Introduction. To evaluate outcomes of wide-diameter (6 mm) implants immediately
provisionalized with cement-retained single crowns in posterior molar sites.
Materials and Methods. Forty-eight consecutive patients received a total of 53
moderately rough-surface, 6 mm diameter implants in healed sites. All implants
were immediately provisionalized with a cement-retained provisional crown. Final
prosthesis with cement-retained porcelain fused to metal crowns was delivered 3-6
months later. Patients were followed up for 1 year. Outcome measures were implant
failures and success rate, complications, marginal bone levels, bone level
changes, papilla index, bleeding on probing, and inflammation. Results. One
patient was lost to follow-up. At one year, the implant survival and success rate
were 98.1%. The mean marginal bone loss after 1 year was -0.17 +/- 1.84 mm. Ideal
papilla score was recorded at 83.8% of the sites. More than 95.6% of the sites
showed no bleeding or inflammation. No procedure-related or device-related
adverse events were reported. Conclusion. Wide-diameter (6 mm) implants can
safely and successfully replace single posterior molars. Longer follow-up studies
are necessary to evaluate the long-term success of these implants.
PMID- 27195009
TI - Novel Microdilution Method to Assess Double and Triple Antibiotic Combination
Therapy In Vitro.
AB - An in vitro microdilution method was developed to assess double and triple
combinations of antibiotics. Five antibiotics including ciprofloxacin, amikacin,
ceftazidime, piperacillin, and imipenem were tested against 10 clinical isolates
of Pseudomonas aeruginosa. Each isolate was tested against ten double and nine
triple combinations of the antibiotics. A 96-well plate was used to test three
antibiotics, each one alone and in double and triple combinations against each
isolate. The minimum bacteriostatic and bactericidal concentrations in
combination were determined with respect to the most potent antibiotic. An
Interaction Code (IC) was generated for each combination, where a numerical value
was designated based on the 2-fold increase or decrease in the MICs with respect
to the most potent antibiotic. The results of the combinations were verified by
time-kill assay at constant concentrations of the antibiotics and in a chemostat.
Only 13% of the double combinations were synergistic, whereas 5% showed
antagonism. Forty-three percent of the triple combinations were synergistic with
no antagonism observed, and 100% synergism was observed in combination of
ciprofloxacin, amikacin, and ceftazidime. The presented protocol is simple and
fast and can help the clinicians in the early selection of the effective
antibiotic therapy for treatment of severe infections.
PMID- 27195010
TI - Therapeutic Roles of Tendon Stem/Progenitor Cells in Tendinopathy.
AB - Tendinopathy is a tendon disorder characterized by activity-related pain, local
edema, focal tenderness to palpation, and decreased strength in the affected
area. Tendinopathy is prevalent in both athletes and the general population,
highlighting the need to elucidate the pathogenesis of this disorder. Current
treatments of tendinopathy are both conservative and symptomatic. The discovery
of tendon stem/progenitor cells (TSPCs) and erroneous differentiation of TSPCs
have provided new insights into the pathogenesis of tendinopathy. In this review,
we firstly present the histopathological characteristics of tendinopathy and
explore the cellular and molecular cues in the pathogenesis of tendinopathy.
Current evidence of the depletion of the stem cell pool and altered TSPCs fate in
the pathogenesis of tendinopathy has been presented. The potential regulatory
factors for either tenogenic or nontenogenic differentiation of TSPCs are also
summarized. The regulation of endogenous TSPCs or supplementation with exogenous
TSPCs as therapeutic targets for the treatment of tendinopathy is proposed.
Therefore, inhibiting the erroneous differentiation of TSPCs and regulating the
differentiation of TSPCs into tendon cells might be important areas of future
research and could provide new clinical treatments for tendinopathy. The current
evidence suggests that TSPCs are promising therapeutic targets for the management
of tendinopathy.
PMID- 27195012
TI - Pattern of Hepatitis A Virus Epidemiology in Nursing Students and Adherence to
Preventive Measures at Two Training Wards of a University Hospital.
AB - BACKGROUND: Nursing students can be exposed to patients with hepatitis A virus
(HAV) and can represent a vehicle of transmission both for health personnel,
patients and relatives. OBJECTIVES: The aim of this study was to assess the risk
of HAV infection in nursing students during their internship. PATIENTS AND
METHODS: A seroprevalence survey on HAV infection was performed on nursing
students at the Cagliari university-hospital, together with the assessment of the
compliance to preventive measures to decrease the risk of infection during their
internship. Blood specimens were obtained from 253 students. All serum samples
were tested for anti-HAV antibodies (IgG) by the enzyme-linked immunosorbent
assay (ELISA). Compliance to preventive measures was recorded by trained
personnel. RESULTS: Overall HAV seropositivity in nursing students (mean age 24,
range 17 - 45 years) was 3%. Compliance to preventive measures was not uniform
(6% - 76%) and extremely low in some specific measures targeted to decrease the
oral-fecal transmission. CONCLUSIONS: The high proportion of susceptible nursing
students can contribute to an increase in the risk of nosocomial transmission,
especially when specific preventive measures are not completely applied. Nursing
education packages, before starting medical internship, should be implemented in
order to increase their compliance to preventive measures, especially in wards at
higher risk. Vaccination should be considered in wards at higher risk.
PMID- 27195013
TI - A Novel Hydrodynamic Injection Mouse Model of HBV Genotype C for the Study of HBV
Biology and the Anti-Viral Activity of Lamivudine.
AB - BACKGROUND: Absence of an immunocompetent mouse model of persistent hepatitis B
virus (HBV) infection has hindered the research of HBV infection and the
development of antiviral medications. OBJECTIVES: In the present study, we aimed
to develop a novel HBV genotype C mouse model by hydrodynamic injection (HI) and
then used it to evaluate the antiviral activity of lamivudine. MATERIALS AND
METHODS: A quantity of 15 MUg of HBV plasmid [pcDNA3.1 (+)-HBV1.3C], adeno
associated virus-HBV1.3C (pAAV-HBV1.3C) or pAAV-HBV1.2A) were injected into male
C57BL/6 mice, by HI, accounting for a total of 13 mice per group. Then,
lamivudine was administered to mice with sustained HBV viremia, for 4 weeks. Real
time polymerase chain reaction (RT-PCR), enzyme-linked immunosorbent assay
(ELISA) and immunohistochemistry methods were used to detect HBsAg, HBeAg, HBsAb,
HBcAg and HBV DNA, in serum or liver of the mice, at indicated time points.
RESULTS: In 60% of the mice injected with pcDNA3.1 (+)-HBV1.3C, HBsAg, HBeAg,
HBcAg and HBV DNA persisted for > 20 weeks in liver, post-injection, with no
HBsAb appearance. Meanwhile, no significant inflammation was observed in these
mice. Compared with pAAV-HBV1.2A and pAAV-HBV1.3C, pcDNA3.1 (+)-HBV1.3C
administration led to higher and longer HBV viremia. Furthermore, serum HBV DNA
was significantly reduced by lamivudine, after 4 weeks administration, and
returned to the original level, after ceasing administration for 1 week, in the
mice. CONCLUSIONS: In conclusion, our observations indicated that pcDNA3.1 (+)
HBV1.3C was superior to AAV/HBV plasmid for establishment of persistent HBV
infection by HI, in vivo, and this mouse model could be useful for studies of
hepatitis virology and for the development of innovatory treatments for HBV
infections.
PMID- 27195014
TI - Exploratory, Phase II Controlled Trial of Shiunko Ointment Local Application
Twice a Day for 4 Weeks in Ethiopian Patients with Localized Cutaneous
Leishmaniasis.
AB - The clinical efficacy and safety of Shiunko ointment (phase II clinical trial)
was investigated in 40 Ethiopian patients with cutaneous leishmaniasis. Patients
were randomized to receive treatment with Shiunko ointment or placebo (n = 20,
each), applied on the lesion twice a day for 4 weeks. Clinicoparasitological
assessments were performed before treatment, weekly for 4 weeks, and then 4, 8,
and 12 weeks after the end of treatment. A marked reduction in lesion size was
observed on week 16 of treatment in the Shiunko compared with placebo group (69%
and 22% reduction, resp.). The overall rate of lesion reduction during the four
weeks of treatment was significantly faster in the Shiunko group. Shiunko
provided significant effect on wound closure in patients with ulcerated lesion.
The clinical efficacy and tolerability of Shiunko were comparable to placebo with
regard to its clinicoparasitological response (cure rate and parasitological
clearance). Results of this preliminary study may suggest that Shiunko could be
useful as adjuvant or as complementary treatment, not as alternatives to current
treatment. Its attractive action includes fast lesion healing with a
significantly smaller lesion at week 16 of treatment compared with placebo. In
addition, its action was promoted in ulcerative lesions.
PMID- 27195015
TI - Effect of Alkaloids Isolated from Phyllodium pulchellum on Monoamine Levels and
Monoamine Oxidase Activity in Rat Brain.
AB - Phyllodium pulchellum (P. pulchellum) is a folk medicine with a significant
number of bioactivities. The aim of this study was to investigate the effects
displayed by alkaloids fractions, isolated from the roots of P. pulchellum, on
neurotransmitters monoamine levels and on monoamine oxidase (MAO) activity. Six
alkaloids, which had indolealkylamine or beta-carboline skeleton, were obtained
by chromatographic technologies and identified by spectroscopic methods such as
NMR and MS. After treatment with alkaloids of P. pulchellum, the reduction of DA
levels (54.55%) and 5-HT levels (35.01%) in rat brain was observed by HPLC-FLD.
The effect of alkaloids on the monoamines metabolism was mainly related to MAO
inhibition, characterized by IC50 values of 37.35 +/- 6.41 and 126.53 +/- 5.39
MUg/mL for MAO-A and MAO-B, respectively. The acute toxicity indicated that P.
pulchellum extract was nontoxic.
PMID- 27195016
TI - Modulatory Effect of Betulinic Acid on the Genotoxicity Induced by Different
Mutagens in V79 Cells.
AB - Betulinic acid (BA) is a pentacyclic triterpene that can be isolated from many
medicinal plants around the world. The aim of this study was to evaluate the
genotoxic potential of BA and its effect on the genotoxicity induced by different
mutagens in V79 cells using the cytokinesis-block micronucleus assay. Different
BA concentrations were combined with methyl methanesulfonate (MMS), doxorubicin
(DXR), camptothecin (CPT), and etoposide (VP-16). The frequencies of micronuclei
in cultures treated with different BA concentrations did not differ from those of
the negative control. Treatment with BA and MMS resulted in lower micronucleus
frequencies than those observed for cultures treated with MMS alone. On the other
hand, a significant increase in micronucleus frequencies was observed in cultures
treated with BA combined with DXR or VP-16 when compared to these mutagens alone.
The results showed no effect of BA on CPT-induced genotoxicity. Therefore, BA was
not genotoxic under the present experimental conditions and exerted a different
influence on the genotoxicity induced by different mutagens. The modulatory
effect of BA depends on the type of mutagen and concentrations used.
PMID- 27195011
TI - Potential Therapies by Stem Cell-Derived Exosomes in CNS Diseases: Focusing on
the Neurogenic Niche.
AB - Neurodegenerative disorders are one of the leading causes of death and disability
and one of the biggest burdens on health care systems. Novel approaches using
various types of stem cells have been proposed to treat common neurodegenerative
disorders such as Alzheimer's Disease, Parkinson's Disease, or stroke. Moreover,
as the secretome of these cells appears to be of greater benefit compared to the
cells themselves, the extracellular components responsible for its therapeutic
benefit have been explored. Stem cells, as well as most cells, release
extracellular vesicles such as exosomes, which are nanovesicles able to target
specific cell types and thus to modify their function by delivering proteins,
lipids, and nucleic acids. Exosomes have recently been tested in vivo and in
vitro as therapeutic conveyors for the treatment of diseases. As such, they could
be engineered to target specific populations of cells within the CNS. Considering
the fact that many degenerative brain diseases have an impact on adult
neurogenesis, we discuss how the modulation of the adult neurogenic niches may be
a therapeutic target of stem cell-derived exosomes. These novel approaches should
be examined in cellular and animal models to provide better, more effective, and
specific therapeutic tools in the future.
PMID- 27195017
TI - Elevated gamma-glutamyl transferase is associated with subclinical inflammation
independent of cardiometabolic risk factors in an asymptomatic population: a
cross-sectional study.
AB - BACKGROUND: Serum Gamma-Glutamyl Transferase (GGT), a marker of oxidative stress,
has been suggested to be independently associated with cardiovascular disease
(CVD) events. We examined the association of serum GGT levels with the burden of
subclinical inflammation across a spectrum of metabolic conditions. METHODS: We
evaluated 5,446 asymptomatic subjects (43 +/- 10 years, 78 % males) who had an
employer-sponsored physical between 2008 and 2010. Highly sensitivity C-reactive
protein (hsCRP) was measured as a marker of underlying systemic inflammation. A
linear regression of GGT quartiles with log transformed hsCRP and a multivariate
logistic regression of GGT quartiles with elevated hsCRP (>=3 mg/L) were
performed. RESULTS: Median GGT was 31 IU/l (IQR: 22-45 IU/l), 1025 (19 %) had
hsCRP >= 3 mg/L. The median hsCRP increased with GGT quartiles (Q1: 0.9 mg/L, Q2:
1.1 mg/L, Q3: 1.4 mg/L, Q4: 1.6 mg/L, p < 0.001). Linear regression models showed
GGT in the fourth quartile was associated with 0.45 mg/L (95 % CI 0.35, 0.54, p <
0.001) increase in log transformed hsCRP adjusting for risk factors. The Odds
Ratio (OR) for an elevated hsCRP (>=3 mg/L) also increased with higher GGT
quartiles; GGT Q2 1.44 (95 % CI 1.12, 1.85), GGT Q3 1.89 (95 % CI 1.45, 2.46),
GGT Q4 2.22 (95 % CI 1.67, 2.95), compared to GGT Q1. The strength of association
increased in the presence of and combination of metabolic conditions. CONCLUSION:
In our cohort of asymptomatic individuals a higher serum GGT level was
independently associated with increased burden of subclinical inflammation across
metabolic states. These findings may explain GGT association with increased CVD
risk.
PMID- 27195018
TI - Frequency and Time Domain Analysis of Foetal Heart Rate Variability with
Traditional Indexes: A Critical Survey.
AB - Monitoring of foetal heart rate and its variability (FHRV) covers an important
role in assessing health of foetus. Many analysis methods have been used to get
quantitative measures of FHRV. FHRV has been studied in time and in frequency
domain and interesting clinical results have been obtained. Nevertheless, a
standardized definition of FHRV and a precise methodology to be used for its
evaluation are lacking. We carried out a literature overview about both frequency
domain analysis (FDA) and time domain analysis (TDA). Then, by using simulated
FHR signals, we defined the methodology for FDA. Further, employing more than 400
real FHR signals, we analysed some of the most common indexes, Short Term
Variability for TDA and power content of the spectrum bands and sympathovagal
balance for FDA, and evaluated their ranges of values, which in many cases are a
novelty. Finally, we verified the relationship between these indexes and two
important parameters: week of gestation, indicator of foetal growth, and foetal
state, classified as active or at rest. Our results indicate that, according to
literature, it is necessary to standardize the procedure for FHRV evaluation and
to consider week of gestation and foetal state before FHR analysis.
PMID- 27195019
TI - Abducted children and youth in Lord's Resistance Army in Northeastern Democratic
Republic of the Congo (DRC): mechanisms of indoctrination and control.
AB - BACKGROUND: Globally, an estimated 300,000 children under the age of 18
participate in combat situations; those in armed groups in particular suffer
prolonged exposure to psychological and physical abuse. The Lord's Resistance
Army (LRA) is a rebel movement known for its widespread conscription of children;
yet little is known about this process once the group moved beyond northern
Uganda. In this paper, we describe the processes related to abduction and
indoctrination of youth by the LRA in northeastern Democratic Republic of the
Congo ( DRC). METHODS: In-depth interviews were conducted with formerly abducted
children, their family members, community leaders, and service providers (total n
= 34) in four communities in LRA-affected areas of northeastern DRC. Inductive
coding of transcripts was undertaken to identify salient themes. RESULTS:
Informants articulated a range of practices by the LRA to exert high levels of
control over new recruits, including strict social isolation from recent
abductees; control of communication; promoting new identity formation; and
compelling children to act out strictly defined gendered roles. Witchcraft and
secrecy are used to intimidate recruits and to magnify perception of the group's
power. These methods promote de-identification with one's civilian and family
life; and eventually the assimilation of a new language and identity. CONCLUSION:
Indoctrination of newly abducted children into the LRA occurs via a complex
system of control. This study provides one of the first detailed explorations of
social and psychological mechanisms through which this is achieved, and focuses
particularly on the gendered differences in the indoctrination process. Results
support past findings that the LRA is a strategic and well-organized organization
in its approach to enlisting child soldiers. Understanding some of the ways in
which the LRA controls its recruits and the psychological impact of
indoctrination enables reintegration programs to more effectively address these
issues and serve the complex needs of formerly abducted children.
PMID- 27195020
TI - The two Rasamsonia emersonii alpha-glucuronidases, ReGH67 and ReGH115, show a
different mode-of-action towards glucuronoxylan and glucuronoxylo
oligosaccharides.
AB - BACKGROUND: The production of biofuels and biochemicals from grass-type plant
biomass requires a complete utilisation of the plant cellulose and hemicellulosic
xylan via enzymatic degradation to their constituent monosaccharides. Generally,
physical and/or thermochemical pretreatments are performed to enable access for
the subsequent added carbohydrate-degrading enzymes. Nevertheless, partly
substituted xylan structures remain after pretreatment, in particular the ones
substituted with (4-O-methyl-)glucuronic acids (UAme). Hence, alpha
glucuronidases play an important role in the degradation of UAmexylan structures
facilitating the complete utilisation of plant biomass. The characterisation of
alpha-glucuronidases is a necessity to find the right enzymes to improve
degradation of recalcitrant UAmexylan structures. RESULTS: The mode-of-action of
two alpha-glucuronidases was demonstrated, both obtained from the fungus
Rasamsonia emersonii; one belonging to the glycoside hydrolase (GH) family 67
(ReGH67) and the other to GH115 (ReGH115). Both enzymes functioned optimal at
around pH 4 and 70 degrees C. ReGH67 was able to release UAme from UAme
substituted xylo-oligosaccharides (UAmeXOS), but only the UAme linked to the non
reducing end xylosyl residue was cleaved. In particular, in a mixture of
oligosaccharides, UAmeXOS having a degree of polymerisation (DP) of two were
hydrolysed to a further extent than longer UAmeXOS (DP 3-4). On the contrary,
ReGH115 was able to release UAme from both polymeric UAmexylan and UAmeXOS.
ReGH115 cleaved UAme from both internal and non-reducing end xylosyl residues,
with the exception of UAme attached to the non-reducing end of a xylotriose
oligosaccharide. CONCLUSION: In this research, and for the first time, we define
the mode-of-action of two alpha-glucuronidases from two different GH families
both from the ascomycete R. emersonii. To date, only four alpha-glucuronidases
classified in GH115 are characterised. ReGH67 showed limited substrate
specificity towards only UAmeXOS, cleaving UAme only when attached to the non
reducing end xylosyl residue. ReGH115 was much less substrate specific compared
to ReGH67, because UAme was released from both polymeric UAmexylan and UAmeXOS,
from both internal and non-reducing end xylosyl residues. The characterisation of
the mode-of-action of these two alpha-glucuronidases helps understand how R.
emersonii attacks UAmexylan in plant biomass and the knowledge presented is
valuable to improve enzyme cocktails for biorefinery applications.
PMID- 27195023
TI - AutoDock VinaXB: implementation of XBSF, new empirical halogen bond scoring
function, into AutoDock Vina.
AB - BACKGROUND: Halogen bonding has recently come to play as a target for lead
optimization in rational drug design. However, most docking program don't account
for halogen bonding in their scoring functions and are not able to utilize this
new approach. In this study a new and improved halogen bonding scoring function
(XBSF) is presented along with its implementation in the AutoDock Vina molecular
docking software. This new improved program is termed as AutoDock VinaXB, where
XB stands for the halogen bonding parameters that were added. RESULTS: XBSF
scoring function is derived based on the X...A distance and C-X...A angle of
interacting atoms. The distance term was further corrected to account for the
polar flattening effect of halogens. A total of 106 protein-halogenated ligand
complexes were tested and compared in terms of binding affinity and docking poses
using Vina and VinaXB. VinaXB performed superior to Vina in the majority of
instances. VinaXB was closer to native pose both above and below 2 A deviation
categories almost twice as frequently as Vina. CONCLUSIONS: Implementation of
XBSF into AutoDock Vina has been shown to improve the accuracy of the docking
result with regards to halogenated ligands. AutoDock VinaXB addresses the issues
of halogen bonds that were previously being scored unfavorably due to repulsion
factors, thus effectively lowering the output RMSD values.
PMID- 27195022
TI - Examination of the effects of Campylobacter concisus zonula occludens toxin on
intestinal epithelial cells and macrophages.
AB - BACKGROUND: Campylobacter concisus is a Gram-negative bacterium that is
associated with inflammatory bowel disease (IBD). Some C. concisus strains carry
zonula occludens toxin (zot) gene which has polymorphisms. This study
investigated the effects of C. concisus Zot on intestinal epithelial cells and
macrophages using cell line models. METHODS: Campylobacter concisus zot (808T)
gene, a polymorphism that is associated with active IBD, was cloned and expressed
in Escherichia coli. The effects of C. concisus Zot on intestinal epithelial
barrier were examined using Caco-2 cell model. Apoptosis induced by C. concisus
Zot in Caco-2 cells was assessed by measuring the levels of caspase 3/7. The
production of pro-inflammatory cytokines induced by C. concisus Zot in HT-29
cells and in THP-1 macrophage-like cells was measured using ELISA kits. Whether
exposure to C. concisus Zot can affect the responses of macrophages to E. coli
K12 was also investigated. RESULTS: Campylobacter concisus Zot caused prolonged
intestinal epithelial barrier damage, induced intestinal epithelial cell
apoptosis, induced epithelial production of TNF-alpha and IL-8 and upregulated
TNF-alpha in THP-1 macrophage-like cells. Pre-exposure to C. concisus Zot
significantly enhanced the production of TNF-alpha and IL-8 as well as
phagocytosis by THP-1 macrophage-like cells in response to E. coli K12.
CONCLUSION: This study suggests that C. concisus Zot may have enteric pathogenic
potential by damaging intestinal epithelial barrier, inducing intestinal
epithelial and macrophage production of proinflammatory cytokines in particular
TNF-alpha and enhancing the responses of macrophages to other enteric bacterial
species.
PMID- 27195021
TI - Setdb1-mediated H3K9 methylation is enriched on the inactive X and plays a role
in its epigenetic silencing.
AB - BACKGROUND: The presence of histone 3 lysine 9 (H3K9) methylation on the mouse
inactive X chromosome has been controversial over the last 15 years, and the
functional role of H3K9 methylation in X chromosome inactivation in any species
has remained largely unexplored. RESULTS: Here we report the first genomic
analysis of H3K9 di- and tri-methylation on the inactive X: we find they are
enriched at the intergenic, gene poor regions of the inactive X, interspersed
between H3K27 tri-methylation domains found in the gene dense regions. Although
H3K9 methylation is predominantly non-genic, we find that depletion of H3K9
methylation via depletion of H3K9 methyltransferase Set domain bifurcated 1
(Setdb1) during the establishment of X inactivation, results in failure of
silencing for around 150 genes on the inactive X. By contrast, we find a very
minor role for Setdb1-mediated H3K9 methylation once X inactivation is fully
established. In addition to failed gene silencing, we observed a specific failure
to silence X-linked long-terminal repeat class repetitive elements. CONCLUSIONS:
Here we have shown that H3K9 methylation clearly marks the murine inactive X
chromosome. The role of this mark is most apparent during the establishment phase
of gene silencing, with a more muted effect on maintenance of the silent state.
Based on our data, we hypothesise that Setdb1-mediated H3K9 methylation plays a
role in epigenetic silencing of the inactive X via silencing of the repeats,
which itself facilitates gene silencing through alterations to the conformation
of the whole inactive X chromosome.
PMID- 27195024
TI - The second decade.
PMID- 27195025
TI - A structured approach to the assessment of a floppy neonate.
AB - Hypotonia in a newborn presents a diagnostic challenge for clinicians. It is an
important clinical feature that may indicate an underlying systemic illness or
neurological problem at the level of the central or peripheral nervous system. It
is important to know the different presentations of hypotonia and to have the
knowledge of the diagnostic work up which requires multidisciplinary assessment
and input and the prognostic implications of these disorders. This review article
presents a structured approach highlighting initial assessment, examination, and
management of a neonate with generalized hypotonia.
PMID- 27195026
TI - Neonatal cranial sonography: A concise review for clinicians.
AB - Cranial sonography continues to hold an important place in neonatal care.
Attributes favorable to sonography that make it almost indispensable for routine
care of the newborn includes easy access, low cost, portability, lack of ionizing
radiations and exemption from sedation or anaesthesia. Cranial sonography has
highest impact in neonates suspected to have meningitis and its complications;
perinatal ischemia particularly periventricular leukomalacia (PVL); hydrocephalus
resulting from multitude of causes and hemorrhage. Not withstanding this, cranial
sonography has yielded results for a repertoire of indications. Approach to
cranial sonography involves knowledge of the normal developmental anatomy of
brain parenchyma for correct interpretation. Correct technique, taking advantage
of multiple sonographic windows and variable frequencies of the ultrasound probes
allows a detailed and comprehensive examination of brain parenchyma. In this
review, we discuss the technique, normal and variant anatomy as well as disease
entities of neonatal cranial sonography.
PMID- 27195027
TI - Proatlas segmentation anomalies: Surgical management of five cases and review of
the literature.
AB - OBJECTIVE: Proatlas segementation anomalies are due to defective re-segmentation
of the proatlas sclerotome. These anomalies of the craniovertebral junction are
rare and have multiple presentations. The aim of this study is to report this
author's personal experience in managing five of these patients with different
radiological findings necessitating different surgical strategies and to provide
a brief review of the relevant literature. MATERIALS AND METHODS: Five patients,
all in the second decade of life were treated between 2010 and 2013. There were
three males and two females. All the patients presented with spastic
quadriparesis and/or cerebellar signs. Patients underwent plain radiographs, MRI
and CT of the craniovertebral junction. CT of the cranioveretebral junction was
the key to the diagnosis of this anomaly. Postoperatively, patients were assessed
with plain radiographs and CT in all patients and MRI in one. RESULTS: Two
patients underwent craniovertebral realignment with occipitocervical fixation,
two patients underwent C1-C2 fixation using Goel-Harms technique and one patient
underwent craniovertebral realignment with C1-C2 fixation using spacers in the
atlanatoaxial joint and foramen magnum decompression. All patients improved
during follow up. CONCLUSIONS: Proatlas segmentation defects are rare anomalies
of the craniovertebral junction. Routine use of thin section CT of the
craniovertebral junction and an awareness of this entity and its multivarious
presentations are necessary for clinicians dealing with abnormalities of the
craniovertebral junction.
PMID- 27195028
TI - Adolescent prolapsed lumbar intervertebral disc: Management strategies and
outcome.
AB - OBJECTIVE: Lumbar intervertebral disc herniation (LIVDH) is rare in children and
adolescents when compared to adults. In literature, children generally constitute
around 0.5-3% of surgically treated LIVDH. Though much rarer, they are less
likely to respond to conservative treatment than adults. In this study, we
analyze our experience in the management of adolescent LIVDH (ALIVDH) (age group
12-18 years) including the demographic, clinico-radiological features; surgical
management strategies and outcome. MATERIALS AND METHODS: This retrospective
analysis constituted all patients between 12 and 18 years, who underwent surgery
for LIVDH at our institute over a period of 15 years from January 1999 to June
2014. The records of these patients were retrieved, and demographic features,
clinical picture, radiological features, operative findings, and postoperative
events were evaluated. Follow-up data were obtained either through direct
clinical evaluation or mailed self-report questionnaire and telephone
conversations. The long-term outcome was analyzed by using standardized and
condition specific outcome scales in addition to routine clinical follow-up
evaluation. The long-term outcome was analyzed by using the short form-36 (SF
36). RESULTS: There were a total of 32 patients (26 males, eight females) with an
average age of 15.64 years. Trauma was a significant etiological factor 57.14% (n
= 16/28). Vertebral anomalies were present in 35.7% (n = 10/28) cases. Majority
had a neurological deficit at presentation (n = 20/28). The most commonly
involved level was the L4-L5 level (n = 18/128) in this series. Multiple level
disc degeneration was present in eight patients (28.6%). Immediate postoperative
relief was achieved in all but one patient. At long-term follow-up twenty
patients were pain-free (71.4%). At follow-up, the physical functioning scale of
SF-36 was significantly lower in patients with gross motor deficit prior to
surgery. CONCLUSIONS: Early diagnosis and adequate management contribute to a
good outcome. In our study, trauma and presence of preexisting vertebral
anomalies were significant factors in the etiogenesis of ALIVDH.
PMID- 27195029
TI - Effect of therapeutic hypothermia on chromosomal aberration in perinatal
asphyxia.
AB - INTRODUCTION: Perinatal asphyxia is a major cause for neonatal mortality and
morbidity around the world. The reduction of O2 results in the generation of
reactive oxygen species which interact with nucleic acid and make alteration in
the structure and functioning of the genome. We studied the effect of therapeutic
hypothermia on chromosomes with karyotyping. SUBJECTS AND METHODS: Babies in the
hypothermia group were cooled for the first 72 h, using gel packs. Rectal
temperature of 33-34 degrees C was maintained. Blood sample was collected after
completion of therapeutic hypothermia for Chromosomal analysis. It was done with
IKAROS Karyotyping system, Metasystems, based on recommendations of International
system of human cytogenetic nomenclature. RESULTS: The median chromosomal
aberration was lower in hypothermia [2(0-5)] than control group [4(1-7)] and
chromatid breakage was commonest aberration seen. Chromosomal aberration was
significantly higher in severe encephalopathy group than moderate encephalopathy
group. CONCLUSION: We conclude that the TH significantly reduces DNA damage in
perinatal asphyxia.
PMID- 27195030
TI - Pediatric interhemispheric arachnoid cyst: An institutional experience.
AB - BACKGROUND: Interhemispheric arachnoid cysts (IHACs) are a rare type of
congenital arachnoid cyst accounting for <5% of all cases. The optimum surgical
management of symptomatic IHAC is still controversial, and there are no clear
guidelines. MATERIALS AND METHODS: Retrospective analysis of six pediatric
patients of IHAC operated from 2012 to 2015 at our institute. There was
definitive sex predisposition with all patients being males. Endoscopic
cystoventriculostomy, cystocisternostomy, and cystoperitoneal shunt surgeries
were performed in three patients each. RESULTS: The median age at presentation
was 13 months. The most common clinical presentations were macrocrania with
rapidly increasing head size, seizures, infantile spasms, and developmental
delay. The mean duration of follow-up was 24.16 months (range: 3-36 months). Cyst
size decreased in all the patients on follow-up imaging. Head size stabilized in
all the patients. None of the patients required a second surgical procedure till
the last follow-up. CONCLUSIONS: Both endoscopic cyst fenestration and shunt
surgery are safe and effective in management of IHAC.
PMID- 27195031
TI - Outcome of ventriculoperitoneal shunt surgery, without prior placement of
external ventricular drain in Grades III and IV patients of tubercular meningitis
with hydrocephalus: A single institution's experience in the pediatric population
and review of literature.
AB - OBJECTIVE: Hydrocephalus is a most common complication of tubercular meningitis
(TBM). Relieving hydrocephalus by ventriculoperitoneal shunt (VPS) placement has
been considered beneficial in patient in Palur Grades II or III. The role of VPS
placement in those of Grades III and IV is controversial, and general tendency is
to avoid its use. Some authors have suggested that patient in Grades III and IV
should receive a shunt only if their condition improves with a trial placement of
external ventricular drain (EVD). However, recent studies suggest that VPS may be
undertaken without the trial of an EVD. Our study prospectively evaluates the
role of direct VPS placement in patient in Grades III and IV TBM with
hydrocephalus (TBMH). MATERIALS AND METHODS: This study was carried out on 50
consecutive pediatric patients of TBMH in Palur Grades III and IV from July 2013
to December 2014 in R.N.T. Medical College and M.B. Hospital, Udaipur, Rajasthan.
All patients underwent direct VPS placement, without prior placement of EVD. The
outcome was assessed at the end of 3 months using Glasgow Outcome Score. RESULTS:
The mean age of patients was 3.25 years (range, 3 months-14 years). Forty (80%)
patients were in Grade III, and 10 (20%) were in Grade IV. Good outcome and
mortality in Grade IV patients were 30% (3/10) and 10% (1/10), respectively;
whereas in Grade III patients, it was 77.5% (31/40) and 0% (0/40), respectively.
Twenty-five patients presented with focal neurological deficit at admission,
which persisted in only 14 patients at 3 months follow-up. VPS-related
complications were observed in 5 (10%) patients. CONCLUSIONS: This study
demonstrates that direct VPS surgery could improve the outcome of Grades III and
IV TBMH. Despite poor grade at admission, 80% patients in Grade III and 20%
patients in Grade IV had a good outcome at 3 months follow-up. Direct VPS
placement is a safe and effective option even in a patient in Grades III and IV
grade TBMH with a low complication rate.
PMID- 27195032
TI - Transnasal endoscopic repair of pediatric meningoencephalocele.
AB - INTRODUCTION: Encephaloceles in relation to the nose are rare lesions affecting
the skull base. In the pediatric population, majority are congenital lesions
manifesting as nasal masses requiring surgical intervention. MATERIALS AND
METHODS: A retrospective study of 6 consecutive patients below 12 years of age
with intranasal meningoencephalocele treated by endonasal endoscopic approach at
our tertiary centre was done. The follow up period ranged from 6 months to 2
years. A detailed clinical and radiological evaluation of these cases was done.
Endonasal endoscopic repair (gasket seal/fat plug) was carried out in all cases.
RESULTS: Out of 6 patients, 4 patients had post-traumatic and rest 2 cases had
congenital meningo-encephaloceles. All patients were asymptomatic in post
operative follow up period. One patient had minor complication of nasal alar
collapse due to intra-operative adherence of encephalocele to cartilaginous
framework. CONCLUSION: Transnasal endoscopic repair of anterior skull base
meningoencephalocele is a minimally invasive single stage surgery, and has
advantage in terms of lesser hospital stay, cost of treatment, and better
cosmesis. The repair technique should be tailored to the size of defect to
provide a water-tight seal for better outcome.
PMID- 27195033
TI - A prospective study of magnetic resonance imaging patterns of central nervous
system infections in pediatric age group and young adults and their clinico
biochemical correlation.
AB - BACKGROUND: Infections of the central nervous system (CNS) are common and
routinely encountered. Our aim was to evaluate the neuroimaging features of the
various infections of the CNS so as to differentiate them from tumoral, vascular,
and other entities that warrant a different line of therapy. AIMS: Our aim was to
analyze the biochemical and magnetic resonance imaging (MRI) features in CNS
infections. SETTINGS AND DESIGN: This was a longitudinal, prospective study over
a period of 11/2 years. SUBJECTS AND METHODS: We studied cerebrospinal fluid
(CSF) findings and MRI patterns in 27 patients of 0-20 years age group with
clinical features of CNS infections. MRI was performed on MAGNETOM Avanto 18
Channel 1.5 Tesla MR machine by Siemens India Ltd. The MRI protocol consisted of
diffusion-weighted and apparent diffusion coefficient imaging, turbo spin echo T2
weighted, spin echo T1-weighted, fluid-attenuated inversion recovery (FLAIR), and
gradient-echo in axial, FLAIR in coronal, and T2-weighted in sagittal plane.
Contrast-enhanced T1-weighted sequence and MR spectroscopy were done whenever
indicated. RESULTS AND CONCLUSIONS: We found that most of the children belong to
1-10 years age group. Fungal infections were uncommon, mean CSF adenosine
deaminase values specific for tuberculosis and mean CSF glucose-lowered in
pyogenic. Hemorrhagic involvement of thalamus with/without basal ganglia and
brainstem involvement may indicate Japanese encephalitis or dengue encephalitis.
Diffusion restriction or hemorrhage in not expected in the brainstem afflicted
lesions of rabies. Congenital cytomegalovirus can cause cortical malformations.
T1 hyperintensities with diffusion restriction may represent viral encephalitis.
Lesions of acute disseminated encephalomyelitis (ADEM) may mimic viral
encephalitis. Leptomeningeal enhancement is predominant in pyogenic meningitis.
Basilar meningitis in the presence of tuberculomas is highly sensitive and
specific for tuberculosis.
PMID- 27195034
TI - Spontaneous extradural hemorrhage due to Langerhans cell histiocytosis of the
skull in a child: A rare presentation.
AB - Eosinophilic granuloma (EG) represents a local form of Langerhans cell
histiocytosis that occurs mostly in children. It usually presents with a
gradually enlarging painless skull mass, and rarely presents a rapid clinical
deterioration. This 7-year-old boy who was diagnosed with EG, based on a magnetic
resonance imaging scan, after presenting with a painless right parietal swelling
of 7-week duration. Three weeks prior his scheduled surgery, he presented to the
emergency department with a 2-day history of sudden increased of the subcutaneous
swelling associated with a headache, vomiting, and decreased the level of
consciousness; there was no history of trauma. Brain computed tomography revealed
a right parietal bone defect with large subgaleal and extradural hematoma. He
underwent emergent surgical excision of the skull lesion and evacuation of the
hematoma. Histopathological examination confirmed the diagnosis of EG. We aim to
raise the awareness of physicians of this rare spontaneous hemorrhagic
complication of EG and review the literature.
PMID- 27195035
TI - Juvenile amyotrophic lateral sclerosis: Classical wine glass sign on magnetic
resonance imaging.
AB - Amyotrophic lateral sclerosis (ALS), also known as Lou Gehrig disease, is a
chronic degenerative neurologic disease and is characterized by the selective
involvement of the motor system. Usually, patients present with upper motor
neuron (UMN) and lower motor neuron compromise. Degeneration of the UMN in the
cerebral cortex is one of the main pathologic changes in ALS. These changes
usually affect corticospinal tracts leading to degeneration of the fibers which
show characteristic hyperintensities along the tracts leading to the "wine glass
sign." Patients with ALS usually present in the sixth decade of life;
presentation in pediatric age in the form of juvenile ALS being rare.
PMID- 27195036
TI - Rare clinical presentation of diffuse large B-cell lymphoma as otitis media and
facial palsy.
AB - Extra nodal presentation of Non Hodgkins Lymphoma (NHL) is a rare entity, and
data available about the NHL that primarily involves of middle ear and mastoid is
limited. We report a case of diffuse large B cell lymphoma (DLBCL), in a 2 year 8
month old boy, who developed otalgia and facial palsy. Computed tomography
revealed a mass in the left mastoid. Mastoid exploration and histopathological
examination revealed DLBCL. This case highlights the importance of considering
malignant lymphoma as one of the differential diagnosis in persistent otitis
media and/facial palsy.
PMID- 27195037
TI - Uncommon presentation of choroid plexus papilloma in an infant.
AB - Choroid plexus tumors are relatively rare primary brain tumors that arise from
the epithelial differentiated tissue, majority being well-differentiated
papillomas. In adults, fourth ventricle and in children, lateral ventricles are
the most common site of these tumors. We reported a case of choroid plexus
papilloma in the temporal horn of lateral ventricle in a female child who
presented with the uncommon symptoms of sudden intraventricular hemorrhage and
multiple episodes of seizure without symptoms of raised intracranial tension.
PMID- 27195038
TI - Atypical rhabdoid tumor of lateral ventricle: Report of an unusual tumor.
AB - Supratentorial atypical teratoid rhabdoid tumors (AT/RTs) of infancy and
childhood are rare, highly malignant neoplasms, most common in the first 2 years
of life. In spite of multiple treatment regimens consisting of surgical
resection, radiation therapy, and multi-agent chemotherapy, the prognosis is very
poor. The majority of these tumors are located in the cerebellum,
cerebellopontine angle, pineal gland, spinal cord, and the suprasellar region;
supratentorial location is relatively uncommon, and the intraventricular location
is extremely rare. We report a rare case of AT/RT arising in the lateral
ventricle in a 4-year-old patient.
PMID- 27195039
TI - Sjogren-Larsson syndrome: A rare neurocutaneous disorder.
AB - Sjogren-Larsson syndrome is an autosomal recessive disorder characterized by
defective activity of fatty aldehyde dehydrogenase. It presents as a triad of
congenital ichthyosis, spastic diplegia, and mental retardation. The pathology
behind this syndrome is the failure of degradation of fatty aldehydes. This case
is presented for its rarity.
PMID- 27195040
TI - Guillain-Barre syndrome with hyperreflexia and bilateral papillitis in a child.
AB - Guillain-Barre syndrome (GBS) is an acute inflammatory polyneuropathy
characterized by rapidly progressive symmetric weakness, and areflexia. Areflexia
is necessary for the diagnosis of GBS. However, recently there have been studies
of hyperreflexia with axonal neuropathy form of GBS. We report a 14-year-old boy
with GBS, who presented with hyperreflexia and bilateral papillitis. To the best
of our knowledge, this is the first pediatric patient presenting with papillitis
and hyperreflexia with acute motor and sensory axonal neuropathy form of GBS.
PMID- 27195041
TI - The maladies of malabsorption.
AB - Malabsorption syndrome (MAS) is a common condition in India. In Indian adults,
tropical sprue and celiac disease are leading causes of MAS. Sometimes, the
diagnosis of MAS may pose a challenge due to the varied signs and symptoms. We
present a case of MAS in a young female, whose presenting symptoms were mainly
neurological. She was successfully treated under regular follow-up for the past 6
years without any symptoms.
PMID- 27195042
TI - Distal cord-predominant longitudinally extensive myelitis with diffuse spinal
meningitis and dural abscesses due to occult tuberculosis: A rare occurrence.
AB - Tuberculous myelitis usually involves thoracic and only rarely, distal cord.
Longitudinal lesions more than three spinal segments long in tuberculosis (TB)
are usually due to intramedullary tuberculomas and not infectious myelitis. We
report a 17-year-old male with acute myelitis from D7 to conus medullaris,
diffuse spinal meningitis, subdural and epidural abscesses, normal vertebrae,
intervertebral discs, and brain imaging. Cerebrospinal fluid (CSF) showed raised
proteins, lymphocytosis, hypoglycorrhagia, and positive TB-polymerase chain
reaction. Chest X-ray was normal, and sputum was negative for acid-fast Bacilli.
Chest computed tomography (CT) revealed endobronchial TB. The patient was
successfully treated with antitubercular drugs and steroids. In endemic areas, a
high index of suspicion should be kept for TB in patients with myelitis,
especially those with spinal abscesses and a suggestive CSF report. In selected
cases, there may be a role of CT scan inspite of normal X-ray.
PMID- 27195043
TI - Attention deficit hyperactivity disorder presenting as dermatitis artefacta.
AB - Dermatitis artefacta, a self-inflicted intentional dermatosis is a very rare
diagnosis in childhood. In a large proportion, the underlying psychiatric
disorders go unidentified due to lack of collaboration between dermatologist and
psychiatrist. The underlying psychological reasons for childhood dermatitis
artefacta include emotional distress and interpersonal conflicts. A multitude of
psychosocial factors interact to precipitate this disorder. Here, we report a
child with dermatitis artefacta who was diagnosed with attention deficit
hyperactivity disorder during psychiatric evaluation. Parental expectations and
sibling rivalry were further increasing the stress of the index child.
Appropriate diagnosis and management lead to treatment compliance and functional
improvement in the child.
PMID- 27195044
TI - Warburg micro syndrome in siblings from India.
AB - Warburg syndrome is a rare disorder characterized by microcephaly, microcornea,
congenital cataract, developmental delay, and hypogonadism. Here, we report two
siblings from India who presented with developmental delay, microcornea,
microphthalmia, and bilateral congenital cataracts, born to the third-degree
consanguineously married couple. Both children had hypoplasia of corpus callosum.
In this report, we aim to highlight and compare clinical features of these two
cases with previously reported cases.
PMID- 27195045
TI - Tuberculous meningitis sequelae as basal cisternal calcifications.
PMID- 27195046
TI - Magnetic resonance imaging and magnetic resonance spectroscopy in Salmonella
meningoencephalitis.
PMID- 27195047
TI - Primary amebic meningoencephalitis: Summarization on cases with early diagnosis
by identification of amebae trophozoite in the cerebrospinal fluid.
PMID- 27195048
TI - Ventriculitis and hydrocephalus with hypoglycorrhachia in congenital toxoplasma
infection.
PMID- 27195049
TI - Ethmocephaly: A rare cephalic disorder.
PMID- 27195050
TI - LGBT in the Military: Policy Development in Sweden 1944-2014.
AB - This article contributes to the growing field of research on military LGBT policy
development by exploring the case of Sweden, a non-NATO-member nation regarded as
one of the most progressive in terms of the inclusion of LGBT personnel. Drawing
on extensive archival work, the article shows that the story of LGBT policy
development in the Swedish Armed Forces from 1944 to 2014 is one of long periods
of status quo and relative silence, interrupted by leaps of rapid change,
occasionally followed by the re-appearance of discriminatory policy. The analysis
brings out two periods of significant change, 1971-1979 and 2000-2009, here
described as turns in LGBT policy. During the first turn, the military medical
regulation protocol's recommendation to exempt gay men from military service was
the key issue. During these years, homosexuality was classified as mental
illness, but in the military context it was largely framed in terms of security
threats, both on a national level (due to the risk of blackmail) and for the
individual homosexual (due to the homophobic military environment). In the second
turn, the focus was increasingly shifted from the LGBT individual to the
structures, targeting the military organization itself. Furthermore, the analysis
shows that there was no ban against LGBT people serving in the Swedish Armed
Forces, but that ways of understanding and regulating sexual orientation and
gender identity have nonetheless shaped the military organization in fundamental
ways, and continue to do so.
PMID- 27195051
TI - They called it the 'abominable crime': an analysis of heterosexual support for
anti-gay laws in Barbados, Guyana and Trinidad and Tobago.
AB - The aim of this study was to evaluate support for current buggery/sodomy laws in
three Caribbean countries-Barbados, Guyana and Trinidad and Tobago. To complete
this task, data from the 2013 Caribbean Development Research Services (CADRES)
'Attitudes towards homosexuals' surveys were employed. The data analysis revealed
that a majority of heterosexuals in the sample generally supported the
maintenance and enforcement of the anti-gay laws, and the main predictors of said
support were race, country of residence, religiosity, interpersonal contact and
beliefs about the origins of homosexuality.
PMID- 27195052
TI - Stage I and II Stress Incontinence (SIC): High dosed vitamin D may improve
effects of local estriol.
AB - After the age of 55 almost every third woman suffers from conditions of the
incapability to retain urine when the intra-abdominal pressure is raised by
different causes. So called stress incontinence. It' s caused by a predisposition
in the family, weakness of the tissue, physical strain, deficiency in the
metabolism, especially an increasing local estrogen deficiency and a local and
systemic vitamin D deficiency. PATIENTS: We evaluated the data of 60 meno- and
postmenopausal female patients with a stress incontinence (SIC). All had a SIC in
spite of a former local estriol treatment with a treatment of OeKolp(r) forte (=
0.5 mg estriol/ov), 3 times a week, for 6 weeks and in spite of a regular pelvic
floor exercise for 6 weeks in the morning and in the evening, according to the
protocol. Thirty were in stage I SIC and 30 were in stage II SIC. METHOD: We
evaluated vitamin-D-levels in serum of our 60 postmenopausal women. Only 20% of
this group had good vitamin D-levels. The medical intervention combined estriol
(0.5 mg) together with high dosed vitamin D (12.500 I.U.) locally 3 times a week
for a period of 6 weeks. The patients also had the instruction to continue their
daily exercises in pelvic floor (morning and evening, due to their protocol).
After six weeks of treatment the vitamin D level in serum was defined and
correlated to the patients condition (symptomatic of stress incontinence,
protocol of micturitions, Pad-test). RESULTS: About one-third of women from our
test assigned to be now capable of retaining urine. More than one-third of our
patients cleared a profit of treatment. They reported mimimum regression about
25% of volume of incontinence. Therefore more than 2-third of our women being
incapable of retaining urine improved their body conditions by using a
combination of locally administered etriol and high dosed vitamin D. CONCLUSION:
Stress incontinence (being incapable of retaining urine when the intra-abdominal
pressure arises) in lower and middle grade, improves their body conditions under
a combination of local administered estriol and vitamin D. This small study is
not representative. We need much bigger studies with much more dates and with a
follow up.
PMID- 27195053
TI - Local corticosterone activation by 11beta-hydroxysteroid dehydrogenase 1 in
keratinocytes: the role in narrow-band UVB-induced dermatitis.
AB - Keratinocytes are known to synthesize cortisol through activation of the enzyme
11beta-hydroxysteroid dehydrogenase 1 (11beta-HSD1). To confirm the function of
11beta-HSD1 in keratinocytes during inflammation in vivo, we created keratinocyte
specific-11beta-HSD1 knockout mice (K5-Hsd11b1-KO mice) and analyzed the response
to narrow-band ultraviolet B (NB-UVB) irradiation. Firstly, we measured the mRNA
and protein levels of 11beta-HSD1 following NB-UVB irradiation and found that the
expression of 11beta-HSD1 in keratinocytes of mouse ear skin was enhanced at 3
and 24 hours after 250 mJ/cm(2), 500 mJ/cm(2), 1 J/cm(2), and 2 J/cm(2) NB-UVB
irradiation. Next, we determined that 24 hours after exposure to 1 J/cm(2) NB-UVB
irradiation, the numbers of F4/80-, CD45-, and Gr-1-positive cells were increased
in K5-Hsd11b1-KO mice compared to wild type (WT) mice. Furthermore, the
expression of the chemokine (C-X-C-motif) ligand 1 (CXCL1) and interleukin (IL)-6
was also significantly enhanced in NB-UVB-irradiated K5-Hsd11b1-KO mice compared
with WT mice. In addition, activation of nuclear factor-kappa B (NF-kappaB) after
NB-UVB irradiation was enhanced in K5-Hsd11b1-KO mice compared to that in WT
mice. Thus, NB-UVB-induced inflammation is augmented in K5-Hsd11b1-KO mice
compared with WT mice. These results indicate that 11beta-HSD1 may suppress NB
UVB-induced inflammation via inhibition of NF-kappaB activation.
PMID- 27195054
TI - TNF-alpha increases the expression and activity of vitamin D receptor in
keratinocytes: role of c-Jun N-terminal kinase.
AB - Several inflammatory mediators increase calcitriol production by epidermal
keratinocytes. In turn calcitriol attenuates the keratinocyte inflammatory
response. Since the effect of the in-situ generated calcitriol depends also on
the sensitivity to the hormone we studied the effect of inflammatory cytokines on
the response of HaCaT human keratinocytes to calcitriol by examining the
expression and transcriptional activity of VDR. Treatment with TNF, but not with
IL-1beta or interferon gamma, increased VDR protein level, while decreasing the
level of its heterodimerization partner RXRalpha. This was associated with
increased VDR mRNA levels. c-Jun N-terminal kinase, but not P38 MAPK or NFkappaB,
was found to participate in the upregulation of VDR by TNF. The functional
significance of the modulation of VDR and RXRalpha levels by TNF is manifested by
increased induction of VDR target gene CYP24A1 by calcitriol. Calcitriol, in
turn, inhibited the enhanced expression of VDR by TNF. In conclusion, the
inflammatory cytokine TNF increases the response of keratinocytes to calcitriol
through upregulation of its receptor VDR, which in turn is subject to negative
feedback by the hormone accelerating the return of the keratinocyte vitamin D
system to its basal activity. We surmise that the increased generation and
sensitivity to calcitriol in keratinocytes play a role in the resolution of
epidermal inflammation.
PMID- 27195058
TI - A note on the falsification of the ionic theory of hair cell transduction.
PMID- 27195057
TI - Insights, attitudes, and perceptions about asthma and its treatment: a
multinational survey of patients from Europe and Canada.
AB - BACKGROUND: Asthma surveys completed within the past 10 years in the Americas and
the Asia-Pacific region have shown significant underassessment of asthma severity
in addition to undertreatment of asthma and have suggested the need to improve
long-term asthma management. In this study, we examined the frequency of asthma
symptoms and severe episodes, patients' perceived asthma control, and use of
asthma medications in Europe and Canada. METHODS: The Asthma Insight and
Management survey (54 questions) was conducted in Europe (Germany, Italy, Spain
and the United Kingdom) and Canada from June 14 through July 28, 2010. Telephone
interviews were conducted with randomly screened patients or parents of
adolescents (aged 12-17 years) with asthma; patients younger than 12 years of age
were excluded from the survey. Responses were reported separately for each
country and in total for all five countries. RESULTS: Seventy-five thousand three
hundered thirty-five households were screened, and 2003 patients were
interviewed. The survey respondents represented a wide range of severity.
Overall, 26 % of patients reported symptoms daily or most days over the past 4
weeks, but most patients (81 %) perceived their asthma to be well or completely
controlled. Over the past year, 41 % of patients had episodes of frequent/severe
symptoms, and 50 % reported acute treatment (e.g. hospitalization, emergency
visit, unscheduled physician visit) for asthma. Across countries, 52 % of
patients reported taking controller medication every day over the past year, 27 %
reported not taking any controller medication, and 14 % reported stopping
controller treatment for 3 months or longer the last time they stopped. Many
patients considered asthma well controlled if each year they had only two urgent
doctor visits (50 %), three or four exacerbations (60 %), and/or one emergency
room visit (41 %). DISCUSSION: This is the largest survey of patients with asthma
in Europe and Canada in more than a decade. CONCLUSION: In 2010, many surveyed
patients in Europe and Canada reported features indicating uncontrolled asthma,
yet the majority believed they were well controlled, indicating that they had low
expectations of long-term asthma management. Use of controller medications was
substantially less than recommended in treatment guidelines.
PMID- 27195056
TI - Solar radiation and the incidence and mortality of leading invasive cancers in
the United States.
AB - Invasive cancer risk is inversely related to ultraviolet light exposure. This
study explores relationships between cancer and the satellite-derived sunlight
energy. We obtained the North America Land Data Assimilation System (NLDAS) daily
average sunlight for the continental United States from 1999-2011. US Cancer
Statistics age-adjusted-incidence and mortality was also obtained from the
Centers for Disease Control and Prevention (CDC). We found that cancer incidence
for all invasive cancers and for 11 of 22 leading cancers significantly decreased
with increased solar radiation. Cancer mortality for all invasive cancers was not
significantly associated with solar radiation, but for 7 of 22 leading cancers,
including cancers of the uterus, leukemias, lung, ovary, and urinary bladder,
increased solar radiation predicted decreased mortality. With increasing solar
radiation, increased incidence and cancer mortality was observed for liver cancer
and increased incidence but not mortality was observed for cervical cancer. The
current study confirms studies relating UV radiation to the incidence and
mortality of a variety of cancer types. We find associations between solar
radiation energy and the incidence and mortality of a number of types of cancers.
PMID- 27195059
TI - Desire-state attribution: Benefits of a novel paradigm using the food-sharing
behavior of Eurasian jays (Garrulus glandarius).
AB - In recent years, we have investigated the possibility that Eurasian jay food
sharing might rely on desire-state attribution. The female's desire for a
particular type of food can be decreased by sating her on it (specific satiety)
and the food sharing paradigm can be used to test whether the male's sharing
pattern reflects the female's current desire. Our previous findings show that the
male shares the food that the female currently wants. Here, we consider 3 simpler
mechanisms that might explain the male's behavior: behavior reading, lack of self
other differentiation and behavioral rules. We illustrate how we have already
addressed these issues and how our food sharing paradigm can be further adapted
to answer outstanding questions. The flexibility with which the food sharing
paradigm can be applied to rule out alternative mechanisms makes it a useful tool
to study desire-state attribution in jays and other species that share food.
PMID- 27195060
TI - Novel mRNA-silencing bodies at the synapse: A never-ending story.
AB - Several cellular responses depend on translational regulation and in most cases,
this involves the formation of cytoplasmic granules that contain repressed mRNAs.
In neurons, numerous mRNAs travel along dendrites to be locally regulated upon
synapse activity and we have recently shown that the exoribonuclease XRN1 forms
dynamic aggregates at the post synapse that respond to specific stimuli.(1) These
foci were termed SX-bodies and are distinct from stress granules (SGs),
processing bodies (PBs) and other RNA granules previously described. Together
with Smaug1-foci and FMRP-granules, the SX-bodies contribute to dynamically shape
the transcriptome available for translation at the post-synapse.
PMID- 27195061
TI - Smaug variants in neural and non-neuronal cells.
AB - Mammalian Smaug1/Samd4a is an mRNA regulator involved in synapse plasticity and
additional non-neuronal functions. Here we analyzed the expression of
Smaug1/Samd4a variants and Smaug2/Samd4b in primary hippocampal neurons and non
neuronal cell lines. We found that multiple Smaug proteins are present in several
mammalian cell lines, including a canonical full length Smaug1, a Smaug1 variant
that lacks the third exon, termed DeltaEIII, and Smaug2, the product of a highly
homologous gene. These three major isoforms are expressed differentially along
neuron development and form cytosolic bodies when transfected in cell lines. By
using luciferase reporters, we found that the DeltaEIII isoform, which lacks 10
amino acids in the sterile alpha motif involved in RNA binding, shows a RNA
binding capacity and repressor activity comparable to that of the full length
Smaug1. These observations are an important groundwork for molecular studies of
the Smaug post-transcriptional pathway, which is relevant to neuron development,
mitochondrial function and muscle physiology in health and disease.
PMID- 27195055
TI - The role of geographical ecological studies in identifying diseases linked to UVB
exposure and/or vitamin D.
AB - Using a variety of approaches, researchers have studied the health effects of
solar ultraviolet (UV) radiation exposure and vitamin D. This review compares the
contributions from geographical ecological studies with those of observational
studies and clinical trials. Health outcomes discussed were based on the author's
knowledge and include anaphylaxis/food allergy, atopic dermatitis and eczema,
attention deficit hyperactivity disorder, autism, back pain, cancer, dental
caries, diabetes mellitus type 1, hypertension, inflammatory bowel disease,
lupus, mononucleosis, multiple sclerosis, Parkinson disease, pneumonia,
rheumatoid arthritis, and sepsis. Important interactions have taken place between
study types; sometimes ecological studies were the first to report an inverse
correlation between solar UVB doses and health outcomes such as for cancer,
leading to both observational studies and clinical trials. In other cases,
ecological studies added to the knowledge base. Many ecological studies include
other important risk-modifying factors, thereby minimizing the chance of
reporting the wrong link. Laboratory studies of mechanisms generally support the
role of vitamin D in the outcomes discussed. Indications exist that for some
outcomes, UVB effects may be independent of vitamin D. This paper discusses the
concept of the ecological fallacy, noting that it applies to all epidemiological
studies.
PMID- 27195062
TI - The flippase DnfB is cargo of fimbrin-associated endocytosis in Aspergillus
nidulans, and likely recycles through the late Golgi.
PMID- 27195063
TI - NADPH oxidases promote apoptosis by activating ZNRF1 ubiquitin ligase in neurons
treated with an exogenously applied oxidant.
AB - Reactive oxygen species (ROS) play an important role in causing neuronal death in
a number of neurological disorders. We recently reported that ROS serve as a
signal to activate neuronal apoptosis and axonal degeneration by activating ZNRF1
(zinc- and RING-finger 1), a ubiquitin ligase that targets AKT for proteasomal
degradation in neurons. In the present study, we showed that the NADPH oxidase
family of molecules is required for ZNRF1 activation by epidermal growth factor
receptor (EGFR)-dependent phosphorylation in response to axonal injury. We herein
demonstrate that NADPH oxidases promote apoptosis by activating ZNRF1, even in
neurons treated with an exogenously applied oxidant. These results suggest an
important role for NADPH oxidase in the initiation/promotion of neuronal
degeneration by increasing ROS in close proximity to protein machineries,
including those for ZNRF1 and EGFR, thereby promoting neuronal degeneration.
PMID- 27195065
TI - The role of phytophagy by predators in shaping plant interactions with their
pests.
AB - Zoophytophagy is common among predacious arthropods, but research on their role
in plant-herbivore interactions is generally focused on predation effects whereas
their phytophagy is largely neglected. Our recent study revealed the ability of
zoophytophagous predators to induce defense related traits and to affect
herbivore performance apart from predation through the plant. Additionally, we
show here that predator-exposed plants suffer less damage compared to unexposed
plants. Thus, zoophytophagous organisms likely shape community structure by both
their predation on herbivores and their phytophagy. Here, we consider
zoophytophagous predators as plant vaccination factors and outline how their dual
role in affecting herbivores may impact their use in biological pest control.
Because plant responses to phytophagy and phytopathogens are known to interact,
zoophytophagous predators may also affect plant-pathogen interactions. When we
consider these indirect interactions with different plant pest organisms, we will
likely better understand the ecology of the complex relationships among plants,
herbivores and predators. Moreover, a comprehensive knowledge on the effects of
the phytophagy of predators in these ecological interactions will potentially
allow us to enhance sustainability in pest control.
PMID- 27195064
TI - A novel imaging method revealed phosphatidylinositol 3,5-bisphosphate-rich
domains in the endosome/lysosome membrane.
AB - We developed a new method to observe distribution of phosphatidylinositol 3,5
bisphosphate [PtdIns(3,5)P2] using electron microscopy. In freeze-fracture
replicas of quick-frozen samples, PtdIns(3,5)P2 was labeled specifically using
recombinant ATG18 tagged with glutathione S-transferase and 4*FLAG, which was
mixed with an excess of recombinant PX domain to suppress binding of ATG18 to
phosphatidylinositol 3-phosphate. Using this method, PtdIns(3,5)P2 was found to
be enriched in limited domains in the yeast vacuole and mammalian endosomes. In
the yeast vacuole exposed to hyperosmolar stress, PtdIns(3,5)P2 was distributed
at a significantly higher density in the intramembrane particle (IMP)-deficient
liquid-ordered domains than in the surrounding IMP-rich domains. In mammalian
cells, PtdIns(3,5)P2 was observed in endosomes of tubulo-vesicular morphology
labeled for RAB5 or RAB7. Notably, distribution density of PtdIns(3,5)P2 in the
endosome was significantly higher in the vesicular portion than in the tubular
portion. The nano-scale distribution of PtdIns(3,5)P2 revealed in the present
study is important to understand its functional roles in the vacuole and
endosomes.
PMID- 27195066
TI - Glycosylphosphatidylinositols: More than just an anchor?
AB - There is increasing interest in the role of glycosylphosphatidylinositol (GPI)
anchors that attach some proteins to cell membranes. Far from being biologically
inert, GPIs influence the targeting, intracellular trafficking and function of
the attached protein. Our recent paper demonstrated the role of sialic acid on
the GPI of the cellular prion protein (PrP(C)). The "prion diseases" arise
following the conversion of PrP(C) to a disease-associated isoform called PrP(Sc)
or "prion". Our paper showed that desialylated PrP(C) inhibited PrP(Sc)
formation. Aggregated PrP(Sc) creates a signaling platform in the cell membrane
incorporating and activating cytoplasmic phospholipase A2 (cPLA2), an enzyme that
regulates PrP(C) trafficking and hence PrP(Sc) formation. The presence of
desialylated PrP(C) caused the dissociation of cPLA2 from PrP-containing
platforms, reduced the activation of cPLA2 and inhibited PrP(Sc) production. We
concluded that sialic acid contained within the GPI attached to PrP(C) modifies
local membrane microenvironments that are important in PrP-mediated cell
signaling and PrP(Sc) formation.
PMID- 27195067
TI - So different and still so similar: The plant compound rosmarinic acid mimics
bacterial homoserine lactone quorum sensing signals.
AB - Apart from inter-bacteria communication quorum sensing (QS) mechanisms also
enable inter-domain interactions. To interfere with bacterial QS, plants were
found to secrete compounds; most of which of unknown identity. We have identified
the plant compound rosmarinic acid (RA) to modulate Pseudomonas aeruginosa QS by
binding to the RhlR QS regulator. RA was found to be a homoserine-lactone (HSL)
mimic that caused agonistic effects on transcription, resulting ultimately in a
stimulation of several RhlR controlled phenotypes like virulence factor synthesis
or biofilm formation. Our study was initiated by in silico screening of an RhlR
model with compound libraries, demonstrating that this approach is suitable to
tackle a major bottleneck in signal transduction research, which is the
identification of sensor protein ligands. Previous work has shown that plant
compounds interfere with the function of orphan QS regulators. Our study
demonstrates that this has not necessarily to be the case since RhlR forms a
functional pair with the RhlI synthase. A wide range of structurally dissimilar
compounds have been found to mimic HSLs suggesting that this class of QS
regulators is characterized by a significant plasticity in the recognition of
effector molecules. Further research will show to what extent RA impacts on QS
mechanisms of other bacteria.
PMID- 27195068
TI - The foundations of cross-modal plasticity.
AB - Cross-modal plasticity is a striking adaptive feature of the brain, whereby the
loss of one sensory modality induces cortical reorganization that leads to
enhanced sensory performance in remaining modalities. Much is known about the
macroscopic modifications in the brain that underly cross-modal plasticity and
the associated changes in sensory performance. In contrast there is relatively
scant information about the molecular and cellular underpinnings of this
mechanism. We hypothesized that cross-modal plasticity is a fundamental feature
of the nervous system. As such, it should be found in organisms with brains that
are substantially less complex than our own. Indeed, we discovered a cross-modal
plasticity mechanism in the roundworm Caenorhabditis elegans, whose nervous
system is composed of only 302 neurons. Taking advantage of the simplicity of the
C. elegans nervous system, we were able to comprehensively study cross-modal
plasticity from molecule through circuit to behavior.
PMID- 27195069
TI - Vedantic view of life: Reply to Gustavo Caetano-Anolles.
AB - The author would like to thank Professor Gustavo Caetano-Anolles from Department
of Crop Sciences, University of Illinois for his interest in his work. We may
sometimes observe that there is a noticeable difference between the anecdote
people narrate about the implications of a scientific paper and the real
conclusion of the paper. Prof. Gustavo Caetano-Anolles's response(1) is an ideal
example of the same, where he has tried to make great hay about the implications
of the article "Life and consciousness - The Vedantic view."(2) The Vedantic view
subscribes neither to the views of 'Creationist Movement'/'Intelligent Design',
nor it supports some splendid anti-science proposal. Vedantic view refutes the
dominant reductionistic view of life in modern biology by proposing a viable
alternative concept of 'Organic Whole' and thus serves a scientific critique to
the nescience (avidya) that is practiced on the name of science.
PMID- 27195070
TI - Fusarium musae infected banana fruits as potential source of human fusariosis:
May occur more frequently than we might think and hypotheses about infection.
AB - The banana fruit infecting fungus Fusarium musae was originally known as a
distinct population within Fusarium verticillioides. However, recently, Fusarium
musae was installed as a separate species and the first cases of human infection
associated with Fusarium musae were found. In this article, we report an
additional survey indicating that human pathogenic Fusarium musae infections may
occur more frequently than we might think. Moreover, we evaluate the hypotheses
on how infection can be acquired. A first hypothesis is that banana fruits act as
carriers of Fusarium musae spores and thereby be the source of human infection
with Fusarium musae. Acquisition is likely to be caused through contact with
Fusarium musae contaminated banana fruits, either being imported or after
traveling of the patient to a banana-producing country. An alternative hypothesis
is that Fusarium musae is not only present on banana fruits, but also on other
plant hosts or environmental sources.
PMID- 27195072
TI - Corrigendum.
AB - [This corrects the article DOI: 10.1080/19420889.2015.1123356.].
PMID- 27195071
TI - The biocommunication method: On the road to an integrative biology.
AB - Although molecular biology, genetics, and related special disciplines represent a
large amount of empirical data, a practical method for the evaluation and
overview of current knowledge is far from being realized. The main concepts and
narratives in these fields have remained nearly the same for decades and the more
recent empirical data concerning the role of noncoding RNAs and persistent
viruses and their defectives do not fit into this scenario. A more innovative
approach such as applied biocommunication theory could translate empirical data
into a coherent perspective on the functions within and between biological
organisms and arguably lead to a sustainable integrative biology.
PMID- 27195073
TI - Effects of Moderate Aerobic Exercise on Cognitive Abilities and Redox State
Biomarkers in Older Adults.
AB - We used a moderate aerobic exercise program for 24 weeks to measure the positive
impact of physical activity on oxidative stress and inflammatory markers and its
association with cognitive performance in healthy older adults. A total of 100
healthy subjects (65-95 Yrs) were randomly classified into two groups: control
group (n = 50) and exercise group (n = 50). Cognitive functioning, physical
activity score, MDA, 8-OHdG, TAC, and hs-CRP were assessed using LOTCA battery,
prevalidated PA questionnaire, and immunoassay techniques. LOTCA 7-set scores of
cognitive performance showed a significant correlation with physical activity
status and the regulation of both oxidative stress free radicals and inflammatory
markers in all older subjects following 24 weeks of moderate exercise. Physically
active persons showed a higher cognitive performance along with reduction in the
levels of MDA, 8-OHdG, and hs-CRP and increase in TAC activity compared with
sedentary participants. Cognitive performance correlated positively with the
increase in TAC activity and physical fitness scores and negatively with MDA, 8
OHdG, and hs-CRP, respectively. There was a significant improvement in motor
praxis, vasomotor organization, thinking operations, and attention and
concentration among older adults. In conclusion, moderate aerobic training for 24
weeks has a positive significant effect in improving cognitive functions via
modulating redox and inflammatory status of older adults.
PMID- 27195074
TI - The C-ETS2-TFEB Axis Promotes Neuron Survival under Oxidative Stress by
Regulating Lysosome Activity.
AB - Excessive reactive oxygen species/reactive nitrogen species (ROS/RNS) produced as
a result of ageing causes damage to macromolecules and organelles or leads to
interference of cell signalling pathways, which in turn results in oxidative
stress. Oxidative stress occurs in many neurodegenerative diseases (e.g.,
Parkinson's disease) and contributes to progressive neuronal loss. In this study,
we show that cell apoptosis is induced by oxidative stress and that lysosomes
play an important role in cell survival under oxidative stress. As a compensatory
response to this stress, lysosomal genes were upregulated via induction of
transcription factor EB (TFEB). In addition, localization of TFEB to the nucleus
was increased by oxidative stress. We also confirmed that TFEB protects cells
from oxidative stress both in vitro and in vivo. Finally, we found that C-ETS2
senses oxidative stress, activates TFEB transcription, and mediates the
upregulation of lysosomal genes. Our results demonstrate a mechanistic pathway
for inducing lysosomal activity during ageing and neurodegeneration.
PMID- 27195077
TI - Keratoconus; a True Corneal Disease.
PMID- 27195076
TI - Analytical methods used to quantify isoflavones in cow's milk: a review.
AB - This paper provides an update and comprehensive review of the analytical methods
used for quantifying isoflavones and their metabolites in cow's milk. Isoflavones
are secondary plant metabolites that are similar to 17 beta-estradiol in chemical
structure. They form one of the most common categories of phytoestrogens.
Numerous health benefits have been attributed to isoflavones, but many of these
compounds are also considered to be endocrine disruptors, with adverse effects on
health. These contradictory trends offer an attractive prospect for future
research, and therefore, sensitive and reliable analytical methods are required
to clarify various issues about isoflavones. For this review, a structured
methodology was used to select 26 relevant articles published between 2005 and
2015 from the Scopus and CAB Abstract databases. The review discusses individual
steps of the analytical procedures described in these articles, including sample
preparation, instrumental analysis and validation. The most commonly used
analytical procedure is sample preparation involving liquid-liquid extraction and
an enzymatic hydrolysis step followed by liquid chromatography with mass
spectrometry analysis. Currently, however, there is no standardized procedure for
the sample preparation and analysis of isoflavones in milk.
PMID- 27195075
TI - Metformin Decreases Reactive Oxygen Species, Enhances Osteogenic Properties of
Adipose-Derived Multipotent Mesenchymal Stem Cells In Vitro, and Increases Bone
Density In Vivo.
AB - Due to its pleiotropic effects, the commonly used drug metformin has gained
renewed interest among medical researchers. While metformin is mainly used for
the treatment of diabetes, recent studies suggest that it may have further
application in anticancer and antiaging therapies. In this study, we investigated
the proliferative potential, accumulation of oxidative stress factors, and
osteogenic and adipogenic differentiation potential of mouse adipose-derived stem
cells (MuASCs) isolated from mice treated with metformin for 8 weeks. Moreover,
we investigated the influence of metformin supplementation on mice bone density
and bone element composition. The ASCs isolated from mice who were treated with
metformin for 8 weeks showed highest proliferative potential, generated a robust
net of cytoskeletal projections, had reduced expression of markers associated
with cellular senescence, and decreased amount of reactive oxygen species in
comparison to control group. Furthermore, we demonstrated that these cells
possessed greatest osteogenic differentiation potential, while their adipogenic
differentiation ability was reduced. We also demonstrated that metformin
supplementation increases bone density in vivo. Our result stands as a valuable
source of data regarding the in vivo influence of metformin on ASCs and bone
density and supports a role for metformin in regenerative medicine.
PMID- 27195078
TI - Screening for MIR184 Mutations in Iranian Patients with Keratoconus.
AB - PURPOSE: To investigate whether microRNA (MIR)-184 mutations make a substantial
contribution to keratoconus (KCN) among affected Iranian patients. METHODS: A
total of 47 Iranian KCN patients, diagnosed based on family history, clinical
examinations using slit lamp biomicroscopy, refraction and corneal topography
were enrolled in this study. The pri-miR-184 encoding gene obtained from the DNAs
of all participants was amplified using polymerase chain reaction and
subsequently sequenced by the Sanger dideoxynucleotide protocol. The sequences
were compared to MIR184 reference sequence in order to identify sequence
variations. The potential effects of a single variation observed on RNA structure
was predicted. RESULTS: Only one sequence variation, +39G >T, was observed within
the pri-miR-184 encoding sequence in one proband. The patient's KCN-affected
sister harbored the same variation. The variation was not novel and was recently
shown to be present at similar frequencies among large cohorts of KCN patients
and control individuals. CONCLUSION: Mutations in MIR-184 are not a major cause
of keratoconus among Iranian patients. The pri-miR-184 sequence needs to be
screened in larger cohorts in order to establish whether mutations in the gene
are present at low frequencies among Iranian patients.
PMID- 27195079
TI - Predictive Ability of Galilei to Distinguish Subclinical Keratoconus and
Keratoconus from Normal Corneas.
AB - PURPOSE: To determine the predictive ability of different data measured by the
Galilei dual Scheimpflug analyzer in differentiating subclinical keratoconus and
keratoconus from normal corneas. METHODS: This prospective comparative study
included 136 normal eyes, 23 eyes with subclinical keratoconus, and 51
keratoconic eyes. In each eye, keratometric values, pachymetry, elevation
parameters and surface indices were evaluated. Receiver operating characteristic
(ROC) curves were calculated and quantified by using the area under the curve
(AUC) to compare the sensitivity and specificity of the measured parameters and
to identify optimal cutoff points for differenciating subclinical keratoconus and
keratoconus from normal corneas. Several model structures including keratometric,
pachymetric, elevation parameters and surface indices were analyzed to find the
best model for distinguishing subclinical and clinical keratoconus. The data sets
were also examined using the non-parametric "classification and regression tree"
(CRT) technique for the three diagnostic groups. RESULTS: Nearly all measured
parameters were strong enough to distinguish keratoconus. However, only the
radius of best fit sphere and keratometry readings had an acceptable predictive
accuracy to differentiate subclinical keratoconus. Elevation parameters and
surface indices were able to differentiate keratoconus from normal corneas in
100% of eyes. Meanwhile, none of the parameter sets could effectively
discriminate subclinical keratoconus; a 3-factor model including keratometric
variables, elevation data and surface indices provided the highest predictive
ability for this purpose. CONCLUSION: Surface indices measured by the Galilei
analyzer can effectively differentiate keratoconus from normal corneas. However,
a combination of different data is required to distinguish subclinical
keratoconus.
PMID- 27195080
TI - Visual, Keratometric and Corneal Biomechanical Changes after Intacs SK
Implantation for Moderate to Severe Keratoconus.
AB - PURPOSE: To report visual outcomes and corneal biomechanical changes after
femtosecond-assisted Intacs SK implantation in keratoconic eyes. METHODS: This
prospective interventional case series is comprised of 32 keratoconic eyes of 25
patients with mean age of 23.8 +/- 5.4 years. Uncorrected (UDVA) and corrected
(CDVA) distance visual acuity, refraction, manifest refraction spherical
equivalent (MRSE), keratometry, central corneal thickness (CCT), corneal
hysteresis (CH) and corneal resistance factor (CRF) were measured preoperatively,
and 1, 3 and 6 months postoperatively. RESULTS: Mean UDVA improved from 0.81 +/-
0.3 LogMAR preoperatively to 0.53 +/- 0.2 LogMAR six months postoperatively (P <
0.001). At 6 months, MRSE was significantly reduced only in eyes with moderate
KCN (mean change, +2.61 +/- 0.54 diopter [D]; P< 0.001). A significant
improvement in sphere (mean change, +1.92 +/- 0.37 D; P< 0.001) and mean
keratometry (mean change, -3.34 +/- 0.47D; P< 0.001) were observed. CCT increased
from 446.1 +/- 38 MUm preoperatively to 462.2 +/- 50 MUm at six months (P <
.001). CRF decreased from 6.5 +/- 1.6 mmHg to 5.9 +/- 1.1 mmHg six months after
surgery (P = 0.02). CDVA, refractive cylinder and CH did not change significantly
(P = 0.48, 0.203 and 0.55, respectively). Linear regression analysis disclosed
that a decrease in CCT and moderate KCN are associated with higher CRF
(standardized B,-0.513 and 0.314;P= 0.004 and 0.024, respectively; Adjusted R
square = 0.353). CONCLUSION: Visual, refractive and keratometric indices
remarkably improved in a parallel fashion. CRF was inversely associated with CCT.
Changes in CRF represent the trend of changes in corneal biomechanics and
thickness during the early postoperative months.
PMID- 27195081
TI - MyoRing Implantation in Keratoconic Patients: 3 years Follow-up Data.
AB - PURPOSE: To evaluate long-term follow-up data on implantation of a full-ring
intra-corneal implant (MyoRing) for management of keratoconus. METHODS: A total
of 40 keratoconic eyes of 37 consecutive patients who had undergone MyoRing
implantation using the Pocket Maker microkeratome (Dioptex, GmbH, Linz, Austria)
and completed 3 years of follow-up appointments were included in this
retrospective study. Uncorrected distance visual acuity (UDVA), corrected
distance visual acuity (CDVA), refraction and keratometry (K) readings were
measured and evaluated preoperatively, and 3 years, postoperatively. RESULTS: No
intraoperative complications were observed in this case series. Three years
postoperatively, there was a significant improvement in UDVA, CDVA, K readings,
spherical equivalent (SE), and manifest sphere and cylinder (P < 0.05 for all
comparisons). UDVA was significantly improved from 1.14 +/- 0.27 to 0.30 +/- 0.21
LogMAR (P = 0.001), CDVA was also improved from 0.52 +/- 0.23 to 0.18 +/- 0.12
LogMAR (P = 0.001), SE was decreased by 4.35 diopters (D) and average
keratometric values were reduced by 2.34 D (P = 0.001). Overall, 81% of subjects
were moderately to highly satisfied 3 years after surgery and 64.90% agreed to
have the fellow eye implanted with MyoRing. CONCLUSION: MyoRing implantation
using the Pocket Maker microkeratome was found to be a minimally invasive
procedure for improving visual acuity and refraction in the majority of the
patients with keratoconus.
PMID- 27195082
TI - Visual Outcomes of Successful versus Failed Big-Bubble Deep Anterior Lamellar
Keratoplasty for Keratoconus.
AB - PURPOSE: To compare the visual outcomes of deep anterior lamellar keratoplasty
(DALK) for keratoconus with and without successful big-bubble formation. METHODS:
In this retrospective comparative study, a total of 289 consecutive eyes from 257
patients underwent DALK using the big-bubble technique. In cases where the big
bubble could not be accomplished, manual stromal dissection down to Descemeton
membrane (DM) was performed using a crescent knife. Visual acuity and refractive
outcomes were compared between the bare DM group (Group 1) and manual dissection
group (Group 2). RESULTS: A bare DM was successfully achieved in 229 (79.2%) eyes
and manual dissection was performed in 60 (20.8%) eyes. The study groups were
comparable in terms of age (P = 0.79), preoperative best-spectacle corrected
visual acuity (BSCVA) (P = 0.15), and follow-up duration (P = 0.73).
Postoperative BSCVA was significantly better in Group 1 than in Group 2
throughout follow-up (P < 0.05). In Group 2, BSCVA was significantly lower in
eyes with advanced keratoconus as compared to those with moderate keratoconus (P
= 0.007). At final follow-up, BSCVA <= 0.30 logarithm of minimum angle of
resolution (logMAR) was achieved in 82.1% of eyes in Group 1 versus 54.5% of eyes
in Group 2 (P < 0.001). Groups 1 and 2 were comparable in terms of postoperative
spherical equivalent refractive error (P = 0.61) and keratometric astigmatism (P
= 0.39). CONCLUSION: Retention of the posterior corneal stroma which occurs with
manual dissection during failed big bubble formation in DALK is associated with
lower visual acuity as compared to achieving a bare DM.
PMID- 27195083
TI - Long-term Outcomes of Amniotic Membrane Transplantation in Contact Lens-Induced
Pseudomonas Keratitis with Impending Corneal Perforation.
AB - PURPOSE: To report the anatomical and visual outcomes of double layered amniotic
membrane transplantation (AMT) in eyes with advanced Pseudomonas keratitis
leading to Descemetocele formation. METHODS: This prospective interventional case
series included 6 eyes of 6 female patients with pseudomonas keratitis caused by
contact lens-induced infection who underwent double layered AMT. Surgery was
performed after the ulcers were found to be poorly responsive to antibiotics, and
severe thinning or Descemetocele had developed. All patients underwent a complete
examination pre- and postoperatively, as well as anterior segment optical
coherence tomography (OCT) and pachymetry or Orbscan after the procedure.
RESULTS: Mean follow-up period was 24 months. There was neither frank corneal
perforation nor a need for emergent corneal transplantation in any of the eyes.
All patients had visual acuity of hand motions before the procedure which
improved to 20/50 to 20/30 three months after surgery. No surgical or
postoperative complication occurred in this series. CONCLUSION: Double layered
AMT may result in acceptable anatomical outcomes in patients with advanced
Pseudomonas keratitis with Descemetocele formation and can eliminate the need for
emergent corneal transplantation.
PMID- 27195084
TI - Diurnal Variations in Intraocular Pressure, Central Corneal Thickness, and
Macular and Retinal Nerve Fiber Layer Thickness in Diabetics and Normal
Individuals.
AB - PURPOSE: To evaluate diurnal variations in intraocular pressure (IOP), central
corneal thickness (CCT), and macular and retinal nerve fiber layer (RNFL)
thickness in diabetic patients and normal individuals. METHODS: This study
included 11 diabetic patients with macular edema and 11 healthy individuals. IOP,
CCT, and macular and RNFL thickness were measured every 3 hours on a single day
between 9 AM and 6 PM. Diurnal variations in IOP, CCT, total macular volume
(TMV), central macular thickness (CMT), average macular thickness (AMT), and RNFL
thickness were measured. RESULTS: None of the parameters showed a significant
absolute or relative change over the course of the day. However, the following
non-significant changes were observed. In the control group, all parameters
demonstrated the highest values at 9 AM. The lowest IOP, TMV and AMT occurred at
12 PM; lowest CCT and RNFL at 6 PM; and the lowest CMT at 3 PM. Diabetic subjects
had the highest values of RNFL, CMT and TMV at 9 AM, and that for IOP, CCT and
AMT at 6 PM. The lowest RNFL and CMT values occurred at 6 PM; lowest IOP at 12
PM; and the lowest CCT, TMV and AMT were observed at 3 PM. In the diabetic group,
TMV, CMT, AMT and CCT were significantly higher and RNFL was significantly lower
than the control group at all time points (all P- values < 0.05). CONCLUSION:
While there were slight decreases in IOP, RNFL thickness and CMT during the day,
these changes were not significant between 9 AM and 6 PM and probably do not
affect the interpretation of measurements.
PMID- 27195085
TI - Clinical Features and Risk Factors of Patients with Presumed Ocular
Toxoplasmosis.
AB - PURPOSE: To determine the clinical features and risk factors of presumed ocular
toxoplasmosis (POT) in patients affected with the condition at Irrua, Nigeria.
METHODS: The study included 69 patients with POT, and 69 age and sex matched
subjects who served as the control group. Data was obtained using interviewer
administered questionnaires. Examination included measurement of visual acuity
(VA), intraocular pressure (IOP), slit lamp examination, gonioscopy and dilated
fundus examination. RESULTS: Mean age of cases and control subjects was 57.16 +/-
18.69 and 56.09 +/- 16.01 years respectively. The peak age group in patients with
POT was 60 years and above. The most common presenting complaint was blurred
vision occurring in 100% of cases. Drinking unfiltered water in 58 (84.1%)
patients was the most common risk factor. Other risk factors included post
cataract surgery status in 32 (46.4%) subjects, ingestion of poorly cooked meat
in 30 (43.5%) cases and exposure to cats in 9 (13.0%) patients. All risk factors
were more common in POT patients (P < 0.05). Out of 69 patients, 62 (89.9%) had
unilateral while 7 (10.1%) had bilateral involvement. Out of 76 eyes with
uveitis, 53 (69.7%) were blind. Active disease was significantly more common with
increasing age (P < 0.05). CONCLUSION: Patients with POT were rather old and some
risk factors were modifiable, therefore health education for preventing the
transmission of toxoplasmosis and provision of sanitary water may help reduce the
incidence of ocular toxoplasmosis.
PMID- 27195087
TI - Intravitreal Bevacizumab for Treatment of Central Serous Chorioretinopathy.
AB - PURPOSE: To compare the outcomes of treatment with intravitreal bevacizumab (IVB)
versus observation in central serous chorioretinopathy (CSCR). METHODS: In a
retrospective comparative study, records of 45 patients with CSCR were reviewed.
Twenty-two patients received IVB (1.25 mg/0.05 ml) while 23 subjects were
observed. All subjects underwent measurement of best corrected visual acuity
(BCVA) and intraocular pressure (IOP), dilated fundus examination and optical
coherence tomography (OCT) imaging at baseline and follow up visits. Outcome
measures included central macular thickness (CMT) and BCVA in logarithm of
minimum angle of resolution (logMAR) notations. RESULTS: Mean age was 44.1 +/-
9.3 (range: 24 to 64) years and mean follow-up period was 10.4 +/- 11.2 (range: 3
to 43; median: 6) months. All patients demonstrated resolution of neurosensory
detachment and improvement in visual acuity. At final visit, there was no
significant difference in mean CMT between the IVB and observation groups (275 vs
284 MUm, P> 0.05). Mean baseline logMAR visual acuity was 0.38 +/- 0.24 in the
IVB group which improved to 0.24 +/- 0.31 at final follow-up (P = 0.011); mean
baseline logMAR visual acuity was 0.42 +/- 0.28 in the observation group and
improved to 0.12 +/- 0.18 (P = 0.001). Visual improvement was more marked in the
observation group (0.30 vs 0.14 logMAR, P< 0.05) and mean final visual acuity was
also significantly better (P = 0.05). CONCLUSION: There was no significant
difference between IVB injection and observation in terms of anatomical outcomes
of treatment for CSCR. In terms of visual outcomes, observation was superior to
IVB injection.
PMID- 27195086
TI - Association of Age Related Macular Degeneration and Age Related Hearing
Impairment.
AB - PURPOSE: To evaluate the association between age-related macular degeneration
(ARMD) and sensory neural hearing impairment (SHI). METHODS: In this case-control
study, hearing status of 46 consecutive patients with ARMD were compared with 46
age-matched cases without clinical ARMD as a control group. In all patients,
retinal involvements were confirmed by clinical examination, fluorescein
angiography (FA) and optical coherence tomography (OCT). All participants were
examined with an otoscope and underwent audiological tests including pure tone
audiometry (PTA), speech reception threshold (SRT), speech discrimination score
(SDS), tympanometry, reflex tests and auditory brainstem response (ABR). RESULTS:
A significant (P = 0.009) association was present between ARMD, especially with
exudative and choroidal neovascularization (CNV) components, and age-related
hearing impairment primarily involving high frequencies. Patients had higher SRT
and lower SDS against anticipated presbycusis than control subjects. Similar
results were detected in exudative, CNV and scar patterns supporting an
association between late ARMD with SRT and SDS abnormalities. ABR showed
significantly prolonged wave I and IV latency times in ARMD (P = 0.034 and 0.022,
respectively). Average latency periods for wave I in geographic atrophy (GA) and
CNV, and that for wave IV in drusen patterns of ARMD were significantly higher
than controls (P = 0.030, 0.007 and 0.050, respectively). CONCLUSION: The
association between ARMD and age-related SHI may be attributed to common
anatomical components such as melanin in these two sensory organs.
PMID- 27195088
TI - Half-dose Photodynamic Therapy for Chronic Central Serous Chorioretinopathy.
AB - PURPOSE: To report the outcomes of half-dose photodynamic therapy (PDT) in
patients with chronic central serous chorioretinopathy (CSC). METHODS: A chart
review of patients with chronic CSC who had received half-dose verteporfin PDT (3
mg/m(2)) was performed. The main outcome measures were resolution of subretinal
fluid and best corrected visual acuity (BCVA). RESULTS: Fifty-three eyes of 51
patients with mean age of 45.01 +/- 8.9 years were studied. Three, 6 and 12
months after half-dose PDT, subretinal fluid was completely resolved in 51 eyes
(96.2%). In 2 eyes (one patient), subretinal fluid decreased at 3 months but one
year later, an increase in subretinal fluid was detected on optical coherence
tomography (OCT) which completely resolved following additional PDT. Another
patient with recurrence of subretinal fluid rejected further treatment. Mean
baseline central subfield thickness was 385 +/- 113.0 MUm which was decreased to
235 +/- 39.7, 247 +/- 49.7, and 244 +/- 49.52 MUm after 3, 6 and 12 months,
respectively (all P-values < 0.001). Mean BCVA was 0.33 +/- 0.27 LogMAR before
PDT and 0.11 +/- 0.18, 0.11 +/- 0.17, 0.17 +/- 0.26 and 0.10 +/- 0.23 LogMAR, 3,
6 and 12 months and at final visit (up to 60 months) after PDT, respectively (all
P-values < 0.001). Improvement >=2 lines in BCVA occurred in 20 eyes (37.7%).
Statistically significant correlations were found between improvement in BCVA and
baseline BCVA, baseline central subfield thickness and central subfield thickness
after resorption of subretinal fluid (P < 0.001, P= 0.04 and P= 0.01,
respectively). No complications attributed to PDT were observed. CONCLUSION: Half
dose PDT is effective for treatment of patients with chronic CSC.
PMID- 27195089
TI - Histological and Electrophysiological Changes in the Retinal Pigment Epithelium
after Injection of Sodium Iodate in the Orbital Venus Plexus of Pigmented Rats.
AB - PURPOSE: To characterize histopathologic and electroretinographic (ERG) changes
in the retina of pigmented rats injected with sodium iodate in order to establish
a model of retinal degeneration for future cell therapy studies. METHODS: In 50
male pigmented rats weighing 250-300 grams, NaIO3 was injected into the left
orbital venous plexus at 40 and 60 mg/kg doses (25 eyes in each group). Fourteen
rats received phosphate buffered saline (PBS) injection in their left orbital
plexus and were considered as the sham-control group. Histopathologic and ERG
studies were performed at baseline and on days 1, 7, 14 and 28 after the
injections. RESULTS: Progressive retinal pigment epithelial (RPE) changes were
observed from the first day of injection in both the 40 and 60 mg/kg study groups
in a dose dependent manner. These changes manifested as loss of melanin pigment
and accumulation of lipofuscin in RPE cells with subsequent cell death and patchy
loss of RPE cells (in flat mounts), as well as thinning of the outer nuclear
layer and later the inner nuclear layer in the succeeding days. ERG showed a
progressive and significant decrease in a- and b- wave amplitudes in both case
groups relative to baseline values and the controls (P < 0.05). CONCLUSION: NaIO3
injection into the retrobulbar venous plexus of pigmented rats can result in
significant and progressive damage to the RPE and subsequently to the neuroretina
of the injected eye, and may serve as a model of retinal degeneration.
PMID- 27195090
TI - Ocular Health and Safety Assessment among Mechanics of the Cape Coast Metropolis,
Ghana.
AB - PURPOSE: To conduct an ocular health and safety assessment among mechanics in the
Cape Coast Metropolis, Ghana. METHODS: This descriptive cross sectional study
included 500 mechanics using multistage sampling. All participants filled a
structured questionnaire on demographic data, occupational history and ocular
health history. Study participants underwent determination of visual acuity (VA)
using LogMAR chart, external eye examination with a handheld slit lamp
biomicroscope, dilated fundus examination, applanation tonometry and refraction.
RESULTS: Out of 500 mechanics, 433 were examined (response rate, 87%) comprised
of 408 (94.2%) male and 25 (5.8%) female subjects. The prevalence of visual
impairment (i.e. presenting VA < 6/18) among the respondents was 2.1%. Eye
injuries were reported in 171 (39.5%) mechanics probably due to the large number
of workers, 314 (72.5%), who did not use eye protective devices. Mechanics in the
auto welding category were at the highest risk of sustaining an eye injury (odds
ratio [OR], 13.4; P < 0.001). Anterior segment ocular disorders were mostly
pterygia while posterior segment eye disorders included glaucoma suspects and
retinochoroidal lesions. The development of pterygia was associated with the
number of years a mechanic stayed on the job. Eye care seeking behavior among the
participants was poor. CONCLUSION: Eye injuries were prevalent among the
mechanics as the use of eye protection was low. Eye safety should be made an
integral part of the public health agenda in the Cape Coast Metropolis.
PMID- 27195091
TI - Optical Coherence Tomography Angiography in Retinal Diseases.
AB - Optical coherence tomography angiography (OCTA) is a new, non-invasive imaging
system that generates volumetric data of retinal and choroidal layers. It has the
ability to show both structural and blood flow information. Split-spectrum
amplitude-decorrelation angiography (SSADA) algorithm (a vital component of OCTA
software) helps to decrease the signal to noise ratio of flow detection thus
enhancing visualization of retinal vasculature using motion contrast. Published
studies describe potential efficacy for OCTA in the evaluation of common
ophthalmologic diseases such as diabetic retinopathy, age related macular
degeneration (AMD), retinal vascular occlusions and sickle cell disease. OCTA
provides a detailed view of the retinal vasculature, which allows accurate
delineation of microvascular abnormalities in diabetic eyes and vascular
occlusions. It helps quantify vascular compromise depending upon the severity of
diabetic retinopathy. OCTA can also elucidate the presence of choroidal
neovascularization (CNV) in wet AMD. In this paper, we review the knowledge,
available in English language publications regarding OCTA, and compare it with
the conventional angiographic standard, fluorescein angiography (FA). Finally, we
summarize its potential applications to retinal vascular diseases. Its current
limitations include a relatively small field of view, inability to show leakage,
and tendency for image artifacts. Further larger studies will define OCTA's
utility in clinical settings and establish if the technology may offer a non
invasive option of visualizing the retinal vasculature, enabling us to decrease
morbidity through early detection and intervention in retinal diseases.
PMID- 27195092
TI - Surgery for Proliferative Diabetic Retinopathy: New Tips and Tricks.
AB - Over the recent years, retina specialists have enjoyed significant improvements
in the surgical management of proliferative diabetic retinopathy including
improved preoperative planning, vitreoretinal instrumentation and new surgical
maneuvers. In this review, we present new tips and tricks such as preoperative
pharmacotherapy approaches including pegaptanib injection and biodegradable
dexamethasone implantation, bimanual vitrectomy techniques and the concept of
mixing small gauges as well as valved cannulas and intraoperative optical
coherence tomography. With advanced surgical planning and sophisticated operative
maneuvers tailored to the individual patient, excellent outcomes can be achieved
even in severe cases of diabetic tractional detachment.
PMID- 27195093
TI - Macula-Sparing Rhegmatogenous Retinal Detachment: Is Emergent Surgery Necessary?
AB - The status of the macula is a significant factor in determining final visual
outcomes in rhegmatogenous retinal detachment (RRD) and should be considered in
the timing of surgical repair. Several studies have shown that macula-involving
RRDs attain similar visual and anatomic outcomes when surgery is performed within
seven days as compared to emergent surgery (within 24 hours). In contrast,
surgery prior to macular detachment in macula-sparing RRDs generally yields the
best visual outcomes. In the case of macula-sparing RRDs, it is not clear how
long the macula may remain attached, therefore, standard practice dictates
emergent surgery. Timing of presentation, examination findings, case complexity,
co-existing medical conditions, surgeon expertise, and timing and quality of
access to operating facilities and staff, however, should all be considered in
determining whether a macula-sparing RRD requires immediate intervention or if
equivalent visual and possibly better overall outcomes can be achieved with
scheduled surgery within an appropriate time frame.
PMID- 27195094
TI - Recurrent Corneal Perforation due to Chronic Graft versus Host Disease; a
Clinicopathologic Report.
AB - PURPOSE: To describe a case of chronic graft versus host disease (GVHD) leading
to severe dry eye and recurrent corneal perforation in both eyes, its stepwise
management and histopathological reports. CASE REPORT: A 22-year-old woman with a
history of thalassemia and subsequent high-dose chemotherapy followed by
allogeneic bone marrow transplant (BMT) was referred to Farabi Eye Hospital.
Despite aggressive medical and surgical intervention, corneal vascularization in
her right eye progressed and led to corneal perforation. Cyanoacrylate glue was
applied to seal the perforation, however it recurred. Multilayer amniotic
membrane transplantation (AMT) was performed to seal the corneal perforation,
which was effective for a short period. Subsequently, the corneal perforation
recurred and penetrating keratoplasty was performed. After a few months deep
vascularization and descemetocele occurred in the fellow left eye and the patient
finally underwent therapeutic lamellar keratoplasty. CONCLUSION: Patients with
GVHD are at risk of severe dry eye and subsequent corneal vascularization.
Recurrent and recalcitrant corneal perforation resistant to cyanoacrylate glue
and multilayer AMT may occur. Proper systemic and ocular management alongside
close collaboration with the hematologist is strongly recommended to control the
condition.
PMID- 27195095
TI - Wound Dehiscence and Device Migration after Subconjunctival Bevacizumab Injection
with Ahmed Glaucoma Valve Implantation.
AB - PURPOSE: To report a complication pertaining to subconjunctival bevacizumab
injection as an adjunct to Ahmed Glaucoma Valve (AGV) implantation. CASE REPORT:
A 54-year-old woman with history of complicated cataract surgery was referred for
advanced intractable glaucoma. AGV implantation with adjunctive subconjunctival
bevacizumab (1.25 mg) was performed with satisfactory results during the first
postoperative week. However, 10 days after surgery, she developed wound
dehiscence and tube exposure. The second case was a 33-year-old man with history
of congenital glaucoma and uncontrolled IOP who developed AGV exposure and wound
dehiscence after surgery. In both cases, for prevention of endophthalmitis and
corneal damage by the unstable tube, the shunt was removed and the conjunctiva
was re-sutured. CONCLUSION: The potential adverse effect of subconjunctival
bevacizumab injection on wound healing should be considered in AGV surgery.
PMID- 27195096
TI - Successful Management of Diffuse Unilateral Subacute Neuroretinitis with
Anthelmintics, and Intravitreal Triamcinolone followed by Laser Photocoagulation.
AB - PURPOSE: Diffuse unilateral subacute neuroretinitis (DUSN), a form of posterior
uveitis, is secondary to the presence of a highly motile nematode in the
intraretinal or subretinal space. Herein, we report a case of DUSN that was
successfully managed by an intravitreal injection of triamcinolone and laser
therapy. CASE REPORT: A middle-aged man with complaint of decreased vision and
marked unilateral vitritis and neuroretinitis. Fluorescein angiography revealed
disc leakage, vessel wall staining, and diffuse track-like transmission defects
of the RPE. Optical coherence tomography confirmed the subretinal location of the
worm. The patient received oral thiabendazole and an intravitreal injection of
triamcinolone acetonide. After 10 days, media haziness decreased, and a live
motile subretinal worm was identified. Direct laser photocoagulation was
performed to destroy the worm. After two months, a localized chorioretinal scar
developed and no further active inflammation and subretinal worms were detected.
CONCLUSION: Intravitreal steroids can be used safely in cases with DUSN and may
help detect the causative worm for applying laser photocoagulation.
PMID- 27195097
TI - Pigmentary Glaucoma with Retinochoroidal Pigmentation.
PMID- 27195098
TI - High Myopic Peripapillary Atrophy; Spectral Domain Optical Coherence Tomography
Features.
PMID- 27195099
TI - The Effect of Intravenous Conivaptan on Intraocular Pressure.
PMID- 27195100
TI - Increased Waist-to-height Ratio May Contribute to Age-related Increase in
Cardiovascular Risk Factors.
AB - BACKGROUND: The risk of cardiovascular diseases (CVDs) increases with age. The
objective was to determine whether lifestyle and dietary behaviors and
anthropometric measures, which are affected by these behaviors, contribute to the
increase of CVD risk factors across age categories of 20-50-year-old. METHODS: In
a cross-sectional design, 437 adults aged 20-50-year-old were selected from
households living in Shiraz. Risk factors of CVD, including body mass index
(BMI), waist-to-height ratio (WHtR), blood pressure, fasting blood glucose (FBG),
serum triglycerides, total cholesterol, and low- and high-density lipoprotein
cholesterol (LDL-C and HDL-C, respectively) as well as lifestyle behaviors
(physical activity and smoking), dietary habits, and food intakes were assessed
across the age categories of 20-29, 30-39, and 40-50 years. Linear regression was
used to examine the contribution of different variables to the age-related
increase of CVD risk factors. RESULTS: All CVD risk factors, except for HDL-C,
significantly increased across age categories. Older subjects had healthier
dietary habits and food intakes, but they possessed nonsignificantly lower
physical activity and higher smoking rate compared to younger adults. Adjusting
for physical activity, smoking, and BMI did not change the significant positive
association between age and CVD risk factors but adjusting for WHtR disappeared
associations for blood pressure, triglycerides, and metabolic syndrome although
significant associations remained for FBG and total and LDL-C. CONCLUSIONS: Age
related increase of CVD risk factors occurred independent of lifestyle habits.
WHtR, but not BMI, may partially contribute to the age-related increase in CVD
risk factors.
PMID- 27195101
TI - Development and Psychometric Evaluation of a Health Action Process Approach
Inventory for Healthful Diet Among Type 2 Diabetes Patients.
AB - BACKGROUND: Long-term effects of diabetes could be prevented or delayed by
adopting a proper diet. The aim of this study was to adapt and provide a pilot
test using health action process approach (HAPA)-based inventory to capable of
capturing significant determinants of healthful diet for diabetics. METHODS: The
inventory was reviewed by eight diabetes patients and verbal feedbacks with
regard the comprehension, item relevance, and potential new content were
obtained. Then, the inventory items were evaluated by an expert panel. Next
exploratory factor analysis (EFA) was conducted to assess the scale constructs.
Criterion validity was measured by Pearson correlation. Finally, reliability
measures of internal consistency and test-retest analysis were determined.
RESULTS: A total of 121 diabetic patients participated in this study. EFA
extracted seven factors (risk-perception, action self-efficacy, outcome
expectancies, maintenance self-efficacy, action and coping planning, behavioral
intention, and recovery self-efficacy) explaining 81.14% of the total variance.
There were significant correlations between behavioral intentions and both
outcome expectancies (r = 0.55, P < 0.05) and action self-efficacy (r = 0.31, P <
0.004) and small to moderate correlations (rs = 23-40) between behavior and the
volitional constructs of the HAPA model. Cronbach's alpha ranging from 0.65 to
0.95 and intraclass correlation coefficients ranging from 0.71 to 0.92 indicated
an acceptable internal consistency. CONCLUSIONS: Developed scales were valid and
reliable for measuring HAPA variables to be used with type 2 diabetes mellitus
patients. Further examination with minority persons is warranted.
PMID- 27195102
TI - The cellular microscopy phenotype ontology.
AB - BACKGROUND: Phenotypic data derived from high content screening is currently
annotated using free-text, thus preventing the integration of independent
datasets, including those generated in different biological domains, such as cell
lines, mouse and human tissues. DESCRIPTION: We present the Cellular Microscopy
Phenotype Ontology (CMPO), a species neutral ontology for describing phenotypic
observations relating to the whole cell, cellular components, cellular processes
and cell populations. CMPO is compatible with related ontology efforts, allowing
for future cross-species integration of phenotypic data. CMPO was developed
following a curator-driven approach where phenotype data were annotated by expert
biologists following the Entity-Quality (EQ) pattern. These EQs were subsequently
transformed into new CMPO terms following an established post composition
process. CONCLUSION: CMPO is currently being utilized to annotate phenotypes
associated with high content screening datasets stored in several image
repositories including the Image Data Repository (IDR), MitoSys project database
and the Cellular Phenotype Database to facilitate data browsing and
discoverability.
PMID- 27195104
TI - Adaptive genetic variation distinguishes Chilean blue mussels (Mytilus chilensis)
from different marine environments.
AB - Chilean mussel populations have been thought to be panmictic with limited genetic
structure. Genotyping-by-sequencing approaches have enabled investigation of
genomewide variation that may better distinguish populations that have evolved in
different environments. We investigated neutral and adaptive genetic variation in
Mytilus from six locations in southern Chile with 1240 SNPs obtained with RAD
seq. Differentiation among locations with 891 neutral SNPs was low (FST = 0.005).
Higher differentiation was obtained with a panel of 58 putative outlier SNPs (FST
= 0.114) indicating the potential for local adaptation. This panel identified
clusters of genetically related individuals and demonstrated that much of the
differentiation (~92%) could be attributed to the three major regions and
environments: extreme conditions in Patagonia, inner bay influenced by
aquaculture (Reloncavi), and outer bay (Chiloe Island). Patagonia samples were
most distinct, but additional analysis carried out excluding this collection also
revealed adaptive divergence between inner and outer bay samples. The four
locations within Reloncavi area were most similar with all panels of markers,
likely due to similar environments, high gene flow by aquaculture practices, and
low geographical distance. Our results and the SNP markers developed will be a
powerful tool supporting management and programs of this harvested species.
PMID- 27195103
TI - Age and sex dependent effects of early overnutrition on metabolic parameters and
the role of neonatal androgens.
AB - BACKGROUND: Males and females respond differently to diverse metabolic
situations. Being raised in a small litter is reported to cause overnutrition
that increases weight gain and predisposes an individual to metabolic
disturbances in adulthood; however, existing data are inconsistent. Indeed,
significant weight gain and/or metabolic disturbances, such as hyperinsulinemia
and hyperleptinemia, are sometimes not encountered. We hypothesized that these
inconsistencies could be due to the animal's sex and/or age at which metabolic
parameters are measured. METHODS: To analyze the effects of neonatal
overnutrition, male and female Wistar rats were raised in litters of 4 or 12
pups/dam and killed at postnatal days (PND) 10, 21, 30, 50, 85, or 150. In a
second study to determine if neonatal sex steroid levels influence sex
differences in metabolic parameters, female rats were treated with testosterone
on PND1. Effects on weight, length, fat pads, adipokine production, and serum
levels of glucose, metabolic hormones, and cytokines were analyzed in both
studies. RESULTS: By PND10, both males and females raised in small litters had
increased body weight, body length, adiposity, and serum glucose, insulin,
leptin, and adiponectin levels. Females had a greater increase in inguinal fat,
and males had higher expression of leptin messenger RNA (mRNA) and serum insulin,
as well as increased testosterone levels. Most of the litter size effects
diminished or disappeared after weaning and reappeared during adulthood in males,
with sex differences in body size and adiposity being apparent postpubertally.
Treatment of females with testosterone on PND1 tended to masculinize some
metabolic parameters in adulthood such as increased body weight and serum leptin
levels. CONCLUSIONS: Our results indicate that (1) both sex and age determine the
response to neonatal overnutrition; (2) differences in neonatal sex steroid
levels may participate in the development of sex differences in metabolic
parameters in adulthood and possibly in the response to neonatal overnutrition;
and (3) the comparison of circulating hormone and cytokine levels, even in normal
control animals, should take into consideration the early neonatal nutritional
environment.
PMID- 27195105
TI - Temperature and population density: interactional effects of environmental
factors on phenotypic plasticity, immune defenses, and disease resistance in an
insect pest.
AB - Temperature and crowding are key environmental factors mediating the transmission
and epizooty of infectious disease in ectotherm animals. The host physiology may
be altered in a temperature-dependent manner and thus affects the pathogen
development and course of diseases within an individual and host population, or
the transmission rates (or infectivity) of pathogens shift linearly with the host
population density. To our understanding, the knowledge of interactive and
synergistic effects of temperature and population density on the host-pathogen
system is limited. Here, we tested the interactional effects of these
environmental factors on phenotypic plasticity, immune defenses, and disease
resistance in the velvetbean caterpillar Anticarsia gemmatalis. Upon egg
hatching, caterpillars were reared in thermostat-controlled chambers in a 2 * 4
factorial design: density (1 or 8 caterpillars/pot) and temperature (20, 24, 28,
or 32 degrees C). Of the immune defenses assessed, encapsulation response was
directly affected by none of the environmental factors; capsule melanization
increased with temperature in both lone- and group-reared caterpillars, although
the lone-reared ones presented the most evident response, and hemocyte numbers
decreased with temperature regardless of the population density. Temperature, but
not population density, affected considerably the time from inoculation to death
of velvetbean caterpillar. Thus, velvetbean caterpillars succumbed to Anticarsia
gemmatalis multiple nucleopolyhedrovirus (AgMNPV) more quickly at higher
temperatures than at lower temperatures. As hypothesized, temperature likely
affected caterpillars' movement rates, and thus the contact between conspecifics,
which in turn affected the phenotypic expression of group-reared caterpillars.
Our results suggest that environmental factors, mainly temperature, strongly
affect both the course of disease in velvetbean caterpillar population and its
defenses against pathogens. As a soybean pest, velvetbean caterpillar may
increase its damage on soybean fields under a scenario of global warming as
caterpillars may reach the developmental resistance faster, and thus decrease
their susceptibility to biological control by AgMNPV.
PMID- 27195107
TI - Recommendations for open data science.
AB - Life science research increasingly relies on large-scale computational analyses.
However, the code and data used for these analyses are often lacking in
publications. To maximize scientific impact, reproducibility, and reuse, it is
crucial that these resources are made publicly available and are fully
transparent. We provide recommendations for improving the openness of data-driven
studies in life sciences.
PMID- 27195106
TI - Introducing BASE: the Biomes of Australian Soil Environments soil microbial
diversity database.
AB - BACKGROUND: Microbial inhabitants of soils are important to ecosystem and
planetary functions, yet there are large gaps in our knowledge of their diversity
and ecology. The 'Biomes of Australian Soil Environments' (BASE) project has
generated a database of microbial diversity with associated metadata across
extensive environmental gradients at continental scale. As the characterisation
of microbes rapidly expands, the BASE database provides an evolving platform for
interrogating and integrating microbial diversity and function. FINDINGS: BASE
currently provides amplicon sequences and associated contextual data for over 900
sites encompassing all Australian states and territories, a wide variety of
bioregions, vegetation and land-use types. Amplicons target bacteria, archaea and
general and fungal-specific eukaryotes. The growing database will soon include
metagenomics data. Data are provided in both raw sequence (FASTQ) and analysed
OTU table formats and are accessed via the project's data portal, which provides
a user-friendly search tool to quickly identify samples of interest. Processed
data can be visually interrogated and intersected with other Australian diversity
and environmental data using tools developed by the 'Atlas of Living Australia'.
CONCLUSIONS: Developed within an open data framework, the BASE project is the
first Australian soil microbial diversity database. The database will grow and
link to other global efforts to explore microbial, plant, animal, and marine
biodiversity. Its design and open access nature ensures that BASE will evolve as
a valuable tool for documenting an often overlooked component of biodiversity and
the many microbe-driven processes that are essential to sustain soil function and
ecosystem services.
PMID- 27195109
TI - Osteoimmunology: from mice to humans.
AB - The immune system has been recognized as one of the most important regulators of
bone turnover and its deregulation is implicated in several bone diseases such as
postmenopausal osteoporosis and inflammatory bone loss; recently it has been
suggested that the gut microbiota may influence bone turnover by modulation of
the immune system. The study of the relationship between the immune system and
bone metabolism is generally indicated under the term 'osteoimmunology'. The vast
majority of these studies have been performed in animal models; however, several
data have been confirmed in humans as well: this review summarizes recent data on
the relationship between the immune system and bone with particular regard to the
data confirmed in humans.
PMID- 27195108
TI - How to interpret epigenetic association studies: a guide for clinicians.
AB - Epigenetic mechanisms are able to alter gene expression, without altering DNA
sequence, in a stable manner through cell divisions. They include, among others,
the methylation of DNA cytosines and microRNAs and allow the cells to adapt to
changing environmental conditions. In recent years, epigenetic association
studies are providing new insights into the pathogenesis of complex disorders
including prevalent skeletal disorders. Unlike the genome, the epigenome is cell
and tissue specific and may change with age and a number of acquired factors.
This poses particular difficulties for the design and interpretation of
epigenetic studies, particularly those exploring the association of genome-wide
epigenetic marks with disease phenotypes. In this report, we propose a framework
to help in the critical appraisal of epigenetic association studies. In line with
previous suggestions, we focus on the questions critical to appraise the validity
of the study, to interpret the results and to assess the generalizability and
relevance of the information.
PMID- 27195110
TI - Human lymph-node CD8(+) T cells display an altered phenotype during systemic
autoimmunity.
AB - Although many studies are focused on auto-reactive CD4(+) T cells, the precise
role of CD8(+) T cells in autoimmunity is poorly understood. The objective of
this study is to provide more insight into the phenotype and function CD8(+) T
cells during the development of autoimmune disease by studying CD8(+) T cells in
human lymph-node biopsies and peripheral blood obtained during the earliest
phases of rheumatoid arthritis (RA). Here, we show that lymphoid pro-inflammatory
CD8(+) T cells exhibit a less-responsive phenotype already during the earliest
phases of autoimmunity compared with healthy individuals. We found an increase in
CD8(+) memory T cells in lymphoid tissue during the earliest phases of
autoimmunity, even before clinical onset of RA, accompanied by an increased
frequency of non-circulating or recently activated (CD69(+)) CD8(+) T cells in
lymphoid tissue and peripheral blood. Importantly, lymphoid pro-inflammatory
CD8(+)IL-17A(+) T cells displayed a decreased capacity of cytokine production,
which was related to disease activity in early RA patients. In addition, a
decreased frequency of regulatory CD8(+)IL-10(+) T cells in peripheral blood was
also related to disease activity in early RA patients. Our results suggest that
different CD8(+) T-cell subsets are affected already during the earliest phases
of systemic autoimmunity.
PMID- 27195111
TI - A multi-laboratory comparison of blood dendritic cell populations.
AB - HLDA10 collated a panel of monoclonal antibodies (mAbs) that primarily recognised
molecules on human myeloid cell and dendritic cell (DC) populations. As part of
the studies, we validated a backbone of mAbs to delineate monocyte and DC
populations from peripheral blood. The mAb backbone allowed identification of
monocyte and DC subsets using fluorochromes that were compatible with most 'off
the shelf' or routine flow cytometers. Three laboratories used this mAb backbone
to assess the HLDA10 panel on blood monocytes and DCs. Each laboratory was
provided with enough mAbs to perform five repeat experiments. The data were
collated and analysed using Spanning-tree Progression Analysis of Density
normalised Events (SPADE). The data were interrogated for inter- and intra
laboratory variability. The results highlight the definition of DC populations
using current readily available reagents. This collaborative process provides the
broader scientific community with an invaluable data set that validates mAbs to
leucocyte surface molecules.
PMID- 27195113
TI - OX40, PD-1 and CTLA-4 are selectively expressed on tumor-infiltrating T cells in
head and neck cancer.
AB - The tumor microenvironment of squamous cell carcinoma of the head and neck
(SCCHN) has been shown to be immune suppressive. Therefore, strategies aimed at
overcoming this issue could have a positive therapeutic impact. Hence, we
investigated the expression of the known immune-modulatory proteins OX40,
programmed cell death protein 1 (PD-1) and cytotoxic T-lymphocyte-associated
protein 4 (CTLA-4) in SCCHN on different T-cell subsets of tumor-infiltrating
lymphocytes (TIL) to ascertain whether these proteins could potentially be
targeted alone or in combination for future clinical trials. T cells from
peripheral blood (PBL) and tumor were analyzed for the expression of OX40, PD-1
and CTLA-4 in 29 patients undergoing surgery. These proteins were all expressed
significantly higher in T-cell subsets isolated from tumors compared with PBL of
the same patient. OX40 expression was significantly greater in the TIL regulatory
T-cell (Treg) population relative to conventional CD4 and CD8 TIL or the Treg
isolated from PBL. PD-1 expression was increased in all T-cell subsets relative
to PBL. CTLA-4 was also increased in all TIL subsets relative to blood, and
similar to OX40, its highest level of expression was observed in the Treg TIL.
The highest frequency of PD-1, CTLA-4 and OX40 triple-positive cells were found
in the Treg population isolated from the tumor. We analyzed both human papilloma
virus-positive and -negative patients and found similar levels and expression
patterns of these two patient populations for all three proteins. These data
suggest that there may be therapeutic advantages of targeting these pathways
independently or in combination for patients with this disease.
PMID- 27195112
TI - Synthetic glycolipid activators of natural killer T cells as immunotherapeutic
agents.
AB - Certain types of glycolipids have been found to have remarkable immunomodulatory
properties as a result of their ability to activate specific T lymphocyte
populations with an extremely wide range of immune effector properties. The most
extensively studied glycolipid reactive T cells are known as invariant natural
killer T (iNKT) cells. The antigen receptors of these cells specifically
recognize certain glycolipids, most notably glycosphingolipids with alpha
anomeric monosaccharides, presented by the major histocompatibility complex class
I-like molecule CD1d. Once activated, iNKT cells can secrete a very diverse array
of pro- and anti-inflammatory cytokines to modulate innate and adaptive immune
responses. Thus, glycolipid-mediated activation of iNKT cells has been explored
for immunotherapy in a variety of disease states, including cancer and a range of
infections. In this review, we discuss the design of synthetic glycolipid
activators for iNKT cells, their impact on adaptive immune responses and their
use to modulate iNKT cell responses to improve immunity against infections and
cancer. Current challenges in translating results from preclinical animal studies
to humans are also discussed.
PMID- 27195114
TI - The cell surface environment for pathogen recognition and entry.
AB - The surface of mammalian cells offers an interface between the cell interior and
its surrounding milieu. As part of the innate immune system, macrophages have
cell surface features optimised for probing and sampling as they patrol our
tissues for pathogens, debris or dead cells. Their highly dynamic and constantly
moving cell surface has extensions such as lamellipodia, filopodia and dorsal
ruffles that help detect pathogens. Dorsal ruffles give rise to macropinosomes
for rapid, high volume non-selective fluid sampling, receptor internalisation and
plasma membrane turnover. Ruffles can also generate phagocytic cups for the
receptor-mediated uptake of pathogens or particles. The membrane lipids, actin
cytoskeleton, receptors and signalling proteins that constitute these cell
surface domains are discussed. Although the cell surface is designed to
counteract pathogens, many bacteria, viruses and other pathogens have evolved to
circumvent or hijack these cell structures and their underlying machinery for
entry and survival. Nevertheless, these features offer important potential for
developing vaccines, drugs and preventative measures to help fight infection.
PMID- 27195116
TI - Regulation of immune cell function by short-chain fatty acids.
AB - Short-chain fatty acids (SCFAs) are bacterial fermentation products, which are
chemically composed by a carboxylic acid moiety and a small hydrocarbon chain.
Among them, acetic, propionic and butyric acids are the most studied, presenting,
respectively, two, three and four carbons in their chemical structure. These
metabolites are found in high concentrations in the intestinal tract, from where
they are uptaken by intestinal epithelial cells (IECs). The SCFAs are partially
used as a source of ATP by these cells. In addition, these molecules act as a
link between the microbiota and the immune system by modulating different aspects
of IECs and leukocytes development, survival and function through activation of G
protein coupled receptors (FFAR2, FFAR3, GPR109a and Olfr78) and by modulation of
the activity of enzymes and transcription factors including the histone
acetyltransferase and deacetylase and the hypoxia-inducible factor. Considering
that, it is not a surprise, the fact that these molecules and/or their targets
are suggested to have an important role in the maintenance of intestinal
homeostasis and that changes in components of this system are associated with
pathological conditions including inflammatory bowel disease, obesity and others.
The aim of this review is to present a clear and updated description of the
effects of the SCFAs derived from bacteria on host immune system, as well as the
molecular mechanisms involved on them.
PMID- 27195117
TI - Local innate immune responses in the vaccine adjuvant-injected muscle.
AB - Inducing a high magnitude of antibodies, possibly in combination with T-cell
responses that offer epitope breadth over prolonged periods of time is likely a
prerequisite for effective vaccines against severe diseases such as HIV-1
infection, malaria and tuberculosis. A much better understanding of the innate
immune mechanisms that are critical for inducing desired responses to vaccination
would help in the design of novel vaccines. The majority of human vaccines are
administered into the muscle. In this brief review, we focus on the initial
innate immune events that occur locally at the site of intramuscular vaccine
delivery, and how they are influenced by clinically approved vaccine adjuvants.
In particular, the effects on cell mobilization, cell activation and vaccine
antigen uptake are reviewed. Understanding how distinct adjuvants enhance and
tailor vaccine responses would facilitate the selection of the best-suited
adjuvant to improve vaccine efficacy to a given pathogen.
PMID- 27195115
TI - Bugging inflammation: role of the gut microbiota.
AB - The advent of vaccination and improved hygiene have eliminated many of the deadly
infectious pathogens in developed nations. However, the incidences of
inflammatory diseases, such as inflammatory bowel disease, asthma, obesity and
diabetes are increasing dramatically. Research in the recent decades revealed
that it is indeed the lack of early childhood microbial exposure, increase use of
antibiotics, as well as increase consumption of processed foods high in
carbohydrates and fats, and lacking fibre, which wreak havoc on the proper
development of immunity and predispose the host to elevated inflammatory
conditions. Although largely unexplored and under-appreciated until recent years,
these factors impact significantly on the composition of the gut microbiota (a
collection of microorganisms that live within the host mucosal tissue) and
inadvertently play intricate and pivotal roles in modulating an appropriate host
immune response. The suggestion that shifts in the composition of host microbiota
is a risk factor for inflammatory disease raises an exciting opportunity whereby
the microbiota may also present as a potential modifiable component or
therapeutic target for inflammatory diseases. This review provides insights into
the interactions between the microbiota and the immune system, how these affect
disease phenotypes, and explore current and emerging therapies that target the
gut microbiota as potential treatment for inflammatory diseases.
PMID- 27195118
TI - Delayed adaptive immunity is related to higher MMR vaccine-induced antibody
titers in children.
AB - There are notable inter-individual variations in vaccine-specific antibody
responses in vaccinated children. The aim of our study was to investigate whether
early-life environmental factors and adaptive immune maturation prior and close
to measles-mumps-rubella (MMR) immunization relate to magnitudes of vaccine
specific antibody titers. In the FARMFLORA birth cohort, including both farming
and non-farming families, children were immunized with the MMR vaccine at 18
months of age. MMR vaccine-induced antibody titers were measured in plasma
samples obtained at 36 months of age. Infants' blood samples obtained at birth, 3
5 days and at 4 and 18 months of age were analyzed for T- and B-cell numbers,
proportions of naive and memory T and B cells, and fractions of putative
regulatory T cells. Multivariate factor analyses show that higher anti-MMR
antibody titers were associated with a lower degree of adaptive immune
maturation, that is, lower proportions of memory T cells and a lower capacity of
mononuclear cells to produce cytokines, but with higher proportions of putative
regulatory T cells. Further, children born by cesarean section (CS) had
significantly higher anti-measles titers than vaginally-born children; and CS was
found to be associated with delayed adaptive immunity. Also, girls presented with
significantly higher anti-mumps and anti-rubella antibody levels than boys at 36
months of age. These results indicate that delayed adaptive immune maturation
before and in close proximity to immunization seems to be advantageous for the
ability of children to respond with higher anti-MMR antibody levels after
vaccination.
PMID- 27195119
TI - Gut macrophage phenotype is dependent on the tumor microenvironment in colorectal
cancer.
AB - In contrast to many cancers, a high infiltration of macrophages in colorectal
cancer (CRC) has been associated with improved prognosis for patients. Cytokines
and other stimuli from the tumor microenvironment affect monocyte to macrophage
maturation and subsequent phenotype and function. Heterogeneous myeloid
populations were identified using a novel flow cytometry panel in both tumor and
paired non-tumor bowel (NTB) from CRC patients. The frequency of macrophage
subsets with a gut-conditioned phenotype was lower in tumor compared with NTB. We
used an in vitro system to show that two of the macrophage populations
represented pro-inflammatory and anti-inflammatory phenotypes. Conditioned media
that contained high levels of interleukin-6 promoted and maintained an anti
inflammatory phenotype in vitro. This study demonstrates the plasticity and
heterogeneity of macrophage subtypes in human CRC, and the feasibility of
studying complex populations. Ex vivo experiments demonstrate that macrophage
subsets are influenced by the tumor microenvironment.
PMID- 27195121
TI - Emotion regulation difficulties in anorexia nervosa: associations with
improvements in eating psychopathology.
AB - BACKGROUND: Difficulties with emotion regulation have been established as a core
deficit in anorexia nervosa (AN). However, limited research has evaluated whether
weight gain is associated with improvements in emotion regulation difficulties in
AN and whether improvements in emotion regulation are associated with reductions
in eating disorder psychopathology. The aims of this study were threefold: 1) to
examine the nature and extent of emotion regulation difficulties in AN; 2) to
determine whether these difficulties improved during intensive treatment for the
eating disorder; and 3) to study whether improvements in emotion regulation were
associated with improvements in eating disorder psychopathology. METHOD: The
participants were 108 patients who met DSM-IV-TR criteria for AN and were
admitted to a specialized intensive treatment program. Self-report measures of
eating disorder symptoms and difficulties with emotion regulation were
administered at admission to and discharge from the program. RESULTS: Patients
with the binge-purge subtype of AN reported greater difficulties with impulse
control when upset and more limited access to emotion regulation strategies when
experiencing negative emotions than those with the restricting subtype. Among
those who completed treatment and became weight restored, improvements in emotion
regulation difficulties were observed. Greater pre-to-post treatment improvements
in emotional clarity and engagement in goal directed behaviours when upset were
associated with greater reductions in eating disorder psychopathology during
treatment. CONCLUSIONS: These findings add to growing evidence suggesting that
eating disorder symptoms may be related to emotion regulation difficulties in AN
and that integrating strategies to address emotion regulation deficits may be
important to improving treatment outcome in AN.
PMID- 27195122
TI - Factors impacting treatment and recovery in Anorexia Nervosa: qualitative
findings from an online questionnaire.
AB - BACKGROUND: Anorexia nervosa (AN) is characterised by restriction of energy
intake, fear of gaining weight and severe disturbances in weight or shape.
Recovery from AN is a complicated and often multifaceted experience that can take
many years to achieve. Qualitative research has found that support, being
understood, hope, desire for recovery, positive experiences in treatment, self
efficacy, motivation and relationships are important in recovery from AN. The
experience of treatment for patients with an eating disorder is an important
aspect of recovery with the potential to enhance recovery or hinder it. The aim
of the questionnaire was to better understand factors impacting the care
experiences during treatment and or recovery from self-reported Anorexia Nervosa
(AN). METHOD: An online questionnaire was developed and administered to past or
current sufferers of Anorexia Nervosa, >=18 years of age. Participants were
recruited through eating disorder organisations both in Australia and the United
Kingdom. The questionnaire was a mixture of quantitative and qualitative
questions. The quantitative data was analysed using descriptive statistics and
the qualitative data was analysed using conventional content analysis (CCA).
RESULTS: Of those who responded, most currently experienced self-reported AN. The
quantitative results identified that most participants had trust and confidence
in their health care provider and felt listened to and supported yet on the
subject of the suitability of treatment this had varied opinions. Being
understood, hope (life after AN) and self-acceptance were considered the top
three important factors in the treatment and recovery from Anorexia Nervosa. The
qualitative results revealed the factors hindering or benefiting treatment and
recovery, and individuals' needs during the four phases of recovery. CONCLUSION:
Factors were identified that could either hinder or benefit treatment and
recovery and these included whether treatment supported the individual to cope
with change, whether the individual found the treatment to be appropriate for
their personal needs and whether treatment addressed underlying factors.
Individuals' needs differed during the four phases of recovery. The findings of
the study may help treatment providers address key factors involved in recovery
at the right stage of treatment however by the nature of the qualitative
methodology conclusions are putative and further definitive research is
indicated.
PMID- 27195120
TI - Sterile post-traumatic immunosuppression.
AB - After major trauma, the human immune system initiates a series of inflammatory
events at the injury site that is later followed by suppression of local
inflammation favoring the repair and remodeling of the damaged tissues. This
local immune response involves complex interactions between resident cells such
as macrophages and dendritic cells, soluble mediators such as cytokines and
chemokines, and recruited cells such as neutrophils, monocytes and mesenchymal
stromal cells. If of sufficient magnitude, these initial immune responses
nevertheless have systemic consequences resulting in a state called post
traumatic immunosuppression (PTI). However, controversy exists regarding the
exact immunological changes occurring in systemic compartments triggered by these
local immune responses. PTI is one of the leading causes of post-surgical
mortality and makes patients vulnerable to hospital-acquired infections, multiple
organ failure and many other complications. In addition, hemorrhage, blood
transfusion, immunesenescence and immunosuppressant drugs aggravate PTI. PTI has
been intensively studied, but published results are frequently cloudy. The
purpose of this review is to focus on the contributions made by different
responsive modalities to immunosuppression following sterile trauma and to try to
integrate these into an overall scheme of PTI.
PMID- 27195124
TI - Mathematical modeling in municipal solid waste management: case study of Tehran.
AB - BACKGROUND: Solid Waste Management (SWM) in metropolises with systematic methods
and following environmental issues, is one of the most important subjects in the
area of urban management. In this regard, it is regarded as a legal entity so
that its activities are not overshadowed by other urban activities. In this
paper, a linear mathematical programming model has been designed for integrated
SWM. Using Lingo software and required data from Tehran, the proposed model has
been applied for Tehran SWM system as a case study. RESULTS: To determine the
optimal status of the available system for Tehran's Solid Waste Management System
(SWMS), a novel linear programming model is applied. Tehran has 22 municipal
regions with 11 transfer stations and 10 processing units. By running of the
model, the transfer stations and processing units are decreased to 10 and 6
units, respectively. CONCLUSIONS: The proposed model is an alternative method for
improvement the SWMS by decreasing the transfer stations and processing units.
PMID- 27195123
TI - Neurobiological model of the persistence of anorexia nervosa.
AB - Anorexia Nervosa (AN) is characterized by the maintenance of an undernourished,
or starved, state. Persistent restrictive eating, or the recurrent intake of a
diet that is inadequate to sustain a healthy weight, is the central behavior
maintaining AN. To understand this disturbance, we need to understand the neural
mechanisms that allow or promote the persistent choice of inadequate caloric
intake. While a range of neural disturbances have been reported in AN,
abnormalities in systems relevant to reward processing and the development of
habit systems have been consistently described in both structural and functional
neuroimaging studies. Most recently, brain and behavior have been directly
examined by investigating the neural underpinnings of restrictive food choice.
These recent data suggest that, among individuals with AN, dorsal frontostriatal
circuits play a greater role in guiding decisions regarding what to eat than
among healthy individuals. This line of research attempts to leverage advances in
the field of cognitive neuroscience to further our understanding of persistent
maladaptive choices of individuals with AN, in the hope that such advances will
help in the development of novel treatments for this potentially fatal disorder.
PMID- 27195125
TI - Pro-inflammatory effects of a litchi protein extract in murine RAW264.7
macrophages.
AB - It has been observed that the consumption of litchi often causes symptoms
characterized by itching or sore throat, gum swelling, oral cavity ulcers and
even fever and inflammation, which significantly impair the quality of life of a
large population. Using the RAW264.7 cell line, a step-by-step strategy was used
to screen for the components in litchi fruits that elicited adverse reactions.
The adverse reaction fractions were identified by mass spectrometry and analyzed
using the SMART program, and a sequence alignment of the homologous proteins was
performed. MTT tests were used to determine the cytotoxicity of a litchi protein
extract in RAW264.7 macrophages, and real-time PCR was applied to analyze the
expression of inflammatory genes in the RAW264.7 cells treated with
lipopolysaccharide or the litchi protein extract. The results showed that the
litchi water-soluble protein extract could increase the production of the pro
inflammatory mediators IL-1beta, iNOS and COX-2, and the anti-inflammatory
mediator HO-1 in the RAW264.7 cell line. The 14-3-3-like proteins GF14 lambda,
GF14 omega and GF14 upsilon were likely the candidate proteins that caused the
adverse effects.
PMID- 27195126
TI - Neighbourhood socioeconomic context, individual socioeconomic position, and
overweight in young children: a multilevel study in a large German city.
AB - BACKGROUND: The context of the close neighbourhood environment in which children
live has gained increasing attention in epidemiological research. This study
aimed to investigate if contextual neighbourhood socioeconomic position (SEP) was
independently associated with overweight in young children aged 5-7 years while
simultaneously considering a wide range of individual socioeconomic determinants
and known risk factors for overweight. METHODS: Objectively measured body mass
index (BMI) data from 3499 children (53 % boys and 47 % girls) from three surveys
between 2004 and 2007 clustered in 18 school enrolment zones in the city of
Munich, Germany, were analysed with hierarchical logistic regression models. An
index of neighbourhood SEP was calculated with principal component analysis using
aggregated data. Individual socioeconomic data, maternal BMI, and birth weight
were collected with parental questionnaires. We analysed how much of the between
neighbourhood variance of overweight was attributable to individual factors and
how much was explained by neighbourhood SEP. RESULTS: The prevalence of
overweight, including obesity, was 14.1 %. In the final adjusted model low
neighbourhood SEP was independently associated with overweight (odds ratio (OR) =
1.42, 95 % confidence interval (CI) = 1.00-2.00) compared to high neighbourhood
SEP. On the individual level low parental education (OR = 1.99, 95 % CI = 1.49
2.65) or middle parental education (OR = 1.50, 95 % CI = 1.16-1.95) compared to
high parental education and nationality of the child other than German (OR =
1.53, 95 % CI = 1.17-1.99) compared to German nationality were independently
associated with overweight. CONCLUSIONS: Whereas individual determinants were the
main drivers in explaining between neighbourhood variance, neighbourhood SEP
additionally explained differences in overweight between neighbourhoods. Thus,
considering neighbourhood context in intervention planning could result in more
effective strategies compared to measures only focusing on individual
determinants of overweight.
PMID- 27195127
TI - An evaluation of entecavir treatment among nucleos(t)ide-naive Moroccan patients
with chronic hepatitis B.
AB - OBJECTIVE: To analyse the efficacy and safety of entecavir (ETV) treatment in
nucleos(t)ide (NUC)-naive Moroccan patients with chronic hepatitis B. METHODS: We
retrospectively analysed 41 NUT-naive Moroccan patients with chronic hepatitis B
who received ETV 0,5 mg/day monotherapy for at least 3 months, of whom 3 were HBV
envelope antigen (HbeAg) positive and 38 were HBeAg negative. The primary end
point was the proportion of patients achieving virological response. Secondary
end points included biochemical response (alanine transaminase (ALT)
normalisation), serological response (HbeAg and HBV surface antigen (HBsAg) loss
or seroconversion) and safety. RESULTS: The median follow-up duration was 74
weeks (48-144 weeks) and mean age was 43.8 years. Of 41 patients, 6 were primary
non-responders and 2 achieved partial virological response at week 48, whereas 35
achieved undetectable hepatitis B virus (HBV) DNA at month 12. Viral suppression
was maintained in 97.6% of patients after 3 years of ETV treatment. One patient
experienced a virological breakthrough at month 12 of treatment. ALT
normalisation occurred in 100% of the patients after 1 year of treatment. Only
three patients in our study were HbeAg positive, of whom one has experienced
seroconversion at month 12 of treatment. However, HBsAg loss or seroconversion
was not achieved during the period of the study. No serious adverse event was
reported. CONCLUSIONS: These preliminary results showed that ETV is a safe and
potent inhibitor of HBV in NUC-naive Moroccan patients, but we need to observe
more patients for a longer period of time, in order to assess the long-term
effectiveness, safety, resistance profile and predictive factors for virological
and serological response of ETV.
PMID- 27195128
TI - Hyperbaric oxygen therapy stimulates colonic stem cells and induces mucosal
healing in patients with refractory ulcerative colitis: a prospective case
series.
AB - BACKGROUND: Hyperbaric oxygen (HBO) is used as part of treatment in a variety of
clinical conditions. Its use in the treatment of ulcerative colitis has been
reported in few clinical reports. OBJECTIVE: We report the effect of HBO on
refractory ulcerative colitis exploring one potential mechanism of action.
DESIGN: A review of records of patients with refractory ulcerative colitis who
received HBO was conducted. Clinical and histopathological scoring was utilised
to evaluate the response to HBO therapy (HBOT). RESULTS: All patients manifested
clinical improvement by the 40th cycle of HBOT. The median number of stool
frequency dropped from seven motions/day (range=3-20) to 1/day (range=0.5-3),
which was significant (z=-4.6, p<0.001). None of the patients manifested
persistent blood passage after HBOT (z=-3.2, p=0.002). The severity index
significantly improved after HBOT (z=-4.97, p<0.001). Histologically, a
significant reduction of the scores of activity was recorded accompanied by a
significant increase in the proliferating cell nuclear antigen labelling index of
the CD44 cells of the colonic mucosa (p=0.001). CONCLUSIONS: HBOT is effective in
the setting of refractory ulcerative colitis. The described protocol is necessary
for successful treatment. HBOT stimulates colonic stem cells to promote healing.
PMID- 27195129
TI - Initial US evaluation of second-generation capsule colonoscopy for detecting
colon polyps.
AB - OBJECTIVES: Capsule colonoscopy is an additional screening modality for
colorectal cancer. Second-generation capsule colonoscopy (CC2) may have improved
efficacy in the detection of colon adenomas as compared with prior devices. The
purpose of this study was to evaluate the performance of CC2 in the detection of
polyps in symptomatic and screening patients in the USA. DESIGN: Prospective,
multicentre study. SETTING AND PARTICIPANTS: Two academic medical centres and two
private practice facilities, evaluating patients with indications for
colonoscopy. METHODS: Patients underwent capsule colonoscopy procedure using
magnesium citrate as a boost, followed by colonoscopy on the same day. The main
outcome measurement was accuracy of CC2 for the detection of colorectal polyps
>=6 and >=10 mm as compared with conventional colonoscopy. RESULTS: 51 patients
were enrolled, 50 of whom had CC2 and colonoscopy examinations and were included
in the accuracy analysis. 30% and 14% of patients had polyps >=6 and >=10 mm,
respectively. For lesions >=10 mm identified on conventional colonoscopy, CC2
sensitivity was 100% (95% CI 56.1% to 100%) with a specificity of 93.0% (79.9% to
98.2%). For polyps >=6 mm, the CC2 sensitivity was 93.3% (66.0% to 99.7%) and the
specificity was 80.0% (62.5% to 90.9%). There was a 61% adequate cleansing rate
with 64% of CC2 procedures being complete. CONCLUSIONS: In the initial US
experience with CC2 there was adequate sensitivity for detecting patients with
polyps >=6 mm in size. Magnesium citrate was inadequate as a boost agent. TRIAL
REGISTRATION NUMBER: NCT01087528.
PMID- 27195130
TI - Cost-effectiveness of adalimumab, infliximab or vedolizumab as first-line
biological therapy in moderate-to-severe ulcerative colitis.
AB - BACKGROUND: There are no head-to-head randomised controlled trials (RCTs)
comparing the effectiveness of biologics in ulcerative colitis (UC). We aimed to
assess the cost-effectiveness of adalimumab, infliximab and vedolizumab as first
line agents to induce clinical remission and mucosal healing (MH) in UC. METHODS:
We constructed a decision tree based on a payer's perspective in the USA to
estimate the first year costs of adalimumab, infliximab or vedolizumab to achieve
clinical remission and MH in patients with moderate-to-severe UC. Transition
probabilities were derived from ACT, ULTRA and GEMINI RCT data. Costs were
derived from Medicare reimbursement rates and wholesale drug prices. RESULTS:
Assuming a biological-naive cohort, infliximab 5 mg/kg every 8 weeks was more
cost-effective ($99 171 per MH achieved) than adalimumab 40 mg every other week
($316 378 per MH achieved) and vedolizumab every 8 weeks ($301 969 per MH
achieved) at 1 year. Non-drug administration cost of infliximab exceeding $1974
per infusion would make adalimumab more cost-effective. First-line UC therapy
with vedolizumab would be cost-effective if the drug acquisition price was <$2537
for each 300 mg administration during the 1-year time horizon. CONCLUSIONS: If
non-drug costs of infliximab administration are not excessive (<$2000),
infliximab is the most cost-effective first-line biologic for moderate-to-severe
UC. Exceeding this threshold infusion-related cost would make adalimumab the more
cost-effective therapy. Considering its drug costs in the USA, vedolizumab
appears to be appropriately used as a second-line biologic after antitumour
necrosis factor failure.
PMID- 27195132
TI - Preface to the 3(rd) European Perspective in Thoracic Surgery special issue.
PMID- 27195131
TI - Neuroretinal hypoxic signaling in a new preclinical murine model for
proliferative diabetic retinopathy.
AB - Diabetic retinopathy (DR) affects approximately one-third of diabetic patients
and, if left untreated, progresses to proliferative DR (PDR) with associated
vitreous hemorrhage, retinal detachment, iris neovascularization, glaucoma and
irreversible blindness. In vitreous samples of human patients with PDR, we found
elevated levels of hypoxia inducible factor 1 alpha (HIF1alpha). HIFs are
transcription factors that promote hypoxia adaptation and have important
functional roles in a wide range of ischemic and inflammatory diseases. To
recreate the human PDR phenotype for a preclinical animal model, we generated a
mouse with neuroretinal-specific loss of the von Hippel Lindau tumor suppressor
protein, a protein that targets HIF1alpha for ubiquitination. We found that the
neuroretinal cells in these mice overexpressed HIF1alpha and developed severe,
irreversible ischemic retinopathy that has features of human PDR. Rapid
progression of retinopathy in these mutant mice should facilitate the evaluation
of therapeutic agents for ischemic and inflammatory blinding disorders. In
addition, this model system can be used to manipulate the modulation of the
hypoxia signaling pathways, for the treatment of non-ocular ischemic and
inflammatory disorders.
PMID- 27195133
TI - Vascular access for extracorporeal life support: tips and tricks.
AB - In thoracic surgery, extracorporeal life support (ECLS) techniques are performed
to (I) provide a short to mid term extracorporeal mechanical support; (II)
realize the gas exchanges; and (III)-depending the configuration of the circuit
substitute the failed heart function. The objective of this review is to describe
the rational of the different ECLS techniques used in thoracic surgery and lung
transplantation (LTx) with a specific attention to the vascular access.
Venovenous extracorporeal membrane oxygenation (VV ECMO) is the most common ECLS
technique used in thoracic surgery and represents the best strategy to support
the lung function. VV ECMO needs peripheral vascular access. The selection
between his double-site or single-site configuration should be decided according
the level of O2 requirements, the nosological context, and the interest to
perform an ECLS ambulatory strategy. Venoarterial (VA) ECMO uses peripheral
and/or central cannulation sites. Central VA ECMO is mainly used in LTx instead a
conventional cardiopulmonary bypass (CPB) to decrease the risk of hemorrhagic
issues and the rate of primary graft dysfunction (PGD). Peripheral VA ECMO is
traditionally realized in a femoro-femoral configuration. Femoro-femoral VA ECMO
allows a cardiocirculatory support but does not provide an appropriate
oxygenation of the brain and the heart. The isolated hypercapnic failure is
currently supported by extracorporeal CO2 removal (ECCO2R) devices inserted in
jugular or subclavian veins. The interest of the Novalung (Novalung GmbH,
Hechingen, Germany) persists due to his central configuration indicated to bridge
to LTx patients suffering from pulmonary hypertension. The increasing panel of
ECLS technologies available in thoracic surgery is the results of a century of
clinical practices, engineering progress, and improvements of physiological
knowledges. The selection of the ECLS technique-and therefore the vascular access
to implant the device-for a given nosological context trends to be defined
according an evidence-based medicine.
PMID- 27195134
TI - Non-intubated video-assisted thoracic surgery: where does evidence stand?
AB - In recent years, non-intubated video-assisted thoracic surgery (NIVATS)
strategies are gaining popularity worldwide. The main goal of this surgical
practice is to achieve an overall improvement of patients' management and outcome
thanks to the avoidance of side-effects related to general anesthesia (GA) and
one-lung ventilation. The spectrum of expected benefits is multifaceted and
includes reduced postoperative morbidity, faster discharge, decreased hospital
costs and a globally reduced perturbation of patients' well-being status. We have
conducted a literature search to evaluate the available evidence on this topic.
Meta-analysis of collected results was also done where appropriate. Despite some
fragmentation of data and potential biases, the available data suggest that
NIVATS operations can reduce operative morbidity and hospital stay when compared
to equipollent procedures performed under GA. Larger, well designed prospective
studies are thus warranted to assess the effectiveness of NIVATS as far as to
investigate comprehensively the various outcomes. Multi-institutional and
multidisciplinary cooperation will be welcome to establish uniform study
protocols and to help address the questions that are to be answered yet.
PMID- 27195135
TI - Diaphragm pacing: the state of the art.
AB - Diaphragm pacing (DP) is an orphan surgical procedure that may be proposed in
strictly selected ventilator-dependent patients to get an active diaphragm
contraction. The goal is to wean from mechanical ventilation (MV) and restore
permanent efficient breathing. The two validated indications, despite the lack of
randomised control trials, concern patients with high-level spinal cord injuries
(SCI) and central hypoventilation syndromes (CHS). To date, two different
techniques exist. The first, intrathoracic diaphragm pacing (IT-DP), based on a
radiofrequency method, in which the electrodes are directly placed around the
phrenic nerve. The second, intraperitoneal diaphragm pacing (IP-DP) uses
intradiaphragmatic electrodes implanted through laparoscopy. In both techniques,
the phrenic nerves must be intact and diaphragm reconditioning is always required
after implantation. No perioperative mortality has been reported and ventilator
weaning rate is about 72% to 96% in both techniques. Improvement of quality of
life, by restoring a more physiological breathing, has been almost constant in
patients that could be weaned. Failure or delay in recovery of effective
diaphragm contractions could be due to irreversible amyotrophy or chest wall
damage. Recent works have evaluated the interest of IP-DP in amyotrophic lateral
sclerosis (ALS). After some short series were reported in the literature, the
only multicentric randomized study including 74 ALS patients was prematurely
stopped because of excessive mortality in paced patients. Then, another trial
analysed the place of IP-DP in peripheral diaphragm dysfunction but, given the
multiple biases, the published results cannot validate that indication. Reviewing
all available literature as in our experience, shows that DP is an effective
method to wean selected patients dependent on ventilator and improve their daily
life. Other potential indications will have to be evaluated by randomised control
trials.
PMID- 27195137
TI - Surgery versus stereotactic ablative radiotherapy (SABR) for early-stage non
small cell lung cancer: less is not more.
AB - High level evidence from randomized studies comparing surgery to stereotactic
ablative radiotherapy (SABR) is lacking and available retrospective cohort and
case control studies are highly variable in how thoroughly they define and stage
lung cancer, in how they determine operability, and in the offered surgical
approaches to operable lung cancer (open vs. video-assisted). This makes it
difficult to compare best radiotherapy and best surgery approaches to treatment
and to be confident in conclusions of equipoise between the two modalities. What
has become clear from the controversy surrounding surgery versus SABR for early
stage lung cancer is the desire to optimize treatment efficacy while minimizing
invasiveness and morbidity. This review highlights the ongoing debate in light of
these goals.
PMID- 27195136
TI - European perspective in Thoracic surgery-eso-coloplasty: when and how?
AB - Colon interposition has been used since the beginning of the 20(th) century as a
substitute for esophageal replacement. Colon interposition is mainly chosen as a
second line treatment when the stomach cannot be used, when the stomach has to be
resected for oncological or technical reasons, or when the stomach is
deliberately kept intact for benign diseases in young patients with long-life
expectancy. During the surgery the vascularization of the colon must be carefully
assessed, as well as the type of the graft (right or left colon), the length of
the graft, the surgical approach and the route of the reconstruction. Early
complications such as graft necrosis or anastomotic leaks, and late complications
such as redundancy depend on the quality of the initial surgery. Despite a
complex and time-consuming procedure requiring at least three or four digestive
anastomoses, reported long term functional outcomes of colon interposition are
good, with an acceptable operative risk. Thus, in very selected indications,
colon interposition could be seen as a valuable alternative for esophageal
replacement when stomach cannot be considered. This review aims at briefly
defining "when" and "how" to perform a coloplasty through demonstrative videos.
PMID- 27195138
TI - Minimally invasive (robotic assisted thoracic surgery and video-assisted thoracic
surgery) lobectomy for the treatment of locally advanced non-small cell lung
cancer.
AB - BACKGROUND: Insufficient data exist on the results of minimally invasive surgery
(MIS) for locally advanced non-small cell lung cancer (NSCLC) traditionally
approached by thoracotomy. The use of telerobotic surgical systems may allow for
greater utilization of MIS approaches to locally advanced disease. We will review
the existing literature on MIS for locally advanced disease and briefly report on
the results of a recent study conducted at our institution. METHODS: We performed
a retrospective review of a prospective single institution database to identify
patients with clinical stage II and IIIA NSCLC who underwent lobectomy following
induction chemotherapy. The patients were classified into two groups (MIS and
thoracotomy) and were compared for differences in outcomes and survival. RESULTS:
From January 2002 to December 2013, 428 patients {397 thoracotomy, 31 MIS [17
robotic and 14 video-assisted thoracic surgery (VATS)]} underwent induction
chemotherapy followed by lobectomy. The conversion rate in the MIS group was 26%
(8/31) The R0 resection rate was similar between the groups (97% for MIS vs. 94%
for thoracotomy; P=0.71), as was postoperative morbidity (32% for MIS vs. 33% for
thoracotomy; P=0.99). The median length of hospital stay was shorter in the MIS
group (4 vs. 5 days; P<0.001). The 3-year overall survival (OS) was 48.3% in the
MIS group and 56.6% in the thoracotomy group (P=0.84); the corresponding 3-year
DFS were 49.0% and 42.1% (P=0.19). CONCLUSIONS: In appropriately selected
patients with NSCLC, MIS approaches to lobectomy following induction therapy are
feasible and associated with similar disease-free and OS to those following
thoracotomy.
PMID- 27195139
TI - Opportunities and challenges for thoracic surgery collaborations in China: a
commentary.
AB - Through a unique combination of factors-including a huge population, rapid social
development, and concentration of resources in its mega-cities-China is
witnessing phenomenal developments in the field of thoracic surgery. Ultra-high
volume centers are emerging that provide fantastic new opportunities for surgical
training and clinical research to surgeons in China and partners from other
countries. However, there are also particular shortcomings that are limiting
clinical and academic developments. To realize the potential and reap the
rewards, the challenges posed by these limitations must be overcome. Thoracic
surgeons from Europe may be particularly well-placed to achieve this through
multi-dimensional exchanges with their Chinese counterparts.
PMID- 27195140
TI - VATS lobectomy program: the trainee perspective.
AB - Due to its intrinsic characteristics, video assisted thoracic surgery (VATS)
lobectomy is currently the recommended surgical approach for early stage lung
cancer treatment. The importance of increasing the number of surgeons capable of
performing VATS lobectomies is implicit and of utmost importance. In fact, the
need of performing independently and routinely VATS lobectomies for early stage
lung cancer will soon be a prerequisite to the new generation of thoracic
surgeons. The feeling that VATS lobectomy teaching should be part of their
training is strongly felt among trainees but, at the moment, a formal, uniform
and certified process of learning VATS lobectomy is not available in all training
centres. Perhaps, through the supervision, support and aid from national and
European Thoracic Surgery Societies, programs of integration of recognized,
standardized and certified teaching of VATS lobectomy could be planned and
undertaken by the training centres, both at national as well as European level.
PMID- 27195141
TI - Comparison of Subjective Wellbeing and Positive Future Expectations in Between
Working and Nonworking Adolescents in Turkey.
AB - BACKGROUND: Wellbeing is one's evaluation and judgment of one's life. It consists
of 3 dimensions: positive affectivity, negative affectivity, and life
satisfaction. OBJECTIVES: This study aimed to compare the subjective wellbeing
and positive future expectations between working and nonworking adolescents.
PATIENTS AND METHODS: The study was designed as descriptive and comparative. The
study sample consisted of 420 working and 482 nonworking adolescents (n = 902)
aged 15 - 20 years, who were randomly recruited from two occupational education
centers in Istanbul, Turkey and two high schools (formal educations) in the same
district. RESULTS: Adolescent subjective wellbeing scale (ASWS) total mean (SD)
scores for working adolescents and nonworking adolescents were 48.76 (9.50) and
49.72 (8.01), respectively. In addition, positive future expectations scale
(PFES) total mean (SD) scores for working adolescents and nonworking adolescents
were 18.71 (4.50) and 19.06 (3.49), respectively. In this study, no significant
difference was found between the general wellbeing (scale total median score)
scores of the working and nonworking adolescent groups (Z = 1.01, P = 0.315).
However, significant differences were found in the family relations satisfaction
(Z = 3.23, P = 0.002) and relations with significant others (Z = 2.85, P = 0.004)
subscales of the ASWS. CONCLUSIONS: A positive relationship was found between
adolescent subjective wellbeing and positive future expectations. It was found
that nonworking adolescents scored higher on the dimensions of "family relations"
and "relations with significant others" of subjective wellbeing compared to those
dimensions in working adolescents.
PMID- 27195142
TI - Long-Term Exposure of Lead Acetate on Rabbit Renal Tissue.
AB - BACKGROUND: Lead has been widely used in different industries for ages. It is one
of the heavy metals, highly poisonous even at low doses, and has biochemical,
physiological and behavioral side effects on human and animals. It has been shown
that lead has toxic effects on different tissues such as neural and genitourinary
tissues, cardiovascular systems and blood. Therefore, high attention has been
paid to its environmental pollutions. OBJECTIVES: Although many histological and
biochemical studies have reported about the effects of lead on the renal tissue,
there are a few studies about the ultrastructure and morphometric effects of lead
on the kidney. Hence, the aim of this study was the evaluation of morphology and
morphometrics of rabbit renal urinary barrier ultrastructure following long-term
exposure to lead acetate. MATERIALS AND METHODS: In this experimental study, 20
male New Zealand rabbits were divided into control and test groups (10 in each).
The test group was injected intraperitoneally with chronic dose (8.5 mg/kg of
body weight) of lead acetate and for the control group the same volume of normal
saline was used, every other day for 10 weeks. After anesthetizing, the biopsies
of renal tissues were taken for light and electron microscopic morphometric and
morphologic analyses. RESULTS: Long-term exposure to lead acetate caused
histopathology effects including dilatation, congestion, nuclei heterochromatic
effects, increase in diameter of renal tubules and urinary barrier thickness in
rabbit renal tissue. CONCLUSIONS: Quantitative and qualitative results of long
term lead acetate exposure showed many histopathology side-effects, especially in
the urinary barrier.
PMID- 27195144
TI - Idiopathic Systemic Capillary Leak Syndrome: A Case Report.
AB - INTRODUCTION: Idiopathic systemic capillary leak syndrome (ISCLS) is rarely seen,
and presents with recurrent episodes of hypotension, shock, hemoconcentration,
and hypoproteinemia. The main pathology is the dysfunction of the vascular
endothelium, and it is characterized by an increase of capillary permeability
that is accompanied by the loss of intravascular fluid and protein. CASE
PRESENTATION: We present a 58-year-old female who presented with peripheral
edema, leg pain, and syncope at the emergency department. Interestingly
demyemilising neuropathy, which is a rare finding, ensued on day 4. She is still
being treated using intravenous immunoglobulin therapy. CONCLUSIONS: The early
signs and symptoms of ISCLS may be subtle; therefore the diagnosis can easily be
missed and prompt treatment of the syndrome may be postponed. Thus, the clinician
must consider ISCLS in differential diagnosis in cases of hypotension,
hemoconcentration, and hypoalbuminemia.
PMID- 27195143
TI - Distribution of Genes Encoding Resistance to Macrolides Among Staphylococci
Isolated From the Nasal Cavity of Hospital Employees in Khorramabad, Iran.
AB - BACKGROUND: Epidemiological data on antibiotic susceptibility of Staphylococcus
strains isolated from nasal carriers in each region can be helpful to select
appropriate drugs to eradicate carriage states, control nosocomial infections and
also treat patients. OBJECTIVES: The current study aimed to investigate the
antibiotic resistance profile and the molecular prevalence of the ermA, ermB,
ermC and msrA genes among Staphylococcus strains isolated from the anterior nares
of hospital employees. PATIENTS AND METHODS: In this cross-sectional study, a
total of 100 Staphylococcus isolates, 51 Staphylococcus aureus, 49 coagulase
negative staphylococci (CoNS) were isolated from the anterior nares of hospital
employees in Khorramabad, Iran. Susceptibility pattern to macrolide antibiotics
were determined using the disk diffusion method. The polymerase chain reaction
(PCR) assay was applied to determine the major erythromycin-resistant genes
(ermA, ermB, ermC and msrA). RESULTS: Fifty-three (53%) isolates were
simultaneously resistant to erythromycin, azithromycin and clarithromycin (cross
resistance); while 8 (8%) isolates had variable macrolide susceptibility pattern.
Among the S. aureus isolates, the difference in prevalence of resistance to
erythromycin between males and females was significant (P = 0.011). The frequency
of ermA, ermB, ermC, and msrA genes were 3%, 5%, 33% and 20%, respectively. It
was also found that out of 53 isolates resistant to erythromycin, 44 (83%)
isolates (eight S. aureus and thirty-six CoNS strains) carried at least one of
the four tested genes. Eight (8%) isolates had intermediate phenotype to
erythromycin, in which 4 (50%) isolates carried ermB or ermC genes. In addition,
out of 39 erythromycin-susceptible isolates, 3 (7.7%) isolates were positive for
ermB or ermC genes. CONCLUSIONS: No entire association was found between genotype
and phenotype methods to detect macrolides-resistant isolates. In addition,
distribution of genetically erythromycin-resistant isolates is geographically
different among staphylococci. It is recommend removing S. aureus from nasal
carriers by proved approaches such as local or systemic administration of
effective antibiotics or bacterial interference.
PMID- 27195145
TI - Comparing Gabapentin and Celecoxib in Pain Management and Complications After
Laminectomy: A Randomized Double-Blind Clinical Trial.
AB - BACKGROUND: Complications and postoperative pain are major care problems that can
affect the quality of health care plan. OBJECTIVES: According to the use of
multimodal therapy the current study aimed to compare the efficacy of gabapentin
and celecoxib in pain management and complications after laminectomy at Ilam
University of Medical Sciences, Ilam, Iran, in 2015. PATIENTS AND METHODS: In
this randomized double-blind clinical trial, 114 patients scheduled for elective
laminectomy with simple random sampling design received gabapentin (n = 38, 900
mg/day), celecoxib (n = 38, 600 mg/day) and placebo (n = 38, capsule contain
starch). Visual analog scale (VAS) was used to determine the intensity of pain.
Complications after surgery, anxiety scores before surgery and patient's
satisfaction 24 hours after the surgery were recorded. RESULTS: The mean pain
intensity in the gabapentin group was lower compared to those of the placebo and
celecoxib groups respectively at different time durations (P < 0.001). The means
of morphine consumption were 11.9 mg, 22.8 mg and 30.1 mg in the gabapentin,
celecoxib and placebo groups, respectively (P < 0.001). The prevalence of
shivering, nausea, vomiting and pruritus were 10.5%, 12.8%, 10.3% and 18.4% in
the gabapentin group vs 31.5%, 29.8%, 32.4% and 28.9% in the celecoxib group and
42.1%, 44.7%, 39.5% and 44.7% in the placebo group (P < 0.001). The mean anxiety
score in the gabapentin group was 2.4 vs those of the celecoxib group 3 and
placebo group 3.6 (P < 0.001). The frequencies of drowsiness were 42.1%, 13.2%
and 5.3% in the gabapentin, celecoxib and placebo groups, respectively (P <
0.001). In the gabapentin group, patient satisfaction was significantly higher
compared to those of the placebo and celecoxib groups (P < 0.05). CONCLUSIONS:
According to the effect of gabapentin on pain management, complications after
laminectomy and increased patients satisfaction, it can be regarded as an alter
native in multimodal analgesia.
PMID- 27195146
TI - Intrastromal Injection of China Painting Ink in Corneas of Male Rabbits: Clinical
and Histological Study.
AB - Background. Many patients with corneal opacity or complicated cataract in blind
eye ask for cosmoses. In this study we tried to investigate the staining of
corneas of male rabbits by Rotring China painting ink and to study the
histological changes. Method. 10 eyes of 10 male Baladi Egyptian rabbits were
injected (0.1 mL) intrastromally in the cornea by the use of China painting ink
(Rotring Tinta China) through insulin syringe (27-gauge needle) by single
injection; clinical follow-up is for 6 months and lastly the rabbits were
scarified and the stained eyes were enucleated for histological analysis.
Results. Clinically the stain was stable in color and distribution in corneas
with no major complications. Histological results of the stained rabbit corneas
showed blackish pigmentation in the corneal stroma without any inflammatory
cellular infiltration. Some fibroblast cells had pigment granules in their
cytoplasm in the adjacent layers. Conclusion. Corneal staining by China painting
ink is effective and safe in staining of male rabbits cornea; however further
study in human corneas with longer follow-up period is advisable.
PMID- 27195147
TI - Transfusion Thresholds, Quality of Life, and Current Approaches in
Myelodysplastic Syndromes.
AB - Hemoglobin thresholds and triggers for blood transfusions have changed over the
years moving from a higher to a lower level. This review article summarizes the
current evidence of transfusion thresholds in the hospitalized as well as in the
outpatient setting and particularly in myelodysplasia. Fatigue is the main
reported symptom in this group of patients and current clinical trials are
looking for a more liberal approach of red cell transfusion and the effect on
quality of life as opposed to the restrictive strategy used in the critical care
setting. Practical considerations, the cost effectiveness of this strategy in
addition to the possible complications, and the use of quality of life
questionnaires have also been reviewed.
PMID- 27195148
TI - Neutropenic Sepsis in the ICU: Outcome Predictors in a Two-Phase Model and
Microbiology Findings.
AB - Objective. Patients with neutropenic sepsis have a poor prognosis. We aimed to
identify outcome predictors and generate hypotheses how the care for these
patients may be improved. Methods. All 12.352 patients admitted between 2006 and
2011 to the medical ICUs of our tertiary university center were screened for
neutropenia; out of 558 patients identified, 102 fulfilled the inclusion criteria
and were analyzed. Severity markers and outcome predictors were assessed.
Results. The overall ICU mortality was 54.9%. The severity of sepsis and the
number of organ failures predicted survival of the primary septic episode (APACHE
II 22.8 and 29.0; SOFA 7.3 and 10.1, resp.). In the recovery phase, persistent
organ damage and higher persistent C-reactive protein levels were associated with
a poor outcome. Blood transfusions and CMV infection correlated with an
unfavorable prognosis. Ineffective initial antibiotic therapy, fungal infections,
and detection of multiresistant bacteria displayed a particularly poor outcome.
Infections with coagulase-negative staphylococci and enterococci were associated
with a significantly higher mortality and a high degree of systemic inflammation.
Conclusion. Patients with persistent organ dysfunction show an increased
mortality in the further course of their ICU stay. Early antimicrobial treatment
of Gram-positive cocci may improve the outcome of these patients.
PMID- 27195149
TI - Two-Year Follow-Up Analysis of Telaprevir-Based Antiviral Triple Therapy for HCV
Recurrence in Genotype 1 Infected Liver Graft Recipients as a First Step towards
Modern HCV Therapy.
AB - Objective. The introduction of protease inhibitors telaprevir and boceprevir in
2011 had extended the antiviral treatment options especially in genotype 1
infected hepatitis C relapsers and nonresponders to interferon/ribavirin therapy.
The aim of this study was to analyze the long-term treatment efficiency of
telaprevir-based triple therapy for patients with hepatitis C reinfection after
orthotopic liver transplantation. Patients and Methods. We included 12 patients
with histologically confirmed graft fibrosis due to hepatitis C reinfection. The
treatment duration was scheduled as 12 weeks of telaprevir-based antiviral triple
therapy followed by 36 weeks of dual therapy with pegylated interferon/ribavirin.
The patients were followed up for two years after the end of triple therapy.
Results. Of the 12 patients, 6 (50%) completed the full 48 weeks of antiviral
treatment. An end of treatment response and a sustained virological response 52
weeks after the end of the antiviral treatment course were achieved in 8/12 (67%)
and 7/12 (58%) patients, respectively. Conclusion. Telaprevir-based triple
therapy was shown to be a long-term effective but complex treatment option for
individual patients with hepatitis C graft. With the recent improvements in
hepatitis C therapy options telaprevir may not be recommended as a standard
therapy for this indication anymore.
PMID- 27195151
TI - The Activity of Surface Electromyographic Signal of Selected Muscles during
Classic Rehabilitation Exercise.
AB - Objectives. Prone bridge, unilateral bridge, supine bridge, and bird-dog are
classic rehabilitation exercises, which have been advocated as effective ways to
improve core stability among healthy individuals and patients with low back pain.
The aim of this study was to investigate the activity of seven selected muscles
during rehabilitation exercises through the signal of surface electromyographic.
Approaches. We measured the surface electromyographic signals of four lower limb
muscles, two abdominal muscles, and one back muscle during rehabilitation
exercises of 30 healthy students and then analyzed its activity level using the
median frequency method. Results. Different levels of muscle activity during the
four rehabilitation exercises were observed. The prone bridge and unilateral
bridge caused the greatest muscle fatigue; however, the supine bridge generated
the lowest muscle activity. There was no significant difference (P > 0.05)
between left and right body side muscles in the median frequency slope during the
four rehabilitation exercises of seven muscles. Conclusions. The prone bridge can
affect the low back and lower limb muscles of most people. The unilateral bridge
was found to stimulate muscles much more active than the supine bridge. The bird
dog does not cause much fatigue to muscles but can make most selected muscles
active.
PMID- 27195152
TI - Physical and Psychosocial Functions of Adults with Lower Limb Congenital
Deficiencies and Amputations in Childhood.
AB - Objectives. (1) To describe the epidemiological and medical features of a sample
with LLA and LLD in childhood and (2) to explore their relationship with
subsequent physical and psychosocial functions in adulthood. Methods. Cross
sectional survey. Demographics, medical data, Locomotor Capabilities Index (LCI),
and Discomfort-Engagement in Everyday Activities Involving Revealing the Body
Scale (D-EEARB) were collected from thirty-two adults who suffered from LLA in
childhood or LLD. Results. Most of the sample (53.1% males) was working (84.4%),
living independently (75%), and single (75%). Mean age was 33.16 (SD = 7.64,
range 18-50). Leading causes for LLA were traumatic (40.6%) and oncologic (25%).
LLD was present in 6 cases (18.8%). LCI scores revealed a high performance among
males (t 17,464 = 2.976, p = .008). D-EEARB scores showed that 56.25% stated
feeling "quite" or "totally comfortable" in situations which involved revealing
their body, but 43.75% stated the contrary ("uncomfortable" or "very
uncomfortable"). LLD and traumatic LLA show higher scores in D-EEARB than
vascular and oncological LLA (chi (2) = 7.744, df = 3, p = .05). Conclusions.
Adults suffering from LLDs and LLAs during childhood seem to perform well once
they are adults. However, 43.75% of patients express considerable discomfort in
situations that involve revealing the body.
PMID- 27195150
TI - A Low-Protein Diet Enhances Angiotensin II Production in the Lung of Pregnant
Rats but not Nonpregnant Rats.
AB - Pulmonary angiotensin II production is enhanced in pregnant rats fed a low
protein (LP) diet. Here we assessed if LP diet induces elevations in angiotensin
II production in nonpregnant rats and whether Ace expression and ACE activity in
lungs are increased. Nonpregnant rats were fed a normal (CT) or LP diet for 8,
12, or 17 days and timed pregnant rats fed for 17 days from Day 3 of pregnancy.
Plasma angiotensin II, expressions of Ace and Ace2, and activities of these
proteins in lungs, kidneys, and plasma were measured. These parameters were
compared among nonpregnant rats or between nonpregnant and pregnant rats fed
different diets. Major findings are as follows: (1) plasma angiotensin II levels
were slightly higher in the LP than CT group on Days 8 and 12 in nonpregnant
rats; (2) expression of Ace and Ace2 and abundance and activities of ACE and ACE2
in lungs, kidneys, and plasma of nonpregnant rats were unchanged by LP diet
except for minor changes; (3) the abundance and activities of ACE in lungs of
pregnant rats fed LP diet were greater than nonpregnant rats, while those of ACE2
were decreased. These results indicate that LP diet-induced increase in pulmonary
angiotensin II production depends on pregnancy.
PMID- 27195154
TI - A Case of Arterial and Venous Tear during Single Lead Extraction.
AB - Transcutaneous lead extraction can be associated with significant morbidity and
mortality. The risk of causing concomitant arterial and venous injury is rare. We
report a case of marginal artery rupture with coronary sinus rupture after a CS
lead extraction. A 71-year-old male was admitted for extraction of a 6-year-old
implantable cardioverter-defibrillator lead due to fracture from insulation
break. During the lead extraction, blood pressure fell precipitously and
echocardiographic findings were consistent with pericardial effusion. After
unsuccessful pericardiocentesis, open chest sternotomy and evacuation of hematoma
was performed. Subsequent surgical repair of several injuries was completed
including the distal coronary sinus, a large degloving injury of posterior
portion of the heart, and first obtuse marginal branch bleed. This case
demonstrates that when performing transcutaneous lead extraction (TLE) with laser
sheath, a degloving injury can cause arterial rupture with concomitant coronary
sinus injury. A multidisciplinary team-based approach can ensure patient safety.
Learning Objective. Implantable cardioverter-defibrillator leads will falter over
time. With the advancement of new technology for extraction more frequent and
serious complications will occur. Active fixation CS leads present unique
challenges. In the presence of hemodynamic changes during extraction the
occurrence of both an arterial and venous injury must be considered.
PMID- 27195155
TI - Seven-Year Follow-Up of Peutz-Jeghers Syndrome.
AB - One of the clinicopathological criteria for diagnosing Peutz-Jeghers syndrome
(PJS) is mucocutaneous pigmentation. We present a 57-year-old Iranian female
patient with diffuse pigmentation in buccal and labial mucosa. The first
colonoscopy revealed one 0.5 cm rectal polyp. However surveillance colonoscopies
over a 7-year polyp showed over 100 colorectal polyps.
PMID- 27195156
TI - Immediate Esthetic Rehabilitation of Periodontally Compromised Anterior Tooth
Using Natural Tooth as Pontic.
AB - For patients who require removal of anterior teeth and their replacement various
treatment modalities are available. With advancement in technology and
availability of glass/polyethylene fibres, use of natural tooth as pontic with
fibre reinforced composite restorations offers the promising results. The present
case report describes management of periodontally compromised mandibular anterior
tooth using natural tooth pontic with fibre reinforcement. A 1-year follow-up
showed that the bridge was intact with good esthetics and no problem was
reported.
PMID- 27195157
TI - Gigantomastia and Macroprolactinemia Responding to Cabergoline Treatment: A Case
Report and Minireview of the Literature.
AB - Background. Macroprolactinemia is defined as predominance of high molecular
weight prolactin forms in the circulation. Although macroprolactin is considered
as a biologically inactive molecule, some authorities suggest treatment in
symptomatic cases. Gigantomastia is defined as excess breast tissue and most
cases in the literature were treated by surgical intervention. Case. A 44-year
old woman was admitted to our clinic with gigantomastia and galactorrhea. The
patient had a demand for surgical therapy. In laboratory examination, she had
hyperprolactinemia and macroprolactinemia. Pituitary imaging revealed 6 mm
microadenoma in right side of the hypophysis. Since she was symptomatic,
cabergolin treatment was started. Macroprolactin became negative, breast
circumference decreased significantly, and galactorrhea resolved after treatment.
Conclusion. Gigantomastia might be the presenting symptom in patients with
macroprolactinemia. In these patients medical treatment with cabergoline may be
used initially as an alternative to surgical approach.
PMID- 27195158
TI - Herpes Simplex Virus-2 Esophagitis in a Young Immunocompetent Adult.
AB - Herpes simplex esophagitis (HSE) is commonly identified in immunosuppressed
patients. It is rare among immunocompetent patients and almost all of the
reported cases are due to HSV-1 infection. HSV-2 esophagitis is extremely rare.
We report the case of a young immunocompetent male who presented with dysphagia,
odynophagia, and epigastric pain. Endoscopy showed multitudes of white nummular
lesions in the distal esophagus initially suspected to be candida esophagitis.
However, classic histopathological findings of multinucleated giant cells with
eosinophilic intranuclear inclusions and positive HSV-2 IgM confirmed the
diagnosis of HSV-2 esophagitis. The patient rapidly responded to acyclovir
treatment. Although HSV-2 is predominantly associated with genital herpes, it can
cause infections in other parts of the body previously attributed to only HSV-1
infection.
PMID- 27195159
TI - Whole Exome Sequencing Leading to the Diagnosis of Dysferlinopathy with a Novel
Missense Mutation (c.959G>C).
AB - Dysferlinopathy is an uncommon, progressive muscular dystrophy that has a wide
phenotypic variability and primarily supportive management (Nguyen et al., 2007;
Narayanaswami et al., 2014). Amyloid myopathy is a distinct, rare disorder that
can present similarly to inflammatory myopathies and requires a high clinical
suspicion for early intervention to prolong survival. Amyloid myopathy is
typically associated with other systemic manifestations of amyloidosis, but rare
cases of isolated amyloid myopathy have been described (Mandl et al., 2000; Hull
et al., 2001). Positive Congo red stains on tissue biopsy remain the gold
standard for diagnosis (Spuler et al., 1998; Karacostas et al., 2005). A high
clinical suspicion and meticulous diagnostic workup that includes novel
techniques are necessary for identifying these rare disorders. We report a middle
aged man with progressive leg muscle weakness who was initially treated as having
amyloid myopathy but was later diagnosed as having dysferlinopathy by Whole Exome
Sequencing (WES) analysis. We also report a novel missense mutation (c.959G>C) to
help correlate in any patient with presumed dysferlinopathy and to add to the
already known genotype of this disorder.
PMID- 27195160
TI - Endoport-Assisted Microsurgical Treatment of a Ruptured Periventricular Aneurysm.
AB - Background and Importance. Ruptured periventricular aneurysms in patients with
moyamoya disease represent challenging pathologies. The most common methods of
treatment include endovascular embolization and microsurgical clipping. However,
rare cases arise in which the location and anatomy of the aneurysm make these
treatment modalities particularly challenging. Clinical Presentation. We report a
case of a 34-year-old female with moyamoya disease who presented with
intraventricular hemorrhage. CT angiography and digital subtraction angiography
revealed an aneurysm located in the wall of the atrium of the right lateral
ventricle. Distal endovascular access was not possible, and embolization risked
the sacrifice of arteries supplying critical brain parenchyma. Using the
BrainPath endoport system, the aneurysm was able to be accessed. Since the
fusiform architecture of the aneurysm prevented clip placement, the aneurysm was
ligated with electrocautery. Conclusion. We demonstrate the feasibility of
endoport-assisted approach for minimally invasive access and treatment of
uncommon, distally located aneurysms.
PMID- 27195153
TI - Modulation of Synaptic Plasticity by Glutamatergic Gliotransmission: A Modeling
Study.
AB - Glutamatergic gliotransmission, that is, the release of glutamate from
perisynaptic astrocyte processes in an activity-dependent manner, has emerged as
a potentially crucial signaling pathway for regulation of synaptic plasticity,
yet its modes of expression and function in vivo remain unclear. Here, we focus
on two experimentally well-identified gliotransmitter pathways, (i) modulations
of synaptic release and (ii) postsynaptic slow inward currents mediated by
glutamate released from astrocytes, and investigate their possible functional
relevance on synaptic plasticity in a biophysical model of an astrocyte-regulated
synapse. Our model predicts that both pathways could profoundly affect both short
and long-term plasticity. In particular, activity-dependent glutamate release
from astrocytes could dramatically change spike-timing-dependent plasticity,
turning potentiation into depression (and vice versa) for the same induction
protocol.
PMID- 27195161
TI - Durable Clinical Benefit of Pertuzumab in a Young Patient with BRCA2 Mutation and
HER2-Overexpressing Breast Cancer Involving the Brain.
AB - Patients with HER2-positive breast cancer and brain metastases have limited
treatment options, and, as a result of their poor performance status and worse
prognosis, they are underrepresented in clinical trials. Not surprisingly, these
patients may not be fit enough to receive any active treatment and are offered
supportive therapy. BRCA2 mutations are reported to be rarely associated with
HER2-overexpressing advanced breast cancer and even more rarely with brain
metastases at diagnosis. We report on a BRCA2-positive breast cancer patient with
metastatic disease in multiple sites, including the brain, and poor performance
status who exhibited an extraordinary clinical and imaging response to the novel
anti-HER2 therapy pertuzumab after multiple lines of therapy including anti-HER2
targeting. To our knowledge, the clinicopathologic and therapeutic
characteristics of this patient point to a unique case and an urgent need for
further investigation of pertuzumab in patients with brain metastases.
PMID- 27195162
TI - A Case of Hyperammonemia Associated with High Dihydropyrimidine Dehydrogenase
Activity.
AB - Over the past decades, 5-Fluorouracil (5-FU) has been widely used to treat
several types of carcinoma, including esophageal squamous cell carcinoma. In
addition to its common side effects, including diarrhea, mucositis, neutropenia,
and anemia, 5-FU treatment has also been reported to cause hyperammonemia.
However, the exact mechanism responsible for 5-FU-induced hyperammonemia remains
unknown. We encountered an esophageal carcinoma patient who developed
hyperammonemia when receiving 5-FU-containing chemotherapy but did not exhibit
any of the other common adverse effects of 5-FU treatment. At the onset of
hyperammonemia, laboratory tests revealed high dihydropyrimidine dehydrogenase
(DPD) activity and rapid 5-FU clearance. Our findings suggested that 5-FU
hypermetabolism may be one of the key mechanisms responsible for hyperammonemia
during 5-FU treatment.
PMID- 27195163
TI - Unilateral Optic Neuritis: A Rare Complication after Measles-Mumps-Rubella
Vaccination in a 30-Year-Old Woman.
AB - Purpose. To report a case of unilateral optic neuritis following Measles-Mumps
Rubella (MMR) vaccination. Methods. A 30-year-old female developed unilateral
optic neuritis five days after a Measles-Mumps-Rubella (MMR) booster vaccination.
The patient displayed unilateral involvement, with severe visual loss. However,
visual acuity improved significantly after four days of intravenous steroid
therapy with 500 mg/day of methylprednisolone. Conclusions. Optic neuritis is one
of the rare complications associated with the mumps, measles, and rubella
vaccine. It may be a toxic reaction to the nonviral component of the vaccine, but
the exact etiology is unknown. Postvaccination neuritis is generally bilateral
and usually affects children. In adults, unilateral optic neuritis is usually
correlated with multiple sclerosis (MS).
PMID- 27195164
TI - Successful Treatment of Bacillus cereus Bacteremia in a Patient with Propionic
Acidemia.
AB - Bacillus cereus can cause serious, life-threatening, systemic infections in
immunocompromised patients. The ability of microorganism to form biofilm on
biomedical devices can be responsible for catheter-related bloodstream
infections. Other manifestations of severe disease are meningitis, endocarditis,
osteomyelitis, and surgical and traumatic wound infections. The most common
feature in true bacteremia caused by Bacillus is the presence of an intravascular
catheter. Herein, we report a case of catheter-related bacteremia caused by B.
cereus in a patient with propionic acidemia.
PMID- 27195165
TI - Onychomycosis due to Candida parapsilosis in a Child with Ventricular Septal
Defect: An Unusual Predisposition.
AB - Candida parapsilosis is emerging as a potential pathogen for onychomycosis. A 4
year-old male child with perimembranous ventricular septal defect (VSD) was
admitted with features of cystitis and was treated with broad spectrum
antibiotics. Two weeks later, he developed yellowish discoloration of nails of
both hands. The sloughed out nail, on microscopy, showed numerous yeast forms
that were identified as Candida parapsilosis by both phenotypic and genotypic
methods. Antifungal sensitivity testing of the isolate was performed by
microbroth dilution method in accordance with CLSI guidelines. Patient was
successfully treated with topical amphotericin B and oral fluconazole. Thus, one
should have a high index of suspicion of C. parapsilosis onychomycosis,
especially when the patient is in the paediatric age group, presenting with
unusual predisposing condition like congenital heart disease, and is on broad
spectrum antibiotics.
PMID- 27195166
TI - Reticulated, Hyperchromic Rash in a Striated Pattern Mimicking Atopic Dermatitis
and Fungal Infection in a 2-Month-Old Female: A Case of Incontinentia Pigmenti.
AB - We present a 12-month-old Hispanic female with a reticulated, hyperchromic rash
in a striated pattern appearing on upper and lower extremities and trunk and back
since the age of 6 weeks. Over the next 10 months, the rash persisted. The rash
did not respond to treatment with antifungals and steroids. During her 6-month
wellness visit, the patient was diagnosed with incontinentia pigmenti (IP), a
rare X-linked dominant disorder, fatal to male fetuses in utero. IP can lead to
serious neurological and ophthalmologic consequences. Early diagnosis by primary
care physicians and parental education about the condition are essential for
prevention of retinal detachment, developmental delay, and dental abnormalities.
PMID- 27195167
TI - Robotic Compartment-Based Radical Surgery in Early-Stage Cervical Cancer.
AB - A radical hysterectomy with pelvic lymphadenectomy is the recommended treatment
option in patients with early-stage cervical cancer. Although various
classifications were developed in order to define the resection margins of this
operation, no clear standardization could be achieved both in the nomenclature
and in the extent of the surgery. Total mesometrial resection (TMMR) is a novel
procedure which aims to remove all components of the compartment formed by
Mullerian duct in which female reproductive organs develop. TMMR differs from the
conventional radical hysterectomy techniques in that its surgical philosophy,
terminology, and partly resection borders are different. In this paper, a TMMR
with therapeutic pelvic lymphadenectomy operation that we performed for the first
time with robot-assisted laparoscopic (robotic) approach in an early-stage
cervical cancer patient was presented. This procedure has already been described
in open surgery by Michael Hockel and translated to the robotic surgery by Rainer
Kimmig. Our report is the second paper, to our knowledge, to present the initial
experience regarding robotic TMMR in the English literature.
PMID- 27195168
TI - Panniculectomy and Cystectomy: An Approach to the Morbidly Obese Patient.
AB - The obese patient undergoing radical cystectomy faces a unique set of challenges.
We present the case of a 68-year-old gentleman who presented to our institution
with Bacillus Calmette-Guerin refractory disease, a body mass index of 38.5, and
a large pannus. The present paper describes our technique for performing radical
cystectomy with ileal conduit urinary diversion and concomitant panniculectomy.
We discuss the impact of obesity on patients undergoing radical cystectomy and
how this may be mitigated by panniculectomy.
PMID- 27195170
TI - Prevalence and Correlates of Alcohol Use among a Sample of Nigerian Semirural
Community Dwellers in Nigeria.
AB - Objective. To determine the prevalence and correlates of alcohol use among a
sample of Nigerian semirural community dwellers in Nigeria. Methods. In a single
arm nonrandomized intervention study, the assessment of baseline hazardous and
harmful alcohol use and associated risk factors was conducted in two semirural
local government areas of Oyo State, Nigeria, with the Alcohol, Smoking and
Substance Involvement Screening Test (ASSIST). Participants included 1203
subjects 15 years and older, recruited between October 2010 and April 2011.
ASSIST score of 0-10 was classified as lower risk scores, 11-26 as moderate risk,
and 27+ as high risk. Results. Prevalence of lifetime alcohol use was 57.9% and
current alcohol use was 23.7%. Current alcohol use was more prevalent among the
younger age group p = 0.02, male gender p = 0.003, unmarried p < 0.01, low
educational level p = 0.003, low socioeconomic class p = 0.01, unemployed p <
0.001, and the Christians p < 0.01. Of the current drinkers, the majority (69.1%)
were at either moderate or high health risk from alcohol use. Conclusion. Alcohol
consumption is prevalent in semirural communities in Nigeria and the majority of
these drinkers are at moderate or high health risk. Screening, brief
intervention, and referral for treatment for unhealthy alcohol use should be
integrated into community care services in Nigerian rural communities.
PMID- 27195169
TI - Leiomyoma in a Renal Allograft.
AB - Leiomyomas are smooth muscle tumours that are rarely found in the kidney. There
is one report of a leiomyoma in a kidney transplant in a paediatric recipient.
Here, we report an adult renal transplant recipient who developed an Epstein-Barr
virus-positive leiomyoma in his allograft 15 years after transplantation. The
patient was converted to everolimus for posttransplant immunosuppression
management and there was no sign of progression over a year.
PMID- 27195171
TI - Poor Performance of the Chlamydia Rapid Test Device for the Detection of
Asymptomatic Infections in South African Men: A Pilot Study.
AB - Background. To the best of our knowledge, there have been no published reports on
the diagnostic performance of the Chlamydia Rapid Test (CRT) Device for male
urine samples. We evaluated the performance of the CRT Device when compared with
that of the BD ProbeTec ET PCR Assay in a population of asymptomatic men.
Methods. The study enrolled 100 men between June and July 2015. From each
consenting male, 20-30 mL of urine was collected. Sensitivity and specificity of
the rapid test compared to PCR were calculated. All analysis was performed in
STATA version 13. Results. All men had valid rapid and PCR test results. The test
showed a low sensitivity against PCR (20%) (95% CI 3.7-6.2%); however, an
excellent specificity was observed (100%) (one sided 97.5% CI: 96.0-100).
Conclusions. This test was not found to be suitable as a screening tool for
genital Chlamydia infections in men. Our findings emphasize the need for more
sensitive POC tests to be developed since the current approach for the management
of STIs in Africa is confounded by poor sensitivity and specificity resulting in
many infected individuals not being treated.
PMID- 27195172
TI - Nonmotor Features in Parkinson's Disease: What Are the Most Important Associated
Factors?
AB - Introduction. The purpose of this study was to demonstrate the frequency and
severity of nonmotor symptoms and their correlations with a wide range of
demographic and clinical factors in a large cohort of patients with Parkinson's
disease (PD). Methods. 268 PD patients were assessed using the validated Movement
Disorders Society's Unified Parkinson's Disease Rating Scale (MDS-UPDRS), the
Beck Depression Inventory (BDI), Parkinson's Disease Questionnaire (PDQ-39), the
Hoehn and Yahr scale (HY), the Schwab and England Activities of Daily Living (SE
ADL) Scale, and the Minimental State Examination (MMSE). Results. Nonmotor
symptoms had a strong positive relationship with depression and lower quality of
life. Also, age, duration and severity of PD, cognitive impairment, daily dose,
and duration of levodopa treatment correlated with the burden of nonmotor
symptoms. Patients with postural instability and gait disorder (PIGD) dominance
or with the presence of motor complications had higher MDS-UPDRS Part I scores
expressing the load of nonmotor features, compared to participants with other
disease subtypes or without motor complications. Conclusions. Though the severity
of individual nonmotor symptoms was generally rated by PD patients as "mild" or
less, we found a significant cumulative effect of nonmotor symptoms on patients'
mood, daily activities, and quality of life.
PMID- 27195173
TI - A FACS Based Case Study on Two HbE-beta Thalassaemia Members of a Family, Having
Similar Mutational Background.
AB - In this report we have tried to explain the reasons behind the difference in the
pattern of transfusion requirement between two members of a family with similar
beta-globin mutation. The father and younger son both are HbE-beta, but the
father never had transfusion, whereas the younger son takes transfusion monthly.
Mother and the elder son are HbEE without any history of transfusion. beta-globin
mutations of all family members were determined by ARMS-PCR. These were
reconfirmed by direct sequencing of beta-globin gene. Father and younger son were
found to be Cod 26 (G-A)/IVS 1-5 (G-C), whereas mother and elder son were found
to be Cod 26 (G-A)/Cod 26 (G-A). XmnI sequencing also revealed that all members
of the family were CC. Then, flow cytometry study of red blood cells (RBCs) was
performed to measure the oxidative stress of the RBCs. This study was also done
on the light and dense fractions of the RBC population of the father and younger
son. It was seen that the younger son suffers severe oxidative stress, which can
be explained by his higher transfusion requirement. From our work, we have
established the importance of taking oxidative stress of RBCs into consideration
to explain the clinical manifestation and progression of haemoglobin related
diseases like thalassaemia.
PMID- 27195174
TI - 3D-Printing in Congenital Cardiology: From Flatland to Spaceland.
AB - Medical imaging has changed to a great extent over the past few decades. It has
been revolutionized by three-dimensional (3D) imaging techniques. Despite much of
modern medicine relying on 3D imaging, which can be obtained accurately, we keep
on being limited by visualization of the 3D content on two-dimensional flat
screens. 3D-printing of graspable models could become a feasible technique to
overcome this gap. Therefore, we printed pre- and postoperative 3D-models of a
complex congenital heart defect. With this example, we intend to illustrate that
these models hold value in preoperative planning, postoperative evaluation of a
complex procedure, communication with the patient, and education of trainees. At
this moment, 3D printing only leaves a small footprint, but makes already a big
impression in the domain of cardiology and cardiovascular surgery. Further
studies including more patients and more validated applications are needed to
streamline 3D printing in the clinical setting of daily practice.
PMID- 27195175
TI - Thermographic Assessment of a Vascular Malformation of the Hand: A New Imaging
Modality.
AB - Vascular malformations of the hand are rare. Angiography is the current Gold
Standard imaging modality. Thermal imaging is an emerging noninvasive, noncontact
technology that does not require intravenous contrast agents. We present the case
of a patient with an arteriovenous malformation affecting the hand in which
thermal imaging has been used as an adjunct to capture baseline images to allow
monitoring of progression. We suggest that thermal imaging provides an adjunct
that can be used in addition to clinical examination and/or angiography for the
diagnosis and routine follow-up of conservatively managed arteriovenous
malformations, to monitor progression or vascular steal, and also for recording
recurrence after surgical excision for which there is known to be a significant
incidence. With the benefit of being a noninvasive imaging modality that does not
require intravenous contrast, or ionizing radiation exposure, office-based
thermal imaging may become commonplace.
PMID- 27195176
TI - Quadricuspid Aortic Valve: A Rare Congenital Cause of Aortic Insufficiency.
AB - Quadricuspid aortic valve (QAV) is a rare congenital cardiac anomaly causing
aortic regurgitation usually in the fifth to sixth decade of life. Earlier, the
diagnosis was mostly during postmortem or intraoperative, but now with the advent
of better imaging techniques such as transthoracic echocardiography,
transesophageal echocardiography (TEE), and cardiac magnetic resonance imaging,
more cases are being diagnosed in asymptomatic patients. We present a case of a
39-year-old male who was found to have QAV, with the help of TEE, while
undergoing evaluation for a diastolic murmur. The patient was found to have Type
B QAV with moderate aortic regurgitation. We also present a brief review of
classification, pathophysiology, and embryological basis of this rare congenital
anomaly. The importance of diagnosing QAV lies in the fact that majority of these
patients will require surgery for aortic regurgitation and close follow-up so
that aortic valve replacement/repair is done before the left ventricular
decompensation occurs.
PMID- 27195177
TI - Sonographic Appearance of the Median Nerve Following Revision Carpal Tunnel
Surgery.
AB - The main objective of this pictorial essay is to illustrate the sonographic
appearance of the postoperative carpal tunnel and median nerve. Carpal tunnel
surgical treatment failures have been shown to occur in up to 19% of a large
series requiring re-exploration. Surgical management options for recurrent carpal
tunnel syndrome (CTS) include revision release, neurolysis, vein wrapping, and
fat grafting procedures. While several descriptions of median nerve entrapment in
CTS exist in the ultrasound literature, little is written regarding its
postoperative appearance. We report the sonographic changes in the appearance of
the median nerve and postoperative carpal tunnel.
PMID- 27195178
TI - Mucinous Cystic Neoplasm of the Liver Masquerading as an Echinococcal Cyst:
Radiologic-pathologic Differential of Complex Cystic Liver Lesions.
AB - Although simple liver cysts are common, complex cystic liver lesions are
infrequent and represent a diagnostic and therapeutic challenge. The differential
diagnosis of complex cystic liver lesions can be grouped into neoplastic,
infectious or inflammatory, and miscellaneous pathologic entities. Clinicians
should remember to consider mucinous cystic neoplasm and echinococcal cysts in
the differential, which are uncommon etiologies for liver lesions but may expose
unique challenges. We present a case of a 49-year-old female who was referred for
evaluation of a new complex cystic liver lesion. The following brief review
describes how radiologic imaging and pathologic testing can help distinguish
between the broad spectrum of diseases that may produce cystic liver lesions.
PMID- 27195179
TI - Rare Intensely Fluorine-18-fluorodeoxyglucose Avid Large Retropharyngeal Goiter
in a Patient with Invasive Breast Carcinoma.
AB - Diffuse increased fluorine-18-fluorodeoxyglucose ((18)F-FDG) avidity on positron
emission tomography (PET) scans has been demonstrated in patients with chronic
thyroiditis, likely secondary to increased inflammatory cell glucose uptake. A
complex association has been demonstrated between breast cancer and thyroid
disease, although the mechanism remains elusive. Development of chronic
thyroiditis and/or goiter in breast cancer patients has been suggested to convey
a more favorable prognosis. Goiter extension is almost exclusively into
retrosternal space, with only a handful of cases reported with superior extension
into retropharyngeal space. We present a rare case of a diffusely enlarged goiter
extending superior and posterior into the retropharyngeal space with an
associated intense (18)F-FDG avidity standardized uptake value maximum (SUVmax)
of 16.1 in a patient with invasive ductal breast cancer. To our knowledge, this
represents the first published case of diffusely (18)F-FDG avid goiter with
retropharyngeal extension.
PMID- 27195180
TI - Dedicated Three-dimensional Breast Computed Tomography: Lesion Characteristic
Perception by Radiologists.
AB - OBJECTIVES: To assess radiologist confidence in the characterization of
suspicious breast lesions with a dedicated three-dimensional breast computed
tomography (DBCT) system in comparison to diagnostic two-dimensional digital
mammography (dxDM). MATERIALS AND METHODS: Twenty women were recruited who were
to undergo a breast biopsy for a Breast Imaging-Reporting and Data System (BI
RADS) 4 or 5 lesion evaluated with dxDM in this Institutional Review Board
approved study. The enrolled subjects underwent imaging of the breast(s) of
concern using DBCT. Seven radiologists reviewed the cases. Each reader compared
DBCT to the dxDM and was asked to specify the lesion type and BI-RADS score for
each lesion and modality. They also compared lesion characteristics: Shape for
masses or morphology for calcifications; and margins for masses or distribution
for calcifications between the modalities using confidence scores (0-100).
RESULTS: Twenty-four biopsied lesions were included in this study: 17 (70.8%)
masses and 7 (29.2%) calcifications. Eight (33.3%) lesions were malignant, and 16
(66.7%) were benign. Across all lesions, there was no significant difference in
the margin/distribution (Delta = -0.99, P = 0.84) and shape/morphology (Delta =
0.10, P = 0.98) visualization confidence scores of DBCT in relation to dxDM.
However, analysis by lesion type showed a statistically significant increase in
reader shape (Delta =11.34, P = 0.013) and margin (Delta =9.93, P = 0.023)
visualization confidence with DBCT versus dxDM for masses and significant
decrease in reader morphology (Delta = -29.95, P = 0.001) and distribution (Delta
= -28.62, P = 0.002) visualization confidence for calcifications. CONCLUSION:
Reader confidence in the characterization of suspicious masses is significantly
improved with DBCT, but reduced for calcifications. Further study is needed to
determine whether this technology can be used for breast cancer screening.
PMID- 27195181
TI - "Reverse Tigroid" Pattern in Pachygyria: A Novel Finding.
AB - Pachygyria is considered a subtype of lissencephaly which, in turn, is a spectrum
of disorders caused by abnormal neuronal migration. Clinical presentation in this
disorder may be varied including microcephaly, developmental delay, facial
dysmorphism, seizures, and mental retardation. Magnetic resonance imaging (MRI)
of brain identifies the exact nature and extent of the disease and helps in
delineating further plan of management. A Tigroid pattern on axial MRI scan and
leopard pattern on a sagittal plane has been classically reported in disorders of
myelin formation such as metachromatic leukodystrophy and Pelizaeus-Merzbacher
disease. We present here a case of pachygyria who presented to us with some
atypical features including "tigroid-like stripes" and "leopard-like pattern" on
MRI brain which has not been reported in the medical literature previously.
PMID- 27195182
TI - Dermatofibrosarcoma Protuberans: Insights into a Rare Soft Tissue Tumor.
AB - Dermatofibrosarcoma protuberans (DFSP) is a rare soft tumor which originally
represents a cutaneous sarcoma. It grows slowly and presents usually as nodular
superficial lesion on the trunk or the extremities. Although these tumors are
locally aggressive with high rate of recurrence following surgery; the prognosis
is considered excellent when it is effectively treated. The radiological
appearance of this tumor has rarely been studied and findings infrequently
discussed in the literature probably because many lesions underwent resection
before imaging. Although imaging is infrequently performed for this lesion; it
can show characteristic features and demonstrate the full extent. Imaging may
also play a role in the differentiation of this tumor from more serious soft
tissue lesions such as more aggressive sarcomas and hemangioma. In this article,
we discuss the imaging findings of DFSP that can aid in its diagnosis and its
variable appearances. In addition; the clinical presentation and treatment
options are also described with review of the previous literature.
PMID- 27195184
TI - Multiparametric Magnetic Resonance Imaging of Recurrent Prostate Cancer.
AB - Multiparametric magnetic resonance (MR) imaging of the prostate combines both
morphological and functional MR techniques by utilizing small field of view T1
weighted, T2-weighted, diffusion-weighted imaging, dynamic contrast-enhanced
imaging, and MR spectroscopy to accurately detect, localize, and stage primary
and recurrent prostate cancer. Localizing the site of recurrence in patients with
rising prostate-specific antigen following treatment affects decision making
regarding treatment and can be accomplished with multiparametric prostate MR.
Several different treatment options are available for prostate cancer including
radical prostatectomy, external beam radiation therapy, brachytherapy, androgen
deprivation therapy, or a number of focal therapy techniques. The findings of
recurrent prostate cancer can be different depending on the treatment the patient
has received, and the radiologist must be able to recognize the variety of
imaging findings seen with this common disease. This review article will detail
the findings of recurrent prostate cancer on multiparametric MR and describe
common posttreatment changes which may create challenges to accurate
interpretation.
PMID- 27195185
TI - Translation in Data Mining to Advance Personalized Medicine for Health Equity.
AB - Personalized medicine is the development of 'tailored' therapies that reflect
traditional medical approaches, with the incorporation of the patient's unique
genetic profile and the environmental basis of the disease. These individualized
strategies encompass disease prevention, diagnosis, as well as treatment
strategies. Today's healthcare workforce is faced with the availability of
massive amounts of patient- and disease-related data. When mined effectively,
these data will help produce more efficient and effective diagnoses and
treatment, leading to better prognoses for patients at both the individual and
population level. Designing preventive and therapeutic interventions for those
patients who will benefit most while minimizing side effects and controlling
healthcare costs, requires bringing diverse data sources together in an analytic
paradigm. A resource to clinicians in the development and application of
personalized medicine is largely facilitated, perhaps even driven, by the
analysis of "big data". For example, the availability of clinical data warehouses
is a significant resource for clinicians in practicing personalized medicine.
These "big data" repositories can be queried by clinicians, using specific
questions, with data used to gain an understanding of challenges in patient care
and treatment. Health informaticians are critical partners to data analytics
including the use of technological infrastructures and predictive data mining
strategies to access data from multiple sources, assisting clinicians'
interpretation of data and development of personalized, targeted therapy
recommendations. In this paper, we look at the concept of personalized medicine,
offering perspectives in four important, influencing topics: 1) the availability
of 'big data' and the role of biomedical informatics in personalized medicine, 2)
the need for interdisciplinary teams in the development and evaluation of
personalized therapeutic approaches, and 3) the impact of electronic medical
record systems and clinical data warehouses on the field of personalized
medicine. In closing, we present our fourth perspective, an overview to some of
the ethical concerns related to personalized medicine and health equity.
PMID- 27195183
TI - Hip Arthroplasty Pseudotumors: Pathogenesis, Imaging, and Clinical Decision
Making.
AB - Pseudotumors are a complication of hip arthroplasty. The goal of this article is
to review the clinical presentation, pathogenesis, histology, and the role of
diagnostic imaging in clinical decision making for treatment, and surveillance of
pseudotumors. We will discuss the multimodal imaging appearances, differential
diagnosis, associated complications, treatment, and prognosis of pseudotumors, as
an aid to the assessment of orthopedic prostheses at the hip.
PMID- 27195186
TI - C-C chemokine receptor type-4 transduction of T cells enhances interaction with
dendritic cells, tumor infiltration and therapeutic efficacy of adoptive T cell
transfer.
AB - T cell infiltration at the tumor site has been identified as a major predictor
for the efficacy of adoptive T cell therapy. The chemokine C-C motif ligand 22
(CCL22) is highly expressed by immune cells in murine and human pancreatic
cancer. Expression of its corresponding receptor, C-C chemokine receptor type 4
(CCR4), is restricted to regulatory T cells (Treg). We show that transduction of
cytotoxic T cells (CTL) with CCR4 enhances their immigration into a pancreatic
cancer model. Further, we show that binding of CCR4 with CCL22 strengthens the
binding of T cell LFA-1 to dendritic cell (DC) ICAM-1 and increases CTL
activation. In vivo, in a model of subcutaneous pancreatic cancer, treatment of
tumor-bearing mice with CCR4-transduced CTL led to the eradication of established
tumors in 40% of the mice. In conclusion, CCR4 overexpression in CTL is a
promising therapeutic strategy to enhance the efficacy of adoptive T cell
transfer (ACT).
PMID- 27195188
TI - Cardiac manifestations of idiopathic pulmonary fibrosis.
AB - Idiopathic pulmonary fibrosis (IPF) is a chronic, progressive, parenchymal
disease of the lung with an estimated prevalence of 14-43 per 100,000. Patient
usually presents with coughing and exertional dyspnea, which can lead to acute
respiratory failure. IPF has been associated with various co-morbidities such as
lung cancer, emphysema, obstructive sleep apnea (OSA), GERD and multiple
cardiovascular consequences. The cardiovascular manifestations of IPF include
pulmonary hypertension, heart failure, coronary artery disease, cardiac
arrhythmias & cardiac manifestations of drugs used to treat IPF. This review will
outline evidence of the association between IPF and cardiovascular conditions and
attempt to provide insights into the underlying pathophysiology. We also discuss
the impact of these cardiovascular diseases on patients with IPF including
increased morbidity and mortality.
PMID- 27195187
TI - Granulomatosis with polyangiitis (Wegener's disease): An updated review of ocular
disease manifestations.
AB - Granulomatosis with polyangiitis (GPA) is a potentially lethal systemic disorder
that is characterized by necrotizing vasculitis of small arteries and veins. The
respiratory system is most commonly affected in limited forms of the disease,
however upper and lower respiratory system, systemic vasculitis, and necrotizing
glomerulonephritis are the characteristic components of the disease triad. The
peak incidence is observed at 64-75 years of age, with a prevalence of 8-10 per
million depending on geographic location. In this review we focus on the ocular
manifestations of the disease which occur in nearly in one third of the patients.
In addition we describe the neuro-ophthalmic complications which occur in up to
half of cases. We also discuss the current systemic treatment options including
corticosteroids, cyclophosphamide, azathioprine, and the available biologic
response modifiers including rituximab. The disease remains difficult to diagnose
due to the generalized symptomatic presentation of patients with GPA. As a
result, several sets of diagnostic criteria have been developed which include
clinical, serological, and histopathological findings to varying extents. Early
diagnosis and multi-specialty collaboration among physicians is necessary to
adequately manage the disease and the potential complications that may result
from drugs used in the treatment of the disease. Despite recent advances, more
research is necessary to prevent the high rates of mortality from the disease
itself and from therapeutic side effects.
PMID- 27195190
TI - Evaluation of quality of life in individuals with severe chronic motor
disability: A major challenge.
AB - Diverse conditions causing a very heavy and chronic motor disability, such as an
advanced amyotrophic lateral, advanced form of multiple sclerosis, high spinal
cord injury or a locked-in syndrom, are now getting better medical care and
benefit of life support technology with consequent prolonged survival. Quality of
life (QoL) assessment is being considered increasingly important to globally
apprehend their general well-being. However, the motor disability that affects
them appears as a substantial limitation for the assessment of their QoL and
consequently a major challenge for all the community that carries an interest for
them. This review discussed several avenues to provide to patients and
caregivers, clinicians and researchers, and health decision making authority: i)
elements to determine the most appropriate QoL measure with regard to the
interest of patient's point of view, the QoL instruments suitable for this
category of patients and their acceptability, ii) some arguments of the clinical
relevance and accuracy of QoL assessment: interpretations of the questionnaires,
QoL determinants, particularity of QoL evaluation for individuals with cognitive
impairment and the caregivers perceptions of patients QoL. In conclusion,
evaluation of QoL in patients with severe chronic motor handicap is a challenge
of major interest, with major ethical issues. It needs to use adapted QoL scales
and longitudinal following because of adaptive phenomena to the degree of
handicap.
PMID- 27195189
TI - The progress of early growth response factor 1 and leukemia.
AB - Early growth response gene-1 (EGR1) widely exists in the cell nucleus of such as,
zebrafish, mice, chimpanzees and humans, an it also can be observed in the
cytoplasm of some tumors. EGR1 was named just after its brief and rapid
expression of different stimuli. Accumulating studies have extensively
demonstrated that the widespread dysregulation of EGR1 is involved in
hematological malignancies such as human acute myeloid leukemia (AML), chronic
myelogenous leukemia, chronic lymphocytic leukemia, multiple myeloma, and B cell
lymphoma. With the deep research on EGR1, its expression, function and regulatory
mechanism has been gradually elucidated, and provides more possibilities for
treatment strategies of patients with leukemia. Herein, we summarize the roles of
EGR1 in its biological function and relationship with leukemia.
PMID- 27195192
TI - Fever as an important resource for infectious diseases research.
AB - Fever or pyrexia is a process where normal body temperature is raised over
homeostasis conditions. Although many effects of fever over the immune system
have been known for a long time, it has not been until recent studies when these
effects have been evaluated in several infection processes. Results have been
promising, as they have reported new ways of regulation, especially in RNA
molecules. In light of these new studies, it seems important to start to evaluate
the effects of pyrexia in current research efforts in host-pathogen interactions.
Viruses and bacteria are responsible for different types of infectious diseases,
and while it is of paramount importance to understand the mechanisms of
infection, potential effects of fever on this process may have been overlooked.
This is especially relevant because during the course of many infectious diseases
the organism develops fever. Due to the lack of specific treatments for many of
those afflictions, experimental evaluation in fever-like conditions can
potentially bring new insights into the infection process and can ultimately help
to develop treatments. The aim of this review is to present evidence that the
temperature increase during fever affects the way the infection takes place, for
both the pathogen and the host.
PMID- 27195191
TI - Role of metabolism during viral infections, and crosstalk with the innate immune
system.
AB - Viruses have been for long polemic biological particles which stand in the
twilight of being living entities or not. As their genome is reduced, they rely
on the metabolic machinery of their host in order to replicate and be able to
continue with their infection process. The understanding of their metabolic
requirements is thus of paramount importance in order to develop tailored drugs
to control their population, without affecting the normal functioning of their
host. New advancements in high throughput technologies, especially metabolomics
are allowing researchers to uncover the metabolic mechanisms of viral
replication. In this short review, we present the latest discoveries that have
been made in the field and an overview of the intrinsic relationship between
metabolism and innate immunity as an important part of the immune system.
PMID- 27195193
TI - Inflammageing assessed by MMP9 in normal Japanese individuals and the patients
with Werner syndrome.
AB - Age-associated minor inflammation: inflammageing may explain human ageing
mechanism(s). Our previous study reported a significant increase in the serum
level of highly sensitive C-reactive protein (hsCRP) with normal ageing and the
patients with Werner syndrome (WS). To further study the minor inflammatory
condition associated with ageing, another possible ageing biomarker: matrix
metalloproteinase-9 (MMP9) was examined in the sera from 217 normal Japanese
individuals aged between 1 and 100 years and 41 mutation-proven Japanese WS aged
between 32 and 70 years. MMP9 was assayed by ELISA. The serum level of MMP9 was
elevated significantly (p < 0.001) with normal ageing from both sexes as hsCRP.
In contrast to normal ageing, the serum MMP9 level in WS decreased significantly
with calendar age (p < 0.05). The MMP9 level (ng/mL) in WS (147.2 +/- 28.5) was
not significantly different in comparison with those from age-matched normal
adult population aged between 25 and 70 years (109.1 +/- 9.4), nor normal elderly
population aged between 71 and 100 years (179.9 +/- 16.1). Although both normal
ageing and WS were associated with minor inflammation, the inflammatory
parameters such as serum MMP9 and hsCRP changed differently between normal ageing
and WS. The WS-specific chronic inflammation including skin ulcer and diabetes
mellitus may contribute the different behavior of both ageing biomarkers from
normal ageing.
PMID- 27195194
TI - Dietary supplement use and nosebleeds in hereditary haemorrhagic telangiectasia -
an observational study.
AB - Understanding potential provocations of haemorrhage is important in a range of
clinical settings, and particularly for people with abnormal vasculature.
Patients with hereditary haemorrhagic telangiectasia (HHT) can report haemorrhage
from nasal telangiectasia in real time, and suggested dietary factors may
precipitate nosebleeds. To examine further, nosebleed severity, dietary
supplement use, and blood indices were evaluated in an unselected group of 50 HHT
patients recruited from a specialist UK service. Using the validated Epistaxis
Severity Score, nosebleed severity ranged from 0 to 9.1 out of 10 (median 3.9).
Using a Food Frequency Questionnaire, 24/50 (48%) participants reported use of
dietary supplements in the previous year. A third (18/50; 36%) had used self
prescribed, non-iron containing dietary supplements, ingesting between 1 and 3
different supplements each day. Eight (16%) used fish oils. Despite having more
severe epistaxis (p = 0.012), the 12 iron supplement users had higher serum iron
concentrations, and were able to maintain their red blood cell indices. In
contrast, there was no evident benefit for the participants using non iron
supplements. Furthermore, platelet counts and serum fibrinogen tended to be lower
in fish oil/supplement users, and one fish oil user demonstrated reduced in vitro
platelet aggregation. In conclusion, in this small study, a third of HHT patients
used non-iron dietary supplements, and one in six ingested fish oils, unaware of
their known anti-platelet activity. The scale of use, and potential of these
"natural health supplements" to exacerbate nosebleeds has not been appreciated
previously in HHT.
PMID- 27195195
TI - The retrieval of atrial septal defect closure device embolized into aortic arch.
AB - Percutaneous atrial septal defect (ASD) closure has become an increasingly
simplified procedure over the past decade. The device embolization is seen rarely
but it can be fatal. Although percutaneous retrieval is feasible, surgical
removal might be preferred when the endothelialization status of the device is
unknown. We report a comlication of such closure in a 43-year-old woman:
embolization of the ASD occluder device into aortic arch 12 months after
implantation. We removed the device surgically and closed the ASD.
PMID- 27195196
TI - Cleidocranial dysplasia: A report of two cases with brief review.
AB - Cleidocranial dysplasia (CCD) is a genetic disorder primarily causing dysplasia
of bones and teeth with autosomal dominant inheritance pattern. Affected
individuals presented with several skeletal and dental abnormalities mainly
hypoplasia of clavicles, open fontanelles, short stature, retention of primary
teeth, supernumerary teeth, delayed eruption of permanent teeth, multiple
impacted permanent teeth etc. The present series of two cases illustrates the
clinical and radiological features of pediatric patients with cleidocranial
dysplasia. The early diagnosis of the condition helps in proper orientation of
the treatment thereby offering better quality of life to such patients.
PMID- 27195197
TI - A case of split notochord syndrome: Presenting with respiratory failure in the
neonatal period.
AB - Split notochord syndrome (SNS) is a very rare congenital anomaly. This report
describes a male newborn with a neuroenteric cyst in the posterior mediastinum
and multiple vertebrae anomalies presenting with respiratory failure and
pulmonary hypertension. This report also discusses the embryological development
and the etiologic theories of SNS.
PMID- 27195198
TI - Infantile systemic hyalinosis: Report of two severe cases from Saudi Arabia and
review of the literature.
AB - Infantile systemic hyalinosis (ISH) (OMIM 228600) is a rare fatal autosomal
recessive disorder characterized by extensive deposition of hyaline material in
many tissues. Consanguinity has been recorded in many cases. Herein we present
two new Saudi cases with review of the literature. Our first proband was a 9
month-old male who was the first baby for parents descended from a closed
consanguineous pedigree. The second proband was a 13 month-old male who was the
first baby for consanguineous parents (3(rd) C). Both cases presented with
bilateral painful limited limb movement with joints contractures, low birth
weight (< P5), severe generalized stiff skin, hyper-pigmented skin over bony
prominences, fleshy perianal masses and gingival hypertrophy. The first child
died at 18(th) month as a result of recurrent chest infections. The second
proband showed a severe progressive course of joint contractures, and died at
19(th) month because of failure to thrive and recurrent infections. Although the
clinical features of ISH are characteristic, the disease is under/miss diagnosed.
The role of consanguinity needed to be highlighted to the community. Careful
clinical examination and molecular diagnosis will be helpful for genetic
counseling, prenatal diagnosis and early treatment.
PMID- 27195199
TI - Fibro-epithelial polyps in children: A report of two cases with a literature
review.
AB - A fibro-epithelial polyp is the most common epithelial benign tumor of the oral
cavity. Such a polyp is of mesodermal origin and it is a pink, red, or white knob
like painless growth that is sessile or pedunculated. A fibro-epithelial polyp
commonly occurs on buccal mucosa, the tongue, or the gingiva. A fibro-epithelial
polyp is an inflammatory hyperplastic lesion in response to chronic irritation
due to calculus, sharp tooth edges, irregular denture borders, or overhanging
restorations. Such a polyp rarely occurs before the fourth decade of life and its
prevalence is not sex-specific. The current paper presents two cases where an
intraoral fibro-epithelial polyp was successfully managed in children.
Conservative surgical excision was performed in both cases. A follow-up at 3
months revealed uneventful healing of the site without reoccurrence of the
lesion.
PMID- 27195200
TI - Tip of nose tuberculosis: A rare presentation of extra pulmonary tuberculosis.
AB - Tuberculosis is notorious that it affects various sites of the human body and
presents in different ways. One of the uncommon or rather rare presentation of
extra pulmonary tuberculosis is nasal tuberculosis. The nose apart from its
physiological functions also contributes to facial aesthetics and gives a defined
appearance and its deformity imparts cosmetic disfigurement and unsightly
appearance. Both primary and secondary forms of nasal tuberculosis are rare but
should be considered in the differential diagnosis of ulcerative or crusting
lesions of the nose. Here we report such a case of nasal tuberculosis, which
presented as an ulcerative and crusting lesion over the tip of the nose in a
female child. The patient was given antituberculous chemotherapy after
establishing the diagnosis and responded well to treatment.
PMID- 27195201
TI - New perspective on molecular markers as promising therapeutic targets in germ
cell tumors.
AB - Testicular germ cell tumors (TGCTs) are the most frequent solid malignant tumors
in men 20-40 years of age and the most frequent cause of death from solid tumors
in this age group. TGCTs comprise two major histologic groups: seminomas and non
seminomas germ cell tumors (NSGCTs). NSGCTs can be further divided into embryonal
carcinoma, Teratoma, yolk sac tumor, and choriocarcinoma. Seminomas and NSGCTs
present significant differences in clinical features, therapy, and prognosis, and
both show characteristics of the Primordial Germ Cells (PGCs). Many discovered
biomarkers including HMGA1, GPR30, Aurora-B, estrogen receptor beta, and others
have given further advantages to discriminate between histological subgroups and
could represent useful therapeutic targets.
PMID- 27195202
TI - Causal discovery and inference: concepts and recent methodological advances.
AB - This paper aims to give a broad coverage of central concepts and principles
involved in automated causal inference and emerging approaches to causal
discovery from i.i.d data and from time series. After reviewing concepts
including manipulations, causal models, sample predictive modeling, causal
predictive modeling, and structural equation models, we present the constraint
based approach to causal discovery, which relies on the conditional independence
relationships in the data, and discuss the assumptions underlying its validity.
We then focus on causal discovery based on structural equations models, in which
a key issue is the identifiability of the causal structure implied by
appropriately defined structural equation models: in the two-variable case, under
what conditions (and why) is the causal direction between the two variables
identifiable? We show that the independence between the error term and causes,
together with appropriate structural constraints on the structural equation,
makes it possible. Next, we report some recent advances in causal discovery from
time series. Assuming that the causal relations are linear with nonGaussian
noise, we mention two problems which are traditionally difficult to solve, namely
causal discovery from subsampled data and that in the presence of confounding
time series. Finally, we list a number of open questions in the field of causal
discovery and inference.
PMID- 27195203
TI - Plagiarism in dentistry.
PMID- 27195204
TI - Effect of topical simvastatin (1.2 mg) on gingival crevicular fluid interleukin
6, interleukin-8 and interleukin-10 levels in chronic periodontitis - A
clinicobiochemical study.
AB - OBJECTIVES: The impact of simvastatin (SMV), a cholesterol lowering drug, on bone
metabolism appears to involve complex interaction with cholesterol metabolites,
hormones, inflammatory mediators and growth factors, thus having direct influence
on extent and severity of periodontitis. The present study aims to evaluate the
in vivo effect of subgingivally delivered SMV gel (1.2 mg) as a local drug
delivery agent on clinical parameters and on interleukin-6 (IL-6), interleukin-8
(IL-8) and interleukin-10 (IL-10) levels in gingival crevicular fluid (GCF) of
chronic periodontitis patients. MATERIALS AND METHODS: 50 patients were selected
and categorized into two treatment groups: control (scaling and root planing) and
test group (scaling and root planing with SMV gel). At initial appointment,
clinical parameters were measured. Biochemical analysis of GCF samples was done
to evaluate the amount of IL-6, IL-8 and IL-10. GCF sampling and clinical
parameters were repeated at one and three months for both the groups. RESULTS:
SMV has an inhibitory effect on pro-inflammatory cytokines (IL-6, IL-8) and
stimulatory effect on anti-inflammatory cytokines (IL-10) in GCF of periodontitis
patients and has significantly positive effect on all clinical parameters except
relative attachment level (RAL). The addition of SMV, thereby, further alters the
levels of cytokine that reflect enhanced antibacterial host defence activity at
that site. CONCLUSION: Topical SMV has a beneficial effect on periodontal health.
Removal of the bacterial plaque and subgingival delivery of SMV significantly
modulates the chemokines present in GCF. To summarize, SMV shows promising role
in the management of periodontitis.
PMID- 27195205
TI - Keratocystic odontogenic tumors related to Gorlin-Goltz syndrome: A
clinicopathological study.
AB - BACKGROUND: Assess clinicopathological features of patients with keratocystic
odontogenic tumor (KCOT) associated with Gorlin-Goltz syndrome in our institution
from 2004 to 2015. METHOD: After histopathological analyses of KCOT related to
Gorlin-Goltz syndrome, 7 patients were assessed. These patients presented a total
of 15 primary and 2 recurrent KCOT. RESULTS: All patients presented a multiple
KCOT, and 13 lesions were located in mandible (77%) and 4 (23%) in maxilla. Most
of the tumors presented a unilocular pattern (71%) and had tooth association
(88%). Four patients (57%) were in the age group of 10-19 years and three
patients (43%) were in the age group of 20-29 years. There were four male and
three female patients. CONCLUSION: KCOT is a frequent manifestation of Gorlin
Goltz syndrome and can be its first sign, mainly in young patients. The four
patients presented with two lesions (57%) and three lesions in three patients
(43%).
PMID- 27195206
TI - Management of pediatric mandibular fractures using bioresorbable plating system -
Efficacy, stability, and clinical outcomes: Our experiences and literature
review.
AB - AIMS: The purpose of this study was to determine the efficacy and stability of
the biodegradable fixation system for treatment of mandible fractures in
pediatric patients by measuring the bite force. METHODS: Sixty pediatric patients
with mandibular fractures (36 males, 24 females) were included in this study. The
2.5-mm resorbable plates were adapted along Champy's line of ideal osteosynthesis
and secured with four 2.5 mm diameter monocortical resorbable screws, 8 mm in
length. All patients were followed for 10 months. Clinical parameters, such as
soft tissue infection, nonunion, malunion, implant exposure, malocclusion, nerve
injury, and bite force for stability, were prospectively assessed. RESULTS:
Adequate fixation and primary bone healing was achieved in 100% of the cases. Six
minor complications (10%) were observed: 2 soft tissue infections (3%), 1 plate
dehiscence (2%), 1 malocclusion (2%), and 2 paresthesia (3%). CONCLUSION: 2.5-mm
resorbable plating system along Champy's line of ideal osteosynthesis is a good
treatment modality for mandible fractures in pediatric patients.
PMID- 27195207
TI - Silicone vs temporalis fascia interposition in TMJ ankylosis: A comparison.
AB - OBJECTIVE: Temporomandibular joint ankylosis (TMJa) is a distressing condition,
but can be surgically managed by gap or interpositional arthroplasty, with an aim
to restore joint function and prevent re-ankylosis. The aim of this paper is to
compare two interposition materials used in management of TMJ ankylosis. METHODS:
15 patients with TMJa were randomly allocated to two groups: group A (n = 6),
interposition material used was medical-grade silicon elastomer, and group B (n =
9) where the interposition material used was temporalis fascia. Patients were
followed up at regular intervals of 1 and 2 weeks, 1 month, 3 months, and 6
months and were assessed on following parameters: pain by VAS Scale, maximal
mouth opening (MMO), implant rejection, and recurrence. RESULTS: The results
showed a loss of 4.6% and 7.9% in maximal interincisal mouth opening at 3rd and
6th months in Group A while Group B had a mean loss of 9% and 10% at 3rd and 6th
months respectively without any significant difference. None of our cases showed
recurrence or implant rejection. CONCLUSION: We conclude that silicone is
comparable to temporalis fascia in terms of stability, surgical ease, and
adaptability. It not only restores the function of mandible and ensures good
maximum interincisal opening but also maintains the vertical ramal height. Also,
it requires less operating time and is easy to handle but is not economical. It
might be an effective way to restore function and prevent re-ankylosis.
PMID- 27195208
TI - Comparative study of nonabsorbable and absorbable barrier membranes in
periodontal osseous defects by guided tissue regeneration.
AB - BACKGROUND: Periodontal invasion of furcation area in multirooted teeth
represents one of the most demanding therapeutic challenges in periodontics.
Furcation therapy includes various treatment modalities like either maintenance
or elimination of furcation or increased access to furcation area. Recent
treatment modalities include regenerative procedures like placement of different
type of bone grafts with nonabsorbable or absorbable barrier membranes, through
guided tissue regeneration. This study compared the clinical efficacy of
nonabsorbable barrier membrane with absorbable membrane when used with
hydroxyapatite bone graft (G-Graft) in grade II buccal furcation defects in
mandibular 1st molars. MATERIALS AND METHODS: Fourteen subjects with bilateral
grade II buccal furcation defects in lower 1st molars were selected and treated
in a split-mouth design. After phase I therapy, molars were divided randomly into
two groups for the treatment with either resorbable or nonresorbable membrane in
conjunction with G-Graft in both groups. RESULTS: All the clinical parameters
recorded showed statistically significant improvement in both the groups but no
significant difference between two groups was observed. CONCLUSION: Both
nonabsorbable and absorbable barrier membranes were equally effective in treating
grade II buccal furcation defects in lower molars when used in conjunction with G
Graft except with respect to horizontal bone fill in which absorbable barrier
membrane showed better results.
PMID- 27195209
TI - Child abuse: Cross-sectional survey of general dentists.
AB - BACKGROUND: Child abuse continues to be a social menace causing both physical and
emotional trauma to benevolent children. Census has shown that nearly 50-75% of
child abuse include trauma to mouth, face, and head. Thus, dental professionals
are in strategic position to identify physical and emotional manifestations of
abuse. AIM: A cross-sectional survey was undertaken to assess knowledge and
attitude of dental practitioners regarding child abuse and to identify the
barriers in reporting the same. METHODS: With prior consent, a 20-question survey
including both multiple choice and dichotomous (Yes/No) questions was mailed to
120 state-registered general dentists, and the data collected were subjected to
statistical analysis. RESULTS: Overall response rate to the questionnaires was
97%. Lack of knowledge about dentist's role in reporting child abuse accounted to
55% in the reasons for hesitancy to report. Pearson chi-square test did not show
any significant difference between male and female regarding reason for hesitancy
to report and legal obligation of dentists. CONCLUSION: Although respondent
dentists were aware of the diagnosis of child abuse, they were hesitant and
unaware of the appropriate authority to report. Increased instruction in the
areas of recognition and reporting of child abuse and neglect should be
emphasized.
PMID- 27195210
TI - Prevalence and subjective knowledge of tongue lesions in an Indian population.
AB - AIM: The current study was designed to determine prevalence of various tongue
lesions and their association with age, gender, systemic illness, deleterious
habits, and distribution over the surfaces of tongue. It also explored the
awareness and knowledge of subjects in relation to presence of tongue lesions,
etiological factor, symptoms, and treatment received if any. METHODS: The present
study was conducted on 1360 randomly selected dental outpatients from 1/10/2013
to 30/09/2014. Examination of tongue included surface changes, size, movements,
and the presence of mucosal lesions. The subjects were asked about the knowledge,
symptoms, and treatment obtained in case of awareness regarding the lesion.
RESULTS: The prevalence of tongue lesions was found to be 13.75%. The most
prevalent lesion was found to be coated tongue. The majority of the lesions were
located on dorsum of tongue and not related with age, gender, habit, and systemic
condition. A considerable number of subjects were aware of the changes on their
tongue but negligible number sought any treatment. CONCLUSIONS: The presence of
tongue lesions in the study population was found be significant. Hence, general
dental practitioners and health care providers should be educated about the
diagnosis, etiology, investigations, and proper management of such tongue
lesions.
PMID- 27195211
TI - Design of a protocol for obtaining genomic DNA from saliva using mouthwash:
Samples taken from patients with periodontal disease.
AB - BACKGROUND: Obtaining high quality genomic DNA safely and economically is vital
for diverse studies of large populations aimed at evaluating the role of genetic
factors in susceptibility to disease. AIM: This study was to test a protocol for
the extraction of high quality genomic DNA from saliva samples obtained with
mouthwash and taken from patients with periodontal disease. METHODS: Saliva
samples were taken from 60 patients and then stored at room temperature. DNA
extraction was carried out at distinct post-sampling times (10, 20 and 30 days).
Evaluation of genomic DNA was performed with spectrophotometry, electrophoresis,
and PCR genotyping and sequencing. RESULTS: The greatest concentration of DNA
obtained was 352 MUg at 10 days post-sampling, followed by 121.025 MUg and 19.59
MUg at 20 and 30 days, respectively. When determining the purity of DNA with the
spectrophotometric ratio of 260/230, the relations of 1.20, 1.40 and 0.781 were
obtained for 10, 20 and 30 days, respectively. In all samples, it was possible to
amplify the product of 485 bp and the sequence of the amplicons showed 95%
similarity to the reference sequence. CONCLUSION: The present protocol represents
an easy, safe and economical technique for obtaining high quality genomic DNA.
PMID- 27195212
TI - Evaluation of different diagnostic criteria of diseases manifesting the oral
cavity - A review. Part-1.
AB - There are many disorders affecting the oral cavity, which can cause difficulty in
diagnosis for an oral physician. A criterion is defined as 'a principle or
standard by which something may be judged or decided'. Several criteria have been
given by different authors or committee, which further aids in diagnosis of
certain disease. This article encompasses a collection and analysis of all the
criteria of diseases affecting the oral cavity, which will be beneficial for an
oral physician in their routine clinics.
PMID- 27195213
TI - Should the orthodontic brackets always be removed prior to magnetic resonance
imaging (MRI)?
AB - Request for temporary removal of orthodontic appliances due to medical conditions
that require magnetic resonance (MR) imaging is not uncommon in daily practice in
the field of orthodontics. This may be at the expense of time and cost. Metal
Orthodontic appliances cause more signal loss and image distortion as compared to
ceramic and titanium ones. Stainless steel and large brackets in addition to the
oriented miniscrews in relation to the axis of magnetic field may cause severe
signal loss and image distortion. Moreover, gradient echo and frequency-selective
fat saturation MR protocols are more susceptible to metal artifacts. The spin
echo and fat-suppression protocols, low magnetic field strength (e.g., 1.5 Tesla
vs. 3 Tesla), small field of view, high-resolution matrix, thin slice, increased
echo train length and increased receiver band width could be applied to lessen
the metal artifacts in MR images. The larger the distance between an appliance
and desired location to be imaged, the lower the distortion and signal loss.
Decision to remove brackets should be made based on its composition and desired
anatomic location. In this review, first the principles of MR imaging are
introduced (Part-I) and then the interactions of orthodontic appliances and
magnetic field are farther discussed (Part-II).
PMID- 27195215
TI - Spindle cell carcinoma of the mandible: Clinicopathological and
immunohistochemical characteristics.
AB - Spindle cell carcinoma, a rare variant of squamous cell carcinoma, has propensity
to occur in the upper aero digestive tract, including the oral mucosa. In this
oral pathology communication, we report the occurrence of this neoplasm in the
left mandible as a large fleshy growth with destruction of bone in a 73-year-old
Afro-Trinidadian female. The distinction of this tumor from other malignant
spindle cell mesenchymal tumors is important. Selective sampling of this specimen
for possible transitional areas of squamous and spindle cell appearance,
immunohistochemical staining for cytokeratin, vimentin, and S-100 protein are
helpful in establishing the diagnosis. According to the patient's insistence,
debulking of the tumor was performed under general anesthesia. Eight months later
the patient succumbed to the disease.
PMID- 27195216
TI - Adenoid cystic carcinoma: A rare late presentation of the mobile tongue.
AB - Adenoid cystic carcinoma (ACC) is an infrequent malignant neoplasm of the
salivary glands. We present a case of a 70-year-old male patient with a swelling
over the dorsal and ventral surface of anterior two third of the tongue which was
causing him difficulty in mastication since 10 months. Ultrasound and magnetic
resonance imaging were done following which the surgical excision of the lesion
was performed and histopathological diagnosis of ACC was achieved. It was rare to
find ACC in such an old man with such a large lesion presenting so late in the
rare site of the mobile tongue. ACC is a slowly growing, highly invasive cancer
with a high recurrence rate and chances of metastases, so surgery is the choice
of treatment with mandatory long-term follow-up.
PMID- 27195217
TI - A rare case of angiofibroma of the mandible: A case report.
AB - Angiofibroma is a rare, highly vascular nonencapsulated tumor, which is locally
invasive. A rare case of angiofibroma of the mandible in a 16-year-old female
patient is reported here. The lesion was excised along with surgical removal of
right mandibular third molar tooth. Patient was followed up for 1 year without
any recurrence.
PMID- 27195214
TI - Biosensors and their applications - A review.
AB - The various types of biosensors such as enzyme-based, tissue-based,
immunosensors, DNA biosensors, thermal and piezoelectric biosensors have been
deliberated here to highlight their indispensable applications in multitudinous
fields. Some of the popular fields implementing the use of biosensors are food
industry to keep a check on its quality and safety, to help distinguish between
the natural and artificial; in the fermentation industry and in the
saccharification process to detect precise glucose concentrations; in metabolic
engineering to enable in vivo monitoring of cellular metabolism. Biosensors and
their role in medical science including early stage detection of human
interleukin-10 causing heart diseases, rapid detection of human papilloma virus,
etc. are important aspects. Fluorescent biosensors play a vital role in drug
discovery and in cancer. Biosensor applications are prevalent in the plant
biology sector to find out the missing links required in metabolic processes.
Other applications are involved in defence, clinical sector, and for marine
applications.
PMID- 27195218
TI - Psychogenic nonepileptic seizures mimicking gelastic seizures: A description of
two cases.
AB - Psychogenic nonepileptic seizures (PNES) are sudden, involuntary seizure-like
attacks that, unlike epileptic seizures, are not related to electrographic ictal
discharges and are psychological in nature. Psychogenic nonepileptic seizures
presenting symptoms mimic a wide array of nervous system dysfunctions, as they
involve changes in behavior, motor activity, sensation, cognitive, and autonomic
functions. Spontaneous paroxysms of laughing resembling gelastic seizure have
only exceptionally been reported as main symptom of PNES. Here, we describe the
cases of two patients with a prolonged history of laughter attacks mistaken for
epilepsy and unresponsive to AED treatment. Brain MRI and interictal EEG were
unremarkable. Video-EEG monitoring allowed us to document the spontaneous and
suggestion-induced habitual episodes that were then diagnosed as PNES.
PMID- 27195219
TI - Gelastic epilepsy: Beyond hypothalamic hamartomas.
AB - Gelastic epilepsy or laughing seizures have been historically related to children
with hypothalamic hamartomas. We report three adult patients who had gelastic
epilepsy, defined as the presence of seizures with a prominent laugh component,
including brain imaging, surface/invasive electroencephalography, positron
emission tomography, and medical/surgical outcomes. None of the patients had
hamartoma or other hypothalamic lesion. Two patients were classified as having
refractory epilepsy (one had biopsy-proven neurocysticercosis and the other one
hippocampal sclerosis and temporal cortical dysplasia). The third patient had no
lesion on MRI and had complete control with carbamazepine. Both lesional patients
underwent resective surgery, one with complete seizure control and the other one
with poor outcome. Although hypothalamic hamartomas should always be ruled out in
patients with gelastic epilepsy, laughing seizures can also arise from frontal
and temporal lobe foci, which can be surgically removed. In addition, we present
the first case of gelastic epilepsy due to neurocysticercosis.
PMID- 27195220
TI - Working environment and specialty of choice chosen by the dental students at
Prince Sattam Bin Abdulaziz University, Saudi Arabia: A cross-sectional study.
AB - OBJECTIVES: This cross-sectional study was conducted to explore the specialty
chosen by the dental students for postgraduate studies and the future aspirations
of students in a Saudi Arabia dental college. MATERIALS AND METHODS: Of the total
number of 120 questionnaires that were distributed, 107 subjects responded with
selective responses and a response rate of 89%. A descriptive survey was
conducted using one of the questionnaires among the students of dentistry at the
dental college, Prince Sattam Bin Abdulaziz University, Al-Kharj among male
students (men's college) for a period of 2 months. The data were analyzed using
the statistical software program, predictive analytics software Statistics
version 22.0 (SPSS Inc., Chicago, IL, USA). RESULTS: A hundred and seven of the
120 students took part in the study. A passion for orthodontics (42.9%) was
reported to be the most important factor that influenced the decision to pursue
specialty training in orthodontics followed by intellectual stimulation/challenge
(25%). The decision to pursue orthodontics was made by 32.1% of the respondents
while in dental school; 35.7% took the decision after completing dental school
during private practice and 14.3% during a dental residency, whereas 3.6% had
already decided before initiating their dental school studies. Working in a
private practice environment was preferred by 11 residents (39.3%). Only four
residents indicated that they would most likely be practicing in an academic
setting while 10 were undecided. CONCLUSIONS: The zest for nonclinical
specialties is less among students at Prince Sattam Bin Abdulaziz University's
dental college, Saudi Arabia.
PMID- 27195221
TI - Comparative failure load values of acrylic resin denture teeth bonded to three
different heat cure denture base resins: An in vitro study.
AB - AIM AND OBJECTIVES: Acrylic teeth are used for fabrication of dentures. Debonding
of tooth - denture base bond is routine problem in dental practice. The aim of
this study was to comparatively evaluate failure load of acrylic resin denture
teeth bonded to three different heat resin. MATERIALS AND METHODS: Four groups
were created out of test samples central incisors (11). Group I: Control, whereas
Group II, Group III and Group IV were experimental groups modified with diatoric
hole, cingulum ledge lock and Teeth modified with both diatoric hole and cingulum
ledge lock, respectively. These test specimens with 3 teeth (2 central [11, 21]
and 1, lateral [12] incisors) positioned imitating arrangement of teeth in the
conventional denture, prepared by three different heat cure materials (DPI,
Trevalon, Acralyn-H). A shear load was applied at cingulum of central incisor
(11) at 130 degrees to its long axis using universal tester at a cross head
speed of 5 mm/min until failure occurred. Failure load test was conducted and
statistical analysis was performed using SPSS 16 software package (IBM Company,
New York, U.S). RESULTS: Highest failure load was seen in Group IV specimens,
prepared by Trevalon but did not significantly differ from that of DPI.
CONCLUSION: The failure load of bonding denture teeth to three different heat
cure materials was notably affected by modifications of ridge lap before
processing. The specimens with a combination of diatoric hole and cingulum ledge
lock, prepared by Trevalon showed highest failure load but did not significantly
vary from that of DPI. The control group prepared by Acralyn-H showed lowest
failure load but did not significantly differ from that of DPI.
PMID- 27195222
TI - Effectiveness of chemomechanical caries removal agents Papacarie((r)) and Carie
CareTM in primary molars: An in vitro study.
AB - AIMS AND OBJECTIVES: The chemomechanical caries removal system is made presently
available containing a natural proteolytic enzyme for ease in the excavation of
infected dentin. The aim of the study was to evaluate the efficacy and efficiency
of caries removal using Papacarie((r)) and Carie-CareTM. MATERIALS AND METHODS: A
total of 30 extracted deciduous molars with proximal caries were collected, and
each tooth was sectioned mesiodistally in the center of the carious lesion so
that the two halves (buccal and lingual or palatal) have similar carious lesions,
thus 30 teeth yielded 60 specimens. The specimens from each tooth were divided
alternatively into two groups for caries excavation either using Papacarie((r))
or Carie-CareTM so as to avoid selection bias. Paired t-test was used to compare
mean time taken for caries removal and Fischer's exact test was done for
comparing bacterial remnants after caries excavation. RESULTS: Mean time taken
for caries removal was significantly higher for Carie-CareTM (427.13 s) when
compared to Papacarie((r)) (385.8 s). Papacarie((r)) was found to be
significantly more efficient in caries removal with marked reduction in the
bacterial remnants following excavation. However, both gels were found to be
conservative as dentinal tubule destruction was not evident in either of them.
CONCLUSION: Both Papacarie((r)) and Carie-CareTM were found to be conservative in
caries removal. Papacarie((r)) was more efficient in removing bacteria in lesser
time from the infected carious lesion.
PMID- 27195223
TI - Assessing Angle's malocclusion among cleft lip and/or palate patients in Jammu.
AB - OBJECTIVE: The study was conducted to examine the patients with abnormalities of
cleft lip and/or palate and its association with different types of malocclusion.
MATERIALS AND METHODS: This descriptive study was done among 168 patients with
abnormalities of cleft lip and/or palate. Angle's classification of malocclusion
was applied for assessment of occlusion as Class I, Class II, and Class III. The
types of oral clefts classification such as cleft lip unilateral and cleft lip
bilateral, cleft palate (CP), unilateral cleft lip with palate (UCLP) and
bilateral cleft lip with palate (BCLP) was considered. Chi-square test was
applied to analyze the data at P < 0.05. RESULTS: The study showed different
categories of clefts patients as cleft lip (81), CP (31), and both cleft lip and
palate (53). The occurrence of unilateral cleft lip (44) was maximum among the
sample followed by UCLP (39), and bilateral cleft lip (31). Maximum subjects with
Class II (10.7%) and Class III (4.9%) malocclusion were seen with unilateral
cleft lip deformities. None of the patients with UCLP had Class III malocclusion.
CONCLUSION: Cleft lip was the most commonly observed deformity and high frequency
of Class II and III malocclusion was evident. Therefore, patients with such
abnormalities should be screened timely.
PMID- 27195224
TI - Assessment of readiness of dental faculty and students in Kuwait to implement a
smoking cessation counseling curriculum.
AB - AIMS AND OBJECTIVES: The goal of this study was to assess the readiness of both
dental faculty and dental students in Kuwait to implement a smoking cessation
counseling curriculum. MATERIALS AND METHODS: The study population included all
faculty and students in their clinical training years at the Faculty of
Dentistry, Kuwait University. The survey instrument was a 42-item questionnaire.
Data analysis involved employing various methods of descriptive statistics.
RESULTS: Students and faculty reported that their general knowledge of what to
include in a cessation message was excellent. Students reported and anticipated
more clinical barriers than did the faculty. Both students and faculty agreed
strongly that they were willing to refer patients for cessation in their clinical
practice, faculty were much less willing to prescribe medications for cessation
as compared to students. The majority of students and faculty disagreed with the
statement that performing cessation was easy. Responses showed that the use of
the 5 A's has not penetrated well into clinical practice for either the students
or the faculty. CONCLUSIONS: Clinical students and faculty members believe
smoking cessation counseling to be effective; however, their activity was mostly
limited to asking patients if they smoked and both reported their knowledge of
cessation counseling to be only fair.
PMID- 27195225
TI - Prevalence of anterior dental trauma and its associated factors among children
aged 3-5 years in Jaipur City, India - A cross sectional study.
AB - OBJECTIVE: To study the prevalence of anterior dental trauma and its associated
factors among 800 preschool children aged 3 to 5 years in Jaipur City, Rajasthan,
India. MATERIALS AND METHODS: A cross-sectional survey was conducted among
children aged 3-5 years, who were enrolled in various private and public schools
in Jaipur. Parents were asked to fill a form addressing socio-demographic data
and clinical examinations were performed by a single dentist. Traumatic dental
injuries (TDI) were assessed and recorded based on Andreasen's classification.
Associated factors such as sex, socioeconomic status (SES), and the type of
injury were also analyzed. The data were analyzed statistically using Statistical
Package for the Social Sciences software (version 20). RESULTS: An overall 10.2%
prevalence of TDI was observed among the study population. TDI were reported to
be more among male children (11.87%) compared to female children (8.14%). Enamel
fractures (69%) were the most prevalent type of anterior dental trauma. Upper
central incisors were the most frequently affected. The SES of the parents had
little influence on the prevalence of TDI. CONCLUSIONS: The prevalence rate of
dental trauma among children aged 3-5 years was 10.2%. Associated factors, such
as SES, were observed to be not significantly correlated to dental trauma among
the studied preschoolers.
PMID- 27195226
TI - "Musculoskeletal problem": Its prevalence among Iranian dentists.
AB - OBJECTIVES: Musculoskeletal problems (MSPs) are a major complication for
practitioners in the field of dentistry. The present study is designed to define
the prevalence of MSPs among Iranian dentists. MATERIALS AND METHODS: This was a
cross-sectional survey conducted on 600 dentists. The questions were about
demographic characteristics, headache, and physical problems of different parts
of the body. Data were analyzed using logistic regression analysis. RESULTS: Of
all dentists studied, 67.5% had physical problems. These MSPs were observed in
neck (51.87%), wrist (92.96%), waist (11.11%), and shoulder (7.40%) of the
practitioners. Some practitioners took leave of absence (18.52%), while others
(7.40%) underwent medical care. There was a direct correlation between age (P =
0.0001), high body mass index (BMI; P = 0.021), total number of daily under-care
patients (P = 0.002), and little physical activity (P = 0.0001) with MSPs.
CONCLUSION: The prevalence of MSPs is very high among dentists. Awareness about
preventive care is necessary for dentists. The dental curriculum also should
address ergonomic issues for dental educators.
PMID- 27195227
TI - Evaluation of the efficacy of platelet-rich plasma and platelet-rich fibrin in
alveolar defects after removal of impacted bilateral mandibular third molars.
AB - AIM AND OBJECTIVES: This study attempted the evaluation of the efficacy of
platelet-rich plasma (PRP) and platelet-rich fibrin (PRF) in alveolar defects
after removal of bilateral mandibular third molars. MATERIALS AND METHODS: A
total of 30 patients reporting to Department of Oral and Maxillofacial Surgery
and having bilateral mandibular third molar impaction in both male and female
aged between 18 and 30 years were included in this study. PRF and PRP were placed
in extraction site and recalled at 2(nd), 4(th), and 6(th) month postoperatively.
Data were statistically analyzed using IBM SPSS software for Windows, version
19.0. IBM Corp., Armonk, NY, USA. RESULTS: This study showed decreased probing
depth in PRF group compared to PRP and control one. This signifies a better soft
tissue healing of extraction sockets with PRF as compared to the PRP and the
control group and increase in the bone density highlights the use of PRP and PRF
certainly as a valid method in inducing hard tissue regeneration. CONCLUSION:
This study indicates a definite improvement in the periodontal health distal to
second molar after third molar surgery in cases treated with PRF as compared to
the PRP group and control group. Hence, PRP and PRF can be incorporated as an
adjunct to promote wound healing and osseous regeneration in mandibular third
molar extraction sites.
PMID- 27195228
TI - Distribution of smile line, gingival angle and tooth shape among the Saudi
Arabian subpopulation and their association with gingival biotype.
AB - OBJECTIVES: To determine the occurrence of smile line and maxillary tooth shape
in the Saudi Arabian subpopulation, and to estimate the association between these
parameters with gingival biotype. MATERIALS AND METHODS: On the fulfillment of
selection criteria, total 315 patients belong to Saudi Arabian ethnic group were
randomly selected. Two frontal photographs of the patients were acquired. The
tooth morphology, gingival angle, and smile line classification were determined
with ImageJ image analyzing software. The gingival biotype was assessed by probe
transparency method. The obtained data were analyzed with SPSS 19 (IBM
Corporation, New York, USA) software to determine the frequency and association
between other parameters and gingival biotype. RESULTS: Among the clinical
parameters evaluated, the tapering tooth morphology (56.8%), thick gingival
biotype (53%), and average smile line (57.5%) was more prevalent. The
statistically significant association was found between thick gingival biotype
and the square tooth, high smile line. The high gingival angle was associated
with thin gingival biotype. CONCLUSIONS: The study results indicate the existence
of an association between tooth shape, smile line, and gingival angle with
gingival biotype.
PMID- 27195229
TI - Prevalence of periodontal diseases among rural population of Mustabad, Krishna
District.
AB - AIMS AND OBJECTIVES: People in rural areas neglect oral health as they lack
awareness on dental diseases and also due to inadequate availability of dental
services. The prevalence of illiteracy is also a reason which can be attributed
to a poor oral health. This epidemiological study is undertaken to assess the
prevalence of periodontal diseases in the rural population of Mustabad - in
Krishna, Andhra Pradesh. MATERIALS AND METHODS: A cross-sectional study based on
randomized sampling method was carried out using the WHO assessment form (1997)
on a population of 470. The data were subjected to statistical analysis using
Statistical Package for Social Sciences Version 15.0. RESULTS: The subjects were
220 males and 250 females. Maximum numbers of subjects were in the age group of
35-44 years (21.91%). Prevalence of periodontal disease was found to be 73.62%.
The periodontal status deteriorated with aging. Prevalence of periodontitis was
higher in females (56.35%) compared to males (43.65%). Males had a higher
prevalence of deep pockets (3.18%), whereas females had a higher prevalence of
shallow pockets (3.20%). Females had twice the bleeding tendency (18.80%)
compared to males (8.64%). CONCLUSION: The increasing prevalence of periodontal
diseases is an impending problem which needs immediate intervention, if not it
would have a serious negative impact on the future oral health. The need of the
hour is more epidemiological studies with a bigger sample are required.
PMID- 27195230
TI - Comparison of a commercially available herbal and 0.2% chlorhexidine mouthrinse
for prevention of oral malodor: A clinical trial.
AB - OBJECTIVES: Despite the adverse effects of chlorhexidine (CHX) in the oral
cavity, it is still the most commonly prescribed mouthrinse for halitosis control
due to its excellent results. The purpose of this study was to compare the
efficacy of a mouthrinse with herbal formulation for halitosis control with 0.2%
CHX gluconate containing rinse and to simultaneously assess adverse effects
caused by the herbal mouthrinse if any. MATERIALS AND METHODS: Ninety-six
systemically healthy subjects with chronic generalized gingivitis were recruited
in the study and divided into three groups receiving 0.2% CHX gluconate
mouthrinse, herbal mouthrinse, or negative control, respectively as Group A, B,
and C. The halimeter scores and organoleptic scores were recorded for each
subject at baseline and after scaling. Others parameters recorded were plaque
index and gingival index. All scores were reassessed on the 7(th) and 14(th) day,
respectively. Statistical analysis was performed using Kruskal-Wallis ANOVA, Mann
Whitney U-test, and Wilcoxon matched pairs test. RESULTS: There was an overall
reduction in the halimeter scores both in Group A and B subjects which were not
statistically significant within the groups; this was in accordance with the
decrease in the mean organoleptic scores. Reduction in Group C scores was the
least and differed statistically from both Group A and B scores. CONCLUSIONS: The
results indicate an equivalent reduction in breath odor by both the herbal
mouthrinse and CHX. Furthermore, side effects were less, and patient compliance
was more with the herbal mouthrinse, which can thus be prescribed more safely and
with predictable outcomes for oral malodor.
PMID- 27195231
TI - Comparative evaluation of compressive strength, diametral tensile strength and
shear bond strength of GIC type IX, chlorhexidine-incorporated GIC and triclosan
incorporated GIC: An in vitro study.
AB - AIM: To comparatively evaluate the compressive strength, diametral tensile
strength, and shear bond strength of glass ionomer cement type IX, chlorhexidine
incorporated glass ionomer cement, and triclosan-incorporated glass ionomer
cement. MATERIALS AND METHODS: In this study, glass ionomer cement type IX was
used as a control. Chlorhexidine diacetate, and triclosan were added to glass
ionomer cement type IX powder, respectively, in order to obtain 0.5, 1.25, and
2.5% concentrations of the respective experimental groups. Compressive strength,
diametral tensile strength, and shear bond strength were evaluated after 24 h
using Instron Universal Testing Machine. The results obtained were statistically
analyzed using the independent t-test, Dunnett test, and Tukey test. RESULTS:
There was no statistical difference in the compressive strength, diametral
tensile strength, and shear bond strength of glass ionomer cement type IX
(control), 0.5% triclosan-glass ionomer cement, and 0.5% chlorhexidine-glass
ionomer cement. CONCLUSION: The present study suggests that the compressive
strength, diametral tensile strength, and shear bond strength of 0.5% triclosan
glass ionomer cement and 0.5% chlorhexidine-glass ionomer cement were similar to
those of the glass ionomer cement type IX, discernibly signifying that these can
be considered as viable options for use in pediatric dentistry with the
additional value of antimicrobial property along with physical properties within
the higher acceptable range.
PMID- 27195232
TI - Effect of hyperbaric oxygen profiles on the bond strength of repaired composite
resin.
AB - OBJECTIVE: This study was performed to evaluate the bond strength of repaired
three types of composite resins under various hyperbaric oxygen (HBO) profiles
with various session numbers. MATERIALS AND METHODS: Sixty specimens of three
types of composite resin (nanofilled composite, nanohybrid composite and
microfilled composite) each type of composite was divided into four group
according to various profiles of HBO treatment (control, 2bar, 3 bar and 5 bar).
Then, the specimens were repaired; thermocycled, the tensile bond strength were
measured. Then the data were analyzed by One-way ANOVA followed by Tukey's post
hoc test (alpha = 0.05). RESULTS: The highest bond strength was obtained for the
repaired nanofilled composite resin specimens while; the lowest bond strength was
obtained for the repaired microfilled composite resin specimens. The highest
tensile bond strength was recorded for the specimens who treated with the highest
pressure of HBO. CONCLUSION: The bond strength of repaired nanofilled composite
resins is better than the other types of composite resin. The highest pressure of
HBO, the highest bond strength of repaired composite resins.
PMID- 27195233
TI - Detection and management of a complex canal configuration in mesiobuccal root of
maxillary first molar using three dimensional imaging.
AB - This case report discusses the identification and management of complex canal
configuration of 3-2-1 in the mesiobuccal (MB) root of the maxillary left first
molar. Careful attention to details of the pulpal floor and applying the
knowledge of the laws of orifice location along with deepening the subpulpal
groove with ultrasonic tips helped in identifying the three MB canals. Manual
scouting helped in understanding the anatomic configuration; the use of three
dimensional imaging technique and spiral computed tomography (SCT) confirmed the
same. SCT images showed buccolingually wide and bulbous mesiobuccal root with
three separate canals at coronal third that merged into two canals in the middle
and exited as a single canal at the apex. This article highlights the role of SCT
in three-dimensionally analyzing the unseen rarest canal configurations that
ultimately enabled the clinician to thoroughly explore, debride, and obturate the
entire root canal system.
PMID- 27195234
TI - Early prevention and intervention of Class II division 1 in growing patients.
AB - Early screening and diagnosis help in preventing and intercepting the severity of
the malocclusion which helps in addressing the esthetic and functional concerns.
Growth modulation such as mandibular advancement has been an effective procedure
in orthodontics. Mandibular growth advancer (MGA) and PowerScope are gaining
popularity recently as these are effective in achieving the mandibular
advancement and ease of fabrication, placement, and wear. MGA was fabricated by
making the upper and lower splints separately and are placed in the oral cavity
by joining the two splints in the new construction bite using cold cure, MGA when
worn during growth phase helps in condylar remodeling in the temporomandibular
joint thus helps in advancement of the mandible. The proclination of the upper
anteriors in Class II division 1 can be moved lingually by activating the labial
bow in the splint. Dr. Andy Hayes worked in conjunction with American
orthodontics developed PowerScope. PowerScope delivers Class II correction with a
combination of patient comfort and ease of use that was unmatched among other
appliances. This ready to use chairside solution required no laboratory setup,
making for a much quicker, and easier installation process and appointment.
PowerScopes high quality, fixed one-piece design requires no patient compliance.
These superior qualities of PowerScope help in correction of Class II skeletal
growing patient in conjunction with fixed orthodontic therapy. MGA and PowerScope
were chosen as a functional appliance for this study, which shows decreased ANB
angle and effective mandible length was increased.
PMID- 27195235
TI - Arterial Stiffness Gradient.
AB - BACKGROUND: Aortic stiffness is a strong predictor of cardiovascular mortality in
various clinical conditions. The aim of this review is to focus on the arterial
stiffness gradient, to discuss the integrated role of medium-sized muscular
conduit arteries in the regulation of pulsatile pressure and organ perfusion and
to provide a rationale for integrating their mechanical properties into risk
prediction. SUMMARY: The physiological arterial stiffness gradient results from a
higher degree of vascular stiffness as the distance from the heart increases,
creating multiple reflective sites and attenuating the pulsatile nature of the
forward pressure wave along the arterial tree down to the microcirculation. The
stiffness gradient hypothesis simultaneously explains its physiological
beneficial effects from both cardiac and peripheral microcirculatory points of
view. The loss or reversal of stiffness gradient leads to the transmission of a
highly pulsatile pressure wave into the microcirculation. This suggests that a
higher degree of stiffness of medium-sized conduit arteries may play a role in
protecting the microcirculation from a highly pulsatile forward pressure wave.
Using the ratio of carotid-femoral pulse wave velocity (PWV) to carotid-radial
PWV, referred to as PWV ratio, a recent study in a dialysis cohort has shown that
the PWV ratio is a better predictor of mortality than the classical carotid
femoral PWV. KEY MESSAGES: Theoretically, the use of the PWV ratio seems more
logical for risk determination than aortic stiffness as it provides a better
estimation of the loss of stiffness gradient, which is the unifying hypothesis
that explains the impact of aortic stiffness both on the myocardium and on
peripheral organs.
PMID- 27195236
TI - Aortic Root Calcification: A Possible Imaging Biomarker of Coronary
Atherosclerosis.
AB - It has been reported that coronary atherosclerosis risk assessment using coronary
artery calcium and thoracic aorta calcium quantification may improve risk
stratification as it can lead to the reclassification of persons at increased
risk. The aortic root has been characterized by its close anatomical proximity to
the ostial origins of the right and left coronary arteries, and it can be
evaluated using multi-detector computed tomography without additional radiation
exposure and the use of contrast. The correlations between aortic root
calcification and coronary atherosclerotic markers as well as cardiac risk
factors have been analyzed.
PMID- 27195237
TI - Determinants and Prognostic Significance of the Renal Resistive Index.
AB - BACKGROUND: The noninvasive assessment of renal hemodynamics is currently
possible by assessing the renal resistive index (RRI) derived from intrarenal
Doppler arterial waveforms as (peak systolic velocity - end-diastolic
velocity)/peak systolic velocity. In this review, we outline the important
determinants of the RRI to clarify the true identity of the RRI and highlight its
potential diagnostic and prognostic value in renal and cardiovascular pathology.
SUMMARY: Although the RRI was initially considered to reflect intrarenal vascular
pathological processes, this index is actually a product of a complex interaction
between renal and systemic vascular wall properties and hemodynamic factors.
Indeed, studies in patients and general populations consistently demonstrated a
significant and direct association between the RRI and central or peripheral
pulse pressure independent of other covariables. Moreover, studies in renal
transplant patients also showed that the RRI mainly reflects characteristics of
the recipient but not those of the graft. Thus, the major influence of the
systemic hemodynamics on the intrarenal arterial waveforms excludes RRI as a
specific marker of renal vascular pathology. On the other hand, because the RRI
reflects pulsatility in renal arteries, it might be useful for the early
detection of renal microvascular damage. Future longitudinal studies are still
needed to clarify whether the detection of Doppler changes in intrarenal arteries
might yield an improvement in the adverse cardiovascular and renal outcome.
CONCLUSION: Published studies on RRI imply that the interaction between the
systemic hemodynamics and peripheral circulation in the kidney is a complex
physiological phenomenon. In addition to renal vascular properties, the central
hemodynamic factors significantly influence the intrarenal arterial Doppler
waveform patterns. Previous research also suggested an important role of the RRI
for the evaluation of renal target organ damage, particularly in patients with
increased pulsatility of the intrarenal blood flow.
PMID- 27195238
TI - Comment on the Paper by Cauwenberghs and Kuznetsova Entitled 'Determinants and
Prognostic Significance of the Renal Resistive Index'.
PMID- 27195239
TI - Cerebral Small Vessel Disease and Arterial Stiffness: Tsunami Effect in the
Brain?
AB - BACKGROUND: Cerebral small vessel diseases, including silent lacunar infarcts,
white matter hyperintensities, and microbleeds, pose a risk for cerebrovascular
disease, cognitive impairment, and the geriatric syndrome via effects on arterial
stiffness. However, the vascular, physiological, and metabolic roles of arterial
stiffness in cerebral small vessel diseases remain unclear. SUMMARY: Arterial
stiffness can be assessed using various indicators such as the ankle-brachial
index, pulse wave velocity, cardio-ankle vascular index, and augmentation index.
Arterial stiffness is independently associated with all components of cerebral
small vessel disease including silent lacunar infarcts, white matter
hyperintensities, and microbleeds, although there are some methodological
differences between the various surrogate markers. Evidence of arterial stiffness
indicates microvessel arteriosclerosis presenting with vascular endothelial
dysfunction. Further, vascular narrowing due to atherosclerosis and vascular
stiffness due to lipohyalinosis can accelerate the pulse waves. This hemodynamic
stress, pulsatile pressure, or blood pressure variability can cause a 'tsunami
effect' towards the cerebral parenchyma and lead to cerebral small vessel
disease. Previous studies have shown that silent lacunar infarcts and white
matter hyperintensities are strongly associated with arterial stiffness. However,
the association between microbleeds and arterial stiffness remains controversial,
as there are two vessel mechanisms related to microbleeds: cerebral amyloid
angiopathy and hypertensive small vessel disease. KEY MESSAGES: Cerebral small
vessel disease with associated arterial stiffness is a risk factor for silent
cerebral lesions, stroke, and cognitive impairment. Improvement of the living
environment, management of risk factors, and innovation and development of novel
drugs that improve arterial stiffness may suppress the progression of cerebral
small vessel disease, and may reduce the risk for stroke and dementia.
PMID- 27195240
TI - New Insight into Effects of beta-Blockers on Arterial Functions.
AB - The effects of beta-blockers on arterial properties are not well investigated. In
our recent study, we compared the effects of the two beta-blockers celiprolol and
bisoprolol on blood pressure, baroreflex sensitivity (BRS), flow-mediated
vasodilatation, and vascular stiffness. We found that bisoprolol achieved a
greater reduction in the pulse rate and improved BRS and vascular stiffness,
whereas celiprolol reduced the central blood pressure level. In this review, the
mechanisms of different types of beta-blockers and their effects on arteries are
discussed, and the appropriate use of beta-blockers in hypertensive subjects will
be proposed.
PMID- 27195241
TI - Brachial-Ankle Pulse Wave Velocity: Background, Method, and Clinical Evidence.
AB - BACKGROUND: The populations of many developed countries are becoming
progressively older. In aged societies, assessment of total vascular risk is
critically important, because old age is usually associated with multiple risks.
In this regard, pulse wave velocity (PWV) could be a global cardiovascular
marker, since it increases with advancing age, high blood pressure,
hyperglycaemia, and other traditional risks, summating cardiovascular risks.
Carotid-femoral PWV has been widely applied in Western countries and has been
used as a gold-standard PWV measure. However, this measure has never been
implemented by general practitioners in Japan, possibly because of methodological
difficulties. The life expectancy of Japanese people is now the highest in the
world, and the establishment of an adequate total vascular risk measure is an
urgent need. Against this background, brachial-ankle PWV was developed at the
beginning of this century. SUMMARY: Measurement of this parameter is easy, and
its reproducibility is good. Moreover, the generality of the methodology is
guaranteed. Brachial-ankle PWV has been reported to consistently increase with
most traditional cardiovascular risk factors except dyslipidaemia. A meta
analysis of cohort studies including various levels of risk has shown that a 1
m/s increase in brachial-ankle PWV is associated with a 12% increase in the risk
of cardiovascular events. Moreover, simultaneous evaluation of the ankle-brachial
index could allow further risk stratification of high-risk individuals, who are
common in aged societies. This unique feature is indispensable for the management
of aged populations, who usually are exposed to multiple risks and have
polyvascular diseases. This evidence, however, is chiefly derived from East Asian
countries. The collection of data from Caucasian populations, therefore, remains
a task for the future. KEY MESSAGE: Brachial-ankle PWV has the potential to
become a measure of arterial stiffness worldwide.
PMID- 27195242
TI - Practical Suitability of a Stand-Alone Oscillometric Central Blood Pressure
Monitor: A Review of the Microlife WatchBP Office Central.
AB - Accumulating evidence indicates that central blood pressure (CBP) is a better
cardiovascular risk predictor than brachial blood pressure (BP). Although more
additional benefits of CBP-based treatment above usual hypertension treatment are
to be demonstrated, the demand for implementing CBP assessment in general
clinical practice is increasing. For this, the measurement procedure must be
noninvasive, easy to perform, and cost- and time-efficient. Therefore,
oscillometric devices with the possibility to assess CBP seem the best option.
Recently, such an oscillometric BP monitor, the Microlife WatchBP Office Central,
was developed, which demonstrated its high accuracy in a validation study against
invasive BP measurement. Calibration errors of this device are limited because
the procedure is automated, standardized, and performed at the same place of and
within 30 s from pulse wave assessment. The transformation from the peripheral
pulse wave to CBP is done by means of an individual-based pulse wave analysis
according to a theory of arterial compliance and wave reflections. In addition,
the device has demonstrated to enable a more reliable diagnosis of hypertension
by CBP than by peripheral BP, with a lower frequency of over- and underdiagnosis.
Altogether, the available clinical evidence suggests that the Microlife WatchBP
Office Central fulfills the criteria for general clinical use.
PMID- 27195243
TI - Association between Tumor Necrosis Factor-alpha (-238G/A and -308G/A) Gene
Polymorphisms and Risk of Ischemic Stroke: A Meta-Analysis.
AB - Tumor necrosis factor-alpha (TNF-alpha) is a proinflammatory pleiotropic cytokine
which may contribute to the initiation and progression of ischemic stroke (IS).
Thus far, numerous studies have been performed to examine the association between
-238G/A (rs361525) and -308G/A (rs1800629) polymorphisms in the promoter regions
of the TNF-alpha gene and susceptibility to IS, but results are still
conflicting. The aim of this meta-analysis is to provide a relatively
comprehensive account of the association between TNF-alpha -238G/A and -308G/A
gene polymorphisms and susceptibility to IS. A literature search for eligible
candidate gene studies published before April 20, 2015, was conducted in the
PubMed, Medline, EMBASE and Google Scholar databases. The following combinations
of main keywords were used: ('Tumor Necrosis Factor-Alpha' or 'TNF-alpha') and
('ischemic stroke' or 'cerebral infarction' or 'IS') and ('genetic polymorphism'
or 'single nucleotide polymorphisms' or 'SNP'). Fixed- or random-effect models
were used to estimate the pooled odds ratio (OR) and 95% confidence interval
(CI). Meta-analysis was carried out by using RevMan 5.3 software. For TNF-alpha
238G/A gene polymorphism, 7 case-control studies with a total of 1,846 IS
patients and 1,905 controls showed a significant association with susceptibility
to IS under a dominant model (AA + GA vs. GG; OR, 1.40; 95% CI, 1.11-1.76; p
value 0.004). For TNF-alpha -308G/A gene polymorphism, 16 case-control studies
with a total of 5,651 IS patients and 5,792 controls showed a significant
protective association with susceptibility to IS under a dominant model (AA + GA
vs. GG; OR, 0.78, 95% CI, 0.63-0.97; p value 0.03). Our meta-analysis shows that
TNF-alpha -238G/A gene polymorphism is more likely to be associated with the risk
of IS in Caucasian populations as compared to Asian populations. However, TNF
alpha -308G/A gene polymorphism is more likely to be protective against IS in
Asian populations as compared to Caucasian populations. Further large, well
designed prospective epidemiological studies are needed to confirm these
findings.
PMID- 27195244
TI - Arterial Stiffness and Chronic Kidney Disease.
AB - Chronic kidney disease (CKD) is a major public health concern due to the high
prevalence of associated cardiovascular (CV) disease. CV mortality is 10-30 times
higher in end-stage renal disease patients than in the age-adjusted general
population. The last 20 years have been marked by a huge effort in the
characterization of the vascular remodeling process associated with CKD and its
consequences on the renal, CV and general prognosis. By comparison with patients
with normal renal function, with or without hypertension, an increase in large
artery stiffness has been described in end-stage renal disease as well as in CKD
stages 2-5. Most clinical studies are consistent with the observation that damage
to large arteries may contribute to the high incidence of CV disease. By
contrast, the impact of large artery stiffening and remodeling on CKD progression
is still a matter of debate. Concomitant exposure to other CV risk factors,
including diabetes, seems to play a major role in the association between aortic
stiffness and estimated GFR. The conflicting results obtained from longitudinal
studies designed to evaluate the impact of baseline aortic stiffness on GFR
progression are detailed in the present review. Only pulse pressure, central and
peripheral, is almost constantly associated with incident CKD and GFR decline.
Kidney transplantation improves patients' CV prognosis, but its impact on
arterial stiffness is still controversial. Donor age, living kidney donation and
mean blood pressure appear to be the main determinants of improvement in aortic
stiffness after kidney transplantation.
PMID- 27195245
TI - Hyperuricemia, Cardiovascular Disease, and Hypertension.
AB - In recent years, there has been an increase in the prevalence of hyperuricemia,
and the latter has attracted attention as an adult lifestyle-associated disease,
together with hypertension, diabetes, and dyslipidemia. Although hyperuricemia is
known to be an independent risk factor for hypertension, whether it is an
independent risk factor for cardiovascular disease remains controversial.
Recently, some small-scale interventional studies on antihyperuricemic
medications showed that the latter improved angina symptoms and prevented
cardiovascular disease. Here, we will mainly explain the cause of hyperuricemia
and the associations between hyperuricemia, hypertension, and cardiovascular
disease based on the latest published evidence.
PMID- 27195247
TI - Comparing the Spiritual Health and Quality of Life in Addicted and Non-Addicted
Patients in the City of Birjand, Iran.
AB - BACKGROUND: Substance abuse is a chronic phenomenon that affects many physical,
psychological, social, familial and economic elements. Abusers are left with
severely reduced interaction both with other individuals and society. OBJECTIVES:
The aim of this study was to compare the spiritual health of addicts and people
with a normal quality of life in the city of Birjand, Iran. PATIENTS AND METHODS:
The sample consisted of 100 non-addicted subjects and 100 patients who were drug
addicts in treatment centers and rehabilitation facilities both public and
private in Birjand. Normal sampling measures were used to find subjects of the
same age and gender. The subjects completed a spiritual health questionnaire and
the short form of the world health organization's (WHO) Quality of life
questionnaire, and the data were analyzed using multivariate ANOVA. RESULTS: The
results of ANOVA showed significant differences between addicted and non addicted
individual on spiritual well-being subscales. CONCLUSIONS: The strengthening of
spiritual and religious attitudes among drug users encourages them to engage in
and justify actions that are relevant to their health. When this happens, their
quality of life increases significantly.
PMID- 27195246
TI - Quantification of the Interrelationship between Brachial-Ankle and Carotid
Femoral Pulse Wave Velocity in a Workplace Population.
AB - BACKGROUND: Brachial-ankle pulse wave velocity (PWV) is increasingly used for the
measurement of arterial stiffness. In the present study, we quantified the
interrelationship between brachial-ankle and carotid-femoral PWV in a workplace
population, and investigated the associations with cardiovascular risk factors
and carotid intima-media thickness (IMT). METHODS: Brachial-ankle and carotid
femoral PWV were measured using the Omron-Colin VP1000 and SphygmoCor devices,
respectively. We investigated the interrelationship by the Pearson's correlation
analysis and Bland-Altman plot, and performed sensitivity and specificity
analyses. RESULTS: The 954 participants (mean +/- standard deviation age 42.6 +/-
14.2 years) included 630 (66.0%) men and 203 (21.3%) hypertensive patients.
Brachial-ankle (13.4 +/- 2.7 m/s) and carotid-femoral PWV (7.3 +/- 1.6 m/s) were
significantly correlated in all subjects (r = 0.75) as well as in men (r = 0.72)
and women (r = 0.80) separately. For arterial stiffness defined as a carotid
femoral PWV of 10 m/s or higher, the sensitivity and specificity of brachial
ankle PWV of 16.7 m/s or higher were 72 and 94%, respectively. The area under the
receiver operating characteristic curve was 0.953. In multiple stepwise
regression, brachial-ankle and carotid-femoral PWV were significantly (p < 0.001)
associated with age (partial r = 0.33 and 0.34, respectively) and systolic blood
pressure (partial r = 0.71 and 0.66, respectively). In addition, brachial-ankle
and carotid-femoral PWV were significantly (p < 0.001) associated with carotid
IMT (r = 0.57 and 0.55, respectively) in unadjusted analysis, but not in analysis
adjusted for cardiovascular risk factors (p >= 0.08). CONCLUSIONS: Brachial-ankle
and carotid-femoral PWV were closely correlated, and had similar determinants.
Brachial-ankle PWV can behave as an ease-of-use alternative measure of arterial
stiffness for assessing cardiovascular risk.
PMID- 27195248
TI - Co-occurrence of multiple sclerosis and Parkinson disease.
AB - Parkinson disease (PD) is a neurodegenerative disease of the central nervous
system (CNS) with the highest prevalence in adults over 60 years of age On the
other hand multiple sclerosis (MS), which mostly affects individuals between 20
and 40 years of age, is another neurodegenerative and autoimmune disease of the
CNS, however, less common than PD. Here we aim to report the case of a 39-year
old woman, who developed PD 18 years after diagnosis of MS.
PMID- 27195249
TI - Dietary fat intake and functional dyspepsia.
AB - A few studies have assessed the effects of fat intake in the induction of
dyspeptic symptoms. So, the aim of this study was to review the articles
regarding the dietary fat intake and FD. We used electronic database of PubMed to
search. These key words were chosen: FD, dietary fat, dyspeptic symptom, energy
intake and nutrients. First, articles that their title and abstract were related
to the mentioned subject were gathered. Then, full texts of related articles were
selected for reading. Finally, by excluding four articles that was irrelevant to
subject, 19 relevant English papers by designing clinical trial, cross-sectional,
case-control, prospective cohort, and review that published from 1992 to 2012
were investigated. Anecdotally, specific food items or food groups, particularly
fatty foods have been related to dyspepsia. Laboratory studies have shown that
the addition of fat to a meal resulted in more symptoms of fullness, bloating,
and nausea in dyspeptic patients. Studies have reported that hypersensitivity of
the stomach to postprandial distension is an essential factor in the generation
of dyspeptic symptoms. Small intestinal infusions of nutrients, particularly fat,
exacerbate this hypersensitivity. Moreover, evidence showed that perception of
gastric distension increased by lipids but not by glucose. Long chain
triglycerides appear to be more potent than medium chain triglycerides in
inducing symptoms of fullness, nausea, and suppression of hunger. Thus, Fatty
foods may exacerbate dyspeptic symptoms. Therefore, it seems that a reduction in
intake of fatty foods may useful, although this requires more evaluations.
PMID- 27195250
TI - Primary ectopic frontotemporal extradural craniopharyngioma.
AB - We present a case of primary ectopic frontotemporal extradural craniopharyngioma.
Primary ectopic craniopharyngiomas are very rare and have been reported involving
the fourth ventricle, infrasellar region, lateral ventricle, temporal area,
cerebellopontine angle, clivus, corpus callosum, and prepontine cistern. There
was just 1 case of craniopharyngioma previously presented in the literature, with
nearly same location as the presenting case.
PMID- 27195252
TI - Evaluation of the effect of Pulicaria gnaphalodes and Perovskia abrotanoides
essential oil extracts against Mycobacterium tuberculosis strains.
AB - BACKGROUND: Mycobacterium tuberculosis (MTB) is the causative agent of
tuberculosis (TB), which remains one of the major public health problems in the
world. The increasing incidence of multidrug-resistant tuberculosis (MDR-TB) and
extensively drug-resistant tuberculosis (XDR-TB) worldwide highlights the urgent
need to search for alternative antimycobacterial agents. More and more people in
developing countries utilize traditional medicine for their major primary health
care needs. It has been determined that the medicinal plants Pulicaria
gnaphalodes and Perovskia abrotanoides possess strong antibacterial effect.
MATERIALS AND METHODS: In this study, the antimycobacterial effects of P.
gnaphalodes and P. abrotanoides essential oil on MTB were examined. Essential oil
was prepared from P. gnaphalodes aerial parts and P. abrotanoides flower. The
effects of six different concentrations (20 MUg/ml, 40 MUg/ml, 80 MUg/ml, 160
MUg/ml, 320 MUg/ml, and 640 MUg/ml) were examined against sensitive isolates of
MTB and MTB H37Rv (ATCC 27294). RESULTS: The results showed that P. gnaphalodes
and P. abrotanoides essential oil extracts have strong inhibitory effects on MTB.
This activity for P. gnaphalodes was observed from very low (4%) to good (70.9%)
effect; meanwhile, this activity for P. abrotanoides was observed from very low
(4%) to strong (86%) effect. CONCLUSION: The mean of inhibition percentage for P.
gnaphalodes and P. abrotanoides in 640 MUg/ml was 58.1% and 76.2%, respectively.
So, P. abrotanoides plant is more effective against MTB than P. gnaphalodes.
Identification of the effective fraction against MTB is a further step to be
studied.
PMID- 27195251
TI - Interaction of central Angiotensin II and estrogen on systolic blood pressure in
female DOCA-salt treated rats.
AB - BACKGROUND: There is a probable interaction of central angiotensin II (Ang II)
and estrogen (Est) on blood pressure in deoxycorticosterone acetate (DOCA)-salt
hypertensive rats. Therefore, in the present study, the interaction between Ang
II and Est in ovariectomized (Ovx) and Sham rats that were treated with DOCA-
salt was evaluated. MATERIALS AND METHODS: The female rats were divided into 10
groups as follows: Sham, Ovx, Sham-DOCA, Ovx-DOCA, Sham-DOCA-estrogen (E), Ovx
DOCA-E, Sham-DOCA-losartan (L), Ovx-DOCA-L, Sham-DOCA-L-E, and Ovx-DOCA-L-E. The
Est groups received estradiol valerate (2 mg/kg; daily; subcutaneously (s.c)) for
four weeks. Following that, several doses of Ang II (0.5, 5, 50, 500, 5000 ng/5
MUl) were injected via the intracerebroventricular (i.c.v) route and the changes
in systolic blood pressure (SBP) were evaluated. In the losartan groups, 200 MUg
losartan was injected (i.c.v) 15 minutes after the Ang II injection and the blood
pressure was recorded. Treatment by DOCA was performed by removal of one kidney,
injection of DOCA (45 mg/kg i.p), and adding of sodium chloride (NaCl) (1%) and
potassium chloride (KCl) (0.1%) in the drinking water. RESULTS: The SBP was
increased by Ang II and this effect in DOCA-salt treated rat was higher than in
the untreated groups. The effect of Ang II on SBP in groups that were treated
with Est and L was lower than that in the DOCA-salt groups. Increase in SBP was
strongly attenuated by Ang II in groups that were co-treated with both Est and L
compared to the DOCA-treated rats. These results showed that Est significantly
attenuated the effect of central Ang II on SBP in the DOCA-salt treated rats.
CONCLUSION: We suggest that there are interactions between E and Ang II in the
control of blood pressure in DOCA-salt treated rats.
PMID- 27195253
TI - Serum, saliva, and GCF concentration of RANKL and osteoprotegerin in smokers
versus nonsmokers with chronic periodontitis.
AB - BACKGROUND: The role of host response in periodontitis pathogenesis is confirmed,
and it is well established that immune response plays a major role in the
alveolar bone destruction. In the investigation of these responses, the role of
receptor activator of the nuclear factor-kB ligand (RANKL)-osteoprotegerin (OPG)
system is the most promising. Smoking can affect the RANKL-OPG system in a manner
that will further enhance bone loss in periodontitis. The aim of this study is to
assess the serum, saliva, and gingival crevicular fluid (GCF) concentration of
RANKL and OPG in smoker versus nonsmoker untreated chronic periodontitis (CP)
patients. MATERIALS AND METHODS: Thirty-nine subjects were included in the
present cross-sectional study: 29 systemically healthy CP male patients (15
smokers, 14 nonsmokers) and 10 systemically and periodontally healthy nonsmoker
male subjects. Serum, GCF, and whole saliva samples were obtained from the
subjects. The enzyme-linked immunosorbent assay (ELISA) kits were used for
assaying the concentrations of RANKL and OPG in the samples. The one-way analysis
of variance (ANOVA) test and the least significant difference (LSD) post hoc test
were utilized to compare differences between the groups. RESULTS: RANKL and OPG
concentrations in saliva, serum, and GCF did not show any significant difference
among all groups (P > 0.05). Salivary RANKL/OPG ratios were significantly higher
in the nonsmoker CP group than in the healthy control group (P > 0.05) but they
were not statistically significant among smoker periodontitis patients.
CONCLUSIONS: The salivary RANKL/OPG ratio was higher in nonsmokers with
periodontitis in comparison with smoker periodontitis patients.
PMID- 27195254
TI - Clinical Concerns about Recurrence of Non-Functioning Pituitary Adenoma.
AB - BACKGROUND: Non-functioning pituitary adenomas (NFPA) are clinically challenging
because they present at a late stage with local mass effects or hypopituitarism.
Surgery for non-functioning pituitary adenoma requires a special strategic
approach for both minimal morbidity and radical resection. However, the clinical
predictive factors associated with recurrence are limited. Here, we investigated
optimal treatment of non-functioning pituitary adenoma. METHODS: We enrolled 289
patients who presented with non-functioning pituitary adenoma between January
2000 and January 2012 and who had received follow-up for at least one year for
this retrospective study. Of these patients, 152 were male and 137 were female,
with a median age of 51 years (range 15.79 years) and a median follow-up of four
years (range 1.12.6 years). Characteristics of patients and tumors were reviewed
with electronic medical records and radiologic images, retrospectively. RESULTS:
Of the tumors, 193 were gross-totally resected, 53 were near-totally resected,
and 43 were sub-totally resected. The extent of resection and adjuvant
radiotherapy were both statistically significant prognostic factors of
recurrence. Immunohistochemistry of tumor specimens did not yield consistent
results. CONCLUSION: With a high rate of recurrence, NFPA should be closely
followed-up over a long-term period. Improvement of surgical techniques with
advanced surgical equipment and adjuvant radiosurgery would lead to reduce the
recurrence rate and improve patients' outcome.
PMID- 27195255
TI - Hemangiopericytoma of the Cerebellopontine Angle: A Wolf in Sheep's Clothing.
AB - Primary meningeal hemangiopericytoma (HPC) is a rare, aggressive dura based tumor
that remarkably mimics a meningioma clinically and radiologically. Its occurrence
within the cerebellopontine angle (CPA) is exceptional, and establishing the
exact diagnosis is of the utmost importance since total resection remains the
cornerstone of treatment. A 42-year-old man presented with a three-month history
of progressively worsening vertigo and difficulty in walking. On admission, his
neurological examination revealed a right peripheral facial palsy, right abducens
palsy and left hemiparesis, suggesting the diagnosis of Millard-Gubler syndrome.
Computed tomography and magnetic resonance imaging demonstrated a homogeneously
enhancing dura based lesion of the right CPA causing major brain stem
compression. There was no widening of the ipsilateral internal auditory canal. A
standard retrosigmoid craniotomy was performed to access the right CPA. Exposure
of the lesion revealed a well-encapsulated, gray, fibrous lesion, which appeared
to originate from the tentorium. Gross total resection was achieved and confirmed
radiologically. The microscopic features and the immunohistochemical profile
confirmed the diagnosis of a HPC, and adjuvant radiation therapy was
administered. Ten years later, the patient presented with a severe neurological
deficit due to a local recurrence, but at that time refused any second
intervention. He died three months later. HPC can locate within the CPA and
present as a Millard-Gubler syndrome. The diagnosis should be kept in mind in
case of a CPA dura based tumor. Radical surgery plus radiation therapy can
maximize the recurrence-free survival and close follow-up remains mandatory to
spot recurrences early.
PMID- 27195256
TI - Recurrent Giant Cell Tumor of Skull Combined with Multiple Aneurysms.
AB - Giant cell tumors are benign but locally invasive and frequently recur. Giant
cell tumors of the skull are extremely rare. A patient underwent a surgery to
remove a tumor, but the tumor recurred. Additionally, the patient developed
multiple aneurysms. The patient underwent total tumor resection and trapping for
the aneurysms, followed by radiotherapy. We report this rare case and suggest
some possibilities for treating tumor growth combined with aneurysm development.
PMID- 27195257
TI - Cellular Neurothekeoma of the Scalp in the Elderly.
AB - Cellular neurothekeoma (CNT) is an uncommon variant of neurothekeoma that is
composed of pithelioid to spindled cells with variable nuclear atypia or
pleomorphism but no myxoid stroma. CNT occurs predominantly in the head and neck
or upper trunk of children and young adults, with female predominance. The
following case is different from typical CNTs. An 88-year-old female presented
with a palpable mass on the scalp, which we excised. Histologically, the tumor
was non-encapsulated and composed of spindled and epithelioid cells arranged in
fascicles and nodules separated by a collagen-rich stroma. Immunohistochemical
analysis showed that the epithelioid and spindle-shaped cells were focally
positive for vimentin, neuron-specific enolase, smooth muscle actin, CD68, and
CD10 but negative for S-100 protein, HMB-45, epithelial membrane antigen, and
CD34. We report a new case of CNT that arose in the scalp of an older patient and
that was associated with uncommon clinical, histological, and immunohistochemical
profiles.
PMID- 27195258
TI - A Case of Nongerminomatous Germ Cell Tumor with Fulminant Course Concomitant
Leptomeningeal Metastasis.
AB - We present the case of a 9-year-old boy with a non-germinomatous germ cell tumor
(NGGCT) in the pineal gland that exhibited a fulminant course following chemo-
and radiotherapy. After the detection of the tiny cerebellar enhancing nodule at
the end of chemo- and radiotherapy, tumor seeding progressed rapidly into the
entire cisternal space. We herein report a rare case of NGGCT with fulminant
clinical course of concomitant cerebellar seeding, with review of literature.
PMID- 27195260
TI - Acute Paraplegia as a Result of Hemorrhagic Spinal Ependymoma Masked by Spinal
Anesthesia: Case Report and Review of Literature.
AB - Ependymomas are the most common intramedullary spinal cord tumors in adults.
Although a hemorrhage within spinal ependymoma on imaging studies is not
uncommon, it has rarely been reported to bea cause of acute neurological deficit.
In the present report, we describe a case of a 24-year-old female patient who
developed acute paraplegia as a result of hemorrhagic spinal ependymoma
immediately after a cesarean delivery under spinal regional anesthesia. We review
the literature of hemorrhagic spinal ependymomas presenting with acute
neurological deficit and discuss the most appropriate treatment for a good
neurological recovery.
PMID- 27195259
TI - A Case of Langerhans Cell Histiocytosis Manifested as a Suprasellar Mass.
AB - Langerhans cell histiocytosis (LCH) has diverse clinical manifestations,
including intracranial mass lesions. We report a case of LCH that manifested as a
suprasellar mass, and initially misdiagnosed as a germ cell tumor. A 29-year-old
woman presented with polyuria, polydipsia and amenorrhea. Laboratory findings
revealed hypopituitarism with central diabetes insipidus, and a suprasellar mass
and a pineal mass were observed on magnetic resonance imaging. Under the clinical
impression of a germ cell tumor, the patient was treated with germ cell tumor
chemotherapy (cisplatin and etoposide) and radiation therapy without biopsy.
After initial shrinkage of the lesions, further growth of the tumor was observed
and a biopsy was performed. The histopathology revealed LCH. After chemotherapy
according to the LCH III protocol, the tumor disappeared. She is on regular
follow up for 5 years without relapse. The present findings indicate that LCH
should be included in the differential diagnosis of a suprasellar mass, even in
adults, especially when it manifests with diabetes insipidus. This case also
underscores the importance of a histopathologic diagnosis in patients with
suprasellar tumors before the initiation of a specific therapy, even if the
clinical findings are highly suggestive of a specific diagnosis.
PMID- 27195261
TI - Hypothalamic Extraventricular Neurocytoma (EVN) in a Pediatric Patient: A Case of
EVN Treated with Subtotal Removal Followed by Adjuvant Radiotherapy.
AB - Extra ventricular neurocytoma (EVN) is a rare brain tumor with histologic
features similar with a central neurocytoma, but located outside of the
ventricular system. In this study, we present an unusual case of hypothalamic EVN
in a 14-year-old patient. The patient underwent subtotal removal and had tumor
relapse. The patient was then treated using intensity modulated radiation
therapy, and the tumor remained stable for 24 months. This case report may be
important in that this is the first pediatric case of EVN located in the
hypothalamic region. EVN has similar radiologic features with pilocytic
astrocytomas and therefore a hypothalamic EVN may be misdiagnosed as a
hypothalamic glioma. Also, the pathologic-radiologic-clinical correlation of EVN
located in the hypothalamic area may be different from that of EVNs originating
from other usual sites.
PMID- 27195262
TI - Clinical, Radiologic, and Pathologic Findings of Subdural Osteoma: A Case Report.
AB - We present a case of a subdural osteoma. A 29-year-old female presented with a 3
year history of headaches. Computed tomography scan revealed a homogeneous high
density lesion isolated from the inner table of the frontal bone (a lucent dural
line) in the right frontal convexity. Magnetic resonance imaging revealed an
extra-axial lesion with a broad base without dural tail sign and punctate
enhancement pattern characteristic of abundant adipose tissue. Upon surgical
excision, we found a hard bony mass clearly demarcated from the dura. The mass
displayed characteristics of an osteoma upon histological examination. The
symptom was relieved after operation.
PMID- 27195263
TI - Large Mature Cystic Teratoma of the Third Ventricle in Infancy: A Case Report and
Review of Literatures.
AB - Teratomas of the central nervous system are rare and are frequently found in
children and young adults. Cystic teratomas found in infancy is a well-recognized
but infrequent entity. Intracranial teratomas,like teratomas in general, tend to
arise from midline structures such as the pineal gland, but has rarely been found
in the third ventricle. We report a rare case of a 6-month-old infant with a
mature cystic teratoma of the third ventricle with a review of literatures.
PMID- 27195264
TI - Oral Malignant Melanoma Initially Misdiagnosed as a Racial Pigmentation: A Case
Report.
AB - Oral malignant melanoma (OMM) is rare, representing less than 0.5% of all oral
malignancies. The most affected sites are the palate and the maxillary gingiva.
Histological examination is important to establish the diagnosis of any
suspicious pigmented lesion in the oral cavity, mainly if a precise clinical
diagnosis is not possible. We present one case of OMM that was initially
diagnosed as a racial pigmentation elsewhere 2 years earlier. Clinical
examination showed multiple macules and nodules located on the hard and soft
palate, gingiva and superior alveolar mucosa. These lesions were painless and
presented a color variation going from dark blue to black. Histological analysis
showed sheets and nests of atypical melanocytes displaying a range of shapes such
as plasmacytoid, epithelioid, and round cells, located in the superficial corium
extending to the deep tissues. A few tumor cells contained variable amounts of
melanin. There was no invasion of blood vessels or nerve fibers.
Immunohistochemical analysis revealed that the neoplastic cells were positive for
HMB-45, melan-A, S-100 and negative for AE1/AE3, confirming the diagnosis of
melanoma. The Ki-67 labeling index was around 25%. The patient refused any
treatment and died 11 months later.
PMID- 27195265
TI - Pagetoid Reticulosis: Report of Two Cases and Review of the Literature.
AB - Pagetoid reticulosis is a rare variant of mycosis fungoides that presents with a
large, usually single, erythematous, slowly growing scaly plaque containing an
intraepidermal proliferation of neoplastic T lymphocytes. Histopathologically,
this disease has distinctive attributes. In this report, we present two cases of
pagetoid reticulosis, compare its microscopic features to those of 'classical'
mycosis fungoides, and provide a brief review of the pertinent literature.
PMID- 27195267
TI - Lung cancer screening moving forward.
PMID- 27195266
TI - Diagnostic Approach to Synovial Sarcoma of the Head and Neck Illustrated by Two
Cases Arising in the Face and Oral Cavity.
AB - In the head and neck region, synovial sarcomas (SS) are rare tumours. We describe
the diagnostic approach to SS based on two cases which developed in a 26-year-old
male in the face and in a 53-year-old female on the alveolar mucosa of the upper
jaw. The demographic profile of the patients was compatible with the literature.
Histopathologically, both tumours presented as unencapsulated spindle cell
tumours arranged into short fascicles. Although the chromosomal translocation of
t(X;18)(p11.2;q11.2), transducin-like enhancer of split 1 (TLE-1) and SMARCB1
antibodies derived from gene expression studies are considered as the most
sensitive makers to diagnose SS, these facilities were not available. Therefore,
our cases were diagnosed as monophasic fibrous SS, utilizing a panel of
immunohistochemical markers, including cytokeratins, EMA, Bcl-2, and CD99 as
positive indicators and CD34, SMA, MYO-D, and S-100 as negative indicators. PAS
staining was used to identify glycogen and to exclude spindle cell carcinomas and
leiomyosarcoma, while Alcian blue was used to identify myxoid ground substance
and to exclude nodular fasciitis. In conclusion, SS, although rare, should be
included in the differential diagnosis of spindle cell tumours of the face and
oral mucosa.
PMID- 27195268
TI - Lung cancer epidemiology: contemporary and future challenges worldwide.
AB - Over the last century, lung cancer from the rarest of diseases became the biggest
cancer killer of men worldwide and in some parts of the world also of women
(North America, East Asia, Northern Europe, Australia and New Zealand). In 2012
over 1.6 million of people died due to lung cancer. The cause-effect relationship
between tobacco smoking and lung cancer occurrence has been proven in many
studies, both ecological and clinical. In global perspective one can see the
increasing tobacco consumption trend followed by ascending trends of lung cancer
mortality, especially in developing countries. In some more developed countries,
where the tobacco epidemics was on the rise since the beginning of the 20th
century and peaked in its mid, in male population lung cancer incidence trend
reversed or leveled off. Despite predicted further decline of incidence rates,
the absolute number of deaths will continue to grow in these countries. In the
remaining parts of the world the tobacco epidemics is still evolving what brings
rapid increase of the number of new lung cancer cases and deaths. Number of lung
cancer deaths worldwide is expected to grow up to 3 million until 2035. The
figures will double both in men (from 1.1 million in 2012 to 2.1 million in 2035)
and women (from 0.5 million in 2012 to 0.9 million in 2035) and the two-fold
difference between sexes will persist. The most rapid increase is expected in
Africa region (AFRO) and East Mediterranean region (EMRO). The increase of the
absolute number of lung cancer deaths in more developed countries is caused
mostly by population aging and in less developed countries predominantly by the
evolving tobacco epidemic.
PMID- 27195270
TI - Implementation and organization of lung cancer screening.
AB - CT screening for lung cancer is now being implemented in the US and China on a
widespread national scale but not in Europe so far. The review gives a status for
the implementation process and the hurdles to overcome in the future. It also
describes the guidelines and requirements for the structure and components of
high quality CT screening programs. These are essential in order to achieve a
successful program with the fewest possible harms and a possible mortality
benefit like that documented in the American National Lung Screening Trial
(NLST). In addition the importance of continued research in CT screening methods
is described and discussed with focus on the great potential to further improve
this method in the future for the benefit of patients and society.
PMID- 27195271
TI - The importance of the regimen of screening in maximizing the benefit and
minimizing the harms.
AB - BACKGROUND: In CT screening for lung cancer, the regimen of screening is critical
in diagnosing lung cancer early while limiting unnecessary tests and invasive
procedures. The International Early Lung Cancer Action Program (I-ELCAP) has
developed a regimen based on evidence collected in the I-ELCAP cohort of more
than 70,000 participants. METHODS: Important in the development of the regimen is
the recognition of the profound difference between the first, baseline round of
screening and all subsequent rounds of repeat screening. For each person
undergoing screening, the baseline round happens only once while repeat rounds
will be performed annually for many years. This difference needs to be clearly
recognized as it is these annual rounds which allow for identification of small,
early, yet aggressive, lung cancers which have high cure rates despite their
aggressiveness. The importance of nodule consistency and size are key factors in
the regimen. The regimen needs to be continuously updated by incorporating
advances in technology and knowledge. RESULTS: The use of the I-ELCAP regimen
reduces the workup of participants in the screening program to less than 10% in
the baseline round and less than 6% in the annual repeat rounds. By use of this
regimen, estimated cure rate of lung cancers diagnosed under screening is 80% or
higher in both baseline and annual repeat rounds. CONCLUSIONS: The I-ELCAP
collaboration provides a new paradigm that answers the 2002 NCI call for multiple
approaches to address relevant questions about screening and the Institute of
Medicine (IOM) Roundtable on Evidence-based Medicine from the National Academy of
Science's call for a "new clinical research paradigm that takes better advantage
of data generated in the course of healthcare delivery would speed and improve
the development of evidence for real-world decision making".
PMID- 27195272
TI - Advancing and sharing the knowledge base of CT screening for lung cancer.
AB - CT screening for lung cancer is gaining in acceptance and is now moving from the
research domain into standard clinical practice. Coincident with this, there is
also increasing awareness of the usefulness of collecting large datasets obtained
in the clinical domain and how this can be used to advance practice. Toward this
end, in the United States, the Centers for Medicare and Medicaid Services (CMS)
are requiring data from screening to be entered into certified registries. While
this is still in its early stage and only limited datasets are required, this
would be particularly relevant if images as well as clinical information were
collected as it will allow for additional evaluation of all imaging findings
including ancillary ones and understanding how they integrate into the screening
process. All of this needs to be considered in the context of how this
information can be shared with a person interested in being screened. In
particular, the potential benefit of screening needs to be presented in terms of
what is meaningful to the individual including their chances of having lung
cancer and also their chance of being cured. This is very different then
presenting it in terms of mortality reduction which was never meant to be used
for that purpose. Also, how findings made on the CT scans, in addition to those
related to lung cancer will be meaningful to them.
PMID- 27195269
TI - Risk factors assessment and risk prediction models in lung cancer screening
candidates.
AB - From February 2015, low-dose computed tomography (LDCT) screening entered the
armamentarium of diagnostic tools broadly available to individuals at high-risk
of developing lung cancer. While a huge number of pulmonary nodules are
identified, only a small fraction turns out to be early lung cancers. The
majority of them constitute a variety of benign lesions. Although it entails a
burden of the diagnostic work-up, the undisputable benefit emerges from: (I) lung
cancer diagnosis at earlier stages (stage shift); (II) additional findings
enabling the implementation of a preventive action beyond the realm of thoracic
oncology. This review presents how to utilize the risk factors from distinct
categories such as epidemiology, radiology and biomarkers to target the fraction
of population, which may benefit most from the introduced screening modality.
PMID- 27195273
TI - 2016 reflections on the favorable cost-benefit of lung cancer screening.
AB - This article gives a basic background on the confusing and often politicized
topic of cost-benefit analysis in healthcare, using lung cancer screening as a
case study. The authors are actuaries who work with the insurance industry, where
real-world data is used to produce audited financial figures; other disciplines
which work with cost-benefit analysis include those academic disciplines where
randomized controlled trials may be perceived as the gold standard of evidence.
In recent years, the finance and academic sectors of healthcare have begun to
converge, as academic disciplines have come to increasingly appreciate real-world
data, and insurers increasingly appreciate classical evidence-based medicine.
Nevertheless, the variation of results in cost-benefit analyses for particular
treatments can be bewildering to medical experts unfamiliar with real-world
healthcare financing.
PMID- 27195274
TI - Management of CT screen-detected lung nodule: the thoracic surgeon perspective.
AB - Implementation of lung cancer CT screening programs will increase the incidence
of pulmonary nodules and require multidisciplinary efforts for devising
appropriate treatment plans. The role of the thoracic surgeon is paramount in
leading the discussion and shaping the treatment strategies. Management of CT
screen-detected lung nodules differ from conventional lung cancer nodules given
their smaller size, varied histologies and potentially indolent growth. Here we
present a brief overview of the thoracic surgeon's perspective on the clinical
evaluation, diagnostic tests and surgical approach to these nodules in the
setting of a comprehensive lung cancer screening program.
PMID- 27195275
TI - Smoking cessation and lung cancer screening.
AB - Smoking behavior may have a substantial influence on the overall effect of lung
cancer screening. Non-randomized studies of smoking behavior during screening
have indicated that computer tomography (CT) screening induces smoking cessation.
Randomized studies have further elaborated that this effect has to do with
participation in screening alone and not dependent on the CT scan. Participants
in both CT and control arm in randomized screening trials had higher smoking
abstinence rate compared to that of the general population. A positive screening
test seems to further promote smoking cessation and decrease smoking relapse
rate. Also low smoking dependency and high motivation to quit smoking at baseline
predicted smoking abstinence in screening trials. Lung cancer screening therefore
seems to be a teachable moment for smoking cessation. Targeted smoking cessation
counselling should be an integrated part of future lung cancer screening trials.
PMID- 27195276
TI - Biomarkers of risk to develop lung cancer in the new screening era.
AB - Low-dose computed tomography for high-risk individuals has for the first time
demonstrated unequivocally that early detection save lives. The currently
accepted screening strategy comes at the cost of a high rate of false positive
findings while still missing a large percentage of the cases. Therefore, there is
increasing interest in developing strategies to better estimate the risk of an
individual to develop lung cancer, to increase the sensitivity of the screening
process, to reduce screening costs and to reduce the numbers of individuals
harmed by screening and follow-up interventions. New molecular biomarkers
candidates show promise to improve lung cancer outcomes. This review discusses
the current state of biomarker research in lung cancer screening with the primary
focus on risk assessment.
PMID- 27195278
TI - Lung cancer screening in patients with chronic obstructive pulmonary disease.
AB - Lung cancer and chronic obstructive pulmonary disease (COPD) are two intimately
related diseases, with great impact on public health. Annual screening using low
dose computed tomography (LDCT) of the chest significantly reduces mortality due
to lung cancer, and several scientific societies now recommend this technique.
COPD, defined by the presence of airflow obstruction [forced expiratory volume
and forced vital capacity (FVC) ratio less than 0.70], and their clinical
phenotypes, namely emphysema and chronic bronchitis, have been associated with
increased lung cancer risk. Several epidemiological studies, including lung
cancer screening trials, have found a 2- to 4-fold increase in lung cancer risk
in patients with COPD when compared to individuals without airflow obstruction.
Part of the risk attributed to airflow obstruction appears to be derived from the
presence of radiographic emphysema. The latter has proven to be an important lung
cancer risk factor in smokers without airflow obstruction and even in never
smokers. This evidence supports the idea of including patients with COPD and/or
emphysema in lung cancer screening programs. There is evidence that lung cancer
screening in this population is effective and can potentially reduce mortality.
Specific lung cancer risk scores have been developed for patients with COPD [COPD
lung cancer screening score (LUCSS) and COPD-LUCSS-diffusing capacity for carbon
monoxide (DLCO)] to identify those at high risk. A multidisciplinary approach for
an adequate patient selection, especially of patients with severe disease, is key
to maximize benefits and reduce harms from lung cancer screening in this
population. Patients with COPD included in lung cancer screening programs could
also benefit from other interventions, such as smoking cessation and adequate
treatment.
PMID- 27195277
TI - Coronary artery calcification in clinical practice: what we have learned and why
should it routinely be reported on chest CT?
AB - The recent acceptance of low dose chest computed tomography (LDCT) as a screening
modality for early lung cancer detection will significantly increase the number
of LDCT among high risk population. The target subjects are at the same time at
high risk to develop cardiovascular (CV) events. The routine report on coronary
artery calcification (CAC) will therefore, enhances the screening benefit by
providing the clinicians with an additive powerful risk stratification tool for
the management or primary prevention of CV events. This review will provide the
radiologists with helpful information for the daily practice regarding on what is
CAC, its clinical applications and how to diagnose, quantify and report on CAC
while reading the LDCT.
PMID- 27195279
TI - Transcriptomic Analysis of Resistant and Susceptible Bombyx mori Strains
Following BmNPV Infection Provides Insights into the Antiviral Mechanisms.
AB - Purpose. To decipher transcriptomic changes and related genes with potential
functions against Bombyx mori nucleopolyhedrovirus infection and to increase the
understanding of the enhanced virus resistance of silkworm on the transcriptomic
level. Methods. We assembled and annotated transcriptomes of the Qiufeng
(susceptible to infection) and QiufengN (resistant to infection) strains and
performed comparative analysis in order to decipher transcriptomic changes and
related genes with potential functions against BmNPV infection. Results. A total
of 78,408 SNPs were identified in the Qiufeng strain of silkworm and 56,786 SNPs
were identified in QiufengN strain. Besides, novel AS events were found in these
2 strains. In addition, 1,728 DEGs were identified in the QiufengN strain
compared with Qiufeng strain. These DEGs were involved in GO terms related to
membrane, metabolism, binding and catalytic activity, cellular processes, and
organismal systems. The highest levels of gene representation were found in
oxidative phosphorylation, phagosome, TCA cycle, arginine and proline metabolism,
and pyruvate metabolism. Additionally, COG analysis indicated that DEGs were
involved in "amino acid transport and metabolism" and "carbohydrate transport and
metabolism." Conclusion. We identified a series of major pathological changes in
silkworm following infection and several functions were related to the antiviral
mechanisms of silkworm.
PMID- 27195280
TI - The Microbiome of Animals: Implications for Conservation Biology.
AB - In recent years the human microbiome has become a growing area of research and it
is becoming clear that the microbiome of humans plays an important role for human
health. Extensive research is now going into cataloging and annotating the
functional role of the human microbiome. The ability to explore and describe the
microbiome of any species has become possible due to new methods for sequencing.
These techniques allow comprehensive surveys of the composition of the microbiome
of nonmodel organisms of which relatively little is known. Some attention has
been paid to the microbiome of insect species including important vectors of
pathogens of human and veterinary importance, agricultural pests, and model
species. Together these studies suggest that the microbiome of insects is highly
dependent on the environment, species, and populations and affects the fitness of
species. These fitness effects can have important implications for the
conservation and management of species and populations. Further, these results
are important for our understanding of invasion of nonnative species, responses
to pathogens, and responses to chemicals and global climate change in the present
and future.
PMID- 27195282
TI - LED Curing Lights and Temperature Changes in Different Tooth Sites.
AB - Objectives. The aim of this in vitro study was to assess thermal changes on tooth
tissues during light exposure using two different LED curing units. The
hypothesis was that no temperature increase could be detected within the dental
pulp during polymerization irrespective of the use of a composite resin or a
light-curing unit. Methods. Caries-free human first molars were selected, pulp
residues were removed after root resection, and four calibrated type-J
thermocouples were positioned. Two LED lamps were tested; temperature
measurements were made on intact teeth and on the same tooth during curing of
composite restorations. The data was analyzed by one-way analysis of variance
(ANOVA), Wilcoxon test, Kruskal-Wallis test, and Pearson's chi (2). After ANOVA,
the Bonferroni multiple comparison test was performed. Results. Polymerization
data analysis showed that in the pulp chamber temperature increase was higher
than that without resin. Starlight PRO, in the same condition of Valo lamp,
showed a lower temperature increase in pre- and intrapolymerization. A control
group (without composite resin) was evaluated. Significance. Temperature increase
during resin curing is a function of the rate of polymerization, due to the
exothermic polymerization reaction, the energy from the light unit, and time of
exposure.
PMID- 27195281
TI - Does Citrulline Have Protective Effects on Liver Injury in Septic Rats?
AB - Citrulline (Cit) supplementation was proposed to serve as a therapeutic
intervention to restore arginine (Arg) concentrations and improve related
functions in sepsis. This study explored whether citrulline had positive effects
on liver injury and cytokine release in the early stages of sepsis. The cecal
ligation and puncture (CLP) model was utilized in our study. Rats were divided
into four groups: normal, Cit, CLP, and CLP+Cit. The CLP group and CLP+Cit group
were separated into 6-, 12-, and 24-hour groups, according to the time points of
sacrifice after surgery. Intragastric administration of L-citrulline was applied
to rats in Cit and CLP+Cit groups before surgery. Serum AST and ALT levels and
levels of MDA, SOD, NO, and iNOS in the liver tissues were evaluated. Plasma
concentrations of Cit and Arg were assessed using HPLC-MS/MS. Serum
concentrations of cytokines and chemokines were calculated by Luminex. Results
showed SOD activities of CLP+Cit groups were significantly higher than that of
CLP groups, contrasting with the MDA and NO levels which were significantly lower
in CLP+Cit groups than in CLP groups. In addition, plasma concentrations of TNF
alpha, IL-6, and IL-1beta were significantly lower in the CLP+Cit 6-hour group
than in the CLP 6-hour group.
PMID- 27195283
TI - Pressure Drop in Tortuosity/Kinking of the Internal Carotid Artery: Simulation
and Clinical Investigation.
AB - Background. Whether carotid tortuosity/kinking of the internal carotid artery
leads to cerebral ischemia remains unclear. There is very little research about
the hemodynamic variation induced by carotid tortuosity/kinking in the
literature. The objective of this study was to research the blood pressure
changes induced by carotid tortuosity/kinking. Methods. We first created a
geometric model of carotid tortuosity/kinking. Based on hemodynamic boundary
conditions, the hemodynamics of carotid tortuosity and kinking were studied via a
finite element simulation. Then, an in vitro system was built to validate the
numerical simulation results. The mean arterial pressure changes before and after
carotid kinking were measured using pressure sensors in 12 patients with carotid
kinking. Results. Numerical simulation revealed that the pressure drops increased
with increases in the kinking angles. Clinical tests and in vitro experiments
confirmed the numerical simulation results. Conclusions. Carotid kinking leads to
blood pressure reduction. In certain conditions, kinking may affect the cerebral
blood supply and be associated with cerebral ischemia.
PMID- 27195284
TI - Herpes Simplex Virus Type 1 and Type 2 Infection Increases Atherosclerosis Risk:
Evidence Based on a Meta-Analysis.
AB - Objective. The aim of our study was to evaluate the relation of herpes simplex
virus type 1 (HSV-1) and type 2 (HSV-2) infection with the risk of
atherosclerosis (AS). Methods. A systematic literature search was performed
through three electronic databases. The pooled odds ratio (OR) and corresponding
95% confidence interval (CI) were used to assess the effect of HSV-1 and HSV-2
infection on AS risk. Results. 17 studies were available for meta-analysis of HSV
1 infection and AS risk and seven studies for meta-analysis of HSV-2 infection
and AS risk. Subjects exposed to HSV-1 infection exhibited an increased risk of
AS (OR = 1.77; 95% CI: 1.40-2.23; P < 0.001). And consistent elevated AS risks
for HSV-1 positive subjects were found in all subgroup analysis of disease type,
region, male proportion, and age. HSV-2 positive subjects demonstrated
significantly increased AS risk (OR = 1.37; 95% CI: 1.13-1.67; P < 0.005). In
subgroup analysis, elevated AS risks were only observed in myocardial ischemia
group, male proportion >60% group, and age <=60-year-old group. Conclusion. Our
meta-analysis indicated that HSV-1 and HSV-2 infection could increase the risk of
contracting AS.
PMID- 27195285
TI - Recent Advances in Treatments of Primary Focal Segmental Glomerulosclerosis in
Children.
AB - Focal segmental glomerulosclerosis (FSGS) is a nephrotic syndrome. Up to around
80% of cases of primary FSGS are resistant to steroid treatment. A large
proportion of patients with steroid-resistant FSGS progress to end-stage renal
disease. The purpose of treatment is to obtain a complete remission of
proteinuria, a necessary step that precedes improved renal survival and reduces
the risk of progression to chronic kidney disease. When this is not possible, the
secondary goal is a partial remission of proteinuria. Reduction or remission of
proteinuria is the most important factor predictive of renal survival. We will
review the current updated strategies for treatment of primary FSGS in children,
including traditional therapies consisting of corticosteroids and calcineurin
inhibitors and novel therapies such as rituximab, abatacept, adalimumab, and
fresolimumab.
PMID- 27195286
TI - Clinical Outcome and Prognostic Factors of Intensity-Modulated Radiotherapy for
T4 Stage Nasopharyngeal Carcinoma.
AB - Objective. To analyze the clinical outcomes and prognostic factors of intensity
modulated radiotherapy (IMRT) for T4 stage nasopharyngeal carcinoma (NPC).
Methods. Between March 2005 and March 2010, 110 patients with T4 stage NPC
without distant metastases were treated. All patients received IMRT. Induction
and/or concurrent chemotherapy were given. 47 (42.7%) patients received IMRT
replanning. Results. The 5-year local recurrence-free survival (LRFS), regional
recurrence-free survival (RRFS), distant metastasis-free survival (DMFS),
progression-free survival (PFS), and overall survival (OS) rates were 90.1%,
97.0%, 67.5%, 63.9%, and 64.5%, respectively. Eleven patients experienced local
regional failure and total distant metastasis occurred in 34 patients. 45
patients died and 26 patients died of distant metastasis alone. The 5-year LRFS
rates were 97.7% and 83.8% for the patients that received and did not receive
IMRT replanning, respectively (P = 0.023). Metastasis to the retropharyngeal
lymph nodes (RLN) was associated with inferior 5-year OS rate (61.0% versus
91.7%, P = 0.034). The gross tumor volume of the right/left lymph nodes (GTVln)
was an independent prognostic factor for DMFS (P = 0.006) and PFS (P = 0.018).
GTVln was with marginal significance as the prognostic factor for OS (P = 0.050).
Conclusion. IMRT provides excellent local-regional control for T4 stage NPC.
Benefit of IMRT replanning may be associated with improvement in local control.
Incorporating GTVln into the N staging system may provide better prognostic
information.
PMID- 27195287
TI - Differences in Knowledge, Attitude, and Behavior towards HIV/AIDS and Sexually
Transmitted Infections between Sexually Active Foreign and Chinese Medical
Students.
AB - Although the prevalence of human immunodeficiency virus (HIV) decreased in the
last decade worldwide, the number of deaths due to HIV/AIDS and communicable
diseases including syphilis, hepatitis, and tuberculosis had dramatically
increased in developing countries. Education and behavior are incredibly
important factors to prevent these diseases' spread. This study highlights the
range of differences in knowledge, attitude, and behavior of 434 sexually active
medical students towards HIV/AIDS and sexually transmitted infections (STIs).
Because the surveyed population constitutes the forefront of healthcare providers
and was originated from different area of the world, this is the first time a
study sought to investigate the behavioral attitude of this group of population
irrespective of the three levels of their academic and professional knowledge.
Several factors including sociodemographic characteristics, sexual behavior,
HIV/AIDS, and STIs related patterns play a key role in medical student attitude
and behavior towards people infected with HIV/AIDS and STIs. Our findings add
consistent value in prior studies which aimed to stop new infections and also
imply further investigations on the management of the studied infections by
medical students. The present study arouses much interest among participants and
provides evidence of reinforcing medical students' education on HIV/AIDS and
STIs.
PMID- 27195288
TI - Efficacy and Safety of Silodosin and Dutasteride Combination Therapy in Acute
Urinary Retention due to Benign Prostatic Hyperplasia: A Single-Arm Prospective
Study.
AB - This study aimed to assess the efficacy of combination therapy with dutasteride
and silodosin in patients with acute urinary retention (AUR) caused by benign
prostatic hyperplasia (BPH). Eighty consecutive patients with a first episode of
AUR were enrolled in this study. All patients received silodosin 8 mg and
dutasteride 0.5 mg daily. Trial without catheter (TWOC) was attempted every 2
weeks until 12 weeks after the initiation of medication. The primary endpoint was
the rate of catheter-free status at 12 weeks. Voided volume (VV), postvoid
residual urine (PVR), uroflowmetry, International Prostatic Symptoms Score
(IPSS), and quality of life due to urinary symptoms (IPSS-QOL) were also
measured. All patients were followed up for more than 12 weeks and were included
in this analysis. The success rate of TWOC at 12 weeks was 88.8%. VV and maximum
urinary flow rate were significantly higher at 2, 4, 8, and 12 weeks compared
with the time of AUR (P < 0.001). IPSS and IPSS-QOL were significantly lower at
2, 4, 8, and 12 weeks compared with the time of AUR (P < 0.001). In conclusion, a
combination of dutasteride and silodosin therapy may be effective and safe for
patients with AUR due to BPH.
PMID- 27195289
TI - Skeletal Muscle Remodelling as a Function of Disease Progression in Amyotrophic
Lateral Sclerosis.
AB - Muscle weakness is considered the pivotal sign of amyotrophic lateral sclerosis
(ALS). Knowledge about the skeletal muscle degeneration/regeneration process and
the myogenic potential is limited in ALS patients. Therefore, we investigate
these processes in a time course perspective by analysing skeletal muscle
biopsies from ALS patients collected before and after a 12-week period of normal
daily activities and compare these with healthy age-matched control tissue. We do
this by evaluating mRNA and protein (immunohistochemical) markers of
regeneration, neurodegeneration, myogenesis, cell cycle regulation, and
inflammation. Our results show morphological changes indicative of active
denervation and reinnervation and an increase in small atrophic fibres. We
demonstrate differences between ALS and controls in pathways controlling skeletal
muscle homeostasis, cytoskeletal and regenerative markers, neurodegenerative
factors, myogenic factors, cell cycle determinants, and inflammatory markers. Our
results on Pax7 and MyoD protein expression suggest that proliferation and
differentiation of skeletal muscle stem cells are affected in ALS patients, and
the myogenic processes cannot overcome the denervation-induced wasting.
PMID- 27195291
TI - Increased ZAP70 Is Involved in Dry Skin Pruritus in Aged Mice.
AB - Dry skin pruritus is common in the elderly. Recent reports show that T-cell
signal path is involved in dry skin pruritus. Zeta-chain-associated protein
kinase 70 (ZAP70), as a T-cell receptor, may induce interleukin 2 (IL-2)
secretion and promote nerve growth factor (NGF) secretion in skin. This study
aimed to detect the alteration of ZAP70 in a mice model with dry skin pruritus.
The C57BL mice with 5 months and 22 months were used as experimental animal.
Following a 5-day period of treatment of back with a mixture of acetone-diethyl
ether-water (AEW), mice exhibited a significant increase in spontaneous
scratching behavior directed to the treated back compared to control animals in
which back was similarly treated with water only (W). After AEW process,
spontaneous scratching in 22-month AEW mice was increased compared to 5-month AEW
mice. Western blot and real-time quantitative PCR data analysis showed that ZAP70
expression was significantly increased in 22-month AEW mice compared with 5-month
AEW mice. ELISA data showed that secretions of IL-2 and NGF in 22-month AEW mice
were higher than 5-month AEW mice. Our results indicate that increased ZAP70 is
involved in dry skin in elderly pruritus. Increased secretion of IL-2 and NGF may
induce dry skin itch.
PMID- 27195290
TI - Valproic Acid Prevents Renal Dysfunction and Inflammation in the Ischemia
Reperfusion Injury Model.
AB - Ischemia-reperfusion injury (IRI) is a major contributor to acute kidney injury
(AKI). At present, there are no effective therapies to prevent AKI. The aim of
this study was to analyse whether valproic acid (VPA), a histone deacetylase
inhibitor with anti-inflammatory properties, prevents renal IRI. Male Wistar rats
were divided into three groups: SHAM rats were subjected to a SHAM surgery, IRI
rats underwent bilateral renal ischemia for 45 min, and IRI + VPA rats were
treated with VPA at 300 mg/kg twice daily 2 days before bilateral IRI. Animals
were euthanized at 48 hours after IRI. VPA attenuated renal dysfunction after
ischemia, which was characterized by a decrease in BUN (mg/dL), serum creatinine
(mg/dL), and FENa (%) in the IRI + VPA group (39 +/- 11, 0.5 +/- 0.05, and 0.5 +/
0.06, resp.) compared with the IRI group (145 +/- 35, 2.7 +/- 0.05, and 4.9 +/-
1, resp.; p < 0.001). Additionally, significantly lower acute tubular necrosis
grade and number of apoptotic cells were found in the IRI + VPA group compared to
the IRI group (p < 0.001). Furthermore, VPA treatment reduced inflammatory
cellular infiltration and expression of proinflammatory cytokines. These data
suggest that VPA prevents the renal dysfunction and inflammation that is
associated with renal IRI.
PMID- 27195292
TI - Tricellular Tight Junctions in the Inner Ear.
AB - Tight junctions (TJs) are structures that seal the space between the epithelial
cell sheets. In the inner ear, the barrier function of TJs is indispensable for
the separation of the endolymphatic and perilymphatic spaces, which is essential
for the generation and maintenance of the endocochlear potential (EP). TJs are
formed by the intercellular binding of membrane proteins, known as claudins, and
mutations in these proteins cause deafness in humans and mice. Within the
epithelial cell sheet, however, a bound structure is present at the site where
the corners of three cells meet (tricellular tight junctions (tTJs)), and the
maintenance of the barrier function at this location cannot be explained by the
claudins alone. Tricellulin and the angulin family of proteins (angulin-1/LSR,
angulin-2/ILDR1, and angulin-3/ILDR2) have been identified as tTJ-associated
proteins. Tricellulin and ILDR1 are localized at the tTJ and alterations in these
proteins have been reported to be involved in deafness. In this review, we will
present the current state of knowledge for tTJs.
PMID- 27195293
TI - In Vitro Cytokine Expression and In Vivo Healing and Inflammatory Response to a
Collagen-Coated Synthetic Bone Filler.
AB - The goal of the present work was to investigate the relationship between in vivo
healing and inflammatory response and in vitro cytokine expression by macrophages
of a synthetic bone filler (25% hydroxylapatite-75% beta-tricalcium phosphate)
bearing a surface nanolayer of collagen. A clinically accepted, state-of-the-art
xenograft material was used as a "negative control," that is, as a material that
provides the correct clinical response for the intended use. In vitro data show
that both materials exert a very low stimulation of proinflammatory cytokines by
macrophages, and this was confirmed by the very mild inflammatory response
detected in in vivo tests of local response in a rabbit model. Also, in vitro
findings suggest a different mechanism of healing for the test and the control
material, with a higher regenerative activity for the synthetic, resorbable
filler, as confirmed by in vivo observation and literature reports. Thus, the
simple in vitro model adopted provides a reasonable forecast of in vivo results,
suggesting that new product development can be guided by in vitro tuning of cell
materials interactions.
PMID- 27195294
TI - The Effect of Diabetes Medication on Cognitive Function: Evidence from the PATH
Through Life Study.
AB - Objective. To examine the effect of diabetes treatment on change of measures of
specific cognitive domains over 4 years. Research Design and Methods. The sample
was drawn from a population-based cohort study in Australia (the PATH Through
Life Study) and comprised 1814 individuals aged 65-69 years at first measurement,
of whom 211 were diagnosed with diabetes. Cognitive function was measured using
10 neuropsychological tests. The effect of type of diabetes treatment (diet, oral
hypoglycemic agents, and insulin) on measures of specific cognitive domains was
assessed using Generalized Linear Models adjusted for age, sex, education,
smoking, physical activity level, BMI, and hypertension. Results. Comparison of
cognitive function between diabetes treatment groups showed no significant effect
of type of pharmacological treatment on cognitive function compared to diet only
group or no diabetes group. Of those on oral hypoglycaemic treatment only,
participants who used metformin alone had better cognitive function at baseline
for the domains of verbal learning, working memory, and executive function
compared to participants on other forms of diabetic treatment. Conclusion. This
study did not observe significant effect from type of pharmacological treatment
for diabetes on cognitive function except that participants who only used
metformin showed significant protective effect from metformin on domain of verbal
learning, working memory, and executive function.
PMID- 27195295
TI - A Comprehensive Curation Shows the Dynamic Evolutionary Patterns of Prokaryotic
CRISPRs.
AB - Motivation. Clustered regularly interspaced short palindromic repeat (CRISPR) is
a genetic element with active regulation roles for foreign invasive genes in the
prokaryotic genomes and has been engineered to work with the CRISPR-associated
sequence (Cas) gene Cas9 as one of the modern genome editing technologies. Due to
inconsistent definitions, the existing CRISPR detection programs seem to have
missed some weak CRISPR signals. Results. This study manually curates all the
currently annotated CRISPR elements in the prokaryotic genomes and proposes 95
updates to the annotations. A new definition is proposed to cover all the
CRISPRs. The comprehensive comparison of CRISPR numbers on the taxonomic levels
of both domains and genus shows high variations for closely related species even
in the same genus. The detailed investigation of how CRISPRs are evolutionarily
manipulated in the 8 completely sequenced species in the genus Thermoanaerobacter
demonstrates that transposons act as a frequent tool for splitting long CRISPRs
into shorter ones along a long evolutionary history.
PMID- 27195296
TI - The Possible Roles of Biological Bone Constructed with Peripheral Blood Derived
EPCs and BMSCs in Osteogenesis and Angiogenesis.
AB - This study aimed to determine the possible potential of partially deproteinized
biologic bone (PDPBB) seeded with bone marrow stromal cells (BMSCs) and
endothelial progenitor cells (EPCs) in osteogenesis and angiogenesis. BMSCs and
EPCs were isolated, identified, and cocultured in vitro, followed by seeding on
the PDPBB. Expression of osteogenesis and vascularization markers was quantified
by immunofluorescence (IF) staining, immunohistochemistry (IHC), and quantitive
real-time polymerase chain reaction (qRT-PCR). Scanning electron microscope (SEM)
was also employed to further evaluate the morphologic alterations of cocultured
cells in the biologic bone. Results demonstrated that the coculture system
combined with BMSCs and EPCs had significant advantages of (i) upregulating the
mRNA expression of VEGF, Osteonectin, Osteopontin, and Collagen Type I and (ii)
increasing ALP and OC staining compared to the BMSCs or EPCs only group.
Moreover, IHC staining for CD105, CD34, and ZO-1 increased significantly in the
implanted PDPBB seeded with coculture system, compared to that of BMSCs or EPCs
only, respectively. Summarily, the present data provided evidence that PDPBB
seeded with cocultured system possessed favorable cytocompatibility, provided
suitable circumstances for different cell growth, and had the potential to
provide reconstruction for cases with bone defection by promoting osteogenesis
and angiogenesis.
PMID- 27195297
TI - Roe Protein Hydrolysates of Giant Grouper (Epinephelus lanceolatus) Inhibit Cell
Proliferation of Oral Cancer Cells Involving Apoptosis and Oxidative Stress.
AB - Roe protein hydrolysates were reported to have antioxidant property but the
anticancer effects were less addressed, especially for oral cancer. In this
study, we firstly used the ultrafiltrated roe hydrolysates (URH) derived from
giant grouper (Epinephelus lanceolatus) to evaluate the impact of URH on
proliferation against oral cancer cells. We found that URH dose-responsively
reduced cell viability of two oral cancer cells (Ca9-22 and CAL 27) in terms of
ATP assay. Using flow cytometry, URH-induced apoptosis of Ca9-22 cells was
validated by morphological features of apoptosis, sub-G1 accumulation, and
annexin V staining in dose-responsive manners. URH also induced oxidative stress
in Ca9-22 cells in terms of reactive oxygen species (ROS)/superoxide generations
and mitochondrial depolarization. Taken together, these data suggest that URH is
a potential natural product for antioral cancer therapy.
PMID- 27195298
TI - Association between Polymorphisms in Interleukins 4 and 13 Genes and Chronic
Periodontitis in a Han Chinese Population.
AB - Chronic periodontitis (CP) is one of the most common chronic inflammatory
diseases and cytokines play a pivotal role in the regulation of immune response.
Interleukin-4 (IL-4) and interleukin-13 (IL-13) are anti-inflammatory cytokines
and several polymorphisms of them have been proved involved in periodontal
disease. This study aimed to evaluate whether three single nucleotide
polymorphisms (SNPs), rs2070874 and rs2243248 from IL4 and rs1800925 from IL13,
are associated with CP in a Han Chinese population consisting of 440 moderate or
severe CP patients and 324 healthy controls. Genomic DNA extracted from buccal
epithelial cells of the included participants were genotyped using a matrix
assisted laser desorption/ionization time-of-flight (MALDI-TOF) mass spectrometry
method. No significant association between rs2070874 or rs1800925 and CP was
found, while the frequencies of rs2243248 and two haplotypes C-G-T and C-T-T
showed significant differences between the two groups. The results suggest that
the polymorphism rs2243248 and haplotypes C-G-T and C-T-T may be associated with
CP susceptibility in the present Han Chinese population.
PMID- 27195299
TI - Technical Aspects on the Use of Ultrasonic Bone Shaver in Spine Surgery:
Experience in 307 Patients.
AB - Aim. We discuss technical points, the safety, and efficacy of ultrasonic bone
shaver in various spinal surgeries within our own series. Methods. Between June
2010 and January 2014, 307 patients with various spinal diseases were operated on
with the use of an ultrasonic bone curette with microhook shaver (UBShaver).
Patients' data were recorded and analyzed retrospectively. The technique for the
use of the device is described for each spine surgery procedure. Results. Among
the 307 patients, 33 (10.7%) cases had cervical disorder, 17 (5.5%) thoracic
disorder, 3 (0.9%) foramen magnum disorder, and 254 (82.7%) lumbar disorders.
Various surgical techniques were performed either assisted or alone by UBShaver.
The duration of the operations and the need for blood replacement were relatively
low. The one-year follow-up with Neck Disability Index (NDI) and Oswestry
Disability Index (ODI) scores were improved. We had 5 cases of dural tears (1.6%)
in patients with lumbar spinal disease. No neurological deficit was found in any
patients. Conclusion. We recommend this device as an assistant tool in various
spine surgeries and as a primary tool in foraminotomies. It is a safe device in
spine surgery with very low complication rate.
PMID- 27195300
TI - Steroid Tumor Environment in Male and Female Mice Model of Canine and Human
Inflammatory Breast Cancer.
AB - Canine inflammatory mammary cancer (IMC) shares clinical and histopathological
characteristics with human inflammatory breast cancer (IBC) and has been proposed
as a good model for studying the human disease. The aim of this study was to
evaluate the capacity of female and male mice to reproduce IMC and IBC tumors and
identify the hormonal tumor environment. To perform the study sixty 6-8-week-old
male and female mice were inoculated subcutaneously with a suspension of 10(6)IPC
366 and SUM149 cells. Tumors and serum were collected and used for hormonal
analysis. Results revealed that IPC-366 reproduced tumors in 90% of males
inoculated after 2 weeks compared with 100% of females that reproduced tumor at
the same time. SUM149 reproduced tumors in 40% of males instead of 80% of females
that reproduced tumors after 4 weeks. Both cell lines produce distant metastasis
in lungs being higher than the metastatic rates in females. EIA analysis revealed
that male tumors had higher T and SO4E1 concentrations compared to female tumors.
Serum steroid levels were lower than those found in tumors. In conclusion, IBC
and IMC male mouse model is useful as a tool for IBC research and those
circulating estrogens and intratumoral hormonal levels are crucial in the
development and progression of tumors.
PMID- 27195301
TI - Collagen V Is a Potential Substrate for Clostridial Collagenase G in Pancreatic
Islet Isolation.
AB - The clostridial collagenases, H and G, play key roles in pancreatic islet
isolation. Collagenases digest the peptide bond between Yaa and the subsequent
Gly in Gly-Xaa-Yaa repeats. To fully understand the pancreatic islet isolation
process, identification of the collagenase substrates in the tissue is very
important. Although collagen types I and III were reported as possible substrates
for collagenase H, the substrate for collagenase G remains unknown. In this
study, collagen type V was focused upon as the target for collagenases. In vitro
digestion experiments for collagen type V were performed and analyzed by SDS-PAGE
and mass spectrometry. Porcine pancreatic tissues were digested in vitro under
three conditions and observed during digestion. The results revealed that
collagen type V was only digested by collagenase G and that the digestion was
initiated from the N-terminal part. Tissue degradation during porcine islet
isolation was only observed in the presence of both collagenases H and G. These
findings suggest that collagen type V is one of the substrates for collagenase G.
The enzymatic activity of collagenase G appears to be more important for
pancreatic islet isolation in large mammals such as pigs and humans.
PMID- 27195302
TI - Variations in the Obesity Gene "LEPR" Contribute to Risk of Type 2 Diabetes
Mellitus: Evidence from a Meta-Analysis.
AB - Leptin is a hormone protein regulating food intake and energy expenditure. A
number of studies have evaluated the genetic effect of leptin (LEP) and leptin
receptor (LEPR) genes on T2DM. This study aimed to investigate the association
between these gene polymorphisms and T2DM by a systematic review and meta
analysis. Published studies were identified through extensive search in PubMed
and EMBASE. A total of 5143 T2DM cases and 5021 controls from 14 articles were
included in this study. Five functional variants in LEPR were well evaluated.
Meta-analysis showed that rs1137101 (p.R223Q) was significantly associated with
T2DM in all genetic models: allele model (OR = 1.27, 95% confidence interval (CI)
= 1.13-1.42), dominant model (OR = 1.19, 95% CI = 1.05-1.35), homozygote model
(OR = 1.82, 95% CI = 1.38-2.39), and recessive model (OR = 1.75, 95% CI = 1.35
2.28), with minimal heterogeneity and no indication of publication bias. Similar
associations with T2DM were also found for rs62589000 (p.P1019P) and 3'UTR
ins/del, although the data was obtained from a small number of studies. For the
other two polymorphisms rs1137100 (p.R109K) and rs8179183 (p.K656N), they were
not significantly associated with T2DM. Our results provide robust evidences for
the genetic association of rs1137101 (p.R223Q) in LEPR with T2DM susceptibility.
PMID- 27195304
TI - Effects of a Moderately Lower Temperature on the Proliferation and Degranulation
of Rat Mast Cells.
AB - Mast cells are traditionally considered as key effector cells in IgE-mediated
allergic diseases. However, the roles of mast cells have also been implicated in
diverse physiological and pathological processes. Mast cells are distributed in
various organs and tissues of various species. Some of the organs and tissues,
such as testis, skin, and the upper part of the respiratory tract, have a
temperature that is lower than the body's core temperature. The purpose of the
present study was to investigate the effects of a lower temperature on the
proliferation and degranulation of rat mast cells. Here, we demonstrate that cell
growth was retarded at 35 degrees C compared to 37 degrees C for both rat
peritoneal mast cells (RPMC) and RBL-2H3, a rat mast cell line. Furthermore, RPMC
became more susceptible to degranulation at 35 degrees C compared to 37 degrees
C. In contrast, degranulation of RBL-2H3 was not as sensitive to temperature
change as RPMC. The functionality of mast cells in unique organs with a lower
temperature warrants further analysis.
PMID- 27195303
TI - Phenotyping of Leukocytes and Leukocyte-Derived Extracellular Vesicles.
AB - Extracellular vesicles (EVs) have a demonstrated involvement in modulating the
immune system. It has been proposed that EVs could be used as biomarkers for
detection of inflammatory and immunological disorders. Consequently, it is of
great interest to investigate EVs in more detail with focus on immunological
markers. In this study, five major leukocyte subpopulations and the corresponding
leukocyte-derived EVs were phenotyped with focus on selected immunological
lineage-specific markers and selected vesicle-related markers. The leukocyte
derived EVs displayed phenotypic differences in the 34 markers investigated. The
majority of the lineage-specific markers used for identification of the parent
cell types could not be detected on EVs released from monocultures of the
associated cell types. In contrast, the vesicular presentation of CD9, CD63, and
CD81 correlated to the cell surface expression of these markers, however, with
few exceptions. Furthermore, the cellular expression of CD9, CD63, and CD81
varied between leukocytes present in whole blood and cultured leukocytes. In
summary, these data demonstrate that the cellular and vesicular presentation of
selected lineage-specific and vesicle-related markers may differ, supporting the
accumulating observations that sorting of molecular cargo into EVs is tightly
controlled.
PMID- 27195305
TI - Demographics, referral patterns and management of patients accessing the Welsh
Eye Care Service.
AB - BACKGROUND: The Primary Eyecare Acute Referral Service (PEARS) and the Wales Eye
Health Examination (WEHE) operate as enhanced optometry services for patients
residing in Wales, enabling the examination of a patient presenting with an acute
eye problem (PEARS) or the examination of patients at higher risk of eye disease
(WEHE). The purpose of the study is to assess the demographics of patients
accessing these services, referral patterns and clinical management in one Health
Board in Wales (Aneurin Bevan University Health Board). METHODS: Information from
2302 patients accessing the services was prospectively collected. The following
information was obtained: type of examination (PEARS or WEHE), patient age,
gender, self-referral or general practitioner (GP) referral and clinical
management (no further action, monitor by optometrist or ophthalmic medical
practitioner [OMP], refer to the Hospital Eye Service [HES], or refer to GP).
RESULTS: There were 1791 (77.8 %) PEARS examinations and 511 (22.2 %) WEHE. There
were 1379 (59.9 %) females with a mean age of 58.61 (+/-19.75) and 923 (40.1 %)
males with a mean age of 56.11 (+/-20.42). The majority of patients were self
referrals compared to GP-referrals (1793 [77.9 %] versus 509 [22.1 %]
respectively). Sub-analysis indicated similar numbers of self-referrals compared
to GP-referrals for the WEHE only (297 [58.1 %] versus 214 [41.9 %] respectively)
but greater numbers of self-referrals for the PEARS examinations only (1496 [83.5
%] versus 295 [16.5 %] respectively). For management, 75 % of patients were
monitored by their optometrist or OMP, 17 % required referral to the HES and 8 %
required referral to their GP. CONCLUSIONS: Higher numbers of females accessed
both PEARS and WEHE services and the majority of patients self-referred. These
findings have important implications for public health campaigns both for
targeting specific groups (e.g. male patients) and increasing awareness among
GPs.
PMID- 27195306
TI - User-composable Electronic Health Record Improves Efficiency of Clinician Data
Viewing for Patient Case Appraisal: A Mixed-Methods Study.
AB - BACKGROUND: Challenges in the design of electronic health records (EHRs) include
designing usable systems that must meet the complex, rapidly changing, and high
stakes information needs of clinicians. The ability to move and assemble elements
together on the same page has significant human-computer interaction (HCI) and
efficiency advantages, and can mitigate the problems of negotiating multiple
fixed screens and the associated cognitive burdens. OBJECTIVE: We compare MedWISE
a novel EHR that supports user-composable displays-with a conventional EHR in
terms of the number of repeat views of data elements for patient case appraisal.
DESIGN AND METHODS: The study used mixed-methods for examination of clinical data
viewing in four patient cases. The study compared use of an experimental user
composable EHR with use of a conventional EHR, for case appraisal. Eleven
clinicians used a user-composable EHR in a case appraisal task in the laboratory
setting. This was compared with log file analysis of the same patient cases in
the conventional EHR. We investigated the number of repeat views of the same
clinical information during a session and across these two contexts, and compared
them using Fisher's exact test. RESULTS: There was a significant difference
(p<.0001) in proportion of cases with repeat data element viewing between the
user-composable EHR (14.6 percent) and conventional EHR (72.6 percent).
DISCUSSION AND CONCLUSION: Users of conventional EHRs repeatedly viewed the same
information elements in the same session, as revealed by log files. Our findings
are consistent with the hypothesis that conventional systems require that the
user view many screens and remember information between screens, causing the user
to forget information and to have to access the information a second time. Other
mechanisms (such as reduction in navigation over a population of users due to
interface sharing, and information selection) may also contribute to increased
efficiency in the experimental system. Systems that allow a composable approach
that enables the user to gather together on the same screen any desired
information elements may confer cognitive support benefits that can increase
productive use of systems by reducing fragmented information. By reducing
cognitive overload, it can also enhance the user experience.
PMID- 27195307
TI - A New Framework and Prototype Solution for Clinical Decision Support and Research
in Genomics and Other Data-intensive Fields of Medicine.
AB - INTRODUCTION: In genomics and other fields, it is now possible to capture and
store large amounts of data in electronic medical records (EMRs). However, it is
not clear if the routine accumulation of massive amounts of (largely
uninterpretable) data will yield any health benefits to patients. Nevertheless,
the use of large-scale medical data is likely to grow. To meet emerging
challenges and facilitate optimal use of genomic data, our institution initiated
a comprehensive planning process that addresses the needs of all stakeholders
(e.g., patients, families, healthcare providers, researchers, technical staff,
administrators). Our experience with this process and a key genomics research
project contributed to the proposed framework. FRAMEWORK: We propose a two
pronged Genomic Clinical Decision Support System (CDSS) that encompasses the
concept of the "Clinical Mendeliome" as a patient-centric list of genomic
variants that are clinically actionable and introduces the concept of the
"Archival Value Criterion" as a decision-making formalism that approximates the
cost-effectiveness of capturing, storing, and curating genome-scale sequencing
data. We describe a prototype Genomic CDSS that we developed as a first step
toward implementation of the framework. CONCLUSION: The proposed framework and
prototype solution are designed to address the perspectives of stakeholders,
stimulate effective clinical use of genomic data, drive genomic research, and
meet current and future needs. The framework also can be broadly applied to
additional fields, including other '-omics' fields. We advocate for the creation
of a Task Force on the Clinical Mendeliome, charged with defining Clinical
Mendeliomes and drafting clinical guidelines for their use.
PMID- 27195308
TI - Developing an Algorithm to Identify History of Cancer Using Electronic Medical
Records.
AB - INTRODUCTION/OBJECTIVE: The objective of this study was to develop an algorithm
to identify Kaiser Permanente Colorado (KPCO) members with a history of cancer.
BACKGROUND: Tumor registries are used with high precision to identify incident
cancer, but are not designed to capture prevalent cancer within a population. We
sought to identify a cohort of adults with no history of cancer, and thus, we
could not rely solely on the tumor registry. METHODS: We included all KPCO
members between the ages of 40-75 years who were continuously enrolled during
2013 (N=201,787). Data from the tumor registry, chemotherapy files, inpatient and
outpatient claims were used to create an algorithm to identify members with a
high likelihood of cancer. We validated the algorithm using chart review and
calculated sensitivity, specificity, positive predictive value (PPV) and negative
predictive value (NPV) for occurrence of cancer. FINDINGS: The final version of
the algorithm achieved a sensitivity of 100 percent and specificity of 84.6
percent for identifying cancer. If we relied on the tumor registry alone, 47
percent of those with a history of cancer would have been missed. DISCUSSION:
Using the tumor registry alone to identify a cohort of patients with prior cancer
is not sufficient. In the final version of the algorithm, the sensitivity and PPV
were improved when a diagnosis code for cancer was required to accompany oncology
visits or chemotherapy administration. CONCLUSION: Electronic medical record
(EMR) data can be used effectively in combination with data from the tumor
registry to identify health plan members with a history of cancer.
PMID- 27195310
TI - Restoration of Degraded Soil in the Nanmangalam Reserve Forest with Native Tree
Species: Effect of Indigenous Plant Growth-Promoting Bacteria.
AB - Restoration of a highly degraded forest, which had lost its natural capacity for
regeneration, was attempted in the Nanmangalam Reserve Forest in Eastern Ghats of
India. In field experiment, 12 native tree species were planted. The restoration
included inoculation with a consortium of 5 native plant growth-promoting
bacteria (PGPB), with the addition of small amounts of compost and a chemical
fertilizer (NPK). The experimental fields were maintained for 1080 days. The
growth and biomass varied depending on the plant species. All native plants
responded well to the supplementation with the native PGPB. The plants such as
Pongamia pinnata, Tamarindus indica, Gmelina arborea, Wrightia tinctoria,
Syzygium cumini, Albizia lebbeck, Terminalia bellirica, and Azadirachta indica
performed well in the native soil. This study demonstrated, by using native trees
and PGPB, a possibility to restore the degraded forest.
PMID- 27195309
TI - Preparing for the ICD-10-CM Transition: Automated Methods for Translating ICD
Codes in Clinical Phenotype Definitions.
AB - BACKGROUND: The national mandate for health systems to transition from ICD-9-CM
to ICD-10-CM in October 2015 has an impact on research activities. Clinical
phenotypes defined by ICD-9-CM codes need to be converted to ICD-10-CM, which has
nearly four times more codes and a very different structure than ICD-9-CM.
METHODS: We used the Centers for Medicare & Medicaid Services (CMS) General
Equivalent Maps (GEMs) to translate, using four different methods, condition
specific ICD-9-CM code sets used for pragmatic trials (n=32) into ICD-10-CM. We
calculated the recall, precision, and F score of each method. We also used the
ICD-9-CM and ICD-10-CM value sets defined for electronic quality measure as an
additional evaluation of the mapping methods. RESULTS: The forward-backward
mapping (FBM) method had higher precision, recall and F-score metrics than simple
forward mapping (SFM). The more aggressive secondary (SM) and tertiary mapping
(TM) methods resulted in higher recall but lower precision. For clinical
phenotype definition, FBM was the best (F=0.67), but was close to SM (F=0.62) and
TM (F=0.60), judging on the F-scores alone. The overall difference between the
four methods was statistically significant (one-way ANOVA, F=5.749, p=0.001).
However, pairwise comparisons between FBM, SM, and TM did not reach statistical
significance. A similar trend was found for the quality measure value sets.
DISCUSSION: The optimal method for using the GEMs depends on the relative
importance of recall versus precision for a given use case. It appears that for
clinically distinct and homogenous conditions, the recall of FBM is sufficient.
The performance of all mapping methods was lower for heterogeneous conditions.
Since code sets used for phenotype definition and quality measurement can be very
similar, there is a possibility of cross-fertilization between the two
activities. CONCLUSION: Different mapping approaches yield different collections
of ICD-10-CM codes. All methods require some level of human validation.
PMID- 27195311
TI - Automated Identification of Abnormal Adult EEGs.
AB - The interpretation of electroencephalograms (EEGs) is a process that is still
dependent on the subjective analysis of the examiners. Though interrater
agreement on critical events such as seizures is high, it is much lower on
subtler events (e.g., when there are benign variants). The process used by an
expert to interpret an EEG is quite subjective and hard to replicate by machine.
The performance of machine learning technology is far from human performance. We
have been developing an interpretation system, AutoEEG, with a goal of exceeding
human performance on this task. In this work, we are focusing on one of the early
decisions made in this process - whether an EEG is normal or abnormal. We explore
two baseline classification algorithms: k-Nearest Neighbor (kNN) and Random
Forest Ensemble Learning (RF). A subset of the TUH EEG Corpus was used to
evaluate performance. Principal Components Analysis (PCA) was used to reduce the
dimensionality of the data. kNN achieved a 41.8% detection error rate while RF
achieved an error rate of 31.7%. These error rates are significantly lower than
those obtained by random guessing based on priors (49.5%). The majority of the
errors were related to misclassification of normal EEGs.
PMID- 27195313
TI - Expanding indications in robotic upper renal tract surgery: The sky's the limit.
PMID- 27195312
TI - Proteomics analysis reveals a Th17-prone cell population in presymptomatic graft
versus-host disease.
AB - Gastrointestinal graft-versus-host-disease (GI-GVHD) is a life-threatening
complication occurring after allogeneic hematopoietic cell transplantation (HCT),
and a blood biomarker that permits stratification of HCT patients according to
their risk of developing GI-GVHD would greatly aid treatment planning. Through in
depth, large-scale proteomic profiling of presymptomatic samples, we identified a
T cell population expressing both CD146, a cell adhesion molecule, and CCR5, a
chemokine receptor that is upregulated as early as 14 days after transplantation
in patients who develop GI-GVHD. The CD4+CD146+CCR5+ T cell population is Th17
prone and increased by ICOS stimulation. shRNA knockdown of CD146 in T cells
reduced their transmigration through endothelial cells, and maraviroc, a CCR5
inhibitor, reduced chemotaxis of the CD4+CD146+CCR5+ T cell population toward
CCL14. Mice that received CD146 shRNA-transduced human T cells did not lose
weight, showed better survival, and had fewer CD4+CD146+CCR5+ T cells and less
pathogenic Th17 infiltration in the intestine, even compared with mice receiving
maraviroc with control shRNA- transduced human T cells. Furthermore, the
frequency of CD4+CD146+CCR5+ Tregs was increased in GI-GVHD patients, and these
cells showed increased plasticity toward Th17 upon ICOS stimulation. Our findings
can be applied to early risk stratification, as well as specific preventative
therapeutic strategies following HCT.
PMID- 27195314
TI - Potential role for metformin in urologic oncology.
AB - Metformin is one of the most commonly used drugs worldwide. It is currently
considered first-line pharmacological agent for management of diabetes mellitus
type 2. Recent studies have suggested that metformin may have further benefits,
especially in the field of urologic oncology. Use of metformin has been shown to
be associated with decreased incidence and improved outcomes of prostate,
bladder, and kidney cancer. These studies suggest that metformin does have a
future role in the prevention and management of urologic malignancies. In this
review, we will discuss the latest findings in this field and its implications on
the management of urologic oncology patients.
PMID- 27195317
TI - The histologic features of intratubular germ cell neoplasia and its correlation
with tumor behavior.
AB - PURPOSE: To assess the prevalence of intratubular germ cell neoplasia (ITGCN) in
patients with concurrent testis tumor and its correlation with histologic
features and serum tumor markers. MATERIALS AND METHODS: From 2003 to 2015, 179
patients underwent radical orchiectomy due to testicular mass. Tissue specimens
were evaluated by an expert uro-pathologist using immunohistochemistry (IHC)
staining, in addition to light microscopy, to identify presence of ITGCN.
Patients' demographic characteristics, histologic subtypes, pathologic stage of
tumor and serum tumor markers were gathered and analyzed. RESULTS: Eighty-five
out of 179 patients (47.5%) had concomitant ITGCN according to IHC staining.
There was not statistically significant difference in histologic type, histologic
components, cryptorchidism, and lymphovascular invasion between the 2 groups
(p=0.151, p=0.11, p=0.233, p=0.413, and p=0.14, respectively). The prevalence of
ITGCN was significantly higher in patients with stage T2 and T3 of tumor than
those with stage T1. Elevated serum alpha feto protein level is much common in
patients with ITGCN (p<0.001). CONCLUSIONS: The prevalence of concurrent ITGCN in
our region is lower than previous data from western countries. ITGCN is more
common in higher tumor stages and is accompanied with elevated serum alpha feto
protein levels before surgery. Presence of ITGCN in adjacent tissue may suggest a
negative cancer behavior.
PMID- 27195316
TI - The PREVAIL trial of enzalutamide in men with chemotherapy-naive, metastatic
castration-resistant prostate cancer: Post hoc analysis of Korean patients.
AB - PURPOSE: This post hoc analysis evaluated treatment effects, safety, and
pharmacokinetics of enzalutamide in Korean patients in the phase 3, double-blind,
placebo-controlled PREVAIL trial. MATERIALS AND METHODS: Asymptomatic or mildly
symptomatic chemotherapy-naive men with metastatic castration-resistant prostate
cancer that progressed on androgen deprivation therapy received 160 mg/d oral
enzalutamide or placebo (1:1) until death or discontinuation due to radiographic
progression or skeletal-related event and initiation of subsequent therapy.
Coprimary end points were centrally assessed radiographic progression-free
survival (rPFS) and overall survival (OS). Secondary end points included
investigator-assessed rPFS, time to initiation of chemotherapy, time to prostate
specific antigen (PSA) progression, PSA response (>=50% decline), and time to
skeletal-related event. RESULTS: Of 1,717 total patients, 78 patients were
enrolled in Korea (enzalutamide, n=40; placebo, n=38). Hazard ratios (95%
confidence interval) for enzalutamide versus placebo were 0.23 (0.02-2.24) for
centrally assessed rPFS, 0.77 (0.28-2.15) for OS, 0.21 (0.08-0.51) for time to
chemotherapy, and 0.31 (0.17-0.56) for time to PSA progression. A PSA response
was observed in 70.0% of enzalutamide-treated and 10.5% of placebo-treated Korean
patients. Adverse events of grade >=3 occurred in 33% of enzalutamide-treated and
11% of placebo-treated Korean patients, with median treatment durations of 13.0
and 5.1 months, respectively. At 13 weeks, the plasma concentration of
enzalutamide plus N-desmethyl enzalutamide was similar in Korean and non-Korean
patients (geometric mean ratio, 1.04; 90% confidence interval, 0.97-1.10).
CONCLUSIONS: In Korean patients, treatment effects and safety of enzalutamide
were consistent with those observed in the overall PREVAIL study population
(ClinicalTrials.gov Identifier: NCT01212991).
PMID- 27195315
TI - Nocturia: The circadian voiding disorder.
AB - Nocturia is a prevalent condition of waking to void during the night. The concept
of nocturia has evolved from being a symptomatic aspect of disease associated
with the prostate or bladder to a form of lower urinary tract disorder. However,
recent advances in circadian biology and sleep science suggest that it might be
important to consider nocturia as a form of circadian dysfunction. In the current
review, nocturia is reexamined with an introduction to sleep disorders and recent
findings in circadian biology in an attempt to highlight the importance of
rediscovering nocturia as a problem of chronobiology.
PMID- 27195318
TI - Electronic nutritional intake assessment in patients with urolithiasis: A
decision impact analysis.
AB - PURPOSE: To evaluate a physician's impression of a urinary stone patient's
dietary intake and whether it was dependent on the medium through which the
nutritional data were obtained. Furthermore, we sought to determine if using an
electronic food frequency questionnaire (FFQ) impacted dietary recommendations
for these patients. MATERIALS AND METHODS: Seventy-six patients attended the
Stone Clinic over a period of 6 weeks. Seventy-five gave consent for enrollment
in our study. Patients completed an office-based interview with a fellowship
trained endourologist, and a FFQ administered on an iPad. The FFQ assessed intake
of various dietary components related to stone development, such as oxalate and
calcium. The urologists were blinded to the identity of patients' FFQ results.
Based on the office-based interview and the FFQ results, the urologists provided
separate assessments of the impact of nutrition and hydration on the patient's
stone disease (nutrition impact score and hydration impact score, respectively)
and treatment recommendations. Multivariate logistic regressions were used to
compare pre-FFQ data to post-FFQ data. RESULTS: Higher FFQ scores for sodium
(odds ratio [OR], 1.02; p=0.02) and fluids (OR, 1.03, p=0.04) were associated
with a higher nutritional impact score. None of the FFQ parameters impacted
hydration impact score. A higher FFQ score for oxalate (OR, 1.07; p=0.02) was
associated with the addition of at least one treatment recommendation.
CONCLUSIONS: Information derived from a FFQ can yield a significant impact on a
physician's assessment of stone risks and decision for management of stone
disease.
PMID- 27195319
TI - Diversity of patient profile, urethral stricture, and other disease
manifestations in a cohort of adult men with lichen sclerosus.
AB - PURPOSE: Lichen sclerosus (LS) in men is poorly understood. Though uncommon, it
is often severe and leads to repeated surgical interventions and deterioration in
quality of life. We highlight variability in disease presentation, diagnosis, and
patient factors in male LS patients evaluated at a tertiary care center.
MATERIALS AND METHODS: We retrospectively reviewed charts of male patients
presenting to our reconstructive urology clinic with clinical or pathologic
diagnosis of LS between 2004 and 2014. Relevant clinical and demographic
information was abstracted and descriptive statistics calculated. Subgroup
comparisons were made based on body mass index (BMI), urethral stricture, and
pathologic confirmation of disease. RESULTS: We identified 94 patients with
clinical diagnosis of LS. Seventy percent (70%) of patients in this cohort had
BMI >30 kg/m(2), and average age was 51.5 years. Lower BMI patients were more
likely to suffer from urethral stricture disease compared to overweight
counterparts (p=0.037). Patients presenting with stricture disease were more
likely to be younger (p=0.003). Thirty percent (30%) of this cohort had a
pathologic diagnosis of LS. CONCLUSIONS: Urethral stricture is the most common
presentation for men with LS. Many patients endure skin scarring and have
numerous comorbidities. Patient profile is diverse, raising the concern that not
all patients with clinical diagnosis of LS are suffering from identical disease
processes. The rate of pathologic confirmation at a tertiary care institution is
alarmingly low. Our findings support a role for increased focus on pathologic
confirmation and further delineation of the subtype of disease based on location
and clinical manifestations.
PMID- 27195320
TI - Laparoendoscopic single-site simple nephrectomy using a magnetic anchoring system
in a porcine model.
AB - PURPOSE: Magnetic anchoring devices may reduce the number of port sites needed in
laparoscopic surgery. In this study, we prospectively assessed the feasibility of
using a magnetic anchoring and guidance system (MAGS) in laparoendoscopic single
site (LESS) surgery performed by novices. MATERIALS AND METHODS: A total of 10
LESS simple nephrectomies were performed with or without MAGS in a nonsurvival
porcine model by 6 operators with no previous LESS surgery experience. After
installation of the homemade single port, an intra-abdominal magnet was fixed to
the renal parenchyma with suturing and stabilized by an external magnet placed on
the flank so that the position of the kidney could be easily changed by moving
the external handheld magnet. The length of the procedure and any intraoperative
complications were evaluated. RESULTS: Operative time (mean+/-standard deviation)
was shorter in the group using the magnetic anchoring device (M-LESS-N) than in
the group with conventional LESS nephrectomy (C-LESS-N) (63+/-20.8 minutes vs.
82+/-40.7 minutes, respectively). Although all nephrectomies were completed
uneventfully in the M-LESS-N group, renal vein injury occurred during dissection
of the renal hilum in two cases of C-LESS-N and was resolved by simultaneous
transection of the renal artery and vein with an Endo-GIA stapler. CONCLUSIONS:
LESS-N using MAGS is a feasible technique for surgeons with no LESS surgery
experience. Taking into account the 2 cases of renal vein injury in the C-LESS-N
group, the application of MAGS may be beneficial for overcoming the learning
curve of LESS surgery.
PMID- 27195323
TI - [Differential diagnosis of focal osteoplastic masses in the lung of miners].
AB - The paper reviews literature on the current aspects of the diagnosis and expert
appraisal of focal osteoplastic masses in the lung upon dust exposure to dust
factors. It considers different aspects of the pathogenesis of osteoplastic
changes in the tissue structural elements of pulmonary histione. Current views on
the prevalence, etiology, and pathogenesis, as well as morphological changes in
the development of this abnormality, its association with the dust damaging
effects of human activities are reflected.
PMID- 27195322
TI - Robot-assisted partial cystectomy with intraoperative frozen section examination:
Evolution and evaluation of a novel technique.
AB - PURPOSE: To describe a novel modification to robot-assisted partial cystectomy
(RAPC) that allows for intraoperative surgical margin assessment by bimanual
examination and frozen-section analysis. MATERIALS AND METHODS: A total of 7
patients underwent RAPC at a single tertiary-care institution between 2008 and
2013. The technique evolved over the study-period and permitted real-time
intraoperative surgical margin evaluation in the last 5 patients via bimanual
examination and frozen-section analysis, utilizing the GelPOINT platform (a hand
assist device). The GelPOINT platform was placed through a 4- to 5-cm vertical
supraumbilical incision and allowed for rapid retrieval of the bladder specimen
without compromising the pneumoperitoneum or prolonging the operative time.
Perioperative, oncological and functional outcomes were evaluated; all patients
had a minimum 12-month follow-up. At the time of last follow-up, a cross
sectional survey of patients was performed to evaluate regret/satisfaction
utilizing validated questionnaires. RESULTS: The mean age was 72.5 years; 71.4%
of the patients were men (n=5). All patients underwent RAPC for a malignant
indication. The mean operative and console times were 291 and 217 minutes,
respectively. No patient had a positive surgical margin. Mean length-of-stay was
1.7 days. At a median follow-up of 38.9 months, 1 patient experienced a local
recurrence 6 months postsurgery. The only mortality was secondary to Lewy-body
disease, in the same patient, 1 year postoperatively. Patient assessment of
regret and satisfaction indicated 0% regret and 0% dissatisfaction. CONCLUSIONS:
The 'modified' technique of RAPC is technically feasible, safe, and reproducible;
further, RAPC leads to favorable oncological, functional and quality-of-life
outcomes in patients eligible for partial cystectomy.
PMID- 27195321
TI - Light-controlled relaxation of the rat penile corpus cavernosum using NOBL-1, a
novel nitric oxide releaser.
AB - PURPOSE: To investigate whether relaxation of the rat penile corpus cavernosum
could be controlled with NOBL-1, a novel, light-controllable nitric oxide (NO)
releaser. MATERIALS AND METHODS: Fifteen-week-old male Wistar-ST rats were used.
The penile corpus cavernosum was prepared and used in an isometric tension study.
After noradrenaline (10(-5) M) achieved precontraction, the penile corpus
cavernosum was irradiated by light (470-500 nm) with and without NOBL-1 (10(-6)
M). In addition, we noted rats' responses to light with vardenafil (10(-6) M), a
phosphodiesterase-5 (PDE-5) inhibitor. Next, responses to light in the presence
of a guanylate cyclase inhibitor, ODQ (1H-[1,2,4] oxadiazolo[4,3-a]quinoxalin-1
one) (10(-5) M), were measured. All measurements were performed in pretreated L
NAME (10(-4) M) conditions to inhibit endogenous NO production. RESULTS: Corpus
cavernosal smooth muscle, precontracted with noradrenaline, was unchanged by
light irradiation in the absence of NOBL-1. However, in the presence of NOBL-1,
corpus cavernosal smooth muscle, precontracted with noradrenaline, relaxed in
response to light irradiation. After blue light irradiation ceased, tension
returned. In addition, the light response was obviously enhanced in the presence
of a PDE-5 inhibitor. CONCLUSIONS: This study showed that rat corpus cavernosal
smooth muscle relaxation can be light-controlled using NOBL-1, a novel, light
sensitive NO releaser. Though further in vivo studies are needed to investigate
possible usefulness, NOBL-1 may be prove to be a useful tool for erectile
dysfunction therapy, specifically in the field of penile rehabilitation.
PMID- 27195324
TI - [Preclinical and clinical trials of the new tuberculosis drug perchlozon].
AB - The paper sets forth the stages of design and introduction of the new Russian
tuberculosis (TB) drug perchlozon registered in the Russian Federation in 2012.
Based on the results of Phases I-III clinical trials, the authors evaluate the
efficacy and safety of the agent and consider the adverse effects of its
treatment for respiratory TB. The use of perchlozon as a component of combination
therapy versus standard chemotherapy regimens significantly reduces abacillation
time in pulmonary TB caused by its drug-resistant pathogen. In terms of the
higher prevalence of TB induced by its pathogen resistant to many drugs (with
multiple and broad-spectrum drug resistance), perchlozon is an essential drug
that has antituberculous activity mainly against multidrug-resistant
Mycobacterium tuberculosis strains and gives patients with the severest and
epidemiologically poor form of TB the chance to recover.
PMID- 27195325
TI - [Not Available].
PMID- 27195326
TI - [Not Available].
PMID- 27195327
TI - [Not Available].
PMID- 27195328
TI - [Not Available].
PMID- 27195329
TI - Informed consent practices by junior medical officers: a cross-sectional study.
PMID- 27195331
TI - Medicine in small doses. Recognizing and managing psychological stress and under
performance in interns.
PMID- 27195330
TI - A case of multiple myeloma mimics: extensive bone metastasis of hepatocellular
carcinoma without liver mass.
PMID- 27195332
TI - Hemophagocytosis in a patient with sickle cell disease.
PMID- 27195333
TI - In Memoriam Nigel C. Veitch, January 26th 1965-September 1st 2014.
PMID- 27195334
TI - Retraction notice to microarray analysis of microRNA expression in liver cancer
tissues and normal control [GENE 523/2 (2014) 158-60].
PMID- 27195335
TI - Retraction notice to candidate agents for pancreatic ductal adenocarcinoma
identified by a sub-pathway based method [GENE 540/2 (2014) 232-7].
PMID- 27195336
TI - Retraction notice to protein-protein interaction and SNP analysis in intraductal
papillary mucinous neoplasm [GENE 513/1 (2013) 219-24].
PMID- 27195337
TI - Revised Success Criteria: A Vision to Meet Frailty and Dependency in Implant
Patients.
PMID- 27195338
TI - SGR Out, MACRA In.
PMID- 27195339
TI - SIREN to Replace NETT and ROC.
PMID- 27195341
TI - Special Issue on Neurodynamic Systems for Optimization and Applications.
PMID- 27195342
TI - Fatalities in Traffic Crashes Involving All-Terrain Vehicles.
PMID- 27195343
TI - The Jedi Dark Side of Implant Therapy? Peri-implant Disease(s): Recent Updates on
Prevalence and Etiology.
PMID- 27195344
TI - Steven G Holtzman: The blending of professional and personal lives.
PMID- 27195382
TI - Supramalleolar Osteotomies for the Treatment of Ankle Arthritis.
AB - Supramalleolar osteotomy is a joint-preserving surgical treatment for patients
with asymmetric valgus or varus ankle arthritis. The primary goal of the
procedure is to realign the spatial relationship between the talus and tibia and
thereby normalize joint loading within the ankle. Procedures to balance the soft
tissues, as well as hindfoot osteotomy and arthrodesis, may also be necessary.
Clinical studies of supramalleolar osteotomy demonstrate that correction of the
altered biomechanics associated with asymmetric arthritis improves functional
outcomes.
PMID- 27195383
TI - Medical Device Regulation: A Comparison of the United States and the European
Union.
AB - Medical device regulation is a controversial topic in both the United States and
the European Union. Many physicians and innovators in the United States cite a
restrictive US FDA regulatory process as the reason for earlier and more rapid
clinical advances in Europe. The FDA approval process mandates that a device be
proved efficacious compared with a control or be substantially equivalent to a
predicate device, whereas the European Union approval process mandates that the
device perform its intended function. Stringent, peer-reviewed safety data have
not been reported. However, after recent high-profile device failures, political
pressure in both the United States and the European Union has favored more
restrictive approval processes. Substantial reforms of the European Union process
within the next 5 to 10 years will result in a more stringent approach to device
regulation, similar to that of the FDA. Changes in the FDA regulatory process
have been suggested but are not imminent.
PMID- 27195385
TI - Examining Quality Management Audits in Nuclear Medicine Practice as a lifelong
learning process: opportunities and challenges to the nuclear medicine
professional and beyond.
AB - This essay will explore the critical issues and challenges surrounding lifelong
learning for professionals, initially exploring within the profession and
organizational context of nuclear medicine practice. It will critically examine
how the peer-review process called Quality Management Audits in Nuclear Medicine
Practice (QUANUM) of the International Atomic Energy Agency (IAEA) can be
considered a lifelong learning opportunity to instill a culture of quality to
improve patient care and elevate the status of the nuclear medicine profession
and practice within the demands of social changes, policy, and globalization.
This will be explored initially by providing contextual background to the
identity of the IAEA as an organization responsible for nuclear medicine
professionals, followed by the benefits that QUANUM can offer. Further key
debates surrounding lifelong learning, such as compulsification of lifelong
learning and impact on professional change, will then be weaved through the
discussion using theoretical grounding through a qualitative review of the
literature. Keeping in mind that there is very limited literature focusing on the
implications of QUANUM as a lifelong learning process for nuclear medicine
professionals, this essay uses select narratives and observations of QUANUM as a
lifelong learning process from an auditor's perspective and will further provide
a comparative perspective of QUANUM on the basis of other lifelong learning
opportunities such as continuing professional development activities and observe
parallelisms on its benefits and challenges that it will offer to other
professionals in other medical speciality fields and in the teaching profession.
PMID- 27195384
TI - The progressive role of acoustic cavitation for non-invasive therapies, contrast
imaging and blood-tumor permeability enhancement.
AB - INTRODUCTION: Drug delivery pertaining to acoustic cavitation generated from
ultrasonic (US) irradiation is advantageous for devising smarter and more
advanced therapeutics. The aim is to showcase microbubbles as drug carriers and
robust theranostic for non-invasive therapies across diverse biomedical
disciplines, highlighting recent technologies in this field for overcoming the
blood-brain barrier (BBB) to treat cancers and neurological disorders. AREAS
COVERED: This article reviews work on the optimized tuning of ultrasonic
parameters, sonoporation, transdermal and responsive drug delivery, acoustic
cavitation in vasculature and oncology, contrast imaging for real-time
magnification of cell-microbubble dynamics and biomolecular targeting. Scholarly
literature was sought through database search on key terminology, latest topics,
reputable experts and established journals over the last five years. EXPERT
OPINION: Cavitation offers immense promise in overcoming current diffusion and
convection limitations for treating skull/brain/vascular/tissue injuries and
ablating tumors to minimize chronic/acute effects. Since stable cavitation
facilitates the restoration of US-opened BBB and the modulation of drug
concentration, US equipment with programmable imaging modality and sensitivity
are envisaged to create safer miniaturized devices for personalized care. Due to
differing biomedical protocols with regard to specific medical conditions,
quantitative and qualitative controls are mandatory before translation to real
life clinical applications can be accomplished.
PMID- 27195423
TI - Pre-existing diabetes and lung cancer prognosis.
AB - BACKGROUND: The aims of this study are to investigate the impact of pre-existing
diabetes and diabetes treatments on lung cancer prognosis. METHODS: A total of
2484 women with confirmed incident lung cancer from the Women's Health Initiative
were followed for an average of 2.9 years through the date of death or 29 August
2014. RESULTS: Compared with women with lung cancer but without diabetes, women
with lung cancer and diabetes had significantly increased risk of overall
mortality (HR=1.27, 95% CI: 1.07-1.50). Women with diabetes receiving insulin or
metformin or women who had long duration of diabetes also had increased risk of
overall mortality. CONCLUSIONS: Our large prospective study provides evidence
that pre-existing diabetes is associated with poor overall survival among women
with lung cancer, but do not support the hypothesis that metformin use may have a
protective effect in women with lung cancer and diabetes.
PMID- 27195425
TI - The effects of nanoparticles on the renal system.
AB - Through a process of translocation across biological barriers, nanoparticles can
reach and deposit in secondary target organs where they may induce adverse
biological reactions. Therefore, a correct assessment of nanoparticle-induced
adverse effects should take into account the different aspects of toxicokinetics
and tissues that may be targeted by nanoparticles. For this reason, a
comprehensive evaluation of renal nanotoxicity is urgently needed as kidneys are
particularly susceptible to xenobiotics and renal excretion is an expected and
possible elimination route of nanoparticles in living organisms. On one hand,
summarizing the findings of in vitro and in vivo studies that have investigated
the adverse effects of nanoparticles on the kidney, this review intends to
provide a thorough insight into the nephrotoxicity of these substances. The
evaluation of the in vitro studies revealed that different types of nanoparticles
(carbon, metal and/or silica nanoparticles) are able to exert significant
cytotoxic effects (i.e., decreased cell viability, induction of oxidative stress,
mitochondrial or cytoskeleton dysfunction and cell membrane and DNA damage). On
the other hand, in vivo studies demonstrated that nanoparticles exhibited an
important nephrotoxic potential both at tubular (i.e., degeneration of tubular
epithelial cell, cellular fragments and proteinaceous liquid in tubule lumen,
renal interstitial fibrosis) and glomerular level (i.e., swollen glomeruli,
changes in Bowman's space and proliferation of mesangial cells). Although the
data currently available indicate that nanoparticles may adversely impact the
renal system, further studies are needed in order to clarify all the potential
molecular mechanisms of nephrotoxicity induced by these xenobiotics, in
particular at glomerular level.
PMID- 27195424
TI - Clinical impact and network of determinants of tumour necrosis in colorectal
cancer.
AB - BACKGROUND: The disease outcome in colorectal cancer (CRC) can vary in a wide
range within the same tumour stage. The aim of this study was to clarify the
prognostic value and the determinants of tumour necrosis in CRC. METHODS: The
areal proportion (%) of tumour tissue showing coagulative necrosis was evaluated
in a cohort of 147 CRC patients and correlated with basic clinicopathological
characteristics, microvascular density (MVD), cell proliferation rate, KRAS and
BRAF mutations, and survival. To validate the prognostic significance of tumour
necrosis, an independent cohort of 418 CRC patients was analysed. RESULTS: Tumour
necrosis positively correlated with tumour stage (P=8.5E-4)-especially with T
class (4.0E-6)-and inversely correlated with serrated histology (P=0.014), but
did not significantly associate with cell proliferation rate, MVD, and KRAS or
BRAF mutation. Abundant (10% or more) tumour necrosis associated with worse
disease-free survival independent of stage and other biological or
clinicopathological characteristics in both cohorts, and the adverse effect was
directly related to its extent. High CD105 MVD was also a stage independent
marker for worse disease-free survival. CONCLUSIONS: Tumour necrosis percentage
is a relevant histomorphological prognostic indicator in CRC. More studies are
needed to disclose the mechanisms of tumour necrosis.
PMID- 27195426
TI - A Central Cysteine Residue Is Essential for the Thermal Stability and Function of
SUMO-1 Protein and SUMO-1 Peptide-Protein Conjugates.
AB - SUMOylation constitutes a major post-translational modification (PTM) used by the
eukaryote cellular machinery to modulate protein interactions of the targeted
proteins. The small ubiquitin-like modifier-1 (SUMO-1) features a central and
conserved cysteine residue (Cys52) that is located in the hydrophobic core of the
protein and in tight contact with Phe65, suggesting the occurrence of an S/pi
interaction. To investigate the importance of Cys52 on SUMO-1 thermal stability
and biochemical properties, we produced by total chemical synthesis SUMO-1 or
SUMO-1 Cys52Ala peptide-protein conjugates featuring a native isopeptidic bond
between SUMO-1 and a peptide derived from p53 tumor suppressor protein. The
Cys52Ala modification perturbed SUMO-1 secondary structure and resulted in a
dramatic loss of protein thermal stability. Moreover, the cleavage of the
isopeptidic bond by the deconjugating enzyme Upl1 was significantly less
efficient than for the wild-type conjugate. Similarly, the in vitro SUMOylation
of RanGap1 by E1/E2 conjugating enzymes was significantly less efficient with the
SUMO-1 C52A analog compared to wild-type SUMO-1. These data demonstrate the
critical role of Cys52 in maintaining SUMO-1 conformation and function and the
importance of keeping this cysteine intact for the study of SUMO-1 protein
conjugates.
PMID- 27195427
TI - Structural Understanding of Superior Battery Properties of Partially Ni-Doped
Li2MnO3 as Cathode Material.
AB - We examined the crystal structures of Li2(NixMn1-x)O3(-delta) (x = 0, 1/10, 1/6,
and 1/4) to elucidate the relationship between the structure and electrochemical
performance of the compounds using neutron and synchrotron X-ray powder
diffraction analyses in combination. Our examination revealed that these crystals
contain a large number of stacking faults and exhibit significant cation mixing
in the transition-metal layers; the cation mixing becomes significant with an
increase in the Ni concentration. Charge-discharge measurements showed that the
replacement of Mn with Ni lowers the potential of the charge plateau and leads to
higher charge-discharge capacities. From a topological point of view with regard
to the atomic arrangement in the crystals, it is concluded that substituting Mn
in Li2MnO3 with Ni promotes the formation of smooth Li percolation paths, thus
increasing the number of active Li ions and improving the charge-discharge
capacity.
PMID- 27195428
TI - Insights into the use of gadolinium and gadolinium/boron-based agents in imaging
guided neutron capture therapy applications.
AB - Gadolinium neutron capture therapy (Gd-NCT) is currently under development as an
alternative approach for cancer therapy. All of the clinical experience to date
with NCT is done with (10)B, known as boron neutron capture therapy (BNCT), a
binary treatment combining neutron irradiation with the delivery of boron
containing compounds to tumors. Currently, the use of Gd for NCT has been getting
more attention because of its highest neutron cross-section. Although Gd-NCT was
first proposed many years ago, its development has suffered due to lack of
appropriate tumor-selective Gd agents. This review aims to highlight the recent
advances for the design, synthesis and biological testing of new Gd- and B-Gd
containing compounds with the task of finding the best systems able to improve
the NCT clinical outcome.
PMID- 27195430
TI - Infected Donor Biomass and Active Feeding Increase Waterborne Transmission of
Ichthyophonus sp. to Rainbow Trout Sentinels.
AB - The precise nature of Ichthyophonus sp. transmission among wild fishes has eluded
description for over a century. Transmission among piscivores is direct, via
ingestion of infected prey, but there is also evidence for waterborne
transmission between infected and uninfected individuals. Transmission among
planktivores is believed to be via a waterborne infectious cell, but definitive
proof of this mechanism has not been forthcoming. To explore possible mechanisms
of transmission we used Rainbow Trout Oncorhynchus mykiss as a model system and
examined the consequence of housing infected donor fish with uninfected
(sentinel) fish, without physical contact. We examined two variables linked to
transmission: (1) feeding and nonfeeding sentinel fish, and (2) biomass of
infected donor fish. Specific-pathogen free sentinel trout were placed in fine
mesh baskets suspended in tanks containing varying numbers of larger
Ichthyophonus-infected donor fish and held for 10 weeks, during which time they
were examined by in vitro explant culture for the presence of Ichthyophonus.
Treatment groups consisted of fed and unfed sentinels housed with infected donors
of increasing biomass. After 10 weeks infection prevalence in fed sentinels was
significantly higher than in unfed sentinels, and Ichthyophonus was detected
earlier in fed fish than in unfed fish. There was no correlation between
infection prevalence and donor biomass in fed sentinels, but there was a strong
correlation between infection prevalence and increasing donor biomass in unfed
sentinels. These data suggest that Ichthyophonus is maintained in wild fish
populations by two distinct mechanisms: (1) waterborne infectious cells ingested
directly from the water by planktivores, and (2) both infected prey and
waterborne infectious cells ingested by piscivores. Received November 13, 2015;
accepted February 13, 2016.
PMID- 27195431
TI - Hands-free: A Low-Cost Adapter for Smartphone Microscopic Photography Using a
Cardboard Toilet-Paper Roll.
PMID- 27195429
TI - The effect of urea and taurine as hydrophilic penetration enhancers on stratum
corneum lipid models.
AB - To optimize transdermal application of drugs, the barrier function of the skin,
especially the stratum corneum (SC), needs to be reduced reversibly. For this
purpose, penetration enhancers like urea or taurine are applied. Until now, it is
unclear if this penetration enhancement is caused by an interaction with the SC
lipid matrix or related to effects within the corneocytes. Therefore, the effects
of both hydrophilic enhancers on SC models with different dimensionality, ranging
from monolayers to multilayers, have been investigated in this study. Many
sophisticated methods were applied to ascertain the mode of action of both
substances on a molecular scale. The experiments reveal that there is no specific
interaction when 10% urea or 5% taurine solutions are added to the SC model
systems. No additional water uptake in the head group region and no decrease of
the lipid chain packing density have been observed. Consequently, we suppose that
the penetration enhancing effect of both substances might be based on the
introduction of large amounts of water into the corneocytes, caused by the
enormous water binding capacity of urea and a resulting osmotic pressure in case
of taurine.
PMID- 27195432
TI - Liquid Biopsy in Lung Cancer: A Perspective From Members of the Pulmonary
Pathology Society.
AB - Liquid biopsy has received extensive media coverage and has been called the holy
grail of cancer detection. Attempts at circulating tumor cell and genetic
material capture have been progressing for several years, and recent financially
and technically feasible improvements of cell capture devices, plasma isolation
techniques, and highly sensitive polymerase chain reaction- and sequencing-based
methods have advanced the possibility of liquid biopsy of solid tumors. Although
practical use of circulating RNA-based testing has been hindered by the need to
fractionate blood to enrich for RNAs, the detection of circulating tumor cells
has profited from advances in cell capture technology. In fact, the US Food and
Drug Administration has approved one circulating tumor cell selection platform,
the CellSearch System. Although the use of liquid biopsy in a patient population
with a genomically defined solid tumor may potentially be clinically useful, it
currently does not supersede conventional pretreatment tissue diagnosis of lung
cancer. Liquid biopsy has not been validated for lung cancer diagnosis, and its
lower sensitivity could lead to significant diagnostic delay if liquid biopsy
were to be used in lieu of tissue biopsy. Ultimately, notwithstanding the
enthusiasm encompassing liquid biopsy, its clinical utility remains unproven.
PMID- 27195433
TI - Utilization of Cell-Transfer Technique for Molecular Testing on Hematoxylin-Eosin
Stained Sections: A Viable Option for Small Biopsies That Lack Tumor Tissues in
Paraffin Block.
AB - CONTEXT: - In some instances the standard method of doing molecular testing from
formalin-fixed, paraffin-embedded block is not possible because of limited
tissue. Tumor cell-enriched cell-transfer technique has been proven useful for
performing immunocytochemistry and molecular testing on cytologic smears.
OBJECTIVE: - To establish the cell-transfer technique as a viable option for
isolating tumor cells from hematoxylin-eosin (H&E)-stained slides. DESIGN: -
Molecular testing was performed by using the cell-transfer technique on 97
archived H&E-stained slides from a variety of different tumors. Results were
compared to the conventional method of molecular testing. RESULTS: - Polymerase
chain reaction-based molecular testing via the cell-transfer technique was
successfully performed on 82 of 97 samples (85%). This included 39 of 47 cases
for EGFR, 10 of 11 cases for BRAF, and 33 of 39 cases for KRAS mutations. Eighty
one of 82 cell-transfer technique samples (99%) showed agreement with previous
standard method results, including 4 mutations and 35 wild-type alleles for EGFR,
4 mutations and 6 wild-type alleles for BRAF, and 11 mutations and 21 wild-type
alleles for KRAS. There was only 1 discrepancy: a cell-transfer technique with a
false-negative >KRAS result (wild type versus G12C). CONCLUSIONS: - Molecular
testing performed on H&E-stained sections via cell-transfer technique is useful
when tissue from cell blocks and small surgical biopsy samples is exhausted and
the only available material for testing is on H&E-stained slides.
PMID- 27195434
TI - Let's Have a Tweetup: The Case for Using Twitter Professionally.
AB - Social media use is very common and can be an effective way for professionals to
discuss information and interact with colleagues. Twitter (Twitter, Inc, San
Francisco, California) is a social media network where posts, termed tweets, are
limited to 140 characters. Professional use of Twitter is ideal for physicians
interested in both networking and education and is optimally used to facilitate
in-person networking. Live-tweeting (posting real-time reactions to events) at
professional meetings is also a popular and highly successful use of Twitter.
Physicians report patient privacy as the top concern preventing use of social
media for professional reasons, and although generally social media use is safe,
it is essential to understand how to protect patient confidentially. Other social
media platforms with potential for professional use include Facebook (Facebook,
Inc, Menlo Park, California), Instagram (Facebook, Inc), YouTube (YouTube, LLC,
San Bruno, California), and Periscope (Twitter, Inc). With Twitter and other
social media options, now is the time for pathologists to increase our visibility
on social media and worldwide.
PMID- 27195436
TI - Assessing depression in a geriatric cancer population.
AB - OBJECTIVE: To examine the ability of three popular self-report measures of
depression to assess depression in a geriatric cancer setting. METHOD: Cancer
patients 70 years or older and on active treatment completed the Geriatric
Depression Scale-Short Form, the Hospital Anxiety and Depression Scale, and the
Center for Epidemiological Studies Depression Scale-Revised, and were interviewed
using the depression module of the Structured Clinical Interview for DSM
disorders (SCID) as the 'gold standard.' Analyses included calculating internal
consistency, ROC curves, and the sensitivity and specificity to detect major
depression (MDD) or minor depression (i.e. subthreshold depression). RESULTS: In
a sample of 201 cancer patients (85% White; 64% completed college degree or
higher), all three of the self-report measures produced adequate internal
consistency and predicted depression greater than chance. However, the published
cutoff scores for detecting MDD produced inadequate sensitivity, suggesting these
scores will miss as many as 33%-83% of geriatric cancer patients who are
depressed. Revised cutoff scores were lower than published cutoff scores.
CONCLUSION: Although these measures produced good internal consistency and were
better than chance at predicting depression in a geriatric cancer sample, the
published cutoff scores for these measures did not perform well in predicting MDD
nor minor depression. Of the three measures, the CES-D appeared to have the most
utility. This data suggests that these popular screening measures may be
inadequate for reliably identifying depression in a geriatric cancer population.
Researchers and clinicians, therefore, should use caution when selecting
depression measures for geriatric cancer patients and consider using the lower
cut-off scores presented here.
PMID- 27195435
TI - Population pharmacokinetics and prophylactic anti-emetic efficacy of ramosetron
in surgical patients.
AB - AIMS: This study characterized the pharmacokinetics of ramosetron and compared
prophylactic anti-emetic efficacy with that of ondansetron in a large population.
METHODS: Fifty-eight patients consented to the pharmacokinetic analysis and were
assigned randomly to receive 0.3, 0.45 or 0.6 mg ramosetron after induction of
anaesthesia. Blood samples were acquired at preset intervals. Non-compartmental
and population pharmacokinetic analyses were performed. In total, 1102 patients
consented to the evaluation of prophylactic anti-emetic efficacy and were
allocated randomly to receive 0.3 mg ramosetron or 4 mg ondansetron at the end of
surgery. An additional 16 mg ondansetron were mixed in the intravenous patient
controlled analgesia pump of the ondansetron group. Post-operative nausea and
vomiting (PONV) were evaluated 6, 24 and 48 h post-operatively using the Rhodes
index of nausea, vomiting and retching (RINVR). Administration of rescue anti
emetics and adverse events were evaluated. RESULTS: The pharmacokinetic parameter
estimates were V1 (l) = 5.12, V2 (l) = 108, CL (l?min(-1) ) = 0.08 + (59?age(-1)
) * 0.09, Q (l?min(-1) ) = 1.42. The incidences of PONV in the ramosetron and
ondansetron groups were 77 (13.9%) and 113 (20.6%) and 44 (7.9%) and 66 (12.0%)
at 24 and 48 h post-operatively, respectively (P = 0.004, 0.030). RINVR was
significantly lower in the ramosetron than the ondansetron group 24 and 48 h post
operatively (P = 0.003, 0.025). Use of rescue anti-emetics and incidence of
adverse events were comparable. CONCLUSIONS: A two compartment mammillary model
was used to describe ramosetron pharmacokinetics. Prophylactic anti-emetic
efficacy of ramosetron was significantly better 24 and 48 h post-operatively than
that of ondansetron, particularly when the Apfel score was >= 3.
PMID- 27195438
TI - Pretreatment MR Imaging Features of Triple-Negative Breast Cancer: Association
with Response to Neoadjuvant Chemotherapy and Recurrence-Free Survival.
AB - Purpose To investigate whether pretreatment breast magnetic resonance (MR)
imaging features are associated with pathologic complete response (PCR) and
recurrence-free survival after neoadjuvant chemotherapy (NAC) in patients with
triple-negative breast cancer. Materials and Methods Identified were 132 patients
with primary triple-negative breast cancers who underwent NAC and pretreatment MR
imaging between 2004 and 2010. Three breast radiologists independently reviewed
the MR images based on the 2013 Breast Imaging Reporting and Data System lexicon.
Presence of intratumoral high signal intensity and peritumoral edema on T2
weighted images was also evaluated. Association of PCR and recurrence-free
survival with MR imaging features was assessed by using logistic regression and
Cox regression. Bonferroni correction was applied to the P values. Results Among
132 patients, 18 (14%) underwent PCR. Round or oval masses (odds ratio, 3.5 [95%
confidence interval: 1.3, 9.7]; P = .02), the absence of intratumoral T2 high
signal intensity (odds ratio, 3.8 [95% confidence interval: 1.3, 11.0]; P = .01),
and the absence of peritumoral edema (odds ratio, 3.4 [95% confidence interval:
1.2, 9.5]; P = .02) were associated with PCR, but not significantly. After 54
months of median follow-up, there were 41 (31% [41 of 132]) breast cancer
recurrences. Peritumoral edema was the only significant variable associated with
worse recurrence-free survival (hazard ratio, 4.9 [95% confidence interval: 1.9,
12.6]; P = .001). Conclusion Pretreatment MR imaging features may be associated
with PCR and recurrence-free survival in patients with triple-negative breast
cancer. (c) RSNA, 2016 Online supplemental material is available for this
article.
PMID- 27195437
TI - Biochemical and Clinical Predictive Approach and Time Point Analysis of
Hepatobiliary Phase Liver Enhancement on Gd-EOB-DTPA-enhanced MR Images: A
Multicenter Study.
AB - Purpose To identify biochemical factors associated with liver enhancement over
time on gadolinium ethoxybenzyl diethylenetriamine pentaacetic acid (Gd-EOB-DTPA)
enhanced magnetic resonance (MR) images and predict the optimal time point of the
hepatobiliary phase in various clinical settings. Materials and Methods This
study was approved by the institutional review boards, and written informed
consent was obtained from the 1903 patients enrolled. Simple and multiple
logistic regression analyses were performed to investigate the biochemical
factors associated with liver-to-spleen contrast (LSC) of at least 1.5 in the
hepatobiliary phase. Changes in LSC and lesion-to-liver contrast (LLC) of lesions
over time (at 5, 10, 15, and 20 minutes) were investigated with a linear mixed
effects model in patients and lesions. For LSC, the optimal cutoff value was
determined with receiver operating characteristic analysis of the most
significant variable. Differences in LSC and LLC were analyzed in various
clinical settings. Results Ultimately, 1870 patients were evaluated, as 33 were
excluded according to study criteria. Prothrombin (PT) activity, total bilirubin
level (P = .020), and total cholesterol level (P = .005) were significantly
associated with LSC of at least 1.5 at 20 minutes, and PT activity was identified
as the most significant factor (odds ratio, 1.271; 95% confidence interval:
1.109, 1.455; P = .001). LSC of at least 1.5 at 20 minutes with PT activity of at
least 86.9% and less than 86.9% occurred in 555 of 626 patients (88.6%) and 388
of 575 patients (67.5%), respectively. Satisfactory liver enhancement at 20
minutes was significantly more likely to be achieved by patients with hepatitis B
virus than by those with hepatitis C virus (P < .001) and by patients with
metastasis than by those with hepatocellular carcinoma (P < .001). No significant
difference in LLC was observed in patients examined at 1.5 and 3.0 T (P = .133).
Conclusion Hepatic enhancement is significantly associated with PT activity,
total bilirubin level, and total cholesterol level. PT activity of at least 86.9%
could be used to shorten examination times at Gd-EOB-DTPA-enhanced MR imaging.
(c) RSNA, 2016 Online supplemental material is available for this article.
PMID- 27195440
TI - WITHDRAWN: Artichoke leaf extract for treating hypercholesterolaemia.
PMID- 27195441
TI - Seawater Pervaporation through Zeolitic Imidazolate Framework Membranes:
Atomistic Simulation Study.
AB - An atomistic simulation study is reported for seawater pervaporation through five
zeolitic imidazolate framework (ZIF) membranes including ZIF-8, -93, -95, -97,
and -100. Salt rejection in the five ZIFs is predicted to be 100%. With the
largest aperture, ZIF-100 possesses the highest water permeability of 5 * 10(-4)
kg m/(m(2) h bar), which is substantially higher compared to commercial reverse
osmosis membranes, as well as zeolite and graphene oxide pervaporation membranes.
In ZIF-8, -93, -95, and -97 with similar aperture size, water flux is governed by
framework hydrophobicity/hydrophilicity; in hydrophobic ZIF-8 and -95, water flux
is higher than in hydrophilic ZIF-93 and -97. Furthermore, water molecules in ZIF
93 move slowly and remain in the membrane for a long time but undergo to-and-fro
motion in ZIF-100. The lifetime of hydrogen bonds in ZIF-93 is found to be longer
than in ZIF-100. This simulation study quantitatively elucidates the dynamic and
structural properties of water in ZIF membranes, identifies the key governing
factors (aperture size and framework hydrophobicity/hydrophilicity), and suggests
that ZIF-100 is an intriguing membrane for seawater pervaporation.
PMID- 27195442
TI - "Can a school-wide bullying prevention program improve the plight of victims?
Evidence for risk * intervention effects": Correction to Juvonen et al. (2016).
AB - Reports an error in "Can a school-wide bullying prevention program improve the
plight of victims? Evidence for risk * intervention effects" by Jaana Juvonen,
Hannah L. Schacter, Miia Sainio and Christina Salmivalli (Journal of Consulting
and Clinical Psychology, 2016[Apr], Vol 84[4], 334-344). In the article there was
an error in Table 2 of the Results. The Victimization, BL * Intervention
interaction term was marked significant in the Attitudes Toward School column
(".023(.02)**"). However, this interaction was not statistically significant and
the two asterisks should be removed in Table 2. The correct table is provided.
(The following abstract of the original article appeared in record 2016-03231
001.) OBJECTIVE: This study was designed to examine whether a school-wide
antibullying program, effective in reducing incidents of bullying, can also
reduce the harm associated with victimization. Specifically, we test whether
baseline victimization moderates the KiVa program intervention effects on school
perceptions, depression, and self-esteem. METHOD: Relying on a randomized control
sample consisting of 7,010 fourth to sixth grade Finnish elementary school
students, self-report data were examined using multilevel modeling across 39
intervention and 38 control schools over a 12-month period. RESULTS: The KiVa
program was particularly effective in facilitating perceptions of a caring school
climate among students who were most victimized before the intervention, while
program benefits on attitudes toward school did not vary by level of
victimization. The intervention effects on depression and self-esteem were
strongest only among the most victimized sixth graders. CONCLUSIONS: The results
suggest that antibullying programs designed to improve the school ecology can
alleviate the plight of the victimized and underscore that harm reduction should
be assessed by testing risk * intervention effects when evaluating effectiveness
of such programs. (PsycINFO Database Record
PMID- 27195443
TI - "A randomized controlled trial on the efficacy of mindfulness-based cognitive
therapy and a group version of cognitive behavioral analysis system of
psychotherapy for chronically depressed patients": Correction to Michalak et al.
(2015).
AB - Reports an error in "A randomized controlled trial on the efficacy of mindfulness
based cognitive therapy and a group version of cognitive behavioral analysis
system of psychotherapy for chronically depressed patients" by Johannes Michalak,
Martin Schultze, Thomas Heidenreich and Elisabeth Schramm (Journal of Consulting
and Clinical Psychology, 2015[Oct], Vol 83[5], 951-963). In the article there was
an error in the Method section in the Statistical Analysis subsection. The last
sentence in the seventh paragraph should read "A remitter was defined as a
participant with a HAM-D score of 8 or less at posttreatment." (The following
abstract of the original article appeared in record 2015-36864-001.) OBJECTIVE:
Mindfulness-based cognitive therapy (MBCT) has recently been proposed as a
treatment option for chronic depression. The cognitive behavioral analysis system
of psychotherapy (CBASP) is the only approach specifically developed to date for
the treatment of chronically depressed patients. The efficacy of MBCT plus
treatment-as-usual (TAU), and CBASP (group version) plus TAU, was compared to TAU
alone in a prospective, bicenter, randomized controlled trial. METHOD: One
hundred and six patients with a current DSM-IV defined major depressive episode
and persistent depressive symptoms for more than 2 years were randomized to TAU
only (N = 35), or to TAU with additional 8-week group therapy of either 8
sessions of MBCT (n = 36) or CBASP (n = 35). The primary outcome measure was the
Hamilton Depression Rating Scale (24-item HAM-D, Hamilton, 1967) at the end of
treatment. Secondary outcome measures were the Beck Depression Inventory (BDI;
Beck, Steer, & Brown, 1996) and measures of social functioning and quality of
life. RESULTS: In the overall sample as well as at 1 treatment site, MBCT was no
more effective than TAU in reducing depressive symptoms, although it was
significantly superior to TAU at the other treatment site. CBASP was
significantly more effective than TAU in reducing depressive symptoms in the
overall sample and at both treatment sites. Both treatments had only small to
medium effects on social functioning and quality of life. CONCLUSIONS: Further
studies should inquire whether the superiority of CBASP in this trial might be
explained by the more active, problem-solving, and interpersonal focus of CBASP.
(PsycINFO Database Record
PMID- 27195444
TI - An Expansile Petrous Apex Mass.
PMID- 27195445
TI - Identification of glycoproteins associated with HIV latently infected cells using
quantitative glycoproteomics.
AB - HIV infection is not curable due to viral latency. Compelling reports suggest
that there is a distinct profile of surface proteins that can be used for
targeting latently infected cells. We have recently reported that glycoproteins
were differentially secreted from HIV latently infected ACH-2 cells compared to
the parental A3.01 cells. This finding suggests that glyco-phenotype might be
different in these two cell lines. To determine the difference, the ACH-2 and
A3.01 cell lines were subjected to a glycoproteomic analysis. A total number of
940 unique N-linked glycosite-containing peptides from 515 glycoproteins were
identified. Among the glycoproteins, 365 and 104 were annotated as cell surface
and membrane-associated proteins, respectively. Quantitative LC-MS/MS analysis
revealed a change of 236 glycosite-containing peptides from 172 glycoproteins
between the two cell lines without reactivation. Bioinformatic analysis suggests
that cell adhesion, immune response, glycoprotein metabolic process, cell motion,
and cell activation were associated with the changed proteins. After reactivation
of latency, changes in glycosite-containing peptides were observed in both cell
lines. The changed proteins suggest that cell migration, response to wounding and
immune response might be impaired in reactivated latently infected cells.
Glycoproteomics merits future application using primary cells to discover reveal
mechanisms in HIV pathogenesis.
PMID- 27195447
TI - Experiences of Informal Caregivers of Older Adults Transitioned From Nursing
Homes to the Community Through the Money Follows the Person Demonstration.
AB - This study examined experiences of 156 informal caregivers of older adults who
transitioned to the community through the Connecticut Money Follows the Person
(MFP) Rebalancing Demonstration after prolonged nursing home stays. Caregiver
burden, positive aspects of caregiving, depressive symptoms, anxiety, and
comparative subjective stress were examined in relation to caregiver demographics
and care receiver characteristics with a cross-sectional survey. Caregivers
reported low burden, depressive symptoms, and anxiety, and fairly high levels of
positive aspects of caregiving and satisfaction with community services. Most
caregivers were less stressed compared to the time the care recipient was in, or
before they entered, a nursing home. Live-in caregivers experienced more positive
aspects of caregiving, but adult children reported higher burden than other
caregivers. Unmet service needs also increased caregiver burden. Programs like
MFP are a viable option with broadly positive outcomes from a caregiver's
perspective. Enthusiasm for increasing access to community care is growing for
older adults who otherwise would reside in nursing homes for extended periods.
Identifying unmet service needs and needs for targeted functional support could
further enhance caregiver experiences and contribute to the successful transition
of older adults to the community.
PMID- 27195446
TI - Plasmon enhanced optical tweezers with gold-coated black silicon.
AB - Plasmonic optical tweezers are a ubiquitous tool for the precise manipulation of
nanoparticles and biomolecules at low photon flux, while femtosecond-laser
optical tweezers can probe the nonlinear optical properties of the trapped
species with applications in biological diagnostics. In order to adopt plasmonic
optical tweezers in real-world applications, it is essential to develop large
scale fabrication processes without compromising the trapping efficiency. Here,
we develop a novel platform for continuous wave (CW) and femtosecond plasmonic
optical tweezers, based on gold-coated black silicon. In contrast with
traditional lithographic methods, the fabrication method relies on simple, single
step, maskless tabletop laser processing of silicon in water that facilitates
scalability. Gold-coated black silicon supports repeatable trapping efficiencies
comparable to the highest ones reported to date. From a more fundamental aspect,
a plasmon-mediated efficiency enhancement is a resonant effect, and therefore,
dependent on the wavelength of the trapping beam. Surprisingly, a wavelength
characterization of plasmon-enhanced trapping efficiencies has evaded the
literature. Here, we exploit the repeatability of the recorded trapping
efficiency, offered by the gold-coated black silicon platform, and perform a
wavelength-dependent characterization of the trapping process, revealing the
resonant character of the trapping efficiency maxima. Gold-coated black silicon
is a promising platform for large-scale parallel trapping applications that will
broaden the range of optical manipulation in nanoengineering, biology, and the
study of collective biophotonic effects.
PMID- 27195448
TI - Too little sleep and too much sleep among older adults: Associations with self
reported sleep medication use, sleep quality and healthcare utilization.
AB - AIM: The present study examined relationships among older adults' sleep duration
(1-5 h and >=10 h vs 6-9 h), sleep medication use, sleep quality and healthcare
use. METHODS: The 2013 USA National Health Interview Survey provided data on a
sample of 7196 community-dwelling individuals aged >=65 years. Bivariate analyses
and multivariate regression analyses were used to examine study questions.
RESULTS: Of the sample, 85% slept 6-9 h on average, 8% slept 1-5 h and 7% slept
>=10 h. One-sixth of optimal sleepers, one-quarter of short sleepers and one
fifth of long sleepers used sleep medications at least once in the preceding
week. Medication intake of one to two times, as opposed to non-use, was
associated with a greater risk of short than optimal sleep (RRR 1.60, 95% CI 1.03
2.48). Short sleep was positively, but long sleep was negatively associated with
trouble falling asleep and staying asleep. Any medication use was positively
associated with trouble falling asleep and staying asleep. Short sleep was
associated with greater odds of emergency department visits (OR 1.36, 95% CI 1.06
1.77), whereas long sleep was associated with greater odds of an overnight
hospital stay (OR 1.48, 95% CI 1.04-2.11) and home care use (OR 2.46, 95% CI 1.37
4.41). Medication intake one to two times was associated with greater odds of
emergency department visits (OR 1.48, 95% CI 1.11-1.98). CONCLUSIONS: Sleep
medication use does not appear to promote sleep health. Both short and long sleep
durations are associated with a higher likelihood of healthcare use. Clinicians
need to examine older adults' sleep health and medication use, and their effects
on healthcare use. Geriatr Gerontol Int 2017; 17: 545-553.
PMID- 27195449
TI - Has the introduction of antibiotic-impregnated external ventricular drain
catheters changed the nature of the microorganisms cultured in patients with
drain-related infection? A single neurosurgical centre's experience.
AB - BACKGROUND: The introduction of antibiotic-impregnated catheters (AICs) has
significantly reduced external ventricular drain (EVD) infection rates,
inhibiting in particular Gram-positive microbial infection. There is a
theoretical increased risk of selection of resistant and Gram-negative
microorganisms. The aim of this study is to look at the impact of the
introduction of AICs on microorganism susceptibility of infected EVDs and to
determine whether the use of such devices results in shift from Gram-positive to
Gram-negative microorganisms. A secondary aim is to determine if a change in
routine empiric antimicrobial therapy is required because of a change in the
microorganism(s) causing infection. METHODS: Retrospective analysis of EVDs
inserted as a primary procedure or part of a concomitant neurosurgical procedure
in 2006 (pre-AIC) and 2012 (post-AIC Codman Bactiseal((c)) impregnated with
clindamycin 0.15% and rifampicin 0.054%). EVD-related infection was defined as a
cerebral spinal fluid sample with a positive culture with some patients having
one or more microorganisms infecting the same EVD at the same time. Sixty-five
EVDs over 843 days and 66 EVDs over 619 days were inserted respectively in each
cohort. RESULTS: In 2006, 9 of 35 patients developed EVD-related infection and 13
microorganisms were cultured; 8 of which were Gram-positive and 4 Gram-negative.
Four of 49 patients developed EVD-related infection in 2012 and six
microorganisms were cultured; four Gram-positive and two Gram-negative. The
cumulative incidence of EVDs becoming infected is 18.5% (12/65) and 6.1% (4/66; p
= 0.03) in 2012. The EVD-associated infection rate was 14.2 per 1000 EVD days and
6.5 per 1000 EVD days in 2012. There was no change in mortality. CONCLUSIONS: The
study demonstrates that within a single neurosurgical centre there have been
neither changes in susceptibility of microorganisms nor a change from
predominately Gram-positive to Gram-negative microorganisms infecting EVDs
following the switch to AIC. The infection rate has significantly reduced due to
change in catheter type.
PMID- 27195450
TI - Patient perceptions of a 1-h art-making experience during blood and marrow
transplant treatment.
AB - Patients with various forms of cancer receiving blood and marrow transplant (BMT)
treatment at an outpatient clinic develop chemotherapy-related symptoms and an
increased desire to use complementary and alternative medicine in order to
address these symptoms. Art-making offers an inexpensive way to pass time and
relieve symptoms during long hours in treatment. Twenty-one BMT patients painted
a tile and participated in research. Researchers used semi-structured interviews
to understand patients' experiences with art-making. Interviews were recorded,
transcribed and coded independently by researchers who met to agree on themes.
Ten themes emerged from the data including, meaningful activity (32.2%),
expression (18.7%), passing time (13.2%), BMT process (12.1%), social outlet
(8.1%), therapy-related symptoms (7.3%), negative aspects of painting (5.9%) and
encouragement to paint (2.6%). The results of this study revealed that art-making
experience can provide patients a meaningful activity during treatment and an
outlet to express their feelings.
PMID- 27195451
TI - Worse cardiac remodeling in response to pressure overload in type 2 diabetes
mellitus.
AB - BACKGROUND: Diabetic cardiomyopathy is characterized by cardiac structural and
functional abnormalities. Additionally, chronic pressure overload conditions are
highly prevalent amongst diabetic population and this association leads to a more
severe myocardial impairment. The differences in myocardial pathophysiology
between type 1 and type 2 diabetes mellitus (DM) still remain to be clarified.
Thus, we aimed to investigate biventricular structural and functional changes
promoted by the two types of DM and the impact of concomitant chronic pressure
overload. METHODS: Wistar rats were injected with streptozotocin (Type 1 DM,
T1DM) or fed with a hypercaloric diet (Type 2 DM, T2DM). Pressure overload was
imposed in DM animals by aortic constriction and after 5weeks of DM the cardiac
function and structure were evaluated. RESULTS: Both types of DM promoted
hypertrophy, increased fibrosis and advanced glycation end-products deposition,
in the two ventricles. Interestingly, the induced myocardial alterations were
distinct. While T1DM stimulated a pronounced hypertrophy and extracellular matrix
remodeling, T2DM induced functional impairment. The negative impact of the
association of DM with aortic constriction was more pronounced in T2DM, promoting
impaired function and increased stiffness, particularly in the right ventricle.
CONCLUSIONS: Our study demonstrated that the two types of diabetes induce
distinct cardiac alterations per se or when combined with chronic pressure
overload. T1DM promoted a more extensive remodeling in cardiac structure while
T2DM significantly impaired ventricular function. The impact of pressure overload
was more notorious in T2DM as observed by worse myocardial remodeling, suggesting
a higher susceptibility to the deleterious effects of chronic pressure overload,
namely hypertension, among this diabetic population.
PMID- 27195452
TI - Legal and Ethical Implications of Data Sharing in International Biobanking
Research: Toward a Global Response.
PMID- 27195453
TI - Long-term climate impacts on breeding bird phenology in Pennsylvania, USA.
AB - Climate change is influencing bird phenology worldwide, but we still lack
information on how many species are responding over long temporal periods. We
assessed how climate affected passerine reproductive timing and productivity at a
constant effort mist-netting station in western Pennsylvania using a model
comparison approach. Several lines of evidence point to the sensitivity of 21
breeding passerines to climate change over five decades. The trends for
temperature and precipitation over 53 years were slightly positive due to
intraseasonal variation, with the greatest temperature increases and
precipitation declines in early spring. Regardless of broodedness, migration
distance, or breeding season, 13 species hatched young earlier over time with
most advancing >3 days per decade. Warm springs were associated with earlier
captures of juveniles for 14 species, ranging from 1- to 3-day advancement for
every 1 degrees C increase. This timing was less likely to be influenced by
spring precipitation; nevertheless, higher rainfall was usually associated with
later appearance of juveniles and breeding condition in females. Temperature and
precipitation were positively related to productivity for seven and eleven
species, respectively, with negative relations evident for six and eight species.
We found that birds fledged young earlier with increasing spring temperatures,
potentially benefiting some multibrooded species. Indeed, some extended the
duration of breeding in these warm years. Yet, a few species fledged fewer
juveniles in warmer and wetter seasons, indicating that expected future increases
could be detrimental to locally breeding populations. Although there were no
clear relationships between life history traits and breeding phenology, species
specific responses to climate found in our study provide novel insights into
phenological flexibility in songbirds. Our research underscores the value of long
term monitoring studies and the importance of continuing constant effort sampling
in the face of climate change.
PMID- 27195454
TI - Late Toxicities of Intensity-Modulated Radiation Therapy for Head and Neck
Rhabdomyosarcoma.
AB - PURPOSE/OBJECTIVES: To examine the late effects of intensity-modulated radiation
therapy (IMRT) in pediatric patients with rhabdomyosarcoma of the head and neck.
MATERIALS/METHODS: All 1-year survivors of pediatric head and neck
rhabdomyosarcoma treated with IMRT at a single institution from 1999 to 2014 were
assessed for long-term complications. Late toxicities were graded according to
CTCAE version 4.03. RESULTS: Among 30 patients, median age at IMRT was 7.4 (1.5
20.8) years, median follow-up was 7.7 (1.2-14.4) years, and median IMRT dose was
50.4 (36-50.4) Gy. Tumor subsites included parameningeal (80%), orbit (13%), and
other (7%). Common late toxicities were facial disfigurement (n = 23, 77%),
growth hormone deficiency (n = 11, 37%), cataract (n = 10, 34%), and dental
problems (n = 10, 33%). Twenty-two patients (73%) had >=2 late toxicities and 14
patients (47%) had >=3 late toxicities. Seventeen patients (57%) experienced
grade 2 toxicity and 10 patients (33%) had grade 3 toxicity. Grade 3 toxicities
included visual disturbance, cataract, facial disfigurement, chronic
sinusitis/otitis, and hearing loss. Severe facial deformity was noted in nine
patients (30%), and three patients underwent cosmetic surgery. Patients with
severe facial deformity were treated at younger ages (median 6.0 years vs. 8.1
years for patients with no/nonsevere facial deformity) and more likely to have
infratemporal fossa tumors. There were no secondary solid malignancies.
CONCLUSIONS: Late radiation toxicities are common in survivors of pediatric head
and neck rhabdomyosarcoma treated with IMRT. While the majority of late effects
are mild-moderate, they can significantly impact quality of life, particularly
facial disfigurement.
PMID- 27195456
TI - Physical and psychological benefits of once-a-week Pilates exercises in young
sedentary women: A 10-week longitudinal study.
AB - Pilates exercises have several demonstrated physical and psychological benefits.
To date, most research in this context was conducted with symptomatic or elderly
people with few dependent measures. The current study examined the chronic or
longitudinal effects of very low frequency, once a week, Pilates training on
several physical and psychological measures, over a 10-week intervention, in
young, healthy, and sedentary women. Further, the study gauged the acute effects
of Pilates exercises on positive- and negative affect in 10 exercise sessions.
Compared to a control group, the Pilates group exhibited significant improvements
in skeletal muscle mass, flexibility, balance, core- and abdominal muscle
strength, body awareness, and negative affect. This group also showed favorable
changes in positive (22.5% increase) and negative affect (12.2% decrease) in nine
out of ten exercise sessions. This work clearly demonstrates the acute and
chronic benefits of Pilates training on both physical and psychological measures.
It also reveals that even only once a week Pilates training is enough to trigger
detectable benefits in young sedentary women. While this frequency is below the
required levels of exercise for health, it may overcome the 'lack of time' excuse
for not exercising and subsequently its tangible benefits may positively
influence one's engagement in more physical activity.
PMID- 27195457
TI - Gender differences in the association between objective sleep quality and
leukocyte count: The HEIJO-KYO cohort.
AB - Chronic low-grade systemic inflammation increases cardiovascular risk and
mortality. Subjective assessment of sleep quality in previous observational
studies has demonstrated associations with biomarkers of systemic inflammation,
although the results are conflicting. In this cross-sectional study on 1098
elderly individuals, circulating white blood cell (WBC) count and actigraphic
sleep quality were measured as indices of systemic inflammation and objective
sleep quality, respectively. Lower sleep efficiency (SE) and longer wake after
sleep onset (WASO) were significantly associated with increased WBC count in
females (n=581) but no significant associations were observed in the association
between WBC count and all objective sleep parameters in males (n=517). The
associations of lower SE and longer WASO with increased WBC count in females
remained significant in multivariable models adjusted for potential confounding
factors. These results indicated that a 1-standard deviation increase in SE
(8.0%) and WASO (27.7min) corresponded to a 0.16*10(9)/L (95% confidence
interval: 0.05 to 0.27*10(9)/L) decrease and 0.14*10(9)/L (95% confidence
interval: 0.03 to 0.25*10(9)/L) increase in circulating WBC count, respectively.
In contrast, total sleep time and sleep onset latency were not significantly
associated with WBC count in multivariable models. In conclusion, decrease in
objectively measured sleep quality was significantly associated with increased
circulating WBC count in females but not males, independently of known factors
related to systemic inflammation including age, obesity, smoking, hypertension,
diabetes, and physical inactivity.
PMID- 27195455
TI - MCH receptor deletion does not impair glucose-conditioned flavor preferences in
mice.
AB - The post-oral actions of glucose stimulate intake and condition flavor
preferences in rodents. Hypothalamic melanin-concentrating hormone (MCH) neurons
are implicated in sugar reward, and this study investigated their involvement in
glucose preference conditioning in mice. In Exp. 1 MCH receptor 1 knockout (KO)
and C57BL/6 wildtype (WT) mice learned to prefer 8% glucose over an initially
more-preferred non-nutritive 0.1% sucralose+saccharin (S+S) solution. In
contrast, the KO and WT mice preferred S+S to 8% fructose, which is consistent
with this sugar's weak post-oral reinforcing action. In Exp. 2 KO and WT mice
were trained to drink a flavored solution (CS+) paired with intragastric (IG)
infusion of 16% glucose and a different flavored solution (CS-) paired with IG
water. Both groups drank more CS+ than CS- in training and preferred the CS+ to
CS- in a 2-bottle test. These results indicate that MCH receptor signaling is not
required for flavor preferences conditioned by the post-oral actions of glucose.
This contrasts with other findings implicating MCH signaling in other types of
sugar reward processing.
PMID- 27195459
TI - Physiological and behavioral responses in Drosophila melanogaster to odorants
present at different plant maturation stages.
AB - The fruit fly Drosophila melanogaster feeds and oviposits on fermented fruit,
hence its physiological and behavioral responses are expected to be tuned to
odorants abundant during later stages of fruit maturation. We used a population
of about two-hundred isogenic lines of D. melanogaster to assay physiological
responses (electroantennograms (EAG)) and behavioral correlates (preferences and
choice ratio) to odorants found at different stages of fruit maturation. We
quantified electrophysiological and behavioral responses of D. melanogaster for
the leaf compound beta-cyclocitral, as well as responses to odorants mainly
associated with later fruit maturation stages. Electrophysiological and
behavioral responses were modulated by the odorant dose. For the leaf compound we
observed a steep dose-response curve in both EAG and behavioral data and
shallower curves for odorants associated with later stages of maturation. Our
data show the connection between sensory and behavioral responses and are
consistent with the specialization of D. melanogaster on fermented fruit and
avoidance of high doses of compounds associated with earlier stages of
maturation. Odor preferences were modulated in a non-additive way when flies were
presented with two alternative odorants, and combinations of odorants elicited
higher responses than single compounds.
PMID- 27195460
TI - Sex differences and estrous cycle effects on foreground contextual fear
conditioning.
PMID- 27195461
TI - Hypobaric Treatment Effects on Chilling Injury, Mitochondrial Dysfunction, and
the Ascorbate-Glutathione (AsA-GSH) Cycle in Postharvest Peach Fruit.
AB - In this study, hypobaric treatment effects were investigated on chilling injury,
mitochondrial dysfunction, and the ascorbate-glutathione (AsA-GSH) cycle in peach
fruit stored at 0 degrees C. Internal browning of peaches was dramatically
reduced by applying 10-20 kPa pressure. Hypobaric treatment markedly inhibited
membrane fluidity increase, whereas it kept mitochondrial permeability transition
pore (MPTP) concentration and cytochrome C oxidase (CCO) and succinic
dehydrogenase (SDH) activity relatively high in mitochondria. Similarly, 10-20
kPa pressure treatment reduced the level of decrease observed in AsA and GSH
concentrations, while it enhanced ascorbate peroxidase (APX), glutathione
reductase (GR), and monodehydroascorbate reductase (MDHAR) activities related to
the AsA-GSH cycle. Furthermore, comparative transcriptomic analysis showed that
differentially expressed genes (DEGs) associated with the metabolism of
glutathione, ascorbate, and aldarate were up-regulated in peaches treated with 10
20 kPa for 30 days at 0 degrees C. Genes encoding GR, MDHAR, and APX were
identified and exhibited higher expression in fruits treated with low pressure
than in fruits treated with normal atmospheric pressure. Our findings indicate
that the alleviation of chilling injury by hypobaric treatment was associated
with preventing mitochondrial dysfunction and triggering the AsA-GSH cycle by the
transcriptional up-regulation of related enzymes.
PMID- 27195462
TI - SPRINT Results in Older Patients: How Low to Go?
PMID- 27195458
TI - Hypothalamic-pituitary-adrenocortical axis dysfunction in epilepsy.
AB - Epilepsy is a common neurological disease, affecting 2.4million people in the US.
Among the many different forms of the disease, temporal lobe epilepsy (TLE) is
one of the most frequent in adults. Recent studies indicate the presence of a
hyperactive hypothalamopituitary- adrenocortical (HPA) axis and elevated levels
of glucocorticoids in TLE patients. Moreover, in these patients, stress is a
commonly reported trigger of seizures, and stress-related psychopathologies,
including depression and anxiety, are highly prevalent. Elevated glucocorticoids
have been implicated in the development of stress-related psychopathologies.
Similarly, excess glucocorticoids have been found to increase neuronal
excitability, epileptiform activity and seizure susceptibility. Thus, patients
with TLE may generate abnormal stress responses that both facilitate ictal
discharges and increase vulnerability for the development of comorbid
psychopathologies. Here, we will examine the evidence that the HPA axis is
disrupted in TLE, consider potential mechanisms by which this might occur, and
discuss the implications of HPA dysfunction for seizuretriggering and psychiatric
comorbidities.
PMID- 27195464
TI - Physiological and Biochemical Responses to Continuous Saline Irrigation Inside
the Abdominal Cavity in Anesthetized Pigs.
AB - BACKGROUND: Water-filled laparoendoscopic surgery (WaFLES) has been proposed as a
novel surgical system achieving a wide surgical field in the intra- and
extraperitoneal space with continuous irrigation of isotonic fluid into the
field. Despite its technical feasibility and advantages, the safety of the
technique, particularly with respect to physiological functions, has not been
evaluated. METHODS: Various types of minor abdominal surgeries were performed
under general anesthesia in nine adult pigs either by conventional laparoscopy (n
= 3) or WaFLES (n = 6). In addition to esophageal temperature and body weight,
cardiorespiratory variables such as blood pressure, heart rate, and arterial
blood gas parameters were compared before and after the surgeries. Blood samples
were obtained for assessing changes in biochemical parameters before and after
the surgeries. RESULTS: Three to seven hours of various surgeries were completed
without critical cardiorespiratory events in all animals. Oxygenation and
ventilation were maintained regardless of the techniques used for the surgeries.
A minor increase of body weight (2.5% of initial body weight), metabolic
acidosis, hyperkalemia, and impaired hepatic function were observed after WaFLES
surgeries. CONCLUSIONS: The preliminary study indicated no serious immediate
adverse effects of the WaFLES technique.
PMID- 27195463
TI - Antiviral Activity of Oroxylin A against Coxsackievirus B3 Alleviates Virus
Induced Acute Pancreatic Damage in Mice.
AB - The flavonoids mosloflavone, oroxylin A, and norwogonin, which were purified from
Scutellaria baicalensis Georgi, significantly protected Vero cells against
Coxsackievirus B3 (CVB3)-induced cell death. To investigate the in vivo antiviral
activity of oroxylin A, we intraperitoneally inoculated CVB3 into 4-week-old
BALB/c mice. Body weights and blood glucose levels of the mice were decreased
after CVB3 infection, and these changes were attenuated by the administration of
oroxylin A. Importantly, treatment of mice with oroxylin A reduced viral titers
in the pancreas and decreased the serum levels of the inflammatory cytokines
including interleukin-6 (IL-6) and tumor necrosis factor (TNF)-alpha.
Additionally, the administration of oroxylin A mitigated the histological
pancreatic lesions and apoptotic cell death induced by CVB3 infection and
increased the levels of phospho-eIF2alpha in infected pancreata. The results
suggest that oroxylin A may represent a potent antiviral agent against CVB3
infection.
PMID- 27195466
TI - Leadership in Neonatal Nursing.
PMID- 27195468
TI - A New Web Site, Upcoming Conference, and Collaboration to Boost Therapies for
Newborns.
PMID- 27195465
TI - Quantification of Trunk Postural Stability Using Convex Polyhedron of the Time
Series Accelerometer Data.
AB - Techniques to quantify postural stability usually rely on the evaluation of only
two variables, that is, two coordinates of COP. However, by using three
variables, that is, three components of acceleration vector, it is possible to
describe human movement more precisely. For this purpose, a single three-axis
accelerometer was used, making it possible to evaluate 3D movement by use of a
novel method, convex polyhedron (CP), together with a traditional method, based
on area of the confidence ellipse (ACE). Ten patients (Pts) with cerebellar
ataxia and eleven healthy individuals of control group (CG) participated in the
study. The results show a significant increase of volume of the CP (CPV) in Pts
or CG standing on foam surface with eyes open (EO) and eyes closed (EC) after the
EC phase. Significant difference between Pts and CG was found in all cases as
well. Correlation coefficient indicates strong correlation between the CPV and
ACE in most cases of patient examinations, thus confirming the possibility of
quantification of postural instability by the introduced method of CPV.
PMID- 27195469
TI - Standard for Maintaining the Competence of Neonatal Nurse Practitioners:
Executive Summary Position Statement 3050: From the National Association of
Neonatal Nurses.
PMID- 27195470
TI - Applying Adult Ventilator-associated Pneumonia Bundle Evidence to the Ventilated
Neonate.
AB - BACKGROUND: Ventilator-associated pneumonia (VAP) in neonates can be reduced by
implementing preventive care practices. Implementation of a group, or bundle, of
evidence-based practices that improve processes of care has been shown to be cost
effective and to have better outcomes than implementation of individual single
practices. PURPOSE: The purpose of this article is to describe a safe, effective,
and efficient neonatal VAP prevention protocol developed for caregivers in the
neonatal intensive care unit (NICU). Improved understanding of VAP causes,
effects of care practices, and rationale for interventions can help reduce VAP
risk to neonatal patients. METHOD: In order to improve care practices to affect
VAP rates, initial and annual education occurred on improved protocol components
after surveying staff practices and auditing documentation compliance.
FINDINGS/RESULTS: In 2009, a tertiary care level III NICU in the Midwestern
United States had 14 VAP cases. Lacking evidence-based VAP prevention practices
for neonates, effective adult strategies were modified to meet the complex needs
of the ventilated neonate. A protocol was developed over time and resulted in an
annual decrease in VAP until rates were zero for 20 consecutive months from
October 2012 to May 2014. IMPLICATIONS FOR PRACTICE: This article describes a VAP
prevention protocol developed to address care practices surrounding hand hygiene,
intubation, feeding, suctioning, positioning, oral care, and respiratory
equipment in the NICU. IMPLICATIONS FOR RESEARCH: Implementation of this VAP
prevention protocol in other facilities with appropriate monitoring and tracking
would provide broader support for standardization of care. Individual components
of this VAP protocol could be studied to strengthen the inclusion of each;
however, bundled interventions are often considered stronger when implemented as
a whole.
PMID- 27195471
TI - What Is Best Practice for Providing Pain Relief During Retinopathy of Prematurity
Eye Examinations?
AB - BACKGROUND: Retinopathy of prematurity screenings is considered a painful
procedure. Yet, preterm infants 30 weeks of gestation or less or weighing 1500 g
or less or between 1500 and 2000 g or greater than 30 weeks of gestation with an
unstable course are required to have these examinations until their eyes reach
maturity. PURPOSE: A systematic search of the literature was conducted to answer
the question "For premature infants undergoing retinal eye examinations, what
interventions are the most effective for pain reduction?" METHODS/SEARCH
STRATEGY: CINAHL Plus and MEDLINE were searched using the key words: retinopathy
of prematurity and pain. The search was limited to the last 10 years and
experimental or quasi-experimental studies attempting to reduce pain during eye
examinations. FINDINGS/RESULTS: The search criteria yielded 14 studies that
addressed interventions to decrease pain during examinations. Three strategies
were noted for pain relief including nonpharmacological interventions (n = 9),
topical anesthetics (n = 3), and examination techniques (n = 2). The findings
indicate that these 3 strategies provided varying results for pain relief but
could be beneficial if provided in a consistent protocol. IMPLICATIONS FOR
PRACTICE: Consequently, policies for pain management during retinopathy of
prematurity examinations need to be used consistently. It is important for
clinicians to consider using a combination of strategies that maximize comfort
and provide pain relief. IMPLICATIONS FOR RESEARCH: There remains a need to
continue to examine pain-relieving techniques for retinopathy of prematurity
examinations. Further investigation is needed to evaluate effective strategies
that mitigate pain and the pathways causing pain. In addition, investigating the
clinical feasibility of examinations without speculum use may be worthwhile.
PMID- 27195472
TI - CLABSI Reduction Strategy: A Systematic Central Line Quality Improvement
Initiative Integrating Line-Rounding Principles and a Team Approach.
PMID- 27195474
TI - Multiparametric human hepatocellular carcinoma characterization and therapy
response evaluation by hyperpolarized (13) C MRSI.
AB - Individual tumor characterization and treatment response monitoring based on
current medical imaging methods remain challenging. This work investigates
hyperpolarized (13) C compounds in an orthotopic rat hepatocellular carcinoma
(HCC) model system before and after transcatheter arterial embolization (TAE).
HCC ranks amongst the top six most common cancer types in humans and accounts for
one-third of cancer-related deaths worldwide. Early therapy response monitoring
could aid in the development of personalized therapy approaches and novel
therapeutic concepts. Measurements with selectively (13) C-labeled and
hyperpolarized urea, pyruvate and fumarate were performed in tumor-bearing rats
before and after TAE. Two-dimensional, slice-selective MRSI was used to obtain
spatially resolved maps of tumor perfusion, cell energy metabolic conversion
rates and necrosis, which were additionally correlated with immunohistochemistry.
All three injected compounds, taken together with their respective metabolites,
exhibited similar signal distributions. TAE induced a decrease in blood flow into
the tumor and thus a decrease in tumor to muscle and tumor to liver ratios of
urea, pyruvate and its metabolites, alanine and lactate, whereas conversion rates
remained stable or increased on TAE in tumor, muscle and liver tissue. Conversion
from fumarate to malate successfully indicated individual levels of necrosis, and
global malate signals after TAE suggested the washout of fumarase or malate
itself on necrosis. This study presents a combination of three (13) C compounds
as novel candidate biomarkers for a comprehensive characterization of genetically
and molecularly diverse HCC using hyperpolarized MRSI, enabling the simultaneous
detection of differences in tumor perfusion, metabolism and necrosis. If, as in
this study, bolus dynamics are not required and qualitative perfusion information
is sufficient, the desired information could be extracted from hyperpolarized
fumarate and pyruvate alone, acquired at higher fields with better spectral
separation. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27195475
TI - Reelin-immunoreactive neurons in entorhinal cortex layer II selectively express
intracellular amyloid in early Alzheimer's disease.
AB - The onset of Alzheimer's disease (AD) is associated with subtle pathological
changes including increased intracellular expression of amyloid-beta (Abeta). A
structure affected particularly early in the course of AD is the entorhinal
cortex, where neuronal death in layer II is observed already at initial stages.
Neurons in EC-layer II, particularly those that express the protein Reelin, give
rise to projections to the hippocampal dentate gyrus and this projection shows
severe loss of synaptic contacts during early-stage AD. Given this anatomical
specificity, we sought to determine whether increased intracellular expression of
Abeta is selectively associated with Reelin-immunoreactive neurons in layer II of
the entorhinal cortex. Here we report that in a transgenic rat model, which
mimics the onset and distribution of extracellular amyloid deposits seen in human
AD subjects, expression of intracellular Abeta in entorhinal layer II selectively
occurs in Reelin-immunoreactive neurons during the early, pre-plaque stage. This
Reelin-Abeta association is also present in human subjects with AD-related
pathological changes, even in early disease stages. These findings strongly
indicate that Reelin-immunoreactive neurons in entorhinal layer II play a crucial
role during the initial stages of AD, and may therefore lead to refined
hypotheses concerning the origin of this devastating condition.
PMID- 27195473
TI - Heatwaves and Hospital Admissions for Mental Disorders in Northern Vietnam.
AB - Studies in high-income countries have shown an association between heatwaves and
hospital admissions for mental disorders. It is unknown whether such associations
exist in subtropical nations like Vietnam. The study aim was to investigate
whether hospital admissions for mental disorders may be triggered, or
exacerbated, by heat exposure and heatwaves, in a low- and middle-income country,
Vietnam. For this, we used data from the Hanoi Mental Hospital over five years
(2008-2012) to estimate the effect of heatwaves on admissions for mental
disorders. A zero-inflated negative binomial regression model accounting for
seasonality, time trend, days of week, and mean humidity was used to analyse the
relationship. Heatwave events were mainly studied as periods of three or seven
consecutive days above the threshold of 35 degrees C daily maximum temperature
(90th percentile). The study result showed heatwaves increased the risk for
admission in the whole group of mental disorders (F00-79) for more persistent
heatwaves of at least 3 days when compared with non-heatwave periods. The
relative risks were estimated at 1.04 (0.95-1.13), 1.15 (1.005-1.31), and 1.36 (1
1.90) for a one-, three- and seven-day heatwave, respectively. Admissions for
mental disorders increased among men, residents in rural communities, and the
elderly population during heatwaves. The groups of organic mental disorders,
including symptomatic illnesses (F0-9) and mental retardation (F70-79), had
increased admissions during heatwaves. The findings are novel in their focus on
heatwave impact on mental diseases in a population habituating in a subtropical
low- and middle-income country characterized by rapid epidemiological transitions
and environmental changes.
PMID- 27195476
TI - Characterization of Three Tailoring Enzymes in Dutomycin Biosynthesis and
Generation of a Potent Antibacterial Analogue.
AB - The anthracycline natural product dutomycin and its precursor POK-MD1 were
isolated from Streptomyces minoensis NRRL B-5482. The dutomycin biosynthetic gene
cluster was identified by genome sequencing and disruption of the ketosynthase
gene. Two polyketide synthase (PKS) systems are present in the gene cluster,
including a type II PKS and a rare highly reducing iterative type I PKS. The type
I PKS DutG repeatedly uses its active sites to create a nine-carbon triketide
chain that is subsequently transferred to the alpha-l-axenose moiety of POK-MD1
at 4"-OH to yield dutomycin. Using a heterologous recombination approach, we
disrupted a putative methyltransferase gene (dutMT1) and two glycosyltransferase
genes (dutGT1 and dutGT2). Analysis of the metabolites of these mutants revealed
the functions of these genes and yielded three dutomycin analogues SW140, SW91,
and SW75. The major product SW91 in Streptomyces minoensis NRRL B-5482
DeltaDutMT1 was identified as 12-desmethyl-dutomycin, suggesting that DutMT1 is
the dedicated 12-methyltransferase. This was confirmed by the in vitro enzymatic
assay. DutGT1 and DutGT2 were found to be responsible for the introduction of
beta-d-amicetose and alpha-l-axenose, respectively. Dutomycin and SW91 showed
strong antibacterial activity against Staphylococcus aureus and methicillin
resistant S. aureus, whereas POK-MD1 and SW75 had no obvious inhibition, which
revealed the essential role of the C-4" triketide chain in antibacterial
activity. The minimal inhibitory concentration of SW91 against the two strains
was 0.125 MUg mL(-1), lower than that of dutomycin (0.25 MUg mL(-1)), indicating
that the antibacterial activity of dutomycin can be improved through biosynthetic
structural modification.
PMID- 27195477
TI - X-ray and Neutron Scattering of Water.
AB - This review article focuses on the most recent advances in X-ray and neutron
scattering studies of water structure, from ambient temperature to the deeply
supercooled and amorphous states, and of water diffusive and collective dynamics,
in disparate thermodynamic conditions and environments. In particular, the
ability to measure X-ray and neutron diffraction of water with unprecedented high
accuracy in an extended range of momentum transfers has allowed the derivation of
detailed O-O pair correlation functions. A panorama of the diffusive dynamics of
water in a wide range of temperatures (from 400 K down to supercooled water) and
pressures (from ambient up to multiple gigapascals) is presented. The recent
results obtained by quasi-elastic neutron scattering under high pressure are
compared with the existing data from nuclear magnetic resonance, dielectric and
infrared measurements, and modeling. A detailed description of the vibrational
dynamics of water as measured by inelastic neutron scattering is presented. The
dependence of the water vibrational density of states on temperature and
pressure, and in the presence of biological molecules, is discussed. Results
about the collective dynamics of water and its dispersion curves as measured by
coherent inelastic neutron scattering and inelastic X-ray scattering in different
thermodynamic conditions are reported.
PMID- 27195479
TI - Quantification of Spontaneous W/O Emulsification and its Impact on the Swelling
Kinetics of Multiple W/O/W Emulsions.
AB - An osmotic imbalance between the two water phases of multiple water-in-oil-in
water (W1/O/W2) emulsions results in either emulsion swelling or shrinking due to
water migration across the oil layer. Controlled mass transport is not only of
importance for emulsion stability but also allows transient emulsion thickening
or the controlled release of encapsulated substances, such as nutriments or
simply salt. Our prior work has shown that mass transport follows two sequential
stages. In the first stage, the oil-phase structure is changed in a way that
allows rapid, osmotically driven water transport in the second, osmotically
dominated stage. These structural changes in the oil layer are strongly
facilitated by the spontaneous formation of tiny water droplets in the oil phase,
induced by the oil-soluble surfactant, i.e., polyglycerol polyricinoleate (PGPR).
This study provides a simple method based on microscopy image analysis, allowing
a detailed investigation of spontaneous W/O emulsification. It quantitatively
describes the volume of droplets generated and the rate of droplet creation.
Moreover, it describes the effect of spontaneous W/O emulsification on the
swelling kinetics of microfluidic processed W1/O/W2 emulsions. Two different
concentration regimes of the oil-soluble surfactant are identified: below a
critical concentration the overall water transport rate increases, and above a
critical concentration water transport stagnates because of maximized structure
formation.
PMID- 27195478
TI - Good job, bad job: Occupational perceptions among Latino poultry workers.
AB - OBJECTIVES: Immigrant workers frequently take jobs that are physically demanding,
provide low wages, and result in injuries (e.g., poultry production and
processing). Through a qualitative approach, this paper elicits poultry workers'
evaluations of their jobs and set them in the larger context of their lives.
METHODS: Semi-structured interviews were conducted with 65 poultry workers in
western North Carolina. Workers were asked to discuss job characteristics,
physical and psychological impacts of their employment, and perceived health
risks. RESULTS: Immigrant workers valued the stability, benefits, upward
mobility, and pay offered. They disliked the physical demands, the potential
perceived effects of the job on their health, and the interactions with bosses
and peers. CONCLUSIONS: Workers' willingness to endure dirty, dangerous, and
demanding (3-D) conditions of poultry must be understood in the context of other
employment options, structural violence, and their focus on immediate family
needs that positive aspects of these jobs can fulfill. Am. J. Ind. Med. 59:877
886, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27195480
TI - Phase Transition and Dynamics in Imidazolium-Based Ionic Liquid Crystals through
a Metastable Highly Ordered Smectic Phase.
AB - The phase transition behavior and dynamics of ionic liquid crystals, 1-methyl-3
alkylimidazolium tetrafluoroborate with various alkyl chain lengths, were
investigated by X-ray scattering, differential scanning calorimetry, optical
microscopy, and dielectric relaxation spectroscopy to elucidate the mechanism of
their structural and phase changes. A metastable phase was found to appear via a
supercooled smectic phase on cooling. In the metastable phase, disorder in the
smectic phase is partially frozen; thus, the phase has order higher than that of
the smectic phase but lower than that of the crystalline phase. During the
subsequent heating process, the frozen disorder activates, and a crystalline
phase appears in the supercooled smectic phase before entering the smectic phase.
The relationship between the phase behavior and the dynamics of charge carriers
such as ions is also discussed.
PMID- 27195481
TI - Race/ethnicity-specific disparities in cancer incidence, burden of disease, and
overall survival among patients with hepatocellular carcinoma in the United
States.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is one of the fastest rising causes of
cancer-related deaths in the United States, with disparities observed in cancer
incidence and survival between ethnic groups. This report provides updated
analyses on race-specific disparities in US HCC trends. METHODS: This large,
population-based cohort study was conducted using Surveillance, Epidemiology, and
End Results cancer registry data from 2003 to 2011 to investigate race-specific
disparities in HCC incidence and survival. Survival was analyzed using Kaplan
Meier methods and multivariate Cox proportional-hazards models. RESULTS: From
2003 to 2011, Asians had the highest HCC incidence, followed by blacks,
Hispanics, and non-Hispanic whites. During the same period, Hispanics had the
greatest increase in HCC incidence (+35.8%), whereas Asians experienced a 5.5%
decrease. Although patients aged >=65 years had the highest HCC incidence among
all racial/ethnic groups, the higher HCC incidence in Asians was observed only
for patients ages <50 and >=65 years, whereas HCC incidence among patients ages
50 to 64 years was similar among Asians, blacks, and Hispanics. The overall 5
year HCC survival rate was highest among Asians (26.1%; 95% confidence interval
[CI], 24.5%-27.6%) and lowest among blacks (21.3%; 95% CI, 19.5%-23.1%). On
multivariate regression, Asians (hazard ratio, 0.83; 95% CI, 0.79-0.87; P < .001)
and blacks (hazard ratio, 0.94; 95% CI, 0.89-0.99; P = .01) had significantly
higher survival compared with non-Hispanic whites. CONCLUSIONS: Asians were the
only group to demonstrate a declining HCC incidence in the form of a shift from
advanced HCC to more localized HCC. These findings most likely reflect improved
screening and surveillance efforts for this group. Cancer 2016;122:2512-23. (c)
2016 American Cancer Society.
PMID- 27195483
TI - Band structure of a three-dimensional topological insulator quantum wire in the
presence of a magnetic field.
AB - By means of a numerical diagonalization approach, we calculate the electronic
structure of a three-dimensional topological insulator (3DTI) quantum wire (QW)
in the presence of a magnetic field. The QW can be viewed as a 3DTI film with
lateral surfaces, when its rectangular cross section has a large aspect ratio.
Our calculation indicates that nonchiral edge states emerge because of the
confined states at the lateral surfaces. These states completely cover the
valence band region among the Landau levels, which reasonably account for the
absence of the [Formula: see text] quantum Hall effect in the relevant
experimental works. In an ultrathin 3DTI film, inversion between the electron
type and hole-type bands occurs, which leads to the so-called pseudo-spin Hall
effect. In a 3DTI QW with a square cross section, a tilting magnetic field can
establish well-defined Landau levels in all four surfaces. In such a case, the
quantum Hall edge states are localized at the square corners, characterized by
the linearly crossing one-dimensional band profile. And they can be shifted
between the adjacent corners by simply rotating the magnetic field.
PMID- 27195482
TI - Nonequilibrium Enhances Adaptation Efficiency of Stochastic Biochemical Systems.
AB - Adaptation is a crucial biological function possessed by many sensory systems.
Early work has shown that some influential equilibrium models can achieve
accurate adaptation. However, recent studies indicate that there are close
relationships between adaptation and nonequilibrium. In this paper, we provide an
explanation of these two seemingly contradictory results based on Markov models
with relatively simple networks. We show that as the nonequilibrium driving
becomes stronger, the system under consideration will undergo a phase transition
along a fixed direction: from non-adaptation to simple adaptation then to
oscillatory adaptation, while the transition in the opposite direction is
forbidden. This indicates that although adaptation may be observed in equilibrium
systems, it tends to occur in systems far away from equilibrium. In addition, we
find that nonequilibrium will improve the performance of adaptation by enhancing
the adaptation efficiency. All these results provide a deeper insight into the
connection between adaptation and nonequilibrium. Finally, we use a more
complicated network model of bacterial chemotaxis to validate the main results of
this paper.
PMID- 27195484
TI - Robotic action acquisition with cognitive biases in coarse-grained state space.
AB - Some of the authors have previously proposed a cognitively inspired reinforcement
learning architecture (LS-Q) that mimics cognitive biases in humans. LS-Q
adaptively learns under uniform, coarse-grained state division and performs well
without parameter tuning in a giant-swing robot task. However, these results were
shown only in simulations. In this study, we test the validity of the LS-Q
implemented in a robot in a real environment. In addition, we analyze the
learning process to elucidate the mechanism by which the LS-Q adaptively learns
under the partially observable environment. We argue that the LS-Q may be a
versatile reinforcement learning architecture, which is, despite its simplicity,
easily applicable and does not require well-prepared settings.
PMID- 27195485
TI - The Obesity Paradox and Mortality After Colorectal Cancer: A Causal Conundrum.
PMID- 27195486
TI - Impact of Management on Avian Communities in the Scottish Highlands.
AB - The protection of biodiversity is a key national and international policy
objective. While protected areas provide one approach, a major challenge lies in
understanding how the conservation of biodiversity can be achieved in the context
of multiple land management objectives in the wider countryside. Here we analyse
metrics of bird diversity in the Scottish uplands in relation to land management
types and explore how bird species composition varies in relation to land managed
for grazing, hunting and conservation. Birds were surveyed on the heather
moorland areas of 26 different landholdings in Scotland. The results indicate
that, in relation to dominant management type, the composition of bird species
varies but measures of diversity and species richness do not. Intensive
management for grouse shooting affects the occurrence, absolute and relative
abundance of bird species. While less intensive forms of land management appear
to only affect the relative abundance of species, though extensive sheep grazing
appears to have little effect on avian community composition. Therefore enhanced
biodiversity at the landscape level is likely to be achieved by maintaining
heterogeneity in land management among land management units. This result should
be taken into account when developing policies that consider how to achieve
enhanced biodiversity outside protected areas, in the context of other legitimate
land-uses.
PMID- 27195487
TI - A role for loop G in the beta1 strand in GABAA receptor activation.
AB - KEY POINTS: The role of the beta1 strand in GABAA receptor function is unclear.
It lies anti-parallel to the beta2 strand, which is known to participate in
receptor activation. Molecular dynamics simulation revealed solvent accessible
residues within the beta1 strand of the GABAA beta3 homopentamer that might be
amenable to analysis using the substituted Cys accessibility method. Cys
substitutions from Asp43 to Thr47 in the GABAA alpha1 subunit showed that D43C
and T47C reduced the apparent potency of GABA. F45C caused a biphasic GABA
concentration-response relationship and increased spontaneous gating. Cys43 and
Cys47 were accessible to 2-aminoethyl methanethiosulphonate (MTSEA) modification,
whereas Cys45 was not. Both GABA and the allosteric agonist propofol reduced
MTSEA modification of Cys43 and Cys47. By contrast, modification of Cys64 in the
beta2 strand loop D was impeded by GABA but unaffected by propofol. These data
reveal movement of beta1 strand loop G residues during agonist activation of the
GABAA receptor. ABSTRACT: The GABAA receptor alpha subunit beta1 strand runs anti
parallel to the beta2 strand, which contains loop D, known to participate in
receptor activation and agonist binding. However, a role for the beta1 strand has
yet to be established. We used molecular dynamics simulation to quantify the
solvent accessible surface area (SASA) of beta1 strand residues in the GABAA
beta3 homopentamer structure. Residues in the complementary interface equivalent
to those between Asp43 and Thr47 in the alpha1 subunit have an alternating
pattern of high and low SASA consistent with a beta strand structure. We
investigated the functional role of these beta1 strand residues in the alpha1
subunit by individually replacing them with Cys residues. D43C and T47C
substitutions reduced the apparent potency of GABA at alpha1beta2gamma2 receptors
by 50-fold and eight-fold, respectively, whereas the F45C substitution caused a
biphasic GABA concentration-response relationship and increased spontaneous
gating. Receptors with D43C or T47C substitutions were sensitive to 2-aminoethyl
methanethiosulphonate (MTSEA) modification. However, GABA-evoked currents
mediated by alpha1(F45C)beta2gamma2 receptors were unaffected by MTSEA,
suggesting that this residue is inaccessible. Both GABA and the allosteric
agonist propofol reduced MTSEA modification of alpha1(D43C)beta2gamma2 and
alpha1(T47C)beta2gamma2 receptors, indicating movement of the beta1 strand even
during allosteric activation. This is in contrast to alpha1(F64C)beta2gamma2
receptors, where only GABA, but not propofol, reduced MTSEA modification. These
findings provide the first functional evidence for movement of the beta1 strand
during gating of the receptor and identify residues that are critical for
maintaining GABAA receptor function.
PMID- 27195489
TI - Overwintering of Rabies Virus in Silver Haired Bats (Lasionycteris noctivagans).
AB - Silver-haired bats, (Lasionycteris noctivagans) are semi-colonial, migratory tree
bats that have infrequent contact with humans. Despite the species rarity, the L.
noctivagans rabies variant is the most commonly reported rabies virus variant
(RABV) in domestically acquired human rabies cases in the US. Unlike big brown
bats (Eptesicus fuscus) and little brown bats (Myotis lucifugus), L. noctivagans
are not considered true hibernators. It is unknown if RABV can overwinter in
hibernating L. noctivagans or is only maintained in members of this taxa that
migrate to warmer climates. To better understand RABV overwintering in this
species, L. noctivagans were inoculated intramuscularly with either a homologous
RABV (L. noctivagans Virus 1) or one of two heterologous RABV (Eptesicus fuscus
Virus 2 and Myotis lucifugus Virus 1). Five days following inoculation, L.
noctivagans were placed in a hibernation chamber for 6 weeks. Our results
demonstrate that rabies virus can overwinter in L. noctivagans yet the incubation
period was extended 6 weeks when compared to bats maintained at ambient
temperatures. Additionally, we found that the longer the incubation period, the
greater the viral dissemination to the salivary glands. Similar to our previous
studies, L. noctivagans were most susceptible to a homologous variant. In
summary, we found that RABV incubation is extended following a subcutaneous
exposure or maintenance in hibernation and longer incubation times increase
dissemination and potential for transmission.
PMID- 27195488
TI - A Point-Scoring System for the Clinical Diagnosis of Sjogren's Syndrome Based on
Quantified SPECT Imaging of Salivary Gland.
AB - OBJECTIVE: To establish a point-scoring diagnostic system for Sjogren's syndrome
(SS) based on quantified SPECT imaging of salivary gland, and evaluate its
feasibility and performance compared with 2002 AECG criteria and 2012 ACR
criteria. METHODS: 213 patients with suspected SS enrolled in this study. The
related clinical data of all patients were collected. All patients were evaluated
and grouped on a clinical basis and posttreatment follow-up by rheumatology
specialists as the unified standard (SS group with 149 cases and nSS group with
64 cases). From SPECT imaging of salivary gland, Tmax, UImax, Ts and EFs were
derived for bilateral parotid and submandibular glands, and compared between the
groups. A point-scoring diagnostic system for SS was established based on the
quantified SPECT imaging of salivary gland. We estimated the sensitivity,
specificity, positive predictive value (PPV), negative predictive value (NPV) and
accuracy for the new diagnostic system, compared with 2002 AECG criteria and 2012
ACR criteria. RESULTS: When 7.0 was used as the cut-off point, the sensitivity,
specificity, PPV, NPV and accuracy for the new point-scoring system in diagnosing
SS were 89.93% (134/149), 93.75% (60/64), 97.10% (134/138), 80.00% (60/75) and
91.08% (194/213), respectively. The new point-scoring diagnostic system based on
quantified SPECT imaging of salivary gland keeps the specificity comparatively to
2002 AECG criteria and 2012 ACR criteria, but improves the sensitivity
significantly (P<0.01). CONCLUSION: The new point-scoring diagnostic system for
SS based on quantified SPECT imaging of salivary gland may be superior to 2002
AECG criteria and 2012 ACR criteria, with higher sensitivity and similar
specificity in the diagnosis of SS. Additionally, it also has good feasibility in
the clinical settings.
PMID- 27195490
TI - Dispersion-Energy-Driven Wagner-Meerwein Rearrangements in Oligosilanes.
AB - The installation of structural complex oligosilanes from linear starting
materials by Lewis acid induced skeletal rearrangement reactions was studied
under stable ion conditions. The produced cations were fully characterized by
multinuclear NMR spectroscopy at low temperature, and the reaction course was
studied by substitution experiments. The results of density functional theory
calculations indicate the decisive role of attractive dispersion forces between
neighboring trimethylsilyl groups for product formation in these rearrangement
reactions. These attractive dispersion interactions control the course of Wagner
Meerwein rearrangements in oligosilanes, in contrast to the classical
rearrangement in hydrocarbon systems, which are dominated by electronic
substituent effects such as resonance and hyperconjugation.
PMID- 27195492
TI - Correction: Accuracy of Genomic Selection in a Rice Synthetic Population
Developed for Recurrent Selection Breeding.
AB - [This corrects the article DOI: 10.1371/journal.pone.0136594.].
PMID- 27195493
TI - Understanding the Spatial Scale of Genetic Connectivity at Sea: Unique Insights
from a Land Fish and a Meta-Analysis.
AB - Quantifying the spatial scale of population connectivity is important for
understanding the evolutionary potential of ecologically divergent populations
and for designing conservation strategies to preserve those populations. For
marine organisms like fish, the spatial scale of connectivity is generally set by
a pelagic larval phase. This has complicated past estimates of connectivity
because detailed information on larval movements are difficult to obtain. Genetic
approaches provide a tractable alternative and have the added benefit of
estimating directly the reproductive isolation of populations. In this study, we
leveraged empirical estimates of genetic differentiation among populations with
simulations and a meta-analysis to provide a general estimate of the spatial
scale of genetic connectivity in marine environments. We used neutral genetic
markers to first quantify the genetic differentiation of ecologically-isolated
adult populations of a land dwelling fish, the Pacific leaping blenny (Alticus
arnoldorum), where marine larval dispersal is the only probable means of
connectivity among populations. We then compared these estimates to simulations
of a range of marine dispersal scenarios and to collated FST and distance data
from the literature for marine fish across diverse spatial scales. We found
genetic connectivity at sea was extensive among marine populations and in the
case of A. arnoldorum, apparently little affected by the presence of ecological
barriers. We estimated that ~5000 km (with broad confidence intervals ranging
from 810-11,692 km) was the spatial scale at which evolutionarily meaningful
barriers to gene flow start to occur at sea, although substantially shorter
distances are also possible for some taxa. In general, however, such a large
estimate of connectivity has important implications for the evolutionary and
conservation potential of many marine fish communities.
PMID- 27195491
TI - A Syntenic Cross Species Aneuploidy Genetic Screen Links RCAN1 Expression to beta
Cell Mitochondrial Dysfunction in Type 2 Diabetes.
AB - Type 2 diabetes (T2D) is a complex metabolic disease associated with obesity,
insulin resistance and hypoinsulinemia due to pancreatic beta-cell dysfunction.
Reduced mitochondrial function is thought to be central to beta-cell dysfunction.
Mitochondrial dysfunction and reduced insulin secretion are also observed in beta
cells of humans with the most common human genetic disorder, Down syndrome (DS,
Trisomy 21). To identify regions of chromosome 21 that may be associated with
perturbed glucose homeostasis we profiled the glycaemic status of different DS
mouse models. The Ts65Dn and Dp16 DS mouse lines were hyperglycemic, while Tc1
and Ts1Rhr mice were not, providing us with a region of chromosome 21 containing
genes that cause hyperglycemia. We then examined whether any of these genes were
upregulated in a set of ~5,000 gene expression changes we had identified in a
large gene expression analysis of human T2D beta-cells. This approach produced a
single gene, RCAN1, as a candidate gene linking hyperglycemia and functional
changes in T2D beta-cells. Further investigations demonstrated that RCAN1
methylation is reduced in human T2D islets at multiple sites, correlating with
increased expression. RCAN1 protein expression was also increased in db/db mouse
islets and in human and mouse islets exposed to high glucose. Mice overexpressing
RCAN1 had reduced in vivo glucose-stimulated insulin secretion and their beta
cells displayed mitochondrial dysfunction including hyperpolarised membrane
potential, reduced oxidative phosphorylation and low ATP production. This lack of
beta-cell ATP had functional consequences by negatively affecting both glucose
stimulated membrane depolarisation and ATP-dependent insulin granule exocytosis.
Thus, from amongst the myriad of gene expression changes occurring in T2D beta
cells where we had little knowledge of which changes cause beta-cell dysfunction,
we applied a trisomy 21 screening approach which linked RCAN1 to beta-cell
mitochondrial dysfunction in T2D.
PMID- 27195495
TI - PredHSP: Sequence Based Proteome-Wide Heat Shock Protein Prediction and
Classification Tool to Unlock the Stress Biology.
AB - Heat shock proteins are chaperonic proteins, which are present in every domain of
life. They play a crucial role in folding/unfolding of proteins, their sorting
and assembly into multi-protein complex, cell cycle control and also protect the
cell during stress. Considering the fact that no web-based predictor is available
for simultaneous prediction and classification of HSPs, it is imperative to
develop a method, which can predict and classify them efficiently. In this study,
we have developed coupled amino acid composition and support vector machine based
two-tier method, PredHSP that identifies heat shock proteins (1st tier) and
classifies it to different families (at 2nd tier). At 1st tier, we achieved
maximum accuracy 76.66% with MCC 0.43, while at 2nd tier we achieved maximum
accuracy 96.36% with MCC 0.87 for HSP20, 91.91% with MCC 0.83 for HSP40, 95.96%
with MCC 0.72 for HSP60, 91.87% with MCC 0.71 for HSP70, 98.43% with MCC 0.70 for
HSP90 and 97.48% with MCC 0.71 for HSP100. We have also developed a webserver, as
well as standalone package for the use of scientific community, which can be
accessed at http://14.139.227.92/mkumar/predhsp/index.html.
PMID- 27195496
TI - Positive Attitudes towards Non-Invasive Prenatal Testing (NIPT) in a Swedish
Cohort of 1,003 Pregnant Women.
AB - OBJECTIVE: The clinical utilization of non-invasive prenatal testing (NIPT) for
identification of fetal aneuploidies is expanding worldwide. The aim of this
study was to gain an increased understanding of pregnant women's awareness,
attitudes, preferences for risk information and decision-making concerning
prenatal examinations with emphasis on NIPT, before its introduction into Swedish
healthcare. METHOD: Pregnant women were recruited to fill in a questionnaire,
including multiple-choice questions and Likert scales, at nine maternity clinics
located in different areas of Stockholm, Sweden. RESULTS: In total, 1,003 women
participated in the study (86% consent rate). The vast majority (90.7%)
considered examinations aiming to detect fetal abnormalities to be good.
Regarding NIPT, 59.8% stated that they had heard about the method previously, yet
74.0% would like to use the test if available. The main factor affecting the
women's decision to undergo prenatal chromosomal screening was worry about the
baby's health (82.5%), followed by the urge to have as much information as
possible about the fetus (54.5%). Most women (79.9%) preferred to receive NIPT
information orally. CONCLUSION: The overwhelming majority of a cohort of 1,003
pregnant women considered prenatal examinations good. Moreover, the majority had
a positive attitude towards NIPT and would like to use the test if available.
PMID- 27195494
TI - Receptor Interacting Protein 3-Mediated Necroptosis Promotes Lipopolysaccharide
Induced Inflammation and Acute Respiratory Distress Syndrome in Mice.
AB - Necrosis amplifies inflammation and plays important roles in acute respiratory
distress syndrome (ARDS). Necroptosis is a newly identified programmed necrosis
that is mediated by receptor interacting protein 3 (RIP3). However, the potential
involvement and impact of necroptosis in lipopolysaccharide (LPS)-induced ARDS
remains unknown. We therefore explored the role and mechanism of RIP3-mediated
necroptosis in LPS-induced ARDS. Mice were instilled with increasing doses of LPS
intratracheally to induce different degrees of ARDS. Lung tissues were harvested
for histological and TUNEL staining and western blot for RIP3, p-RIP3, X-linked
inhibitor of apoptosis protein (XIAP), mixed lineage kinase domain-like protein
(MLKL), total and cleaved caspases-3/8. Then, wild-type and RIP3 knock-out mice
were induced ARDS with 30 mg/kg LPS. Pulmonary cellular necrosis was labeled by
the propidium Iodide (PI) staining. Levels of TNF-a, Interleukin (IL)-1beta, IL
6, IL-1alpha, IL-10 and HMGB1, tissue myeloperoxidase (MPO) activity, neutrophil
counts and total protein concentration were measured. Results showed that in high
dose LPS (30mg/kg and 40mg/kg) -induced severe ARDS, RIP3 protein was increased
significantly, accompanied by increases of p-RIP3 and MLKL, while in low dose LPS
(10mg/kg and 20mg/kg) -induced mild ARDS, apoptosis was remarkably increased. In
LPS-induced severe ARDS, RIP3 knock-out alleviated the hypothermia symptom,
increased survival rate and ameliorated the lung tissue injury RIP3 depletion
also attenuated LPS-induced increase in IL-1alpha/beta, IL-6 and HMGB1 release,
decreased tissue MPO activity, and reduced neutrophil influx and total protein
concentration in BALF in severe ARDS. Further, RIP3 depletion reduced the
necrotic cells in the lung and decreased the expression of MLKL, but had no
impact on cleaved caspase-3 in LPS-induced ARDS. It is concluded that RIP3
mediated necroptosis is a major mechanism of enhanced inflammation and lung
tissue injury in high dose LPS- induced severe ARDS in mice.
PMID- 27195497
TI - Effects of Land-Use Conversion from Double Rice Cropping to Vegetables on Methane
and Nitrous Oxide Fluxes in Southern China.
AB - Compared with CO2, methane (CH4) and nitrous oxide (N2O) are potent greenhouse
gases in terms of their global warming potentials. Previous studies have
indicated that land-use conversion has a significant impact on greenhouse gas
emissions. However, little is known regarding the impact of converting rice
(Oryza sativa L.) to vegetable fields, an increasing trend in land-use change in
southern China, on CH4 and N2O fluxes. The effects of converting double rice
cropping to vegetables on CH4 and N2O fluxes were examined using a static chamber
method in southern China from July 2012 to July 2013. The results indicate that
CH4 fluxes could reach 31.6 mg C m-2 h-1 under rice before land conversion. The
cumulative CH4 emissions for fertilized and unfertilized rice were 348.9 and
321.0 kg C ha-1 yr-1, respectively. After the land conversion, the cumulative CH4
emissions were -0.4 and 1.4 kg C ha-1 yr-1 for the fertilized and unfertilized
vegetable fields, respectively. Similarly, the cumulative N2O fluxes under rice
were 1.27 and 0.56 kg N ha-1 yr-1 for the fertilized and unfertilized treatments
before the land conversion and 19.2 and 8.5 kg N ha-1 yr-1, respectively, after
the land conversion. By combining the global warming potentials (GWPs) of both
gases, the overall land-use conversion effect was minor (P = 0.36) with
fertilization, but the conversion reduced GWP by 63% when rice and vegetables
were not fertilized. Increase in CH4 emissions increased GWP under rice compared
with vegetables with non-fertilization, but increased N2O emissions compensated
for similar GWPs with fertilization under rice and vegetables.
PMID- 27195498
TI - The Corticofugal Effects of Auditory Cortex Microstimulation on Auditory Nerve
and Superior Olivary Complex Responses Are Mediated via Alpha-9 Nicotinic
Receptor Subunit.
AB - BACKGROUND AND OBJECTIVE: The auditory efferent system is a complex network of
descending pathways, which mainly originate in the primary auditory cortex and
are directed to several auditory subcortical nuclei. These descending pathways
are connected to olivocochlear neurons, which in turn make synapses with auditory
nerve neurons and outer hair cells (OHC) of the cochlea. The olivocochlear
function can be studied using contralateral acoustic stimulation, which
suppresses auditory nerve and cochlear responses. In the present work, we tested
the proposal that the corticofugal effects that modulate the strength of the
olivocochlear reflex on auditory nerve responses are produced through cholinergic
synapses between medial olivocochlear (MOC) neurons and OHCs via alpha-9/10
nicotinic receptors. METHODS: We used wild type (WT) and alpha-9 nicotinic
receptor knock-out (KO) mice, which lack cholinergic transmission between MOC
neurons and OHC, to record auditory cortex evoked potentials and to evaluate the
consequences of auditory cortex electrical microstimulation in the effects
produced by contralateral acoustic stimulation on auditory brainstem responses
(ABR). RESULTS: Auditory cortex evoked potentials at 15 kHz were similar in WT
and KO mice. We found that auditory cortex microstimulation produces an
enhancement of contralateral noise suppression of ABR waves I and III in WT mice
but not in KO mice. On the other hand, corticofugal modulations of wave V
amplitudes were significant in both genotypes. CONCLUSION: These findings show
that the corticofugal modulation of contralateral acoustic suppressions of
auditory nerve (ABR wave I) and superior olivary complex (ABR wave III) responses
are mediated through MOC synapses.
PMID- 27195510
TI - Structures of androgen receptor bound with ligands: advancing understanding of
biological functions and drug discovery.
AB - INTRODUCTION: Androgen receptor (AR) is a ligand-dependent transcription factor
and a member of the nuclear receptor superfamily. It plays a vital role in male
sexual development and regulates gene expression in various tissues, including
prostate. Androgens are compounds that exert their biological effects via
interaction with AR. Binding of androgens to AR initiates conformational changes
in AR that affect binding of co-regulator proteins and DNA. AR agonists and
antagonists are widely used in a variety of clinical applications (i.e.
hypogonadism and prostate cancer therapy). AREAS COVERED: This review provides a
close look at structures of AR-ligand complexes and mutations in the receptor
that have been revealed, discusses current challenges in the field, and sheds
light on future directions. EXPERT OPINION: AR is one of the primary targets for
the treatment of prostate cancer, as AR antagonists inhibit prostate cancer
growth. However, these drugs are not effective for long-term treatment and lead
to castration-resistant prostate cancer. The structures of AR-ligand complexes
are an invaluable scientific asset that enhances our understanding of biological
functions and mechanisms of androgenic and anti-androgenic chemicals as well as
promotes the discovery of superior drug candidates.
PMID- 27195499
TI - Prediction of Mobility Limitations after Hospitalization in Older Medical
Patients by Simple Measures of Physical Performance Obtained at Admission to the
Emergency Department.
AB - OBJECTIVE: Mobility limitations relate to dependency in older adults.
Identification of older patients with mobility limitations after hospital
discharge may help stratify treatment and could potentially counteract dependency
seen in older adults after hospitalization. We investigated the ability of four
physical performance measures administered at hospital admission to identify
older medical patients who manifest mobility limitations 30 days after discharge.
DESIGN: Prospective cohort study of patients (>=65 years) admitted to the
emergency department for acute medical illness. During the first 24 hours, we
assessed: handgrip strength, 4-meter gait speed, the ability to rise from a chair
(chair-stand), and the Cumulated Ambulation Score. The mobility level 30 days
after discharge was evaluated using the de Morton Mobility Index. RESULTS: A
total of 369 patients (77.9 years, 62% women) were included. Of those, 128 (40%)
patients had mobility limitations at follow-up. Univariate analyzes showed that
each of the physical performance measures was strongly associated with mobility
limitations at follow-up (handgrip strength(women), OR 0.86 (0.81-0.91), handgrip
strength(men), OR 0.90 (0.86-0.95), gait speed, OR 0.35 (0.26-0.46), chair-stand,
OR 0.04 (0.02-0.08) and Cumulated Ambulation Score OR 0.49 (0.38-0.64).
Adjustment for potential confounders did not change the results and the
associations were not modified by any of the covariates: age, gender, cognitive
status, the severity of the acute medical illness, and the Charlson Comorbidity
Index. Based on prespecified cut-offs the prognostic accuracy of the four
measures for mobility limitation at follow-up was calculated. The sensitivity and
specificity were: handgrip strength(women), 56.8 (45.8-67.3), 75.7 (66.8-83.2),
handgrip strength(men), 50.0 (33.8-66.2), 80.8 (69.9-89.1), gait speed, 68.4
(58.2-77.4), 81.4 (75.0-86.8), chair-stand 67.8 (58.6-76.1), 91.8 (86.8-95.3),
and Cumulated Ambulation Score, 40.2 (31.6-49.2), 92.0 (87.1-95.4), respectively.
CONCLUSION: Physical performance measures, particularly chair-stand and gait
speed assessed at admission to an emergency department, were able to identify
mobility limitation in acutely admitted older medical patients 30 days after
hospital discharge.
PMID- 27195511
TI - The effect of exercise training with an additional inspiratory load on
inspiratory muscle fatigue and time-trial performance.
AB - The purpose was to determine the effect of moderate-intensity exercise training
(ET) on inspiratory muscle fatigue (IMF) and if an additional inspiratory load
during ET (ET+IL) would further improve inspiratory muscle strength, IMF, and
time-trial performance. 15 subjects were randomly divided to ET (n=8) and ET+IL
groups (n=7). All subjects completed six weeks of exercise training three
days/week at ~70%VO2peak for 30min. The ET+IL group breathed through an
inspiratory muscle trainer (15% PImax) during exercise. 5-mile, and 30-min time
trials were performed pre-training, weeks three and six. Inspiratory muscle
strength increased (p<0.05) for both groups to a similar (p>0.05) extent. ET and
ET+IL groups improved (p<0.05) 5-mile time-trial performance (~10% and ~18%) and
the ET+IL group was significantly faster than ET at week 6. ET and ET+IL groups
experienced less (p<0.05) IMF compared to pre-training following the 5-mile time
trial. In conclusion, these data suggest ET leads to less IMF, ET+IL improves
inspiratory muscle strength and IMF, but not different than ET alone.
PMID- 27195512
TI - Correction: Perioperative Care and the Importance of Continuous Quality
Improvement-A Controlled Intervention Study in Three Tanzanian Hospitals.
AB - [This corrects the article DOI: 10.1371/journal.pone.0136156.].
PMID- 27195513
TI - Clinical features of bipolar spectrum with binge eating behaviour.
AB - OBJECTIVE: To determine whether bipolar spectrum disorder with binge eating
behavior (BE) is an important clinical sub-phenotype. METHODS: Prevalence rates
and correlates of different levels of BE were assessed in 1114 bipolar spectrum
patients participating in a genetic biobank. BE and eating disorders (EDs) were
assessed with the Eating Disorder Diagnostic Scale (EDDS). Psychiatric illness
burden was evaluated with measures of suicidality, psychosis, mood instability,
anxiety disorder comorbidity, and substance abuse comorbidity. Medical illness
burden was evaluated with body mass index (BMI) and the Cumulative Index Rating
Scale (CIRS). RESULTS: Thirty percent of patients had any BE and 27% had BE plus
an ED diagnosis. Compared with bipolar spectrum patients without BE, bipolar
spectrum patients with BE were younger and more likely to be female; had
significantly higher levels of eating psychopathology, suicidality, mood
instability, and anxiety disorder comorbidity; had a significantly higher mean
BMI and a significantly higher rate of obesity; and had a significantly higher
medical illness burden. Bipolar spectrum patients with BE but no ED diagnosis
were more similar to bipolar spectrum patients without BE than to those with an
ED. Nonetheless, the positive predictive value and specificity of BE predicting
an ED was 0.90 and 0.96, respectively. LIMITATIONS: As only two patients had co
occurring anorexia nervosa, these results may not generalize to bipolar spectrum
patients with restricting EDs. CONCLUSION: Bipolar spectrum disorder with broadly
defined BE may not be as clinically relevant a sub-phenotype as bipolar spectrum
disorder with an ED but may be an adequate proxy for the latter when phenotyping
large samples of individuals.
PMID- 27195514
TI - Neuropsychiatric symptoms in Alzheimer's disease (AD): How sensitive, how
prevalent?
PMID- 27195515
TI - P600 alteration of syntactic language processing in patients with bipolar mania:
Comparison to schizophrenic patients and healthy subjects.
AB - BACKGROUND: Disturbances in thought, speech, and linguistic processing are
frequently observed in bipolar manic patients, but the underlying
neurophysiological mechanisms are not well understood. P600 is a distinct,
positive event-related potential component elicited by syntactic violations.
Using the P600 ERP, we examined neural processing of syntactic language
comprehension in patients with bipolar mania compared to patients with
schizophrenia and healthy people. METHOD: P600s were recorded from 21 manic
patients with bipolar disorder, 26 patients with schizophrenia, and 29 healthy
subjects during the presentation of 120 auditory sentences with syntactic
violations or non-violations. Subjects were asked to judge whether each sentence
was correct or incorrect. RESULTS: Patients with mania and schizophrenia had
significantly smaller P600 amplitudes associated with syntactic violations
compared with healthy subjects. There was no difference in P600 amplitude between
patient groups. For behavioral performance, patients with schizophrenia had
significantly less accurate rates and longer reaction times compared with healthy
subjects, whereas manic patients exhibited no significant differences in accuracy
and only showed increased reaction times in comparison with healthy subjects.
LIMITATIONS: Psychotropic drug usage and small sample size. CONCLUSION: Patients
with bipolar mania have reduced P600 amplitude, comparable to patients with
schizophrenia. Our findings may represent the first neurophysiological evidence
of abnormal syntactic linguistic processing in bipolar mania.
PMID- 27195516
TI - Psychometric properties of the Overall Anxiety Severity and Impairment Scale
(OASIS) among psychiatric outpatients.
AB - BACKGROUND: The Overall Anxiety Severity and Impairment Scale (OASIS) is a brief,
transdiagnostic measure used to assess anxiety severity and related interference.
The OASIS has demonstrated strong psychometric properties in previous
investigations, however, it has yet to be validated using a transdiagnostic
clinician-rated measure. METHODS: We evaluated the factor structure, convergent
and discriminant validity, and illness severity cut-scores of the OASIS in a
sample of outpatients (N=202). RESULTS: A confirmatory factor analysis indicated
an unidimensional structure provided the best fit. The OASIS demonstrated good
convergent validity and internal consistency. Using the Clinical Global
Impression-Severity Scale (CGI-S), ROC curves showed OASIS scores of 6, 10 and 12
to indicate moderate, marked and severe illness severity, respectively.
CONCLUSIONS: The OASIS is a unidimensional self-report measure with good
convergent validity and data from the current study provide illness severity cut
scores.
PMID- 27195517
TI - Effects of Facilitated Bacterial Dispersal on the Degradation and Emission of a
Desorbing Contaminant.
AB - The quantitative relationship between a compound's availability for biological
removal and ecotoxicity is a key issue for retrospective risk assessment and
remediation approaches. Here, we investigated the impact of facilitated bacterial
dispersal at a model soil-atmosphere interface on the release, degradation, and
outgassing of a semivolatile contaminant. We designed a laboratory microcosm with
passive dosing of phenanthrene (PHE) to a model soil-atmosphere interface (agar
surface) in the presence and absence of glass fibers known to facilitate the
dispersal of PHE-degrading Pseudomonas fluorescens LP6a. We observed that glass
fibers (used as a model to mimic a fungal hyphal network) resulted in (i)
increased bacterial surface coverage, (ii) effective degradation of matrix-bound
PHE, and (iii) substantially reduced PHE emission to locations beyond the
contamination zone even at low bacterial surface coverage. Our data suggest that
bacterial dispersal networks such as mycelia promote the optimized spatial
arrangement of microbial populations to allow for effective contaminant
degradation and reduction of potential hazard to organisms beyond a contaminated
zone.
PMID- 27195518
TI - The mitogenome of a 35,000-year-old Homo sapiens from Europe supports a
Palaeolithic back-migration to Africa.
AB - After the dispersal of modern humans (Homo sapiens) Out of Africa, hominins with
a similar morphology to that of present-day humans initiated the gradual
demographic expansion into Eurasia. The mitogenome (33-fold coverage) of the
Pestera Muierii 1 individual (PM1) from Romania (35 ky cal BP) we present in this
article corresponds fully to Homo sapiens, whilst exhibiting a mosaic of
morphological features related to both modern humans and Neandertals. We have
identified the PM1 mitogenome as a basal haplogroup U6*, not previously found in
any ancient or present-day humans. The derived U6 haplotypes are predominantly
found in present-day North-Western African populations. Concomitantly, those
found in Europe have been attributed to recent gene-flow from North Africa. The
presence of the basal haplogroup U6* in South East Europe (Romania) at 35 ky BP
confirms a Eurasian origin of the U6 mitochondrial lineage. Consequently, we
propose that the PM1 lineage is an offshoot to South East Europe that can be
traced to the Early Upper Paleolithic back migration from Western Asia to North
Africa, during which the U6 lineage diversified, until the emergence of the
present-day U6 African lineages.
PMID- 27195519
TI - Vertically aligned nanostructured TiO2 photoelectrodes for high efficiency
perovskite solar cells via a block copolymer template approach.
AB - We fabricated perovskite solar cells with enhanced device efficiency based on
vertically oriented TiO2 nanostructures using a nanoporous template of block
copolymers (BCPs). The dimension and shape controllability of the nanopores of
the BCP template allowed for the construction of one-dimensional (1-D) TiO2
nanorods and two-dimensional (2-D) TiO2 nanowalls. The TiO2 nanorod-based
perovskite solar cells showed a more efficient charge separation and a lower
charge recombination, leading to better performance compared to TiO2 nanowall
based solar cells. The best solar cells employing 1-D TiO2 nanorods showed an
efficiency of 15.5% with VOC = 1.02 V, JSC = 20.0 mA cm(-2) and fill factor =
76.1%. Thus, TiO2 nanostructures fabricated from BCP nanotemplates could be
applied to the preparation of electron transport layers for improving the
efficiency of perovskite solar cells.
PMID- 27195520
TI - Complex infrapopliteal revascularization in elderly patients with critical limb
ischemia: impact of multidisciplinary integrated care on mid-term outcome.
AB - BACKGROUND: The incidence of critical limb ischemia (CLI) is exponentially rising
among our aging population. There is a paucity of scientific evidence on best
management and clinical outcome of infra-popliteal (IP) revascularizations in
elderly CLI patients. METHODS: A prospectively collected database was analyzed to
identify consecutive octogenarian and nonagenarian patients who underwent IP
revascularizations (bypass or angioplasty) for CLI (Rutherford 4-6) in a single
center between 2010-2014. The primary end points were overall amputation-free
survival (AFS) and overall survival (OS) at 1 and 2 years. Secondary endpoints
were primary, assisted-primary, secondary patency and limb-salvage (LS) rates by
Kaplan-Meier analysis. Univariate and multivariate analysis was performed to find
factors predicting outcome. RESULTS: A total of 129 limbs in 120 patients were
treated with IP bypass (N.=42) and endovascular (N.=87) revascularizations with a
mean age of 85(+/-5) years. The overall primary patency, assisted-primary patency
and secondary patency were 58%, 65% and 70%, respectively at 12 months and 34%,
48% and 59% at 24 months. Primary, assisted-primary and secondary patency
analyzed by treatment method (endovascular vs. bypass) was 54% vs. 52%, 61% vs.
70%, 69% vs. 75% at 1 year and 21% vs. 36%, 24% vs. 62%, 31% vs. 72% at 2 years.
The overall AFS at 12 and 24 months was 62% and 46% respectively; AFS was 71%-68%
in the bypass group and 53-21% in the Endovascular group (P<0.001). LS was 89% at
12 months and 84% at 24 months, with no significant difference between the bypass
and endovascular groups (P=0.24). The overall perioperative mortality rate was
2%. OS by Kaplan-Meier was 68% and 54% at 1 and 2 years respectively. Diabetes
(P=0.046) and low eGFR (P=0.041) were predictors of worse AFS and OS,
respectively. CONCLUSIONS: IP revascularizations (either endovascular or
surgical) is feasible and effective in octogenarians and nonagenarians with CLI.
By adopting a patient-tailored approach, both revascularizations strategies have
satisfactory technical and clinical outcomes in this high-risk group. Subgroup
analysis suggests that bypass surgery may have better mid-term secondary patency
and AFS rates.
PMID- 27195521
TI - Selective effects of a fiber chimeric conditionally replicative adenovirus armed
with hep27 gene on renal cancer cell.
AB - ASBTARCT Adenoviruses mediated cancer gene therapies are widely investigated and
show a promising effect on cancer treatment. However, efficient gene transfer
varies among different cancer cell lines based on the expression of coxsakie
adenovirus receptor (CAR). Hep27, a member of dehydrogenase/reductase (SDR)
family, can bind to Mdm2, resulting in the attenuation of Mdm2-mediated p53
degradation. Here we constructed a fiber chimeric adenovirus carrying hep27 gene
(F5/35-ZD55-Hep27), in which the fiber protein of 5-serotype adenovirus (Ad5) was
substituted by that of 35-serotype adenovirus (Ad35), aiming to facilitate the
infection for renal cancer cells and develop the role of hep27 in cancer therapy.
We evaluated the CAR and CD46 (a membrane cofactor protein for Ad35) expression
in four kinds of renal cancer cells and assessed the relationship between
receptors and infection efficiency. 5/35 fiber-modified adenovirus had a much
promising infectivity compared with Ad5-based vector in renal cancer cells. F5/35
ZD55-Hep27 had enhanced antitumor activity against human renal cancer cells
compared to the other groups. Further, hep27 mediated p53 and cleaved-PARP
upregulation and mdm2 downregulation was involved and caused increased apoptosis.
Moreover, F5/35-ZD55-Hep27 significantly suppressed tumor growth in subcutaneous
renal cancer cell xenograft models. Our data demonstrated that 5/35 fiber
modified adenovirus F5/35-ZD55-Hep27 transferred into renal cancers efficiently
and increased p53 to induce cancer cell apoptosis. Thus 5/35 fiber-modified
adenoviral vector F5/35-ZD55-Hep27 might a promising vector and antitumor reagent
for renal cancer gene therapy.
PMID- 27195524
TI - Reduction of Surgical Complications in Dogs and Cats by the Use of a Surgical
Safety Checklist.
AB - OBJECTIVE: To examine whether the use of a surgical safety checklist (SSC) could
reduce the incidence of complications after small animal surgery. STUDY DESIGN:
Prospective clinical study. ANIMALS: Client-owned dogs and cats (n = 520).
METHODS: Consecutive cases were enrolled in the study, the first 300 cases
without implementation of the surgical checklist (SSC-), followed by 220 cases
with implementation of the checklist (SSC+). The checklist was adapted from the
WHO surgical checklist and consisted of three different check points: (1) before
induction of anaesthesia (sign in), (2) before surgical incision (time out), and
(3) before recovery (sign out). In-hospital outcomes were prospectively recorded,
and complications within 6 weeks were retrospectively recorded by reviewing
medical records and by telephone interviews with owners. The severity of each
recorded complication was graded as minor, moderate, or severe. Comparisons were
made between SSC- and SSC+ outcomes. RESULTS: There were significantly more
complications in SSC- animals than SSC+ animals (SSC- 52/300 vs. SSC+ 15/220, P =
.0003). There was a significantly higher frequency of SSI (P = .045) and wound
healing complications (P = .0006) for SSC- animals than SSC+ animals. CONCLUSION:
The frequency and severity of postoperative complications was significantly
decreased after introduction of a surgical checklist. All veterinary hospitals
should consider using a surgical checklist. Compliance with implementation of the
checklist is important for success.
PMID- 27195525
TI - Immobilization of a rhodium catalyst using a diphosphine-functionalized ionic
liquid in RTIL for the efficient and recyclable biphasic hydroformylation of 1
octene.
AB - A highly efficient and stable Rh-P catalytic system in the RTIL of [PEmim]BF4 was
developed for the biphasic hydroformylation of 1-octene by using the diphosphine
functionalized ionic liquid (FIL) of 2. While 2-Rh(acac)(CO)2 was immobilized in
[PEmim]BF4 (solvent), a typical biphasic catalysis was fulfilled with advantages
of facile separation and recycling ability - 9 runs without any loss of activity.
It was found that not only the acquired pi-acceptor character of 2, but also the
synergetic role of the piperidyl group in [PEmim]BF4 as an N-containing donor,
cooperatively contributed to the efficient hydroformylation due to the
facilitated formation and stability of the Rh-H active species (nu 2045 cm(-1)).
This was supported by the in situ high-pressure FT-IR spectral analysis.
PMID- 27195523
TI - Activation in the Right Inferior Parietal Lobule Reflects the Representation of
Musical Structure beyond Simple Pitch Discrimination.
AB - Pitch discrimination tasks typically engage the superior temporal gyrus and the
right inferior frontal gyrus. It is currently unclear whether these regions are
equally involved in the processing of incongruous notes in melodies, which
requires the representation of musical structure (tonality) in addition to pitch
discrimination. To this aim, 14 participants completed two tasks while undergoing
functional magnetic resonance imaging, one in which they had to identify a pitch
change in a series of non-melodic repeating tones and a second in which they had
to identify an incongruous note in a tonal melody. In both tasks, the deviants
activated the right superior temporal gyrus. A contrast between deviants in the
melodic task and deviants in the non-melodic task (melodic > non-melodic)
revealed additional activity in the right inferior parietal lobule. Activation in
the inferior parietal lobule likely represents processes related to the
maintenance of tonal pitch structure in working memory during pitch
discrimination.
PMID- 27195522
TI - Transcriptional profiling of the mouse hippocampus supports an NMDAR-mediated
neurotoxic mode of action for benzo[a]pyrene.
AB - Benzo[a]pyrene (BaP) is a genotoxic carcinogen and a neurotoxicant. The
neurotoxicity of BaP is proposed to arise from either genotoxicity leading to
neuronal cell death, or perturbed expression of N-methyl-d-aspartate receptor
(NMDAR) subunits. To explore these hypotheses, we profiled hippocampal gene
expression of adult male Muta(TM) Mouse administered 0, 1, 35, or 70 mg BaP/kg bw
per day by oral gavage for 3 days. Transcriptional profiles were examined by RNA
sequencing (RNA-seq), DNA microarrays, and real-time quantitative reverse
transcription polymerase chain reaction (RT-PCR). BaP-DNA adducts in the
cerebellum were quantified by (32) P-post-labeling to measure genotoxicity. RNA
seq revealed altered expression of 0, 260, and 219 genes (P-value < 0.05, fold
change >= +/- 1.5) following exposure to the low, medium, and high doses,
respectively; 54 genes were confirmed by microarrays. Microarray and RT-PCR
analysis showed increased expression of NMDAR subunits Grina and Grin2a. In
contrast, no effects on DNA-damage response genes were observed despite
comparable BaP-DNA adduct levels in the cerebellum and in the lungs and livers of
mice at similar BaP doses in previous studies. The results suggest that DNA
damage response does not play a major role in BaP-induced adult neurotoxicity.
Meta-analysis revealed that BaP-induced transcriptional profiles are highly
correlated with those from the hippocampus of transgenic mice exhibiting similar
neurotoxicity outcomes to BaP-exposed mice and rats (i.e., defects in learning
and memory). Overall, we suggest that BaP-induced neurotoxicity is more likely to
be a consequence of NMDAR perturbation than genotoxicity, and identify other
important genes potentially mediating this adverse outcome. Environ. Mol.
Mutagen. 57:350-363, 2016. (c) 2016 Her Majesty the Queen in Right of Canada.
Environmental and Molecular Mutagenesis (c) 2016 Environmental Mutagen Society.
PMID- 27195527
TI - Pregnancy affects nevirapine pharmacokinetics: evidence from a CYP2B6 genotype
guided observational study.
AB - OBJECTIVES: Previous studies on nevirapine pharmacokinetics during pregnancy
reported contradictory findings. METHODS: The magnitude of pregnancy-induced
changes in nevirapine pharmacokinetics was investigated in a genotype-guided
study preceded by a pharmacogenetic association study of six genes involved in
its disposition. RESULTS: CYP2B6 516 G>T and 983 T>C were associated
independently with plasma nevirapine concentrations in pregnant (n=110) and
postpartum (n=122) women and were used for stratification. NR1I3 540C>T and P450
oxidoreductase 1508C>T were associated with lower and higher plasma
concentrations in pregnant and postpartum women, respectively. In the intensive
pharmacokinetic phase, apparent clearance (CL/F) was higher in pregnant (n=31)
than postpartum (n=28) women (P=0.022) and AUC0-12, Cmax and Cmin were
significantly lower. When stratified on the basis of composite CYP2B6 516 G>T and
983 T>C genotypes, CL/F was similar between pregnant (n=6) and postpartum (n=9)
women with no variant alleles, but Cmin was below target (3400 ng/ml) in most
patients in both groups. In women with one variant allele, clearance was 40.6%
higher (P=0.0009) and Cmin was below target in 58% (11/19) of pregnant and 0%
(0/10) of postpartum women. Similarly, clearance was 51.7% higher (P=0.008) in
pregnant compared with postpartum women with two variant alleles. Cmin was below
target in 50% (3/6) of pregnant and 0% (0/10) of postpartum women. CONCLUSION:
Nevirapine exposure is significantly reduced during pregnancy. The
pharmacodynamic consequences in patients at risk of suboptimal exposure and
potential dose optimization strategies warrant further investigation.
PMID- 27195529
TI - Utilizing in Situ Electrochemical SHINERS for Oxygen Reduction Reaction Studies
in Aprotic Electrolytes.
AB - Spectroscopic detection of reaction intermediates upon a variety of electrode
surfaces is of major interest within physical chemistry. A notable technique in
the study of the electrochemical interface has been surface-enhanced Raman
spectroscopy (SERS). The drawback of SERS is that it is limited to roughened gold
and silver substrates. Herein we report that shell-isolated nanoparticles for
enhanced Raman spectroscopy (SHINERS) can overcome the limitations of SERS and
has followed the oxygen reduction reaction (ORR), within a nonaqueous
electrolyte, on glassy carbon, gold, palladium, and platinum disk electrodes. The
work presented demonstrates SHINERS for spectroelectrochemical studies for
applied and fundamental electrochemistry in aprotic electrolytes, especially for
the understanding and development of future metal-oxygen battery applications. In
particular, we highlight that with the addition of Li(+), both the electrode
surface and solvent influence the ORR mechanism, which opens up the possibility
of tailoring surfaces to produce desired reaction pathways.
PMID- 27195526
TI - Challenges of Identifying Clinically Actionable Genetic Variants for Precision
Medicine.
AB - Advances in genomic medicine have the potential to change the way we treat human
disease, but translating these advances into reality for improving healthcare
outcomes depends essentially on our ability to discover disease- and/or drug
associated clinically actionable genetic mutations. Integration and manipulation
of diverse genomic data and comprehensive electronic health records (EHRs) on a
big data infrastructure can provide an efficient and effective way to identify
clinically actionable genetic variants for personalized treatments and reduce
healthcare costs. We review bioinformatics processing of next-generation
sequencing (NGS) data, bioinformatics infrastructures for implementing precision
medicine, and bioinformatics approaches for identifying clinically actionable
genetic variants using high-throughput NGS data and EHRs.
PMID- 27195528
TI - HLA-B*57: 01 genotyping in the prevention of hypersensitivity to abacavir: 5
years of experience.
AB - INTRODUCTION: Most of the cost-effectiveness analyses are based on estimations to
make decisions on the future implementation of a test. However, the model should
be verified with real data to prove that previous estimations have been
successfully fulfilled. OBJECTIVE: To study the economic impact of the systematic
HLA-B*57:01 genotyping in preventing hypersensitivity reactions (HSRs) in the
patient population of a tertiary-care hospital treated with abacavir (ABC) using
retrospective data of 5 years of experience. METHODS: A retrospective study was
carried out with two cohorts including 780 and 473 patients before and after the
implementation of the systematic HLA-B*57:01 genotyping before ABC treatment.
Cost-effectiveness analysis was carried out by the parameter 'cost per HSR
avoided'. The clinical utility of the test was verified by evaluating the
differences in HSR incidence between both cohorts. Finally, a sensitivity
analysis including all variables was carried out. RESULTS: In the population
studied, systematic genotyping represents an additional cost of &OV0556;306 per
HSR avoided. In the sensitivity analysis, pharmacological therapy cost is the
major influencing factor found in the estimation of the 'cost per HSR avoided'.
In terms of clinical utility, the incidence ratio was 0.040 (95% confidence
interval 0.0009-0.2399) and statistically significant differences were found
between both groups (P=1.40*10). CONCLUSION: Retrospective data from 5 years of
experience have confirmed the cost-effectiveness of the systematic genotyping in
candidate patients for ABC therapy, and have shown that cost-effectiveness is a
dynamic parameter closely linked to allele prevalence and pharmacological therapy
costs.
PMID- 27195530
TI - Effectiveness of a social robot, "Paro," in a VA long-term care setting.
AB - Interest in animal assisted interventions (AAI) has grown over the years, but
acceptance of AAI by the clinical and research community has been hampered by
safety, hygiene, and logistical concerns. Advances in the field of social
robotics have provided a promising route to deliver AAI while avoiding these
aforementioned obstacles. Although there has been promising initial research on
social robotics in older adults, to date there has been no such research
conducted with a veteran population. The present pilot study followed 23 veteran
residents of a Veterans Affairs (VA) geropsychiatric long-term care facility over
the span of approximately a year and a half. It was found that use of Paro, a
social robot, resulted in increased observed positive affective and behavioral
indicators, with concomitant decreases observed in negative affective and
behavioral indicators. The authors concluded that Paro is likely an effective
nonpharmacological approach for managing dementia-related mood and behavior
problems with veterans in VA long term care facilities. They additionally
observed that Paro is best presented to residents who are relatively calm and
approachable, as opposed to actively exhibiting behavior or mood problems. Future
research directions are discussed in light of both the positive results noted and
the inherent limitations of our pilot study. (PsycINFO Database Record
PMID- 27195531
TI - Stabilized Heptapeptide A7R for Enhanced Multifunctional Liposome-Based Tumor
Targeted Drug Delivery.
AB - (L)A7R (ATWLPPR) is a heptapeptide with high binding affinity in vitro to
vascular endothelial growth factor receptor 2 (VEGFR2) and neuropilin-1 (NRP-1)
overexpressed on glioma, glioma vasculogenic mimicry and neovasculature. However,
its tumor targeting efficacy is significantly reduced in vivo due to proteolysis
in blood circulation. To improve the in vivo stability and targeting efficacy,
the retro inverso isomer of (L)A7R ((D)A7R) was developed for glioma-targeted
drug delivery. (D)A7R was expected to have a similar binding affinity to its
receptors in vitro (VEGFR2 and NRP-1), which was experimentally confirmed. In
vivo, (D)A7R-modified liposomes achieved improved glioma-targeted efficiency than
did (L)A7R-modified liposomes. After loading a chemotherapeutic agent
(doxorubicin), (D)A7R-modified liposomes significantly inhibited subcutaneous
model tumor in comparison to free doxorubicin, plain liposomes and (L)A7R
modified liposomes. In summary, the present study presented the potential of a
proteolytically stable d-peptide ligand for in vivo tumor-targeted drug delivery.
PMID- 27195532
TI - Imidacloprid Exposure Suppresses Neural Crest Cells Generation during Early Chick
Embryo Development.
AB - Imidacloprid is a neonicotinoid pesticide that is widely used in the control
pests found on crops and fleas on pets. However, it is still unclear whether
imidacloprid exposure could affect early embryo development-despite some studies
having been conducted on the gametes. In this study, we demonstrated that
imidacloprid exposure could lead to abnormal craniofacial osteogenesis in the
developing chick embryo. Cranial neural crest cells (NCCs) are the progenitor
cells of the chick cranial skull. We found that the imidacloprid exposure retards
the development of gastrulating chick embryos. HNK-1, PAX7, and Ap-2alpha
immunohistological stainings indicated that cranial NCCs generation was inhibited
after imidacloprid exposure. Double immunofluorescent staining (Ap-2alpha and
PHIS3 or PAX7 and c-Caspase3) revealed that imidacloprid exposure inhibited both
NCC proliferation and apoptosis. In addition, it inhibited NCCs production by
repressing Msx1 and BMP4 expression in the developing neural tube and by altering
expression of EMT-related adhesion molecules (Cad6B, E-Cadherin, and N-cadherin)
in the developing neural crests. We also determined that imidacloprid exposure
suppressed cranial NCCs migration and their ability to differentiate. In sum, we
have provided experimental evidence that imidacloprid exposure during
embryogenesis disrupts NCCs development, which in turn causes defective cranial
bone development.
PMID- 27195533
TI - Prevalence, treatment and control of hypertension in La Reunion: the REDIA
population-based cohort study.
AB - A better knowledge of prevalence, management and determinants of hypertension is
needed in regions in epidemiological transition to adapt the strategies of public
health screening and prevention, and to reduce the burden of cardiovascular
diseases. We conducted a prospective cohort study including 4610 participants
aged between 18 and 69 years and representative of the general population of La
Reunion, a French overseas island located in the western Indian Ocean. The median
time between inclusion and follow-up was 7.4 years. Blood pressure data at
baseline and follow-up of 3087 participants were analyzed. We found a high
prevalence of hypertension, especially in women (36.7% [34.5-39.0]) and in men
(40.3% [37.6-43.0]) and in the under 30s (17.1% [14.0-20.5]), with an increase of
10% at follow-up. Treatment rates were very low in men (19.5% versus 39.1% in
women) as was awareness of their condition (25.7% versus 44.6%). Blood pressure
control rates were similar (18% at baseline and 34% at follow-up for both sexes).
Diagnosis of hypertension at follow-up among subjects normotensive at baseline
was independently associated with obesity at baseline (relative risk (RR) = 1.40
[1.12-1.75] for BMI between 27 and 30 kg/m2 and 1.72 [1.33-2.25] for BMI >=30
kg/m2 as compared with BMI <27 kg/m2) and HbA1C (RR =1.12 [1.05-1.19] per %),
suggesting a prominent role of insulin resistance in our population. Our study
provides original data that cannot be assimilated to any existing model and
should guide the implementation of original community-based programs in such
countries.
PMID- 27195580
TI - Metallosupramolecular Coordination Complexes: The Design of Heterometallic 3d-4f
Gridlike Structures.
AB - A series of mixed metal 3d-4f gridlike structures, [Dy4(H2L')4Zn4(SCN)4] (1) and
[Dy4(H2L')4Mx(SCN)8] (Mx = Co3 (2); Mx = Cu5 (3)), showing Chinese hieroglyph
like [2 * 2], [3 * 2], and [3 * 3] matrixes, were assembled and magnetically
characterized. Remarkably, the empty cage constructed by the oblique organic
ligands and SCN(-) ions in complex 1 were filled with the large inorganic ions
[Co3(SCN)4](2+) and [Cu5(SCN)4](6+) in complexes 2 and 3, respectively, forming
the first [3 * 2] and [3 * 3] 3d-4f gridlike structures. Additionally, magnetic
studies show that complex 1 exhibits field-induced SMM behavior and double
magnetic relaxation, while complexes 2 and 3 display typical SMM behavior at zero
applied field.
PMID- 27195581
TI - Controllable Cooperative Self-Assembly of PS-b-PAA/PS-b-P4VP Mixture by Tuning
the Intercorona Interaction.
AB - The cooperative self-assembly of amphiphilic polystyrene-block-poly(acrylic acid)
(PS144-b-PAA22) and polystyrene-block-poly(4-vinylpyridine) (PS144-b-P4VP33)
diblock copolymers in DMF/H2O mixtures has been investigated. Both copolymers
self-assemble into small spherical micelles (SSMs) if used individually. However,
the equimolar mixture of these two copolymers cooperatively self-assembles into
vesicles. It is found that the formation of vesicles is attributed to the complex
interactions between PAA and P4VP chains, including the hydrogen bonds between un
ionized acrylic acid units and pyridine units as well as the electronic
attractions between ionized acrylic acid units and protonated pyridine units.
Since these interactions between PAA and P4VP chains depend on pH value, the
cooperatively self-assembled morphology can be easily tuned by the addition of
HCl or NaOH. At high addition of H(+) or OH(-), the intercorona interaction is
repulsive and the copolymer mixture tends to form SSMs (basic condition) or
cylindrical micelles (acidic condition), whereas it prefers to aggregate into
vesicles at low addition of H(+) or OH(-) because the intercorona interaction is
attractive. Interestingly, the same morphology of the self-assembled aggregates
can be obtained either at high H(+) addition or at low OH(-) addition, which
results from the nonmonotonic variation of the intercorona interaction along with
the addition of HCl or NaOH. The current study implies that it is the intercorona
interaction rather than the chemical condition that dominates the cooperatively
self-assembled morphology.
PMID- 27195582
TI - Synergistic Effects in Bimetallic Palladium-Copper Catalysts Improve Selectivity
in Oxygenate Coupling Reactions.
AB - Condensation reactions such as Guerbet and aldol are important since they allow
for C-C bond formation and give higher molecular weight oxygenates. An initial
study identified Pd-supported on hydrotalcite as an active catalyst for the
transformation, although this catalyst showed extensive undesirable
decarbonylation. A catalyst containing Pd and Cu in a 3:1 ratio dramatically
decreased decarbonylation, while preserving the high catalytic rates seen with Pd
based catalysts. A combination of XRD, EXAFS, TEM, and CO chemisorption and TPD
revealed the formation of CuPd bimetallic nanoparticles with a Cu-enriched
surface. Finally, density functional theory studies suggest that the surface
segregation of Cu atoms in the bimetallic alloy catalyst produces Cu sites with
increased reactivity, while the Pd sites responsible for unselective
decarbonylation pathways are selectively poisoned by CO.
PMID- 27195592
TI - The Relationship Between Occupation Transition Status and Metabolic Syndrome in
Adult Women: Tehran Lipid and Glucose Study.
AB - PURPOSE: Although occupation status may play a role in the risk of metabolic
syndrome (MetS), data on this topic are limited. The aim was to examine the
association between occupation transition status and the 12-year incidence of
MetS in adult women of a population-based cohort study. METHODS: A total of 2406
women, aged >=20 years, were selected from the Tehran Lipid and Glucose Study.
Subjects were free of MetS at baseline and followed for a mean of 12 years. Based
on occupation transition from baseline to the end of follow-up, women were
classified into four groups: employed-employed (group I), employed-unemployed
(group II), unemployed-employed (group III), and unemployed-unemployed (group
IV). The odds ratio (OR) for the occurrence of MetS and its components according
to occupation transition status was assessed by multivariate logistic regression.
RESULTS: The incidence of MetS in group I and group IV was 15.6% and 29.3%,
respectively. In comparison with women in group I, those in group IV had higher
risk of MetS (OR = 2.45, 95% CI, 1.6-3.8); however, after adjustment for age,
education level, marital status, smoking, and body mass index, the association
between occupation status and MetS was not significant (OR = 1.38, 95% CI, 0.83
2.27). In the fully adjusted model, women who were employed at baseline and
unemployed at the end of follow-up had greater risk of incident MetS (OR = 2.26,
95% CI, 1.26-4.06) and low high-density lipoprotein cholesterol (OR = 2.34, 95%
CI, 1.40-3.85) compared with those who were constantly employed. CONCLUSION:
Occupation transition from employed to unemployed status was found to increase
risk of incident MetS.
PMID- 27195593
TI - Primary Gonadal Insufficiency in Male and Female Childhood Cancer Survivors in a
Long-Term Follow-Up Clinic.
AB - PURPOSE: Childhood cancer survivors (CCS) are at increased risk of primary
gonadal insufficiency (PGI). This study evaluated the prevalence and clinical
characteristics of PGI in CCS. METHODS: In this single-center, retrospective,
observational, longitudinal study, we characterized CCS with PGI attending the
oncology Long-Term Follow-Up (LTFU) Clinic at an Australian university hospital
(January 2012-August 2014). From a cohort of 276 CCS, 54 (32 males) met criteria
for PGI: elevated gonadotropins plus low estradiol/amenorrhoea (females) or low
testosterone/small testicles for age (males). RESULTS: Median age at primary
diagnosis was 4.8 years (inter-quartile range [IQR] 3.0-9.7 years) and at LTFU,
it was 22.3 years (IQR 18.2-25.7 years). Fifty-three participants (98.1%) were
treated with known highly gonadotoxic therapies: alkylating chemotherapy (96.3%),
radiotherapy (70.3%), total body irradiation (29.6%), bone marrow transplantation
(51.9%), or multimodal protocols (68.5%). At primary diagnosis, 86.7%
participants were Tanner stage I and at LTFU, 89.1% participants were Tanner
stage V. More females (95.5%; n = 21) than males (40.6%; n = 13) were treated
with hormone development therapy (HDT) (p < 0.01). Of these, more than half (n =
18; 7 males) required pubertal induction. There was no significant difference in
serum luteinizing hormone/follicle stimulating hormone (LH/FSH),
testosterone/estradiol between those untreated and those treated with HDT. Among
those on HDT, 60.7% had persistently elevated FSH+/-LH and 33.3% had low
testosterone or estradiol. Six males had semen analysis (five azoospermic, one
oligospermic). Psychological assessment was documented in 61.1% of participants,
and two-thirds reported fertility concerns. CONCLUSION: PGI is an evolving
phenotype that is common in CCS. Suboptimal treatment and non-adherence occur
frequently. Ongoing assessment is essential to ensure prompt diagnosis, adequate
intervention and to promote HDT adherence.
PMID- 27195594
TI - Strategies to overcome acquired resistances conferred by mutations in the kinase
domain of EGFR.
AB - Deregulation of EGFR is involved in the development of many cancers. The
inhibition of EGFR kinase activity has been clinically validated as a promising
approach for the treatment of non-small-cell lung cancer (NSCLC). However, all
NSCLC patients who initially benefited from first-generation EGFR inhibitors
eventually develop drug resistance. A point mutation at the gatekeeper position,
T790M in EGFR kinase domain accounts for more than 50% of acquired resistance.
Therefore, second- and third-generation EGFR inhibitors have been developed to
overcome the resistance conferred by the gatekeeper mutation. This review has
highlighted recent advances in overcoming acquired resistance for the development
of each generation of EGFR inhibitors along with their potential issues, and
urgent quest for the development of new generation of EGFR inhibitors.
PMID- 27195595
TI - Do Carolina chickadees (Poecile carolinensis) and tufted titmice (Baeolophus
bicolor) attend to the head or body orientation of a perched avian predator?
AB - Individuals of many prey species adjust their foraging behavior in response to
the presence of a predator. Responding to predators takes time away from
searching for and exploiting food resources. To balance between the need to avoid
predation and the need to forage, individuals should attend to cues from
predators that indicate risk. Two such cues might be the predator's head
orientation (where it might be looking) and body orientation (where it might be
moving). In the current study, flocks of Carolina chickadees, Poecile
carolinensis, and tufted titmice, Baeolophus bicolor, were presented with perched
hawk and owl models. Predator model head and body orientation were independently
manipulated relative to a feeding station birds were using. Chickadees and
titmice avoided the feeders more when the heads of the models were facing toward
the feeders compared to facing away from the feeders. Calling behavior of birds
was also affected by head orientation of the models. No effect of predator body
orientation on chickadee and titmouse behavior was detected. The results indicate
that when chickadees and titmice detect a perched avian predator, they assess
risk primarily based upon its head orientation. (PsycINFO Database Record
PMID- 27195598
TI - Out-of-plane spin polarization of edge currents in Chern insulator with Rashba
spin-orbit interaction.
AB - We investigate the change in the non-zero Chern number and out-of-plane spin
polarization of the edge currents in a honeycomb lattice with the Haldane-Rashba
interaction. This interaction breaks the time-reversal symmetry due to the
Haldane phase caused by a current loop at the site-I and site-II atoms, and also
accounts for the Rashba-type spin-orbit interaction. The Rashba spin-orbit
interaction increases the number of Dirac points and the band-touching phenomenon
can be generated by tuning the on-site potential in the non-zero Haldane phase.
By using the Pontryagin winding number and numerical Berry curvature methods, we
find that the Chern number pattern is {+2, -1, 0} and {-2, +1, 0} for the
positive and negative Haldane phase, respectively. A non-zero Chern number is
called a Chern-insulating phase. We discovered that changes in both the Haldane
phase and on-site potential leads to a change in the orientation of the bulk spin
polarization of site-I and site-II atoms. Interestingly, in a ribbon with a
zigzag edge, which naturally has site-I atoms at one outer edge and site-II atoms
at the opposite outer edge, the spin polarization of the edge states
approximately obeys the properties of bulk spin polarization regardless of the
change in the Chern number. In addition, even when the Chern number changes from
+2 to -1 (or -2 to +1), by tuning the strength of the on-site potential, the
sign of the spin polarization of the edge states persists. This approximate bulk
edge correspondence of the spin polarization in the Haldane-Rashba system would
play an important role in spintronics, because it enables us to control the
orientation of the spin polarization in a single Chern-insulating phase.
PMID- 27195597
TI - The burden of COPD mortality due to ambient air pollution in Guangzhou, China.
AB - Few studies have investigated the chronic obstructive pulmonary disease (COPD)
mortality fraction attributable to air pollution and modification by individual
characteristics of air pollution effects. We applied distributed lag non-linear
models to assess the associations between air pollution and COPD mortality in
2007-2011 in Guangzhou, China, and the total COPD mortality fraction attributable
to air pollution was calculated as well. We found that an increase of 10 MUg/m(3)
in particulate matter with an aerodynamic diameter of 10 MUm or less (PM10),
sulfur dioxide (SO2) and nitrogen dioxide (NO2) was associated with a 1.58% (95%
confidence interval (CI): 0.12-3.06%), 3.45% (95% CI: 1.30-5.66%) and 2.35% (95%
CI: 0.42-4.32%) increase of COPD mortality over a lag of 0-15 days, respectively.
Greater air pollution effects were observed in the elderly, males and residents
with low educational attainment. The results showed 10.91% (95% CI: 1.02-9.58%),
12.71% (95% CI: 5.03-19.85%) and 13.38% (95% CI: 2.67-22.84%) COPD mortality was
attributable to current PM10, SO2 and NO2 exposure, respectively. In conclusion,
the associations between air pollution and COPD mortality differed by individual
characteristics. There were remarkable COPD mortality burdens attributable to air
pollution in Guangzhou.
PMID- 27195599
TI - An Adolescent Male With a "Knot" in His Neck.
PMID- 27195600
TI - Comparison of Febrile Infants With Enterococcal and Gram-negative Urinary Tract
Infections.
AB - BACKGROUND: Few data exist on the presentations and clinical courses of infants
with enterococcal urinary tract infections (UTI). The objective of this study was
to compare the clinical presentation, sensitivity of urinalysis (UA) and Gram's
stain, radiological abnormalities and adverse events of febrile infants with
enterococcal UTIs to those with Gram-negative UTIs. METHODS: Retrospective study
of febrile (>=38.0 degrees C) infants 29-60 days of age with UTIs at 20 emergency
departments. UTI was defined as growth of (1) >=50,000 colony forming units
(CFUs)/mL of a single pathogen; or (2) either 10,000 to <50,000 CFUs/mL or 10,000
100,000 CFUs/mL (depending on laboratory reporting) with a positive UA or Gram's
stain. RESULTS: Thirty-seven (2.0%) of 1870 infants with febrile UTIs had
enterococcal UTIs. On bivariable analysis, infants with enterococcal UTIs more
frequently had histories of prematurity, previous hospitalizations, histories of
genitourinary abnormalities, previous UTIs and ill-appearance in the emergency
department compared with infants with Gram-negative UTIs (all P <0.05). On
multivariable analysis, >= grade 3 vesicoureteral reflux (adjusted odds ratio
3.2, 95% confidence interval: 1.4, 7.6) and hydronephrosis (adjusted odds ratio
3.3, 95% confidence interval: 1.4, 7.9) were associated with enterococcal UTIs.
Both groups had similar low risks of adverse events or severe clinical courses.
The urine white blood cell count alone or in combination with leukocyte esterase
was more sensitive for Gram-negative than enterococcal UTIs (range 80.4%-93.9%
vs. 50.0%-75.9%). CONCLUSIONS: Febrile infants with enterococcal UTIs had a low
likelihood of adverse events or severe clinical course, similar to those with
Gram-negative UTIs. Infants with enterococcal UTIs frequently had underlying
hydronephrosis and/or vesicoureteral reflux. The preliminary diagnosis of
enterococcal UTIs may be inaccurate if based on UA.
PMID- 27195601
TI - Vaccination Timeliness in Children Under India's Universal Immunization Program.
AB - BACKGROUND: India has the highest number of deaths among children younger than 5
years of age globally; the majority are from vaccine preventable diseases.
Untimely vaccination unnecessarily prolongs susceptibility to disease and
contributes to the burden of childhood morbidity and mortality, yet there is
scarce literature on vaccination delays. The aim of this study is to characterize
the timeliness of childhood vaccinations administered under India's routine
immunization program using a novel application of an existing statistical
methodology. METHODS: This study utilized the district level household and
facility survey data, 2008 from India using vaccination data from children with
and without immunization cards. Turnbull estimator of the cumulative distribution
function was used to estimate the probability of vaccination at each age.
Timeliness of Bacille Calmette-Guerin (BCG), all 3 doses of diphtheria, pertussis
and tetanus vaccine (DPT) and measles-containing vaccine (MCV) were considered
for this analysis. RESULTS: Vaccination data on 268,553 children who were 0-60
months of age were analyzed; timely administration of BCG, DPT3 and MCV occurred
in 31%, 19% and 34% of children, respectively. The estimated vaccination
probability plateaued for DPT and BCG around the age of 24 months, whereas MCV
uptake increased another 5% after 24 months of age. The 5-year coverage of BCG,
DPT3 and MCV in Indian children was 87%, 63% and 76%, respectively. CONCLUSIONS:
Lack of timely administration of key childhood vaccines, especially DPT3 and MCV,
remains a major challenge in India and likely contributes to the significant
burden of vaccine preventable disease-related morbidity and mortality in
children.
PMID- 27195602
TI - Providing Safe and Effective Preventative Antiretroviral Prophylaxis to HIV
exposed Newborns via a Novel Drug Delivery System in Tanzania.
AB - BACKGROUND: In developing countries, antiretroviral therapy provides life-saving
treatment to HIV-positive women and their children before, during and after
birth. However, supply chain challenges such as long distances, medication
shortages and nonfacility deliveries often compromise consistent access to
prophylactic treatment for at-risk infants. A proposed intervention to address
these challenges, often referred to as the "Pratt Pouch," allows for liquid
formulation medications, such as nevirapine (NVP), to be repackaged into single
dose pouches. These pouches are distributed antenatally. METHODS: HIV-positive
women at Kilimanjaro Christian Medical Centre in Moshi, Tanzania received 14
pouches each containing a single dose of NVP for prevention of mother-to-child
transmission. Women were trained on how to open the pouch and dispense the
medication to their infants after delivery. All participating women were asked to
return to Kilimanjaro Christian Medical Centre 7-14 days after delivery, where
infant blood spots were collected to assess NVP levels. RESULTS: All enrolled
women (21/21) administered NVP to their infants within 24 hours of birth. All
enrolled infants (22/22) had NVP blood concentrations over 100 ng/mL and
exhibited no health concerns attributable to over or under dosing. CONCLUSIONS:
The Pratt Pouch intervention provides a clinically appropriate solution for
addressing liquid-formulation antiretroviral access challenges in developing
countries.
PMID- 27195603
TI - Clinical Predictors of Sensorineural Hearing Loss and Cognitive Outcome in
Infants with Symptomatic Congenital Cytomegalovirus Infection.
AB - The objective of this study was to determine newborn clinical findings predictive
of adverse clinical outcomes in infants with symptomatic congenital
cytomegalovirus infection. Of 160 infants, significantly more children with
central nervous system involvement had sensorineural hearing loss (P = 0.0007)
and an IQ <=70 (P < 0.0001) compared with infants with transient findings or only
a petechial rash.
PMID- 27195604
TI - Diarrhea, Urosepsis and Hemolytic Uremic Syndrome Caused by the Same
Heteropathogenic Escherichia coli Strain.
AB - We describe an 8-month-old girl with diarrhea, urosepsis and hemolytic uremic
syndrome caused by Escherichia coli. Typing of cultured E. coli strains from
urine and blood revealed the presence of virulence factors from multiple
pathotypes of E. coli. This case exemplifies the genome plasticity of E. coli and
the resulting heteropathogenic strains.
PMID- 27195605
TI - Tandem Bond-Forming Reactions of 1-Alkynyl Ethers.
AB - Electron-rich alkynes, such as ynamines, ynamides, and ynol ethers, are
functional groups that possess significant potential in organic chemistry for the
formation of carbon-carbon bonds. While the synthetic utility of ynamides has
recently been expanded considerably, 1-alkynyl ethers, which possess many of the
reactivity features of ynamides, have traditionally been far less investigated
because of concerns about their stability. Like ynamides, ynol ethers are
relatively unhindered to approach by functional groups present in the same or
different molecules because of their linear geometry, and they can potentially
form up to four new bonds in a single transformation. Ynol ethers also possess
unique reactivity features that make them complementary to ynamides. Research
over the past decade has shown that ynol ethers formed in situ from stable
precursors engage in a variety of useful carbon-carbon bond-forming processes.
Upon formation at -78 degrees C, allyl alkynyl ethers undergo a rapid [3,3]
sigmatropic rearrangement to form allyl ketene intermediates, which may be
trapped with alcohol or amine nucleophiles to form gamma,delta-unsaturated
carboxylic acid derivatives. The process is stereospecific, takes place in
minutes at cryogenic temperatures, and affords products containing (quaternary)
stereogenic carbon atoms. Trapping of the intermediate allyl ketene with carbonyl
compounds, epoxides, or oxetanes instead leads to complex alpha-functionalized
beta-, gamma-, or delta-lactones, respectively. [3,3]-Sigmatropic rearrangement
of benzyl alkynyl ethers also takes place at temperatures ranging from -78 to 60
degrees C to afford substituted 2-indanones via intramolecular carbocyclization
of the ketene intermediate. tert-Butyl alkynyl ethers containing pendant di- and
trisubstituted alkenes and enol ethers are stable to chromatographic isolation
and undergo a retro-ene/[2 + 2] cycloaddition reaction upon mild thermolysis (90
degrees C) to afford cis-fused cyclobutanones and donor-acceptor cyclobutanones
in good to excellent yields and diastereoselectivities. This process, which takes
place under neutral conditions and proceeds through an aldoketene intermediate,
obviates the need to employ moisture-sensitive and/or unstable acid chlorides
under basic conditions for intramolecular [2 + 2] cycloaddition reactions.
Furthermore, Lewis acid-catalyzed intramolecular condensations of both ethyl and
tert-butyl ynol ethers with tethered acetals efficiently provide protected five-,
six-, and seven-membered cyclic Baylis-Hilman adducts. Metalated ethoxyacetylene
can also participate in multiple bond-forming reactions that avoid isolation of
the alkynyl ether intermediate. Lewis acid-promoted tandem additions employing
epoxides/oxetanes and carbonyl compounds give rise to (Z)-alpha-alkylidene and
alpha-benzylidene lactones stereoselectively in high overall yields. Three new
carbon-carbon bonds and a ring are formed in this atom-economical single-flask
transformation, resulting in a significant increase in molecular complexity. This
Account provides a detailed overview of these useful transformations with the
intention of stimulating further interest in and research on ynol ethers and
their application in organic synthesis.
PMID- 27195606
TI - Using the Integration of Discrete Event and Agent-Based Simulation to Enhance
Outpatient Service Quality in an Orthopedic Department.
AB - Many hospitals are currently paying more attention to patient satisfaction since
it is an important service quality index. Many Asian countries' healthcare
systems have a mixed-type registration, accepting both walk-in patients and
scheduled patients. This complex registration system causes a long patient
waiting time in outpatient clinics. Different approaches have been proposed to
reduce the waiting time. This study uses the integration of discrete event
simulation (DES) and agent-based simulation (ABS) to improve patient waiting time
and is the first attempt to apply this approach to solve this key problem faced
by orthopedic departments. From the data collected, patient behaviors are modeled
and incorporated into a massive agent-based simulation. The proposed approach is
an aid for analyzing and modifying orthopedic department processes, allows us to
consider far more details, and provides more reliable results. After applying the
proposed approach, the total waiting time of the orthopedic department fell from
1246.39 minutes to 847.21 minutes. Thus, using the correct simulation model
significantly reduces patient waiting time in an orthopedic department.
PMID- 27195607
TI - Human coronavirus and severe acute respiratory infection in Southern Brazil.
AB - Human coronaviruses (HCoVs) are an important cause of respiratory tract infection
and are responsible for causing the common cold in the general population. Thus,
adequate surveillance of HCoV is essential. This study aimed to analyze the
impact of HCoV infections and their relation to severe acute respiratory
infection (SARI) in a hospitalized population in Southern Brazil. A cross
sectional study was conducted at a tertiary care hospital, and assessed
inpatients under investigation for SARI by the hospital epidemiology department,
and all patients who had nasopharyngeal aspirates collected from January 2012 to
December 2013 to detect respiratory viruses (RVs). Viral infection was detected
by multiplex reverse transcriptase polymerase chain reaction (RT-PCR), with
primers specific to the subtypes HCoV-229E/NL63 and OC43/HKU1. The overall
positivity rate was 58.8% (444/755), and HCoVs were detected in 7.6% (n = 34) of
positive samples. Children below two years of age were most frequently affected
(62%). Comorbidities were more likely to be associated with HCoVs than with other
RVs. Immunosuppression was an independent risk factor for HCoV infection (OR =
3.5, 95% CI 1.6-7.6). Dyspnea was less frequently associated with HCoV infection
(p < 0.001), and HCoV accounted for 6% of the SARI cases. Three patients infected
with HCoV (9%) died from respiratory infection. HCoVs are important respiratory
pathogens, especially in hospitalized children under 2 years of age and in
immunosuppressed patients. They may account for a small proportion of SARI
diagnoses, increased need for mechanical ventilation, intensive care unit
admission, and death.
PMID- 27195608
TI - Radiation Sensitivity of Human CD34(+) Cells Versus Peripheral Blood T
Lymphocytes of Newborns and Adults: DNA Repair and Mutagenic Effects.
AB - As hematopoietic stem and progenitor cells (HSPCs) self-renew throughout life,
accumulation of genomic alterations can potentially give rise to radiation
carcinogenesis. In this study we examined DNA double-strand break (DSB) induction
and repair as well as mutagenic effects of ionizing radiation in CD34(+) cells
and T lymphocytes from the umbilical cord of newborns. The age dependence of DNA
damage repair end points was investigated by comparing newborn T lymphocytes with
adult peripheral blood T lymphocytes. As umbilical cord blood (UCB) contains T
lymphocytes that are practically all phenotypically immature, we examined the
radiation response of separated naive (CD45RA(+)) and memory (CD45RO(+)) T
lymphocytes. The number of DNA DSBs was assessed by microscopic scoring of gamma
H2AX/53BP1 foci 0.5 h after low-dose radiation exposure, while DNA repair was
studied by scoring the number of residual gamma-H2AX/53BP1 foci 24 h after
exposure. Mutagenic effects were studied by the cytokinesis block micronucleus
(CBMN) assay. No significant differences in the number of DNA DSBs induced by low
dose (100-200 mGy) radiation were observed among the three different cell types.
However, residual gamma-H2AX/53BP1 foci levels 24 h postirradiation were
significantly lower in CD34(+) cells compared to newborn T lymphocytes, while
newborn T lymphocytes showed significantly higher foci yields than adult T
lymphocytes. No significant differences in the level of radiation-induced
micronuclei at 2 Gy were observed between CD34(+) cells and newborn T
lymphocytes. However, newborn T lymphocytes showed a significantly higher number
of micronuclei compared to adult T lymphocytes. These results confirm that
CD34(+) cell quiescence promotes mutagenesis after exposure. Furthermore, we can
conclude that newborn peripheral T lymphocytes are significantly more
radiosensitive than adult peripheral T lymphocytes. Using the results from the
comparative study of radiation-induced DNA damage repair end points in naive
(CD45RA(+)) and memory (CD45RO(+)) T lymphocytes, we could demonstrate that the
observed differences between newborn and adult T lymphocytes can be explained by
the immunophenotypic change of T lymphocytes with age, which is presumably linked
with the remodeling of the closed chromatin structure of naive T lymphocytes.
PMID- 27195609
TI - Use of the NASA Space Radiation Laboratory at Brookhaven National Laboratory to
Conduct Charged Particle Radiobiology Studies Relevant to Ion Therapy.
AB - Although clinical studies with carbon ions have been conducted successfully in
Japan and Europe, the limited radiobiological information about charged particles
that are heavier than protons remains a significant impediment to exploiting the
full potential of particle therapy. There is growing interest in the U.S. to
build a cancer treatment facility that utilizes charged particles heavier than
protons. Therefore, it is essential that additional radiobiological knowledge be
obtained using state-of-the-art technologies and biological models and end points
relevant to clinical outcome. Currently, most such ion radiotherapy-related
research is being conducted outside the U.S. This article addresses the
substantial contributions to that research that are possible at the NASA Space
Radiation Laboratory (NSRL) at Brookhaven National Laboratory (BNL), which is the
only facility in the U.S. at this time where heavy-ion radiobiology research with
the ion species and energies of interest for therapy can be done. Here, we
briefly discuss the relevant facilities at NSRL and how selected charged particle
biology research gaps could be addressed using those facilities.
PMID- 27195610
TI - Decorporation of Pu/Am Actinides by Chelation Therapy: New Arguments in Favor of
an Intracellular Component of DTPA Action.
AB - Diethylenetriaminepentaacetic acid (DTPA) is currently still the only known
chelating drug that can be used for decorporation of internalized plutonium (Pu)
and americium (Am). It is generally assumed that chelation occurs only in
biological fluids, thus preventing Pu/Am deposition in target tissues. We
postulate that actinide chelation may also occur inside cells by a mechanism
called "intracellular chelation". To test this hypothesis, rats were given DTPA
either prior to (termed "prophylactic" treatment) or belatedly after (termed
"delayed" treatment) Pu/Am injection. DTPA decorporation efficacy was
systematically tested for both plutonium and americium. Both prophylactic and
delayed DTPA elicited marked decreases in liver Pu/Am. These results can be
explained by chelation within subcellular compartments where DTPA efficacy
increased as a function of a favorable intracellular DTPA-to-actinide molar
ratio. The efficacy of intracellular chelation of liver actinides decreased with
the delay of treatment. This is probably explained by progressive actinide
binding to the high-affinity ligand ferritin followed by migration to lysosomes.
Intracellular chelation was reduced as the gap between prophylactic treatment and
contamination increased. This may be explained by the reduction of the
intracellular DTPA pool, which declined exponentially with time. Skeletal Pu/Am
was also reduced by prophylactic and delayed DTPA treatments. This decorporation
of bone actinides may mainly result from extracellular chelation on bone
surfaces. This work provides converging evidence for the involvement of an
intracellular component of DTPA action in the decorporation process. These
results may help to improve the interpretation of biological data from DTPA
treated contamination cases and could be useful to model DTPA therapy regimens.
PMID- 27195612
TI - A Biospecimen Proficiency Testing Program for Biobank Accreditation: Four Years
of Experience.
AB - Biobanks produce and distribute biospecimens, ensuring their fitness for purpose
and accurately qualifying them before distribution. In their efforts toward
professionalization, biobanks can nowadays seek certification or accreditation.
One of the requirements of these standards is regular participation in
Proficiency Testing (PT) programs. An international PT program has been developed
and provided to biobanks and other laboratories that perform specific tests to
qualify different types of biospecimens. This PT program includes biospecimen
testing schemes, as well as biospecimen processing interlaboratory exercises.
This PT program supports the development of biobank quality assurance by
providing the possibility to assess biobank laboratory performance and useful
insights into biobank laboratory method performance characteristics and thus
fulfill the demands from accreditation authorities.
PMID- 27195611
TI - Prognostic evaluation of VEGFA genotypes and haplotypes in a cohort of Brazilian
women with non metastatic breast cancer.
AB - Vascular Endothelial Growth Factor (VEGF) mediates angiogenesis, which is crucial
for tumor development and progression. The present study aimed to evaluate the
impact of VEGFA gene polymorphisms rs699947, rs833061, rs1570360, rs2010963 and
rs3025039 on breast cancer features and prognosis. A cohort of Brazilian women (N
= 1038) with unilateral non-metastatic breast cancer was evaluated. The
association between VEGFA polymorphisms and histopathological features or
pathological complete response (pCR) to neoadjuvant chemotherapy was evaluated by
the Chi-square test, with calculation of the respective odds ratio (OR) and 95%
confidence intervals (95% CI). The impact of individual categories on disease
free survival was evaluated using Kaplan-Meier curves and multivariate Cox
proportional hazards regression models for calculation of adjusted hazard ratios
(HRadjusted). Variant genotypes of rs699947 (CA + AA) were significantly
associated with high-grade (G2 + G3) tumors (OR = 1.82; 95% CI = 1.15 - 2.89),
and with shorter disease-free survival among patients treated with neoadjuvant
chemotherapy followed by mastectomy (HRadjusted = 1.82; 95% CI = 1.16 - 2.86).
Variant genotypes of rs833061 (TC + CC) were significantly associated with high
grade (G2 + G3) tumors (OR = 1.79; 95% CI = 1.12 - 2.84) and with positive lymph
node status (OR = 1.34; 95% CI = 1.01 - 1.77), but showed no independent effect
on disease-free survival. Variant haplotypes (*2 to *5) appear to favor pCR (OR =
7.1; 95% CI = 1.7 - 30.1). VEGFA genotyping may add to prognostic evaluation of
breast cancer, with rs699947 being the most likely to contribute.
PMID- 27195613
TI - Local anesthetic bupivacaine induced ovarian and prostate cancer apoptotic cell
death and underlying mechanisms in vitro.
AB - Retrospective studies indicate that the use of regional anesthesia can reduce
cancer recurrence after surgery which could be due to ranging from immune
function preservation to direct molecular mechanisms. This study was to
investigate the effects of bupivacaine on ovarian and prostate cancer cell
biology and the underlying molecular mechanisms. Cell viability, proliferation
and migration of ovarian carcinoma (SKOV-3) and prostate carcinoma (PC-3) were
examined following treatment with bupivacaine. Cleaved caspase 3, 8 and 9, and
GSK-3beta, pGSK-3beta(tyr216) and pGSK-3beta(ser9) expression were assessed by
immunofluorescence. FAS ligand neutralization, caspase and GSK-3 inhibitors and
GSK-3beta siRNA were applied to further explore underlying mechanisms. Clinically
relevant concentrations of bupivacaine reduced cell viability and inhibited
cellular proliferation and migration in both cell lines. Caspase 8 and 9
inhibition generated partial cell death reversal in SKOV-3, whilst only caspase 9
was effective in PC-3. Bupivacaine increased the phosphorylation of GSK
3beta(Tyr216) in SKOV-3 but without measurable effect in PC3. GSK-3beta
inhibition and siRNA gene knockdown decreased bupivacaine induced cell death in
SKOV-3 but not in PC3. Our data suggests that bupivacaine has direct 'anti
cancer' properties through the activation of intrinsic and extrinsic apoptotic
pathways in ovarian cancer but only the intrinsic pathway in prostate cancer.
PMID- 27195615
TI - Thank You, Thank You, Thank You.
PMID- 27195614
TI - Is the recent emergence of mephedrone injecting in the United Kingdom associated
with elevated risk behaviours and blood borne virus infection?
AB - The recent, and rapid, emergence of injection of the short-acting stimulant
mephedrone (4-methylmethcathione) has resulted in concerns about increased
infection risks among people who inject drugs (PWID). Data from the bio
behavioural surveillance of PWID in the United Kingdom were analysed to examine
the impact of mephedrone injection on infections among PWID. During the year
preceding the survey, 8.0% of PWID (163/2,047) had injected mephedrone. In
multivariable analyses, those injecting mephedrone were younger, less likely to
have injected opiates, and more likely to have injected cocaine or amphetamines,
used needle/syringe programmes or sexual health clinics, been recruited in Wales
and Northern Ireland or shared needles/syringes. There were no differences in
sexual risks. Those injecting mephedrone more often had hepatitis C antibodies
(adjusted odds ratio (AOR) = 1.51; 95% confidence interval (CI): 1.08-2.12),
human immunodeficiency virus (AOR = 5.43; 95% CI: 1.90-15.5) and overdosed (AOR =
1.70; 95% CI: 1.12-2.57). There were no differences in the frequency of injecting
site infections or prevalence of hepatitis B. The elevated levels of risk and
infections are a concern considering its recent emergence. Mephedrone injection
may currently be focused among higher-risk or more vulnerable groups. Targeted
responses are needed to prevent an increase in harm.
PMID- 27195616
TI - Perioperative Ultrasound: The Future Is Now.
PMID- 27195618
TI - Advancing Perioperative Medicine and Anesthesia Practices into the Era of Digital
Quality Improvement.
PMID- 27195617
TI - Anesthetic Suppression of Thalamic High-Frequency Oscillations: Evidence that the
Thalamus Is More Than Just a Gateway to Consciousness?
PMID- 27195619
TI - Show Me the Data! A Perioperative Data Warehouse of Epic Proportions.
PMID- 27195620
TI - Big Data: A Cheerleader for Translational Perioperative Medicine.
PMID- 27195621
TI - Why a Paper on Anesthesia and Polio in 2016?
PMID- 27195622
TI - Airway Assessment Before Intervention: What We Know and What We Do.
PMID- 27195623
TI - Postoperative Anemia: A Sign of Treatment Failure.
PMID- 27195624
TI - How Can We Best Balance Pain Control and Rehabilitation After Knee Replacement?
PMID- 27195625
TI - The Anesthesia Workforce and Levels of Maternal Care.
PMID- 27195626
TI - Physician Supervision of Nurse Anesthetists: To Opt In or To Opt Out?
PMID- 27195628
TI - Pilots Use Checklists, Why Don't Anesthesiologists? The Future Lies in
Resilience.
PMID- 27195627
TI - In-Training Clinical Performance Scores Explaining American Board of
Anesthesiology Certification: A Step Further.
PMID- 27195629
TI - Plagiarism Is Ubiquitous.
PMID- 27195630
TI - Perioperative Ultrasound Training in Anesthesiology: A Call to Action.
PMID- 27195631
TI - Postoperative Morbidity and Discharge Destinations After Fast-Track Hip and Knee
Arthroplasty in Patients Older Than 85 Years.
AB - BACKGROUND: Elderly patients are at risk of increased length of hospital stay
(LOS), postoperative complications, readmission, and discharge to destinations
other than home after elective total hip arthroplasty (THA) and total knee
arthroplasty (TKA). Recent studies have found that enhanced recovery protocols or
fast-track surgery can be safe for elderly patients undergoing these procedures
and may result in reduced LOS. However, detailed studies on preoperative
comorbidity and differentiation between medical and surgical postoperative
morbidity in elderly patients are scarce. The aim of this study was to provide
detailed information on postoperative morbidity resulting in LOS >4 days or
readmissions <90 days after fast-track THA and TKA in patients >=85 years.
METHODS: This is a descriptive, observational study in consecutive unselected
patients >=85 years undergoing fast-track THA/TKA. The primary outcome was the
causes of postoperative morbidity leading to an LOS of >4 days. Secondary
outcomes were 90-day surgically related readmissions, discharge destination, 90
day mortality, and role of disposing factors for LOS >4 days and 90-day
readmissions. Data on preoperative characteristics were prospectively gathered
using patient-reported questionnaires. Data on all admissions were collected
using the Danish National Health Registry, ensuring complete follow-up. Any cases
of LOS >4 days or readmissions were investigated through review of discharge
forms or medical records. Backward stepwise logistic regression was used for
analysis of association between disposing factors and LOS >4 days and 90-day
readmission. RESULTS: Of 13,775 procedures, 549 were performed in 522 patients
>=85 years. Median age was 87 years (interquartile range, 85-88) and median LOS
of 3 days (interquartile range, 2-5). In 27.3% procedures, LOS was >4 days, with
82.7% due to medical causes, most often related to anemia requiring blood
transfusion and mobilization issues. Use of walking aids was associated with LOS
>4 days (odds ratio [OR], 1.99; 95% confidence interval [CI], 1.26-3.15; P =
0.003), whereas preoperative anemia showed borderline significance (OR, 1.52; 95%
CI, 0.99-2.32; P = 0.057). Thirty-eight patients (6.9%) were not discharged
directly home, of which 68.4% had LOS >4 days. Readmission rates were 14.2% and
17.9% within 30 and 90 days, respectively, and 75.5% of readmissions within 90
days were medical, mainly due to falls and suspected but disproved venous
thromboembolic events. Preoperative anemia was associated with increased (OR,
1.81; 95% CI, 1.13-2.91; P = 0.014) and living alone with decreased (OR, 0.50;
95% CI, 0.31-0.80; P = 0.004) risk of 90-day readmissions. Ninety-day mortality
was 2.0%, with 1.0% occurring during primary admission. CONCLUSIONS: Fast-track
THA and TKA with an LOS of median 3 days and discharge to home are feasible in
most patients >=85 years. However, further attention to pre- and postoperative
anemia and the pathogenesis of medical complications is needed to improve
postoperative outcomes and reduce readmissions.
PMID- 27195632
TI - Blood Pressure Monitoring for the Anesthesiologist: A Practical Review.
AB - Periodic, quantitative measurement of blood pressure (BP) in humans, predating
the era of evidence-based medicine by over a century, is a component of the
American Society of Anesthesiologists standards for basic anesthetic monitoring
and is a staple of anesthetic management worldwide. Adherence to traditional BP
parameters complicates the ability of investigators to determine whether
particular BP ranges confer any clinical benefits. The BP waveform is a complex
amalgamation of both antegrade and retrograde (reflected) pressure waves and is
affected by vascular compliance, distance from the left ventricle, and the 3D
structure of the vascular tree. Although oscillometry is the standard method of
measuring BP semicontinuously in anesthetized patients and is the primary form of
measurement in >80% of general anesthetics, major shortcomings of oscillometry
are its poor performance at the extremes and its lack of information concerning
BP waveform. Although arterial catheterization remains the gold standard for
accurate BP measurement, 2 classes of devices have been developed to
noninvasively measure the BP waveform continuously, including tonometric and
volume clamp devices. Described in terms of a feedback loop, control of BP
requires measurement, an algorithm (usually human), and an intervention. This
narrative review article discusses the details of BP measurement and the
advantages and disadvantages of both noninvasive and invasive monitoring, as well
as the principles and algorithms associated with each technique.
PMID- 27195633
TI - A Systematic Approach to Creation of a Perioperative Data Warehouse.
AB - Extraction of data from the electronic medical record is becoming increasingly
important for quality improvement initiatives such as the American Society of
Anesthesiologists Perioperative Surgical Home. To meet this need, the authors
have built a robust and scalable data mart based on their implementation of EPIC
containing data from across the perioperative period. The data mart is structured
in such a way so as to first simplify the overall EPIC reporting structure into a
series of Base Tables and then create several Reporting Schemas each around a
specific concept (operating room cases, obstetrics, hospital admission, etc.),
which contain all of the data required for reporting on various metrics. This
structure allows centralized definitions with simplified reporting by a large
number of individuals who access only the Reporting Schemas. In creating the
database, the authors were able to significantly reduce the number of required
table identifiers from >10 to 3, as well as to correct errors in linkages
affecting up to 18.4% of cases. In addition, the data mart greatly simplified the
code required to extract data, making the data accessible to individuals who
lacked a strong coding background. Overall, this infrastructure represents a
scalable way to successfully report on perioperative EPIC data while
standardizing the definitions and improving access for end users.
PMID- 27195634
TI - Perioperative Supplemental Oxygen Does Not Worsen Long-Term Mortality of
Colorectal Surgery Patients.
AB - BACKGROUND: A follow-up analysis from a large trial of oxygen and surgical-site
infections reported increased long-term mortality among patients receiving
supplemental oxygen, especially those having cancer surgery. Although concerning,
there is no obvious mechanism linking oxygen to long-term mortality. We thus
tested the hypothesis that supplemental oxygen does not increase long-term
mortality in patients undergoing colorectal surgery. Secondarily, we evaluated
whether the effect of supplemental oxygen on mortality depended on cancer status.
METHODS: Mortality data were obtained for 927 patients who participated in 2
randomized trials evaluating the effect of supplemental oxygen on wound
infection. We assessed the effect of 80% vs 30% oxygen on long-term mortality
across 4 clinical sites in the 2 trials using a Cox proportional hazards
regression model stratified by study and site. Kaplan-Meier survival estimates
were calculated for each trial. Finally, we report site-stratified hazard ratios
for patients with and without cancer at baseline. RESULTS: There was no effect of
80% vs 30% oxygen on mortality, with an overall site-stratified hazard ratio of
0.93 (95% confidence interval [CI], 0.72-1.20; P = 0.57). The treatment effect
was consistent across the 2 original studies (interaction P = 0.88) and across
the 4 sites (P = 0.84). There was no difference between patients with (n = 451)
and without (n = 450) cancer (interaction P = 0.51), with hazard ratio of 0.85
(95% CI, 0.64-1.1) for cancer patients and 0.97 (0.53-1.8) for noncancer
patients. CONCLUSIONS: In contrast to the only previous publication, we found
that supplemental oxygen had no influence on long-term mortality in the overall
surgical population or in patients having cancer surgery.
PMID- 27195636
TI - No Pain Labor & Delivery: A Global Health Initiative's Impact on Clinical
Outcomes in China.
AB - The availability of labor analgesia is highly variable in the People's Republic
of China. There are widespread misconceptions, by both parturients and health
care providers, that labor epidural analgesia is harmful to mother and baby.
Meanwhile, China has one of the highest cesarean delivery rates in the world,
exceeding 50%. The goal of the nongovernmental No Pain Labor & Delivery (NPLD) is
to facilitate sustainable increases in vaginal delivery rates by increasing
access to safe neuraxial labor analgesia, thereby decreasing the cesarean
delivery rate. NPLD was launched in 2008 with the stated goal of improving labor
outcome in China by increasing the absolute labor epidural analgesia rate by 10%.
NPLD established 10 training centers over a 10-year period. We hypothesized that
increased availability of labor analgesia would result in reduced requests for
cesarean delivery and better labor outcomes for mother and baby.
Multidisciplinary teams of Western clinicians and support staff traveled to China
for 8 to 10 days once a year. The approach involved establishing 24/7 obstetric
anesthesia coverage in Chinese hospitals through education and modeling
multidisciplinary approaches, including problem-based learning discussions,
bedside teaching, daily debriefings, simulation training drills, and weekend
conferences. As of November 2015, NPLD has engaged with 31 hospitals. At 24 of
these sites, 24/7 obstetric anesthesia coverage has been established and labor
epidural analgesia rates have exceeded 50%. Lower rates of cesarean delivery,
episiotomy, postpartum blood transfusion, and better neonatal outcomes were
documented in 3 impact studies comprising approximately 55,000 deliveries.
Changes in practice guidelines, medical policy, and billing codes have been
implemented in conjunction with the modernization of perinatal practice that has
occurred concurrently in China since the first NPLD trip in 2008.
PMID- 27195635
TI - Acute Kidney Injury After Abdominal Surgery: Incidence, Risk Factors, and
Outcome.
AB - BACKGROUND: Acute kidney injury (AKI) is a serious complication after major
surgical procedures. We examined the incidence, risk factors, and mortality of
patients who sustained AKI after abdominal surgery in a large population-based
cohort. METHODS: All patients who underwent open and laparoscopic abdominal
surgery (excluding genitourinary and abdominal vascular procedures), between 2007
and 2014 at the University Hospital in Reykjavik were identified and their
perioperative serum creatinine (SCr) measurements used to identify AKI after
surgery employing the Kidney Disease: Improving Global Outcome (KDIGO) criteria.
Risk factors were evaluated using multivariate logistic regression analysis and
30-day mortality compared with a propensity score-matched control group. RESULTS:
During the 8-year period, a total of 11,552 abdominal surgeries were performed on
10,022 patients. Both pre- and postoperative SCr measurements were available for
3902 (33.8%) of the surgical cases. Of these, 264 (6.8%) were complicated by AKI;
172 (4.4%), 49 (1.3%), and 43 (1.1%) were classified as KDIGO stages 1, 2 and 3,
respectively. The overall incidence of AKI for patients with available SCr values
was 67.7 (99% confidence interval [CI], 57.7-78.6) per 1000 surgeries. In
logistic regression analysis, independent risk factors for AKI were female sex
(odds ratio [OR] = 0.68; 99% CI, 0.47-0.98), hypertension (OR = 1.75; 99% CI,
1.10-2.74), preoperative chronic kidney disease (OR= 1.68; 99% CI, 1.12-2.50),
ASA physical status classification of IV (OR = 9.48; 99% CI, 3.66-29.2) or V (OR
= 21.4; 99% CI, 5.28-93.6), and reoperation (OR = 4.30; 99% CI, 2.36-7.70).
Patients with AKI had greater 30-day mortality (18.2% vs 5.3%; P < 0.001)
compared with propensity score-matched controls. CONCLUSIONS: AKI is an important
complication of abdominal surgery. In addition to sex, hypertension, and chronic
kidney disease, ASA physical status classification is an independent predictor of
AKI. Individuals who develop AKI have substantially worse short-term outcomes,
including higher 30-day mortality, even after correcting for multiple patient-
and procedure-related risk factors.
PMID- 27195637
TI - Hospital-Level Factors Associated with Anesthesia-Related Adverse Events in
Cesarean Deliveries, New York State, 2009-2011.
AB - BACKGROUND: Marked variation across hospitals in adverse maternal outcomes in
cesarean deliveries is reported, including anesthesia-related adverse events
(ARAEs). Identification of hospital-level characteristics accounting for this
variation may help guide interventions to improve anesthesia care quality. In
this study, we examined the association between hospital-level characteristics
and ARAEs in cesarean deliveries and assessed individual hospital performance.
METHODS: Discharge records for cesarean deliveries, ARAEs, and patient
characteristics in the State Inpatient Database for New York State 2009 to 2011
were identified with International Classification of Diseases, Ninth Revision,
Clinical Modification codes. The hospital reporting index was calculated as the
sum of International Classification of Diseases, Ninth Revision, Clinical
Modification codes divided by the number of discharges. Data on hospital
characteristics were obtained from the American Hospital Association and the Area
Health Resources files. Multilevel modeling was used to examine the association
of hospital-level characteristics with ARAEs and to assess individual hospital
performance. RESULTS: The study included 236,960 discharges indicating cesarean
deliveries in 141 hospitals; 1557 discharges recorded at least 1 ARAE (6.6 per
1000; 95% confidence interval [CI], 6.2-6.9). The following factors were
associated with a significantly increased risk of ARAEs: Charlson comorbidity
index >= 1 (adjusted odds ratio [aOR], 1.2), multiple gestation (aOR, 1.3),
postpartum hemorrhage (aOR, 1.5), general anesthesia (aOR, 1.3), hospital annual
cesarean delivery volume <200 (aOR, 2.3), and reporting index (aOR, 1.1 per 1
increase per discharge). Fifteen percent of the between-hospital variation in
ARAEs was explained by the hospital annual cesarean delivery volume and 6% by the
reporting index. Eight hospitals (6%) were classified as good-performing, 104
(74%) as average-performing, and 29 (21%) as bad-performing hospitals. Compared
with good-performing hospitals, a 2.3-fold (95% CI, 1.7-3.0) and 5.9-fold (95%
CI, 4.5-7.8) increase in the rate of ARAEs was observed in average- and bad
performing hospitals, respectively. Bringing up bad-performing hospitals to the
level of average-performing hospitals would prevent 466 ARAEs (30%). CONCLUSIONS:
Low cesarean delivery volume is the strongest hospital-level predictor of ARAEs
in cesarean deliveries and the main determinant of between-hospital variation.
Future study to identify other factors and interventions to improve performance
in bad-performing hospitals is warranted.
PMID- 27195638
TI - The Use of Neuraxial Catheters for Postoperative Analgesia in Neonates: A
Multicenter Safety Analysis from the Pediatric Regional Anesthesia Network.
AB - BACKGROUND: Currently, there is limited evidence to support the safety of
neuraxial catheters in neonates. Safety concerns have been cited as a major
barrier to performing large randomized trials in this population. The main
objective of this study is to examine the safety of neuraxial catheters in
neonates across multiple institutions. Specifically, we sought to determine the
incidence of overall and individual complications encountered when neuraxial
catheters were used for postoperative analgesia in neonates. METHODS: This was an
observational study that used the Pediatric Regional Anesthesia Network database.
Complications and adverse events were defined by the presence of at least 1 of
the following intraoperative and/or postoperative factors: catheter malfunction
(dislodgment/occlusion), infection, block abandoned (unable to place), block
failure (no evidence of block), vascular (blood aspiration/hematoma), local
anesthetic systemic toxicity, excessive motor block, paresthesia, persistent
neurologic deficit, and other (e.g., intra-abdominal misplacement, tremors).
Additional analyses were performed to identify the use of potentially toxic doses
of local anesthetics. RESULTS: The study cohort included 307 neonates with a
neuraxial catheter. There were 41 adverse events and complications recorded,
resulting in an overall incidence of complications of 13.3% (95% confidence
interval, 9.8%-17.4%). Among the complications, catheter malfunction, catheter
contamination, and vascular puncture were common. None of the complications
resulted in long-term complications and/or sequelae, resulting in an estimated
incidence of any serious complications of 0.3% (95% confidence interval, 0.08%
1.8%). There were 120 of 307 patients who received intraoperative and/or
postoperative infusions consistent with a potentially toxic local anesthetic dose
in neonates. The incidence of potentially toxic local anesthetic infusion rates
increased over time (P = 0.008). CONCLUSIONS: Neuraxial catheter techniques for
intraoperative and postoperative analgesia appear to be safe in neonates. Further
studies to confirm our results and to establish the efficacy of these techniques
across different surgical procedures are required. We suggest that each center
that uses neuraxial anesthesia techniques in neonates closely evaluate the dose
limits for local anesthetic agents and develop rigorous quality assurance methods
to ensure potentially toxic doses are not used.
PMID- 27195640
TI - The Effect of "Opt-Out" Regulation on Access to Surgical Care for Urgent Cases in
the United States: Evidence from the National Inpatient Sample.
AB - BACKGROUND: In 2001, the Center for Medicare and Medicaid Services issued a rule
permitting states to "opt-out" of federal regulations requiring physician
supervision of nurse anesthetists. We examined the extent to which this rule
increased access to anesthesia care for urgent cases. METHODS: Using data from a
national sample of inpatient discharges, we examined whether opt-out was
associated with an increase in the percentage of patients receiving a therapeutic
procedure among patients admitted for appendicitis, bowel obstruction,
choledocholithiasis, or hip fracture. We chose these 4 diagnoses because they
represent instances where urgent access to a procedure requiring anesthesia is
often indicated. In addition, we examined whether opt-out was associated with a
reduction in the number of appendicitis patients who presented with a ruptured
appendix. In addition to controlling for patient morbidities and demographics,
our analysis incorporated a difference-in-differences approach, with additional
controls for state-year trends, to reduce confounding. RESULTS: Across all 4
diagnoses, opt-out was not associated with a statistically significant change in
the percentage of patients who received a procedure (0.0315 percentage point
increase, 95% confidence interval [CI] -0.843 to 0.906 percentage point
increase). When broken down by diagnosis, opt-out was also not associated with
statistically significant changes in the percentage of patients who received a
procedure for bowel obstruction (0.511 percentage point decrease, 95% CI -2.28 to
1.26), choledocholithiasis (2.78 percentage point decrease, 95% CI -6.12 to
0.565), and hip fracture (0.291 percentage point increase, 95% CI -1.76 to 2.94).
Opt-out was associated with a small but statistically significant increase in the
percentage of appendicitis patients receiving an appendectomy (0.876 percentage
point increase, 95% CI 0.194 to 1.56); however, there was no significant change
in the percentage of patients presenting with a ruptured appendix (-0.914
percentage point decrease, 95% CI -2.41 to 0.582). Subanalyses showed that the
effects of opt-out did not differ in rural versus urban areas. CONCLUSIONS: Based
on 2 measures of access, opt-out does not appear to have significantly increased
access to anesthesia for urgent inpatient conditions.
PMID- 27195641
TI - Clinical Performance Scores Are Independently Associated with the American Board
of Anesthesiology Certification Examination Scores.
AB - BACKGROUND: It is unknown whether clinical performance during residency is
related to the American Board of Anesthesiology (ABA) oral examination scores. We
hypothesized that resident clinical performance would be independently associated
with oral examination performance because the oral examination is designed to
test for clinical judgment. METHOD: We determined clinical performance scores
(Zrel) during the final year of residency for all 124 Massachusetts General
Hospital (MGH) anesthesia residents who graduated from 2009 to 2013. One hundred
eleven graduates subsequently took the ABA written and oral examinations. We
standardized each graduate's written examination score (ZPart 1) and oral
examination score (ZPart 2) to the national average. Multiple linear regression
analysis was used to determine the partial effects of MGH clinical performance
scores and ABA written examination scores on ABA oral examination scores.
RESULTS: MGH clinical performance scores (Zrel) correlated with both ABA written
examination scores (ZPart 1) (r = 0.27; P = 0.0047) and with ABA oral examination
scores (ZPart 2) (r = 0.33; P = 0.0005). ABA written examination scores (ZPart 1)
correlated with oral examination scores (ZPart 2) (r = 0.46; P = 0.0001).
Clinical performance scores (Zrel) and ABA written examination scores (ZPart 1)
independently accounted for 4.5% (95% confidence interval [CI], 0.5%-12.4%; P =
0.012) and 20.8% (95% CI, 8.0%-37.2%; P < 0.0001), respectively, of the variance
in ABA oral examination scores (ZPart 2). CONCLUSIONS: Clinical performance
scores and ABA written examination scores independently accounted for variance in
ABA oral examination scores. Clinical performance scores are independently
associated with the ABA oral examination scores.
PMID- 27195642
TI - Written Comments Made by Anesthesia Residents When Providing Below Average Scores
for the Supervision Provided by the Faculty Anesthesiologist.
AB - BACKGROUND: Anesthesia residents in our department evaluate the supervision
provided by the faculty anesthesiologist with whom they worked the previous day.
What advice managers can best provide to the faculty who receive below-average
supervision scores is unknown. METHODS: The residents provided numerical answers
(1 "never," 2 "rarely," 3 "frequently," or 4 "always") to each of the 9
supervision questions, resulting in a total supervision score. A written comment
could also be provided. RESULTS: Over 2.5 years, the response rate to requests
for evaluation was 99.1%. There were 13,664 evaluations of 76 faculty including
1387 comments. There were 25 evaluations with a comment of disrespectful
behavior. For all 25, the question evaluating whether "the faculty treated me
respectfully" was answered <4 (i.e., not "always"). The supervision scores were
less than for the other evaluations with comments (P < 0.0001). Each increase in
the faculty's number of comments of being disrespectful was associated with a
lesser mean score (P = 0.0002). A low supervision score (<3.00; i.e., less than
"frequent") had an odds ratio of 85 for disrespectful faculty behavior (P <
0.0001). The predictive value of the supervision score not being low for absence
of a comment of disrespectful behavior was 99%. That finding was especially
useful because 94% of scores below average (<3.80) were not low (>=3.00). There
were 6 evaluations with a comment of insufficient faculty presence. Those
evaluations had lesser scores than the other evaluations with comments (P <
0.0001). The 6 faculty with 1 such comment had lesser mean scores than the other
faculty (P = 0.0071). There were 34 evaluations with a comment about poor-quality
teaching. The evaluations related to poor teaching had lesser scores than the
other evaluations with comments (P < 0.0001). The faculty who each received such
a comment had lesser mean scores than the other faculty (P < 0.0001). Each
increase in the faculty's number of comments of poor-quality teaching was
associated with a lesser mean score (P = 0.0002). The 9 supervision questions
were internally consistent (Cronbach alpha = 0.948). A faculty with a comment
about poor-quality teaching had significant odds of also having a comment about
insufficient presence (P = 0.0044). A comment with negative sentiment had
significant odds of being about poor-quality teaching rather than being about
insufficient presence (odds ratio, 6.00; P < 0.0001). CONCLUSIONS: A faculty who
has insufficient presence cannot be providing good teaching. Furthermore, there
was negligible correlation between supervision scores and faculty clinical
assignments. Thus, insufficient faculty presence accounted for a small proportion
of below-average supervision scores and low-quality supervision. Furthermore,
scores >=3 have a predictive value for the absence of disrespectful behavior
?99%. Approximately 94% of the faculty supervision scores that were below average
were still >=3. Consequently, for the vast majority of the faculty-resident-days,
quality of teaching distinguished between below- versus above-average supervision
scores. This result is consistent with our prior finding of a strong correlation
between 6-month supervision scores and assessments of teaching effectiveness.
Taken together, when individual faculty anesthesiologists are counseled about
their clinical supervision scores, the attribute to emphasize is quality of
clinical teaching.
PMID- 27195639
TI - The Anesthesiologist's Role in Treating Abusive Head Trauma.
AB - Abusive head trauma (AHT) is the most common cause of severe traumatic brain
injury (TBI) in infants and the leading cause of child abuse-related deaths. For
reasons that remain unclear, mortality rates after moderate AHT rival those of
severe nonintentional TBI. The vulnerability of the developing brain to injury
may be partially responsible for the poor outcomes observed after AHT. AHT is
mechanistically more complex than nonintentional TBI. The acute-on-chronic nature
of the trauma along with synergistic injury mechanisms that include rapid
rotation of the brain, diffuse axonal injury, blunt force trauma, and hypoxia
ischemia make AHT challenging to treat. The anesthesiologist must understand the
complex injury mechanisms inherent to AHT, as well as the pediatric TBI treatment
guidelines, to decrease the risk of persistent neurologic disability and death.
In this review, we discuss the epidemiology of AHT, differences between AHT and
nonintentional TBI, the severe pediatric TBI treatment guidelines in the context
of AHT, anesthetic considerations, and ethical and legal reporting requirements.
PMID- 27195643
TI - Chronic Pain Without Clear Etiology in Low- and Middle-Income Countries: A
Narrative Review.
AB - Globally, 8 of the top 12 disabling conditions are related either to chronic pain
or to the psychological conditions strongly associated with persistent pain. In
this narrative review, we explore the demographic and psychosocial associations
with chronic pain exclusively from low- and middle-income countries (LMICs) and
compare them with current global data. One hundred nineteen publications in 28
LMICs were identified for review; associations with depression, anxiety,
posttraumatic stress, insomnia, disability, gender, age, rural/urban location,
education level, income, and additional sites of pain were analyzed for each type
of chronic pain without clear etiology. Of the 119 publications reviewed, pain
was described in association with disability in 50 publications, female gender in
40 publications, older age in 34 publications, depression in 36 publications,
anxiety in 19 publications, and multiple somatic complaints in 13 publications.
Women, elderly patients, and workers, especially in low-income and low-education
subgroups, were more likely to have pain in multiple sites, mood disorders, and
disabilities. In high-income countries, multisite pain without etiology, female
gender, and association with mood disturbance and disability may be suggestive of
a central sensitization syndrome (CSS). Because each type of prevalent chronic
pain without known etiology reviewed had similar associations in LMICs,
strategies for assessment and treatment of chronic pain worldwide should consider
the possibility of prevalent CSS. Recognition is especially critical in resource
poor areas, because treatment of CSS is vastly different than localized chronic
pain.
PMID- 27195644
TI - Back Pain and Neuraxial Anesthesia.
AB - The incidence of back pain after neuraxial anesthesia in the adult population is
not different from that after general anesthesia. The pain is usually mild,
localized in the low back, rarely radiates to the lower extremities, and has a
duration of only a few days. The risk factors for development of back pain
include the lithotomy position, multiple attempts at block placement, duration of
surgery longer than 2.5 hours, body mass index >=32 kg/m, and a history of back
pain. However, there is no permanent worsening of preexisting back pain after
neuraxial anesthesia. The back pain has been attributed to tears in the
ligaments, fascia, or bone with localized bleeding; immobility of the spine;
relaxation of the paraspinal muscles under anesthesia; flattening of the normal
lumbar convexity; and stretching and straining of the lumbosacral ligaments and
joint capsules. The addition of an anti-inflammatory drug to the local anesthetic
used for skin infiltration may decrease the incidence and severity of back pain.
The use of spinal or epidural anesthesia in the adult, non-obstetric and
obstetric populations should depend on the advantages offered by the technique
and not on the occurrence of back pain after the procedure. Additional studies
are needed to confirm the efficacy of epidural dexamethasone, or other steroids,
or the addition of an anti-inflammatory drug to the local anesthetic infiltration
for the prevention of back pain after neuraxial anesthesia. Future studies should
involve a physician with expertise in the evaluation of chronic low back pain to
help identify the cause of the back pain and institute appropriate treatment(s).
PMID- 27195645
TI - Perioperative Safety: Learning, Not Taking, from Aviation.
PMID- 27195646
TI - Opioids After Discharge in Pediatric Patients.
PMID- 27195647
TI - In Response.
PMID- 27195648
TI - Regarding "Quality Improvement Demands Quality Data".
PMID- 27195649
TI - Observations and Suggestions for Millennial Resident Applicant Interviewees.
PMID- 27195650
TI - Caroline Palmer and the History of Operating Room Management.
PMID- 27195652
TI - Inhibition of TNF-alpha-mediated NF-kappaB Activation by Ginsenoside Rg1
Contributes the Attenuation of Cardiac Hypertrophy Induced by Abdominal Aorta
Coarctation.
AB - Ginsenoside Rg1 (Rg1), a protopanaxadiol saponin extracted from Chinese medicine
Panax ginseng C.A. Meyer, has been demonstrated to inhibit the cardiac
hypertrophy. However, the molecular mechanisms underlying the inhibition remain
poorly understood. Activation of nuclear factor-kappa B (NF-kappaB) mediated by
tumor necrosis factor alpha (TNF-alpha) gets involved in the cardiac hypertrophy.
This study is designed to investigate the effects and the potential mechanism of
Rg1 on the abdominal aorta coarctation (AAC)-induced cardiac hypertrophy with
focus on TNF-alpha/NF-kappaB signaling pathway. The results showed that oral
administration of Rg1 dose-dependently improved the pathological changes,
decreased the ratios of left ventricular weight/body weight (LVW/BW) and heart
weight/BW (HW/BW), corrected the dysfunction of the cardiac hemodynamics by
decreasing the left ventricular systolic pressure and left ventricular end
diastolic pressure and increasing the maximal rate of left ventricular systolic
and diastolic pressure (+/-dp/dtmax) compared with the AAC alone. Rg1 also
downregulated the atrial natriuretic peptide mRNA expression and decreased the
mRNA and protein expression of TNF-alpha in the heart tissue of rats compared
with the AAC alone. In addition, Rg1 and BAY, the specific inhibitor of NF
kappaB, decreased the protein content and downregulated the mRNA expression of
atrial natriuretic peptide in neonatal rat ventricular myocytes treated with TNF
alpha. Furthermore, Rg1 increased the protein expression of p65, the subunit of
NF-kappaB, in cytoplasm and decreased the expression p65 in nucleus of the heart
tissue of rats undergoing the AAC and of neonatal rat ventricular myocytes
treated with TNF-alpha. The results suggested that Rg1 attenuates the AAC-induced
cardiac hypertrophy through inhibition of TNF-alpha/NF-kappaB signaling pathway.
PMID- 27195653
TI - CMX-2043 Mechanisms of Action In Vitro.
AB - alpha-Lipoic acid has been shown to provide cytoprotection in some tissues
through antioxidant and antiapoptotic mechanisms. We have enhanced these
properties by synthetic modification, resulting in a new chemical entity, CMX
2043, with proven efficacy in an animal model of cardiac ischemia-reperfusion
injury. The present studies compare cytoprotective cellular pathways of R-alpha
lipoic acid and CMX-2043. Biochemical and cellular assays were used to compare
antioxidant potency, tyrosine kinase activation, and protein kinase B (Akt)
phosphorylation. CMX-2043 was more effective than lipoic acid in antioxidant
effect, activation of insulin receptor kinase, soluble tyrosine kinase, and Akt
phosphorylation. Activation of insulin-like growth factor 1 receptor was similar
for both. CMX-2043 stimulation of Akt phosphorylation was abolished by the
phosphatidylinositide 3-kinase inhibitor LY294002. Consistent with Akt
activation, CMX-2043 reduced carbachol-induced calcium overload. The S
stereoisomer of CMX-2043 was less active in the biochemical assays than the R
isomer. These results are consistent with cytoprotection through activation of
Akt and antioxidant action. CMX-2043 may thus provide a pharmacological approach
to cytoprotection consistent with established anti-apoptotic mechanisms.
PMID- 27195655
TI - Correlation of Deoxynivalenol Accumulation in Fusarium-Infected Winter and Spring
Wheat Cultivars with Secondary Metabolites at Different Growth Stages.
AB - Fusarium infection in wheat causes Fusarium head blight, resulting in yield
losses and contamination of grains with trichothecenes. Some plant secondary
metabolites inhibit accumulation of trichothecenes. Eighteen Fusarium infected
wheat cultivars were harvested at five time points and analyzed for the
trichothecene deoxynivalenol (DON) and 38 wheat secondary metabolites
(benzoxazinoids, phenolic acids, carotenoids, and flavonoids). Multivariate
analysis showed that harvest time strongly impacted the content of secondary
metabolites, more distinctly for winter wheat than spring wheat. The
benzoxazinoid 2-beta-glucopyranoside-2,4-dihydroxy-7-methoxy-1,4-benzoxazin-3-one
(DIMBOA-glc), alpha-tocopherol, and the flavonoids homoorientin and orientin were
identified as potential inhibitors of DON accumulation. Several phenolic acids,
lutein and beta-carotene also affected DON accumulation, but the effect varied
for the two wheat types. The results could form a basis for choosing wheat
cultivars using metabolite profiling as a marker for selecting wheat cultivars
with improved resistance against Fusarium head blight and accumulation of
trichothecene toxins in wheat heads.
PMID- 27195654
TI - Inverse Temperature Dependence of Nuclear Quantum Effects in DNA Base Pairs.
AB - Despite the inherently quantum mechanical nature of hydrogen bonding, it is
unclear how nuclear quantum effects (NQEs) alter the strengths of hydrogen bonds.
With this in mind, we use ab initio path integral molecular dynamics to determine
the absolute contribution of NQEs to the binding in DNA base pair complexes,
arguably the most important hydrogen-bonded systems of all. We find that
depending on the temperature, NQEs can either strengthen or weaken the binding
within the hydrogen-bonded complexes. As a somewhat counterintuitive consequence,
NQEs can have a smaller impact on hydrogen bond strengths at cryogenic
temperatures than at room temperature. We rationalize this in terms of a
competition of NQEs between low-frequency and high-frequency vibrational modes.
Extending this idea, we also propose a simple model to predict the temperature
dependence of NQEs on hydrogen bond strengths in general.
PMID- 27195656
TI - Effect of antihypertensive treatment with lercanidipine on endothelial progenitor
cells and inflammation in patients with mild to moderate essential hypertension.
AB - BACKGROUND: It has been demonstrated that circulating endothelial progenitor
cells (EPCs) number reflects the endogenous vascular repair ability, with the
EPCs pool declining in presence of cardiovascular risk factors. Several drugs,
including dihydropyridine calcium channel blockers, have been reported to elicit
antioxidant and anti-inflammatory properties, as well as to improve vascular
remodeling and dysfunction. However, no data are available about the effects of
lercanidipine on EPCs. The aim of the present study was therefore to investigate
the effects of short-term treatment with lercanidipine on circulating EPCs, as
well as on indices of inflammation and oxidative stress. PATIENTS AND METHODS:
Twenty essential hypertensive patients were included in the study and treated for
4 weeks with lercanidipine 20 mg per day orally. Investigations were performed in
basal condition, after appropriate wash out of previous treatments, and after 4
weeks of lercanidipine treatment. Inflammatory and oxidative stress markers were
assessed by ELISA technique. Lin-/7AAD-/CD34+/CD133+/VEGFR-2 + and Lin-/7AAD
/CD34+/VEGFR-2 + cells were identified by flow cytometry and considered as EPCs.
EPCs cells were expressed as number of cells per million Lin-mononuclear cells.
RESULTS: Circulating EPCs were significantly increased after lercanidipine
treatment (CD34+/CD133+/VEGFR-2 + cells: 78.3 +/- 64.5 vs 46.6 +/- 32.8;
CD34+/VEGFR-2+: 87996 +/- 165116 vs 1026 +/- 1559, respectively, p < 0.05). A
modest reduction in circulating indices of inflammation was also observed.
CONCLUSIONS: In conclusion, lercanidipine is able to increase the number of
circulating EPCs, possibly through a reduction of low-grade inflammation.
PMID- 27195657
TI - Amphipathicity Determines Different Cytotoxic Mechanisms of Lysine- or Arginine
Rich Cationic Hydrophobic Peptides in Cancer Cells.
AB - Cationic amphipathic peptides (CAPs) are known to be able to cause membrane
destabilization and induce cell death, yet how the hydrophobicity,
amphipathicity, and lysine (K)/arginine (R) composition synergistically affect
the peptide activity remains incompletely understood. Here, we designed a panel
of peptides based on the well-known anticancer peptide KLA. Increasing
hydrophobicity enhanced the cytotoxicities of both the K- and R-rich peptides.
Peptides with an intact amphipathic helical interface can cause instant cell
death through a membrane lysis mechanism. Interestingly, rearranging the residue
positions to minimize amphipathicity caused a great decrease of cytotoxicity to
the K-rich peptides but not to the R-rich peptides. The amphipathicity-minimized
R-rich peptide 6 (RL2) (RLLRLLRLRRLLRL-NH2) penetrated the cell membrane and
induced caspase-3-dependent apoptotic cell death. We found that the modulation of
hydrophobicity, amphipathicity, and K/R residues leads to distinct mechanisms of
action of cationic hydrophobic peptides. Amphipathicity-reduced, arginine-rich
cationic hydrophobic peptides (CHPs) may represent a new class of peptide
therapeutics.
PMID- 27195658
TI - An Overview of the 2009 A(H1N1) Pandemic in Europe: Efficiency of the Vaccination
and Healthcare Strategies.
AB - 2009 A(H1N1) data for 13 European countries obtained from the weekly influenza
surveillance overview (WISO) reports of European Centre for Disease Prevention
and Control (ECDC) in the form of weekly cumulative fatalities are analyzed. The
variability of relative fatalities is explained by the health index of analyzed
countries. Vaccination and healthcare practices as reported in the literature are
used to explain the departures from this model. The timing of the vaccination
with respect to the peak of the epidemic and its role in the efficiency of the
vaccination is discussed. Simulations are used to show that on-time vaccination
reduces considerably the final value of R( t), R f , but it has little effect on
the shape of normalized curve R( t)/ R f .
PMID- 27195659
TI - Emerging antibodies for the treatment of multiple myeloma.
AB - INTRODUCTION: Monoclonal antibodies mark the beginning of a new era in the
context of multiple myeloma (MM) treatment. Numerous antibodies have been tested
or are currently in development for patients with MM, in order to improve
tolerability and quality of life. AREAS COVERED: This manuscript reviews emerging
antibodies for the treatment of MM i.e. elotuzumab, daratumumab, MOR03087,
isatuximab, bevacizumab, cetuximab, siltuximab, tocilizumab, elsilimomab,
azintrel, rituximab, tositumomab, milatuzumab, lucatumumab, dacetuzumab,
figitumumab, dalotuzumab, AVE1642, tabalumab, pembrolizumab, pidilizumab,
nivolumab. EXPERT OPINION: Amongst these antibodies, elotuzumab which targets
SLAMF-7 and daratumumab which targets CD38, have been recently approved by FDA
for patients with relapsed/refractory MM. Both agents are well tolerated.
Multiple clinical trials incorporating these monoclonal antibodies in MM
treatment are currently ongoing. Of special interest are the anticipated results
of phase III clinical trials with elotuzumab [NCT0189164; NCT01335399;
NCT02495922] and daratumumab [NCT02252172; NCT02195479] in newly diagnosed MM
patients. Moreover, of great interest are the awaited data on pembrolizumabin
combination with pomalidomide and dexamethasone in refractory/relapsed MM
patients [NCT02576977] and in combination with lenalidomide and dexamethasone in
newly diagnosed MM patients. It seems that the incorporation of monoclonal
antibodies will change the landscape of myeloma therapy in the near future.
PMID- 27195660
TI - Length of Hospital Stay Prediction at the Admission Stage for Cardiology Patients
Using Artificial Neural Network.
AB - For hospitals' admission management, the ability to predict length of stay (LOS)
as early as in the preadmission stage might be helpful to monitor the quality of
inpatient care. This study is to develop artificial neural network (ANN) models
to predict LOS for inpatients with one of the three primary diagnoses: coronary
atherosclerosis (CAS), heart failure (HF), and acute myocardial infarction (AMI)
in a cardiovascular unit in a Christian hospital in Taipei, Taiwan. A total of
2,377 cardiology patients discharged between October 1, 2010, and December 31,
2011, were analyzed. Using ANN or linear regression model was able to predict
correctly for 88.07% to 89.95% CAS patients at the predischarge stage and for
88.31% to 91.53% at the preadmission stage. For AMI or HF patients, the accuracy
ranged from 64.12% to 66.78% at the predischarge stage and 63.69% to 67.47% at
the preadmission stage when a tolerance of 2 days was allowed.
PMID- 27195661
TI - Mono- and Dinuclear Manganese Carbonyls Supported by 1,8-Disubstituted (L = Py,
SMe, SH) Anthracene Ligand Scaffolds.
AB - Presented herein is a synthetic scheme to generate symmetric and asymmetric
ligands based on a 1,8-disubstituted anthracene scaffold. The metal-binding
scaffolds were prepared by aryl chloride activation of 1,8-dichloroanthracene
using Suzuki-type couplings facilitated by [Pd(dba)2] as a Pd source; the choice
of cocatalyst (XPhos or SPhos) yielded symmetrically or asymmetrically
substituted scaffolds (respectively): namely, Anth-SMe2 (3), Anth-N2 (4), and
Anth-NSMe (6). The ligands exhibit a nonplanar geometry in the solid state (X
ray), owing to steric hindrance between the anthracene scaffold and the coupled
aryl units. To determine the flexibility and binding characteristics of the
anthracene-based ligands, the symmetric scaffolds were complexed with [Mn(CO)5Br]
to afford the mononuclear species [(Anth-SMe2)Mn(CO)3Br] (8) and [(Anth
N2)Mn(CO)3Br] (9), in which the donor moieties chelate the Mn center in a cis
fashion. The asymmetric ligand Anth-NSMe (6) binds preferentially through the py
moieties, affording the bis-ligated complex [(Anth-NSMe)2Mn(CO)3Br] (10), wherein
the thioether-S donors remain unbound. Alternatively, deprotection of the
thioether in 6 affords the free thiol ligand Anth-NSH (7), which more readily
binds the Mn center. Complexation of 7 ultimately affords the mixed-valence
Mn(I)/Mn(II) dimer of formula [(Anth-NS)3Mn2(CO)3] (11), which exhibits a fac
{Mn(CO)3} unit supported by a triad of bridging thiolates, which are in turn
ligated to a supporting Mn(II) center (EPR: |D| = 0.053 cm(-1), E/|D| = 0.3, Aiso
= -150 MHz). All of the metal complexes have been characterized by single-crystal
X-ray diffraction, IR spectroscopy and NMR/EPR measurements-all of which
demonstrate that the meta-linked, anthracene-based ligand scaffold is a viable
approach for the coordination of metal carbonyls.
PMID- 27195662
TI - Overview of the clinical trials on bioresorbable vascular scaffold.
AB - Although several new scaffolds are progressively being investigated and entering
the clinical scene, BRS has accumulated the largest experience by far including
detailed evaluation of the first patients studied followed almost in parallel by
clinical evaluation and worldwide randomized evaluation for non-inferiority
against best contemporary metallic DES. Available evidence shows that careful
procedural technique is required for proper and safe delivery of the current
generation scaffolds. Future studies will indicate whether all or only selected
patient or lesion subsets will ultimately benefit from treatment with fully
bioresorbable devices.
PMID- 27195663
TI - Imaging and functional assessment of bioresorbable scaffolds.
AB - Bioresorbable vascular scaffolds (BRS) are novel devices designed to provide
transient vessel support to drug-delivery capability without the potential long
term limitations of metallic drug-eluting stents. The technology, heralded as the
latest revolution in the field of percutaneous coronary intervention, could
overcome many of the long-term safety concerns associated with metallic stents
and possibly even convey a further clinical benefit. However, despite its
theoretical advantages, the safety and efficacy of the first generation BRS
remain unclear in all-comer patient populations. Invasive imaging modalities and
methodologies were developed to guide BRS implantation and monitor the
interaction between the scaffold and the vessel at long-term follow-up. These
tools are helpful to avoid some of the pitfalls associated with BRS implantation
and may improve the clinical outcome of these devices. The present review aims to
report the most recent data regarding multi-imaging modalities as guidance and
follow-up of coronary interventions involving the use of BRS.
PMID- 27195664
TI - Construction and Validation of a Perceived Physical Literacy Instrument for
Physical Education Teachers.
AB - The purpose of this study was to construct and validate a "Perceived Physical
Literacy Instrument" (PPLI) for physical education teachers. Based on literature
review and focus group interviews, an 18-item instrument was developed for the
initial tests. This self-report measure, using a 5-point Likert scale, formed the
PPLI and was administered to 336 physical education teachers in Hong Kong. The
sample was randomly split, and exploratory and confirmatory factor analyses
resulted in a 9-item, 3-factor scale. Exploratory factor analysis (EFA) item
loadings ranged from 0.69 to 0.87, and Cronbach's alpha ranged from 0.73 to 0.76.
Confirmatory factor analysis (CFA) showed that the construct demonstrated good
fit to the model. The PPLI thus appeared to be reliable and valid to measure the
perceived physical literacy of physical education teachers. It is argued that the
instrument can be used for both research and applied purposes and potential uses
for the instrument in physical education, medical and health settings are
discussed.
PMID- 27195666
TI - Two Different Maintenance Strategies in the Hospital Environment: Preventive
Maintenance for Older Technology Devices and Predictive Maintenance for Newer
High-Tech Devices.
AB - A maintenance program generated through the consideration of characteristics and
failures of medical equipment is an important component of technology management.
However, older technology devices and newer high-tech devices cannot be
efficiently managed using the same strategies because of their different
characteristics. This study aimed to generate a maintenance program comprising
two different strategies to increase the efficiency of device management:
preventive maintenance for older technology devices and predictive maintenance
for newer high-tech devices. For preventive maintenance development, 589 older
technology devices were subjected to performance verification and safety testing
(PVST). For predictive maintenance development, the manufacturers'
recommendations were used for 134 high-tech devices. These strategies were
evaluated in terms of device reliability. This study recommends the use of two
different maintenance strategies for old and new devices at hospitals in
developing countries. Thus, older technology devices that applied only corrective
maintenance will be included in maintenance like high-tech devices.
PMID- 27195665
TI - Ubiquitylation of Rad51d Mediated by E3 Ligase Rnf138 Promotes the Homologous
Recombination Repair Pathway.
AB - Ubiquitylation has an important role as a signal transducer that regulates
protein function, subcellular localization, or stability during the DNA damage
response. In this study, we show that Ring domain E3 ubiquitin ligases RNF138 is
recruited to DNA damage site quickly. And the recruitment is mediated through its
Zinc finger domains. We further confirm that RNF138 is phosphorylated by ATM at
Ser124. However, the phosphorylation was dispensable for recruitment to the DNA
damage site. Our findings also indicate that RAD51 assembly at DSB sites
following irradiation is dramatically affected in RNF138-deficient cells. Hence,
RNF138 is likely involved in regulating homologous recombination repair pathway.
Consistently, efficiency of homologous recombination decreased observably in
RNF138-depleted cells. In addition, RNF138-deficient cell is hypersensitive to
DNA damage insults, such as IR and MMS. And the comet assay confirmed that RNF138
directly participated in DNA damage repair. Moreover, we find that RAD51D
directly interacted with RNF138. And the recruitment of RAD51D to DNA damage site
is delayed and unstable in RNF138-depleted cells. Taken together, these results
suggest that RNF138 promotes the homologous recombination repair pathway.
PMID- 27195667
TI - A Simple yet Accurate Method for the Estimation of the Biovolume of Planktonic
Microorganisms.
AB - Determining the biomass of microbial plankton is central to the study of fluxes
of energy and materials in aquatic ecosystems. This is typically accomplished by
applying proper volume-to-carbon conversion factors to group-specific abundances
and biovolumes. A critical step in this approach is the accurate estimation of
biovolume from two-dimensional (2D) data such as those available through
conventional microscopy techniques or flow-through imaging systems. This paper
describes a simple yet accurate method for the assessment of the biovolume of
planktonic microorganisms, which works with any image analysis system allowing
for the measurement of linear distances and the estimation of the cross sectional
area of an object from a 2D digital image. The proposed method is based on
Archimedes' principle about the relationship between the volume of a sphere and
that of a cylinder in which the sphere is inscribed, plus a coefficient of
'unellipticity' introduced here. Validation and careful evaluation of the method
are provided using a variety of approaches. The new method proved to be highly
precise with all convex shapes characterised by approximate rotational symmetry,
and combining it with an existing method specific for highly concave or branched
shapes allows covering the great majority of cases with good reliability. Thanks
to its accuracy, consistency, and low resources demand, the new method can
conveniently be used in substitution of any extant method designed for convex
shapes, and can readily be coupled with automated cell imaging technologies,
including state-of-the-art flow-through imaging devices.
PMID- 27195668
TI - What drivers phenotypic divergence in Leymus chinensis (Poaceae) on large-scale
gradient, climate or genetic differentiation?
AB - Elucidating the driving factors among-population divergence is an important task
in evolutionary biology, however the relative contribution from natural selection
and neutral genetic differentiation has been less debated. A manipulation
experiment was conducted to examine whether the phenotypic divergence of Leymus
chinensis depended on climate variations or genetic differentiations at 18 wild
sites along a longitudinal gradient from 114 to 124 degrees E in northeast China
and at common garden condition of transplantation. Demographical, morphological
and physiological phenotypes of 18 L. chinensis populations exhibited significant
divergence along the gradient, but these divergent variations narrowed
significantly at the transplantation. Moreover, most of the phenotypes were
significantly correlated with mean annual precipitation and temperature in wild
sites, suggesting that climatic variables played vital roles in phenotypic
divergence of the species. Relative greater heterozygosity (HE), genotype
evenness (E) and Shannon-Wiener diversity (I) in western group of populations
suggested that genetic differentiation also drove phenotypic divergence of the
species. However, neutral genetic differentiation (FST = 0.041) was greatly lower
than quantitative differentiation (QST = 0.199), indicating that divergent
selection/climate variable was the main factor in determining the phenotypic
divergence of the species along the large-scale gradient.
PMID- 27195670
TI - Update to Rociletinib Data with the RECIST Confirmed Response Rate.
PMID- 27195669
TI - Developing a Gene Biomarker at the Tipping Point of Adaptive and Adverse
Responses in Human Bronchial Epithelial Cells.
AB - Determining mechanism-based biomarkers that distinguish adaptive and adverse
cellular processes is critical to understanding the health effects of
environmental exposures. Shifting from in vivo, low-throughput toxicity studies
to high-throughput screening (HTS) paradigms and risk assessment based on in
vitro and in silico testing requires utilizing toxicity pathway information to
distinguish adverse outcomes from recoverable adaptive events. Little work has
focused on oxidative stresses in human airway for the purposes of predicting
adverse responses. We hypothesize that early gene expression-mediated molecular
changes could be used to delineate adaptive and adverse responses to
environmentally-based perturbations. Here, we examined cellular responses of the
tracheobronchial airway to zinc (Zn) exposure, a model oxidant. Airway derived
BEAS-2B cells exposed to 2-10 MUM Zn2+ elicited concentration- and time-dependent
cytotoxicity. Normal, adaptive, and cytotoxic Zn2+ exposure conditions were
determined with traditional apical endpoints, and differences in global gene
expression around the tipping point of the responses were used to delineate
underlying molecular mechanisms. Bioinformatic analyses of differentially
expressed genes indicate early enrichment of stress signaling pathways, including
those mediated by the transcription factors p53 and NRF2. After 4 h, 154 genes
were differentially expressed (p < 0.01) between the adaptive and cytotoxic Zn2+
concentrations. Nearly 40% of the biomarker genes were related to the p53
signaling pathway with 30 genes identified as likely direct targets using a
database of p53 ChIP-seq studies. Despite similar p53 activation profiles, these
data revealed widespread dampening of p53 and NRF2-related genes as early as 4 h
after exposure at higher, unrecoverable Zn2+ exposures. Thus, in our model early
increased activation of stress response pathways indicated a recoverable adaptive
event. Overall, this study highlights the importance of characterizing molecular
mechanisms around the tipping point of adverse responses to better inform HTS
paradigms.
PMID- 27195671
TI - Study of a DNA Duplex by Nuclear Magnetic Resonance and Molecular Dynamics
Simulations. Validation of Pulsed Dipolar Electron Paramagnetic Resonance
Distance Measurements Using Triarylmethyl-Based Spin Labels.
AB - Pulse dipole-dipole electron paramagnetic resonance (EPR) spectroscopy (double
electron-electron resonance [DEER] or pulse electron-electron double resonance
[PELDOR] and double quantum coherence [DQC]) allows for measurement of distances
in biomolecules and can be used at low temperatures in a frozen solution.
Recently, the possibility of distance measurement in a nucleic acid at a
physiological temperature using pulse EPR was demonstrated. In these experiments,
triarylmethyl (TAM) radicals with long memory time of the electron spin served as
a spin label. In addition, the duplex was immobilized on modified silica gel
particles (Nucleosil DMA); this approach enables measurement of interspin
distances close to 4.5 nm. Nevertheless, the possible influence of TAM on the
structure of a biopolymer under study and validity of the data obtained by DQC
are debated. In this paper, a combination of molecular dynamics (MD) and nuclear
magnetic resonance (NMR) methods was used for verification of interspin distances
measured by the X-band DQC method. NMR is widely used for structural analysis of
biomolecules under natural conditions (room temperature and an aqueous solution).
The ultraviolet (UV) melting method and thermal series (1)H NMR in the range 5-95
degrees C revealed the presence of only the DNA duplex in solution at
oligonucleotide concentrations 1 MUM to 1.1 mM at temperatures below 40 degrees
C. The duplex structures and conformation flexibility of native and TAM-labeled
DNA complexes obtained by MD simulation were the same as the structure obtained
by NMR refinement. Thus, we showed that distance measurements at physiological
temperatures by the X-band DQC method allow researchers to obtain valid
structural information on an unperturbed DNA duplex using terminal TAM spin
labels.
PMID- 27195672
TI - Upregulated long non-coding RNA AGAP2-AS1 represses LATS2 and KLF2 expression
through interacting with EZH2 and LSD1 in non-small-cell lung cancer cells.
AB - Recently, long non-coding RNAs (lncRNAs) are identified as new crucial regulators
of diverse cellular processes, including cell proliferation, differentiation and
cancer cells metastasis. Accumulating evidence has revealed that aberrant lncRNA
expression plays important roles in carcinogenesis and tumor progression.
However, the expression pattern and biological function of lncRNAs in non-small
cell lung cancer (NSCLC) remain largely unknown. In this study, we performed
comprehensive analysis of lncRNA expression in human NSCLC samples by using
microarray data from Gene Expression Omnibus. After validation in a cohort of 80
pairs of NSCLC tissues, we identified a differentially expressed novel oncogenic
lncRNA termed as AGAP2-AS1. The AGAP2-AS1 expression level was significantly
upregulated in NSCLC tissues and negatively correlated with poor prognostic
outcomes in patients. In vitro loss- and gain-of-function assays revealed that
AGAP2-AS1 knockdown inhibited cell proliferation, migration and invasion, and
induced cell apoptosis. In vivo assays also confirmed the ability of AGAP2-AS1 to
promote tumor growth. Furthermore, mechanistic investigation showed that AGAP2
AS1 could bind with enhancer of zeste homolog 2 and lysine (K)-specific
demethylase 1A, and recruit them to KLF2 and LATS2 promoter regions to repress
their transcription. Taken together, our findings indicate that AGAP2-AS1 may act
as an oncogene by repressing tumor-suppressor LATS2 and KLF2 transcription. By
clarifying the AGAP2-AS1 mechanisms underlying NSCLC development and progression,
these findings might promote the development of novel therapeutic strategies for
this disease.
PMID- 27195674
TI - Allele-specific silencing of EEC p63 mutant R304W restores p63 transcriptional
activity.
AB - EEC (ectrodactily-ectodermal dysplasia and cleft lip/palate) syndrome is a rare
genetic disease, autosomal dominant inherited. It is part of the ectodermal
dysplasia disorders caused by heterozygous mutations in TP63 gene. EEC patients
present limb malformations, orofacial clefting, skin and skin's appendages
defects, ocular abnormalities. The transcription factor p63, encoded by TP63, is
a master gene for the commitment of ectodermal-derived tissues, being expressed
in the apical ectodermal ridge is critical for vertebrate limb formation and, at
a later stage, for skin and skin's appendages development. The DeltaNp63alpha
isoform is predominantly expressed in epithelial cells and it is indispensable
for preserving the self-renewal capacity of adult stem cells and to engage
specific epithelial differentiation programs. Small interfering RNA (siRNA)
offers a potential therapy approach for EEC patients by selectively silencing the
mutant allele. Here, using a systemic screening based on a dual-luciferase
reported gene assay, we have successfully identified specific siRNAs for
repressing the EEC-causing p63 mutant, R304W. Upon siRNA treatment, we were able
to restore DeltaNp63-WT allele transcriptional function in induced pluripotent
stem cells that were derived from EEC patient biopsy. This study demonstrates
that siRNAs approach is promising and, may pave the way for curing/delaying major
symptoms, such as cornea degeneration and skin erosions in young EEC patients.
PMID- 27195673
TI - Fatty acid oxidation and carnitine palmitoyltransferase I: emerging therapeutic
targets in cancer.
AB - Tumor cells exhibit unique metabolic adaptations that are increasingly viewed as
potential targets for novel and specific cancer therapies. Among these targets,
the carnitine palmitoyltransferase system is responsible for delivering the long
chain fatty acid (FA) from cytoplasm into mitochondria for oxidation, where
carnitine palmitoyltransferase I (CPTI) catalyzes the rate-limiting step of fatty
acid oxidation (FAO). With increasing understanding of the crucial role had by
fatty acid oxidation in cancer, CPTI has received renewed attention as a pivotal
mediator in cancer metabolic mechanism. CPTI activates FAO and fuels cancer
growth via ATP and NADPH production, constituting an essential part of cancer
metabolism adaptation. Moreover, CPTI also functionally intertwines with other
key pathways and factors to regulate gene expression and apoptosis of cancer
cell. Here, we summarize recent findings and update the current understanding of
FAO and CPTI in cancer and provide theoretical basis for this enzyme as an
emerging potential molecular target in cancer therapeutic intervention.
PMID- 27195675
TI - Knockdown of linc-UFC1 suppresses proliferation and induces apoptosis of
colorectal cancer.
AB - Long intergenic noncoding RNAs (lincRNAs) have important roles in biological
functions, molecular mechanisms and prognostic values in colorectal cancer (CRC).
In this context, the roles of linc-UFC1 remain to be elucidated. In this study,
linc-UFC1 was overexpressed in CRC patient tissues and positively correlated with
tumor grade, N stage and M stage. Inhibition of linc-UFC1 resulted in cell
proliferation inhibition and G1 cell cycle arrest, which was mediated by cyclin
D1, CDK4, Rb and phosphorylated Rb. In addition, inhibition of linc-UFC1 induced
cell apoptosis through the intrinsic apoptosis signaling pathway, as evidenced by
the activation of caspase-9 and caspase-3. An investigation of the signaling
pathway revealed that the effects on proliferation and apoptosis following linc
UFC1 knockdown were mediated by suppression of beta-catenin and activation of
phosphorylated P38. Furthermore, the P38 inhibitor SB203580 could attenuate the
apoptotic effect achieved by linc-UFC1 knockdown, confirming the involvement of
P38 signaling in the induced apoptosis. Taken together, linc-UFC1 might have a
critical role in pro-proliferation and anti-apoptosis in CRC by regulating the
cell cycle, intrinsic apoptosis, and beta-catenin and P38 signaling. Thus, linc
UFC1 could be a potential therapeutic target and novel molecular biomarker for
CRC.
PMID- 27195676
TI - beta-Arrestin1 inhibits chemotherapy-induced intestinal stem cell apoptosis and
mucositis.
AB - The mechanism of chemotherapy-induced gastrointestinal (GI) syndrome (CIGIS) is
still controversial, and it is unclear whether chemotherapy induces intestinal
stem cell (ISC) apoptosis. beta-Arrestins are regulators and mediators of G
protein-coupled receptor signaling in cell apoptosis, division and growth. In
this study, we aimed to investigate whether chemotherapy induces ISC apoptosis to
contribute to mucositis in CIGIS and whether beta-arrestin1 (beta-arr1) is
involved in this apoptosis. Different chemotherapeutic agents were used to
generate a CIGIS model. Lgr5-EGFP-IRES-creERT2(+/-) knock-in mice were used as a
CIGIS model to investigate ISC apoptosis. beta-arr1 knockout mice were used to
determine whether beta-arr1 is involved in the apoptosis in CIGIS. Intestinal
histology was performed, the ISC apoptosis was analyzed and the mucosal barrier
was examined. The effects of beta-arr1 in apoptosis were investigated in the
samples from humans and mice as well as in cell lines. Here, we demonstrate that
chemotherapy induced intestinal mucositis by promoting crypt cell apoptosis,
especially in Lgr5+ stem cells and Paneth cells but not in goblet cells,
epithelial cells or vascular endothelial cells. Furthermore, beta-arr1 deficiency
exacerbated the Lgr5+ stem cell apoptosis, but not Paneth cell apoptosis, in
CIGIS. In addition, the data showed that beta-arr1 reduced the chemotherapy
induced Lgr5+ stem cell apoptosis by inhibiting endoplasmic reticulum stress
mediated mitochondrial apoptotic signaling. Our study indicates that beta-arr1
inhibits chemotherapy-induced ISC apoptosis to alleviate intestinal mucositis in
CIGIS.
PMID- 27195677
TI - praja2 regulates KSR1 stability and mitogenic signaling.
AB - The kinase suppressor of Ras 1 (KSR1) has a fundamental role in mitogenic
signaling by scaffolding components of the Ras/MAP kinase pathway. In response to
Ras activation, KSR1 assembles a tripartite kinase complex that optimally
transfers signals generated at the cell membrane to activate ERK. We describe a
novel mechanism of ERK attenuation based on ubiquitin-dependent proteolysis of
KSR1. Stimulation of membrane receptors by hormones or growth factors induced
KSR1 polyubiquitination, which paralleled a decline of ERK1/2 signaling. We
identified praja2 as the E3 ligase that ubiquitylates KSR1. We showed that praja2
dependent regulation of KSR1 is involved in the growth of cancer cells and in the
maintenance of undifferentiated pluripotent state in mouse embryonic stem cells.
The dynamic interplay between the ubiquitin system and the kinase scaffold of the
Ras pathway shapes the activation profile of the mitogenic cascade. By
controlling KSR1 levels, praja2 directly affects compartmentalized ERK
activities, impacting on physiological events required for cell proliferation and
maintenance of embryonic stem cell pluripotency.
PMID- 27195678
TI - Apoptotic CD8 T-lymphocytes disable macrophage-mediated immunity to Trypanosoma
cruzi infection.
AB - Chagas disease is caused by infection with the protozoan Trypanosoma cruzi. CD8 T
lymphocytes help to control infection, but apoptosis of CD8 T cells disrupts
immunity and efferocytosis can enhance parasite infection within macrophages.
Here, we investigate how apoptosis of activated CD8 T cells affects M1 and M2
macrophage phenotypes. First, we found that CD8 T-lymphocytes and inflammatory
monocytes/macrophages infiltrate peritoneum during acute T. cruzi infection. We
show that treatment with anti-Fas ligand (FasL) prevents lymphocyte apoptosis,
upregulates type-1 responses to parasite antigens, and reduces infection in
macrophages cocultured with activated CD8 T cells. Anti-FasL skews mixed M1/M2
macrophage profiles into polarized M1 phenotype, both in vitro and following
injection in infected mice. Moreover, inhibition of T-cell apoptosis induces a
broad reprogramming of cytokine responses and improves macrophage-mediated
immunity to T. cruzi. The results indicate that disposal of apoptotic CD8 T cells
increases M2-macrophage differentiation and contributes to parasite persistence.
PMID- 27195680
TI - SEASON OF DELTAMETHRIN APPLICATION AFFECTS FLEA AND PLAGUE CONTROL IN WHITE
TAILED PRAIRIE DOG (CYNOMYS LEUCURUS) COLONIES, COLORADO, USA.
AB - In 2008 and 2009, we evaluated the duration of prophylactic deltamethrin
treatments in white-tailed prairie dog ( Cynomys leucurus ) colonies and compared
effects of autumn or spring dust application in suppressing flea numbers and
plague. Plague occurred before and during our experiment. Overall, flea abundance
tended to increase from May or June to September, but it was affected by
deltamethrin treatment and plague dynamics. Success in trapping prairie dogs
(animals caught/trap days) declined between June and September at all study
sites. However, by September trap success on dusted sites (19%; 95% confidence
interval [CI] 16-22%) was about 15-fold greater than on undusted control sites
(1%; CI 0.3-4%; P<=0.0001). Applying deltamethrin dust as early as 12 mo prior
seemed to afford some protection to prairie dogs. Our data showed that dusting
even a portion of a prairie dog colony can prolong its persistence despite
epizootic plague. Autumn dusting may offer advantages over spring in suppressing
overwinter or early-spring flea activity, but timing should be adjusted to
precede the annual decline in aboveground activity for hibernating prairie dog
species. Large colony complexes or collections of occupied but fragmented habitat
may benefit from dusting some sites in spring and others in autumn to maximize
flea suppression in a portion of the complex or habitat year-round.
PMID- 27195681
TI - CITIZEN SCIENTISTS MONITOR A DEADLY FUNGUS THREATENING AMPHIBIAN COMMUNITIES IN
NORTHERN COASTAL CALIFORNIA, USA.
AB - Ecoclub youth and supervising family members conducted citizen science to assess
regional prevalence and distribution of Batrachochytrium dendrobatidis (Bd) among
amphibians at Humboldt Bay National Wildlife Refuge (Refuge) and Redwood National
and State Parks (Parks), Humboldt County, California, US, May 2013 through
December 2014. Using quantitative real-time PCR, 26 (17%) of 155 samples were
positive for Bd. Positive samples occurred in four frog and toad species:
foothill yellow-legged frog ( Rana boylii ), northern red-legged frog ( Rana
aurora ), Pacific chorus frog ( Pseudacris regilla ), and western toad (Anaxyrus
[Bufo] boreas); no salamanders or anuran larvae were positive. Except for R.
aurora , all infected anurans were first-time species reports for coastal
northern California. At the Refuge, significantly fewer (6/71) postmetamorphic
amphibians were positive compared to the Parks (20/69; P=0.0018). We assessed the
association of being PCR-positive for Bd, season of sampling, and age of sampler
(child, teen, or adult). The full model with season, species, and sampler age had
the greatest support. Frogs tested in winter or spring were more likely to be
positive than those tested in summer or fall; foothill yellow-legged frogs,
northern red-legged frogs, and western toads were more likely to be positive than
were Pacific chorus frogs; and the probability of being positive nearly doubled
when a child (<=12 yr old) collected the sample compared to a teen or adult. Our
results support other chytrid studies that found amphibians are more susceptible
to Bd when temperatures are cool and that species differ in their susceptibility.
The Ecoclub's findings provide new information important to conservation of
northern California's coastal amphibians and demonstrate the value of involving
children in citizen science.
PMID- 27195683
TI - PREVALENCE OF ANTIBODIES FOR SELECTED CANINE PATHOGENS AMONG WOLVES (CANIS LUPUS)
FROM THE ALASKA PENINSULA, USA.
AB - We collected blood samples from wolves ( Canis lupus ) on the Alaska Peninsula,
southwest Alaska, US, 2006-11 and tested sera for antibodies to canine adenovirus
(CAV), canine coronavirus (CCV), canine distemper virus (CDV), canine herpesvirus
(CHV), canine parainfluenza (CPI), canine parvovirus (CPV), Neospora caninum ,
and Toxoplasma gondii . Detected antibody prevalence was 90% for CAV, 28% for
CCV, 12% for CDV, 93% for CHV, 0% for CPI, 20% for CPV, 0% for N. caninum, and
86% for T. gondii . Prevalence of CCV antibodies suggested a seasonal pattern
with higher prevalence during spring (43%) than in fall (11%). Prevalence of CCV
antibodies also declined during the 6-yr study with high prevalence during spring
2006-08 (80%, n=24) and low prevalence during spring 2009-11 (4%, n=24).
Prevalence of N. caninum and T. gondii antibodies were highly variable in the
study area during 2006-11. Results suggested that some pathogens might be
enzootic on the Alaska Peninsula (e.g., CAV and CHV) while others may be
epizootic (e.g., CCV, N. caninum , T. gondii ).
PMID- 27195682
TI - MODE OF ATTACHMENT AND PATHOLOGY CAUSED BY PARORCHITES ZEDERI IN THREE SPECIES OF
PENGUINS: PYGOSCELIS PAPUA, PYGOSCELIS ADELIAE, AND PYGOSCELIS ANTARCTICA IN
ANTARCTICA.
AB - We identified and compared gross and microscopic lesions associated with the
cestode, Parorchites zederi, in the digestive tracts of three species of penguins
(Spheniscidae): the Chinstrap ( Pygoscelis antarctica ), Gentoo ( Pygoscelis
papua ), and Adelie penguins ( Pygoscelis adeliae ). The gastrointestinal tracts
of 79 recently dead individuals (71 chicks and eight adults) were collected in
locations throughout the Antarctic Peninsula during summer field trips in 2006
09. Parorchites zederi was found in the small intestine of 37 animals (47%), and
23 (62%) of these had parasite-associated lesions. The cestodes were either free
in the intestinal lumen, clustered within mucosal ulcers, or deeply embedded in
the intestinal wall. Histopathologic changes were most severe in adult Gentoo
Penguins and included transmural fibrogranulomatous enteritis, hemorrhage, and
edema. This report of pathology associated with P. zederi in the digestive tracts
of penguins can serve as reference to monitor health in Antarctic birds
associated with environmental changes.
PMID- 27195679
TI - Myt3 suppression sensitizes islet cells to high glucose-induced cell death via
Bim induction.
AB - Diabetes is a chronic disease that results from the body's inability to properly
control circulating blood glucose levels. The loss of glucose homoeostasis can
arise from a loss of beta-cell mass because of immune-cell-mediated attack, as in
type 1 diabetes, and/or from dysfunction of individual beta-cells (in conjunction
with target organ insulin resistance), as in type 2 diabetes. A better
understanding of the transcriptional pathways regulating islet-cell survival is
of great importance for the development of therapeutic strategies that target
beta-cells for diabetes. To this end, we previously identified the transcription
factor Myt3 as a pro-survival factor in islets following acute suppression of
Myt3 in vitro. To determine the effects of Myt3 suppression on islet-cell
survival in vivo, we used an adenovirus to express an shRNA targeting Myt3 in
syngeneic optimal and marginal mass islet transplants, and demonstrate that
suppression of Myt3 impairs the function of marginal mass grafts. Analysis of
grafts 5 weeks post-transplant revealed that grafts transduced with the shMyt3
adenovirus contained ~20% the number of transduced cells as grafts transduced
with a control adenovirus. In fact, increased apoptosis and significant cell loss
in the shMyt3-transduced grafts was evident after only 5 days, suggesting that
Myt3 suppression sensitizes islet cells to stresses present in the early post
transplant period. Specifically, we find that Myt3 suppression sensitizes islet
cells to high glucose-induced cell death via upregulation of the pro-apoptotic
Bcl2 family member Bim. Taken together these data suggest that Myt3 may be an
important link between glucotoxic and immune signalling pathways.
PMID- 27195684
TI - HELMINTH INFECTIONS IN NORTHERN BOBWHITES (COLINUS VIRGINIANUS) FROM A LEGACY
LANDSCAPE IN TEXAS, USA.
AB - The Northern Bobwhite ( Colinus virginianus ) has declined across its range. The
primary cause of this decline is thought to be habitat loss and fragmentation.
However, there is speculation that factors such as parasites may play a role.
South Texas recently was designated a Legacy Landscape of National Significance
for Northern Bobwhite Conservation and is a region with some of the highest
bobwhite densities in the US. Limited studies on bobwhite parasites have been
conducted in this crucial landscape. We documented helminth parasites infecting
bobwhites in South Texas, identified those that are known to be pathogenic to
quail, documented pathologic responses to infection, and evaluated infections
related to host intrinsic and extrinsic factors. We examined 209 bobwhites and
found nine species of helminths including two known to cause tissue damage in
bobwhites: Tetrameres pattersoni and Oxyspirura petrowi. The cecal nematode
Aulonocephalus pennula was numerically dominant and had the greatest prevalence,
intensity, and abundance. Prevalence and abundance of A. pennula were
significantly greater in adult than juvenile bobwhites, whereas host sex was not
an important factor. Prevalence of A. pennula was significantly greater during
the 2012-13 hunting season than the 2013-14 season. The abundance of A. pennula
also was significantly greater in bobwhites with greater mass within each age
cohort. This research provides insight regarding the factors that influence
helminth infections in bobwhites from South Texas and highlights the importance
of broad-scale surveys when assessing helminth infections across large regions.
PMID- 27195686
TI - ESTIMATING CONTACT RATES OF HAWAIIAN MONK SEALS (NEOMONACHUS SCHAUINSLANDI) USING
SOCIAL NETWORK ANALYSIS.
AB - Understanding disease transmission dynamics, which are in part mediated by rates
and patterns of social contact, is fundamental to predicting the likelihood, rate
of spread, impacts, and mitigation of disease outbreaks in wildlife populations.
Contact rates, which are important parameters required for epidemiologic models,
are difficult to estimate. The endangered Hawaiian monk seal (Neomonachus
schauinslandi) may be particularly vulnerable to morbillivirus outbreaks, due to
its low abundance, lack of genetic diversity, and history of isolation from
mammalian diseases. Morbillivirus epizootics have had devastating effects on
other seal populations. We constructed social networks based on visual
observations of individually identifiable monk seals associating onshore to
estimate contact rates, assuming random mixing, and also to investigate contact
patterns of different age and sex classes. Contact rates estimated from two
island populations in 4 yr were remarkably similar, indicating any two
individuals have about a one in 1,000 chance of making contact on any given day.
Further, contact patterns within and among age and sex classes were statistically
different from random. The methods we used could be broadly applied to
empirically derive contact rates using association data. These rates are critical
for epidemiologic modelling to simulate wildlife disease outbreaks and to inform
science-based prevention and mitigation programs.
PMID- 27195685
TI - PREVALENCE OF ANTIBODIES TO SELECTED VIRUSES AND PARASITES IN INTRODUCED AND
ENDEMIC CARNIVORES IN WESTERN MADAGASCAR.
AB - Introduced animals impact endemic populations through predation, competition, and
disease transmission. Populations of endemic carnivores in Madagascar are
declining, and pathogens transmitted from introduced species may further endanger
these unique species. We assessed the exposure of introduced and endemic
carnivores to common viral and parasitic pathogens in two national parks of
Madagascar (Kirindy Mitea National Park and Ankarafantsika National Park) and
their neighboring villages. We also identified variables associated with the
presence of antibodies to these pathogens in fosa ( Cryptoprocta ferox ).
Introduced and endemic species were exposed to canine parvovirus, canine
herpesvirus, feline calicivirus, and Toxoplasma gondii . Domestic dogs ( Canis
familiaris ) and cats ( Felis catus ) may be sources of infection for these
pathogens. Prevalence of antibodies to Toxoplasma in captured fosa was >93%, and
adults were more likely to be exposed than immature individuals. Our data provide
a basis upon which to evaluate and manage risks of pathogen transmission between
species.
PMID- 27195687
TI - PATTERNS OF MYCOBACTERIUM LEPRAE INFECTION IN WILD NINE-BANDED ARMADILLOS
(DASYPUS NOVEMCINCTUS) IN MISSISSIPPI, USA.
AB - The nine-banded armadillo ( Dasypus novemcinctus ) is the only known nonhuman
reservoir of Mycobacterium leprae , the causative agent of Hansen's disease or
leprosy. We conducted a 6-yr study on a wild population of armadillos in western
Mississippi that was exposed to M. leprae to evaluate the importance of
demographic and spatial risk factors on individual antibody status. We found that
spatially derived covariates were not predictive of antibody status. Furthermore,
analyses revealed no evidence of clustering by antibody-positive individuals.
Lactating females and adult males had higher odds of being antibody positive than
did nonlactating females. No juveniles or yearlings were antibody positive.
Results of these analyses support the hypothesis that M. leprae infection
patterns are spatially homogeneous within this armadillo population. Further
research related to movement patterns, contact among individuals, antibody
status, and environmental factors could help address hypotheses related to the
role of environmental transmission on M. leprae infection and the mechanisms
underlying the differential infection patterns among demographic groups.
PMID- 27195688
TI - EYEWORMS (OXYSPIRURA PETROWI) IN NORTHERN BOBWHITES (COLINUS VIRGINIANUS) FROM
THE ROLLING PLAINS ECOREGION OF TEXAS AND OKLAHOMA, 2011-13.
AB - The Northern Bobwhite ( Colinus virginianus ) has been steadily declining
throughout much of its historic range for decades. The Rolling Plains ecoregion
of Texas and western Oklahoma, historically rich with wild Northern Bobwhites and
one of the last remaining quail strongholds, also has a declining population.
During August and October in 2011-13, 348 Northern Bobwhites from the Rolling
Plains were examined for eyeworms (Oxyspirura petrowi). Of these 348 Northern
Bobwhites, 144 (41.4%) were infected with 1,018 total eyeworms. Eyeworm abundance
(mean+/-SE) was 2.9+/-0.4 (range 0-64), with an intensity (mean+/-SE) of 7.1+/
0.6. Eyeworm prevalence was significantly higher in adult Northern Bobwhites
(58.7%) than in juveniles (35.4%). Recent research suggests that eyeworms have
the potential to cause cellular tissue damage to the eye, but it is unknown how
these worms affect host survivability. This study further expands the regional
distribution of O. petrowi in Northern Bobwhites in the Rolling Plains ecoregion
and assesses the prevalence and abundance of infection across host age, host sex,
and year. Further research is warranted on the life history of O. petrowi and
assessing the impacts of eyeworms on their definitive host at individual and
population levels.
PMID- 27195689
TI - A RETROSPECTIVE STUDY OF CAUSES OF SKIN LESIONS IN WILD TURKEYS (MELEAGRIS
GALLOPAVO) IN THE EASTERN USA, 1975-2013.
AB - Skin lesions of Wild Turkeys ( Meleagris gallopavo ) are a common cause of
concern to wildlife biologists and the general public and are a frequent reason
for submission to diagnostic laboratories. The purpose of this retrospective
study is to evaluate the causes, occurrence, and epidemiologic patterns of skin
lesions in Wild Turkeys in the eastern US. Skin lesions were diagnosed in 30%
(n=199) of the 660 Wild Turkey samples submitted to the Southeastern Cooperative
Wildlife Disease Study diagnostic service from 1975 to 2013. Avian pox was the
most frequent cause of skin lesions (66%, n=131), followed by bacterial
dermatitis (22%, n=44), ectoparasitism-related dermatitis (3%, n=6), fungal
dermatitis (2.5%, n=5), and neoplasia (2.0%, n=4). Although the gross appearance
of skin lesions is often insufficient to determine the etiology, the anatomic
distribution of lesions and temporal occurrence of certain diseases may offer
insights into likely causes. Cases with lesions involving or restricted to the
head and neck were much more likely to be caused by avian pox than other
etiologies. Similarly, lesions restricted to the feet were more likely to be of
bacterial origin. Skin lesions observed in the fall and winter were more likely
to be caused by avian pox, whereas bacterial dermatitis was more frequently
observed in the spring and summer. This retrospective study provides a summary of
the causes of skin lesions in Wild Turkeys and serves as a useful reference to
diagnosticians and biologists when evaluating Wild Turkeys with skin lesions.
PMID- 27195690
TI - DEVELOPMENT OF REFERENCE RANGES FOR PLASMA TOTAL CHOLINESTERASE AND BRAIN
ACETYLCHOLINESTERASE ACTIVITY IN FREE-RANGING CARNABY'S BLACK-COCKATOOS
(CALYPTORHYNCHUS LATIROSTRIS).
AB - Published avian reference ranges for plasma cholinesterase (ChE) and brain
acetylcholinesterase (AChE) are numerous. However, a consistently reported
recommendation is the need for species- and laboratory-specific reference ranges
because of variables, including assay methods, sample storage conditions, season,
and bird sex, age, and physiologic status. We developed normal reference ranges
for brain AChE and plasma total ChE (tChE) activity for Carnaby's Black-Cockatoos
(Calyptorhynchus latirostris) using a standardized protocol (substrate
acetylthiocholine at 25 C). We report reference ranges for brain AChE (19-41
MUmol/min per g, mean 21+/-6.38) and plasma tChE (0.41-0.53 MUmol/min per mL,
mean 0.47+/-0.11) (n=15). This information will be of use in the ongoing field
investigation of a paresis-paralysis syndrome in the endangered Carnaby's Black
Cockatoos, suspected to be associated with exposure to anticholinesterase
compounds and add to the paucity of reference ranges for plasma tChE and brain
AChE in Australian psittacine birds.
PMID- 27195691
TI - Photobasicity in Quinolines: Origin and Tunability via the Substituents' Hammett
Parameters.
PMID- 27195692
TI - An Improved DINEOF Algorithm for Filling Missing Values in Spatio-Temporal Sea
Surface Temperature Data.
AB - In this study, an improved Data INterpolating Empirical Orthogonal Functions
(DINEOF) algorithm for determination of missing values in a spatio-temporal
dataset is presented. Compared with the ordinary DINEOF algorithm, the iterative
reconstruction procedure until convergence based on every fixed EOF to determine
the optimal EOF mode is not necessary and the convergence criterion is only
reached once in the improved DINEOF algorithm. Moreover, in the ordinary DINEOF
algorithm, after optimal EOF mode determination, the initial matrix with missing
data will be iteratively reconstructed based on the optimal EOF mode until the
reconstruction is convergent. However, the optimal EOF mode may be not the best
EOF for some reconstructed matrices generated in the intermediate steps. Hence,
instead of using asingle EOF to fill in the missing data, in the improved
algorithm, the optimal EOFs for reconstruction are variable (because the optimal
EOFs are variable, the improved algorithm is called VE-DINEOF algorithm in this
study). To validate the accuracy of the VE-DINEOF algorithm, a sea surface
temperature (SST) data set is reconstructed by using the DINEOF, I-DINEOF
(proposed in 2015) and VE-DINEOF algorithms. Four parameters (Pearson correlation
coefficient, signal-to-noise ratio, root-mean-square error, and mean absolute
difference) are used as a measure of reconstructed accuracy. Compared with the
DINEOF and I-DINEOF algorithms, the VE-DINEOF algorithm can significantly enhance
the accuracy of reconstruction and shorten the computational time.
PMID- 27195694
TI - Characterization and Screening of Native Scenedesmus sp. Isolates Suitable for
Biofuel Feedstock.
AB - In current study isolates of two native microalgae species were screened on the
basis of growth kinetics and lipid accumulation potential. On the basis of data
obtained on growth parameters and lipid accumulation, it is concluded that
Scenedesmus dimorphus has better potential as biofuel feedstock. Two of the
isolates of Scenedesmus dimorphus performed better than other isolates with
respect to important growth parameters with lipid content of ~30% of dry biomass.
Scenedesmus dimorphus was found to be more suitable as biodiesel feedstock
candidate on the basis of cumulative occurrence of five important biodiesel fatty
acids, relative occurrence of SFA (53.04%), MUFA (23.81%) and PUFA (19.69%), and
more importantly that of oleic acid in its total lipids. The morphological
observations using light and Scanning Electron Microscope and molecular
characterization using amplified 18S rRNA gene sequences of microalgae species
under study were also performed. Amplified 18S rRNA gene fragments of the
microalgae species were sequenced, annotated at the NCBI website and phylogenetic
analysis was done. We have published eight 18S rRNA gene sequences of microalgae
species in NCBI GenBank.
PMID- 27195693
TI - Hands-Off Time for Endotracheal Intubation during CPR Is Not Altered by the Use
of the C-MAC Video-Laryngoscope Compared to Conventional Direct Laryngoscopy. A
Randomized Crossover Manikin Study.
AB - INTRODUCTION: Sufficient ventilation and oxygenation through proper airway
management is essential in patients undergoing cardio-pulmonary resuscitation
(CPR). Although widely discussed, securing the airway using an endotracheal tube
is considered the standard of care. Endotracheal intubation may be challenging
and causes prolonged interruption of chest compressions. Videolaryngoscopes have
been introduced to better visualize the vocal cords and accelerate intubation,
which makes endotracheal intubation much safer and may contribute to intubation
success. Therefore, we aimed to compare hands-off time and intubation success of
direct laryngoscopy with videolaryngoscopy (C-MAC, Karl Storz, Tuttlingen,
Germany) in a randomized, cross-over manikin study. METHODS: Twenty-six
anesthesia residents and twelve anesthesia consultants of the University Hospital
Zurich were recruited through a voluntary enrolment. All participants performed
endotracheal intubation using direct laryngoscopy and C-MAC in a random order
during ongoing chest compressions. Participants were strictly advised to stop
chest compression only if necessary. RESULTS: The median hands-off time was 1.9
seconds in direct laryngoscopy, compared to 3 seconds in the C-MAC group. In
direct laryngoscopy 39 intubation attempts were recorded, resulting in an overall
first intubation attempt success rate of 97%, compared to 38 intubation attempts
and 100% overall first intubation attempt success rate in the C-MAC group.
CONCLUSION: As a conclusion, the results of our manikin-study demonstrate that
video laryngoscopes might not be beneficial compared to conventional, direct
laryngoscopy in easily accessible airways under CPR conditions and in experienced
hands. The benefits of video laryngoscopes are of course more distinct in
overcoming difficult airways, as it converts a potential "blind intubation" into
an intubation under visual control.
PMID- 27195695
TI - Identifying Liver Cancer and Its Relations with Diseases, Drugs, and Genes: A
Literature-Based Approach.
AB - In biomedicine, scientific literature is a valuable source for knowledge
discovery. Mining knowledge from textual data has become an ever important task
as the volume of scientific literature is growing unprecedentedly. In this paper,
we propose a framework for examining a certain disease based on existing
information provided by scientific literature. Disease-related entities that
include diseases, drugs, and genes are systematically extracted and analyzed
using a three-level network-based approach. A paper-entity network and an entity
co-occurrence network (macro-level) are explored and used to construct six entity
specific networks (meso-level). Important diseases, drugs, and genes as well as
salient entity relations (micro-level) are identified from these networks.
Results obtained from the literature-based literature mining can serve to assist
clinical applications.
PMID- 27195696
TI - The impact of a medication review with follow-up service on hospital admissions
in aged polypharmacy patients.
AB - AIMS: The aims were to assess the impact of a medication review with follow-up
(MRF) service provided in community pharmacy to aged polypharmacy patients on the
number of medication-related hospital admissions and to estimate the effect on
hospital costs. METHODS: This was a sub-analysis of a cluster randomized
controlled trials carried out in 178 community pharmacies in Spain. Pharmacies in
the intervention group (IG) provided a comprehensive medication review during 6
months. Pharmacists in the comparison group (CG) delivered usual care. For the
purposes of this sub-analysis, an expert panel of three internal medicine
specialists screened the hospitalizations occurring during the main study, in
order to identify medication-related hospitalizations. Inter-rater reliability
was measured using Fleiss's kappa. Hospital costs were calculated using diagnosis
related groups. RESULTS: One thousand four hundred and three patients were
included in the main study and they had 83 hospitalizations. Forty-two
hospitalizations (50.6%) were medicine-related, with a substantial level of
agreement among the experts (kappa = 0.65, 95% CI 0.52, 0.78, P < 0.01). The
number of medication-related hospitalizations was significantly lower in patients
receiving MRF (IG 11, GC 31, P = 0.042). The probability of being hospitalized
was 3.7 times higher in the CG (odds ratio 3.7, 95% CI 1.2, 11.3, P = 0.021).
Costs for a medicine-related hospitalization were ?6672. Medication-related
hospitalization costs were lower for patients receiving MRF [IG: ?94 (SD 917);
CG: ?301 (SD 2102); 95% CI 35.9, 378.0, P = 0.018]. CONCLUSION: MRF provided by
community pharmacists might be an effective strategy to balance the assurance of
the benefit from medications and the avoidance of medication-related
hospitalizations in aged patients using polypharmacy.
PMID- 27195697
TI - The Cohort Study on Prediction of Incidence of All-Cause Mortality by Metabolic
Syndrome.
AB - AIM: The aim was to evaluate the impact of metabolic syndrome (MS), MS individual
components and 32 kinds of MS specific component combinations on all-cause
mortality risk in a fixed cohort of MJ check-up population. METHODS: We observed
the events of death in a fixed cohort, where the population was composed of
45,542 individuals aged 35-74 who were examined at MJ Health check-up Center in
1997 as baseline examination, and were followed up to 2005. Median duration of
follow-up was 7.44 years. MS was defined according to the National Cholesterol
Educational Program (the revised NCEP-ATPIII for Asian in 2004), the prevalence
of MS was standardized according to China's fifth census data. We constructed
common Cox regression model, simultaneously adjusting the classic risk factors
(such as age, sex, smoking, alcohol drinking, physical activity, family history,
etc.) to examine the relationship between MS, MS individual components and 32
kinds of MS specific component combinations on the occurrence of death with the
fixed cohort. RESULTS: The standardized prevalence of MS was 29.75% (male:
30.36%, female: 29.51%). There were 1,749 persons who died during the median 7.44
years follow-up, the mortality rate was 46 per 10,000 person years. The mortality
rates were 71 and 35 per 10,000 person years for those with and without MS,
respectively. After adjustment for age, sex and classical risk factors, compared
with subjects without MS, the hazard ratio of all-cause mortality was 1.26 (95%
CI: 1.14-1.40). The all-cause mortality were more highly significant than other
combinations (P <0.05) when the following combinations exist: "elevated blood
pressure", "elevated fasting plasma glucose + low high-density lipoprotein
cholesterol", "elevated blood pressure + elevated triglyceride + elevated fasting
plasma glucose", "elevated fasting plasma glucose + low high-density lipoprotein
cholesterol + elevated blood pressure + elevated triglyceride". After adjusting
age, sex and classical risk factors, the HRs for those with 0 to 5 components
were 1, 1.22, 1.25, 1.33, 1.66, and 1.92, respectively. There was a significant
dose-response relationship (P for liner trend <0.001) between the number of MS
components and the risk of all-cause mortality in the overall fixed cohort
sample. CONCLUSION: In a large scale middle-aged Taiwan check-up population, MS
may be associated with a much higher risk for all-cause mortality. These results
may underline the fact that MS is a non-homogeneous syndrome and have a
significant impact on detecting high-risk individuals suffering from metabolic
disorders for preventing and controlling death.
PMID- 27195698
TI - Breast Milk from Frequent Trans Fatty Acid Consumers Shows High Triglyceride and
Glucose Levels, but Low Cholesterol and Apolipoprotein A-I levels, with Resulting
Impaired In Vitro Zebrafish Embryo Growth and Survival.
AB - BACKGROUND: It is well known that breast milk is the best nutritional source for
infant growth. However, there has been no information about the quality of breast
milk from individuals who daily consume a trans fatty acid (TFA)-enriched diet.
SUBJECTS AND METHODS: We performed compositional and functional analyses with
breast milk from lactating mothers, in terms of lipid content and zebrafish
embryo survivability, among individuals who daily consumed TFA-enriched food (n =
5), normal diet as control (n = 5), and powder formula (n = 5). RESULTS: In lipid
content of breast milk, the control group showed 2.5- and 4.5-fold higher
cholesterol content than the TFA group and infant formula, respectively. The TFA
group and infant formula showed 1.8- and 2.0-fold higher triglyceride (TG) than
the control group. Moreover, the TFA group and formula showed 1.4- and 4.8-fold
higher glucose levels compared with control. The TFA group also showed 25% lower
protein content than control. Microinjection with breast milk (50 nL) from the
TFA group showed significantly lower zebrafish embryo survivability (50% +/- 4%)
compared with the control (66% +/- 5%), whereas microinjection with formula
showed the lowest survivability (39% +/- 5%) with the slowest developmental
speed. Immunodetection revealed that breast milk from the TFA group showed
smaller-sized apoA-I (25.5 +/- 0.6 kDa) than that from the control group (27.5 +/
1.5 kDa), whereas formula did not contain apoA-I. Larger apoA-I size in breast
milk was directly associated with higher embryo survivability. CONCLUSIONS:
Breast milk from the TFA group showed increased TG and loss of cholesterol,
lactalbumin (14 kDa), and apoA-I proteins, resulting in functional impairment of
development and growth.
PMID- 27195700
TI - Correction: Offshore Habitat Preference of Overwintering Juvenile and Adult Black
Sea Bass, Centropristis striata, and the Relationship to Year-Class Success.
AB - [This corrects the article DOI: 10.1371/journal.pone.0147627.].
PMID- 27195699
TI - The Splicing Efficiency of Activating HRAS Mutations Can Determine Costello
Syndrome Phenotype and Frequency in Cancer.
AB - Costello syndrome (CS) may be caused by activating mutations in codon 12/13 of
the HRAS proto-oncogene. HRAS p.Gly12Val mutations have the highest transforming
activity, are very frequent in cancers, but very rare in CS, where they are
reported to cause a severe, early lethal, phenotype. We identified an unusual,
new germline p.Gly12Val mutation, c.35_36GC>TG, in a 12-year-old boy with
attenuated CS. Analysis of his HRAS cDNA showed high levels of exon 2 skipping.
Using wild type and mutant HRAS minigenes, we confirmed that c.35_36GC>TG results
in exon 2 skipping by simultaneously disrupting the function of a critical Exonic
Splicing Enhancer (ESE) and creation of an Exonic Splicing Silencer (ESS). We
show that this vulnerability of HRAS exon 2 is caused by a weak 3' splice site,
which makes exon 2 inclusion dependent on binding of splicing stimulatory
proteins, like SRSF2, to the critical ESE. Because the majority of cancer- and CS
causing mutations are located here, they affect splicing differently. Therefore,
our results also demonstrate that the phenotype in CS and somatic cancers is not
only determined by the different transforming potentials of mutant HRAS proteins,
but also by the efficiency of exon 2 inclusion resulting from the different HRAS
mutations. Finally, we show that a splice switching oligonucleotide (SSO) that
blocks access to the critical ESE causes exon 2 skipping and halts proliferation
of cancer cells. This unravels a potential for development of new anti-cancer
therapies based on SSO-mediated HRAS exon 2 skipping.
PMID- 27195701
TI - Assessing Individual Intellectual Output in Scientific Research: Mexico's
National System for Evaluating Scholars Performance in the Humanities and the
Behavioral Sciences.
AB - Assessing the research of individual scholars is currently a matter of serious
concern and worldwide debate. In order to gauge the long-term efficacy and
efficiency of this practice, we carried out a limited survey of the operation and
outcome of Mexico's 30-year old National System of Investigators or SNI, the
country's main instrument for stimulating competitive research in science and
technology. A statistical random sample of researchers listed in the area of
Humanities and Behavioral Sciences-one of SNI's first and better consolidated
academic divisions comprising a wide range of research disciplines, from
philosophy to pedagogy to archaeology to experimental brain research-was screened
comparing individual ranks or "Levels of distinction" to actual compliance with
the SNI's own evaluation criteria, as reflected in major public databases of
scholarly production. The same analysis was applied to members of a recent Review
Committee, integrated by top-level researchers belonging to that general area of
knowledge, who have been in charge of assessing and ranking their colleagues. Our
results for both sets of scholars show wide disparity of individual productivity
within the same SNI Level, according to all key indicators officially required
(books issued by prestigious publishers, research articles appeared in indexed
journals, and formation of new scientists), as well as in impact estimated by
numbers of citations. Statistical calculation from the data indicates that 36% of
members in the Review Committee and 53% of researchers in the random sample do
not satisfy the official criteria requested for their appointed SNI Levels. The
findings are discussed in terms of possible methodological errors in our study,
of relevance for the SNI at large in relation to independent appraisals, of the
cost-benefit balance of the organization as a research policy tool, and of
possible alternatives for its thorough restructuring. As it currently stands SNI
is not a model for efficient and effectual national systems of research
assessment.
PMID- 27195703
TI - Coombs Positive Thrombotic Thrombocytopenic Purpura in a Male Pediatric Patient:
An Urgent Diagnostic Challenge.
AB - Thrombotic thrombocytopenic purpura (TTP) is a thrombotic microangiopathy often
caused by deficiency of von Willebrand (vW) factor cleaving protease, ADAMTS-13,
leading to large vW multimers and intravascular platelet aggregation. Hemolysis
in TTP is mechanical and nonimmune mediated, thus Coombs testing is usually
negative. We report a case of an adolescent with thrombocytopenia and Coombs
positive anemia, diagnosed with Evans syndrome, but ultimately found to have TTP.
TTP should be considered in children with thrombocytopenia and Coombs positive
anemia who are refractory to steroids or develop signs of microangiopathy.
Recognition of this presentation can lead to life-saving treatment with plasma
exchange.
PMID- 27195702
TI - Insights on the Optical Properties of Estuarine DOM - Hydrological and Biological
Influences.
AB - Dissolved organic matter (DOM) in estuaries derives from a diverse array of both
allochthonous and autochthonous sources. In the estuarine system Ria de Aveiro
(Portugal), the seasonality and the sources of the fraction of DOM that absorbs
light (CDOM) were inferred using its optical and fluorescence properties. CDOM
parameters known to be affected by aromaticity and molecular weight were
correlated with physical, chemical and meteorological parameters. Two sites,
representative of the marine and brackish water zones of the estuary, and with
different hydrological characteristics, were regularly surveyed along two years,
in order to determine the major influences on CDOM properties. Terrestrial
derived compounds are the predominant source of CDOM in the estuary during almost
all the year and the two estuarine zones presented distinct amounts, as well as
absorbance and fluorescence characteristics. Freshwater inputs have major
influence on the dynamics of CDOM in the estuary, in particular at the brackish
water zone, where accounted for approximately 60% of CDOM variability. With a
lower magnitude, the biological productivity also impacted the optical properties
of CDOM, explaining about 15% of its variability. Therefore, climate changes
related to seasonal and inter-annual variations of the precipitation amounts
might impact the dynamics of CDOM significantly, influencing its photochemistry
and the microbiological activities in estuarine systems.
PMID- 27195704
TI - Patient Navigation for Mothers with Depression who Have Children in Head Start: A
Pilot Study.
AB - This study assesses the potential of social work-facilitated patient navigation
to help mothers with depression engage with mental health care. We conducted a
randomized pilot trial (N = 47) in Head Start-a U.S. preschool program for low
income children. Seven lay navigators received training and supervision from
professional social workers. After 6 months, more navigated participants engaged
with a psychologist, therapist, or social worker (45% vs. 13%, 95% confidence
interval [CI] [2, 57]); engaged with any provider, (55% vs. 26%, 95% CI [1, 56]);
and reported having a "depression care provider" (80% vs. 41%, 95% CI [9, 65]).
Community-based navigation appears feasible; however, more definitive testing is
necessary.
PMID- 27195706
TI - Intracranial interhemispheric osteochondrolipoma: Diagnostic and surgical
challenges in an extremely rare entity.
AB - Intracranial lipomas are rare developmental lesions, predominantly occurring in
the interhemispheric location. Osteochondrolipoma is an extremely rare variant of
lipoma with osseous and chondroid differentiation. We present a case of
interhemispheric osteochondrolipoma, in a 2.5-years-old male child which was
detected antenatally, in association with corpus callosum agenesis. The lesion
progressively increased in size with resulting compression of surrounding
structures, and was subjected to microsurgical decompression. To the best of our
knowledge, this is the first case of intracranial interhemispheric
osteochondrolipoma in the existing medical literature. Peculiarities of this case
and the diagnostic and surgical challenges are discussed.
PMID- 27195705
TI - Analysis of Paired Primary-Metastatic Hormone-Receptor Positive Breast Tumors
(HRPBC) Uncovers Potential Novel Drivers of Hormonal Resistance.
AB - We sought to identify genetic variants associated with disease relapse and
failure to hormonal treatment in hormone-receptor positive breast cancer (HRPBC).
We analyzed a series of HRPBC with distant relapse, by sequencing pairs (n = 11)
of tumors (primary and metastases) at >800X. Comparative genomic hybridization
was performed as well. Top hits, based on the frequency of alteration and
severity of the changes, were tested in the TCGA series. Genes determining the
most parsimonious prognostic signature were studied for their functional role in
vitro, by performing cell growth assays in hormonal-deprivation conditions, a
setting that mimics treatment with aromatase inhibitors. Severe alterations were
recurrently found in 18 genes in the pairs. However, only MYC, DNAH5, CSFR1,
EPHA7, ARID1B, and KMT2C preserved an independent prognosis impact and/or showed
a significantly different incidence of alterations between relapsed and non
relapsed cases in the TCGA series. The signature composed of MYC, KMT2C, and
EPHA7 best discriminated the clinical course, (overall survival 90,7 vs. 144,5
months; p = 0.0001). Having an alteration in any of the genes of the signature
implied a hazard ratio of death of 3.25 (p<0.0001), and early relapse during the
adjuvant hormonal treatment. The presence of the D348N mutation in KMT2C and/or
the T666I mutation in the kinase domain of EPHA7 conferred hormonal resistance in
vitro. Novel inactivating mutations in KMT2C and EPHA7, which confer hormonal
resistance, are linked to adverse clinical course in HRPBC.
PMID- 27195709
TI - Radiation Exposure Decreases the Quantity and Quality of Cardiac Stem Cells in
Mice.
AB - Radiation exposure may increase cardiovascular disease risks; however, the
precise molecular/cellular mechanisms remain unclear. In the present study, we
examined the hypothesis that radiation impairs cardiac stem cells (CSCs), thereby
contributing to future cardiovascular disease risks. Adult C57BL/6 mice were
exposed to 3 Gy gamma-rays, and heart tissues were collected 24 hours later for
further experiments. Although c-kit-positive cells were rarely found, radiation
exposure significantly induced apoptosis and DNA damage in the cells of the
heart. The ex vivo expansion of CSCs from freshly harvested atrial tissues showed
a significantly lower production of CSCs in irradiated mice compared with healthy
mice. The proliferative activity of CSCs evaluated by Ki-67 expression was not
significantly different between the groups. However, compared to the healthy
control, CSCs expanded from irradiated mice showed significantly lower telomerase
activity, more 53BP1 foci in the nuclei, lower expression of c-kit and higher
expression of CD90. Furthermore, CSCs expanded from irradiated mice had
significantly poorer potency in the production of insulin-like growth factor-1.
Our data suggest that radiation exposure significantly decreases the quantity and
quality of CSCs, which may serve as sensitive bio-parameters for predicting
future cardiovascular disease risks.
PMID- 27195707
TI - Cluster Differentiating 36 (CD36) Deficiency Attenuates Obesity-Associated
Oxidative Stress in the Heart.
AB - RATIONALE: Obesity is often associated with a state of oxidative stress and
increased lipid deposition in the heart. More importantly, obesity increases
lipid influx into the heart and induces excessive production of reactive oxygen
species (ROS) leading to cell toxicity and metabolic dysfunction. Cluster
differentiating 36 (CD36) protein is highly expressed in the heart and regulates
lipid utilization but its role in obesity-associated oxidative stress is still
not clear. OBJECTIVE: The aim of this study was to determine the impact of CD36
deficiency on cardiac steatosis, oxidative stress and lipotoxicity associated
with obesity. METHODS AND RESULTS: Studies were conducted in control (Lean),
obese leptin-deficient (Lepob/ob) and leptin-CD36 double null (Lepob/obCD36-/-)
mice. Compared to lean mice, cardiac steatosis, and fatty acid (FA) uptake and
oxidation were increased in Lepob/ob mice, while glucose uptake and oxidation was
reduced. Moreover, insulin resistance, oxidative stress markers and NADPH oxidase
dependent ROS production were markedly enhanced. This was associated with the
induction of NADPH oxidase expression, and increased membrane-associated p47phox,
p67phox and protein kinase C. Silencing CD36 in Lepob/ob mice prevented cardiac
steatosis, increased insulin sensitivity and glucose utilization, but reduced FA
uptake and oxidation. Moreover, CD36 deficiency reduced NADPH oxidase activity
and decreased NADPH oxidase-dependent ROS production. In isolated cardiomyocytes,
CD36 deficiency reduced palmitate-induced ROS production and normalized NADPH
oxidase activity. CONCLUSIONS: CD36 deficiency prevented obesity-associated
cardiac steatosis and insulin resistance, and reduced NADPH oxidase-dependent ROS
production. The study demonstrates that CD36 regulates NADPH oxidase activity and
mediates FA-induced oxidative stress.
PMID- 27195721
TI - Particle Size-Selective Assessment of Protection of European Standard FFP
Respirators and Surgical Masks against Particles-Tested with Human Subjects.
AB - This study was conducted to investigate the protection of disposable filtering
half-facepiece respirators of different grades against particles between 0.093
and 1.61 MUm. A personal sampling system was used to particle size-selectively
assess the protection of respirators. The results show that about 10.9% of FFP2
respirators and 28.2% of FFP3 respirators demonstrate assigned protection factors
(APFs) below 10 and 20, which are the levels assigned for these respirators by
the British Standard. On average, the protection factors of FFP respirators were
11.5 to 15.9 times greater than those of surgical masks. The minimum protection
factors (PFs) were observed for particles between 0.263 and 0.384 MUm. No
significant difference in PF results was found among FFP respirator categories
and particle size. A strong association between fit factors and protection
factors was found. The study indicates that FFP respirators may not achieve the
expected protection level and the APFs may need to be revised for these classes
of respirators.
PMID- 27195720
TI - Development of the Arabic Spiritual Care Intervention-Provision Scale.
AB - AIMS AND OBJECTIVES: This study develops a new instrument, the Spiritual Care
Intervention-Provision Scale, and assesses its psychometric properties in an Arab
Muslim nurse sample. The Spiritual Care Intervention-Provision Scale was
developed to measure the frequency with which nurses provided aspects of
spiritual care. BACKGROUND: Most of the available spiritual care instruments were
developed in the West and reflect a predominantly Christian tradition. A review
of the literature on spiritual care in nursing revealed that no instrument exists
for measuring spiritual care interventions provided by nurses to Arab Muslim
patients. DESIGN: A cross-sectional descriptive and correlational design.
METHODS: Following an extensive literature search, review by an expert panel and
a pilot study which included patients' views regarding aspects of spiritual care
provided by nurses, the final version of the Spiritual Care Intervention
Provision Scale was tested in a convenience sample of 360 Jordanian Arab Muslim
nurses. Correlational and factor analysis were used. RESULTS: The internal
consistency of the Spiritual Care Intervention-Provision Scale was high, with
alpha coefficient of 0.85. The exploratory factor analysis supported a two-factor
structure for the Spiritual Care Intervention-Provision Scale as hypothesised. A
significant positive correlation between the Spiritual Care Intervention
Provision Scale and religiosity was in the expected direction though small in
magnitude. CONCLUSIONS: This study initiates the development of an instrument for
the provision of spiritual care intervention by nurses that balances the
religious and existential dimensions of spirituality. The Spiritual Care
Intervention-Provision Scale exhibited acceptable evidence of internal
consistency and validity among Jordanian Arab Muslim nurses. Further work was
suggested to firmly establish all aspects of this new scale. RELEVANCE TO
CLINICAL PRACTICE: This culturally specific instrument contributes to the
evaluation of the provision of spiritual care by Jordanian Muslim nurses to their
patients, to guide them in providing a comprehensive and appropriate spiritual
care interventions and to examine the effect of spiritual care on various aspects
of patient's quality of life.
PMID- 27195708
TI - Investigation of Genetic Variation Underlying Central Obesity amongst South
Asians.
AB - South Asians are 1/4 of the world's population and have increased susceptibility
to central obesity and related cardiometabolic disease. Knowledge of genetic
variants affecting risk of central obesity is largely based on genome-wide
association studies of common SNPs in Europeans. To evaluate the contribution of
DNA sequence variation to the higher levels of central obesity (defined as waist
hip ratio adjusted for body mass index, WHR) among South Asians compared to
Europeans we carried out: i) a genome-wide association analysis of >6M genetic
variants in 10,318 South Asians with focused analysis of population-specific
SNPs; ii) an exome-wide association analysis of ~250K SNPs in protein-coding
regions in 2,637 South Asians; iii) a comparison of risk allele frequencies and
effect sizes of 48 known WHR SNPs in 12,240 South Asians compared to Europeans.
In genome-wide analyses, we found no novel associations between common genetic
variants and WHR in South Asians at P<5x10-8; variants showing equivocal
association with WHR (P<1x10-5) did not replicate at P<0.05 in an independent
cohort of South Asians (N = 1,922) or in published, predominantly European meta
analysis data. In the targeted analyses of 122,391 population-specific SNPs we
also found no associations with WHR in South Asians at P<0.05 after multiple
testing correction. Exome-wide analyses showed no new associations between
genetic variants and WHR in South Asians, either individually at P<1.5x10-6 or
grouped by gene locus at P<2.5x10-6. At known WHR loci, risk allele frequencies
were not higher in South Asians compared to Europeans (P = 0.77), while effect
sizes were unexpectedly smaller in South Asians than Europeans (P<5.0x10-8). Our
findings argue against an important contribution for population-specific or
cosmopolitan genetic variants underlying the increased risk of central obesity in
South Asians compared to Europeans.
PMID- 27195723
TI - Philadelphia chromosome-positive acute lymphoblastic leukemia in China: a
retrospective study from the Chinese Childhood Cancer Group.
PMID- 27195724
TI - Intrauterine administration of human chorionic gonadotropin (hCG) for subfertile
women undergoing assisted reproduction.
AB - BACKGROUND: Subfertility affects 15% of couples and represents the inability to
conceive naturally following 12 months of regular unprotected sexual intercourse.
Assisted reproduction refers to procedures involving the in vitro handling of
both human gametes and represents a key option for many subfertile couples. Most
women undergoing assisted reproduction treatment will reach the stage of embryo
transfer (ET) but the proportion of embryos that successfully implant following
ET has remained small since the mid-1990s. Human chorionic gonadotropin (hCG) is
a hormone synthesised and released by the syncytiotrophoblast and has a
fundamental role in embryo implantation and the early stages of pregnancy.
Intrauterine administration of synthetic or natural hCG via an ET catheter during
a mock procedure around the time of ET is a novel approach that has recently been
suggested to improve the outcomes of assisted reproduction. OBJECTIVES: To
investigate whether the intrauterine administration of hCG around the time of ET
improves the clinical outcomes in subfertile women undergoing assisted
reproduction. SEARCH METHODS: We performed a comprehensive literature search of
the Cochrane Gynaecology and Fertility Group Specialised Register, Cochrane
Central Register of Controlled Trials (CENTRAL), MEDLINE, EMBASE, CINAHL,
PsycINFO, registers of ongoing trials andreference lists of all included studies
and relevant reviews (from inception to 10 November 2015), in consultation with
the Cochrane Gynaecology and Fertility Group Trials Search Co-ordinator.
SELECTION CRITERIA: We included all randomised controlled trials (RCTs)
evaluating intrauterine administration of hCG around the time of ET in this
review irrespective of language and country of origin. DATA COLLECTION AND
ANALYSIS: Two authors independently selected studies, assessed risk of bias,
extracted data from studies and attempted to contact the authors where data were
missing. We performed statistical analysis using Review Manager 5 in accordance
with the Cochrane Handbook for Systematic Reviews of Interventions. We assessed
evidence quality using GRADE methods. MAIN RESULTS: Twelve RCTs investigated the
effect of intrauterine administration of hCG for 4038 subfertile women undergoing
assisted reproduction. The intra-cavity hCG (IC-hCG) was administered in variable
doses at different timings before the ET. The source of hCG was from the urine of
pregnant women or from cell cultures using recombinant DNA technology.Most of the
studies (9/12) were at high risk of bias in at least one of the seven domains
assessed. Common problems were unclear reporting of study methods and lack of
blinding. The main limitations in the overall quality of the evidence were high
risk of bias and serious imprecision.For the analyses of live birth and clinical
pregnancy, there was considerable heterogeneity (I(2) greater than 75%) and we
did not undertake a meta-analysis. Exploration for the sources of heterogeneity
identified two key pre-specified variables as important determinants: stage of ET
(cleavage versus blastocyst stage) and dose of IC-hCG (less than 500
international units (IU) versus 500 IU or greater). We then performed meta
analysis for these analyses within the subgroups defined by stage of embryo and
dose of IC-hCG.There was an increase in live birth rate in the subgroup of women
having cleavage-stage ETs with an IC-hCG dose of 500 IU or greater compared to
women having cleavage-stage ETs with no IC-hCG (risk ratio (RR) 1.57, 95%
confidence interval (CI) 1.32 to 1.87, three RCTs, n = 914, I(2) = 0%, moderate
quality evidence). In a clinic with a live birth rate of 25% per cycle then the
use of IC-hCG -500 IU or greater would be associated with a live birth rate that
varies from 33% to 46%. We did not observe a significant effect on live birth in
any of the other subgroups.The was an increase in clinical pregnancy rate in the
subgroup of women having cleavage-stage ETs with an IC-hCG dose of 500 IU or
greater compared to women having cleavage-stage ETs with no IC-hCG (RR 1.41, 95%
CI 1.25 to 1.58, seven RCTs, n = 1414, I(2) = 0%, moderate quality evidence). We
did not observe a significant effect on clinical pregnancy in either of the other
subgroups.There was no evidence that miscarriage was influenced by intrauterine
hCG administration (RR 1.09, 95% CI 0.83 to 1.43, seven RCTs, n = 3395, I(2) =
0%, very low quality evidence).Other complications reported in the included
studies were ectopic pregnancy (three RCTs, n = 915, three events overall),
heterotopic pregnancy (one RCT, n = 495, one event), intrauterine death (two
RCTs, n = 978, 21 events) and triplets (one RCT, n = 48, three events). There was
no evidence of a difference between the groups, but there were too few events to
allow any conclusions to be drawn and the evidence was very low quality. AUTHORS'
CONCLUSIONS: The pregnancy outcome for cleavage-stage ETs using an IC-hCG dose of
500 IU or greater is promising. However, given the small size and the variable
quality of the trials and the fact that the positive finding was from a subgroup
analysis, the current evidence for IC-hCG treatment does not support its use in
assisted reproduction cycles. A definitive large clinical trial with live birth
as the primary outcome is recommended. There was no evidence that miscarriage was
influenced by intrauterine hCG administration, irrespective of embryo stage at
transfer or dose of IC-hCG. There were too few events to allow any conclusions to
be drawn with regard to other complications.
PMID- 27195736
TI - Reinforced fixation of distal fibula fractures in elderly patients; A meta
analysis of biomechanical studies.
AB - BACKGROUND: There is an increasing incidence of fragility fractures of the ankle
in the elderly population. The open reduction and internal fixation of these
fractures is challenging, due to reduced bone stock quality as a result of
osteoporosis. Biomechanical studies have shown contradicting results using
reinforced constructions in the fixation of fibular fractures. We therefore
performed a meta-analysis of biomechanical studies on reinforced fixation of
distal fibular fractures. METHODS: A literature search was conducted utilizing
three online databases considering biomechanical testing of different fixation
techniques of distal fibular fractures. A meta-analysis was performed on two
biomechanical outcome measures; torsional stiffness and torque to failure.
FINDINGS: In a total number of 13 studies 8 different reinforcement techniques
were identified. Of these studies, six compared locked lateral plating with
conventional lateral plating. There were no statistically significant differences
between the locking and non-locking lateral plate for torque to failure or
torsional stiffness. Locked plating strength was independent from bone mineral
density in four studies. An antiglide plate proved to be biomechanically superior
compared to a lateral plate in one study and to a locked plate in another.
INTERPRETATION: Locked lateral plates are not biomechanically superior to
conventional lateral plates. However the strength of locked plating may be
independent of bone mineral density and could make this technique more suitable
in the fixation of severe osteoporotic fractures.
PMID- 27195735
TI - Effects of training in minimalist shoes on the intrinsic and extrinsic foot
muscle volume.
AB - BACKGROUND: Minimalist shoes have gained popularity recently because it is
speculated to strengthen the foot muscles and foot arches, which may help to
resist injuries. However, previous studies provided limited evidence supporting
the link between changes in muscle size and footwear transition. Therefore, this
study sought to examine the effects of minimalist shoes on the intrinsic and
extrinsic foot muscle volume in habitual shod runners. The relationship between
participants' compliance with the minimalist shoes and changes in muscle ovolume
was also evaluated. METHODS: Twenty habitual shod runners underwent a 6-month
self-monitoring training program designed for minimalist shoe transition. Another
18 characteristics-matched shod runners were also introduced with the same
program but they maintained running practice with standard shoes. Runners were
monitored using an online surveillance platform during the program. We measured
overall intrinsic and extrinsic foot muscle volume before and after the program
using MRI scans. FINDINGS: Runners in the experimental group exhibited
significantly larger leg (P=0.01, Cohen's d=0.62) and foot (P<0.01, Cohen's
d=0.54) muscle after transition. Foot muscle growth was mainly contributed by the
forefoot (P<0.01, Cohen's d=0.64) but not the rearfoot muscle (P=0.10, Cohen's
d=0.30). Leg and foot muscle volume of runners in the control group remained
similar after the program (P=0.33-0.95). A significant positive correlation was
found between participants' compliance with the minimalist shoes and changes in
leg muscle volume (r=0.51; P=0.02). INTERPRETATION: Habitual shod runners who
transitioned to minimalist shoes demonstrated significant increase in leg and
foot muscle volume. Additionally, the increase in leg muscle volume was
significantly correlated associated with the compliance of minimalist shoe use.
PMID- 27195722
TI - Role of Human Corneal Stroma-Derived Mesenchymal-Like Stem Cells in Corneal
Immunity and Wound Healing.
AB - Corneal tissue regeneration is of crucial importance for maintaining normal
vision. We aimed to isolate and cultivate human corneal stroma-derived
mesenchymal stem-like cells (CSMSCs) from the central part of cadaver corneas and
study their phenotype, multipotency, role in immunity and wound healing. The
isolated cells grew as monolayers in vitro, expressed mesenchymal- and stemness
related surface markers (CD73, CD90, CD105, CD140b), and were negative for
hematopoietic markers as determined by flow cytometry. CSMSCs were able to
differentiate in vitro into fat, bone and cartilage. Their gene expression
profile was closer to bone marrow-derived MSCs (BMMSCs) than to limbal epithelial
stem cells (LESC) as determined by high-throughput screening. The
immunosuppressive properties of CSMSCs were confirmed by a mixed lymphocyte
reaction (MLR), while they could inhibit proliferation of activated immune cells.
Treatment of CSMSCs by pro-inflammatory cytokines and toll-like receptor ligands
significantly increased the secreted interleukin-6 (IL-6), interleukin-8 (IL-8)
and C-X-C motif chemokine 10 (CXCL-10) levels, as well as the cell surface
adhesion molecules. CSMSCs were capable of closing a wound in vitro under
different stimuli. These cells thus contribute to corneal tissue homeostasis and
play an immunomodulatory and regenerative role with possible implications in
future cell therapies for treating sight-threatening corneal diseases.
PMID- 27195738
TI - Induced Hypothermia Preserves the Functional Enterocyte Mass in A Porcine
Multiple Trauma Model: Voluntary Retraction.
PMID- 27195737
TI - Biomechanical evaluation of the simple cinch stitch for arthroscopic rotator cuff
repair.
AB - BACKGROUND: The tissue-suture interface is described as the most vulnerable and
susceptible area in the muscle-tendon-bone construction of arthroscopic rotator
cuff repair. Various stitching techniques have been described to enhance the
strength, fixation and stability of the repair, but technical and biomechanical
challenges remain. Purpose was to examine the biomechanical properties of the
simple cinch stitch in comparison to other stitches commonly used for rotator
cuff repair. METHODS: Infraspinatus tendons were harvested from sheep and split
in half. The tendons were randomized into five different stitch configuration
groups for biomechanical testing: simple stitch; horizontal stitch;
FiberChain(r); simple cinch stitch; and modified Mason-Allen stitch. Each
specimen was first cyclically loaded on a universal materials testing machine
under force control from 5 to 30N at 0.25Hz for twenty cycles. Then, each
specimen was loaded to failure under displacement control at a rate of 1mm/s.
Cyclic elongation, peak-to-peak displacement and ultimate tensile load were
measured. The type of failure was recorded. FINDINGS: No differences in cyclic
elongation or peak-to-peak displacement were seen between stitch configurations.
In the load-to-failure test, the simple cinch stitch demonstrated significantly
higher ultimate load than the simple and the horizontal stitch configurations.
The comparison to the FiberChain(r) Suture revealed no statistical significant
differences. The FiberChain(r) Suture demonstrated significantly higher ultimate
load than the simple stitch. No statistical significance could be demonstrated in
comparison to the horizontal stitch or the simple cinch stitch. The ultimate
tensile load of the modified Mason-Allen stitch was significantly higher than
that of the other stitch configurations. INTERPRETATION: The simple cinch stitch
has an ultimate tensile load comparable to the FiberChain(r) suture and is
superior to the simple stitch and the horizontal stitch. The major advantage of
the simple cinch technique is that it is possible to perform the stitch entirely
arthroscopically, without the need to perforate the tissue a second time or to
use special suture materials. STUDY DESIGN: Controlled laboratory study.
PMID- 27195740
TI - An Organolanthanide Building Block Approach to Single-Molecule Magnets.
AB - Single-molecule magnets (SMMs) are highly sought after for their potential
application in high-density information storage, spintronics, and quantum
computing. SMMs exhibit slow relaxation of the magnetization of purely molecular
origin, thus making them excellent candidates towards the aforementioned
applications. In recent years, significant focus has been placed on the rare
earth elements due to their large intrinsic magnetic anisotropy arising from the
near degeneracy of the 4f orbitals. Traditionally, coordination chemistry has
been utilized to fabricate lanthanide-based SMMs; however, heteroatomic donor
atoms such as oxygen and nitrogen have limited orbital overlap with the shielded
4f orbitals. Thus, control over the anisotropic axis and induction of f-f
interactions are limited, meaning that the performance of these systems can only
extend so far. To this end, we have placed considerable attention on the
development of novel SMMs whose donor atoms are conjugated hydrocarbons, thereby
allowing us to perturb the crystal field of lanthanide ions through the use of an
electronic pi-cloud. This approach allows for fine tuning of the anisotropic axis
of the molecule, allowing this method the potential to elicit SMMs capable of
reaching much larger values for the two vital performance measurements of an SMM,
the energy barrier to spin reversal (Ueff), and the blocking temperature of the
magnetization (TB). In this Account, we describe our efforts to exploit the
inherent anisotropy of the late 4f elements; namely, Dy(III) and Er(III), through
the use of cyclooctatetraenyl (COT) metallocenes. With respect to the Er(III)
derivatives, we have seen record breaking success, reaching blocking temperatures
as high as 14 K with frozen solution magnetometry. These results represent the
first example of such a high TB being observed for a system with only a single
spin center, formally known as a single-ion magnet (SIM). Our continued
interrelationship between theoretical and experimental chemistry allows us to
shed light on the mechanisms and electronic properties that govern the slow
relaxation dynamics inherent to this unique set of SMMs, thus providing insight
into the role by which both symmetry and crystal field effects contribute to the
magnetic properties. As we look to the future success of such materials in
practical devices, we must gain an understanding of how the 4f elements
communicate magnetically, a subject upon which there is still limited knowledge.
As such, we have described our work on coupling mononuclear metallocenes to
generate new dinuclear SMMs. Through a building block approach, we have been able
to gain access to new double,- triple- and quadruple-decker complexes that
possess remarkable properties; exhibiting TB of 12 K and Ueff above 300 K. Our
goal is to develop a fundamental platform from which to study 4f coupling, while
maintaining and enhancing the strict axiality of the anisotropy of the 4f ions.
This Account will present a successful strategy employed in the production of
novel and high-performing SMMs, as well as a clear overview of the lessons
learned throughout.
PMID- 27195739
TI - The Inhibition of Mast Cell Activation of Radix Paeoniae alba Extraction
Identified by TCRP Based and Conventional Cell Function Assay Systems.
AB - Chinese herbs have long been used to treat allergic disease, but recently the
development was greatly impeded by the lack of good methods to explore the
mechanism of action. Here, we showed the effects of Chinese herb Radix Paeoniae
alba were identified and characterized by a mast cell activation assay that
involves electronic impedance readouts for dynamic monitoring of cellular
responses to produce time-dependent cell responding profiles (TCRPs), and the
anti-allergic activities were further confirmed with various conventional
molecular and cell biology tools. We found Radix P. alba can dose-dependently
inhibit TCPRs, and have anti-allergic function in vitro and in vivo. Radix P.
alba suppressed mast cell degranulation not only inhibiting the translocation of
granules to the plasma membrane, but also blocking membrane fusion and
exocytosis; and that there may be other anti-allergic components in addition to
paeoniflorin. Our results suggest that Radix P. alba regulated mast cell
activation with multiple targets, and this approach is also suitable for
discovering other mast cell degranulation-targeting Chinese herbs and their
potential multi-target mechanisms.
PMID- 27195741
TI - Ramp Study Hemodynamics, Functional Capacity, and Outcome in Heart Failure
Patients with Continuous-Flow Left Ventricular Assist Devices.
AB - Ramp studies-measuring changes in cardiac parameters as a function of serial pump
speed changes (revolutions per minute [rpm])-are increasingly used to evaluate
function and malfunction of continuous-flow left ventricular assist devices (CF
LVADs). We hypothesized that ramp studies can predict functional capacity,
quality of life (QOL), and survival in CF-LVAD patients. Hemodynamic changes per
Deltarpm were measured at a minimum of CF-LVAD support, at baseline pump speed,
and at maximal tolerable pump speed. Subsequently functional capacity and QOL
were assessed. Eighty ramp tests were performed in 44 patients (HeartMate II,
Thoratec Corporation, Pleasanton, CA). Functional status was evaluated in 70%
(31/44); average 6 minute walk test (6MWT) was 312 +/- 220 min, New York Heart
Association (NYHA) I-II/III-IV (70/30%) and activity scores very low-low/moderate
very high (55/45%). Decrease in pulmonary capillary wedge pressure per Deltarpm
was related to better NYHA classification; NYHA I-II vs. III-IV, -0.29 +/- 0.15
vs. -0.09 +/- 0.16 mm Hg/rpm * 10 (p = 0.007) as well as to activity score; very
low-low vs. moderate-very high, -0.16 +/- 0.16 vs. -0.31 +/- 0.16 mm Hg/rpm * 10
(p = 0.02). Cardiac output change per Deltarpm was correlated to measures of QOL.
Ramp tests did not predict survival. In conclusion, hemodynamic changes during
ramp studies are associated with measures of functional capacity and QOL. Hence,
such tests could potentially identify patients in risk of failure to thrive
during CF-LVAD support.
PMID- 27195742
TI - Design Rationale and Preclinical Evaluation of the HeartMate 3 Left Ventricular
Assist System for Hemocompatibility.
AB - The HeartMate 3 (HM3) left ventricular assist device (LVAD) is designed to
support advanced heart failure patients. This centrifugal flow pump has a
magnetically levitated rotor, artificial pulse, textured blood-contacting
surfaces, optimized fluid dynamics, large blood-flow gaps, and low shear stress.
Preclinical tests were conducted to assess hemocompatibility. A computational
fluid dynamics (CFD) model guided design for low shear stress and sufficient
washing. Hemolysis testing was conducted on six pumps. Plasma-free hemoglobin
(PfHb) and modified index of hemolysis (MIH) were compared with HeartMate II
(HMII). CFD showed secondary flow path residence times between 27 and 798 min,
comparable with main flow residence times between 118 and 587 min; HM3 vs. HMII
shear stress exposure above 150 Pa was 3.3 vs. 11 mm within the pump volume and
134 vs. 604 mm on surfaces. In in vitro hemolysis tests at 2, 5, and 10 L/min,
average pfHb 6 hours after test initiation was 58, 74, and 157 mg/dl, compared
with 112, 123, and 353 mg/dl for HMII. The HM3/HMII ratio of average MIH at 2, 5,
and 10 L/min was 0.29, 0.36, and 0.22. Eight 60 day bovine implants were tested
with average flow rates from 5.6 to 6.4 L/min with no device failures,
thrombosis, or hemolysis. Results support advancing HM3 to clinical trials.
PMID- 27195743
TI - Noncardiac Surgery: Some Care During Mechanical Circulatory Support Should Not Be
Shared.
PMID- 27195744
TI - Prevalence of De Novo Aortic Valve Insufficiency in Patients After HeartWare VAD
Implantation with an Intermittent Low-Speed Algorithm.
AB - De novo aortic valve insufficiency (AI) is a frequent occurrence in patients
supported with left ventricular assist device (LVAD). The European version of the
HeartWare LVAD has intermittent low-speed software (lavare cycle) to facilitate
intermittent aortic valve opening. We examined aortic valve opening status and
prevalence of AI in patients supported with HeartWare LVAD and activated lavare
cycle. HeartWare LVAD patients were prospectively monitored using serial
echocardiograms at different time points after the LVAD implantation. Inclusion
criteria were patients with no > mild AI and/or no aortic valve surgery at the
time of LVAD implantation and at least 60 days of support. Three of 37 patients
had aortic valve surgery and were excluded from the analysis. A total of 34
patients with mean age of 57 +/- 12 years met the inclusion criteria. After
median support duration of 408 days (77-1250 days), eight patients had trace/mild
AI (24%) and one patient developed moderate AI (3%). An average pump flow, speed,
and mean arterial pressure of 4.4 +/- 0.6 L/min, 2,585 +/- 147 rpm, and 88 +/- 11
mmHg were documented, respectively. Aortic valve opening was persistently seen in
22 patients (65%). Aortic valve opening is frequent, and the development of >
mild AI seems to be rare in patients supported with HeartWare LVAD.
PMID- 27195745
TI - Experimental Venoarterial Extracorporeal Membrane Oxygenation Induces Left
Ventricular Dysfunction.
AB - Venoarterial extracorporeal membrane oxygenation (VA-ECMO) has experienced an
increased use in acute cardiac failure. There are some reports on negative
effects of VA-ECMO on cardiac function, such as left ventricular (LV) dilatation
and cardiac stun, but the support in the literature is scarce. This study
investigates the effects of experimental VA-ECMO on LV function in both
peripheral and central cannulation. Ten pigs were randomized to VA-ECMO by either
peripheral cannulation through the femoral vessels or central cannulation in the
right atrium and ascending aorta. Left ventricular performance was measured with
pressure-volume catheters during 5 hours of VA-ECMO. The LV end-diastolic and end
systolic volumes increased comparably in both groups during ECMO. Left
ventricular ejection fraction, stroke work, and maximum rate of pressure change
decreased comparably in both groups as a function of time on ECMO. The site of
cannulation had no impact on the LV response to ECMO. In conclusion, VA-ECMO
increased LV volumes and reduced LV function, irrespective of cannulation site in
this experimental model. Reduced LV ejection fraction and stroke work indicated
LV dysfunction during ECMO.
PMID- 27195746
TI - A Retrospective Observational Case Series of Low-Flow Venovenous Extracorporeal
Carbon Dioxide Removal Use in Patients with Respiratory Failure.
AB - We aimed to describe the use of venovenous extracorporeal carbon dioxide removal
(ECCO2R) in patients with hypercapnic respiratory failure. We performed a
retrospective case note review of patients admitted to our tertiary regional
intensive care unit and commenced on ECCO2R from August 2013 to February 2015.
Fourteen patients received ECCO2R. Demographic data, physiologic data (including
pH and partial pressure of carbon dioxide in arterial blood [PaCO2]) when
starting ECCO2R (t = 0), at 4 hourly intervals for the first 24 hours, then at 24
hour intervals until cessation of ECCO2R, and overall outcome were recorded.
Patients are reported separately depending on whether the indication for ECCO2R
was an exacerbation of chronic obstructive pulmonary disease (COPD; n = 5), or
acute respiratory distress syndrome (ARDS) and persisting hypercapnoea (n = 9).
Patients were managed with ECCO2R (Hemolung, ALung Inc, Pittsburgh, PA). Median
duration of ECCO2R was 5 days. Four complications related to ECCO2R were
reported, none resulting in serious adverse outcomes. Ten patients were
discharged from intensive care unit (ICU) alive. A statistically significant
improvement in pH (p = 0.012) was demonstrated. Our observational series of
ECCO2R shows that this technique can be safely used to achieve therapeutic goals
in patients requiring lung protection, and in COPD, in line with current
publications in this area.
PMID- 27195747
TI - Multicolor-Encoded Reconfigurable DNA Nanostructures Enable Multiplexed Sensing
of Intracellular MicroRNAs in Living Cells.
AB - Despite the widespread utilization of gold nanoparticles and graphene for in vivo
applications, complex steps for the preparation and functionalization of these
nanomaterials are commonly required. In addition, the cytotoxicity of such
materials is currently still under debate. In this work, by taking the
significant advantages of DNA in terms of biocompatibility, nontoxicity, and
controllability as building blocks for DNA nanostructures, we describe the
construction of a reconfigurable, multicolor-encoded DNA nanostructure for
multiplexed monitoring of intracellular microRNAs (miRNAs) in living cells. The
DNA nanostructure nanoprobes containing two fluorescently quenched hairpins can
be obtained by simple thermal annealing of four ssDNA oligonucleotides. The
presence of the target miRNAs can unfold the hairpin structures and recover
fluorescent emissions at distinct wavelengths to achieve multiplexed detection of
miRNAs. Importantly, the DNA nanostructure nanoprobes exhibit significantly
improved stability over conventional DNA molecular beacon probes in cell lysates
and can steadily enter cells to realize simultaneous detection of two types of
intracellular miRNAs. The demonstration of the self-assembled DNA nanostructures
for intracellular sensing thus offers great potential application of these
nanoprobes for imaging, drug delivery and cancer therapy in vivo.
PMID- 27195748
TI - Spontaneous Resolution of a Tracheoesophageal Fistula Caused by Button Battery
Ingestion.
PMID- 27195749
TI - Dot Display Affects Approximate Number System Acuity and Relationships with
Mathematical Achievement and Inhibitory Control.
AB - Much research has investigated the relationship between the Approximate Number
System (ANS) and mathematical achievement, with continued debate surrounding the
existence of such a link. The use of different stimulus displays may account for
discrepancies in the findings. Indeed, closer scrutiny of the literature suggests
that studies supporting a link between ANS acuity and mathematical achievement in
adults have mostly measured the ANS using spatially intermixed displays (e.g. of
blue and yellow dots), whereas those failing to replicate a link have primarily
used spatially separated dot displays. The current study directly compared ANS
acuity when using intermixed or separate dots, investigating how such
methodological variation mediated the relationship between ANS acuity and
mathematical achievement. ANS acuity was poorer and less reliable when measured
with intermixed displays, with performance during both conditions related to
inhibitory control. Crucially, mathematical achievement was significantly related
to ANS accuracy difference (accuracy on congruent trials minus accuracy on
incongruent trials) when measured with intermixed displays, but not with separate
displays. The findings indicate that methodological variation affects ANS acuity
outcomes, as well as the apparent relationship between the ANS and mathematical
achievement. Moreover, the current study highlights the problem of low
reliabilities of ANS measures. Further research is required to construct ANS
measures with improved reliability, and to understand which processes may be
responsible for the increased likelihood of finding a correlation between the ANS
and mathematical achievement when using intermixed displays.
PMID- 27195751
TI - The Diagnostic Challenge of Group 2 Pulmonary Hypertension.
AB - Pulmonary hypertension (PH) secondary to left heart diseases associated with an
increased pulmonary venous pressure is the second of a total of five groups
recognized in the classification of PH. Group 2 PH is the commonest form of PH,
and is associated with high morbidity and mortality. The diagnosis of group 2 PH
relies on a clinical probability assessment in which echocardiography plays a
major role, eventually followed by the invasive measurements of a mean pulmonary
artery pressure (mPAP) >=25mmHg and a wedged PAP (PAWP) >15mmHg. This combination
of mPAP and PAWP defines "post-capillary PH" (pcPH). Post-capillary PH is most
often associated with a diastolic pressure gradient (DPG) or gradient between
diastolic PAP and PAWP <7mmHg and/or a pulmonary vascular resistance (PVR)
<=3Wood units (WU), and is called isolated pcPH (IpcPH). Postcapillary PH with a
DPG >=7mmHg and/or a PVR >3WU is then combined pre- and postcapillary PH (CpcPH).
Post-capillary PH is associated with a decreased survival in proportion to
increased PAP and decreased right ventricular (RV) ejection fraction. CpcPH
occurs in 12-13% of patients with pcPH. CpcPH is associated with pulmonary
vascular remodeling and altered RV-arterial coupling. The prognosis of CpcPH is
poor.
PMID- 27195750
TI - Psychometric Characteristics of a Patient Reported Outcome Measure on Ego
Integrity and Despair among Cancer Patients.
AB - PURPOSE: To evaluate psychometric characteristics of a questionnaire (the
Northwestern Ego-integrity Scale (NEIS)) on ego-integrity (the experience of
wholeness and meaning in life, even in spite of negative experiences) and despair
(the experience of regret about the life one has led, and feelings of sadness,
failure and hopelessness) among cancer patients. METHODS: Cancer patients (n =
164) completed patient reported outcome measures on ego-integrity and despair
(NEIS), psychological distress, anxiety and depression (Hospital Anxiety and
Depression Scale (HADS)), and quality of life (EORTC QLQ-C30 (cancer survivors, n
= 57) or EORTC QLQ-C15-PAL (advanced cancer patients, n = 107)). Confirmatory
Factor Analysis was used to assess construct validity. Cronbach's alpha was used
to assess internal consistency. Convergent validity was tested based on a priori
defined hypotheses: a higher level of ego-integrity was expected to be related to
a higher level of quality of life, and lower levels of distress, depression and
anxiety; a higher level of despair was expected to be related to a lower level of
quality of life, and higher levels of distress, depression and anxiety. RESULTS:
The majority of all items (94.5%) of the NEIS were completed by patients and
single item missing rate was below 2%. The two subscales, labeled as Ego
integrity (5 items) and Despair (4 items) had acceptable internal consistency
(Cronbach's alpha .72 and .61, respectively). The Ego-integrity subscale was not
significantly associated with quality of life, distress, anxiety, or depression.
The Despair subscale correlated significantly (p <.001) with quality of life (r =
-.29), distress (r = .44), anxiety (r = .47) and depression (r = .32).
CONCLUSION: The NEIS has good psychometric characteristics to assess ego
integrity and despair among cancer patients.
PMID- 27195752
TI - Lung Capillary Stress Failure and Arteriolar Remodelling in Pulmonary
Hypertension Associated with Left Heart Disease (Group 2 PH).
AB - Left heart diseases (LHD) represent the most prevalent cause of pulmonary
hypertension (PH), yet there are still no approved therapies that selectively
target the pulmonary circulation in LHD. The increase in pulmonary capillary
pressure due to LHD is a triggering event leading to physical and biological
alterations of the pulmonary circulation. Acutely, mechanosensitive endothelial
dysfunction and increased capillary permeability combined with reduced fluid
resorption lead to the development of interstitial and alveolar oedema. From
repeated cycles of such capillary stress failure originate more profound changes
with pulmonary endothelial dysfunction causing increased basal and reactive
pulmonary vascular tone. This contributes to pulmonary vascular remodelling with
increased arterial wall thickness, but most prominently, to alveolar wall
remodelling characterized by myofibroblasts proliferation with collagen and
interstitial matrix deposition. Although protective against acute pulmonary
oedema, alveolar wall thickening becomes maladaptive and is responsible for the
development of a restrictive lung syndrome and impaired gas exchanges
contributing to shortness of breath and PH. Increasing awareness of these
processes is unraveling novel pathophysiologic processes that could represent
selective therapeutic targets. Thus, the roles of caveolins, of the intermediate
myofilament nestin and of endothelial calcium dyshomeostasis were recently
evaluated in pre-clinical models. The pathophysiology of PH due to LHD (group II
PH) is distinctive from other groups of PH. Therefore, therapies targeting PH due
to LHD must be evaluated in that context.
PMID- 27195753
TI - Differential Action between Schisandrin A and Schisandrin B in Eliciting an Anti
Inflammatory Action: The Depletion of Reduced Glutathione and the Induction of an
Antioxidant Response.
AB - Schisandrin A (Sch A) and schisandrin B (Sch B) are active components of
Schisandrae Fructus. We compared the biochemical mechanism underlying the anti
inflammatory action of Sch A and Sch B, using cultured lipopolysaccharide (LPS)
stimulated RAW264.7 macrophages and concanavalin (ConA)-stimulated mouse
splenocytes. Pre-incubation with Sch A or Sch B produced an anti-inflammatory
action in LPS-stimulated RAW264.7 cells, as evidenced by the inhibition of the
pro-inflammatory c-Jun N-terminal kinases/p38 kinase/nuclear factor-kappaB
signaling pathway as well as the suppression of various pro-inflammatory
cytokines and effectors, with the extent of inhibition by Sch A being more
pronounced. The greater activity of Sch A in anti-inflammatory response was
associated with a greater decrease in cellular reduced glutathione (GSH) level
and a greater increase in glutathione S-transferase activity than corresponding
changes produced by Sch B. However, upon incubation, only Sch B resulted in the
activation of the nuclear factor (erythroid-derived 2)-like factor 2 and the
induction of a significant increase in the expression of thioredoxin (TRX) in
RAW264.7 cells. The Sch B-induced increase in TRX expression was associated with
the suppression of pro-inflammatory cytokines and effectors in LPS-stimulated
macrophages. Studies in a mouse model of inflammation (carrageenan-induced paw
edema) indicated that while long-term treatment with either Sch A or Sch B
suppressed the extent of paw edema, only acute treatment with Sch A produced a
significant degree of inhibition on the inflammatory response. Although only Sch
A decreased the cellular GSH level and suppressed the release of pro-inflammatory
cytokines and cell proliferation in ConA-simulated splenocytes in vitro, both Sch
A and Sch B treatments, while not altering cellular GSH levels, suppressed ConA
stimulated splenocyte proliferation ex vivo. These results suggest that Sch A and
Sch B may act differentially on activating GST/ depleting cellular GSH and
inducing an antioxidant response involved in their anti-inflammatory actions.
PMID- 27195756
TI - Learning to Select Supplier Portfolios for Service Supply Chain.
AB - The research on service supply chain has attracted more and more focus from both
academia and industrial community. In a service supply chain, the selection of
supplier portfolio is an important and difficult problem due to the fact that a
supplier portfolio may include multiple suppliers from a variety of fields. To
address this problem, we propose a novel supplier portfolio selection method
based on a well known machine learning approach, i.e., Ranking Neural Network
(RankNet). In the proposed method, we regard the problem of supplier portfolio
selection as a ranking problem, which integrates a large scale of decision making
features into a ranking neural network. Extensive simulation experiments are
conducted, which demonstrate the feasibility and effectiveness of the proposed
method. The proposed supplier portfolio selection model can be applied in a real
corporation easily in the future.
PMID- 27195755
TI - The development of visual preferences for direct versus averted gaze faces in
infant macaques (Macaca mulatta).
AB - Human and nonhuman primates show a preference for looking at faces with direct
gaze. In humans, this preference emerges shortly after birth, but little is known
about the development of gaze preferences in monkeys. This study tracked the
development of gaze preferences in infant monkeys from birth through 6 months of
age using infrared eye-tracking. Although absent in the first week, a strong
significant preference for direct compared to averted gaze faces emerged rapidly,
peaking around 2 months of age. When looking at the eyes, the monkeys' fixations
were equivalent in duration for both gaze types in the first 2 months, but
thereafter remained longer for the averted gaze faces. Therefore, the infants
spent a greater proportion of time overall, but made shorter fixations, when
looking at the direct compared to averted gaze faces. These results suggest that
monkeys develop an efficient strategy when viewing the preferred direct gaze
faces that involves longer viewing times, but shorter fixations.
PMID- 27195757
TI - Prioritizing government funding of adolescent vaccinations: recommendations from
young people on a citizens' jury.
AB - OBJECTIVE: Adolescents' views, and preferences are often over-looked when public
health policies that affect them are designed and implemented. The purpose of
this study was to describe young people's views and preferences for determining
government funding priorities for adolescent immunization programs. METHODS: In
2015 we conducted a youth jury in metropolitan Adelaide, South Australia to
deliberate on the question "What criteria should we use to decide which vaccines
for young people in Australia should receive public funding?" Fifteen youth aged
15-19 years participated in the jury. Jury members were recruited from the
general community through a market research company using a stratified sampling
technique. RESULTS: The jury's key priorities for determining publically funded
vaccines were: Disease severity - whether the vaccine preventable disease (VPD)
was life threatening and impacted on quality of life. Transmissibility - VPDs
with high/fast transmission and high prevalence. Demonstration of cost
effectiveness, taking into account purchase price, program administration,
economic and societal gain. The jury's recommendations for vaccine funding policy
were strongly underpinned by the belief that it was critical to ensure that
funding was targeted to not only population groups who would be medically at risk
from vaccine preventable diseases, but also to socially and economically
disadvantaged population groups. A novel recommendation proposed by the jury was
that there should be a process for establishing criteria to remove vaccines from
publically funded programs as a complement to the process for adding new
vaccines. CONCLUSIONS: Young people have valuable contributions to make in
priority setting for health programs and their views should be incorporated into
the framing of health policies that directly affect them.
PMID- 27195758
TI - Contributions and challenges for worldwide vaccine safety: The Global Advisory
Committee on Vaccine Safety at 15 years.
AB - In 1999, the Global Advisory Committee on Vaccine Safety (GACVS) was established
by the World Health Organization (WHO) to provide independent scientific advice
on issues relating to the safety of vaccines and immunization. Fifteen years
onward, we conducted a multi-faceted review to evaluate the impact, reach and
challenges facing GACVS, including the role GACVS plays in informing global,
regional and WHO member state vaccine policy. The methods included measures of
organizational structure, citation impact, themes approached, and a discussion by
previous and current members to evaluate past, present and future challenges.
Given the increasing range of data sources and the deployment of many new
vaccines, the Committee is facing the complex task of identifying the best
available evidence for recommendations on vaccine safety. To help meet the
increased demand for public transparency in decision making, GACVS-structured
methodology for evidence-based decisions is evolving. GACVS also promotes best
practices and capacity building for timely and accurate risk assessment; risk
communications; outreach to help countries maintain and, if needed, rebuild
public trust in vaccines; and advocacy for bridging the major gaps in vaccine
safety capacity globally.
PMID- 27195754
TI - Ubr3, a Novel Modulator of Hh Signaling Affects the Degradation of Costal-2 and
Kif7 through Poly-ubiquitination.
AB - Hedgehog (Hh) signaling regulates multiple aspects of metazoan development and
tissue homeostasis, and is constitutively active in numerous cancers. We
identified Ubr3, an E3 ubiquitin ligase, as a novel, positive regulator of Hh
signaling in Drosophila and vertebrates. Hh signaling regulates the Ubr3-mediated
poly-ubiquitination and degradation of Cos2, a central component of Hh signaling.
In developing Drosophila eye discs, loss of ubr3 leads to a delayed
differentiation of photoreceptors and a reduction in Hh signaling. In zebrafish,
loss of Ubr3 causes a decrease in Shh signaling in the developing eyes, somites,
and sensory neurons. However, not all tissues that require Hh signaling are
affected in zebrafish. Mouse UBR3 poly-ubiquitinates Kif7, the mammalian
homologue of Cos2. Finally, loss of UBR3 up-regulates Kif7 protein levels and
decreases Hh signaling in cultured cells. In summary, our work identifies Ubr3 as
a novel, evolutionarily conserved modulator of Hh signaling that boosts Hh in
some tissues.
PMID- 27195759
TI - Barriers to timely administration of birth dose vaccines in The Gambia, West
Africa.
AB - OBJECTIVE: Although vaccine coverage in infants in sub-Saharan Africa is high,
this is estimated at the age of 6-12 months. There is little information on the
timely administration of birth dose vaccines. The objective of this study was to
assess the timing of birth dose vaccines (hepatitis B, BCG and oral polio) and
reasons for delayed administration in The Gambia. METHODS: We used vaccination
data from the Farafenni Health and Demographic Surveillance System (FHDSS)
between 2004 and 2014. Coverage was calculated at birth (0-1 day), day 7, day 28,
6 months and 1 year of age. Logistic regression models were used to identify
demographic and socio-economic variables associated with vaccination by day 7 in
children born between 2011 and 2014. RESULTS: Most of the 10,851 children had
received the first dose of hepatitis B virus (HBV) vaccine by the age of 6 months
(93.1%). Nevertheless, only 1.1% of them were vaccinated at birth, 5.4% by day 7,
and 58.4% by day 28. Vaccination by day 7 was associated with living in urban
areas (West rural: adjusted OR (AOR)=6.13, 95%CI: 3.20-11.75, east rural:
AOR=6.72, 95%CI: 3.66-12.33) and maternal education (senior-educations: AOR=2.43,
95%CI: 1.17-5.06); and inversely associated with distance to vaccination delivery
points (?2km: AOR=0.41, 95%CI: 0.24-0.70), and Fula ethnicity (AOR=0.60, 95%CI:
0.40-0.91). CONCLUSION: Vaccine coverage in The Gambia is high but infants are
usually vaccinated after the neonatal period. Interventions to ensure the
implementation of national vaccination policies are urgently needed.
PMID- 27195760
TI - Improved safety of a replication-competent poxvirus-based HIV vaccine with the
introduction of the HSV-TK/GCV suicide gene system.
AB - INTRODUCTION: Replication-competent vaccinia viruses (VACVs) show prolonged
antigen expression time and greater stimulation of immune responses than their
replication-incompetent counterparts. However, there is the potential risk of
serious post-vaccination complications, especially for children and
immunocompromised individuals, leading to safety concerns about the
reintroduction of VACV as a vaccine vector. In this study, we improved the safety
of the vaccinia virus TianTan (VACV-TT) based HIV vaccine by introducing the HSV
TK/GCV suicide gene system, which is composed of the herpes simplex virus type 1
thymidine kinase gene (HSV-tk) and the antiviral drug ganciclovir (GCV).
MATERIALS AND METHODS: By inserting the HSV-tk gene into the replication
competent VACV-TT genome, a new vector, TT-TK (VACV-TT expressing the HSV-tk
gene), and a candidate vaccine, TT-EnvTK (TT-TK expressing the HIV-1 env gene),
were constructed. RESULTS: The new vector TT-TK exhibited reduced replication
capacity both in vitro and in vivo in the presence of GCV. GCV inhibited the
replication of TT-TK in the brains of mice and skin of rabbits, and provided 100%
protection in mice against lethal challenge with TT-TK at a dose of 80mg/kg/day.
Furthermore, the candidate vaccine TT-EnvTK induced cellular and humoral immunity
against HIV-1 antigen that was comparable to the immunity induced by VTKgpe (VACV
TT expressing HIV-1 env, gag, and pol genes). DISCUSSION: These promising results
suggest a new strategy to mitigate the potential risk of post-vaccination
complications from replication-competent VACV-based HIV vaccines.
PMID- 27195761
TI - Reduced serologic sensitivity to influenza A virus illness among inactivated
influenza vaccinees.
AB - We compared >=4-fold increases in antibody titers by hemagglutination inhibition
assay to RT-PCR results among 42 adults with PCR-confirmed influenza A virus
illnesses. Serologic sensitivity was higher among unvaccinated (69%, 95%
confidence interval [CI]=48-90%) than vaccinated healthcare personnel (38%, 95%
CI=29-46%) in a 2010-11 prospective cohort.
PMID- 27195762
TI - Staphylococcus aureus avirulent mutant vaccine induces humoral and cellular
immune responses on pregnant heifers.
AB - Bovine mastitis produces economic losses, attributable to the decrease in milk
production, reduced milk quality, costs of treatment and replacement of animals.
A successful prophylactic vaccine against Staphylococcus aureus should elicit
both humoral and cellular immune responses. In a previous report we evaluated the
effectiveness of a live vaccine to protect heifers against challenge with a
virulent strain. In the present study the immunological response of heifers after
combined immunization schedule was investigated. In a first experimental trial,
heifers were vaccinated with 3 subcutaneous doses of avirulent mutant S. aureus
RC122 before calving and one intramammary dose (IMD) after calving. Antibodies
concentration in blood, bactericidal effect of serum from vaccinated animals and
lymphocyte proliferation was determined. The levels of total IgG, IgG1 and IgG2
in colostrum and the lymphocyte proliferation index were significantly higher in
vaccinated respect to non-vaccinated group throughout the experiment. The second
trial, where animals were inoculated with different vaccination schedules, was
carried out to determine the effect of the IMD on the level of antibodies in
blood and milk, cytokines (IL-13 and IFN-gamma) concentration and milk's SCC and
bacteriology. The bacterial growth of the S. aureus strains was totally inhibited
at 1-3*10(6) and 1-3*10(3)cfu/ml, when the strains were mixed with pooled serum
diluted 1/40. The results shown that IMD has not a significant effect on the
features determinate. In conclusion, a vaccination schedule involving three SC
doses before calving would be enough to stimulate antibodies production in milk
without an IMD. Furthermore, the results showed a bactericidal effect of serum
from vaccinated animals and this provides further evidence about serum
functionality. Immune responses, humoral (antigen-specific antibodies and Th2
type cytokines) and cellular (T-lymphocyte proliferation responses and Th1 type
cytokines), were augmented by administration of the avirulent mutant which
represent an antigenic pool.
PMID- 27195763
TI - An Observational Study of Abstracts Presented at the American College of
Veterinary Surgeon Annual Meetings (2001-2008) and Their Subsequent Full-Text
Publication.
AB - OBJECTIVE: To determine the frequency of abstracts presented at American College
of Veterinary Surgeons (ACVS) meetings from 2001 to 2008 that were published as
complete articles, to identify abstract characteristics associated with final
full-text publication, and to examine consistency of information between
abstracts and final full-text publications. STUDY DESIGN: Observational
bibliographic study. METHODS: Abstracts were retrieved from published
proceedings. Published articles were retrieved from bibliographic databases.
Features of abstract and article authorship, design, and content were recorded.
Regression analysis identified abstract features associated with article
publication, and evaluated consistency between abstracts and final publications.
RESULTS: Seven hundred eighty-two of 1078 (73%) abstracts were published as
complete articles. Median time to publication was 1 year; 90% were published
within 3 years. Abstracts originating from academic institutions were published
more often than abstracts from practice or industry sites (odds ratio 2.61, 95%
confidence interval 1.68-4.05). Compared to their conference abstracts, 49% of
articles contained major inconsistences including changes in study design,
interventions, outcomes, sample size, and results. For each year elapsed between
presentation and publication, the odds of major inconsistency increased 2.4 times
(odds ratio 2.36, 95% confidence interval 1.57-3.55) for retrospective studies
and 1.4 times (odds ratio 1.35, 95% confidence interval 1.17-1.56) for other
study designs. Changes in study title and authorship were frequent, particularly
in publications that contained major inconsistencies. CONCLUSION: ACVS abstracts
were promptly and reliably published, but final full-text publications often
differed substantially from the original abstracts.
PMID- 27195764
TI - Remote Monitoring of Chronic Diseases: A Landscape Assessment of Policies in Four
European Countries.
AB - BACKGROUND: Remote monitoring (RM) is defined as the surveillance of device
transmitted outpatient data. RM is expected to enable better management of
chronic diseases. The objective of this research was to identify public policies
concerning RM in four European countries. METHODS: Searches of the medical
literature, the Internet, and Ministry of Health websites for the United Kingdom
(UK), Germany, Italy, and Spain were performed in order to identify RM policies
for chronic diseases, including end stage renal disease (ESRD), chronic pulmonary
obstructive disease (COPD), diabetes, heart failure, and hypertension. Searches
were first performed in Q1 2014 and updated in Q4 2015. In addition, in depth
interviews were conducted with payers/policymakers in each country. Information
was obtained on existing policies, disease areas and RM services covered and
level of reimbursement, other incentives such as quality indicators, past/current
assessments of RM technologies, diseases perceived to benefit most from RM, and
concerns about RM. RESULTS: Policies on RM and/or telemedicine were identified in
all four countries. Pilot projects (mostly in diabetes, COPD, and/or heart
failure) existed or were planned in most countries. Perceived value of RM was
moderate to high, with the highest rating given for heart failure. Interviewees
expressed concerns about sharing of medical information, and the need for capital
investment. Patients recently discharged from hospital, and patients living
remotely, or with serious and/or complicated diseases, were believed to be the
most likely to benefit from RM. Formal reimbursement is scarce, but more commonly
available for patients with heart failure. CONCLUSIONS: In the four European
countries surveyed, RM has attracted considerable interest for its potential to
increase the efficiency of healthcare for chronic diseases. Although rare at this
moment, incentives to use RM technology are likely to increase in the near future
as the body of evidence of clinical and/or economic benefit grows.
PMID- 27195766
TI - Field-induced transition of the magnetic ground state from A-type
antiferromagnetic to ferromagnetic order in CsCo2Se2.
AB - We report on the magnetic properties of CsCo2Se2 with ThCr2Si2 structure, which
we have characterized through a series of magnetization and neutron diffraction
measurements. We find that CsCo2Se2 undergoes a phase transition to an
antiferromagnetically ordered state with a Neel temperature of [Formula: see
text] K. The nearest neighbour interactions are ferromagnetic as observed by the
positive Curie-Weiss temperature of [Formula: see text] K. We find that the
magnetic structure of CsCo2Se2 consists of ferromagnetic sheets, which are
stacked antiferromagnetically along the tetragonal c-axis, generally referred to
as A-type antiferromagnetic order. The observed magnitude of the ordered magnetic
moment at T = 1.5 K is found to be only 0.20(1)[Formula: see text] / Co.
Already in comparably small magnetic fields of [Formula: see text] T, we observe
a metamagnetic transition that can be attributed to spin-rearrangements of
CsCo2Se2, with the moments fully ferromagnetically saturated in a magnetic field
of [Formula: see text] T. We discuss the entire experimentally deduced magnetic
phase diagram for CsCo2Se2 with respect to its unconventionally weak magnetic
coupling. Our study characterizes CsCo2Se2, which is chemically and
electronically posed closely to the A x Fe2-y Se2 superconductors, as a host of
versatile magnetic interactions.
PMID- 27195765
TI - Overcoming the Refractory Expression of Secreted Recombinant Proteins in
Mammalian Cells through Modification of the Signal Peptide and Adjacent Amino
Acids.
AB - The expression and subsequent purification of mammalian recombinant proteins is
of critical importance to many areas of biological science. To maintain the
appropriate tertiary structure and post-translational modifications of such
proteins, transient mammalian expression systems are often adopted. The
successful utilisation of these systems is, however, not always forthcoming and
some recombinant proteins prove refractory to expression in mammalian hosts. In
this study we focussed on the role of different N-terminal signal peptides and
residues immediately downstream, in influencing the level of secreted recombinant
protein obtained from suspension HEK293 cells. Using secreted alkaline
phosphatase (SEAP) as a model protein, we identified that the +1/+2 downstream
residues flanking a heterologous signal peptide significantly affect secreted
levels. By incorporating these findings we conducted a comparison of different
signal peptide sequences and identified the most productive as secrecon, a
computationally-designed sequence. Importantly, in the context of the secrecon
signal peptide and SEAP, we also demonstrated a clear preference for specific
amino acid residues at the +1 position (e.g. alanine), and a detrimental effect
of others (cysteine, proline, tyrosine and glutamine). When proteins that
naturally contain these "undesirable" residues at the +1 position were expressed
with their native signal peptide, the heterologous secrecon signal peptide, or
secrecon with an additional alanine at the +1 or +1 and +2 position, the level of
expression differed significantly and in an unpredictable manner. For each
protein, however, at least one of the panel of signal peptide/adjacent amino acid
combinations enabled successful recombinant expression. In this study, we
highlight the important interplay between a signal peptide and its adjacent amino
acids in enabling protein expression, and we describe a strategy that could
enable recombinant proteins that have so far proved refractory to expression in
HEK293 cells, to be produced in sufficient quantities to answer important
biological questions.
PMID- 27195767
TI - Asymmetries in the perception of Mandarin tones: Evidence from mismatch
negativity.
AB - Most investigations of the representation and processing of speech sounds focus
on their segmental representations, and considerably less is known about the
representation of suprasegmental phenomena (e.g., Mandarin tones). Here we
examine the mismatch negativity (MMN) response to the contrast between Mandarin
Tone 3 (T3) and other tones using a passive oddball paradigm. Because the MMN
response has been shown to be sensitive to the featural contents of speech sounds
in a way that is compatible with underspecification theories of phonological
representations, here, we test the predictions of such theories regarding
suprasegmental phenomena. Assuming T3 to be underspecified in Mandarin (because
it has variable surface representations and low pitch), we predicted that an
asymmetric MMN response would be elicited when T3 is contrasted with another
tone. In 2 of our 3 experiments, this was observed, but in non-Mandarin-speaking
participants as well as native speakers, suggesting that the locus of the effect
was perceptual (acoustic or phonetic) rather than phonological. In a third
experiment, the predicted asymmetry was limited to native speakers. These results
highlight the importance of distinguishing phonological and perceptual
contributions to MMN asymmetries, but also demonstrate a role of abstract
phonological representations in which certain information is underspecified in
long-term memory.
PMID- 27195768
TI - Visuospatial working memory mediates inhibitory and facilitatory guidance in
preview search.
AB - Visual search is faster and more accurate when a subset of distractors is
presented before the display containing the target. This "preview benefit" has
been attributed to separate inhibitory and facilitatory guidance mechanisms
during search. In the preview task the temporal cues thought to elicit inhibition
and facilitation provide complementary sources of information about the likely
location of the target. In this study, we use a Bayesian observer model to
compare sensitivity when the temporal cues eliciting inhibition and facilitation
produce complementary, and competing, sources of information. Observers searched
for T-shaped targets among L-shaped distractors in 2 standard and 2 preview
conditions. In the standard conditions, all the objects in the display appeared
at the same time. In the preview conditions, the initial subset of distractors
either stayed on the screen or disappeared before the onset of the search
display, which contained the target when present. In the latter, the synchronous
onset of old and new objects negates the predictive utility of stimulus-driven
capture during search. The results indicate observers combine memory-driven
inhibition and sensory-driven capture to reduce spatial uncertainty about the
target's likely location during search. In the absence of spatially predictive
onsets, memory-driven inhibition at old locations persists despite irrelevant
sensory change at previewed locations. This result is consistent with a bias
toward unattended objects during search via the active suppression of irrelevant
capture at previously attended locations. (PsycINFO Database Record
PMID- 27195770
TI - Epidemiological and clinical characteristics of patients infected with
enterovirus D68, France, July to December 2014.
AB - In 2014, the United States (US) experienced a nationwide outbreak of enterovirus
D68 (EV-D68) infection with 1,152 cases reported mainly in hospitalised children
with severe asthma or bronchiolitis. Following the US alert, 11 laboratories of
the French enterovirus (EV) surveillance network participated in an EV-D68
survey. A total of 6,229 respiratory samples, collected from 1 July to 31
December 2014, were screened for EV-D68 resulting in 212 EV-D68-positive samples.
These 212 samples corresponded to 200 EV-D68 cases. The overall EV-D68 positivity
rates among respiratory samples were of 5% (184/3,645) and 1.1% (28/2,584) in
hospitalised children and adults respectively. The maximum weekly EV-D68
positivity rates were of 16.1% for children (n = 24/149; week 43) and 2.6% for
adults (n = 3/115; week 42). Of 173 children with EV-D68 infection alone, the
main symptoms were asthma (n = 83; 48.0%) and bronchiolitis (n = 37; 21.4%). One
child developed acute flaccid paralysis (AFP) following EV-D68-associated
pneumonia. Although there was no significant increase in severe respiratory tract
infections reported to the French public health authorities, 10.7% (19/177) of
the EV-D68 infected children and 14.3% (3/21) of the EV-D68 infected adults were
hospitalised in intensive care units. Phylogenetic analysis of the viral protein
1 (VP1) sequences of 179 EV-D68 cases, revealed that 117 sequences (65.4%),
including that of the case of AFP, belonged to the B2 variant of clade B viruses.
Continuous surveillance of EV-D68 infections is warranted and could benefit from
existing influenza-like illness and EV surveillance networks.
PMID- 27195769
TI - Deletion of LOX-1 Protects against Heart Failure Induced by Doxorubicin.
AB - Oxidative stress is one of the major factors in doxorubicin (DOX)-induced
cardiomyopathy. Lectin-like oxidized low-density lipoprotein (oxLDL) receptor-1
(LOX-1) plays an important role to regulate cardiac remodeling and oxidative
stress after ischemia-reperfusion. Therefore, we examined whether or not LOX-1
contributes to the pathogenesis of DOX-induced cardiomyopathy. Cardiomyopathy was
induced by a single intraperitoneal injection of DOX into wild-type (WT) mice and
LOX-1 knockout (KO) mice. Echocardiography and catheter-based hemodynamic
assessment apparently revealed preserved left ventricular (LV) fractional
shortening (FS) and cavity size of LOX-1 KO mice compared with those of WT mice
after DOX administration. Less production of tumor necrosis factor alpha (TNF
alpha) and interleukin-1 beta (IL-1beta) was observed in LOX-1 KO mice than WT
mice after DOX administration. Western blotting analysis also showed lower
activation of nuclear factor kappaB (NF-kappaB) and p38 mitogen-activated protein
kinase (MAPK) in LOX-1 KO mice treated with DOX than WT mice treated with DOX. In
fact, NF-kappaB-dependent gene expressions of LOX-1 and vascular cell adhesion
molecule-1 (VCAM-1) were suppressed in LOX-1 KO mice treated with DOX compared
with WT mice treated with DOX. Therefore, histological analyses showed
attenuation of leukocyte infiltration and cardiac fibrosis in LOX-1 KO mice
compared with WT mice. Meanwhile, extracellular signal-regulated kinase MAPK
(ERK) inactivation and decreased expression of sarcomeric proteins and related
transcription factor GATA-4 in WT mice treated with DOX administration were not
seen in LOX-1 KO mice treated with DOX administration and WT and LOX-1 KO mice
treated with vehicle. Decreased expression of sarcometric proteins resulted in
smaller diameters of cardiomyocytes in WT mice than in LOX-1 KO mice after DOX
treatment. The expression of LOX-1 in cardiomyocytes was much more abundant than
that in endothelial cells, fibroblasts and inflammatory cells. Endothelial cells,
fibroblasts and inflammatory cells treated with DOX showed no elevated LOX-1
expression compared with those treated with vehicle. However, cardiomyocytes
treated with DOX showed much more expression of LOX-1 than those treated with
vehicle. Immunohistochemistry study also showed that LOX-1 expression was
strongly elevated in cardiomyocytes in the heart tissue of mice treated with DOX
in vivo. We conclude that LOX-1 in cardiomyocytes plays the most important roles
in the pathology of DOX-induced cardiomyopathy. LOX-1 deletion altered the LOX-1
related signaling pathway, which led to improvements in cardiac function,
myocardial inflammation, fibrosis and degenerative changes after DOX treatment.
PMID- 27195773
TI - Peat soil bulk density important for estimation of peatland fire emissions.
PMID- 27195771
TI - Functional Overexpression of Vomeronasal Receptors Using a Herpes Simplex Virus
Type 1 (HSV-1)-Derived Amplicon.
AB - In mice, social behaviors such as mating and aggression are mediated by
pheromones and related chemosignals. The vomeronasal organ (VNO) detects
olfactory information from other individuals by sensory neurons tuned to respond
to specific chemical cues. Receptors expressed by vomeronasal neurons are
implicated in selective detection of these cues. Nearly 400 receptor genes have
been identified in the mouse VNO, but the tuning properties of individual
receptors remain poorly understood, in part due to the lack of a robust
heterologous expression system. Here we develop a herpes virus-based amplicon
delivery system to overexpress three types of vomeronasal receptor genes and to
characterize cell responses to their proposed ligands. Through Ca2+ imaging in
native VNO cells we show that virus-induced overexpression of V1rj2, V2r1b or
Fpr3 caused a pronounced increase of responsivity to sulfated steroids, MHC
binding peptide or the synthetic hexapeptide W-peptide, respectively. Other
related ligands were not recognized by infected individual neurons, indicating a
high degree of selectivity by the overexpressed receptor. Removal of G-protein
signaling eliminates Ca2+ responses, indicating that the endogenous second
messenger system is essential for observing receptor activation. Our results
provide a novel expression system for vomeronasal receptors that should be useful
for understanding the molecular logic of VNO ligand detection. Functional
expression of vomeronasal receptors and their deorphanization provides an
essential requirement for deciphering the neural mechanisms controlling behavior.
PMID- 27195772
TI - The Edinburgh Cognitive and Behavioural ALS Screen in a Chinese Amyotrophic
Lateral Sclerosis Population.
AB - OBJECTIVE: The existing screening batteries assessing multiple neuropsychological
functions are not specific to amyotrophic lateral sclerosis (ALS) patients and
are limited to their physical dysfunctions, whereas category cognitive tests are
too time-consuming to assess all the domains. The Edinburgh Cognitive and
Behavioural ALS Screen (ECAS) was recently developed as a fast and easy cognitive
screening tool specifically designed for patients. The purpose of the study was
to validate the effectiveness of the Chinese version in Chinese ALS populations.
METHODS: Eighty-four ALS patients and 84 age-, gender- and education-matched
healthy controls were included in this cross-sectional study. All the
participants took the ECAS, Mini-Mental State Examination (MMSE) and Frontal
Assessment Battery (FAB). Primary caregivers of patients were interviewed for
behavioural and psychiatric changes. RESULTS: Significant differences were noted
in language (p = 0.01), fluency, executive function, ALS-specific functions, and
ECAS total score (p<0.01) between ALS patients and controls. The cut-off value of
the total ECAS score was 81.92. Cognitive impairment was observed in 35.71% of
patients, and 27.38% exhibited behavioural abnormalities. The ECAS total score
had a medium correlation with education year. Memory was more easily impaired in
the lower education group, whereas verbal fluency and language function tended to
be preserved in the higher education group. The average time of ECAS was only 18
minutes. CONCLUSION: The Chinese version of the ECAS is the first screening
battery assessing multiple neuropsychological functions specially designed for
the ALS population in China, which provides an effective and rapid tool to screen
cognitive and behavioural impairments.
PMID- 27195774
TI - Ferric carboxymaltose with or without erythropoietin in anemic patients with hip
fracture: a randomized clinical trial.
AB - BACKGROUND: The increasing incidence of osteoporotic hip fracture (HF) has raised
the requirements of red blood cell (RBC) transfusions, whereas this scarce
resource may cause morbidity and mortality. STUDY DESIGN AND METHODS: This study
was a multicenter, randomized, double-blind, clinical trial that aimed to assess
efficacy of ferric carboxymaltose (FCM) with or without erythropoietin (EPO) in
reducing RBC transfusion in the perioperative period of HF. Participants
(patients > 65 years admitted with HF and hemoglobin [Hb] levels of 90-120 g/L)
were randomly assigned to receive a preoperative single dose of 1 g of FCM (short
intravenous [IV] infusion over 15 min), plus 40,000 IU of subcutaneous EPO (EPOFE
arm); versus 1 g of IV FCM plus subcutaneous placebo (FE arm); and versus IV and
subcutaneous placebo (placebo arm). Primary endpoint was the percentage of
patients who received RBC transfusion, and secondary endpoints were the number of
RBC transfusions per patient, survival, hemoglobinemia, and health-related
quality of life (HRQoL; by means of Short Form 36 Version 2 questionnaire).
RESULTS: A total of 306 patients (85% women, mean age 83 +/- 6.5 years) were
included. A total of 52, 51.5, and 54% of patients required RBC transfusion in
the EPOFE, FE, and placebo arms, respectively, with no significant differences in
the number of RBC transfusions per patient, survival, HRQoL, and adverse events
among treatment groups. A significant increase in Hb levels was achieved at
discharge (102 g/L vs. 97 g/L) and 60 days after discharge (125 g/L vs. 119 g/L)
in the EPOFE arm with respect to placebo arm; in addition, a higher rate of
patients recovered from anemia in the EPOFE arm with respect to the placebo arm
(52% vs. 39%), 60 days after discharge. CONCLUSION: Preoperative treatment with
FCM alone or in combination with EPO improved recovery from postoperative anemia,
but did not reduce the needs of RBC transfusion in patients with HF.
PMID- 27195775
TI - Correction: Topical HPMC/S-Nitrosoglutathione Solution Decreases Inflammation and
Bone Resorption in Experimental Periodontal Disease in Rats.
AB - [This corrects the article DOI: 10.1371/journal.pone.0153716.].
PMID- 27195777
TI - Genome-Wide Association Study of Absolute QRS Voltage Identifies Common Variants
of TBX3 as Genetic Determinants of Left Ventricular Mass in a Healthy Japanese
Population.
AB - Left ventricular hypertrophy (LVH) represents a common final pathway leading to
heart failure. We have searched for genetic determinants of left ventricular (LV)
mass using values for absolute electrocardiographic QRS voltage in a healthy
Japanese population. After adjusting for covariates, the corrected S and R wave
voltages in leads V1 and V5 from 2,994 healthy volunteers in the Japan
Pharmacogenomics Data Science Consortium (JPDSC) database were subjected to a
genome-wide association study. Potential associations were validated by an in
silico replication study using an independent Japanese population obtained from
the Nagahama Prospective Genome Cohort for Comprehensive Human Bioscience. We
identified a novel association between the lead V5, R wave voltage in Japanese
individuals and SNP rs7301743[G], which maps near the gene encoding T-box
transcription factor Tbx3. Meta-analysis of two independent Japanese datasets
demonstrated a marginally significant association of SNP rs7301743 in TBX3|MED13L
with a 0.071 mV (95% CI, 0.038-0.11 mV) shorter R wave amplitude in the V5 lead
per minor allele copy (P = 7.635 x 10(-8)). The transcriptional repressor, TBX3,
is proposed to suppress the development of working ventricular myocardium. Our
findings suggest that genetic variation of Tbx3 is associated with LV mass in a
healthy Japanese population.
PMID- 27195776
TI - Bloodstream-To-Eye Infections Are Facilitated by Outer Blood-Retinal Barrier
Dysfunction.
AB - The blood-retinal barrier (BRB) functions to maintain the immune privilege of the
eye, which is necessary for normal vision. The outer BRB is formed by tightly
associated retinal pigment epithelial (RPE) cells which limit transport within
the retinal environment, maintaining retinal function and viability. Retinal
microvascular complications and RPE dysfunction resulting from diabetes and
diabetic retinopathy cause permeability changes in the BRB that compromise
barrier function. Diabetes is the major predisposing condition underlying
endogenous bacterial endophthalmitis (EBE), a blinding intraocular infection
resulting from bacterial invasion of the eye from the bloodstream. However,
significant numbers of EBE cases occur in non-diabetics. In this work, we
hypothesized that dysfunction of the outer BRB may be associated with EBE
development. To disrupt the RPE component of the outer BRB in vivo, sodium iodate
(NaIO3) was administered to C57BL/6J mice. NaIO3-treated and untreated mice were
intravenously injected with 108 colony forming units (cfu) of Staphylococcus
aureus or Klebsiella pneumoniae. At 4 and 6 days postinfection, EBE was observed
in NaIO3-treated mice after infection with K. pneumoniae and S. aureus, although
the incidence was higher following S. aureus infection. Invasion of the eye was
observed in control mice following S. aureus infection, but not in control mice
following K. pneumoniae infection. Immunohistochemistry and FITC-dextran
conjugate transmigration assays of human RPE barriers after infection with an
exoprotein-deficient agr/sar mutant of S. aureus suggested that S. aureus
exoproteins may be required for the loss of the tight junction protein, ZO-1, and
for permeability of this in vitro barrier. Our results support the clinical
findings that for both pathogens, complications which result in BRB permeability
increase the likelihood of bacterial transmigration from the bloodstream into the
eye. For S. aureus, however, BRB permeability is not required for the development
of EBE, but toxin production may facilitate EBE pathogenesis.
PMID- 27195778
TI - Evaluation of a dysphagia screening system based on the Mann Assessment of
Swallowing Ability for use in dependent older adults.
AB - AIM: Dysphagia is common in dependent older adults. Thus, a method of evaluating
eating and swallowing functions that can be used to diagnose and manage dysphagia
in a simple and robust manner is required. In 2002, the Mann Assessment of
Swallowing Ability (MASA) was introduced to identify dysphagia in acute-stage
stroke patients. As the MASA enables easy screening, it might also be applicable
to dependent older adults if appropriate MASA cut-off values and the most useful
assessment items could be determined. In the present study, we attempted to
determine suitable MASA cut-off values, and the most useful assessment items for
predicting aspiration and pharyngeal retention in dependent older adults.
METHODS: Using the MASA, we evaluated the eating and swallowing functions of 50
dependent older adults with dysphagia. All of the patients also underwent
videoendoscopic-based swallowing evaluations to detect aspiration and pharyngeal
retention. The participants' characteristics and the utility of each assessment
item were compared between various groups. Using the patients' videoendoscopic
findings as a reference, receiver operating characteristic curve analysis was
carried out to determine appropriate cut-off values for predicting aspiration and
pharyngeal retention in dependent older adults. RESULTS: The optimal MASA cut-off
values for predicting aspiration and pharyngeal retention were 122 points and 151
points, respectively. A total of 17 of the 24 clinical items assessed by the MASA
were found to be associated with aspiration in dependent older adults.
CONCLUSIONS: The MASA is a useful screening tool for evaluating eating and
swallowing functions in dependent older adults. Geriatr Gerontol Int 2017; 17:
561-567.
PMID- 27195779
TI - Findings and Outcomes in a Prevaccination Cohort of Women Younger Than 25 Years
Attending a Tertiary Colposcopy Service.
AB - OBJECTIVE: To describe clinical presentation and treatment in women younger than
25 years referred to the Royal Women's Hospital colposcopy clinic, before
implementation of the National Human Papillomavirus Vaccination Program. METHODS:
Retrospective cohort analysis of women younger than 25 years referred to a
tertiary hospital colposcopy clinic between 1998 and 2007. Clinical presentation
and correlation between cervical cytology, biopsy, and histology at treatment was
examined. RESULTS: Approximately 14,635 colposcopies were undertaken in 4104
women (median age, 22 years); 3051 had abnormal referral cytology, of whom, 23.8%
had high-grade disease on punch biopsy. High-grade disease was found in 15.1% of
those with possible low-grade or low-grade cytology (293/1932), 42.4% of those
with possible high-grade or high-grade cytology (474/1119). Sensitivity and
specificity of colposcopy for high-grade disease (high-grade epithelial
abnormality, adenocarcinoma in situ, cervical cancer up to 2 years follow-up) was
60.0% and 82.3%, respectively. Thirty-nine percent (n = 1180) with abnormal
cytology had treatment, of which, 66.6% was ablative. Histological CIN3+ was
found in 53.8% of those with a previous high-grade punch biopsy (126/234) at
excisional treatment, and 23.0% of those with a previous low-grade punch biopsy
(20/87) (relative risk, 2.3 [CI, 1.6-3.5]). Four cancers were detected (0.1% of
the total cohort, 0.5% of those with a high-grade biopsy, and 1.7% of those with
a high-grade biopsy who underwent excisional treatment.) CONCLUSIONS: Before
vaccination, young women experienced a high real-time burden of high-grade
disease and high rates of intervention. These baseline data contribute to
monitoring of HPV vaccination and revised cervical screening strategies.
PMID- 27195780
TI - Regorafenib-Associated Vulvar Psoriasiform Skin Reaction: Case of the Month from
the ISSVD Case Consultation Committee.
PMID- 27195781
TI - Vulvar Ulcers Leading to Cutaneous Perforation in an Immunocompetent Teenager:
Expression of Cytomegalovirus Infection.
PMID- 27195783
TI - Quantitative Contribution of IL2Rgamma to the Dynamic Formation of IL2-IL2R
Complexes.
AB - Interleukin-2 (IL2) is a growth factor for several immune cells and its function
depends on its binding to IL2Rs in the cell membrane. The most accepted model for
the assembling of IL2-IL2R complexes in the cell membrane is the Affinity
Conversion Model (ACM). This model postulates that IL2R receptor association is
sequential and dependent on ligand binding. Most likely free IL2 binds first to
IL2Ralpha, and then this complex binds to IL2Rbeta, and finally to IL2Rgamma
(gammac). However, in previous mathematical models representing this process, the
binding of gammac has not been taken into account. In this work, the quantitative
contribution of the number of IL2Rgamma chain to the IL2-IL2R apparent binding
affinity and signaling is studied. A mathematical model of the affinity
conversion process including the gamma chain in the dynamic, has been formulated.
The model was calibrated by fitting it to experimental data, specifically,
Scatchard plots obtained using human cell lines. This paper demonstrates how the
model correctly explains available experimental observations. It was estimated,
for the first time, the value of the kinetic coefficients of IL2-IL2R complexes
interaction in the cell membrane. Moreover, the number of IL2R components in
different cell lines was also estimated. It was obtained a variable distribution
in the number of IL2R components depending on the cell type and the activation
state. Of most significance, the study predicts that not only the number of
IL2Ralpha and IL2Rbeta, but also the number of gammac determine the capacity of
the cell to capture and retain IL2 in signalling complexes. Moreover, it is also
showed that different cells might use different pathways to bind IL2 as
consequence of its IL2R components distribution in the membrane.
PMID- 27195782
TI - Additive Expression of Consolidated Memory through Drosophila Mushroom Body
Subsets.
AB - Associative olfactory memory in Drosophila has two components called labile
anesthesia-sensitive memory and consolidated anesthesia-resistant memory (ARM).
Mushroom body (MB) is a brain region critical for the olfactory memory and
comprised of 2000 neurons that can be classified into alphabeta, alpha'beta', and
gamma neurons. Previously we demonstrated that two parallel pathways mediated ARM
consolidation: the serotonergic dorsal paired medial (DPM)-alphabeta neurons and
the octopaminergic anterior paired lateral (APL)-alpha'beta' neurons. This
finding prompted us to ask how this composite ARM is retrieved. Here, we showed
that blocking the output of alphabeta neurons and that of alpha'beta' neurons
each impaired ARM retrieval, and blocking both simultaneously had an additive
effect. Knockdown of radish and octbeta2R in alphabeta and alpha'beta' neurons,
respectively, impaired ARM. A combinatorial assay of radish mutant background
rsh1 and neurotransmission blockade confirmed that ARM retrieved from alpha'beta'
neuron output is independent of radish. We identified MBON-beta2beta'2a and MBON
beta'2mp as the MB output neurons downstream of alphabeta and alpha'beta'
neurons, respectively, whose glutamatergic transmissions also additively
contribute to ARM retrieval. Finally, we showed that alpha'beta' neurons could be
functionally subdivided into alpha'beta'm neurons required for ARM retrieval, and
alpha'beta'ap neurons required for ARM consolidation. Our work demonstrated that
two parallel neural pathways mediating ARM consolidation in Drosophila MB
additively contribute to ARM expression during retrieval.
PMID- 27195784
TI - Differences in quality of life of women and men with drug-resistant epilepsy in
Poland.
AB - PURPOSE: The aim of the study was to assess the differences in health-related
quality of life in groups of men and women suffering with drug-resistant epilepsy
and to determine which factors influence quality of life. METHODS: The examined
group consisted of 64 subjects with drug-resistant epilepsy - 31 men and 33
women. The mean duration of epilepsy was 17.56+/-8.92 and 19+/-9.56years,
respectively. The following diagnostic tools were used: QOLIE-31-P, Wechsler
Adult Intelligence Scale - Revised (WAIS-R (PL)), and Hamilton Rating Scale for
Depression (HRSD). RESULTS: Scores in QOLIE-31-P did not differ significantly
between groups of men and women with drug-resistant epilepsy; however, a more
detailed analysis revealed certain disparities. Multiple regression analyses
indicated that some distinct factors were associated with quality of life in each
sex. In the group of women, there were no significant predictors of their quality
of life. Among the group of men, depression intensity was the only statistically
significant QoL predictor, explaining 16% of the variance (adjusted R(2)=0.16,
F(6, 24)=19.7, p<0.01). Moreover, patients with depression had lowered scores in
the Emotional Well-Being and Energy/Fatigue subscales, regardless of the sex.
CONCLUSION: The study revealed that, despite similar scores in QOLIE-31-P,
specific factors may differentially affect the quality of life of men and women
with drug-resistant epilepsy in Poland. Nevertheless, replication of these
results with a larger number of participants is needed for a more definitive
conclusion.
PMID- 27195785
TI - Coprolalia as a manifestation of epileptic seizures.
AB - OBJECTIVE: The aim of this study was to investigate the lateralizing and
localizing value of ictal coprolalia and brain areas involved in its production.
METHODS: A retrospective search for patients manifesting ictal coprolalia was
conducted in our EMU database. Continuous video-EEG recordings were reviewed, and
EEG activity before and during coprolalia was analyzed using independent
component analysis (ICA) technique and was compared to the seizures without
coprolalia among the same patients. RESULTS: Nine patients were evaluated (five
women), eight with intracranial video-EEG recordings (icVEEG). Four had frontal
or temporal lesions, and five had normal MRIs. Six patients showed impairment in
the language functions and five in the frontal executive tasks. Two hundred six
seizures were reviewed (60.7% from icVEEG). Ictal coprolalia occurred in 46.6% of
them, always associated with limbic auras or automatisms. They arose from the
nondominant hemisphere in five patients, dominant hemisphere in three, and
independently from the right and left hippocampus-parahippocampus in one.
Electroencephalographic activity always involved orbitofrontal and/or mesial
temporal regions of the nondominant hemisphere when coprolalia occurred.
Independent component analysis of 31 seizures in seven patients showed a higher
number of independent components in the nondominant hippocampus-parahippocampus
before and during coprolalia and in the dominant lateral temporal region in those
seizures without coprolalia (p=0.009). Five patients underwent surgery, and all
five had an ILAE class 1 outcome. SIGNIFICANCE: Ictal coprolalia occurs in both
males and females with temporal or orbitofrontal epilepsy and has a limited
lateralizing value to the nondominant hemisphere but can be triggered by seizures
from either hemisphere. It involves activation of the paralimbic temporal
orbitofrontal network.
PMID- 27195786
TI - Impact of an Early Invasive Strategy versus Conservative Strategy for Unstable
Angina and Non-ST Elevation Acute Coronary Syndrome in Patients with Chronic
Kidney Disease: A Systematic Review.
AB - BACKGROUND: Clinical practice guidelines support an early invasive approach after
NSTE-ACS in patients with chronic kidney disease (CKD). There is no direct
randomised controlled trial evidence in the CKD population, and whether the
benefit of an early invasive approach is maintained across the spectrum of
severity of CKD remains controversial. METHODS: We conducted a systematic review
to evaluate the association between an early invasive approach and all-cause
mortality in patients with CKD. We searched MEDLINE and EMBASE (1990-May 2015)
and article reference lists. Data describing study design, participants, invasive
management strategies, renal function, all-cause mortality and risk of bias were
extracted. RESULTS: 3,861 potentially relevant studies were identified. Ten
studies, representing data on 147,908 individuals with NSTE-ACS met the inclusion
criteria. Qualitative heterogeneity in the definitions of early invasive
approach, comparison groups and renal dysfunction existed. Meta-analysis of the
RCT derived and observational data were generally supportive of an early invasive
approach in CKD (RR0.76 (95% CI 0.49-1.17) and RR0.50 (95%CI 0.42-0.59)
respectively). Meta-analysis of the observational studies demonstrated a large
degree of heterogeneity (I2 79%) driven in part by study size and heterogeneity
across various kidney function levels. CONCLUSIONS: The observational data
support that an early invasive approach after NSTE-ACS confers a survival benefit
in those with early-moderate CKD. Local opportunities for quality improvement
should be sought. Those with severe CKD and the dialysis population are high risk
and under-studied. Novel and inclusive approaches for CKD and dialysis patients
in cardiovascular clinical trials are needed.
PMID- 27195787
TI - Collaborative Filtering Recommendation on Users' Interest Sequences.
AB - As an important factor for improving recommendations, time information has been
introduced to model users' dynamic preferences in many papers. However, the
sequence of users' behaviour is rarely studied in recommender systems. Due to the
users' unique behavior evolution patterns and personalized interest transitions
among items, users' similarity in sequential dimension should be introduced to
further distinguish users' preferences and interests. In this paper, we propose a
new collaborative filtering recommendation method based on users' interest
sequences (IS) that rank users' ratings or other online behaviors according to
the timestamps when they occurred. This method extracts the semantics hidden in
the interest sequences by the length of users' longest common sub-IS (LCSIS) and
the count of users' total common sub-IS (ACSIS). Then, these semantics are
utilized to obtain users' IS-based similarities and, further, to refine the
similarities acquired from traditional collaborative filtering approaches. With
these updated similarities, transition characteristics and dynamic evolution
patterns of users' preferences are considered. Our new proposed method was
compared with state-of-the-art time-aware collaborative filtering algorithms on
datasets MovieLens, Flixster and Ciao. The experimental results validate that the
proposed recommendation method is effective and outperforms several existing
algorithms in the accuracy of rating prediction.
PMID- 27195788
TI - When mental fatigue maybe characterized by Event Related Potential (P300) during
virtual wheelchair navigation.
AB - The goal of this study is to investigate the influence of mental fatigue on the
event related potential P300 features (maximum pick, minimum amplitude, latency
and period) during virtual wheelchair navigation. For this purpose, an
experimental environment was set up based on customizable environmental
parameters (luminosity, number of obstacles and obstacles velocities). A
correlation study between P300 and fatigue ratings was conducted. Finally, the
best correlated features supplied three classification algorithms which are MLP
(Multi Layer Perceptron), Linear Discriminate Analysis and Support Vector
Machine. The results showed that the maximum feature over visual and temporal
regions as well as period feature over frontal, fronto-central and visual regions
were correlated with mental fatigue levels. In the other hand, minimum amplitude
and latency features didn't show any correlation. Among classification
techniques, MLP showed the best performance although the differences between
classification techniques are minimal. Those findings can help us in order to
design suitable mental fatigue based wheelchair control.
PMID- 27195789
TI - Standardized Loads Acting in Hip Implants.
AB - With the increasing success of hip joint replacements, the average age of
patients has decreased, patients have become more active and their expectations
of the implant durability have risen. Thus, pre-clinical endurance tests on hip
implants require defining realistic in vivo loads from younger and more active
patients. These loads require simplifications to be applicable for simulator
tests and numerical analyses. Here, the contact forces in the joint were measured
with instrumented hip implants in ten subjects during nine of the most physically
demanding and frequent activities of daily living. Typical levels and directions
of average and high joint loads were extracted from the intra- and inter
individually widely varying individual data. These data can also be used to
analyse bone remodelling at the implant-bone interface, evaluate tissue straining
in finite element studies or validate analytical loading predictions, among other
uses. The current ISO standards for endurance tests of implant stems and necks
are based on historic analytical data from the 1970s. Comparisons of these test
forces with in vivo loads unveiled that their unidirectional orientations deviate
from the time-dependent in vivo directions during walking and most other
activities. The ISO force for testing the stem is substantially too low while the
ISO force for the neck better matches typical in vivo magnitudes. Because the
magnitudes and orientations of peak forces substantially vary among the
activities, load scenarios that reflect a collection of time-dependent high
forces should be applied rather than using unidirectional forces. Based on data
from ten patients, proposals for the most demanding activities, the time courses
of the contact forces and the required cycle numbers for testing are given here.
Friction moments in the joint were measured in addition to the contact forces.
The moment data were also standardized and can be applied to wear tests of the
implant. It was shown that friction only very slightly influences the stresses in
the implant neck and shaft.
PMID- 27195790
TI - Chemical and Antimicrobial Profiling of Propolis from Different Regions within
Libya.
AB - Extracts from twelve samples of propolis collected from different regions of
Libya were tested for their activity against Trypanosoma brucei, Leishmania
donovani, Plasmodium falciparum, Crithidia fasciculata and Mycobacterium marinum
and the cytotoxicity of the extracts was tested against mammalian cells. All the
extracts were active to some degree against all of the protozoa and the
mycobacterium, exhibiting a range of EC50 values between 1.65 and 53.6 MUg/ml.
The toxicity against mammalian cell lines was only moderate; the most active
extract against the protozoan species, P2, displayed an IC50 value of 53.2
MUg/ml. The extracts were profiled by using liquid chromatography coupled to high
resolution mass spectrometry. The data sets were extracted using m/z Mine and the
accurate masses of the features extracted were searched against the Dictionary of
Natural Products (DNP). A principal component analysis (PCA) model was
constructed which, in combination with hierarchical cluster analysis (HCA),
divided the samples into five groups. The outlying groups had different sets of
dominant compounds in the extracts, which could be characterised by their
elemental composition. Orthogonal partial least squares (OPLS) analysis was used
to link the activity of each extract against the different micro-organisms to
particular components in the extracts.
PMID- 27195791
TI - East Coast Fever Caused by Theileria parva Is Characterized by Macrophage
Activation Associated with Vasculitis and Respiratory Failure.
AB - Respiratory failure and death in East Coast Fever (ECF), a clinical syndrome of
African cattle caused by the apicomplexan parasite Theileria parva, has
historically been attributed to pulmonary infiltration by infected lymphocytes.
However, immunohistochemical staining of tissue from T. parva infected cattle
revealed large numbers of CD3- and CD20-negative intralesional mononuclear cells.
Due to this finding, we hypothesized that macrophages play an important role in
Theileria parva disease pathogenesis. Data presented here demonstrates that
terminal ECF in both Holstein and Boran cattle is largely due to multisystemic
histiocytic responses and resultant tissue damage. Furthermore, the combination
of these histologic changes with the clinical findings, including
lymphadenopathy, prolonged pyrexia, multi-lineage leukopenia, and
thrombocytopenia is consistent with macrophage activation syndrome. All animals
that succumbed to infection exhibited lymphohistiocytic vasculitis of small to
medium caliber blood and lymphatic vessels. In pulmonary, lymphoid, splenic and
hepatic tissues from Holstein cattle, the majority of intralesional macrophages
were positive for CD163, and often expressed large amounts of IL-17. These data
define a terminal ECF pathogenesis in which parasite-driven lymphoproliferation
leads to secondary systemic macrophage activation syndrome, mononuclear
vasculitis, pulmonary edema, respiratory failure and death. The accompanying
macrophage phenotype defined by CD163 and IL-17 is presented in the context of
this pathogenesis.
PMID- 27195793
TI - The Preventability of Cancer: Stacking the Deck.
PMID- 27195794
TI - Japanese care workers' perception of dementia-related physically and
psychologically aggressive behaviour symptoms.
AB - AIM: The purpose of this study was to explore Japanese care workers'
attributions, beliefs and cultural explanations of physically and psychologically
aggressive behaviour symptoms. BACKGROUND: Physically and psychologically
aggressive behaviour symptoms by older people with dementia have been associated
with occupational stress among care workers in the United States and other
Western countries and may contribute to staff turnover. However, few studies
related to this issue have been conducted in Japan, where care worker reaction to
physically and psychologically aggressive behaviour symptoms might be different
because of cultural and customary differences in how care is provided for older
people. METHOD: This study reports on the results of three open-ended questions
that were part of a larger study that explored Japanese care workers' experiences
with aggressive behaviour symptoms in persons with dementia. Convenience sampling
was used to recruit 137 care workers in 10 nursing homes in the northern and
western areas of Japan. The answers to the open-ended questions were analysed
using a content analysis. FINDINGS: Most of the participants indicated that they
believed that physically and psychologically aggressive behaviour symptoms came
from residents' stress from dementia. Approximately, one-fourth of the
participants responded that Japanese values such as chu (loyalty) and joge
(hierarchy) influenced their work with residents with physically and
psychologically aggressive behaviour symptoms. Seventeen participants (12%)
commented either that they respected older people or that they respected older
people as persons who had had many experiences in life. Interestingly, 43
responses (41.0%) indicated that physically and psychologically aggressive
behaviour symptoms influenced quality of care positively, while, not
surprisingly, about 30 responses indicated that those behaviour symptoms
influenced quality of care negatively. IMPLICATIONS FOR PRACTICE: Findings from
this study indicate that the training and education needs to focus on understand
and preventing the effects of stress for individuals living with dementia to
reduce aggressive incidents and increase recruitment and retention of care
workers.
PMID- 27195792
TI - Untangling the relatedness among correlations, part I: Nonparametric approaches
to inter-subject correlation analysis at the group level.
AB - FMRI data acquisition under naturalistic and continuous stimuli (e.g., watching a
video or listening to music) has become popular recently due to the fact that it
entails less manipulation and more realistic/complex contexts involved in the
task, compared to the conventional task-based experimental designs. The
synchronization or response similarities among subjects are typically measured
through inter-subject correlation (ISC) between any pair of subjects. At the
group level, summarizing the collection of ISC values is complicated by their
intercorrelations, which necessarily lead to the violation of independence
assumed in typical parametric approaches such as Student's t-test. Nonparametric
methods, such as bootstrapping and permutation testing, have previously been
adopted for testing purposes by resampling the time series of each subject, but
the quantitative validity of these specific approaches in terms of
controllability of false positive rate (FPR) has never been explored before. Here
we survey the methods of ISC group analysis that have been employed in the
literature, and discuss the issues involved in those methods. We then propose
less computationally intensive nonparametric methods that can be performed at the
group level (for both one- and two-sample analyses), as compared to the popular
method of circularly shifting the EPI time series at the individual level. As
part of the new approaches, subject-wise (SW) resampling is adopted instead of
element-wise (EW) resampling, so that exchangeability and independence
assumptions are satisfied, and the patterned correlation structure among the ISC
values can be more accurately captured. We examine the FPR controllability and
power achievement of all the methods through simulations, as well as their
performance when applied to a real experimental dataset.
PMID- 27195795
TI - Multiplex Real-Time qPCR Assay for Simultaneous and Sensitive Detection of
Phytoplasmas in Sesame Plants and Insect Vectors.
AB - Phyllody, a destructive and economically important disease worldwide caused by
phytoplasma infections, is characterized by the abnormal development of floral
structures into stunted leafy parts and contributes to serious losses in crop
plants, including sesame (Sesamum indicum L.). Accurate identification,
differentiation, and quantification of phyllody-causing phytoplasmas are
essential for effective management of this plant disease and for selection of
resistant sesame varieties. In this study, a diagnostic multiplex qPCR assay was
developed using TaqMan(r) chemistry based on detection of the 16S ribosomal RNA
gene of phytoplasmas and the 18S ribosomal gene of sesame. Phytoplasma and sesame
specific primers and probes labeled with different fluorescent dyes were used for
simultaneous amplification of 16SrII and 16SrIX phytoplasmas in a single tube.
The multiplex real-time qPCR assay allowed accurate detection, differentiation,
and quantification of 16SrII and 16SrIX groups in 109 sesame plant and 92 insect
vector samples tested. The assay was found to have a detection sensitivity of 1.8
x 10(2) and 1.6 x 10(2) DNA copies for absolute quantification of 16SrII and
16SrIX group phytoplasmas, respectively. Relative quantification was effective
and reliable for determination of phyllody phytoplasma DNA amounts normalized to
sesame DNA in infected plant tissues. The development of this qPCR assay provides
a method for the rapid measurement of infection loads to identify resistance
levels of sesame genotypes against phyllody phytoplasma disease.
PMID- 27195798
TI - Solution Processed PEDOT Analogues in Electrochemical Supercapacitors.
AB - We have designed fully soluble ProDOTx-EDOTy copolymers that are
electrochemically equivalent to electropolymerized PEDOT without using any
surfactants or dispersants. We show that these copolymers can be incorporated as
active layers in solution processed thin film supercapacitors to demonstrate
capacitance, stability, and voltage similar to the values of those that use
electrodeposited PEDOT as the active material with the added advantage of the
possibility for large scale, high-throughput processing. These Type I
supercapacitors provide exceptional cell voltages (up to 1.6 V), highly
symmetrical charge/discharge behavior, promising long-term stability exceeding 50
000 charge/discharge cycles, as well as energy (4-18 Wh/kg) and power densities
(0.8-3.3 kW/kg) that are comparable to those of electrochemically synthesized
analogues.
PMID- 27195796
TI - Characterization of a DNA Adenine Methyltransferase Gene of Borrelia hermsii and
Its Dispensability for Murine Infection and Persistence.
AB - DNA methyltransferases have been implicated in the regulation of virulence genes
in a number of pathogens. Relapsing fever Borrelia species harbor a conserved,
putative DNA methyltransferase gene on their chromosome, while no such ortholog
can be found in the annotated genome of the Lyme disease agent, Borrelia
burgdorferi. In the relapsing fever species Borrelia hermsii, the locus bh0463A
encodes this putative DNA adenine methyltransferase (dam). To verify the function
of the BH0463A protein product as a Dam, the gene was cloned into a Dam-deficient
strain of Escherichia coli. Restriction fragment analysis subsequently
demonstrated that complementation of this E. coli mutant with bh0463A restored
adenine methylation, verifying bh0463A as a Dam. The requirement of bh0463A for
B. hermsii viability, infectivity, and persistence was then investigated by
genetically disrupting the gene. The dam- mutant was capable of infecting
immunocompetent mice, and the mean level of spirochetemia in immunocompetent mice
was not significantly different from wild type B. hermsii. Collectively, the data
indicate that dam is dispensable for B. hermsii viability, infectivity, and
persistence.
PMID- 27195799
TI - An Efficient Acoustic Density Estimation Method with Human Detectors Applied to
Gibbons in Cambodia.
AB - Some animal species are hard to see but easy to hear. Standard visual methods for
estimating population density for such species are often ineffective or
inefficient, but methods based on passive acoustics show more promise. We develop
spatially explicit capture-recapture (SECR) methods for territorial vocalising
species, in which humans act as an acoustic detector array. We use SECR and
estimated bearing data from a single-occasion acoustic survey of a gibbon
population in northeastern Cambodia to estimate the density of calling groups.
The properties of the estimator are assessed using a simulation study, in which a
variety of survey designs are also investigated. We then present a new form of
the SECR likelihood for multi-occasion data which accounts for the stochastic
availability of animals. In the context of gibbon surveys this allows model-based
estimation of the proportion of groups that produce territorial vocalisations on
a given day, thereby enabling the density of groups, instead of the density of
calling groups, to be estimated. We illustrate the performance of this new
estimator by simulation. We show that it is possible to estimate density reliably
from human acoustic detections of visually cryptic species using SECR methods.
For gibbon surveys we also show that incorporating observers' estimates of
bearings to detected groups substantially improves estimator performance. Using
the new form of the SECR likelihood we demonstrate that estimates of
availability, in addition to population density and detection function
parameters, can be obtained from multi-occasion data, and that the detection
function parameters are not confounded with the availability parameter. This
acoustic SECR method provides a means of obtaining reliable density estimates for
territorial vocalising species. It is also efficient in terms of data
requirements since since it only requires routine survey data. We anticipate that
the low-tech field requirements will make this method an attractive option in
many situations where populations can be surveyed acoustically by humans.
PMID- 27195797
TI - Hepatic Safety of Rilpivirine/Emtricitabine/Tenofovir Disoproxil Fumarate Fixed
Dose Single-Tablet Regimen in HIV-Infected Patients with Active Hepatitis C Virus
Infection: The hEPAtic Study.
AB - OBJECTIVES: The aim of this study was to evaluate the frequency of transaminase
elevations (TE) and total bilirubin elevations (TBE) during the first year of
therapy with a single tablet regimen including RPV/FTC/TDF (EPA) in HIV/hepatitis
C virus (HCV)-coinfected subjects in clinical practice. METHODS: In a
retrospective analysis, HIV/HCV-coinfected subjects who started EPA at 17 centres
throughout Spain were included as cases. Subjects who started an antiretroviral
therapy (ART) other than EPA during the study period at the same hospitals were
randomly selected as controls in a 1:2 ratio. Primary outcome variables were
grade (G) 3-4 TE and G4 TBE. RESULTS: Of the 519 subjects included, 173
individuals started EPA. Nine (5.2%) subjects of the EPA group and 49 (14.2%)
controls were naive to ART. The median (Q1-Q3) follow-up was 11.2 (9.7-13.9)
months. TE was observed in 2 [1.2%; 95% confidence interval (CI): 0.14%-4.1%]
subjects receiving EPA and 11 (3.2%; 95%CI: 1.6%-5.6%) controls (p = 0.136), all
events were G3. No patient discontinued ART due to TE. One (0.6%; 95%CI: 0.01%
3.1%) subject on EPA and 8 (2.3%; 95%CI: 1%-4.5%) subjects in the control group
developed TBE (p = 0.141), without developing any other hepatic event during
follow-up. Three (2.3%) subjects with cirrhosis versus 10 (3.1%) without
cirrhosis showed G3-4 TE (p = 0.451). CONCLUSION: The frequency of severe liver
toxicity in HIV/HCV-coinfected subjects receiving EPA under real-life conditions
is very low, TE were generally mild and did not lead to drug discontinuation. All
these data suggest that EPA can be safely used in this particular subpopulation.
PMID- 27195801
TI - Correction: Pharmacological and Genetic Modulation of REV-ERB Activity and
Expression Affects Orexigenic Gene Expression.
AB - [This corrects the article DOI: 10.1371/journal.pone.0151014.].
PMID- 27195802
TI - Validating self-reporting of hearing-related symptoms against pure-tone
audiometry, otoacoustic emission, and speech audiometry.
AB - OBJECTIVE: To validate self-reported hearing-related symptoms among personnel
exposed to moderately high occupational noise levels at an obstetrics clinic.
DESIGN: Sensitivity, specificity, and predictive values were calculated for
questionnaire items assessing hearing loss, tinnitus, sound sensitivity, poor
hearing, difficulty perceiving speech, and sound-induced auditory fatigue.
Hearing disorder was diagnosed by pure-tone audiometry, distortion product
otoacoustic emissions, and HINT (Hearing In Noise Test). STUDY SAMPLE: Fifty-five
female obstetrics personnel aged 22-63 participated; including 26 subjects
reporting hearing loss, poor hearing, tinnitus, or sound sensitivity, and 29
randomly selected subjects who did not report these symptoms. RESULTS: The
questionnaire item assessing sound-induced auditory fatigue had the best
combination of sensitivity >=85% (95% CIs 56 to 100%) and specificity >=70% (95%
CIs 55 to 84%) for hearing disorder diagnosed by audiometry or otoacoustic
emission. Of those reporting sound-induced auditory fatigue 71% were predicted to
have disorder diagnosed by otoacoustic emission. Participants reporting any
hearing-related symptom had slightly worse measured hearing. CONCLUSIONS: We
suggest including sound-induced auditory fatigue in questionnaires for
identification of hearing disorder among healthcare personnel, though larger
studies are warranted for precise estimates of diagnostic performance. Also, more
specific and accurate hearing tests are needed to diagnose mild hearing disorder.
PMID- 27195803
TI - Novel Convenient Synthesis of (10)B-Enriched Sodium Borohydride.
AB - A convenient and efficient synthesis of (10)B-enriched sodium borohydride
[Na(10)BH4] from commercially available (10)B-enriched boric acid [(10)B(OH)3] is
described. The reaction sequence (10)B(OH)3 -> (10)B(On-Bu)3 -> (10)BH3.Et3N ->
Na(10)BH4 afforded the product in 60-80% yield. The reaction was successfully
scaled to hundreds of gram per run.
PMID- 27195800
TI - Identifying Risk Factors for Recent HIV Infection in Kenya Using a Recent
Infection Testing Algorithm: Results from a Nationally Representative Population
Based Survey.
AB - INTRODUCTION: A recent infection testing algorithm (RITA) that can distinguish
recent from long-standing HIV infection can be applied to nationally
representative population-based surveys to characterize and identify risk factors
for recent infection in a country. MATERIALS AND METHODS: We applied a RITA using
the Limiting Antigen Avidity Enzyme Immunoassay (LAg) on stored HIV-positive
samples from the 2007 Kenya AIDS Indicator Survey. The case definition for recent
infection included testing recent on LAg and having no evidence of antiretroviral
therapy use. Multivariate analysis was conducted to determine factors associated
with recent and long-standing infection compared to HIV-uninfected persons. All
estimates were weighted to adjust for sampling probability and nonresponse.
RESULTS: Of 1,025 HIV-antibody-positive specimens, 64 (6.2%) met the case
definition for recent infection and 961 (93.8%) met the case definition for long
standing infection. Compared to HIV-uninfected individuals, factors associated
with higher adjusted odds of recent infection were living in Nairobi (adjusted
odds ratio [AOR] 11.37; confidence interval [CI] 2.64-48.87) and Nyanza (AOR
4.55; CI 1.39-14.89) provinces compared to Western province; being widowed (AOR
8.04; CI 1.42-45.50) or currently married (AOR 6.42; CI 1.55-26.58) compared to
being never married; having had >= 2 sexual partners in the last year (AOR 2.86;
CI 1.51-5.41); not using a condom at last sex in the past year (AOR 1.61; CI 1.34
1.93); reporting a sexually transmitted infection (STI) diagnosis or symptoms of
STI in the past year (AOR 1.97; CI 1.05-8.37); and being aged <30 years with: 1)
HSV-2 infection (AOR 8.84; CI 2.62-29.85), 2) male genital ulcer disease (AOR
8.70; CI 2.36-32.08), or 3) lack of male circumcision (AOR 17.83; CI 2.19
144.90). Compared to HIV-uninfected persons, factors associated with higher
adjusted odds of long-standing infection included living in Coast (AOR 1.55; CI
1.04-2.32) and Nyanza (AOR 2.33; CI 1.67-3.25) provinces compared to Western
province; being separated/divorced (AOR 1.87; CI 1.16-3.01) or widowed (AOR 2.83;
CI 1.78-4.45) compared to being never married; having ever used a condom (AOR
1.61; CI 1.34-1.93); and having a STI diagnosis or symptoms of STI in the past
year (AOR 1.89; CI 1.20-2.97). Factors associated with lower adjusted odds of
long-standing infection included using a condom at last sex in the past year (AOR
0.47; CI 0.36-0.61), having no HSV2-infection at aged <30 years (AOR 0.38; CI
0.20-0.75) or being an uncircumcised male aged <30 years (AOR 0.30; CI 0.15
0.61). CONCLUSION: We identified factors associated with increased risk of recent
and longstanding HIV infection using a RITA applied to blood specimens collected
in a nationally representative survey. Though some false-recent cases may have
been present in our sample, the correlates of recent infection identified were
epidemiologically and biologically plausible. These methods can be used as a
model for other countries with similar epidemics to inform targeted combination
prevention strategies aimed to drastically decrease new infections in the
population.
PMID- 27195804
TI - Correction: Temperature Stress Mediates Decanalization and Dominance of Gene
Expression in Drosophila melanogaster.
AB - [This corrects the article DOI: 10.1371/journal.pgen.1004883.].
PMID- 27195805
TI - A Novel Reporter Rat Strain That Conditionally Expresses the Bright Red
Fluorescent Protein tdTomato.
AB - Despite the strength of the Cre/loxP recombination system in animal models, its
application in rats trails that in mice because of the lack of relevant reporter
strains. Here, we generated a floxed STOP tdTomato rat that conditionally
expresses a red fluorescent protein variant (tdTomato) in the presence of
exogenous Cre recombinase. The tdTomato signal vividly visualizes neurons
including their projection fibers and spines without any histological
enhancement. In addition, a transgenic rat line (FLAME) that ubiquitously
expresses tdTomato was successfully established by injecting intracytoplasmic Cre
mRNA into fertilized ova. Our rat reporter system will facilitate connectome
studies as well as the visualization of the fine structures of genetically
identified cells for long periods both in vivo and ex vivo. Furthermore, FLAME is
an ideal model for organ transplantation research owing to improved traceability
of cells/tissues.
PMID- 27195806
TI - How Health Professionals Conceptualize and Represent Placebo Treatment in
Clinical Trials and How Their Patients Understand It: Impact on Validity of
Informed Consent.
AB - CONTEXT: Previous studies suggested that many patients, who have given their
informed consent to participate in randomized controlled trials (RCT), have
somewhat limited understanding of what a placebo treatment is. We hypothesized
that the relationship between patients and their health professionals plays a
central role in this understanding. METHODS: We interviewed 12 patients included
in RCTs (nine suffering from Parkinson's disease and three from Huntington's
disease) and 18 health professionals involved with RCTs (eight principal
investigators, four associated physicians and six clinical research associates).
Semi-structured interviews were conducted after the RCTs had ended but before the
treatment allocation was revealed. RESULTS: Only two patients clearly understood
the aim of placebo-controlled RCTs. Only one principal investigator said she asks
all her patients whether they agree to participate in RCTs. The seven others said
they only ask patients who seem more likely to be compliant. Their selection
criteria included docility and personality traits associated in other studies
with enhanced placebo responses. According to 13 of the 18 health professionals,
their relationship with patients may influence the amplitude of the placebo
response. All but one clinical research associates added that the placebo
response could result from a "maternal" type of care. All principal investigators
said they have a strong influence on their patient's decision to participate.
Finally, when interviewees were asked to narrate a memory of a medically
unexplained healing, in eight of 11 physicians' narratives the beneficiary was a
child while in 10 of 12 patients' narratives it was an adult. CONCLUSION: Our
observations suggest that the interrelationship between health professionals and
patients involved in RCTs could be compared to that between parents and children.
Therefore, adherence to formal rules regarding informed consent does not ensure a
balanced relationship between patients and health professionals.
PMID- 27195807
TI - Antioxidant Potential of Vespa affinis L., a Traditional Edible Insect Species of
North East India.
AB - INTRODUCTION: Elevated oxidative stress plays an important role in the
pathogenesis of health disorders, like arthritis. Traditionally, Vespa affinis
L., a common edible insect among many tribes in North-East India, is believed to
have a beneficial role in extenuating health disorders, such as arthritis. The
present study investigated the molecular mechanism underlying medicinal benefit
of the Aqueous Extract of Vespa affinis L. (AEVA) against oxidative stress
pathophysiology. METHODS: The free radical scavenging activities of AEVA were
examined against DPPH, hydroxyl, and superoxide radicals and the effect on the
activities of antioxidant enzyme (GST and CAT) was determined using both
recombinant proteins and human plasma. The antioxidant potential of AEVA was
again investigated using THP-1 monocytes. RESULTS: AEVA possesses a significant
free radical scavenging activity as evident from the DPPH, superoxide, and
hydroxyl radical scavenging assay. Incubation of AEVA (2.5, 5, 7.5, and 10
MUg/MUL) with the recombinant antioxidant enzymes, rGST and rCAT significantly
increased the enzyme activities compared to those observed in corresponding
enzyme alone or AEVA itself. AEVA supplementation (5, 7.5, and 10 MUg/MUL) also
stimulates the activities of GST and CAT when incubated with human plasma. A cell
culture study also confirmed the beneficial role of AEVA (0.8 and 1.2 MUg/MUL)
which enhances the activities of GST and CAT, and also reduces the intercellular
ROS production in monocytes treated with or without H2O2 and the effects are at
par with what is observed in N-acetyl cysteine-treated cells. CONCLUSION: The
antioxidant potential of the aqueous extract of Vespa affinis L. may mediate its
therapeutic activities in oxidative stress-associated health disorders.
PMID- 27195808
TI - Areas of Agreement and Disagreement Regarding Ponderosa Pine and Mixed Conifer
Forest Fire Regimes: A Dialogue with Stevens et al.
AB - In a recent PLOS ONE paper, we conducted an evidence-based analysis of current
versus historical fire regimes and concluded that traditionally defined reference
conditions of low-severity fire regimes for ponderosa pine (Pinus ponderosa) and
mixed-conifer forests were incomplete, missing considerable variability in forest
structure and fire regimes. Stevens et al. (this issue) agree that high-severity
fire was a component of these forests, but disagree that one of the several
sources of evidence, stand age from a large number of forest inventory and
analysis (FIA) plots across the western USA, support our findings that severe
fire played more than a minor role ecologically in these forests. Here we
highlight areas of agreement and disagreement about past fire, and analyze the
methods Stevens et al. used to assess the FIA stand-age data. We found a major
problem with a calculation they used to conclude that the FIA data were not
useful for evaluating fire regimes. Their calculation, as well as a narrowing of
the definition of high-severity fire from the one we used, leads to a large
underestimate of conditions consistent with historical high-severity fire. The
FIA stand age data do have limitations but they are consistent with other
landscape-inference data sources in supporting a broader paradigm about
historical variability of fire in ponderosa and mixed-conifer forests than had
been traditionally recognized, as described in our previous PLOS paper.
PMID- 27195809
TI - Interactive training improves workplace climate, knowledge, and support towards
domestic violence.
AB - BACKGROUND: As Intimate Partner Violence (IPV) affects the workplace, a
supportive workplace climate is important. The study evaluated the effectiveness
of an "IPV and the Workplace" training on workplace climate towards IPV. METHODS:
IPV training was provided to 14 intervention counties and 13 control counties
(receiving training 6 months delayed). Measures included workplace climate
surveys, IPV knowledge test, and workplace observations. RESULTS: (i) Training
significantly improved supervisor knowledge on IPV and received positive
evaluations, (ii) training improved workplace climate towards IPV significantly
which was maintained over time, and (iii) after the training, supervisors
provided more IPV information to employees and more IPV postings were available
in the workplace. CONCLUSIONS: The study provides evidence to support on-site
interactive, computer based training as a means for improved workplace safety.
IPV and the Workplace training effectively increased knowledge and positively
changed workplace climate. Am. J. Ind. Med. 59:538-548, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27195811
TI - Protein biomarker discovery and fast monitoring for the identification and
detection of Anisakids by parallel reaction monitoring (PRM) mass spectrometry.
AB - Anisakids are fish-borne parasites that are responsible for a large number of
human infections and allergic reactions around the world. World health
organizations and food safety authorities aim to control and prevent this
emerging health problem. In the present work, a new method for the fast
monitoring of these parasites is described. The strategy is divided in three
steps: (i) purification of thermostable proteins from fish-borne parasites
(Anisakids), (ii) in-solution HIFU trypsin digestion and (iii) monitoring of
several peptide markers by parallel reaction monitoring (PRM) mass spectrometry.
This methodology allows the fast detection of Anisakids in <2h. An affordable
assay utilizing this methodology will facilitate testing for regulatory and
safety applications. SIGNIFICANCE: The work describes for the first time, the
Protein Biomarker Discovery and the Fast Monitoring for the identification and
detection of Anisakids in fishery products. The strategy is based on the
purification of thermostable proteins, the use of accelerated in-solution trypsin
digestions under an ultrasonic field provided by High-Intensity Focused
Ultrasound (HIFU) and the monitoring of several peptide biomarkers by Parallel
Reaction Monitoring (PRM) Mass Spectrometry in a linear ion trap mass
spectrometer. The workflow allows the unequivocal detection of Anisakids, in <2h.
The present strategy constitutes the fastest method for Anisakids detection,
whose application in the food quality control area, could provide to the
authorities an effective and rapid method to guarantee the safety to the
consumers.
PMID- 27195810
TI - Manipulation of Cell Cycle and Chromatin Configuration by Means of Cell
Penetrating Geminin.
AB - Geminin regulates chromatin remodeling and DNA replication licensing which play
an important role in regulating cellular proliferation and differentiation.
Transcription of the Geminin gene is regulated via an E2F-responsive region,
while the protein is being closely regulated by the ubiquitin-proteasome system.
Our objective was to directly transduce Geminin protein into cells. Recombinant
cell-penetrating Geminin (CP-Geminin) was generated by fusing Geminin with a
membrane translocating motif from FGF4 and was efficiently incorporated into NIH
3T3 cells and mouse embryonic fibroblasts. The withdrawal study indicated that
incorporated CP-Geminin was quickly reduced after removal from medium. We
confirmed CP-Geminin was imported into the nucleus after incorporation and also
that the incorporated CP-Geminin directly interacted with Cdt1 or Brahma/Brg1 as
the same manner as Geminin. We further demonstrated that incorporated CP-Geminin
suppressed S-phase progression of the cell cycle and reduced nuclease
accessibility in the chromatin, probably through suppression of chromatin
remodeling, indicating that CP-Geminin constitutes a novel tool for controlling
chromatin configuration and the cell cycle. Since Geminin has been shown to be
involved in regulation of stem cells and cancer cells, CP-Geminin is expected to
be useful for elucidating the role of Geminin in stem cells and cancer cells, and
for manipulating their activity.
PMID- 27195812
TI - Mapping the Ca(2+) induced structural change in calreticulin.
AB - Calreticulin is a highly conserved multifunctional protein implicated in many
different biological systems and has therefore been the subject of intensive
research. It is primarily present in the endoplasmatic reticulum where its main
functions are to regulate Ca(2+) homeostasis, act as a chaperone and stabilize
the MHC class I peptide-loading complex. Although several high-resolution
structures of calreticulin exist, these only cover three-quarters of the entire
protein leaving the extended structures unsolved. Additionally, the structure of
calreticulin is influenced by the presence of Ca(2+). The conformational changes
induced by Ca(2+) have not been determined yet as they are hard to study with
traditional approaches. Here, we investigated the Ca(2+)-induced conformational
changes with a combination of chemical cross-linking, mass spectrometry,
bioinformatics analysis and modelling in Rosetta. Using a bifunctional linker, we
found a large Ca(2+)-induced change to the cross-linking pattern in calreticulin.
Our results are consistent with a high flexibility in the P-loop, a stabilization
of the acidic C-terminal and a relatively close interaction of the P-loop and the
acidic C-terminal. BIOLOGICAL SIGNIFICANCE: The function of calreticulin, an
endoplasmatic reticulin chaperone, is affected by fluctuations in
Ca(2+)concentration, but the structural mechanism is unknown. The present work
suggests that Ca(2+)-dependent regulation is caused by different conformations of
a long proline-rich loop that changes the accessibility to the peptide/lectin
binding site. Our results indicate that the binding of Ca(2+) to calreticulin may
thus not only just be a question of Ca(2+) storage but is likely to have an
impact on the chaperone activity.
PMID- 27195813
TI - Quantitative proteomic analysis of two different rice varieties reveals that
drought tolerance is correlated with reduced abundance of photosynthetic
machinery and increased abundance of ClpD1 protease.
AB - Rice is the major staple food for more than half of world's population. As global
climate changes, we are observing more floods, droughts and severe heat waves.
Two rice cultivars with contrasting genetic backgrounds and levels of tolerance
to drought, Nipponbare and IAC1131, were used in this study. Four-week-old
seedlings of both cultivars were grown in large soil volumes and then exposed to
moderate and extreme drought for 7days, followed by 3days of re-watering. Mature
leaves were harvested from plants from each treatment for protein extraction and
subsequent shotgun proteomic analysis, with validation of selected proteins by
western blotting. Gene Ontology (GO) annotations of differentially expressed
proteins provide insights into the metabolic pathways that are involved in
drought stress resistance. Our data indicate that IAC1131 appears to be better
able to cope with stressful conditions by upregulating a suite of stress and
defence response related proteins. Nipponbare, in contrast, lacks the range of
stress responses shown by the more stress tolerant variety, and responds to
drought stress by initiating a partial shutdown of chlorophyll biosynthesis in an
apparent attempt to preserve resources. SIGNIFICANCE: In this study, two rice
genotypes with contrasting drought tolerance were exposed to soil water deficits,
and proteomic changes were observed in mature leaf laminae. Plants were well
watered and then switched to conditions of either moderate drought or extreme
drought followed by three days of recovery. Proteins were identified and
quantified using both label-free and Tandem Mass Tag multiplexing approaches.
Several biochemical pathways were significantly altered in response to water
deficit. Most notably, the up-regulation of ClpD1 protease responded strongly in
the drought-tolerant landrace; this protein is typically involved in heat and
osmotic stress response. In contrast, porphyrin and chlorophyll biosynthesis
pathways were down-regulated, indicating suppression of the photosynthetic
machinery.
PMID- 27195817
TI - ERRATUM: Section E6.1-6.4 of the ACMG technical standards and guidelines:
chromosome studies of neoplastic blood and bone marrow-acquired chromosomal
abnormalities.
PMID- 27195814
TI - Intensive vs Standard Blood Pressure Control and Cardiovascular Disease Outcomes
in Adults Aged >=75 Years: A Randomized Clinical Trial.
AB - IMPORTANCE: The appropriate treatment target for systolic blood pressure (SBP) in
older patients with hypertension remains uncertain. OBJECTIVE: To evaluate the
effects of intensive (<120 mm Hg) compared with standard (<140 mm Hg) SBP targets
in persons aged 75 years or older with hypertension but without diabetes. DESIGN,
SETTING, AND PARTICIPANTS: A multicenter, randomized clinical trial of patients
aged 75 years or older who participated in the Systolic Blood Pressure
Intervention Trial (SPRINT). Recruitment began on October 20, 2010, and follow-up
ended on August 20, 2015. INTERVENTIONS: Participants were randomized to an SBP
target of less than 120 mm Hg (intensive treatment group, n = 1317) or an SBP
target of less than 140 mm Hg (standard treatment group, n = 1319). MAIN OUTCOMES
AND MEASURES: The primary cardiovascular disease outcome was a composite of
nonfatal myocardial infarction, acute coronary syndrome not resulting in a
myocardial infarction, nonfatal stroke, nonfatal acute decompensated heart
failure, and death from cardiovascular causes. All-cause mortality was a
secondary outcome. RESULTS: Among 2636 participants (mean age, 79.9 years; 37.9%
women), 2510 (95.2%) provided complete follow-up data. At a median follow-up of
3.14 years, there was a significantly lower rate of the primary composite outcome
(102 events in the intensive treatment group vs 148 events in the standard
treatment group; hazard ratio [HR], 0.66 [95% CI, 0.51-0.85]) and all-cause
mortality (73 deaths vs 107 deaths, respectively; HR, 0.67 [95% CI, 0.49-0.91]).
The overall rate of serious adverse events was not different between treatment
groups (48.4% in the intensive treatment group vs 48.3% in the standard treatment
group; HR, 0.99 [95% CI, 0.89-1.11]). Absolute rates of hypotension were 2.4% in
the intensive treatment group vs 1.4% in the standard treatment group (HR, 1.71
[95% CI, 0.97-3.09]), 3.0% vs 2.4%, respectively, for syncope (HR, 1.23 [95% CI,
0.76-2.00]), 4.0% vs 2.7% for electrolyte abnormalities (HR, 1.51 [95% CI, 0.99
2.33]), 5.5% vs 4.0% for acute kidney injury (HR, 1.41 [95% CI, 0.98-2.04]), and
4.9% vs 5.5% for injurious falls (HR, 0.91 [95% CI, 0.65-1.29]). CONCLUSIONS AND
RELEVANCE: Among ambulatory adults aged 75 years or older, treating to an SBP
target of less than 120 mm Hg compared with an SBP target of less than 140 mm Hg
resulted in significantly lower rates of fatal and nonfatal major cardiovascular
events and death from any cause. TRIAL REGISTRATION: clinicaltrials.gov
Identifier: NCT01206062.
PMID- 27195815
TI - Molecular characterization of NRXN1 deletions from 19,263 clinical microarray
cases identifies exons important for neurodevelopmental disease expression.
AB - PURPOSE: The purpose of the current study was to assess the penetrance of NRXN1
deletions. METHODS: We compared the prevalence and genomic extent of NRXN1
deletions identified among 19,263 clinically referred cases to that of 15,264
controls. The burden of additional clinically relevant copy-number variations
(CNVs) was used as a proxy to estimate the relative penetrance of NRXN1
deletions. RESULTS: We identified 41 (0.21%) previously unreported exonic NRXN1
deletions ascertained for developmental delay/intellectual disability that were
significantly greater than in controls (odds ratio (OR) = 8.14; 95% confidence
interval (CI): 2.91-22.72; P < 0.0001). Ten (22.7%) of these had a second
clinically relevant CNV. Subjects with a deletion near the 3' end of NRXN1 were
significantly more likely to have a second rare CNV than subjects with a 5' NRXN1
deletion (OR = 7.47; 95% CI: 2.36-23.61; P = 0.0006). The prevalence of intronic
NRXN1 deletions was not statistically different between cases and controls (P =
0.618). The majority (63.2%) of intronic NRXN1 deletion cases had a second rare
CNV at a prevalence twice as high as that for exonic NRXN1 deletion cases (P =
0.0035). CONCLUSIONS: The results support the importance of exons near the 5' end
of NRXN1 in the expression of neurodevelopmental disorders. Intronic NRXN1
deletions do not appear to substantially increase the risk for clinical
phenotypes.Genet Med 19 1, 53-61.
PMID- 27195818
TI - Is it Fabry disease?
AB - Fabry disease is caused by mutations in the GLA gene that lower alpha
galactosidase A activity to less than 25-30% of the mean normal level. Several
GLA variants have been identified that are associated with relatively elevated
residual alpha-galactosidase A. The challenge is to determine which GLA variants
can cause clinical manifestations related to Fabry disease. Here, we review the
various types of GLA variants and recommend that pathogenicity be considered only
when associated with elevated globotriaosylceramide in disease-relevant organs
and tissues as analyzed by mass spectrometry. This criterion is necessary to
ensure that very costly and specific therapy is provided only when
appropriate.Genet Med 18 12, 1181-1185.
PMID- 27195816
TI - The phenotypic spectrum of Schaaf-Yang syndrome: 18 new affected individuals from
14 families.
AB - PURPOSE: Truncating mutations in the maternally imprinted, paternally expressed
gene MAGEL2, which is located in the Prader-Willi critical region 15q11-13, have
recently been reported to cause Schaaf-Yang syndrome, a Prader-Willi-like disease
that manifests as developmental delay/intellectual disability, hypotonia, feeding
difficulties, and autism spectrum disorder. The causality of the reported
variants in the context of the patients' phenotypes was questioned, as MAGEL2
whole-gene deletions seem to cause little or no clinical phenotype. METHODS: Here
we report a total of 18 newly identified individuals with Schaaf-Yang syndrome
from 14 families, including 1 family with 3 individuals found to be affected with
a truncating variant of MAGEL2, 11 individuals who are clinically affected but
were not tested molecularly, and a presymptomatic fetal sibling carrying the
pathogenic MAGEL2 variant. RESULTS: All cases harbor truncating mutations of
MAGEL2, and nucleotides c.1990-1996 arise as a mutational hotspot, with 10
individuals and 1 fetus harboring a c.1996dupC (p.Q666fs) mutation and 2 fetuses
harboring a c.1996delC (p.Q666fs) mutation. The phenotypic spectrum of Schaaf
Yang syndrome ranges from fetal akinesia to neurobehavioral disease and
contractures of the small finger joints. CONCLUSION: This study provides strong
evidence for the pathogenicity of truncating mutations of the paternal allele of
MAGEL2, refines the associated clinical phenotypes, and highlights implications
for genetic counseling for affected families.Genet Med 19 1, 45-52.
PMID- 27195819
TI - Inborn Errors of Metabolism Collaborative: large-scale collection of data on long
term follow-up for newborn-screened conditions.
AB - PURPOSE: The Inborn Errors of Metabolism Information System (IBEM-IS) collects
data on the clinical history of inborn errors of metabolism (IBEMs). The IBEM-IS
is accessible to metabolic clinics nationwide and seeks to (i) influence clinical
management of affected individuals and (ii) provide information to support public
health decision making. METHODS: Thirty centers in 21 states are enrolling
persons with newborn-screened conditions, collecting information on diagnosis and
treatment at the time of enrollment and all subsequent visits. Prospective data
are collected using electronic capture forms allowing aggregation of information
regarding outcomes for individuals affected with IBEMs. RESULTS: A total of 1,893
subjects have been enrolled in the IBEM-IS, and more than 540,000 individual data
points have been collected. Data collection has been initiated for subjects with
41 of 46 conditions on the recommended uniform screening panel; 4 conditions have
more than 100 subjects enrolled. Median follow-up time for subjects with more
than one visit (n = 898) is 1.5 years (interquartile range = 2.2 years). Subjects
with critical conditions are more likely to have emergency letters and sick-day
plans. Mortality was exclusive to children with critical conditions. CONCLUSION:
Large-scale prospective data can be collected for individuals with rare
conditions, permitting enhanced decision making for clinical management and
supporting decision making in public health newborn screening programs.Genet Med
18 12, 1276-1281.
PMID- 27195820
TI - Short- and long-term effects of the use of RAAS blockers immediately after renal
transplantation.
AB - BACKGROUND: The efficacy and safety of renin angiotensin aldosterone system
blockers (RAASB's) if introduced immediately after renal transplantation have not
been extensively investigated. METHODS: The medical charts of 142 kidney
transplant recipients who received a RAASB in the early postoperative period and
of 114 matched controls were analyzed. The RAASB was given primarily for blood
pressure control. RESULTS: 117 patients continued to receive and 50 controls
remained continuously free of the RAASB in the first year. The RAASB was added on
average at postoperative day 8 and the mean duration of follow-up was 5.4 years.
Systolic, blood pressure at treatment initiation was increased in the RAASB group
(150 +/- 17 vs. 141 +/- 16, p < 0.001). At discharge from hospital and during
follow-up blood pressure was similar in both groups, without differences in GFR,
potassium and proteinuria. The endpoints "graft failure" and "graft failure or
death from any cause" were significantly better in patients treated with RAASB's
(p = 0.03 and p = 0.04, respectively). The treatment effects in the RAASB group
persisted even after adjustment for demographic parameters, immunological risk
factors, peritransplant risk factors, duration of dialysis prior to
transplantation and medical comorbidities. CONCLUSIONS: Thus, RAASB's can be used
effectively and safely to treat hypertension in the early postoperative period
after kidney transplantation and are renoprotective in the long term.
PMID- 27195821
TI - Genetic Diversity, Natural Selection and Haplotype Grouping of Plasmodium
knowlesi Gamma Protein Region II (PkgammaRII): Comparison with the Duffy Binding
Protein (PkDBPalphaRII).
AB - BACKGROUND: Plasmodium knowlesi is a simian malaria parasite that has been
reported to cause malaria in humans in Southeast Asia. This parasite invades the
erythrocytes of humans and of its natural host, the macaque Macaca fascicularis,
via interaction between the Duffy binding protein region II (PkDBPalphaRII) and
the Duffy antigen receptor on the host erythrocytes. In contrast, the P. knowlesi
gamma protein region II (PkgammaRII) is not involved in the invasion of P.
knowlesi into humans. PkgammaRII, however, mediates the invasion of P. knowlesi
into the erythrocytes of M. mulata, a non-natural host of P. knowlesi via a
hitherto unknown receptor. The haplotypes of PkDBPalphaRII in P. knowlesi
isolates from Peninsular Malaysia and North Borneo have been shown to be
genetically distinct and geographically clustered. Also, the PkDBPalphaRII was
observed to be undergoing purifying (negative) selection. The present study aimed
to determine whether similar phenomena occur in PkgammaRII. METHODS: Blood
samples from 78 knowlesi malaria patients were used. Forty-eight of the samples
were from Peninsular Malaysia, and 30 were from Malaysia Borneo. The genomic DNA
of the samples was extracted and used as template for the PCR amplification of
the PkgammaRII. The PCR product was cloned and sequenced. The sequences obtained
were analysed for genetic diversity and natural selection using MEGA6 and DnaSP
(version 5.10.00) programmes. Genetic differentiation between the PkgammaRII of
Peninsular Malaysia and North Borneo isolates was estimated using the Wright's
FST fixation index in DnaSP (version 5.10.00). Haplotype analysis was carried out
using the Median-Joining approach in NETWORK (version 4.6.1.3). RESULTS: A total
of 78 PkgammaRII sequences was obtained. Comparative analysis showed that the
PkgammaRII have similar range of haplotype (Hd) and nucleotide diversity (pi)
with that of PkDBPalphaRII. Other similarities between PkgammaRII and
PkDBPalphaRII include undergoing purifying (negative) selection, geographical
clustering of haplotypes, and high inter-population genetic differentiation (FST
index). The main differences between PkgammaRII and PkDBPalphaRII include length
polymorphism and no departure from neutrality (as measured by Tajima's D
statistics) in the PkgammaRII. CONCLUSION: Despite the biological difference
between PkgammaRII and PkDBPalphaRII, both generally have similar genetic
diversity level, natural selection, geographical haplotype clustering and inter
population genetic differentiation index.
PMID- 27195822
TI - Topical application of spent coffee ground extracts protects skin from
ultraviolet B-induced photoaging in hairless mice.
AB - The aim of this study was to evaluate the protective effect of spent coffee
ground (SCG) on ultraviolet (UV) B-induced photoaging in hairless mice. The oil
fraction (OSCG) and ethanol extract (ESCG) of SCG were prepared from SCG. OSCG
contained a much higher level of caffeine (547.32 +/- 1.68 MUg mg(-1)) when
compared to the sum of its chlorogenic acid derivatives (~119 MUg mg(-1)), and
pyrazines were the major aromatic compounds in OSCG. OSCG effectively inhibited
the UVB-induced increase in intracellular reactive oxygen species in HaCaT cells.
Topical application of OSCG or ESCG significantly reduced the UVB-induced wrinkle
formation in mice dorsal skin. The combined application of OSCG and ESCG (OEH)
led to a decrease in the wrinkle area by over 35% when compared with the UVB
treated control (UVBC). Epidermal thickness was also reduced by 40%. This result
was connected to the significant reduction in transdermal water loss (27%) and
erythema formation (48%) that result from UVB irradiation. Polarization-sensitive
optical coherence tomography (PS-OCT) and antibody-based histological analyses
showed that OSCG and ESCG effectively suppressed the UVB-induced decrease in
collagen content. The level of type 1 collagen (COL1) in the OEH group was
enhanced by around 40% compared with the UVB control group (UVBC). This was
attributed to the down-regulation of matrix metalloproteinases (MMP2, 9, and 13),
which are known to be responsible for collagen destruction. Our results indicate
that topical treatment with OSCG/ESCG protects mouse skin from UVB-induced
photoaging by down-regulating MMPs; therefore, suggesting the potential of SCG
extracts as a topical anti-photoaging agent.
PMID- 27195824
TI - Contrasting Photophysiological Characteristics of Phytoplankton Assemblages in
the Northern South China Sea.
AB - The growth of phytoplankton and thus marine primary productivity depend on
photophysiological performance of phytoplankton cells that respond to changing
environmental conditions. The South China Sea (SCS) is the largest marginal sea
of the western Pacific and plays important roles in modulating regional climate
and carbon budget. However, little has been documented on photophysiological
characteristics of phytoplankton in the SCS. For the first time, we investigated
photophysiological characteristics of phytoplankton assemblages in the northern
South China Sea (NSCS) using a real-time in-situ active chlorophyll a
fluorometry, covering 4.0 * 105 km2. The functional absorption cross section of
photosystem II (PSII) in darkness (sigmaPSII) or under ambient light (sigmaPSII')
(A2 quanta-1) increased from the surface to deeper waters at all the stations
during the survey period (29 July to 23 August 2012). While the maximum (Fv/Fm,
measured in darkness) or effective (Fq'/Fm', measured under ambient light)
photochemical efficiency of PSII appeared to increase with increasing depth at
most stations, it showed inverse relationship with depth in river plume areas.
The functional absorption cross section of PSII changes could be attributed to
light-adapted genotypic feature due to niche-partition and the alteration of
photochemical efficiency of PSII could be attributed to photo-acclimation. The
chlorophyll a fluorometry can be taken as an analog to estimate primary
productivity, since areas of higher photochemical efficiency of PSII coincided
with those of higher primary productivity reported previously in the NSCS.
PMID- 27195823
TI - Traditional Chinese medicines in the management of cardiovascular diseases: a
comprehensive systematic review.
AB - AIMS: The aim was to perform a systematic review of the efficacy of traditional
Chinese medicines (TCM) in cardiovascular disease. METHODS: Electronic databases
were searched up to 11 November 2015 for all randomized-controlled trials
evaluating the effect of TCM in hypertension, ischaemic stroke, heart failure,
coronary heart disease and type 2 diabetes mellitus. Pooled odds ratios (ORs)
were calculated using a fixed-effects model. RESULTS: Four hypertension studies
were eligible for statistical analysis and included 133 patients receiving TCM
and 130 control patients. There were significant reductions in systolic blood
pressure in patients receiving TCM, comparable to results achieved with
pharmaceutical medicines. An OR of 3.781 (95% confidence interval 2.392, 5.977; P
= 0.000) was observed for the anti-hypertensive effect of TCM. Significant
heterogeneity was present (P = 0.011), with a tendency towards publication bias
that did not reach significance (P = 0.05275). Outcome measures for other
cardiovascular diseases were inconsistent. CONCLUSIONS: Certain TCM compounds
appear to have significant anti-hypertensive effects, and although some are
associated in some studies with improved outcomes in coronary heart disease,
heart failure and type 2 diabetes mellitus, the data are inconsistent and will
require large-scale randomized-controlled trials to allow full evaluation of any
potential therapeutic benefit in these areas.
PMID- 27195825
TI - Attention selectively modulates cortical entrainment in different regions of the
speech spectrum.
AB - Recent studies have uncovered a neural response that appears to track the
envelope of speech, and have shown that this tracking process is mediated by
attention. It has been argued that this tracking reflects a process of phase
locking to the fluctuations of stimulus energy, ensuring that this energy arrives
during periods of high neuronal excitability. Because all acoustic stimuli are
decomposed into spectral channels at the cochlea, and this spectral decomposition
is maintained along the ascending auditory pathway and into auditory cortex, we
hypothesized that the overall stimulus envelope is not as relevant to cortical
processing as the individual frequency channels; attention may be mediating
envelope tracking differentially across these spectral channels. To test this we
reanalyzed data reported by Horton et al. (2013), where high-density EEG was
recorded while adults attended to one of two competing naturalistic speech
streams. In order to simulate cochlear filtering, the stimuli were passed through
a gammatone filterbank, and temporal envelopes were extracted at each filter
output. Following Horton et al. (2013), the attended and unattended envelopes
were cross-correlated with the EEG, and local maxima were extracted at three
different latency ranges corresponding to distinct peaks in the cross-correlation
function (N1, P2, and N2). We found that the ratio between the attended and
unattended cross-correlation functions varied across frequency channels in the N1
latency range, consistent with the hypothesis that attention differentially
modulates envelope-tracking activity across spectral channels.
PMID- 27195826
TI - Development of antithrombotic nanoconjugate blocking integrin alpha2beta1
collagen interactions.
AB - An antithrombotic nanoconjugate was designed in which a designed biomimetic
peptide LWWNSYY was immobilized to the surface of poly(glycidyl methacrylate)
nanoparticles (PGMA NPs). Our previous work has demonstrated LWWNSYY to be an
effective inhibitor of integrin alpha2beta1-collagen interaction and subsequent
thrombus formation, however its practical application suffered from the formation
of clusters in physiological environment caused by its high hydrophobicity. In
our present study, the obtained LWWNSYY-PGMA nanoparticles (L-PGMA NPs)
conjugate, with an improved dispersibility of LWWNSYY by PGMA NPs, have shown
binding to collagen receptors with a Kd of 3.45 +/- 1.06 MUM. L-PGMA NPs have
also proven capable of inhibiting platelet adhesion in vitro with a reduced IC50
of 1.83 +/- 0.29 MUg/mL. High inhibition efficiency of L-PGMA NPs in thrombus
formation was further confirmed in vivo with a 50% reduction of thrombus weight.
Therefore, L-PGMA NPs were developed as a high-efficiency antithrombotic
nanomedicine targeted for collagen exposed on diseased blood vessel wall.
PMID- 27195892
TI - Molecular Characterization of Shigella sonnei: An Increasingly Prevalent
Etiologic Agent of Shigellosis in Guizhou Province, Southwest of China.
AB - BACKGROUND: Shigellosis is a serious problem in Guizhou and Shigella sonnei is an
increasingly prevalent etiologic agent of local shigellosis cases. No data,
however, are available about the molecular characterization of the local isolates
of S. sonnei. We have conducted this study to molecularly characterize the
clinical isolates of S. sonnei in Guizhou Province. RESULTS: 76 S. sonnei
isolates, including four isolates from 1974-1982 and 72 isolates from 2008-2010,
were used for analysis in this study. Pulsed-field gel electrophoresis (PFGE)
based on XbaI digestion divided the 76 isolates into 38 PFGE patterns (PT) and 15
PTs were represented by more than one isolates with PT31 (N = 8) containing the
most number of isolates, followed with PT2 (N = 6). Multiple-Locus Variable
number tandem repeat (VNTR) Analysis (MLVA) based on seven VNTR loci
discriminated them into 19 different MLVA types (MTs), and four MTs were
represented by more than one isolate with MT4 (N = 39) containing the most number
of isolates, followed with MT12 (N = 18). 15 Multilocus sequence typing (MLST)
base on 15 loci differentiated the isolates into six sequence types (STs), among
which four STs were novel. The most common STs are ST76 (N = 43) and ST116 (N =
25), accounting for 92.1%. Correlation between genetic relationships and
geographical origins or isolation years was observed among the isolates studied.
Majority of isolates were clustered in accordance with the origin of isolation
years based on the genetic data, which were also from similar geographical
origins. CONCLUSIONS: Our results revealed the molecular characteristics
including the specific genotypes such as four novel STs, clonal relationship, and
genetic changes of local isolates from different years, which enhances our
understanding of molecular characteristics of S. sonnei and contributes to the
prevention and control of shigellosis in Guizhou Province.
PMID- 27195893
TI - Risk factors for death in children with severe hand, foot, and mouth disease in
Hunan, China.
AB - BACKGROUND: In recent years, outbreaks of hand, foot, and mouth disease (HFMD)
have increased throughout East and Southeast Asia, especially in mainland China.
The disease now presents as an increasingly serious public health threat in
China. METHODS: A case-control study was designed to examine risk factors
associated with death from severe HFMD. A total of 553 severe HFMD cases were
collected from the National Surveillance System. RESULTS: Multifactorial logistic
regression was used to analyse independent associations between potential
influence factors and death from severe HFMD. We found that the migrants were
more likely to die from severe HFMD than the resident population (OR = 3.07,
95%CI: 1.39-8.32). Additionally, the children whose first visit was to a village
level clinic had a high risk of death from severe HFMD. Patients with EV71
infection or symptoms of convulsion, dyspnoea, cyanosis, coolness of extremities,
and vomiting had an increased risk of death from severe HFMD. While breastfeeding
children, having a confirmed diagnosis at the first visit to the hospital and
with symptom of hyperarousal were identified as protective factors for death from
severe HFMD. CONCLUSIONS: To reduce the mortality from severe HFMD, doctors and
health care providers need to pay attention to the patients with EV71 infection
or with symptoms of convulsion, dyspnoea, cyanosis, coolness of extremities, and
vomiting. Health administration departments should pay more attention to the
rational allocation of health resources. Furthermore, they should increase
financial support and manpower in village-level health institutions.
PMID- 27195895
TI - The Academy of Breastfeeding Medicine's Signature Product: Our Clinical
Protocols.
PMID- 27195891
TI - Conserved Units of Co-Expression in Bacterial Genomes: An Evolutionary Insight
into Transcriptional Regulation.
AB - Genome-wide measurements of transcriptional activity in bacteria indicate that
the transcription of successive genes is strongly correlated beyond the scale of
operons. Here, we analyze hundreds of bacterial genomes to identify supra
operonic segments of genes that are proximal in a large number of genomes. We
show that these synteny segments correspond to genomic units of strong
transcriptional co-expression. Structurally, the segments contain operons with
specific relative orientations (co-directional or divergent) and nucleoid
associated proteins are found to bind at their boundaries. Functionally, operons
inside a same segment are highly co-expressed even in the apparent absence of
regulatory factors at their promoter regions. Remote operons along DNA can also
be co-expressed if their corresponding segments share a transcriptional or sigma
factor, without requiring these factors to bind directly to the promoters of the
operons. As evidence that these results apply across the bacterial kingdom, we
demonstrate them both in the Gram-negative bacterium Escherichia coli and in the
Gram-positive bacterium Bacillus subtilis. The underlying process that we propose
involves only RNA-polymerases and DNA: it implies that the transcription of an
operon mechanically enhances the transcription of adjacent operons. In support of
a primary role of this regulation by facilitated co-transcription, we show that
the transcription en bloc of successive operons as a result of transcriptional
read-through is strongly and specifically enhanced in synteny segments. Finally,
our analysis indicates that facilitated co-transcription may be evolutionary
primitive and may apply beyond bacteria.
PMID- 27195894
TI - Noise Annoyance Is Associated with Depression and Anxiety in the General
Population- The Contribution of Aircraft Noise.
AB - BACKGROUND: While noise annoyance has become recognized as an important
environmental stressor, its association to mental health has hardly been studied.
We therefore determined the association of noise annoyance to anxiety and
depression and explored the contribution of diverse environmental sources to
overall noise annoyance. PATIENTS AND METHODS: We investigated cross-sectional
data of n = 15.010 participants of the Gutenberg Health Study (GHS), a population
based, prospective, single-center cohort study in Mid-Germany (age 35 to 74
years). Noise annoyance was assessed separately for road traffic, aircraft,
railways, industrial, neighborhood indoor and outdoor noise ("during the day";
"in your sleep") on 5-point scales ("not at all" to "extremely"); depression and
anxiety were assessed by the PHQ-9, resp. GAD-2. RESULTS: Depression and anxiety
increased with the degree of overall noise annoyance. Compared to no annoyance,
prevalence ratios for depression, respectively anxiety increased from moderate
(PR depression 1.20; 95%CI 1.00 to 1.45; PR anxiety 1.42; 95% CI 1.15 to 1.74) to
extreme annoyance (PR depression 1.97; 95%CI 1.62 to 2.39; PR anxiety 2.14; 95%
CI 1.71 to 2.67). Compared to other sources, aircraft noise annoyance was
prominent affecting almost 60% of the population. INTERPRETATION: Strong noise
annoyance was associated with a two-fold higher prevalence of depression and
anxiety in the general population. While we could not relate annoyance due to
aircraft noise directly to depression and anxiety, we established that it was the
major source of annoyance in the sample, exceeding the other sources in those
strongly annoyed. Prospective follow-up data will address the issue of causal
relationships between annoyance and mental health.
PMID- 27195896
TI - Dopamine receptor polymorphism modulates the relation between antenatal maternal
anxiety and fetal movement.
AB - We determined whether the combination of fetal genotype (dopamine D4 receptor;
DRD4) and mothers' anxiety during pregnancy is associated with fetal behavior.
Two hundred and six pregnant women underwent an ultrasound exam. Fetal movement
measures (Movement Frequency, Total Activity, Movement Duration, and Longest
Quiet Time) were derived from off-line coding. A moderating role of the DRD4-III
polymorphism was found: Results indicate that higher levels of antenatal maternal
anxiety symptoms were associated with more frequent fetal movements among fetuses
carrying a 7R allele, but not among fetuses carrying shorter alleles. Total
Activity did not show full moderation by DRD4, though the measure was correlated
with maternal anxiety among fetuses in the Anxious Group with a 7R allele; not
among fetuses without both factors. The findings provide the first evidence of a
GXE interaction in association with fetal behavior. Results also demonstrate that
some individuals are inherently more susceptible to uterine environmental
influences than are others.
PMID- 27195898
TI - Violence against women and cervical cancer screening: a systematic review.
AB - AIMS AND OBJECTIVES: To present a systematic review of papers published on the
relationship between violence against women and cervical cancer screening.
BACKGROUND: Violence against women is a serious public health problem. This
phenomenon can have negative effects on victims' health and affect the frequency
at which they receive cervical cancer screening. DESIGN: A systematic literature
review. METHODS: This study was carried out in October 2015 with searches of the
Lilacs, PubMed and Web of Science databases using the following keywords:
violence, domestic violence, battered women, spouse abuse, Papanicolaou test,
vaginal smears, early detection of cancer and cervix uteri. RESULTS: Eight papers
published between 2002-2013 were included in this review, most of which were
cross-sectional studies. Three studies found no association between victimisation
and receiving Pap testing, and five studies reported an association. These
contradictory results were due to higher or lower examination frequencies among
the women who had experienced violence. CONCLUSION: The results of this study
indicate that the association between violence against women and cervical cancer
screening remains inconclusive, and they demonstrate the need for more detailed
studies to help clarify this relationship. RELEVANCE TO CLINICAL PRACTICE:
Professionals who aid women should be knowledgeable regarding the perception and
detection of violence so that they can interrupt the cycle of aggression, which
has harmful impacts on victims' health.
PMID- 27195899
TI - Diffusiophoretic mobility of charge-regulating porous particles.
AB - The diffusiophoresis of a charge-regulating porous sphere, such as
polyelectrolyte coil, with an arbitrary thickness of the electric double layer in
an electrolyte solution prescribed with a concentration gradient is analytically
studied for the first time. The ionogenic functional groups and hydrodynamic
frictional segments distribute uniformly within the permeable particle, and a
charge regulation model for the association and dissociation reactions of the
functional groups relates the fixed charge density to the local electric
potential. The electrokinetic equations governing the electric potential, ionic
electrochemical potential, and fluid velocity distributions are solved as power
series expansions in the basic fixed charge density. An explicit formula for the
diffusiophoretic mobility of the particle, which vanishes at the isoelectric
point, is derived from a force balance. The effects of charge regulation on the
diffusiophoretic mobility, which depend on various particle and electrolyte
characteristics such as the reaction equilibrium constants of the ionogenic
functional groups, are significant and interesting. The variation in the bulk
concentration of the charge-determining ions can produce more than one reversal
in the direction of the diffusiophoretic velocity. The obtained results differ
conspicuously from those of impermeable particles and provide valuable
information for the interpretation of experimental data.
PMID- 27195901
TI - Self-Efficacy, Planning, and Drink Driving: Applying the Health Action Process
Approach.
AB - OBJECTIVE: This study examines the constructs from the health action process
approach (HAPA) theoretical model (Schwarzer, 1992) on future drink driving
avoidance by first time drink driving offenders. This research presents an
advance in health related theory by the novel application of the health model to
predict risk avoidance. METHOD: Baseline interviews were conducted with 198 first
time drink driving offenders at the time of court appearance, and offenders were
followed up 6-8 months following the offense date. The key outcome variables used
in 3 stages were behavioral expectation, planning, and self-reported avoidance of
drink driving at follow-up. RESULTS: Bivariate and multivariate analyses were
conducted for each stage. High task self-efficacy and female gender were
significantly related to having no behavioral expectation of future drink
driving. High maintenance self-efficacy was significantly related to high levels
of planning to avoid future drink driving. Those with higher planning scores at
baseline had significantly higher odds of reporting that they had avoided drink
driving at follow up. CONCLUSION: Planning plays an important role in drink
driving rehabilitation and should be a focus of early intervention programs aimed
at reducing drink driving recidivism following a first offense. Self-efficacy is
an important construct to consider for the behavior and could strengthen a
planning focused intervention. (PsycINFO Database Record
PMID- 27195900
TI - Change in health-related quality of life in the context of pediatric obesity
interventions: A meta-analytic review.
AB - OBJECTIVE: To quantitatively characterize change in health-related quality of
life (HRQoL) in the context of behavioral (n = 16), surgical (n = 5), and
pharmacological (n = 1) interventions for pediatric overweight and obesity. A
secondary goal was to examine the relationship between change in HRQoL and change
in body mass index (DeltaBMI) by treatment type. The amount of weight loss
necessary to observe a minimally clinically important difference (MCID) in HRQoL
was determined. METHOD: Data were gathered from studies reporting on weight
change and DeltaHRQoL over the course of obesity interventions (N = 22) in youths
(N = 1,332) with average ages between 7.4 and 16.5 years (M = 12.2). An overall
effect size was calculated for DeltaHRQoL. Moderation analyses were conducted
using analysis of variance and weighted regression. MCID analyses were conducted
by converting HRQoL data to standard error of measurement units. RESULTS: The
overall effect size for DeltaHRQoL in the context of pediatric obesity
interventions was medium (g = 0.51). A significant linear relationship was
detected between DeltaBMI and DeltaHRQoL (R2 = 0.87). This relationship was
moderated by treatment type, with medical (i.e., surgical) interventions
demonstrating a stronger relationship. Results indicated that it takes a change
of 0.998 BMI units to detect true change in HRQoL. CONCLUSION: This study
provides the first known quantitative examination of changes in HRQoL associated
with weight loss in pediatric interventions. Medical interventions appear to
offer a more substantial increase in HRQoL per unit of BMI change. These results
offer a concrete weight loss goal for noticing positive effects in daily life
activities. (PsycINFO Database Record
PMID- 27195897
TI - Polymyxin Resistance in Acinetobacter baumannii: Genetic Mutations and
Transcriptomic Changes in Response to Clinically Relevant Dosage Regimens.
AB - Polymyxins are often last-line therapeutic agents used to treat infections caused
by multidrug-resistant A. baumannii. Recent reports of polymyxin-resistant A.
baumannii highlight the urgent need for research into mechanisms of polymyxin
resistance. This study employed genomic and transcriptomic analyses to
investigate the mechanisms of polymyxin resistance in A. baumannii AB307-0294
using an in vitro dynamic model to mimic four different clinically relevant
dosage regimens of polymyxin B and colistin over 96 h. Polymyxin B dosage
regimens that achieved peak concentrations above 1 mg/L within 1 h caused
significant bacterial killing (~5 log10CFU/mL), while the gradual accumulation of
colistin resulted in no bacterial killing. Polymyxin resistance was observed
across all dosage regimens; partial reversion to susceptibility was observed in 6
of 8 bacterial samples during drug-free passaging. Stable polymyxin-resistant
samples contained a mutation in pmrB. The transcriptomes of stable and non-stable
polymyxin-resistant samples were not substantially different and featured altered
expression of genes associated with outer membrane structure and biogenesis.
These findings were further supported via integrated analysis of previously
published transcriptomics data from strain ATCC19606. Our results provide a
foundation for understanding the mechanisms of polymyxin resistance following
exposure to polymyxins and the need to explore effective combination therapies.
PMID- 27195903
TI - Laboratory diagnosis of anemia: are the old and new red cell parameters useful in
classification and treatment, how?
AB - INTRODUCTION: Anemia is a global problem affecting the population in both
developing and developed countries, and there is a debate on which hemoglobin
level limit should be used to define anemia in general population and
particularly in the elderly. We present herein a laboratory approach to
diagnosing the possible causes of anemia based on traditional and new erythroid
parameters. In this article, we provide practical diagnostic algorithms that
address to differential diagnosis of anemia. Based on both morphological and
kinetic classifications, three patterns were considered: microcytic, normocytic,
and macrocytic. METHODS: Main interest is on the clinical usefulness of old and
new parameters such as mean cell volume (MCV), red blood cell distribution width
(RDW), hypochromic and microcytic erythrocytes, immature reticulocyte fraction
(IRF), and some reticulocyte indices such as reticulocyte hemoglobin content and
mean reticulocyte volume. The pathophysiologic basis is reviewed in terms of bone
marrow erythropoiesis, evaluated by reticulocyte count (increased or
normal/decreased) and IRF. The utility of reticulocyte indices in the diagnosis
of iron-deficient erythropoiesis (absolute or functional) and in monitoring of
response to treatment in nutritional anemia (iron and cobalamin) was also
investigated. RESULTS: For each parameter, the availability, the possible
clinical applications, and the limitations were evaluated. A discussion on
intraindividual biological variation and its implication on the usefulness of
conventional reference intervals and in longitudinal monitoring of the patients
was also reported. CONCLUSION: Red cell parameters and reticulocyte indices play
an essential role in differential diagnosis of anemia and in its treatment. More
efforts are needed in harmonizing parameters whose results are still too
different when produced by different analyzers.
PMID- 27195902
TI - An Altered Perception of Normal: Understanding Causes for Treatment Delay in
Women with Symptomatic Uterine Fibroids.
AB - BACKGROUND: Uterine fibroids are benign tumors that are the leading cause of
hysterectomy in the United States. Despite their high prevalence and associated
morbidity, there are little qualitative data characterizing what drives women's
treatment-seeking behavior for their fibroids. METHODS: Women with symptomatic or
recently treated uterine fibroids completed in-depth interviews and demographic
surveys. Interviews were transcribed verbatim and uploaded to NVivo version 10
for data management and thematic coding. Coders identified major themes and
subthemes that emerged from the interviews. RESULTS: Sixty women (n = 60)
completed the interviews. The kappa among coders was 0.94. The mean age of
participants was 43.0 +/- 6.8. 61.7% of participants self-identified as African
American, 25.0% as Caucasian, 8.3% as Hispanic, and 5.0% as Asian. Many women
reported obtaining a delayed diagnosis for their uterine fibroids despite
experiencing severe symptoms. There were five subthemes that identified why women
delayed seeking treatment, which included the perception that their symptoms were
"normal," they had a low knowledge of fibroids, they did not perceive themselves
to be at risk for fibroids, they engaged in avoidance-based coping strategies,
and/or they dissociated themselves from their fibroids. CONCLUSIONS: Many women
with symptomatic fibroids live with this condition chronically without seeking
care. It appears that for some, limited knowledge regarding fibroids and normal
menstruation may lead to a distorted view of what is normal with regard to
uterine bleeding, resulting in limited treatment seeking behavior. Others know
their symptoms are abnormal but simply avoid the problem. There is a need for
patient-centered and community-based education to improve women's knowledge of
fibroids and symptoms and to promote treatment options.
PMID- 27195904
TI - Enhanced Microwave Hyperthermia of Cancer Cells with Fullerene.
AB - Hyperthermia generated with various energy sources including microwave has been
widely studied for cancer treatment. However, the potential damage due to
nontargeted heating of normal tissue is a major hurdle to its widespread
application. Fullerene is a potential agent for improving cancer therapy with
microwave hyperthermia but is limited by its poor solubility in water for
biomedical applications. Here we report a combination therapy for enhanced cancer
cell destruction by combining microwave heating with C60-PCNPs consisting of
fullerene (C60) encapsulated in Pluronic F127-chitosan nanoparticles (PCNPs) with
high water solubility. A cell culture dish integrated with an antenna was
fabricated to generate microwave (2.7 GHz) for heating PC-3 human prostate cancer
cells either with or without the C60-PCNPs. The cell viability data show that the
C60-PCNPs alone have minimal cytotoxicity. The combination of microwave heating
and C60-PCNPs is significantly more effective than the microwave heating alone in
killing the cancer cells (7.5 versus 42.2% cell survival). Moreover, the
combination of microwave heating and C60-PCNPs is significantly more destructive
to the cancer cells than the combination of simple water-bath heating (with a
similar thermal history to microwave heating) and C60-PCNPs (7.5 versus 32.5%
survival) because the C60 in the many nanoparticles taken up by the cells can
absorb the microwave energy and convert it into heat to enhance heating inside
the cells under microwave irradiation. These data suggest the great potential of
targeted heating via fullerene for enhanced cancer treatment by microwave
hyperthermia.
PMID- 27195905
TI - Is Extended Sedation Necessary for Young Children Receiving High-Dose (131) I
MIBG Therapy?
PMID- 27195906
TI - Phosphatase PPM1A is a novel prognostic marker in pancreatic ductal
adenocarcinoma.
AB - Pancreatic ductal adenocarcinoma (PDAC) harbors complex molecular alterations and
remains a lethal disease. Aberrant TGF-beta/Smads signaling is a well-known
mechanism involved in the progression of PDACs. However, loss of Smad4 expression
is reported in only ~50% of PDACs and is generally associated with worse
prognosis. Investigating additional prognostic markers is warranted. PPM1A is a
phosphatase that dephosphorylates TGF-beta-activated Smad2/3 and inactivates the
TGF-beta signaling. Little is known about the clinical significance of PPM1A in
PDACs and its functional relationship to Smad4. In this study, PPM1A and Smad4
immunohistochemistry was assessed in 180 R0 resected human PDACs. PPM1A was lost
in 41.7% cases, whereas Smad4 was lost in 45.7% cases. The median survival rate
with negative and positive PPM1A was 10.9 and 16.8 months, respectively. Loss of
PPM1A was significantly associated with larger tumor size and higher stage and
was an independent predictor of unfavorable outcomes. Intriguingly, the overall
survival of this cohort was divided into 3 groups based on the expression pattern
of PPM1A and Smad4, with the Smad4+/PPM1A+ pattern associated with favorable
survival, the Smad4+/PPM1A- or Smad4-/PPM1A- pattern associated with unfavorable,
and the PPM1A+/Smad4- pattern fell between these 2 groups. In 82 cases with
negative Smad4, PPM1A or P-Smad2/3 expression was retained. Using a SMAD4
deficient human PDAC cell line, BxPC3, we further demonstrated that TGF-beta1
treatment induced PPM1A and P-Smad2/3 expression in this cell line. PPM1A and
Smad4 immunohistochemistry in surgical specimens may provide more accurate
prognostic stratification for patients with PDAC.
PMID- 27195907
TI - Myoepithelial cells in lobular carcinoma in situ: distribution and
immunophenotype.
AB - Myoepithelial cells have important physical and paracrine roles in breast tissue
development, maintenance, and tumor suppression. Recent molecular and
immunohistochemical studies have demonstrated phenotypic alterations in ductal
carcinoma in situ-associated myoepithelial cells. Although the relationship of
lobular carcinoma in situ (LCIS) and myoepithelial cells was described in 1980,
further characterization of LCIS-associated myoepithelial cells is lacking. We
stained 27 breast specimens harboring abundant LCIS with antibodies to smooth
muscle myosin heavy chain, smooth muscle actin, and calponin. Dual stains for E
cadherin/smooth muscle myosin heavy chain and CK7/p63 were also performed. In
each case, the intensity and distribution of staining in LCIS-associated
myoepithelial cells were compared with normal breast tissue on the same slide. In
78% of the cases, LCIS-associated myoepithelial cells demonstrated decreased
staining intensity for one or more myoepithelial markers. The normal localization
of myoepithelial cells (flat against the basement membrane, pattern N) was seen
in 96% of LCIS, yet 85% of cases had areas with myoepithelial cell cytoplasm
oriented perpendicular to the basement membrane (pattern P), and in 30% of cases,
myoepithelial cells appeared focally admixed with LCIS cells (pattern C). This
study characterizes detailed architectural and immunophenotypic alterations of
LCIS-associated myoepithelial cells. The finding of variably diminished staining
favors application of several myoepithelial immunostains in clinical practice.
The interaction of LCIS with myoepithelial cells, especially in light of the
perpendicular and central architectural arrangements, deserves further
mechanistic investigation.
PMID- 27195908
TI - Heterotopic pancreas: a clinicopathological study of 184 cases from a single high
volume medical center in China.
AB - Heterotopic pancreas (HP) is often an incidental finding during operative or
endoscopic procedures and described in case reports and small series in the
literature. Large clinicopathological studies with a systematic analysis remain
lacking. Herein, we identified 184 (0.18%; 184/99 544) consecutive histology
proven HP cases of 89 770 surgical resections and 9774 upper endoscopic biopsies
carried out at a single medical center in China. Each case was diagnosed by
unequivocal identification of pancreatic acini at a location outside the
pancreas. The patients' median age was 49 years (range, 14-82) with a slight
female predominance (male/female ratio, 0.94). Clinical presentation at diagnosis
was nonspecific. Preoperatively, most (54.9%; 101/184) HP lesions were
misdiagnosed. Only 26 HP lesions (14.1%) were correctly diagnosed, all in the
stomach except for 1 in the duodenum; 57 (31%) were detected during operative
procedures for other conditions. The most frequent location of HP was, in
descending order, the stomach (97; 52.7%), small intestine (48; 26%), lesser and
greater curvature omentum (18; 10%), spleen and hilar region (5; 2.7%), porta
hepatis (2; 1%), gallbladder (1; 0.5%), peridistal esophageal tissue (4; 2.2%),
and mesentery (7; 3.8%). The size of HP varied from smaller than 0.5 cm (35.3%),
0.6 to 1 cm (34.8%), to larger than 1.1 cm (29.9%). Because of difficulty in
preoperative diagnosis, careful workup for upper gastrointestinal diseases with
HP as a differential diagnosis may increase the chance of accurate diagnosis and
appropriate patient management.
PMID- 27195909
TI - The new "ethics" regulations and the "law of unintended consequences".
PMID- 27195911
TI - An overview of multiphase cartilage mechanical modelling and its role in
understanding function and pathology.
AB - There is a long history of mathematical and computational modelling with the
objective of understanding the mechanisms governing cartilage's remarkable
mechanical performance. Nonetheless, despite sophisticated modelling development,
simulations of cartilage have consistently lagged behind structural knowledge and
thus the relationship between structure and function in cartilage is not fully
understood. However, in the most recent generation of studies, there is an
emerging confluence between our structural knowledge and the structure
represented in cartilage modelling. This raises the prospect of further
refinement in our understanding of cartilage function and also the initiation of
an engineering-level understanding for how structural degradation and ageing
relates to cartilage dysfunction and pathology, as well as informing the
potential design of prospective interventions. Aimed at researchers entering the
field of cartilage modelling, we thus review the basic principles of cartilage
models, discussing the underlying physics and assumptions in relatively simple
settings, whilst presenting the derivation of relatively parsimonious multiphase
cartilage models consistent with our discussions. We proceed to consider modern
developments that start aligning the structure captured in the models with
observed complexities. This emphasises the challenges associated with
constitutive relations, boundary conditions, parameter estimation and validation
in cartilage modelling programmes. Consequently, we further detail how both
experimental interrogations and modelling developments can be utilised to
investigate and reduce such difficulties before summarising how cartilage
modelling initiatives may improve our understanding of cartilage ageing,
pathology and intervention.
PMID- 27195910
TI - PCSK9 Inhibition With Monoclonal Antibodies: Modern Management of
Hypercholesterolemia.
AB - Current guidelines for hypercholesterolemia treatment emphasize lifestyle
modification and lipid-modifying therapy to reduce the risk for cardiovascular
disease. Statins are the primary class of agents used for the treatment of
hypercholesterolemia. Although statins are effective for many patients, they fail
to achieve optimal reduction in lipids for some patients, including those who
have or are at high risk for cardiovascular disease. The PCSK9 gene was
identified in the past decade as a potential therapeutic target for the
management of patients with hypercholesterolemia. Pharmacologic interventions to
decrease PCSK9 levels are in development, with the most promising approach using
monoclonal antibodies that bind to PCSK9 in the plasma. Two monoclonal
antibodies, alirocumab and evolocumab, have recently been approved for the
treatment of hypercholesterolemia, and a third one, bococizumab, is in phase 3
clinical development. All 3 agents achieve significant reductions in levels of
low-density lipoprotein cholesterol, as well as reductions in non-high-density
lipoprotein cholesterol, apolipoprotein B, and lipoprotein(a). Long-term outcome
trials are under way to determine the sustained efficacy, safety, and
tolerability of PCSK9 inhibitors and whether this novel class of agents decreases
the risk for major cardiovascular events in patients on lipid-modifying therapy.
Available data suggest that PCSK9 inhibitors provide a robust reduction in
atherogenic cholesterol levels with a good safety profile, especially for
patients who fail to obtain an optimal clinical response to statin therapy, those
who are statin intolerant or have contraindications to statin therapy, and those
with familial hypercholesterolemia.
PMID- 27195914
TI - Production of the Fusarium Mycotoxin Moniliformin by Penicillium melanoconidium.
AB - Moniliformin is a mycotoxin produced by several cereal associated Fusaria. Here,
we show for the first time that moniliformin can be produced by the cereal
fungus, Penicillium melanoconidium (4 out of 4 strains), but not in the related
species in the Viridicata series. Moniliformin was detected in 10 out of 11
media: two agars and several cereal and bean types. Moniliformin was identified
by a novel mixed-mode anionic exchange reversed phase chromatographic method
which was coupled to both tandem mass spectrometry (MS) and high resolution MS.
Mixed-mode chromatography showed superior peak shape compared to that of HILIC
and less matrix interference compared to that of reversed phase chromatography,
but during a large series of analyses, the column was fouled by matrix
interferences. Wheat and beans were artificially infected by P. melanoconidium
containing up to 64 and 11 mg/kg moniliformin, respectively, while penicillic
acid, roquefortine C, and penitrem A levels in wheat were up to 1095, 38, and 119
mg/kg, respectively.
PMID- 27195913
TI - Dasatinib promotes paclitaxel-induced necroptosis in lung adenocarcinoma with
phosphorylated caspase-8 by c-Src.
AB - Cisplatin and paclitaxel are considered to be the backbone of chemotherapy in
lung adenocarcinoma. These agents show pleiotropic effects on cell death.
However, the precise mechanisms remain unclear. The present study reported that
phosphorylated caspase-8 at tyrosine 380 (p-Casp8) was characterized as a
biomarker of chemoresistance to TP regimen (cisplatin and paclitaxel) in patients
with resectable lung adenocarcinoma with significantly poorer 5-year disease-free
survival (DFS) and overall survival (OS). Cisplatin killed lung adenocarcinoma
cells regardless of c-Src-induced caspase-8 phosphorylation at tyrosine 380.
Subsequently, we identified a novel mechanism by which paclitaxel induced
necroptosis in lung adenocarcinoma cells that was dependent upon p-Casp8,
receptor-interacting protein kinase 1 (RIPK1), and RIPK3. Moreover, dasatinib, a
c-Src inhibitor, dephosphorylated caspase-8 to facilitate necroptosis, rather
than apoptosis, in paclitaxel-treated p-Casp8-expressing lung adenocarcinoma
cells. The data from our study revealed previously unrecognized roles of p-Casp8
as a positive effector in the initiation of necroptosis and as a negative
effector in the repression of the interaction between RIPK1 and RIPK3. Moreover,
these outcomes supported the need for further clinical studies with the goal of
evaluating the efficacy of dasatinib plus paclitaxel in the treatment of lung
adenocarcinoma.
PMID- 27195915
TI - Graphene-Rhodamine Nanoprobe for Colorimetric and Fluorimetric Hg(2+) Ion Assay.
AB - This article reveals the first ever prospective application of Graphene-Rhodamine
array (GRH) as a colorimetric and fluorimetric sensor for Hg(2+) ions. The
duality of Graphene to undergo pi-pi and dispersive interactions with Rhodamine
as well as to act as a selective adsorbent for Hg(2+) is conceptualized in this
study. These interactions lead to decrease in absorbance of the dye in the
presence of graphene, which is restored when kept in contact with Hg(2+) ions.
The feasibility of the mechanism has been proved using EDTA as the coordinating
ligand. It is noteworthy that all the optical variations occurred in the visible
scale of the electromagnetic spectrum. The GRH array exhibited higher sensitivity
toward the target ion with a limit of detection of 2 ppb. A perfect linear
variation of absorbance at 554 nm with Hg(2+) concentration was observed in 0
1000 nM range, enabling the use of the system as a quantitative sensor for the
test ion. The commendable selectivity of the array toward Hg(2+) ion has been
investigated by observing the optical response in the presence of other
environmentally relevant metal ions. A reversible turn off and turn on INHIBIT
logic gate has been proposed which extends the scope of the designed array for
the development of automated chemical systems. The fluorescence resonance energy
transfer (FRET) ability of graphene paves the backbone for the fluorimetric
detection. Fluorimetric strategy yielded a much lower limit of detection of 380
ppt using this probe, which makes a significant advance in trace detection of
Hg(2+) ions.
PMID- 27195912
TI - Molecular imaging to guide systemic cancer therapy: Illustrative examples of PET
imaging cancer biomarkers.
AB - Molecular imaging agents have the ability to non-invasively visualize,
characterize, and quantify the molecular biology of disease. Recent advances in
nuclear probe development, particularly in PET radiotracers, have generated many
new imaging agents with precise molecular targets. With such specificity, PET
probes may be utilized as biomarkers to objectively interrogate and evaluate
pathology. Whereas the current indications for PET imaging are predominately
confined to staging and restaging of malignancy, the utility of PET greatly
expands when utilized as a biomarker, the topic of this review. As an imaging
biomarker, PET may be used to (1) measure target expression to select subsets of
patients who would most benefit from targeted therapy; (2) measure early
treatment response to predict therapeutic efficacy; and (3) relate tumor response
to survival. This review will discuss the application of radiotracers to targeted
cancer therapy. Particular attention is given to new radiotracers evaluated in
recently completed clinical trials and those with current or potential clinical
utility. The diverse roles of PET in clinical trails for drug development are
also examined.
PMID- 27195916
TI - Boost Your Body: Self-Improvement Magazine Messages Increase Body Satisfaction in
Young Adults.
AB - The verbal messages that contextualize exposure to idealized body imagery may
moderate media users' body satisfaction. Such contextualizing verbal messages
often take the form of social comparison motives in fashion magazines, while body
dissatisfaction is an important mechanism underlying various body image-related
health issues like depression and unbalanced weight status. Hence, the present
study applied social comparison motives as induced through magazine cover
messages. Hypotheses were tested in an experimental design with social comparison
motives (self-improvement vs. self-evaluation vs. control) and recipient gender
as between-subjects factors and body satisfaction as within-subjects factor (N =
150). Results showed that self-improvement messages accompanying ideal body media
models increased body satisfaction, compared to control messages and baseline
measures. In contrast, the self-evaluation messages did not impact body
satisfaction. Results imply that inconsistencies regarding effects from exposure
to idealized body imagery are explained by the context in which media images are
portrayed, evoking differential social comparison motives. Moreover, the findings
imply that health communication interventions can use verbal messages on body
improvement as helpful tools, if they draw on social comparison motives
effectively.
PMID- 27195917
TI - Detection of enterovirus D68 in patients hospitalised in three tertiary
university hospitals in Germany, 2013 to 2014.
AB - Enterovirus D68 (EV-D68) has been recognised as a worldwide emerging pathogen
associated with severe respiratory symptoms since 2009. We here report EV-D68
detection in hospitalised patients with acute respiratory infection admitted to
three tertiary hospitals in Germany between January 2013 and December 2014. From
a total of 14,838 respiratory samples obtained during the study period, 246
(1.7%) tested enterovirus-positive and, among these, 39 (15.9%) were identified
as EV-D68. Infection was observed in children and teenagers (0-19 years; n=31),
the majority (n=22) being under five years-old, as well as in adults > 50 years
of age (n=8). No significant difference in prevalence was observed between the
2013 and 2014 seasons. Phylogenetic analyses based on viral protein 1 (VP1)
sequences showed co-circulation of different EV-D68 lineages in Germany. Sequence
data encompassing the entire capsid region of the genome were analysed to gain
information on amino acid changes possibly relevant for immunogenicity and
revealed mutations in two recently described pleconaril binding sites.
PMID- 27195918
TI - Overcoming the Fundamental Barrier Thickness Limits of Ferroelectric Tunnel
Junctions through BaTiO3/SrTiO3 Composite Barriers.
AB - Ferroelectric tunnel junctions (FTJs) have attracted increasing research interest
as a promising candidate for nonvolatile memories. Recently, significant
enhancements of tunneling electroresistance (TER) have been realized through
modifications of electrode materials. However, direct control of the FTJ
performance through modifying the tunneling barrier has not been adequately
explored. Here, adding a new direction to FTJ research, we fabricated FTJs with
BaTiO3 single barriers (SB-FTJs) and BaTiO3/SrTiO3 composite barriers (CB-FTJs)
and reported a systematic study of FTJ performances by varying the barrier
thicknesses and compositions. For the SB-FTJs, the TER is limited by pronounced
leakage current for ultrathin barriers and extremely small tunneling current for
thick barriers. For the CB-FTJs, the extra SrTiO3 barrier provides an additional
degree of freedom to modulate the barrier potential and tunneling behavior. The
resultant high tunability can be utilized to overcome the barrier thickness
limits and enhance the overall CB-FTJ performances beyond those of SB-FTJ. Our
results reveal a new paradigm to manipulate the FTJs through designing multilayer
tunneling barriers with hybrid functionalities.
PMID- 27195927
TI - Nurses' Time Use in Forensic Psychiatry: Core Interventions Outlined in the
Finnish Clinical Practice Guideline on Schizophrenia.
AB - Forensic psychiatric nurses are key in implementing the core interventions
outlined in the clinical practice guideline on schizophrenia. This study
endeavors to ascertain how these were implemented in routine practice in forensic
psychiatry by measuring how nurses use their time. Data were collected from
registered nurses and practical mental nurses in all forensic psychiatric
facilities in Finland using self-report diary forms for 1 week. In total, nurses
used 20% of their weekly working hours on core interventions. The differences
between the nurse groups were statistically significant (p <= 0.05) regarding the
following core interventions: (a) care planning with physicians, (b)
pharmacotherapy, and (c) basic clinical care. Nurses' qualifications, types of
facilities and units, working experience, gender, and staffing levels explained
the time used on core interventions. In summary, forensic psychiatric inpatients
received insufficient appropriate nursing services according to the relevant
guideline regarding schizophrenia. Furthermore, managerial recommendations need
to restructure nurses' time use to increase the proportion of productive working
hours spent with patients.
PMID- 27195926
TI - The Anatomy of Human Trafficking: Learning About the Blues: A Healthcare
Provider's Guide.
AB - Human trafficking is a major global public health concern. It is a grave crime
that violates human rights. Contrary to healthcare providers' perceptions,
victims of human trafficking come in contact with the healthcare system while
being trafficked, with the emergency department being the most frequented setting
for medical treatment. In this article, we explore the anatomy of human
trafficking, including the scope of the problem, definitions, and types and
elements of human trafficking. The roles of clinicians, particularly emergency
department nurses and advanced practice nurses, in screening and identifying
those at risk are examined. Clinical practice tools and guidelines that may be
used by clinicians to guide the treatment of human trafficking victims are
reviewed. Finally, current strategies and resources that address human
trafficking are presented. For the purpose of this article, the terms "human
trafficking" or "trafficking" will be used throughout.
PMID- 27195928
TI - Active Shooters: What Emergency Nurses Need to Know.
AB - Active shooter incidents have been on the rise in the United States in the last 2
decades, specifically events occurring in public areas traditionally considered
safe such as churches, schools, and hospitals. An examination of historical
events shows that the emergency department (ED) nurse must be equipped to handle
any situation that may arise, including the increasing likelihood of an active
shooter situation. Hospital response to these occurrences is changing, and
protocols for staff response are being adjusted on a regular basis. Immediate
response, postevent actions, and evidence collection procedures must be a part of
the ED nurses' ongoing education. Lessons learned from events that have occurred
must be examined so ED nurses can be more aware and ready to respond to the
increasing chance of an event such as this occurring in their ED.
PMID- 27195929
TI - Female Ex-Offender Perspectives on Drug Initiation, Relapse, and Desire to Remain
Drug Free.
AB - Recently released homeless women residing in temporary residential drug treatment
(RDT) programs are at a critical juncture in the process of recovery, transition,
and reentry. The purpose of this study was to explore factors influencing initial
use of drugs and relapse triggers among a sample of incarcerated women exiting
jails and prisons, residing in an RDT program, and preparing for reentry into
their communities. Among this population, relapse to drug use and recidivism are
common. A qualitative study was conducted utilizing focus groups to understand
the perspectives of formerly incarcerated, currently homeless women residing in
an RDT program. Content analysis generated the development of three broad
categories: (a) factors associated with first drug use, (b) factors involved in
relapse, and (c) factors influencing desire to remain drug free. A discussion
follows highlighting the importance of targeted interventions at RDT sites that
integrate physical, psychological, and social needs to optimize reentry into
communities. This includes a focus on building self-esteem and life skills and
providing access to resources such as housing, employment, and healthcare.
PMID- 27195931
TI - The Anatomy of Human Trafficking: Learning About the Blues: A Healthcare
Provider's Guide.
PMID- 27195930
TI - Unnatural Deaths Among Children and Adolescents in Isfahan Province, Iran: A
Forensic Epidemiology Study of Postmortem Data.
AB - INTRODUCTION: The issue of child and adolescent injury and violence is often
absent from discussions and is largely invisible in public health policies. The
purpose of this study was to describe the frequency and pattern of unnatural
deaths during childhood and adolescence in Isfahan province in Iran. MATERIALS
AND METHODS: This retrospective, descriptive study involved unnatural deaths
among individuals under the age of 20 years who died from unnatural causes as
determined by a forensic autopsy at the Legal Medicine Center of Isfahan. During
the study period, 8,010 unnatural deaths occurred, 1,222 of which were
individuals under 20 years old. RESULTS: All 1,222 of these unnatural deaths were
identified through autopsy. Among the 1,222 cases, 895 (73.2%) were male, and 327
were female (26.8%). Accidental deaths were found to be the most frequent manner
of death comprising 1,029 (83.96%) cases, followed by suicide (120, 9.82%),
undetermined cause of death (39, 3.19%), and homicide (9, 2.86%) cases. Road
traffic accidents were the number 1 cause of death (597, 49%), followed by burns
(122, 10%) and hanging (90, 7.4%). DISCUSSION: Injuries and violence that occur
during childhood and adolescence represent a global public health problem,
especially in low- and middle-income regions, and require urgent action.
PMID- 27195932
TI - Understanding the Association between Social Capital and Self-Rated Health of
South Korean Elderly with Disabilities.
AB - The aim of the current study is to investigate the association between social
capital and self-rated health among people who are elderly with disabilities in
South Korea. For this purpose, the authors analyzed the fourth wave data of the
Korean Health Panel Survey (KHPS) that included a sample of 408 people who are
elderly with disabilities. The authors found that the unmet health care needs
were significantly associated with self-rated health of the people who are
elderly with disabilities (beta = - .286, p < .05). The authors also found that
respect was significantly related to self-rated health (beta = .393, p < .05).
PMID- 27195933
TI - Physical Activity and Digestive System Cancer Risk: Still Chasing the Promise.
PMID- 27195934
TI - Comparative study of plant responses to carbon-based nanomaterials with different
morphologies.
AB - The relationship between the morphology of carbon-based nanomaterials (CBNs) and
the specific response of plants exposed to CBNs has not been studied
systematically. Here, we prove that CBNs with different morphologies can activate
cell growth, germination, and plant growth. A tobacco cell culture growth was
found to increase by 22%-46% when CBNs such as helical multi-wall carbon
nanotubes (MWCNTs), few-layered graphene, long MWCNTs, and short MWCNTs were
added to the growth medium at a concentration of 50 MUg ml(-1). The germination
of exposed tomato seeds, as well as the growth of exposed tomato seedlings, were
significantly enhanced by the addition of all tested CBNs. The presence of CBNs
inside exposed seeds was confirmed by transmission electron microscopy and Raman
spectroscopy. The effects of helical MWCNTs on gene expression in tomato seeds
and seedlings were investigated by microarray technology and real time-PCR.
Helical MWCNTs affected a number of genes involved in cellular and metabolic
processes and response to stress factors. It was shown that the expression of the
tomato water channel gene in tomato seeds exposed to helical MWCNTs was
upregulated. These established findings demonstrate that CBNs with different
morphologies can cause the same biological effects and share similar mechanisms
in planta.
PMID- 27195935
TI - Decline in Antigenicity of Tumor Markers by Storage Time Using Pathology Sections
Cut From Tissue Microarrays: Erratum.
PMID- 27195936
TI - How U.S. Doctors Die: A Cohort Study of Healthcare Use at the End of Life.
AB - OBJECTIVES: To compare healthcare use in the last months of life between
physicians and nonphysicians in the United States. DESIGN: A retrospective
observational cohort study. SETTING: United States. PARTICIPANTS: Fee-for-service
Medicare beneficiaries: decedent physicians (n = 9,947) and a random sample of
Medicare decedents (n = 192,006). MEASUREMENTS: Medicare Part A claims data from
2008 to 2010 were used to measure days in the hospital and proportion using
hospice in the last 6 months of life as primary outcome measures adjusted for
sociodemographic characteristics and regional variations in health care. RESULTS:
Inpatient hospital use in the last 6 months of life was no different between
physicians and nonphysicians, although more physicians used hospice and for
longer (using the hospital: odds ratio (OR) = 0.98, 95% confidence interval (CI)
= 0.93-1.04; hospital days: mean difference 0.26, P = .14); dying in the
hospital: OR = 0.99, 95% CI = 0.95-1.04; intensive care unit (ICU) or critical
care unit (CCU) days: mean difference 0.35 more days for physicians, P < .001);
using hospice: OR = 1.23, 95% CI = 1.18-1.29; number of days in hospice: mean
difference 2.06, P < .001). CONCLUSION: This retrospective, observational study
is subject to unmeasured confounders and variation in coding practices, but it
provides preliminary evidence of actual use. U.S. physicians were more likely to
use hospice and ICU- or CCU-level care. Hospitalization rates were similar.
PMID- 27195937
TI - Association of Sudden Sensorineural Hearing Loss With Vertebrobasilar
Insufficiency.
AB - IMPORTANCE: Little is known about the association between sudden sensorineural
hearing loss (SSNHL) and vertebrobasilar insufficiency (VBI). OBJECTIVE: To
explore the association between SSNHL and VBI. DESIGN, SETTING, AND PARTICIPANTS:
This investigation was a population-based, case-control study. Patients from
January 1, 2000, to December 31, 2011, were retrospectively identified from the
Taiwan National Health Insurance Research Database, which includes claims data on
a random sample of 1 million people. MAIN OUTCOMES AND MEASURES: Using propensity
score matching on age and sex, patients were stratified at a 1:4 ratio into a
study group comprising 5304 patients with a diagnosis of SSNHL and a control
group comprising 21 216 patients. Those with a diagnosis of VBI before the index
date (the date each patient was diagnosed as having SSNHL) in both groups were
then identified. A conditional logistic regression model was used to estimate the
adjusted odds ratios (ORs) and 95% CIs as a measure of the association between
SSNHL and VBI. RESULTS: The study cohort comprised 26 520 patients. Their mean
(SD) age was 51.3 (17.2) years, and 47.1% (12 500 of 26 520) were female.
Vertebrobasilar insufficiency was diagnosed before the index date in 0.5% (26 of
5304) of patients with SSNHL and in 0.2% (38 of 21 216) of controls without
SSNHL. After adjusting for comorbid medical disorders, patients with SSNHL were
more likely than controls to have had VBI (OR, 1.76; 95% CI, 1.02-3.04). There
were no significant differences in the prevalence of VBI among male patients with
SSNHL vs male controls (OR, 1.72; 95% CI, 0.87-3.40) or among female patients
with SSNHL vs female controls (OR, 1.86; 95% CI, 0.76-4.59). CONCLUSIONS AND
RELEVANCE: Patients with VBI appear to be at increased risk of developing SSNHL.
Further research is needed to investigate the association among the severity of
VBI, the risk of SSNHL, and the pattern of the audiometric curve.
PMID- 27195938
TI - Involvement of FST1 from Fusarium verticillioides in virulence and transport of
inositol.
AB - Fumonisin B1 (FB1), a polyketide mycotoxin produced by Fusarium verticillioides
during the colonization of maize kernels, is detrimental to human and animal
health. FST1 encodes a putative protein with 12 transmembrane domains; however,
its function remains unknown. The FST1 gene is highly expressed by the fungus in
the endosperm of maize kernels compared with the levels of expression in germ
tissues. Previous research has shown that FST1 affects FB1 production, virulence,
hydrogen peroxide resistance, hydrophobicity and macroconidia production. Here,
we examine the phylogeny of FST1, its expression in a Saccharomyces cerevisiae
strain lacking a functional myo-inositol transporter (ITR1) and the effect of
amino acid changes in the central loop and C-terminus regions of FST1 on
functionality. The results indicate that expression of FST1 in an ITR1 mutant
strain restores growth on myo-inositol medium to wild-type levels and restores
the inhibitory effects of FB1, suggesting that FST1 can transport both myo
inositol and FB1 into yeast cells. Our results with engineered FST1 also indicate
that amino acids in the central loop and C-terminus regions are important for
FST1 functionality in both S. cerevisiae and F. verticillioides. Overall, this
research has established the first characterized inositol transporter in
filamentous fungi and has advanced our knowledge about the global regulatory
functions of FST1.
PMID- 27195939
TI - An examination of the roles of trauma exposure and posttraumatic stress disorder
on emotion regulation strategies of Operation Iraqi Freedom, Operation Enduring
Freedom, and Operation New Dawn veterans.
AB - Theories of posttraumatic stress disorder (PTSD) implicate emotional processes,
including difficulties utilizing adaptive emotion regulation strategies, as
critical to the etiology and maintenance of PTSD. Operation Iraqi Freedom,
Operation Enduring Freedom, and Operation New Dawn (OIF/OEF/OND) veterans report
high levels of combat exposure and PTSD. We aimed to extend findings suggesting
that emotion regulation difficulties are a function of PTSD, rather than combat
trauma exposure or common comorbidities, to OIF/OEF/OND veterans, in order to
inform models of PTSD risk and recovery that can be applied to returning
veterans. We tested differences in emotion regulation, measured with the
Difficulties in Emotion Regulation Scale and Emotion Regulation Questionnaire,
among trauma-exposed veterans with (n = 24) or without PTSD (n = 22) and healthy
civilian comparison participants (n = 27) using multivariate analyses of
covariance, adjusting for major depressive disorder, anxiety disorders, and
demographic variables (age, sex, and ethnicity). Veterans with PTSD reported more
use of expressive suppression and more difficulties with emotion regulation than
veterans without PTSD and healthy comparison participants. Groups did not differ
on cognitive reappraisal. Findings suggest the key role of PTSD above and beyond
trauma exposure, depression, and anxiety in specific aspects of emotion
dysregulation among OIF/OEF/OND veterans. Interventions that help veterans expand
and diversify their emotion regulation skills may serve as helpful adjunctive
treatments for PTSD among OIF/OEF/OND veterans.
PMID- 27195941
TI - Determination of Gluten in Processed and Nonprocessed Corn Products by
Qualitative R5 Immunochromatographic Dipstick: Collaborative Study, First Action
2015.16.
AB - In September 2013, the AACC International (AACI) Protein Technical Committee (now
Protein and Enzymes Technical Committee) initiated a collaborative study of a
method for the qualitative analysis of intact gluten in processed and
nonprocessed corn products, using an R5 immunochromatographic dipstick system. It
was validated to demonstrate that potential gluten-free products contain gluten
lower than the Codex threshold of 20 mg/kg gluten. The results of the
collaborative test with 18 participants confirmed that the method is suitable to
detect gluten contaminations that are clearly lower than the threshold. It is
recommended that the method be accepted by AOAC as Official First Action.
PMID- 27195940
TI - Clinical evaluation of salivary carbohydrate antigen 125 and leptin in controls
and parotid tumours.
AB - OBJECTIVES: We determined the correlation between saliva and serum for CA125 and
leptin, and evaluated their clinical screening potential for parotid tumours.
SUBJECTS AND METHODS: Serum, acid-stimulated bilateral parotid saliva and chewing
stimulated whole saliva were collected and measured the levels of CA125 and
leptin with electrochemiluminescence immunoassay and enzyme-linked immunosorbent
assay for healthy controls and patients with unilateral parotid tumour. Intra-
and intergroup comparisons were made among them. Correlations and receiver
operating curve analyses were also conducted. RESULTS: There was no correlation
between salivary and serum CA125 (r = -0.157-0.265, P > 0.05), while significant
correlation was found for leptin (r = 0.219-0.761, P < 0.05). Leptin levels in
tumour parotid saliva and CA125 levels in whole saliva were elevated
significantly (P < 0.001) and showed screening potential for parotid tumours.
Salivary and serum leptin levels were significantly higher in women than in men
(P < 0.001). CONCLUSIONS: Salivary CA125 might originate primarily from salivary
gland and tumour rather than from blood, while salivary leptin might originate
from both blood and salivary gland. Multiple sources might contribute to the
significantly elevated CA125 in whole saliva. Whole saliva CA125 and parotid
saliva leptin reflected the occurrence of parotid tumours, while serum CA125 and
leptin did not. Salivary CA125 and leptin could not distinguish malignant parotid
tumours. When detecting leptin level, the influence of subjects' sex must be
considered.
PMID- 27195943
TI - The Correlation of Endoscopic Findings and Clinical Features in Korean Patients
with Scrub Typhus: A Cohort Study.
AB - Scrub typhus is an infectious disease caused by Orientia tsutsugamushi-induced
systemic vasculitis, but the involvement of the gastrointestinal tract and the
endoscopic findings associated with scrub typhus are not well understood. We
performed a prospective study and recommend performing esophagogastroduodenoscopy
(EGD) for all possible scrub typhus patients, regardless of gastrointestinal
symptoms. Gastrointestinal symptoms, endoscopic findings and clinical severity
based on organ involvement and ICU admission were analyzed. Gastrointestinal
symptoms occurred in up to 76.4% of scrub typhus patients. The major endoscopic
findings were ulcers (43/127, 33.9%). Interestingly, 7.1% (9/127) of the patients
presented with esophageal candidiasis. There was no correlation between the
presence or absence of gastrointestinal symptoms and the endoscopic grade (P =
0.995). However, there was a positive correlation between the clinical severity
and the endoscopic findings (P = 0.001). Sixty-three percent of the patients
presented with erosion or ulcers on prospectively performed endoscopic
evaluations, irrespective of gastrointestinal symptoms. Gastrointestinal symptoms
did not reflect the need for endoscopy. Scrub typhus patients could have
significant endoscopic abnormalities even in the absence of gastrointestinal
symptoms.
PMID- 27195942
TI - Resting state morphology predicts the effect of theta burst stimulation in false
belief reasoning.
AB - When required to represent a perspective that conflicts with one's own,
functional magnetic resonance imaging (fMRI) suggests that the right
ventrolateral prefrontal cortex (rvlPFC) supports the inhibition of that
conflicting self-perspective. The present task dissociated inhibition of self
perspective from other executive control processes by contrasting belief
reasoning-a cognitive state where the presence of conflicting perspectives was
manipulated-with a conative desire state wherein no systematic conflict existed.
Linear modeling was used to examine the effect of continuous theta burst
stimulation (cTBS) to rvlPFC on participants' reaction times in belief and desire
reasoning. It was anticipated that cTBS applied to rvlPFC would affect belief but
not desire reasoning, by modulating activity in the Ventral Attention System
(VAS). We further anticipated that this effect would be mediated by functional
connectivity within this network, which was identified using resting state fMRI
and an unbiased model-free approach. Simple reaction-time analysis failed to
detect an effect of cTBS. However, by additionally modeling individual measures
from within the stimulated network, the hypothesized effect of cTBS to belief
(but, importantly, not desire) reasoning was demonstrated. Structural morphology
within the stimulated region, rvlPFC, and right temporoparietal junction were
demonstrated to underlie this effect. These data provide evidence that
inconsistencies found with cTBS can be mediated by the composition of the
functional network that is being stimulated. We suggest that the common claim
that this network constitutes the VAS explains the effect of cTBS to this network
on false belief reasoning. Hum Brain Mapp 37:3502-3514, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27195944
TI - How to determine cardiac ion channels targeted by drugs using the isolated rabbit
ventricular wedge model.
AB - INTRODUCTION: The rabbit left ventricular wedge (RLVW) has been demonstrated as a
highly sensitive and specific preclinical model in assessing drug-induced QT
prolongation and proarrhythmias. However, there is a need to determine drugs'
cardiac ion channel profiles beyond QT measurement. In this study, we present an
approach to determine cardiac ion channels targeted by drugs with analyzing a few
key ECG parameters plus a contractility parameter obtained from the RLVW.
METHODS: The RLVW assay was used for testing 18 drugs with well-known ion channel
profiles. A transmural ECG and isometric contractility were recorded. Five
parameters including QRS, QT, Tp-e/QT ratio, QT-BCL slope and the positive
staircase response of contractility were analyzed. RESULTS: There were
distinguished drug-induced ECG and contractility changes from which targeted
cardiac ion channels by drugs could be determined. Inhibition of sodium channel
resulted in rate-dependent QRS widening, QT and Tp-e shortening and a reduced QT
BCL slope. Although both IKr and IKs blockers prolonged QT interval, IKr blockers
but not IKs increased Tp-e/QT ratio. Both potassium channel openers and calcium
channel blockers markedly shortened QT and Tp-e intervals, but only calcium
channel blockers could reverse the positive staircase response of contractility.
DISCUSSION: The results in the present study are correlated closely to the drugs'
well-known clinical profiles. This indicates that the RLVW assay with an adequate
experimental protocol plus analysis of 5 key parameters is highly valuable in
preclinical assessment of drug candidates for their detailed ion channel
activities, proarrhythmic risks and other adverse effects. The limitations of the
RLVW assay are also addressed.
PMID- 27195945
TI - Unlock the information in your data: Software to find, classify, and report on
data patterns and arrhythmias.
AB - INTRODUCTION: Safety studies generate a significant volume of waveform and
calculated data. The verification of calculated data and the process of searching
through these data for patterns of interest (including arrhythmias) is time
intensive. Data InsightsTM has been developed for the PonemahTM software platform
to provide efficient verification and search capabilities. METHODS: Searches may
be constructed using calculated and pattern matching data available in Ponemah.
Searches are composed of one or more search clauses that may be combined using
Boolean operators (AND, OR). Each search clause is a Boolean expression composed
of inputs and functions. Data Insights includes a number of predefined species
specific searches for arrhythmias that were qualified for canine, non-human
primate and minipigs. Qualification compared arrhythmias identified using Data
Insights against a board-certified veterinary cardiologist hand-scored reference
datasets. RESULTS: In seven out of eight arrhythmia types, arrhythmia incidences
identified by Data Insights were congruent to those identified by hand-scoring.
Premature Atrial Contractions (PACs) accounted for the only discrepancy in hand
scored data-segments, although all overt PACs identified by the veterinary
cardiologist were also identified by Data Insights. Unscored atrio-ventricular
blocks accounted for the remaining differences. DISCUSSION: Data Insights may be
used to support different applications, as searches may be created for any
physiologic signal type. Its interactive dialog permits rapid review of search
results and a dynamic method for handling outliers, signal noise, and false
positives. Data Insights provides an efficient method to locate, present, and
report on data patterns and anomalies for accurate, consistent results.
PMID- 27195946
TI - Molecular recognition between insulin and dextran encapsulated gold
nanoparticles.
AB - Insulin is a peptide hormone that can regulate the metabolism of carbohydrates
and lipids. This hormone is closely related to glucose-uptake in cells and can
control blood glucose levels. Dextran is a polysaccharide composed of glucose
units. In this study, we discovered that dextran-encapsulated gold nanoparticles
(AuNPs@Dextran) and nanoclusters (AuNCs@Dextran) can be used to recognize
insulin. The dissociation constant of insulin toward AuNPs@Dextran was estimated
to be ~5.3 * 10-6 M. The binding site on insulin toward the dextran on the
nanoprobes was explored as well. It was found that the sequence of numbers 1-22
on the insulin B chain can interact with the dextran encapsulated nanoprobes.
Additionally, we also demonstrated that the dextran-encapsulated nanoprobes could
be used as concentration probes to selectively enrich trace amounts of insulin
(~1 pM) from serum samples. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27195948
TI - Usage Trends of Open Access and Local Journals: A Korean Case Study.
AB - Articles from open access and local journals are important resources for research
in Korea and the usage trends of these articles are important indicators for the
assessment of the current research practice. We analyzed an institutional
collection of published papers from 1998 to 2014 authored by researchers from
Seoul National University, and their references from papers published between
1998 and 2011. The published papers were collected from Web of Science or Scopus
and were analyzed according to the proportion of articles from open access
journals. Their cited references from published papers in Web of Science were
analyzed according to the proportion of local (South Korean) or open access
journals. The proportion of open access papers was relatively stable until 2006
(2.5 ~ 5.2% in Web of Science and 2.7 ~ 4.2% in Scopus), but then increased to
15.9% (Web of Science) or 18.5% (Scopus) in 2014. We analyzed 2,750,485 cited
references from 52,295 published papers. We found that the overall proportion of
cited articles from local journals was 1.8% and that for open access journals was
3.0%. Citations of open access articles have increased since 2006 to 4.1% in
2011, although the increase in open access article citations was less than for
open access publications. The proportion of citations from local journals was
even lower. We think that the publishing / citing mismatch is a term to describe
this difference, which is an issue at Seoul National University, where the number
of published papers at open access or local journals is increasing but the number
of citations is not. The cause of this discrepancy is multi-factorial but the
governmental / institutional policies, social / cultural issues and authors'
citing behaviors will explain the mismatch. However, additional measures are also
necessary, such as the development of an institutional citation database and
improved search capabilities with respect to local and open access documents.
PMID- 27195947
TI - Tinnitus and hyperacusis therapy in a UK National Health Service audiology
department: Patients' evaluations of the effectiveness of treatments.
AB - OBJECTIVE: To assess patients' judgements of the effectiveness of the tinnitus
and hyperacusis therapies offered in a specialist UK National Health Service
audiology department. DESIGN: Cross-sectional service evaluation questionnaire
survey. Patients were asked to rank the effectiveness of the treatment they
received on a scale from 1 to 5 (1 = no effect, 5 = very effective). STUDY
SAMPLE: The questionnaire was sent to all patients who received treatment between
January and March 2014 (n = 200) and 92 questionnaires were returned. RESULTS:
The mean score was greatest for counselling (Mean = 4.7, SD = 0.6), followed by
education (Mean = 4.5, SD = 0.8), cognitive behavioural therapy - CBT (Mean =
4.4, SD = 0.7), and hearing tests (Mean = 4.4, SD = 0.9). Only 6% of responders
rated counselling as 3 or below. In contrast, bedside sound generators, hearing
aids, and wideband noise generators were rated as 3 or below by 25%, 36%, and 47%
of participants, respectively. CONCLUSION: The most effective components of the
tinnitus and hyperacusis therapy interventions were judged by the patients to be
counselling, education, and CBT.
PMID- 27195949
TI - Antidiabetic medications in patients with heart failure.
AB - Diabetes mellitus increases the mortality secondary to heart failure independent
of hypertension and coronary artery disease. Several hypoglycemic agents are used
to achieve glycemic control, of which several classes however still raise
controversies in terms of safety in patients with concomitant heart failure:
Metformin does not carry an increased risk of exacerbation in patients with
stable heart failure, yet should be avoided in patients with unstable disease or
chronic kidney disease. Sulfonylureas are neither associated with an increased
mortality, nor do they seem to have deleterious effects on heart failure.
Thiazolidinediones are relatively contraindicated in patients with New York Heart
Association class III or IV disease secondary to concerns of fluid retention and
heart failure exacerbation. Glucagon-like peptide 1 agonists have shown trends
towards improvement of heart failure parameters. Dipeptidylpeptidase 4 inhibitors
show an overall neutral outcome, although saxagliptin can possibly be associated
with an increased risk of hospitalization for heart failure. The use of sodium
glucose co-transporter 2 inhibitors is associated with beneficial cardiovascular
outcomes, and further studies are underway.
PMID- 27195950
TI - Solid oxide membrane-assisted controllable electrolytic fabrication of metal
carbides in molten salt.
AB - Silicon carbide (SiC), titanium carbide (TiC), zirconium carbide (ZrC), and
tantalum carbide (TaC) have been electrochemically produced directly from their
corresponding stoichiometric metal oxides/carbon (MOx/C) precursors by
electrodeoxidation in molten calcium chloride (CaCl2). An assembled yttria
stabilized zirconia solid oxide membrane (SOM)-based anode was employed to
control the electrodeoxidation process. The SOM-assisted controllable
electrochemical process was carried out in molten CaCl2 at 1000 degrees C with a
potential of 3.5 to 4.0 V. The reaction mechanism of the electrochemical
production process and the characteristics of these produced metal carbides (MCs)
were systematically investigated. X-ray diffraction, scanning electron
microscopy, and transmission electron microscopy analyses clearly identify that
SiC, TiC, ZrC, and TaC carbides can be facilely fabricated. SiC carbide can be
controlled to form a homogeneous nanowire structure, while the morphologies of
TiC, ZrC, and TaC carbides exhibit porous nodular structures with micro/nanoscale
particles. The complex chemical/electrochemical reaction processes including the
compounding, electrodeoxidation, dissolution-electrodeposition, and in situ
carbonization processes in molten CaCl2 are also discussed. The present results
preliminarily demonstrate that the molten salt-based SOM-assisted
electrodeoxidation process has the potential to be used for the facile and
controllable electrodeoxidation of MOx/C precursors to micro/nanostructured MCs,
which can potentially be used for various applications.
PMID- 27195952
TI - Multilevel Weighted Support Vector Machine for Classification on Healthcare Data
with Missing Values.
AB - This work is motivated by the needs of predictive analytics on healthcare data as
represented by Electronic Medical Records. Such data is invariably problematic:
noisy, with missing entries, with imbalance in classes of interests, leading to
serious bias in predictive modeling. Since standard data mining methods often
produce poor performance measures, we argue for development of specialized
techniques of data-preprocessing and classification. In this paper, we propose a
new method to simultaneously classify large datasets and reduce the effects of
missing values. It is based on a multilevel framework of the cost-sensitive SVM
and the expected maximization imputation method for missing values, which relies
on iterated regression analyses. We compare classification results of multilevel
SVM-based algorithms on public benchmark datasets with imbalanced classes and
missing values as well as real data in health applications, and show that our
multilevel SVM-based method produces fast, and more accurate and robust
classification results.
PMID- 27195951
TI - New 4-Acyl-1-phenylaminocarbonyl-2-phenylpiperazine Derivatives as Potential
Inhibitors of Adenovirus Infection. Synthesis, Biological Evaluation, and
Structure-activity Relationships.
AB - The search for human adenovirus (HAdV)-specific antiviral drugs for the treatment
of HAdV infections in immunocompromised patients continues to be a challenging
goal for medicinal chemistry. Here, we report the synthesis, biological
evaluation, and structure-activity relationships of a small molecules library. We
have identified six phenylpiperazine derivatives that significantly inhibited
HAdV infection. These six compounds showed the capacity to block HAdV and, in
addition, human cytomegalovirus (HCMV) replications at low micromolar
concentration, with little or no cytotoxicity. On the basis of our biological
studies, these molecules block HAdV and HCMV infections in different phases of
their life cycle, providing potential candidates for the development of a new
family of antiviral drugs for the treatment of infections by DNA viruses.
PMID- 27195953
TI - Correction: Emerging Role of the Calcium-Activated, Small Conductance, SK3 K+
Channel in Distal Tubule Function: Regulation by TRPV4.
AB - [This corrects the article DOI: 10.1371/journal.pone.0095149.].
PMID- 27195954
TI - Toward a Common Secure Future: Four Global Commissions in the Wake of Ebola.
AB - Lawrence Gostin and colleagues offer a set of priorities for global health
preparedness and response for future infectious disease threats.
PMID- 27195955
TI - Magnetoreception Regulates Male Courtship Activity in Drosophila.
AB - The possible neurological and biophysical effects of magnetic fields on animals
is an area of active study. Here, we report that courtship activity of male
Drosophila increases in a magnetic field and that this effect is regulated by the
blue light-dependent photoreceptor cryptochrome (CRY). Naive male flies exhibited
significantly increased courtship activities when they were exposed to a >= 20
Gauss static magnetic field, compared with their behavior in the natural
environment (0 Gauss). CRY-deficient flies, cryb and crym, did not show an
increased courtship index in a magnetic field. RNAi-mediated knockdown of cry in
cry-GAL4-positive neurons disrupted the increased male courtship activity in a
magnetic field. Genetically expressing cry under the control of cry-GAL4 in the
CRY-deficient flies restored the increase in male courtship index that occurred
in a magnetic field. Interestingly, artificially activating cry-GAL4-expressing
neurons, which include large ventral lateral neurons and small ventral lateral
neurons, via expression of thermosensitive cation channel dTrpA1, also increased
the male courtship index. This enhancement was abolished by the addition of the
cry-GAL80 transgene. Our results highlight the phenomenon of increased male
courtship activity caused by a magnetic field through CRY-dependent magnetic
sensation in CRY expression neurons in Drosophila.
PMID- 27195956
TI - A Comparison of the Diabetes Risk Score in HIV/AIDS Patients on Highly Active
Antiretroviral Therapy (HAART) and HAART-Naive Patients at the Limbe Regional
Hospital, Cameroon.
AB - BACKGROUND: Highly active antiretroviral therapy (HAART) has been associated with
dysglycaemia. However, there is scarce data on the risk of developing diabetes
mellitus (DM) in HIV/AIDS patients in Africa. OBJECTIVES: Primarily to quantify
and compare the risk of having diabetes mellitus in HIV/AIDS patients on HAART
and HAART-naive patients in Limbe, Cameroon; and secondarily to determine if
there is an association between HAART and increased DM risk. METHODS: A cross
sectional study was conducted at the Limbe Regional Hospital HIV treatment center
between April and June 2013, involving 200 HIV/AIDS patients (100 on first-line
HAART regimens for at least 12 months matched by age and gender to 100 HAART
naive patients). The Diabetes Risk Score (DRS) was calculated using a clinically
validated model based on routinely recorded primary care parameters. A DRS >= 7%
was considered as indicative of an increased risk of developing DM. RESULTS: The
median DRS was significantly higher in patients on HAART (2.30%) than in HAART
naive patients (1.62%), p = 0.002. The prevalence of the increased DM risk (DRS
>= 7%) was significantly higher in patients on HAART, 31% (95% CI: 22.13-41.03)
than in HAART-naive patients, 17% (95% CI: 10.23-25.82), p = 0.020. HAART was
significantly associated with an increased DM risk, the odds ratio of the HAART
group compared to the HAART-naive group was 2.19 (95% CI: 1.12-4.30, p = 0.020).
However, no association was found after adjusting for BMI-defined overweight,
hypertension, age, sex, family history of DM and smoking (Odds ratio = 1.22, 95%
CI: 0.42-3.59, p = 0.708). Higher BMI and hypertension accounted for the
increased risk of DM in patients on HAART. Also, more than 82% of the
participants were receiving or had ever used Zidovudine based HAART regimens.
CONCLUSION: HIV/AIDS patients on HAART could be at a greater risk of having DM
than HAART-naive patients as a result of the effect of HAART on risk factors of
DM such as BMI and blood pressure.
PMID- 27195959
TI - The Impact of Culture on Breastfeeding Rates.
PMID- 27195957
TI - Household HIV Testing Uptake among Contacts of TB Patients in South Africa.
AB - BACKGROUND: In high HIV prevalence settings, offering HIV testing may be a
reasonable part of contact tracing of index tuberculosis (TB) patients. We
evaluated the uptake of HIV counselling and testing (HCT) among household
contacts of index TB patients and the proportion of newly diagnosed HIV-infected
persons linked into care as part of a household TB contact tracing study.
METHODS: We recruited index TB patients at public health clinics in two South
African provinces to obtain consent for household contact tracing. During
scheduled household visits we offered TB symptom screening to all household
members and HCT to individuals >=14years of age. Factors associated with HCT
uptake were investigated using a random effects logistic regression model.
RESULTS & DISCUSSION: Out of 1,887 listed household members >=14 years old, 984
(52%) were available during a household visit and offered HCT of which 108 (11%)
self-reported being HIV infected and did not undergo HCT. Of the remaining 876, a
total of 304 agreed to HCT (35%); 26 (8.6%) were newly diagnosed as HIV positive.
In multivariable analysis, factors associated with uptake of HCT were prior
testing (odds ratio 1.6; 95% confidence interval [CI]: 1.1-2.3) and another
member in the household testing (odds ratio 2.4; 95% CI: 1.7-3.4). Within 3
months of testing HIV-positive, 35% reported initiating HIV care. CONCLUSION: HCT
as a component of household TB contact tracing reached individuals without prior
HIV testing, however uptake of HIV testing was poor. Strategies to improve HIV
testing in household contacts should be evaluated.
PMID- 27195958
TI - WNT7A Regulation by miR-15b in Ovarian Cancer.
AB - WNT signaling is well known to play an important role in the regulation of
development, cell proliferation and cell differentiation in a wide variety of
normal and cancerous tissues. Despite the wealth of knowledge concerning when and
where various WNT genes are expressed and downstream events under their control,
there is surprisingly little published evidence of how they are regulated. We
have recently reported that aberrant WNT7A is observed in serous ovarian
carcinomas, and WNT7A is the sole ligand accelerating ovarian tumor progression
through CTNNB1 (beta-catenin)/TCF signaling in the absence of CTNNB1 mutations.
In the present study, we report that WNT7A is a direct target of miR-15b in
ovarian cancer. We showed that a luciferase reporter containing the putative
binding site of miR-15b in the WNT7A 3'-UTR was significantly repressed by miR
15b. Mutation of the putative binding site of miR-15b in the WNT7A 3'-UTR
restored luciferase activity. Furthermore, miR-15b was able to repress increased
levels of TOPFLASH activity by WNT7A, but not those induced by S33Y.
Additionally, miR-15b dose-dependently decreased WNT7A expression. When we
evaluated the prognostic impact of WNT7A and miR-15b expression using TCGA
datasets, a significant inverse correlation in which high-expression of WNT7A and
low-expression of miR-15b was associated with reduced survival rates of ovarian
cancer patients. Treatment with decitabine dose-dependently increased miR-15b
expression, and silencing of DNMT1 significantly increased miR-15b expression.
These results suggest that WNT7A is post-transcriptionally regulated by miR-15b,
which could be down-regulated by promoter hypermethylation, potentially via
DNMT1, in ovarian cancer.
PMID- 27195961
TI - Equilibrium between 5- and 6-Fold Coordination in the First Hydration Shell of
Cu(II).
AB - The hydration structure dynamics of Cu(II) ion is characterized by a combination
of classical molecular dynamics simulation and X-ray absorption near-edge
spectroscopy. Previous experimental data have been analyzed on the basis of 5- or
6-fold first hydration structure, with a quite well-established equatorial
structure. This 4-fold equatorial geometry has been our starting point to develop
a simple but effective in silico model, which provides ab initio theoretical X
ray absorption spectra in very good agreement with the experimental data. Our
results point out two equally populated 6- and 5-fold hydration structures with
remarkable different water residence times of 5 and 98 ps, respectively, and a
low free energy barrier between first and second hydration shell.
PMID- 27195960
TI - Large-Scale Gene Relocations following an Ancient Genome Triplication Associated
with the Diversification of Core Eudicots.
AB - Different modes of gene duplication including whole-genome duplication (WGD), and
tandem, proximal and dispersed duplications are widespread in angiosperm genomes.
Small-scale, stochastic gene relocations and transposed gene duplications are
widely accepted to be the primary mechanisms for the creation of dispersed
duplicates. However, here we show that most surviving ancient dispersed
duplicates in core eudicots originated from large-scale gene relocations within a
narrow window of time following a genome triplication (gamma) event that occurred
in the stem lineage of core eudicots. We name these surviving ancient dispersed
duplicates as relocated gamma duplicates. In Arabidopsis thaliana, relocated
gamma, WGD and single-gene duplicates have distinct features with regard to gene
functions, essentiality, and protein interactions. Relative to gamma duplicates,
relocated gamma duplicates have higher non-synonymous substitution rates, but
comparable levels of expression and regulation divergence. Thus, relocated gamma
duplicates should be distinguished from WGD and single-gene duplicates for
evolutionary investigations. Our results suggest large-scale gene relocations
following the gamma event were associated with the diversification of core
eudicots.
PMID- 27195962
TI - Analysis of workers' compensation claims data for machine-related injuries in
metal fabrication businesses.
AB - BACKGROUND: Metal fabrication workers are at high risk for machine-related
injury. Apart from amputations, data on factors contributing to this problem are
generally absent. METHODS: Narrative text analysis was performed on workers'
compensation claims in order to identify machine-related injuries and determine
work tasks involved. Data were further evaluated on the basis of cost per claim,
nature of injury, and part of body. RESULTS: From an initial set of 4,268 claims,
1,053 were classified as machine-related. Frequently identified tasks included
machine operation (31%), workpiece handling (20%), setup/adjustment (15%), and
removing chips (12%). Lacerations to finger(s), hand, or thumb comprised 38% of
machine-related injuries; foreign body in the eye accounted for 20%. Amputations
were relatively rare but had highest costs per claim (mean $21,059; median
$11,998). CONCLUSIONS: Despite limitations, workers' compensation data were
useful in characterizing machine-related injuries. Improving the quality of data
collected by insurers would enhance occupational injury surveillance and
prevention efforts. Am. J. Ind. Med. 59:656-664, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27195963
TI - On the joys of perceiving: Affect as feedback for perceptual predictions.
AB - How we perceive, attend to, or remember the stimuli in our environment depends on
our preferences for them. Here we argue that this dependence is reciprocal:
pleasures and displeasures are heavily dependent on cognitive processing, namely,
on our ability to predict the world correctly. We propose that prediction errors,
inversely weighted with prior probabilities of predictions, yield subjective
experiences of positive or negative affect. In this way, we link affect to
predictions within a predictive coding framework. We discuss how three key
factors - uncertainty, expectations, and conflict - influence prediction accuracy
and show how they shape our affective response. We demonstrate that predictable
stimuli are, in general, preferred to unpredictable ones, though too much
predictability may decrease this liking effect. Furthermore, the account
successfully overcomes the "dark-room" problem, explaining why we do not avoid
stimulation to minimize prediction error. We further discuss the implications of
our approach for art perception and the utility of affect as feedback for
predictions within a prediction-testing architecture of cognition.
PMID- 27195964
TI - Ameloblastic carcinoma: a Brazilian collaborative study of 17 cases.
AB - AIMS: Ameloblastic carcinoma (AMECA) is an odontogenic malignancy that combines
the histological features of ameloblastoma and cytological atypia. Because of its
rarity, it poses difficulties in diagnosis. The aim of this study was to
investigate the socio-demographic data, histopathology, immunohistochemical
features, treatment and outcomes of 17 cases. METHODS AND RESULTS: Descriptive
statistical analyses were used to portray the clinicopathological data collected,
retrospectively. Log-rank tests were performed to determine new prognostic
factors. Lesions were immunostained for Ki67, p16, p53, and cytokeratins (CKs),
and compared with solid/multicystic ameloblastomas (n = 15). AMECA was mostly
diagnosed at a late stage, affecting the posterior mandible of male patients in
their fifth decade of life. Recurrence was diagnosed in nearly 90% of treated
patients, and metastasis occurred in four patients. The mean number of Ki67
positive cells was 86.4 +/- 66 per field. Tumours were focally positive for CK7,
CK8, CK14, and CK18, and diffusely positive for CK19, p53, and p16. AMECA showed
increased immunoexpression of CK18, CK19, p16, p53 and Ki67 as compared with
benign cases. CONCLUSIONS: Our study has contributed to the improved
characterization of the epidemiology, prognostic markers, treatment options and
outcomes of AMECA. Current criteria must be reviewed to simplify the diagnostic
process for these neoplasms.
PMID- 27195965
TI - Multi-Dimensional Impact of the Public-Private Center for Translational Molecular
Medicine (CTMM) in the Netherlands: Understanding New 21(st) Century
Institutional Designs to Support Innovation-in-Society.
AB - Knowledge translation is at the epicenter of 21st century life sciences and
integrative biology. Several innovative institutional designs have been
formulated to cultivate knowledge translation. One of these organizational
innovations has been the Center for Translational Molecular Medicine (CTMM), a
multi-million public-private partnership in the Netherlands. The CTMM aims to
accelerate molecular diagnostics and imaging technologies to forecast disease
susceptibilities in healthy populations and early diagnosis and personalized
treatment of patients. This research evaluated CTMM's impact on scientific,
translational, clinical, and economic dimensions. A pragmatic, operationally
defined process indicators approach was used. Data were gathered from CTMM
administrations, through a CTMM-wide survey (n = 167) and group interviews. We
found that the CTMM focused on disease areas with high human, clinical, and
economic burden to society (i.e., oncology, cardiovascular, neurologic,
infection, and immunity diseases). CTMM displayed a robust scientific impact that
rests 15%-80% above international reference values regarding publication volume
and impact. Technology translation to the clinic was accelerated, with >50% of
projects progressing from pre-clinical development to clinical testing within 5
years. Furthermore, CTMM has generated nearly 1500 Full Time Equivalent (FTE) of
translational R&D capacity. Its positive impact on translational, (future)
clinical, and economic aspects is recognized across all surveyed stakeholders. As
organizational innovation is increasingly considered critical to forge linkages
between life sciences discoveries and innovation-in-society, lessons learned from
this study may inform other institutions with similar objectives such as the
Clinical and Translational Science Awards (CTSA) Program of the National
Institutes of Health (NIH) in the United States.
PMID- 27195966
TI - Adenosine Hypothesis of Antipsychotic Drugs Revisited: Pharmacogenomics Variation
in Nonacute Schizophrenia.
AB - The existing antipsychotic therapy is based on dopamine hyperfunction and
glutamate hypofunction hypotheses of schizophrenia. Adenosine receptors (ADORA)
have a neuromodulatory role and can control dopaminergic and glutamatergic
systems. To elucidate the effect of ADORA polymorphisms on psychopathological
symptoms and adverse effects in patients with schizophrenia on long-term
antipsychotic treatment, we examined 127 nonacute schizophrenia outpatients in a
cross-sectional study using the Positive and Negative Symptoms Scale, Simpson
Angus Scale, Barnes Akathisia Rating Scale, and Abnormal Involuntary Movement
Scale. All patients were genotyped for 18 polymorphisms in ADORA1, ADORA2A, and
ADORA3. We found an association between ADORA1 rs3766566 and psychopathological
symptoms (p = 0.006), in particular, with positive psychopathological symptoms (p
= 0.010) and general psychopathological symptoms (p = 0.023), between ADORA2A
rs2298383 and general psychopathological symptoms (p = 0.046), and between
ADORA2A rs5751876 and akathisia (p = 0.015). Haplotype analysis showed an
association between ADORA1 CTCAACG haplotype and overall psychopathological
symptoms (p = 0.019), positive psychopathological symptoms (p = 0.021), and
akathisia (p = 0.028). ADORA2A TCCTC haplotype was associated with parkinsonism
(p = 0.014). ADORA3 CACTAC was associated with akathisia (p = 0.042), whereas
CACTAT was associated with akathisia (p = 0.045) and tardive dyskinesia (p =
0.023). The results of this first comprehensive study on ADORA polymorphisms in
patients with nonacute schizophrenia receiving long-term antipsychotic therapy
suggest an important neuromodulatory role of ADORA receptors in both
psychopathological symptoms and adverse effects of antipsychotics.
PMID- 27195967
TI - Toward Novel Diagnostics for Primary Open-Angle Glaucoma? An Association Study of
Polymorphic Variation in Ras Homolog Family Member (A, B, C, D) Genes RHOA, RHOB,
RHOC, and RHOD.
AB - The annual economic burden of visual disorders in the United States was estimated
as $139 billion. The World Health Organization has listed glaucoma in the top 10
priority eye diseases. Primary open-angle glaucoma (POAG) is a common subtype,
with a lack of clinical tools for early diagnosis. The Rho GTPases belong to the
Ras superfamily of proteins; the RhoA immunostaining in the optic nerve head in
human glaucoma is reportedly increased. We investigated the association of
polymorphisms in the Ras Homolog Family Member A, B, C, and D genes (RHOA, RHOB,
RHOC, and RHOD, respectively). In a total sample of 361 unrelated subjects (179
patients with POAG and 182 age- and sex-matched healthy controls), RHOA
(rs6784820, rs974495), RHOB (rs62121967), RHOC (rs11102522), and RHOD
(rs61891303, rs2282502) polymorphisms were characterized by the BioMark HD
dynamic array system with real-time polymerarse chain reaction. Among these
candidate genetic markers and considering the Bonferroni correction, RHOA
rs974495 polymorphism was significantly associated with POAG (p = 0.0011), with
the TT genotype increasing the disease risk 4.9 times (95% CI 1.630-15.023). The
allele and haplotype distributions of the above RHO candidate polymorphisms did
not diplay a significant association. This is the first study, to the best of our
knowledge, to identify a significant genotypic association between POAG and RHOA
gene rs974495 polymorphism. These observations warrant replication in independent
samples in the pursuit of precision medicine for rapid and early glaucoma
diagnosis, and molecular targets for innovation in therapeutics of this common
eye disease.
PMID- 27195969
TI - Whole Exome Sequencing in a Rare Disease: A Patient with Anomalous Left Coronary
Artery from the Pulmonary Artery (Bland-White-Garland Syndrome).
PMID- 27195968
TI - A Network Biology Approach to Decipher Stress Response in Bacteria Using
Escherichia coli As a Model.
AB - The development of drug-resistant pathogenic bacteria poses challenges to global
health for their treatment and control. In this context, stress response enables
bacterial populations to survive extreme perturbations in the environment but
remains poorly understood. Specific modules are activated for unique stressors
with few recognized global regulators. The phenomenon of cross-stress protection
strongly suggests the presence of central proteins that control the diverse
stress responses. In this work, Escherichia coli was used to model the bacterial
stress response. A Protein-Protein Interaction Network was generated by
integrating differentially expressed genes in eight stress conditions of pH,
temperature, and antibiotics with relevant gene ontology terms. Topological
analysis identified 24 central proteins. The well-documented role of 16 central
proteins in stress indicates central control of the response, while the remaining
eight proteins may have a novel role in stress response. Cluster analysis of the
generated network implicated RNA binding, flagellar assembly, ABC transporters,
and DNA repair as important processes during response to stress. Pathway analysis
showed crosstalk of Two Component Systems with metabolic processes, oxidative
phosphorylation, and ABC transporters. The results were further validated by
analysis of an independent cross-stress protection dataset. This study also
reports on the ways in which bacterial stress response can progress to biofilm
formation. In conclusion, we suggest that drug targets or pathways disrupting
bacterial stress responses can potentially be exploited to combat antibiotic
tolerance and multidrug resistance in the future.
PMID- 27195971
TI - Alkoxy-Terminated Si Surfaces: A New Reactive Platform for the Functionalization
and Derivatization of Silicon Quantum Dots.
AB - Alkoxy-terminated silicon quantum dots (SiQDs) were synthesized via
hydrosilylation of aliphatic ketones on hydride-terminated SiQD (H-SiQD) surfaces
under microwave-irradiation. Aromatic ketones undergo hydrosilylation on H-SiQD
surfaces at room temperature without requiring any catalyst. The alkoxy
terminated SiQDs are soluble in organic solvents, colloidally stable, and show
bright and size dependent photoluminescence (PL). The alkoxy-functionalized
silicon surfaces were used as reactive platform for further functionalization via
unprecedented ligand exchange of the alkoxy-surface groups with alkyl or alkenyl
surface groups in the presence of BH3.THF. Proton nuclear magnetic resonance
((1)H NMR), Fourier transform infrared (FTIR), and X-ray photoelectron
spectroscopy (XPS) spectroscopy confirmed alkoxy-terminated surfaces and their
ligand exchange reactions in the presence of various alkenes and alkynes.
PMID- 27195970
TI - NKp46-mediated Dicer1 inactivation results in defective NK-cell differentiation
and effector functions in mice.
AB - MicroRNAs control developmental pathways and effector functions in immune cells.
Previous studies have studied the role of microRNAs in natural killer (NK) cells.
However, the mouse models of microRNA depletion used were nonNK-specific and only
partially depleting, hampering the interpretation of the data obtained. To
clarify the role of microRNAs in murine NK cells, we deleted the RNase III enzyme
Dicer1 in NKp46-expressing cells. We observed a drastic decrease in several
microRNAs specifically in NK cells. Furthermore, the overall size of the "NK
cell" pool was severely decreased, a phenotype associated with compromised
survival. Moreover, performing a broad flow cytometry profiling, we show that
Dicer1-deficient NK cells failed to complete their differentiation program. In
particular, several integrins were inappropriately expressed in mature NK cells.
These defects coincided with decreased response to IL-15, a cytokine responsible
for "NK-cell" maturation and survival. In addition, Dicer1 deletion impaired key
"NK-cell" functions: target cell killing and production of IFN-gamma, leading to
defective control of metastasis. Dicer1 deletion thus affects "NK-cell" biology
in a cell intrinsic manner at several distinct stages.
PMID- 27195974
TI - Preanalytical, analytical, and biological variation of blood plasma submicron
particle levels measured with nanoparticle tracking analysis and tunable
resistive pulse sensing.
AB - BACKGROUND: Nanoparticle tracking analysis (NTA) and tunable resistive pulse
sensing (TRPS) enable measurement of extracellular vesicles (EVs) in blood plasma
but also measure other particles present in plasma. Complete isolation of EVs
from similarly sized particles with full EV recovery is currently not possible
due to limitations in existing isolation techniques. AIM: This study aimed to
evaluate preanalytical, analytical, and biological variation of particle
measurements with NTA and TRPS on blood plasma. METHODS: Blood from 20 healthy
subjects was sampled in the fasting and postprandial state. Platelet free plasma
(PFP) was analyzed immediately and after a freeze-thaw cycle. Additionally, the
effect of prandial state and a freeze-thaw cycle on EV-enriched particle
fractions obtained via size-exclusion chromatography (SEC) was examined. RESULTS:
We observed analytical linearity in the range of 1.0-10.0 * 10(8) particles/mL
for NTA and 1.0 * 10(8)-1.8 * 10(9) particles/mL for TRPS. The analytical
variation was generally below 10%. A considerable intra- and inter-individual
variation was demonstrated with estimated reference intervals of 1.4 * 10(11)-1.2
* 10(12) particles/mL for NTA and 1.8 * 10(8)-1.6 * 10(9) particles/mL for TRPS.
Food intake and to a lesser extent a freeze-thaw cycle affected particle
populations in PFP and, similarly, in EV-enriched fractions. CONCLUSION: In this
study NTA and TRPS enabled acceptably precise concentration and size measurement
of submicron particles in PFP. An appreciable intra- and inter-individual
biological variation was observed. In studies on particle populations in PFP or
EV-enriched fractions, we recommend analysis of fresh, fasting samples.
PMID- 27195975
TI - Cystometric evaluation of recovery in hypocompliant defunctionalized bladder as a
result of long-term dialysis after kidney transplantation.
AB - OBJECTIVES: To evaluate the functional recovery of a pretransplant hypocompliant
bladder in patients without neurological disorders, and to determine its
relationship with ureteral complications, including vesicoureteral reflux.
METHODS: A total of 61 patients without neurogenic disorders, who underwent video
water cystometry pre- and 1 year post-transplantation, were enrolled. Cystometric
bladder capacity and maximum intravesical pressure were measured, and compliance
was calculated by the elevation in intravesical pressure as a result of an
increase in volume. The frequencies of urinary complications, including urinary
leakage, pyelonephritis and vesicoureteral reflux, were also evaluated. RESULTS:
Pretransplant dialysis duration correlated with pretransplant bladder capacity
and compliance (R(2) = 0.421, P < 0.001 and R(2) = 0.418, P < 0.001,
respectively). A total of 16 (26.2%) patients had hypocompliant bladders <10
mL/cmH2 O, whereas 10 of the 12 patients (83.3%) with pretransplant dialysis
duration of more than 5 years had a pretransplant hypocompliant bladder. Bladder
compliance significantly recovered to >20 mL/cmH2 O (21.1-286.0) at 1 year post
transplantation in all 16 patients with a pretransplant hypocompliant bladder. No
significant differences were observed for urinary leakage, pyelonephritis or
vesicoureteral reflux between patients with and without a pretransplant
hypocompliant bladder. CONCLUSIONS: Bladder compliance decreases logarithmically
pretransplantation according to dialysis duration. Although the ability of the
patients to recover varies, dysfunctions associated with a pretransplant
hypocompliant bladder recover to normal ranges after renal transplantation. A
pretransplant hypocompliant bladder seems not to be associated with the post
transplant prevalence of urinary complications or vesicoureteral reflux.
PMID- 27195976
TI - Controllability of protein-protein interaction phosphorylation-based networks:
Participation of the hub 14-3-3 protein family.
AB - Posttranslational regulation of protein function is an ubiquitous mechanism in
eukaryotic cells. Here, we analyzed biological properties of nodes and edges of a
human protein-protein interaction phosphorylation-based network, especially of
those nodes critical for the network controllability. We found that the minimal
number of critical nodes needed to control the whole network is 29%, which is
considerably lower compared to other real networks. These critical nodes are more
regulated by posttranslational modifications and contain more binding domains to
these modifications than other kinds of nodes in the network, suggesting an intra
group fast regulation. Also, when we analyzed the edges characteristics that
connect critical and non-critical nodes, we found that the former are enriched in
domain-to-eukaryotic linear motif interactions, whereas the later are enriched in
domain-domain interactions. Our findings suggest a possible structure for protein
protein interaction networks with a densely interconnected and self-regulated
central core, composed of critical nodes with a high participation in the
controllability of the full network, and less regulated peripheral nodes. Our
study offers a deeper understanding of complex network control and bridges the
controllability theorems for complex networks and biological protein-protein
interaction phosphorylation-based networked systems.
PMID- 27195977
TI - Histologic Assessment of Intratumoral Lymphoplasmacytic Infiltration Is Useful in
Predicting Prognosis of Patients with Hepatocellular Carcinoma.
AB - In the present study, we investigated the clinicopathologic significance of
intratumoral lymphoplasmacytic infiltration in a large cohort of patients with
solitary hepatocellular carcinoma (HCC). Based on examination of hematoxylin and
eosin-stained sections, significant infiltration was defined as dense
lymphoplasmacytic infiltration, either multifocal or diffuse, in 2 or more fields
under low-power magnification. Of 544 cases, 216 (39.7%) were positive for
significant infiltration (HCC-LI group), while 328 (60.3%) were negative (HCC-NLI
group). There were no significant between-group differences in patient age, sex,
or background etiology. The lower incidence of Child-Pugh stage B (P = 0.001) and
lower level of indocyanine green retention rate at 15 minutes (P < 0.001) in the
HCC-LI group indicated better liver function in this group. Histologically,
tumors were significantly smaller in size in the HCC-LI group than in the HCC-NLI
group (P < 0.001). In addition, prominent neutrophilic infiltration, interstitial
fibrosis and tumor steatosis were significantly more frequent (P < 0.001) in the
HCC-LI group, while tumor necrosis was significantly less frequent (P = 0.008).
Kaplan-Meier analyses revealed that overall and recurrence-free survival were
significantly better in the HCC-LI group (P < 0.001). Multivariate Cox regression
analysis showed that intratumoral lymphoplasmacytic infiltration was
independently prognostic of both overall and recurrence-free survival (P <
0.001), with absence of infiltration showing high Cox-hazard ratios for poor
prognosis. In conclusion, intratumoral lymphoplasmacytic infiltration, as
determined by assessment of hematoxylin and eosin-stained slides, was
significantly associated with the clinical and pathologic features of HCC and has
profound prognostic importance.
PMID- 27195978
TI - Induction, labor length and mode of delivery: the impact on preeclampsia-related
adverse maternal outcomes.
AB - OBJECTIVE: The objectives were to evaluate whether induction, specifically
prolonged labor, was associated with adverse maternal outcomes related to
preeclampsia with severe features (PEC-S) and whether cesarean affected the rate.
STUDY DESIGN: This was a retrospective cohort study of women with PEC-S ?34 weeks
who were diagnosed either before planned cesarean or before induction/latent
labor. The primary outcome was a composite adverse maternal outcome related to
PEC-S. RESULTS: The final cohort comprised 193 women (n=172 with labor and n=21
with planned cesarean). The prevalence of the outcome was 15.5%. Women exposed to
labor did not have a higher rate compared with planned cesarean (16.3% vs 9.5%,
P=0.4). Adjusting for confounders, women with a cesarean after prolonged labor
had a 10-fold higher adverse outcome risk compared with women with a planned
cesarean (adjusted odds ratio (aOR) 9.7 (1.2 to 78.6), P=0.03) or with a vaginal
delivery <24 h (aOR 9.7 (1.4 to 67.4), P=0.02). CONCLUSION: Prolonged labor and
cesarean in labor were both associated with an increase in our outcome.
PMID- 27195979
TI - Does hyperglycemia in hypernatremic preterm infants increase the risk of
intraventricular hemorrhage?
AB - OBJECTIVE: Hypernatremia and hyperglycemia are highly prevalent in preterm
infants during the first week after birth, and both can lead to hyperosmolarity
and osmotic shifts. The objective is to determine whether hyperglycemia increases
the risk of intraventricular hemorrhage (IVH) in hypernatremic preterm infants.
STUDY DESIGN: Single-center retrospective medical record review of 216 infants
<1000 g birth weight and <29 weeks gestational age (admitted over a 9-year
period) who had serum sodium levels and blood glucose levels monitored at least
every 24 h and more frequently if indicated during the first 10 days after birth.
Hyperglycemia was defined as persistently high blood glucose (usually >200 mg dl(
1)) treated with an insulin infusion. Hypernatremia was defined as a serum sodium
level of ?150 mmol l(-1) on repeated measurements. RESULTS: Of the 216 infants
studied, 76 (35%) developed hyperglycemia and 126 (58%) developed hypernatremia.
IVH developed more frequently in infants with hyperglycemia (P=0.006, odds ratio
(OR) 2.3, 95% confidence interval (CI) 1.3 to 4.1), in infants with hypernatremia
(P=0.018, OR 2.0, 95% CI 1.2 to 3.5) and in infants with hypernatremia plus
hyperglycemia (P=0.001, OR 3.2, 95% CI 1.6 to 6.4). Multivariate regression
analysis confirmed the independent association of higher risk of IVH with the
presence of hypernatremia plus hyperglycemia (P=0.015, OR 2.6, 95% CI 1.2 to 5.5)
but not with hypernatremia or hyperglycemia alone. CONCLUSION: Hyperglycemia
increases the risk of IVH in hypernatremic preterm infants.
PMID- 27195980
TI - Examining pregnancy-specific smartphone applications: what are patients being
told?
AB - OBJECTIVE: The objective of this study is to evaluate the informative content of
two free, pregnancy-specific smartphone applications and their accuracy and
adherence to prenatal care guidelines. STUDY DESIGN: This is a qualitative
analysis of the information delivered through two free, pregnancy-specific
smartphone applications (apps): Text4Baby (T4B) and Baby Center's 'My Pregnancy
Today' (BC). All information from conception through 2 weeks postpartum were
transcribed and coded independently by two physician researchers. Content was
analyzed and assigned thematic codes. The proportion of content each app
delivered per theme was then calculated and the chi(2)-test was used to compare
thematic frequency between apps. RESULTS: A total of 609 pieces of daily content
were transcribed; 698 message themes were coded and analyzed. Most information
delivered by T4B was about fetal development (23.8%) or prenatal care (16.6%);
most content from BC was about normal pregnancy symptoms (20.1%) or maternal well
being (21.1%); the differences between apps for each of these themes were
statistically significant (P<0.05). A total of four messages contained incomplete
or ambiguous content; neither app delivered overtly incorrect medical
information. T4B and BC had a similar proportion of information that was in-line
with the American College of Obstetrics and Gynecology Guidelines for Prenatal
Care. Neither app delivered any messages about contraception. CONCLUSION: T4B and
BC are free, pregnancy-specific apps with different focuses. Neither app delivers
comprehensive prenatal information, as evidenced by the absence of information
about postpartum contraception. More information is needed to determine the role
of such apps in prenatal care.
PMID- 27195982
TI - Metal-Organic Framework Based on Isonicotinate N-Oxide for Fast and Highly
Efficient Aqueous Phase Cr(VI) Adsorption.
AB - Synthesis of new porous materials has been developed for efficient capture of
pollutants in environmental sciences. Here, the application of a new metal
organic framework (TMU-30) has been reported based on isonicotinate N-oxide as an
adsorptive site for fast and highly efficient aqueous phase adsorption of Cr(VI).
The adsorption process showed no remarkable effect over a pH range of 2-9. The
maximum capacity of the adsorption was reached in just less than 10 min and
followed the pseudo-second-order kinetics. The maximum capacity of 2.86 mol mol(
1) (145 mg/g) was obtained according to Langmuir model at 298 K. The spontaneous
adsorption and an endothermic process were controlled by positive entropy
changes. XPS analysis revealed electrostatic interactions between N-oxide groups
of TMU-30 and Cr(VI) species, which were responsible for the adsorption process.
PMID- 27195981
TI - The use of short message services (SMS) to provide medical updating to parents in
the NICU.
AB - OBJECTIVE: Premature delivery and prolonged hospitalization of infants in the
neonatal intensive care unit (NICU) are very stressful for parents. As technology
has advanced, short message services (SMS) have been used increasingly in the
medical disciplines. To date, the use of SMS for updating patients and families
regarding medical information has not been reported. We implemented the SMS
technique to daily update the parents regarding the health status of their
preterm infant. The objective of this study was to evaluate the use of SMS
technology and to assess its impact on the parents and the nursing staff. STUDY
DESIGN: Parents and nurses completed questionnaires at two time periods: pre-SMS
implementation (pre-SMSi) and post-SMS implementation (post-SMSi). The parent
questionnaires included statements about medical information delivery,
communication and trust between parents and medical staff, parental anxiety and
overall satisfaction. The nurse questionnaires included statements about the
expected and actual impact on their workload. RESULTS: Comparison of the parents'
responses at the two time periods indicated that in the post-SMSi time period,
they felt that the physician was more available when needed (P=0.002), they were
more comfortable about approaching the physician (P=0.001) and more satisfied
with the medical information provided by the staff (P=0.03). In the post-SMSi
period, 78.1% of the nurses noted that the SMS communication is a convenient and
user-friendly method. CONCLUSIONS: SMS updating is an easy and user-friendly
technology that enriches the modalities of information delivery to parents of
hospitalized preterm infants. It is a complementary and useful tool for
encouraging and improving personal communication between parents and medical
staff and should be considered part of quality improvement in health care.
PMID- 27195983
TI - Improved Predictions of the Geographic Distribution of Invasive Plants Using
Climatic Niche Models.
AB - Climatic niche models for invasive plants are usually constructed with occurrence
records taken from literature and collections. Because these data neither
discriminate among life-cycle stages of plants (adult or juvenile) nor the origin
of individuals (naturally established or man-planted), the resulting models may
mispredict the distribution ranges of these species. We propose that more
accurate predictions could be obtained by modelling climatic niches with data of
naturally established individuals, particularly with occurrence records of
juvenile plants because this would restrict the predictions of models to those
sites where climatic conditions allow the recruitment of the species. To test
this proposal, we focused on the Peruvian peppertree (Schinus molle), a South
American species that has largely invaded Mexico. Three climatic niche models
were constructed for this species using high-resolution dataset gathered in the
field. The first model included all occurrence records, irrespective of the life
cycle stage or origin of peppertrees (generalized niche model). The second model
only included occurrence records of naturally established mature individuals
(adult niche model), while the third model was constructed with occurrence
records of naturally established juvenile plants (regeneration niche model). When
models were compared, the generalized climatic niche model predicted the presence
of peppertrees in sites located farther beyond the climatic thresholds that
naturally established individuals can tolerate, suggesting that human activities
influence the distribution of this invasive species. The adult and regeneration
climatic niche models concurred in their predictions about the distribution of
peppertrees, suggesting that naturally established adult trees only occur in
sites where climatic conditions allow the recruitment of juvenile stages. These
results support the proposal that climatic niches of invasive plants should be
modelled with data of naturally established individuals because this improves the
accuracy of predictions about their distribution ranges.
PMID- 27195984
TI - Evaluating Infection Prevention Strategies in Out-Patient Dialysis Units Using
Agent-Based Modeling.
AB - Patients receiving chronic hemodialysis (CHD) are among the most vulnerable to
infections caused by multidrug-resistant organisms (MDRO), which are associated
with high rates of morbidity and mortality. Current guidelines to reduce
transmission of MDRO in the out-patient dialysis unit are targeted at patients
considered to be high-risk for transmitting these organisms: those with infected
skin wounds not contained by a dressing, or those with fecal incontinence or
uncontrolled diarrhea. Here, we hypothesize that targeting patients receiving
antimicrobial treatment would more effectively reduce transmission and
acquisition of MDRO. We also hypothesize that environmental contamination plays a
role in the dissemination of MDRO in the dialysis unit. To address our
hypotheses, we built an agent-based model to simulate different treatment
strategies in a dialysis unit. Our results suggest that reducing antimicrobial
treatment, either by reducing the number of patients receiving treatment or by
reducing the duration of the treatment, markedly reduces overall colonization
rates and also the levels of environmental contamination in the dialysis unit.
Our results also suggest that improving the environmental decontamination
efficacy between patient dialysis treatments is an effective method for reducing
colonization and contamination rates. These findings have important implications
for the development and implementation of future infection prevention strategies.
PMID- 27195987
TI - Cognitive deficits after aneurysmal and angiographically negative subarachnoid
hemorrhage: Memory, attention, executive functioning, and emotion recognition.
AB - OBJECTIVE: The authors' aim was to investigate cognitive outcome in patients with
aneurysmal and angiographically negative subarachnoid hemorrhage (aSAH and
anSAH), by comparing them to healthy controls and to each other. Besides
investigating cognitive functions as memory and attention, they focused on higher
order prefrontal functions, namely executive functioning (EF) and emotion
recognition. METHOD: Patients and healthy controls were assessed with tests
measuring memory (15 Words Test, Digit Span), attention and processing speed
(Trail Making Test A and B), EF (Zoo Map, Letter Fluency, Dysexecutive
Questionnaire), and emotion recognition (Facial Expressions of Emotion Stimuli
and Tests). Between-groups comparisons of test performances were made. RESULTS:
Patients with aSAH scored significantly lower than healthy controls on measures
of memory, processing speed, and attention, but anSAH patients did not. In the
higher-order prefrontal functions (EF and emotion recognition), aSAH patients
were clearly impaired when compared to healthy controls. However, anSAH patients
did not perform significantly better than aSAH patients on the majority of the
tests. CONCLUSIONS: In the subacute phase after SAH, cognitive functions,
including the higher-order prefrontal functions EF and emotion recognition, were
clearly impaired in aSAH patients. Patients with anSAH did not perform better
than aSAH patients, which indicates that these functions may also be affected to
some extent in anSAH patients. Considering the importance of these higher-order
prefrontal functions for daily life functioning, and following the results of the
present study, tests that measure emotion recognition and EF should be part of
the standard neuropsychological assessment after SAH. (PsycINFO Database Record
PMID- 27195988
TI - The neural mechanism of hedonic processing and judgment of pleasant odors: An
activation likelihood estimation meta-analysis.
AB - OBJECTIVE: Pleasure is essential to normal healthy life. Olfaction, as 1 of the
neurobehavioral probes of hedonic capacity, has a unique advantage compared to
other sensory modalities. However, it is unclear how olfactory hedonic
information is processed in the brain. This study aimed to investigate olfactory
hedonic processing in the human brain. METHOD: We conducted an activation
likelihood estimation (ALE) meta-analysis on 16 functional imaging studies that
examined brain activation in olfactory hedonic processing-related tasks in
healthy adults. RESULTS: The results show that there is a core olfactory hedonic
processing network, which consists of the bilateral parahippocampal
gyrus/amygdala (BA34), the left middle frontal gyrus (BA6), the right middle
frontal gyrus/lateral orbitofrontal cortex (OFC; BA10), the bilateral cingulate
gyrus (BA32), the right lentiform nucleus/lateral globus pallidus, the right
medial frontal gyrus/medial OFC (BA11), the left superior frontal gyrus (BA10),
and the right insula (BA13). Moreover, our findings highlight that the right
hemisphere is predominant in explicit odor hedonic judgment. Finally, the results
indicate that there are significant differences in brain activation for hedonic
judgment and passive smelling. CONCLUSION: These results support the hypothesis
that the OFC plays a key role in explicit hedonic judgment. (PsycINFO Database
Record
PMID- 27195985
TI - HIV-1 Genetic Variation Resulting in the Development of New Quasispecies
Continues to Be Encountered in the Peripheral Blood of Well-Suppressed Patients.
AB - As a result of antiretroviral therapeutic strategies, human immunodeficiency
virus type 1 (HIV-1) infection has become a long-term clinically manageable
chronic disease for many infected individuals. However, despite this progress in
therapeutic control, including undetectable viral loads and CD4+ T-cell counts in
the normal range, viral mutations continue to accumulate in the peripheral blood
compartment over time, indicating either low level reactivation and/or
replication. Using patients from the Drexel Medicine CNS AIDS Research and
Eradication Study (CARES) Cohort, whom have been sampled longitudinally for more
than 7 years, genetic change was modeled against to the dominant integrated
proviral quasispecies with respect to selection pressures such as therapeutic
interventions, AIDS defining illnesses, and other factors. Phylogenetic methods
based on the sequences of the LTR and tat exon 1 of the HIV-1 proviral DNA
quasispecies were used to obtain an estimate of an average mutation rate of 5.3
nucleotides (nt)/kilobasepair (kb)/year (yr) prior to initiation of
antiretroviral therapy (ART). Following ART the baseline mutation rate was
reduced to an average of 1.02 nt/kb/yr. The post-ART baseline rate of genetic
change, however, appears to be unique for each patient. These studies represent
our initial steps in quantifying rates of genetic change among HIV-1 quasispecies
using longitudinally sampled sequences from patients at different stages of
disease both before and after initiation of combination ART. Notably, while long
term ART reduced the estimated mutation rates in the vast majority of patients
studied, there was still measurable HIV-1 mutation even in patients with no
detectable virus by standard quantitative assays. Determining the factors that
affect HIV-1 mutation rates in the peripheral blood may lead to elucidation of
the mechanisms associated with changes in HIV-1 disease severity.
PMID- 27195989
TI - Biosimilars in rheumatology: out of the laboratory and into practice.
PMID- 27195990
TI - Tailoring of the porous structure of soft emulsion-templated polymer materials.
AB - This paper discusses the formation of soft porous materials obtained by the
polymerization of inverse water-in-silicone (polydimethylsiloxane, PDMS)
emulsions. We show that the initial state of the emulsion has a strong impact on
the porous structure and properties of the final material. We show that using a
surfactant with different solubilities in the emulsion continuous phase (PDMS),
it is possible to tune the interaction between emulsion droplets, which leads to
materials with either interconnected or isolated pores. These two systems present
completely different behavior upon drying, which results in macroporous air
filled materials in the interconnected case and in a collapsed material with low
porosity in the second case. Finally, we compare the mechanical and acoustical
properties of these two types of bulk polymer monoliths. We also describe the
formation of micrometric polymer particles (beads) in these two cases. We show
that materials with an interconnected macroporous structure have low mechanical
moduli and low sound speed, and are suitable for acoustic applications. The
mechanical and acoustical properties of the materials with a collapsed porous
structure are similar to those of non-porous silicone, which makes them
acoustically inactive.
PMID- 27195992
TI - Copy Number Variants and Congenital Anomalies Surveillance: A Suggested Coding
Strategy Using the Royal College of Paediatrics and Child Health Version of ICD
10.
AB - The use of array-based comparative genomic hybridization to assess DNA copy
number is increasing in many jurisdictions. Such technology identifies more
genetic causes of congenital anomalies; however, the clinical significance of
some results may be challenging to interpret. A coding strategy to address cases
with copy number variants has recently been implemented by the Alberta Congenital
Anomalies Surveillance System and is described.
PMID- 27195991
TI - Associations between Sleep Duration and Indicators of Cardiometabolic Disease in
Canadian Children and Adolescents: Analyses of the 2007-2009 Canadian Health
Measures Survey.
AB - BACKGROUND: Indicators of cardiometabolic disease-including obesity,
hyperinsulinemia, and dyslipidemia-are associated with an increased risk of
cardiovascular disease and type 2 diabetes. Rates of obesity and type 2 diabetes
in Canadian children and adolescents have increased rapidly in recent years;
research exploring modifiable risk factors is critical. Experimental and
epidemiological research demonstrates that partial sleep loss is linked with
deteriorations in indicators of cardiometabolic health. The objectives of this
study are (1) to examine associations between short sleep duration and indicators
of cardiometabolic disease in Canadian children and adolescents and (2) to
identify determinants of short sleep duration in this population. METHODS:
Logistic regression models were developed to examine associations between sleep
duration and indicators of cardiometabolic disease and to identify predictors of
short sleep duration. RESULTS: Compared with longer sleepers, children and
adolescents with short sleep duration had greater odds of being overweight or
obese. Sex- and age-stratified analyses indicated that short sleep duration was
linked with greater odds of overweight/obesity in boys and adolescents only.
Short sleepers did not have greater odds of having hyperinsulinemia, low HDL
cholesterol, or high triglycerides. Age was a strong predictor of inadequate
sleep duration. CONCLUSION: Future studies should include longitudinal designs
that address whether short sleep duration in boys and in adolescents contributes
directly to the development of overweight and obesity.
PMID- 27195993
TI - Validating Procedures used to Identify Duplicate Reports in Haiti's National
HIV/AIDS Case Surveillance System.
AB - OBJECTIVES: Valid deduplication of human immunodeficiency virus (HIV) case
reports is critical to the utility of these data to inform HIV programs. The
Haitian Ministry of Health (MSPP) and partners operate a case-based, national
HIV/AIDS surveillance system (HASS), using deterministic and probabilistic
procedures to identify duplicate records. These procedures are described and
validated based on expert classifications. METHODS: Two samples of HASS records
identified as duplicates were selected: 100 pairs from deterministic and 100
pairs from probabilistic matching procedures (total: 200 pairs, 400 case
reports). Clinical data from the national electronic medical record (iSante) were
reviewed and consensus gold-standard determinations on the status of duplications
were made. False positive rates (FPR) were estimated by reviewing these records,
while false negative rates were calculated (FNR) by using LinkPlusTM
probabilistic linkage software. The effect of deduplication on total HIV case
counts was demonstrated. RESULTS: Review of deterministic matches yielded 99 true
positives and 1 false positive (FPR, 1 per 100; 95% CI, 0.71-5.4). Review of
probabilistic matches yielded a FPR of 6 per 100 (95% CI, 2.7-12.4). LinkPlus
identified 1,491 probable matches among 68,393 records, representing a FNR of 2
per 100 (95% CI, 0.55-7.0). After adjustment, the estimated unique count of
reported HIV patients in HASS was 211,885 (95% CI, 207, 293-213, 232) as of
December 2013. CONCLUSIONS: Based on application of the established procedures,
HASS conforms to the duplication performance standard recommended by the Centers
for Disease Control and Prevention for HIV surveillance.
PMID- 27195995
TI - Collaborative Development and Results of a Nigerian Trauma Registry.
AB - BACKGROUND: More than 90% of injury-related deaths and disabilities occur in low-
and middle-income countries. The development of the Nigerian Trauma Registry
(NTR) and the first descriptive data analysis of the patient characteristics,
mechanisms of injury, injury severity, and treatments are reported. METHODS:
Existing data collection tools were modified to capture a minimum data set of
variables reflective of the trauma experience in Nigeria. Data are collected
using the secure, Web-based application, REDCap (Research Electronic Data
Capture). RESULTS: Two hospitals entered 564 patients into the registry. Motor
vehicle accidents were the most frequently reported trauma (69.2%). Of the 51
fall injuries, 82% were from buildings. There were 229 mass casualties, including
bus accidents (41.5%), bombings or blasts (28.8%), multiple vehicle accidents
(23.6%), fires (3.1%), and civil conflicts or riots (3.1%). External soft tissue
was the most commonly reported injury region followed by extremities, head and
neck, face, abdomen, and thorax/spine. Only 18.1% of patients arrived by
ambulance. There were 19 recorded in-hospital deaths and 79.0% of these were due
to motor vehicle accidents. CONCLUSIONS: This is the largest report of injury
surveillance in this country. These data are essential to inform policy makers
about the increasing trauma burden and provide a strong advocacy tool, prevention
opportunities, provisions for unmet capacity needs, and better allocation of
limited health care resources. The NTR has demonstrated that development and
implementation of an electronic trauma registry is feasible in low- and middle
income countries. The NTR evolved through international collaborations that
included a partnership with an American epidemiologist and 2 Nigerian hospitals
that contributed their individual and institutional capabilities. Local champions
are required to drive the initiation and implementation of registries.
PMID- 27195996
TI - Using Data on Tumor Grade in Cancer Registries to Enhance Surveillance of
Oropharyngeal Cancers in Relation to the Human Papillomavirus Epidemic.
AB - BACKGROUND: Incidence rates have been increasing in US whites for squamous cell
carcinoma (SCC) at anatomic sites involving the oropharynx (OP) and classified as
potentially associated with human papillomavirus (HPV). Registries have not
routinely collected data on HPV status of tumors. High tumor grade (poorly
differentiated or undifferentiated), however, has been associated with HPV
positivity in clinical studies. This study explored the potential value of adding
data on tumor grade to registry-based surveillance efforts. METHODS: Data were
obtained on tumor grade for 39,907 OP SCCs diagnosed in 2000-2012 at HPV
associated OP sites in a research database for Surveillance, Epidemiology, and
End Results (SEER) Program registries. Grade was compared by anatomic site.
Annual percent change in the age-standardized incidence rate was estimated by
joinpoint regression. RESULTS: HPV-associated OP SCC sites were predominantly
(87%) base of tongue and tonsils (BTT). High-grade comprised 40% for BTT vs 20%
30% for other HPV-associated sites. Temporal increases in total rates for BTT
were evident for whites, who had statistically significant increases for high
grade but persistently low rates for low grade. Rates increased for unknown
grade, reflecting a decline in cancer-directed surgery. CONCLUSIONS: Findings
support the use of tumor grade for surveillance of OP SCC in relation to the HPV
epidemic. Future studies should include non-SEER registries, and also examine HPV
status for unknown grade and consistency in grading among pathologists.
PMID- 27195994
TI - Linking the National Health Interview Survey with the Florida Cancer Data System:
A Pilot Study.
AB - Cancer registry data are vital for the surveillance of cancer trends, but
registries are limited in the number of data items that can be collected for
hypothesis-driven research. Linkage with other databases can add valuable
information and is a potentially effective tool for increasing our understanding
of and identifying the causes of cancer and health disparities along the cancer
continuum. We conducted a pilot study to link data from the 1981-2010 Florida
Cancer Data System (FCDS) with data from the 1986-2009 National Health Interview
Survey (NHIS). The NHIS data contain important information on sociodemographics,
screening behaviors, comorbidities, risk factors, health care access, and quality
of life, which are not available from FCDS. The linkage resulted in a total of
6,281 linked cases. After removing cases with a first cancer diagnosis before
1981 (prior to FCDS creation) or missing date of diagnosis information, there
were 1,908 cases diagnosed with cancer prior to their NHIS interview and 4,367
cases diagnosed after their NHIS interview. The enriched data set resulting from
the linkage allows us to evaluate risk factors associated with developing cancer
as well as conduct analyses on cancer survivorship issues and mortality. This
pilot study demonstrates the feasibility and utility of a linkage between cancer
registries and national health surveys, while also acknowledging the cost and
challenges associated with such linkages.
PMID- 27195997
TI - Encouraging Health Information Management Graduates to Pursue Cancer Registry
Careers.
AB - The cancer registry profession has grown dramatically since its inception in
1926. Certified tumor registrars (CTRs) have become an integral part of the
cancer care team by providing quality cancer data for research, statistical
purposes, public health, and cancer control. In addition, CTRs have been found to
be valuable in other cancer and health-related fields. Based on the need for high
quality, accurate data, the National Cancer Registrars Association (NCRA), the
certification body for CTRs, has increased the educational requirement for
eligibility for the CTR certification exam. This has resulted in fewer
individuals who are able to meet the requirements for CTR certification. In
addition, the existing cancer registry workforce is, on average, older than other
allied health professions, and therefore will face an increasing number of
retirements in the next few years. The high demand for CTRs, the decreased pool
of CTR-eligible applicants, and the aging cancer registry workforce has resulted
in an existing shortage that will only get worse as the population ages and the
incidence of cancer increases. Health information management (HIM) students are
well suited to pursuing further training in the cancer registry field and gaining
the CTR credential. HIM students or new graduates have the needed skill set and
education to pursue a cancer registry career. There are many avenues HIM
educational programs can take to encourage students to pursue CTR certification
and a cancer registry career. Including cancer registry functions in courses
throughout the HIM curriculum, bringing in cancer registry speakers, encouraging
networking, and promoting the cancer registry field and profession in general are
just a few of the methods that HIM programs can use to raise awareness of and
promote a cancer registry career to their students. Illinois State University has
used these methods and has found them to be successful in encouraging a
percentage of their graduates to pursue cancer registry careers.
PMID- 27195998
TI - How to Build an Abstract.
AB - Creating an abstract from the electronic medical record (EMR) can seem
overwhelming at first. There is so much information, it is difficult to know
where to start and how to work your way through all the documents in a short
period of time. This article provides one roadmap to build an abstract that can
provide a template for a new abstractor or an alternative way of doing things for
an experienced abstractor.
PMID- 27195999
TI - The Effect of Dangguijagyag-san on Mild Cognitive Impairment.
AB - OBJECTIVES: The aim of this study was to evaluate the safety and the effect of
dangguijagyag-san (DJS) on mild cognitive impairment (MCI). METHODS: This study
examined the administration of DJS ([Formula: see text]; angelica and peony
formula) and was conducted at Uijeongbu Health Center in Gyeonggi-do, Korea, in
2013. Ninety-five of 118 patients diagnosed with MCI were followed up for 1 year
after the study ended, and their medical records were analyzed. RESULTS: The
patients included 36 men (37.9%) and 59 women (62.1%). When the results from
before the study began were compared with the results 1 year after the study
ended, the overall average score according to the Korean-Montreal Cognitive
Assessment (K-MOCA) showed a statistically significant increase, from 15.46 +/-
5.30 to 18.54 +/- 5.11, respectively. Both male and female patients recorded a
significant increase in K-MOCA scores for all sections, including the
visuospatial/executive section, the naming section, the attention section, the
language section, the abstraction section, the delayed recall section, and the
orientation section. Scores assessed by the Mini-Mental State Examination for
Dementia Screening (MMSE-DS) showed a statistically significant increase, from
21.84 +/- 3.59 before the study to 24.43 +/- 3.13 after the study, but decreased
slightly to 23.04 +/- 3.36 at the 1-year follow-up. However, MMSE-DS scores
measured before the study began increased significantly when compared with scores
measured 1 year after the study ended. CONCLUSIONS: DGJYS improved the cognitive
skills of patients diagnosed with MCI, and no adverse effects were observed. In
the future, the efficacy of DGJYS must be objectively verified by using a
randomized controlled trial.
PMID- 27196000
TI - Gender, Headaches, and Sleep Health in High School Students.
AB - BACKGROUND: The effects of gender, headaches, and their interaction on sleep
health (sleep duration, sleep onset and continuity, and indications of
hypersomnolence) have not been well studied. MATERIALS AND METHODS: For American
adolescents, we contrasted sleep health variables between males (n = 378) and
females (n = 372) and between individuals with chronic headaches (n = 102 females
and 60 males) and without chronic headaches (n = 270 females and 318 males) using
data from surveys. RESULTS: Not all measures of sleep health differed between
groups, but the following patterns were observed for the measures that did
differ. Females reported shorter sleep durations on school nights (p = 0.001),
increased likelihood of sleepiness on school days (p < 0.05), and higher
hypersomnolence scores compared with males (p = 0.005). Individuals with
headaches reported shorter sleep durations on weekends (p = 0.009) and higher
hypersomnolence scores (p = 0.009) than individuals without headaches.
Interestingly, females with headaches reported worse sleep health than females
without headaches for multiple measures. Males with headaches did not differ from
males without headaches, except for greater waking at night (p = 0.04).
CONCLUSIONS: These results are consistent with other studies of gender-based
differences in sleep health and emphasize the importance of recognizing the risk
of headache in female adolescents and treating that condition to prevent
additional sleep health issues.
PMID- 27196002
TI - Exosome-mediated small RNA delivery for gene therapy.
AB - Small RNAs, including small interfering RNAs (siRNA) and microRNAs (miRNA), are
emerging as promising therapeutic drugs against a wide array of diseases. The key
obstacle for the successful clinical application of small RNAs is to develop a
safe delivery system directed at the target tissues only. Current small RNA
transfer techniques use viruses or synthetic agents as delivery vehicles. The
replacement of these delivery vehicles with a low toxicity and high target
specific approach is essential for making small RNA therapy feasible. Because
exosomes have the intrinsic ability to traverse biological barriers and to
naturally transport functional small RNAs between cells, they represent a novel
and exciting delivery vehicle for the field of small RNA therapy. As therapeutic
delivery agents, exosomes will potentially be better tolerated by the immune
system because they are natural nanocarriers derived from endogenous cells.
Furthermore, exosomes derived from genetically engineered cells can deliver small
RNAs to target tissues and cells. Thus, exosome-based delivery of small RNAs may
provide an untapped, effective delivery strategy to overcome impediments such as
inefficiency, nonspecificity, and immunogenic reactions. In this review, we
briefly describe how exosomal small RNAs function in recipient cells.
Furthermore, we provide an update and overview of new findings that reveal the
potential applications of exosome-based small RNA delivery as therapeutics in
clinical settings. WIREs RNA 2016, 7:758-771. doi: 10.1002/wrna.1363 For further
resources related to this article, please visit the WIREs website.
PMID- 27196001
TI - MEK Inhibition Sensitizes Precursor B-Cell Acute Lymphoblastic Leukemia (B-ALL)
Cells to Dexamethasone through Modulation of mTOR Activity and Stimulation of
Autophagy.
AB - Resistance to glucocorticosteroids (GCs) is a major adverse prognostic factor in
B-ALL, but the molecular mechanisms leading to GC resistance are not completely
understood. Herein, we sought to elucidate the molecular background of GC
resistance in B-ALL and characterize the therapeutic potential of targeted
intervention in these mechanisms. Using exploratory bioinformatic approaches, we
found that resistant cells exhibited significantly higher expression of MEK/ERK
(MAPK) pathway components. We found that GC-resistant ALL cell lines had markedly
higher baseline activity of MEK and small-molecule MEK1/2 inhibitor selumetinib
increased GCs-induced cell death. MEK inhibitor similarly increased in vitro
dexamethasone activity in primary ALL blasts from 19 of 22 tested patients. To
further confirm these observations, we overexpressed a constitutively active MEK
mutant in GC-sensitive cells and found that forced MEK activity induced
resistance to dexamethasone. Since recent studies highlight the role GC-induced
autophagy upstream of apoptotic cell death, we assessed LC3 processing, MDC
staining and GFP-LC3 relocalization in cells incubated with either DEX, SEL or
combination of drugs. Unlike either drug alone, only their combination markedly
increased these markers of autophagy. These changes were associated with
decreased mTOR activity and blocked 4E-BP1 phosphorylation. In cells with
silenced beclin-1 (BCN1), required for autophagosome formation, the synergy of
DEX and SEL was markedly reduced. Taken together, we show that MEK inhibitor
selumetinib enhances dexamethasone toxicity in GC-resistant B-ALL cells. The
underlying mechanism of this interaction involves inhibition of mTOR signaling
pathway and modulation of autophagy markers, likely reflecting induction of this
process and required for cell death. Thus, our data demonstrate that modulation
of MEK/ERK pathway is an attractive therapeutic strategy overcoming GC resistance
in B-ALL patients.
PMID- 27196004
TI - Treatment of Early-Onset Spinal Deformity (EOSD) With VEPTR: A Challenge for the
Final Correction Spondylodesis-A Case Series.
AB - STUDY DESIGN: Case Series. OBJECTIVE: To describe the post-VEPTR (vertical
expandable prosthetic titanium rib) treatment changes in early-onset spinal
deformity (EOSD), which may influence the final correction spondylodesis. SUMMARY
OF BACKGROUND DATA: The VEPTR device, originally developed for the treatment of
congenital rib cage malformation, is nowadays more widely used in the treatment
of EOSD. At present, only a few reports describe the possible complications that
may occur with repeated lengthening procedures of the VEPTR, thereby making the
final spondylodesis more complicated and less satisfactory. METHODS: X-rays of 5
children treated for EOSD with 2 unilateral VEPTR (each rib to rib and rib to
lumbar lamina) were analyzed for curve patterns and Cobb angles before, during,
and at the end of VEPTR treatment, and after the final spondylodesis.
Intraoperative observations during the spondylodesis, which influenced the
possibilities of the curve correction, were documented. RESULTS: All patients
showed a marked decompensation of the frontal balance and a high degree of
rigidity of the main curve and the compensatory curves after treatment with the
VEPTR device. Because of this spontaneous autofusion of spinal segments,
migration of the rib cradles and/or the laminar hook, and a change in the curve
patterns, the final fusion had to be longer in all patients than the primary
deformity would have intended. CONCLUSIONS: If an EOSD is treated with VEPTR, the
curve progression and, in particular, the development of a high thoracic
hyperkyphosis or rotation of the main curve should be critically observed.
Autofusion of ribs and vertebral bodies may make the final correction
spondylodesis even more challenging and risky for the patient and the end result
less satisfactory.
PMID- 27196003
TI - Disruption of Locomotion in Response to Hindlimb Muscle Stretch at Acute and
Chronic Time Points after a Spinal Cord Injury in Rats.
AB - After spinal cord injury (SCI) muscle contractures develop in the plegic limbs of
many patients. Physical therapists commonly use stretching as an approach to
avoid contractures and to maintain the extensibility of soft tissues. We found
previously that a daily stretching protocol has a negative effect on locomotor
recovery in rats with mild thoracic SCI. The purpose of the current study was to
determine the effects of stretching on locomotor function at acute and chronic
time points after moderately severe contusive SCI. Female Sprague-Dawley rats
with 25 g-cm T10 contusion injuries received our standard 24-min stretching
protocol starting 4 days (acutely) or 10 weeks (chronically) post-injury (5
days/week for 5 or 4 weeks, respectively). Locomotor function was assessed using
the BBB (Basso, Beattie, and Bresnahan) Open Field Locomotor Scale, video-based
kinematics, and gait analysis. Locomotor deficits were evident in the acute
animals after only 5 days of stretching and increasing the perceived intensity of
stretching at week 4 resulted in greater impairment. Stretching initiated
chronically resulted in dramatic decrements in locomotor function because most
animals had BBB scores of 0-3 for weeks 2, 3, and 4 of stretching. Locomotor
function recovered to control levels for both groups within 2 weeks once daily
stretching ceased. Histological analysis revealed no apparent signs of overt and
persistent damage to muscles undergoing stretching. The current study extends our
observations of the stretching phenomenon to a more clinically relevant
moderately severe SCI animal model. The results are in agreement with our
previous findings and further demonstrate that spinal cord locomotor circuitry is
especially vulnerable to the negative effects of stretching at chronic time
points. While the clinical relevance of this phenomenon remains unknown, we
speculate that stretching may contribute to the lack of locomotor recovery in
some patients.
PMID- 27196005
TI - PEEK Cages in Lumbar Fusion: Mid-term Clinical Outcome and Radiologic Fusion.
AB - STUDY DESIGN: Historical cohort analysis. OBJECTIVE: Evaluation of mid-term
clinical outcome and radiologic fusion in patients treated with a
polyetheretherketone (PEEK) cage. SUMMARY OF BACKGROUND DATA: Anterior lumbar
interbody fusion can be a good alternative in chronic low back pain when
conservative treatment fails. Although titanium alloy cages give good fusion
rates, disadvantages are the subsidence of the cage in the adjacent vertebrae and
problematic radiologic evaluation of fusion. PEEK cages such as the Synfix-LR
cage (Synthes, Switzerland) should overcome this. METHODS: From December 2004
until August 2007, 95 patients (21 double-level and 74 single-level) with
degenerative disk disease from L3-S1 were operated by a single surgeon. The
number of reoperations was counted. Radiologic fusion on computed tomography scan
was scored with a new scoring system by an independent skeletal radiologist and
orthopedic surgeon. Intraobserver agreement and specificity were assessed.
Clinical improvement was measured by the Oswestry Disability Index score. The
median duration of clinical follow-up was 47.7 months (range 29.9-61.6). RESULTS:
In total, 26 patients were reoperated after a median period of 17.6 months (range
6.7-46.9) of the initial surgery. Of the 26 patients, 23 patients (18 single
level and 5 double-level) were reoperated for symptomatic pseudarthrosis. A
moderate agreement (kappa=0.36) and a specificity of 70% and 37% for the
radiologist and orthopedic surgeon, respectively, were found for scoring bony
bridging. The Oswestry Disability Index score improved after initial surgery;
however, reoperated patients reported a significantly lower improvement.
CONCLUSIONS: A high number of reoperations after an anterior lumbar interbody
fusion procedure with the Synfix-LR cage were found, mainly because of
symptomatic pseudarthrosis. The absence of posterior fixation in combination with
lower stiffness and the hydrophobic characteristics of PEEK probably lead to
insufficient initial stability, creating suboptimal conditions for bony bridging,
and thus solid fusion. The proposed ease of the evaluation of radiologic fusion
could not be supported. Clinicians should be alert on pseudarthrosis when
patients treated with the Synfix-LR cage presented with persisted or aggravated
complaints.
PMID- 27196007
TI - Emotional Disorders and the Wounded Self.
PMID- 27196006
TI - Influenza vaccination in the Americas: Progress and challenges after the 2009
A(H1N1) influenza pandemic.
AB - BACKGROUND: There has been considerable uptake of seasonal influenza vaccines in
the Americas compared to other regions. We describe the current influenza
vaccination target groups, recent progress in vaccine uptake and in generating
evidence on influenza seasonality and vaccine effectiveness for immunization
programs. We also discuss persistent challenges, 5 years after the A(H1N1) 2009
influenza pandemic. METHODS: We compiled and summarized data annually reported by
countries to the Pan American Health Organization/World Health Organization
(PAHO/WHO) through the WHO/UNICEF joint report form on immunization, information
obtained through PAHO's Revolving Fund for Vaccine Procurement and communications
with managers of national Expanded Programs on Immunization (EPI). RESULTS: Since
2008, 25 countries/territories in the Americas have introduced new target groups
for vaccination or expanded the age ranges of existing target groups. As of 2014,
40 (89%) out of 45 countries/territories have policies established for seasonal
influenza vaccination. Currently, 29 (64%) countries/territories target pregnant
women for vaccination, the highest priority group according to WHO's Stategic
Advisory Group of Experts and PAHO/WHO's Technical Advisory Group on Vaccine
preventable Diseases, compared to only 7 (16%) in 2008. Among 23 countries
reporting coverage data, on average, 75% of adults >=60 years, 45% of children
aged 6-23 months, 32% of children aged 5-2 years, 59% of pregnant women, 78% of
healthcare workers, and 90% of individuals with chronic conditions were
vaccinated during the 2013-14 Northern Hemisphere or 2014 Southern Hemisphere
influenza vaccination activities. Difficulties however persist in the estimation
of vaccination coverage, especially for pregnant women and persons with chronic
conditions. Since 2007, 6 tropical countries have changed their vaccine
formulation from the Northern to the Southern Hemisphere formulation and the
timing of their campaigns to April-May following the review of national evidence.
LAC countries have also established an official network dedicated to evaluating
influenza vaccines effectiveness and impact. CONCLUSION: Following the
A(H1N1)2009 influenza pandemic, countries of the Americas have continued their
efforts to sustain or increase seasonal influenza vaccine uptake among high risk
groups, especially among pregnant women. Countries also continued strengthening
influenza surveillance, immunization platforms and information systems,
indirectly improving preparedness for future pandemics. Influenza vaccination is
particularly challenging compared to other vaccines included in EPI schedules,
due to the need for annual, optimally timed vaccination, the wide spectrum of
target groups, and the limitations of the available vaccines. Countries should
continue to monitor influenza vaccination coverage, generate evidence for
vaccination programs and implement social communication strategies addressing
existing gaps.
PMID- 27196009
TI - Cognitive Hypnotherapy for Accessing and Healing Emotional Injuries for Anxiety
Disorders.
AB - Although anxiety disorders on the surface may appear simple, they often represent
complex problems that are compounded by underlying factors. For these reasons,
treatment of anxiety disorders should be individualized. This article describes
cognitive hypnotherapy, an individual comprehensive treatment protocol that
integrates cognitive, behavioral, mindfulness, psychodynamic, and hypnotic
strategies in the management of anxiety disorders. The treatment approach is
based on the self-wounds model of anxiety disorders, which provides the rationale
for integrating diverse strategies in the psychotherapy for anxiety disorders.
Due to its evidence-based and integrated nature, the psychotherapy described here
provides accuracy, efficacy, and sophistication in the formulation and treatment
of anxiety disorders. This model can be easily adapted to the understanding and
treatment of other emotional disorders.
PMID- 27196008
TI - Anxiety and the Wounded Self.
AB - The self-wounds model of anxiety disorders based on the work of Wolfe (2005,
2006) is delineated here. The focal point of this model is the concept of wounded
self or early unresolved emotional injuries. According to this view, anxiety
disorders represent a chronic struggle with painful experiences. These emotional
experiences are driven by two interrelated layers of psychological processes
which arise from the wounded self. While the first layer of this process entails
conscious awareness of symptoms resulting from cognitive distortions, the second
layer comprises implicit or unconscious interpretations of what the symptoms mean
to the patient. This article describes the components of the self-wounds model of
anxiety disorders, which offers an integrative perspective on the development,
onset, maintenance, and treatment of anxiety disorders. This model can be easily
adapted to the understanding and treatment of other emotional disorders.
PMID- 27196011
TI - The Wounded Self in Trauma Treatment.
AB - The potential role of hypnosis in the treatment of trauma is both venerable and
underappreciated. This article underscores the importance of the wounded-self
concept by proposing a Kohutian perspective complimentary to the cognitively
driven model of Alladin (2014a, 2014b) discussed elsewhere in this issue. It
explores selected topics that demonstrate (1) the importance of considering the
wounds to the sense of self experienced by trauma victims and their implications
for individualization of treatment in planning a psychotherapy; (2) the
possibility of enhancing access to memories using shame alleviating techniques
with minimal suggestive properties; (3) the use of hypnosis to facilitate less
disruptive processing of traumatic materials; and (4) the importance of hypnosis
in enhancing the safety of the trauma patient between sessions. Absent
contraindications, the circumspect use of hypnosis as a facilitator of trauma
treatment deserves consideration for inclusion in the mainstream of contemporary
trauma treatment.
PMID- 27196010
TI - Attachment-Focused Psychotherapy and the Wounded Self.
AB - The concept of the "wounded self" (Wolfe, 2005) offers an integrative theoretical
framework for self-wounds and their developmental origins. Alladin (2013, 2014,
2016) integrated hypnotherapy into this model to comprehensively address the
unconscious protective mechanisms and maladaptive conscious cognitive strategies
of the wounded self. The purpose of this article is to propose how an attachment
focused psychotherapy could be utilized in working with the wounded self. With
its emphasis on developmental maturation through the frame of the attachment
relationship, attachment theory is well-positioned to offer conceptual and
treatment insights in treating the wounded self. E. B. Spiegel's (2016)
attunement, representation, and mentalization approach to attachment-focused
psychotherapy described how hypnosis can be utilized across attachment processes
of attunement, representation, and mentalization toward structural maturation and
developmental repair of patients with histories of complex relational trauma. In
this article, the attunement, representation, and mentalization attachment
approach and associated interventions are further explicated in the treatment of
self-wounds in the borderline and narcissistic spectrums of personality
organization. These principles of conceptualization and treatment interventions
are then applied in a case example.
PMID- 27196012
TI - Resistance to Healing the Wounded Self: A Psychodynamic Rationale for a Targeted
Treatment.
AB - The purpose of this article is to shed light on the psychodynamic complexities of
the resistance encountered in treating the wounded self. Conceptualized on the
basis of ego state therapy it offers a rationale for the use of precision
targeted abreactive hypnosis to treat these patients. Grounded in evidence-based
efficacy research, the authors also briefly explain how to target treatment in
wounded-self patients.
PMID- 27196013
TI - Resistance and the Wounded Self: Self-Protection in Service of the Ego.
AB - This article describes the phenomenon of therapeutic resistance and reactance
from the perspective of the wounded self. The concept of the wounded self is
first presented as it is applied to anxiety and depression and then extended to
anger applications. The wounded self can be seen as deeply embedded in human
cognitive structures (or core beliefs) of tacit knowledge. The operation of tacit
knowledge is then described in everyday life as well as in the therapeutic
process. Then there is a discussion of the role of resistance and psychological
reactance in psychotherapy with implications for the therapeutic alliance.
Finally, a case of a resistant client with anger issues using the cognitive
hypnotherapy model is presented.
PMID- 27196014
TI - The Wounded Healer: From the Other Side of the Couch.
AB - While concern for the "wounds" of the patient are paramount in clinical practice,
there is both historical and contemporary literature on wounding in the
professional. In what follows, these perspectives are presented. Issues related
to transference/counter-transference, the "wounded healer," vicarious
traumatization, nocebo potential in informed consent, and the use of treatment as
crucible for one's own development as clinician are discussed.
PMID- 27196015
TI - Impact of a rapid multiplex polymerase chain reaction blood culture
identification technology on outcomes in patients with vancomycin-resistant
Enterococcal bacteremia.
AB - BACKGROUND: Early appropriate antibiotic selection is associated with favorable
clinical outcomes. We evaluated the clinical impact of rapid detection of
vancomycin-resistant Enterococcal bacteremia (VREB) by the FilmArray blood
culture identification (BCID) panel coupled with antimicrobial stewardship
program (ASP) interventions. METHODS: Hospitalized adult patients with VREB
identified by conventional methods (CM) were compared to patients with VREB
identified by BCID. Real time alerts of BCID results were provided to the ASP for
intervention. Outcomes were compared between groups. RESULTS: Sixty-eight
patients with VREB were included (CM, n = 45; BCID, n = 23). No significant
differences in demographics, pre-existing conditions, or clinical characteristics
were observed. Significant reductions were demonstrated between CM and BCID
groups in median hours to organism identification (47.7 versus 18.2, p < 0.001),
to identification of vancomycin resistance from time of culture positivity (50.1
versus 1.2, p < 0.001), and time to effective therapy (50.3 versus 20.8, p <
0.001). Differences between CM and BCID did not reach statistical significance
for mortality (35.6% versus 26.1%), 30-day readmission rate (31.0% versus 17.6%),
intensive care length of stay [LOS] (8.0 versus 7.0 days), post-culture LOS (14.6
versus 14.1 days) or median hospital costs per patient ($95,826 versus $53,195).
CONCLUSIONS: In patients with VREB, rapid organism and resistance detection by
the BCID panel with ASP intervention significantly reduced time to initiation of
effective therapy by over 24 hours. Non-significant improvements in clinical
outcomes were observed. Additional studies are needed to determine the full
implications of BCID technology on patient outcome.
PMID- 27196016
TI - Deletion of Opg Leads to Increased Neovascularization and Expression of
Inflammatory Cytokines in the Lumbar Intervertebral Disc of Mice.
AB - STUDY DESIGN: Neovascularization and expression of inflammatory cytokines were
examined in Osteoprotegerin (Opg) knockout (KO) mice that show intervertebral
disc (IVD) degeneration. OBJECTIVE: The aim of this study was to clarify the
pathological changes in lumbar IVD degeneration in Opg KO mice. SUMMARY OF
BACKGROUND DATA: Osteoporosis is a controversial risk factor for IVD
degeneration. Deletion of Opg resulted in IVD degeneration in mice.
Neovascularization and inflammatory cytokines are key factors in IVD
degeneration. METHODS: Opg KO mice and their wild-type (WT) littermates were
euthanized. Lumbar IVDs were harvested. Safranin O/Fast Green staining was
performed to examine the pathological changes. Microcomputed tomographic (micro
CT) analysis was performed to determine the structural changes at the junction of
lumbar IVD cartilage and vertebrae. Tartrate-resistant acid phosphatase (TRAP)
staining was performed to evaluate osteoclast formation. Protein expression of
vascular endothelial growth factor A (VEGF-A), CD31, VE-cadherin, CD 34,
interleukin-1beta (IL-1beta), and tumor necrosis factors alpha (TNF-alpha) were
analyzed by immunohistochemistry (IHC) assays. Gene expressions of IL-1beta, IL
6, and TNF-alpha were analyzed by real-time polymerase chain reaction (RT-PCR).
RESULTS: In 12-week-old Opg KO mice, new bone was formed in the endplate
cartilage of lumbar IVDs and this became more obvious in 24-week-old Opg KO mice.
Three-dimensional (3D) MUCT reconstruction analyses showed that the edges of the
L4 and L5 vertebrae were rugged with bone marrow cavities in it. Protein
expression of VEGF-A, CD31, VE-cadherin, and CD34 was increased in the endplate
and growth plate of lumbar IVDs of Opg KO mice. Gene expression of IL-1beta, IL
6, and TNF-alpha as well as protein expression of IL-1beta and TNF-alpha were
highly expressed in the lumbar IVDs of Opg KO mice. CONCLUSION: Deletion of Opg
leads to increased neovascularization and expression of inflammatory cytokines in
the lumbar disc in Opg KO mice, which may play important roles in IVD
degeneration. LEVEL OF EVIDENCE: N/A.
PMID- 27196017
TI - Predicting Cervical Alignment Required to Maintain Horizontal Gaze Based on
Global Spinal Alignment.
AB - STUDY DESIGN: A retrospective cohort. OBJECTIVE: The aim of this study was to
investigate the cervical alignment necessary for the maintenance of horizontal
gaze that depends on underlying thoracolumbar alignment. SUMMARY OF BACKGROUND
DATA: Cervical Sagittal Curve (CC) is affected by thoracic and global alignment.
Recent studies suggest large variability in normative CC ranging from lordotic to
kyphotic alignment. No previous studies have assessed the effect of global spinal
alignment on CC in maintenance of horizontal gaze. METHODS: Patients without
previous history of spinal surgery and able to maintain their horizontal gaze
while undergoing full body imaging were included. Patients were stratified on the
basis of thoracic kyphosis (TK) into (<30, 30-40, 40-50, and >50) and then by SRS
Schwab sagittal vertical axis (SVA) modifier into (posterior alignment SVA <0,
aligned 0-50, and malaligned >50 mm). Cervical alignment was assessed among SVA
grade in TK groups. Stepwise linear regression analysis was applied on random
selection of 60% of the population. A simplified formula was developed and
validated on the remaining 40%. RESULTS: In each TK group (n = 118, 137, 125,
197), lower CC (C2-C7) was significantly more lordotic by increased Schwab SVA
grade. T1 slope and cervical SVA significantly increased with increased
thoracolumbar (C7-S1) SVA. Upper CC (C0-C2) and mismatch between T1 slope and CC
(T1-CL) were similar. Regression analysis revealed LL minus TK (LL-TK) as an
independent predictor (r = 0.640, r = 0.410) with formula: CC = 10- (LL-TK)/2.
Validation revealed that the absolute difference between the predicted CC and the
actual CC was 8.5 degrees . Moreover, 64.2% of patients had their predicted C2-C7
values within 10 degrees of the actual CC. CONCLUSION: Cervical kyphosis may
represent normal alignment in a significant number of patients. However, in
patients with SVA >50 and greater thoracic kyphosis, cervical lordosis is needed
to maintain the gaze. Cervical alignment can be predicted from underlying TK and
lumbar lordosis, which may be clinically relevant when considering correction for
thoracolumbar or cervical deformityLevel of Evidence: 3.
PMID- 27196018
TI - Lower Lumbar Segmental Arteries Can Intersect Over the Intervertebral Disc in the
Oblique Lateral Interbody Fusion Approach With a Risk for Arterial Injury:
Radiological Analysis of Lumbar Segmental Arteries by Using Magnetic Resonance
Imaging.
AB - STUDY DESIGN: A retrospective radiological study on vascular anatomy. OBJECTIVE:
The aim of this study was to evaluate the anatomical and radiological features of
lumbar segmental arteries with respect to the surgical field of the oblique
lateral interbody fusion (OLIF) approach by using magnetic resonance imaging
(MRI). SUMMARY OF BACKGROUND DATA: OLIF surgery restores disc height and enables
indirect decompression of narrowed spinal canals through an oblique lateral
approach to the spine, by using a specially designed retractor. In a minimal
surgical field, injuring segmental arteries can cause massive hemorrhage.
METHODS: We reviewed 272 lumbar MRIs. In the sagittal images, the intersection of
one-third of the anterior and median lines of the intervertebral disc (IVD) was
considered the center of the virtually installed OLIF retractor. The
cephalad/caudal distances from the center and branch angles of segmental arteries
to the longitudinal axes of the aorta were measured to determine whether the
segmental arteries run into the surgical area. Statistical significance was set
at P < 0.05. RESULTS: The branch angles of segmental arteries were significantly
acute (<=90 degrees ) in L1-L3 arteries and significantly blunt (>90 degrees ) in
L4 and L5 arteries. The average distance to the center of the caudal adjacent IVD
was significantly larger, and there were generally low possibilities for the
existence of segmental arteries below half of the vertebral height, where the
surgeons can install fixation pins with ease and safety. Among the lumbar
segmental arteries, L5 showed specific characteristics with significant
deviation, a four times (4.1% vs. L1-L3 segmental arteries) higher adjacency
rate, and a two-fifth (38.6% vs. 100%) lower existence rate. CONCLUSION:
Segmental arteries can be involved in the surgical field of OLIF especially in
the lower lumbar spine level of L4 and L5 arteries, which can directly run across
IVDs. L5 segmental arteries can also be iliolumbar arteries that have an abnormal
trajectory by nature. LEVEL OF EVIDENCE: 4.
PMID- 27196019
TI - Morbid Obesity and Lumbar Fusion in Patients Older Than 65 Years: Complications,
Readmissions, Costs, and Length of Stay.
AB - STUDY DESIGN: Retrospective database review. OBJECTIVE: The aim of this study was
to determine how both morbid obesity (body mass index [BMI] >=40) and obesity
(BMI 30-39.9) modify 90-day complication rates and 30-day readmission rates
following 1- to 2-level, primary, lumbar spinal fusion surgery for degenerative
pathology in an elderly population. SUMMARY OF BACKGROUND DATA: In the United
States, both obese and elderly patients are known to have increased risk of
complication, yet both demographics are increasingly undergoing elective lumbar
spine surgery. METHODS: Medicare data from 2005 to 2012 were queried for patients
who underwent primary 1- to 2-level posterolateral lumbar fusion for degenerative
pathology. Elderly patients undergoing elective surgery were selected and
separated into three cohorts: morbidly obese (BMI >=40; n = 2594), obese (BMI
>=30, < 40] (n = 5534), and nonobese controls (n = 48,210). Each pathologic
cohort was matched to a unique subcohort from the control population. Ninety-day
medical and surgical complication rates, 30-day readmission rates, length of stay
(LOS), and hospital costs were then compared. RESULTS: Both morbidly obese and
obese patients had significantly higher odds of experiencing any one major
medical complication (odds ratio [OR] 1.79; P < 0.0001 and OR 1.32; P < 0.0001,
respectively). Wound infection (OR 3.71; P < 0.0001 and OR 2.22; P < 0.0001) and
dehiscence (OR 3.80; P < 0.0001 and OR 2.59; P < 0.0001) rates were increased in
morbidly obese and obese patients, respectively. Thirty-day readmissions, length
of stay, and in-hospital costs were increased, with patients with morbid obesity
incurring charges almost $8000 greater than controls. CONCLUSION: Patients with
both obesity and morbid obesity are at significantly increased risk of major
medical complications, wound complications, and 30-day readmissions.
Additionally, both groups of patients have significantly increased LOS and
hospital costs. Both obese and morbidly obese patients should be appropriately
counseled of these risks and must be carefully selected to reduce postoperative
morbidity. LEVEL OF EVIDENCE: 3.
PMID- 27196021
TI - Evolution of Muscles Dysfunction From Myofascial Pain Syndrome Through Cervical
Disc-Root Conflict to Degenerative Spine Disease.
AB - STUDY DESIGN: Comparative clinical and neurophysiological study in three groups
of patients with general diagnosis of neck pain. OBJECTIVE: To determine symptoms
of muscles dysfunction in patients with myofascial pain syndrome, disc-root
conflict, and degenerative changes at cervical spine. SUMMARY AND BACKGROUND
DATA: The explanation for cervical pain origin should be based on results from
chosen clinical and neurophysiological studies in correlation with neuroimaging
findings. METHODS: Three subgroups of patients (N = 60 each) with certain
symptoms were examined. Clinical evaluation included examination of pain
intensity in VAS scale, muscle strength in Lovett scale, evaluation of reflexes,
Spurling test, assessment of active trigger points (TRPs), and superficial
sensory perception. Neurophysiological testing included surface electromyography
at rest (rEMG) and during maximal contraction (mcEMG) as well as
electroneurography (ENG). RESULTS: The greatest pain intensity with its
decentralization phenomenon occurred in patients with disc-root conflict.
Significant decrease of muscle strength was detected in trapezius muscle in
myofascial pain syndrome subgroup. Weakness of abductor pollicis brevis muscle in
patients with disc-root conflict differed them from patients with myofascial pain
syndrome (P = 0.05). Patients with disc-root conflict and degenerative spine
disease showed differences (P = 0.03) in reflexes evoked from triceps brachii.
Positive Spurling symptom was most common (56.7%) in disc-root conflict subgroup.
TRPs in trapezius muscle were found in all patients with myofascial pain
syndrome. Results of rEMG amplitude measurements differed patients at P = 0.05.
Only mcEMG recording from abductor pollicis brevis muscle allows for their clear
cut differentiation. ENG studies showed abnormalities in patients with disc-root
conflict and degenerative spine disease (P from 0.05 to 0.02). Positive
correlation of VAS, TRPs, and rEMG as well as Lovett scores, mcEMG, and ENG
results was found. CONCLUSION: Only applying several clinical and
neurophysiological tests together makes it possible to differentiate patients
with different etiological reasons of pain at cervical spine. LEVEL OF EVIDENCE:
4.
PMID- 27196020
TI - Lumbar Degenerative Spondylolisthesis: Changes in Surgical Indications and
Comparison of Instrumented Fusion With Two Surgical Decompression Procedures.
AB - STUDY DESIGN: Single-center retrospective case series. OBJECTIVE: To compare
outcomes of instrumented fusion and two methods of decompression for degenerative
spondylolisthesis. SUMMARY OF BACKGROUND DATA: There is no consensus on the
surgical indications or optimum techniques for lumbar degenerative
spondylolisthesis. METHODS: We analyzed the data of 140 patients treated by
fusion (n = 80; mean follow-up, 77.9 months) or decompression (n = 60; mean
follow-up, 38.0 months) and examined changes in surgical indications over a 12
year period. We compared the outcomes of instrumented fusion with the outcomes of
two decompression techniques, the first employing a unilateral approach for
bilateral decompression and the second employing a bilateral approach for
contralateral decompression, with contralateral foraminal decompression as
needed. Postoperative evaluation was made at the final follow-up visit beginning
in 2007 by analyzing patient interviews and neurological examination data. We
compared results with the Japanese Orthopedic Association symptom score before
surgery and at final follow-up. RESULTS: Surgical indications for fusion narrowed
over time, with fusion used less frequently and decompression used more
frequently. Similar decreases in clinical symptoms, including low back pain, were
achieved with all methods. In the decompression groups, preoperative slip
distance and instability, and postoperative slip progression or development of
instability, did not correlate significantly with clinical outcome. Slip
progression occurred in 8 of 10 levels in patients with preoperative translation
>=5 mm, but these patients showed no increase in instability, defined as
translation >= 2 mm, at final follow-up. CONCLUSION: Our findings raise a
question about the value of the radiologic criteria for performing fusion used in
the late period, namely translation >=5 mm and/or rotation >= 10 degrees . If
discogenic pain is excluded, decompression alone may be suitable even for
patients with severe low back pain and translation >=5 mm. LEVEL OF EVIDENCE: 4.
PMID- 27196022
TI - Impact of Race and Insurance Status on Surgical Approach for Cervical Spondylotic
Myelopathy in the United States: A Population-Based Analysis.
AB - STUDY DESIGN: Retrospective cohort study. OBJECTIVE: The aim of the study was to
assess factors potentially impacting the operative approach chosen for cervical
spondylotic myelopathy (CSM) patients on a nationwide level. SUMMARY OF
BACKGROUND DATA: CSM is one of the most common spinal disorders treated by spine
surgeons, with operative management consisting of three approaches: anterior
only, posterior-only, or combined anterior-posterior. It is unknown whether the
operative approach used differs based on patient demographics and/or insurance
status. METHODS: The nationwide inpatient sample from 2001 to 2010 was used for
analysis. Admissions having a diagnosis code of 721.1 and a primary procedure
code of 81.02/81.03, 81.32/81.33, 81.02/81.03, or 81.32/81.33 (combined anterior
and posterior fusion/refusion at C2 or below), and 3.09 (decompression of the
spinal canal including laminoplasty) were included. Analysis was adjusted for
several variables including patient age, race, sex, primary payer for care, and
admission source/type. RESULTS: Multivariate analyses revealed that non-white
race (black [odds ratio, OR = 1.39; 95% confidence interval, CI = 1.32-1.47; P <
0.0001], Hispanic [OR = 1.51; 95% CI = 1.38-1.66; P < 0.0001], Asian/Pacific
Islander [OR = 1.40; 95% CI = 1.15-1.70; P = 0.0007], Native American [OR = 1.33;
95% CI = 1.02-1.73; P = 0.037]) and increasing age (OR = 1.03; P < 0.0001) were
predictive of receiving posterior-only approaches. Female sex (OR = 1.39; 95% CI
= 1.34-1.43; P < 0.0001), private insurance (OR = 1.19; 95% CI = 1.14-1.25; P <
0.0001), and nontrauma center admission type (OR = 1.29-1.39; 95% CI = 1.16-1.56;
P < 0.0001) were independently predictive of increased likelihood of receiving an
anterior-only approach. Hispanic race (OR = 1.35; 95% CI = 1.14-1.59; P = 0.0004)
and admission source (another hospital [OR = 1.65; 95% CI = 1.20-2.27; P =
0.0023], other health facility [OR = 1.68; 95% CI = 1.13-2.51; P = 0.011]) were
the only variables predictive of increased combined anterior-posterior
approaches; Native American race (OR = 0.32; 95% CI = 0.13-0.78; P = 0.013)
decreased the likelihood of a combined anterior-posterior approach. CONCLUSION:
Private insurance status, female sex, and white race independently predict
receipt of anterior-only CSM approaches, whereasd non-white race (black,
hispanic, Asian/Pacific Islander, Native American) and nonprivate insurance
predict receiving posterior-only CSM approaches. Given recent literature
demonstrating posterior-only approaches as predictive of increased mortality in
CSM (Kaye et al, 2015), our findings indicate that for CSM patients, non-white
race may significantly increase mortality risk, whereas private insurance status
may significantly decrease the risk of mortality. Further prospective study will
be needed to more definitively address these issues. LEVEL OF EVIDENCE: 3.
PMID- 27196023
TI - Function and Clinical Symptoms are the Main Factors that Motivate Thoracolumbar
Adult Scoliosis Patients to Pursue Surgery.
AB - STUDY DESIGN: A retrospective two-cohort comparative analysis of data collected
prospectively in an adult deformity multicenter database. OBJECTIVE: The aim of
this study was to define the radiographic and clinical parameters that motivate
adult thoracolumbar (TL) scoliosis patients to undergo surgery. SUMMARY OF
BACKGROUND DATA: TL curves are a primary concern in adulthood, and it is
necessary to establish why patients are motivated to seek surgical intervention.
METHODS: Patients with only main TL/lumbar (TL/L) idiopathic curves were
included, defined as Schwab type L curves and Schwab type D curves in which
thoracic curves were <40 degrees and the difference between the TL/L-MT Cobb was
>=15 degrees . Demographic data, health-related quality of life (HRQOL)
questionnaires, and 14 different radiological preoperative parameters were
assessed. Surgical versus conservative cohorts were compared with the Student t
test, Chi-square, and the Mann-Whitney U test. RESULTS: A total of 184 patients
met the inclusion criteria. Ninety-four were treated conservatively (C), while 90
underwent surgery (S). No differences were found between groups in terms of
demographic or radiographic preoperative data. Age (C: 57.5 +/- 18 vs. S: 54.2 +/
18 yr; P = 0.18), coronal TL/L Cobb (C: 52.3 degrees +/- 15 vs. S: 50.6 degrees
+/- 13; P = 0.61), and sagittal alignment (SVA C: 3.1 cm +/- 5.7 vs. S: 4.9 cm
+/- 6.4; P = 0.054) were similar. No differences were found in pelvic parameters
(pelvic incidence, pelvic tilt, sacral slope), apical translation, coronal
balance, lumbar rotatory subluxation, or lumbar lordosis. However, significant
differences were found in HRQOL questionnaires. Surgical patients initially had
worse COMI scores (C: 4.6 +/- 2.3 vs. S: 6 +/- 2.3; P = 0.001), worse ODI scores
(C: 27.7 +/- 16 vs. S: 38.9 +/- 20; P = 0.000), worse SF-36 physical (C: 40.9 +/-
8.5 vs. S: 36 +/- 9.5; P = 0.001) and mental scores (C: 45.8 +/- 12 vs. S: 42.2
+/- 11.8; P = 0.032), and worse SRS-22 scores in all domains with mean values
under 3.1 points (range = 2.4-3.1). In both SF-36 physical and SRS-22 function,
differences between groups were higher than the minimum clinically important
difference. CONCLUSION: After analyzing a large multicenter database, we found
that only clinical factors-particularly function impairment-motivated adult TL
scoliosis patients to undergo surgery. Demographic and radiographic parameters
did not seem to influence decision-making. LEVEL OF EVIDENCE: 3.
PMID- 27196025
TI - Comparison of Tuberculous and Brucellar Spondylitis on Magnetic Resonance Images.
AB - STUDY DESIGN: Retrospective cross-sectional study. OBJECTIVE: To investigate the
differences between tuberculous spondylitis (TS) and brucellar spondylitis (BS)
on magnetic resonance images (MRI). SUMMARY OF BACKGROUND DATA: Both TS and BS
are common spinal affections and can cause deformities and permanent neurologic
deficiencies without prompt diagnosis and treatment. However, differentiating the
types of spondylitis clinically and radiographically is challenging. Despite the
diagnosis studies on spine infection throughout the literature, the outcome has
not been established. Our study about the different characteristics between TS
and BS on MRI may be helpful. METHODS: Eighteen patients with TS and 26 with BS
were included in this study. MRI images were obtained and analyzed. For
statistical analysis, the chi test was performed. Statistical significance was
defined as P < 0.05. RESULTS: There were statistically significant differences
between TS and BS on the MRI images: (i) subligamentous spread to three or more
vertebral levels [TS 54% (7/13) vs. BS 8% (1/12)]; (ii) subligamentous spread to
fewer than three vertebral levels [23% (3/13) vs. 58% (7/12)]; (iii) thoracic
spine involvement [50% (9/18) vs. 4% (1/26)]; and lumbar spine involvement [22%
(4/18) vs. 77% (20/26)]; (iv) abnormal signal from the vertebral disc on T2
weighted images [33% (7/21) vs. 85% (30/35)]; (v) focal or fan-shaped
hyperintense signals on middle sagittal fat-suppressed weighted images [14%
(7/50) vs. 50% (31/62)] and especially fan-shaped hyperintense signal [0% (0/50)
vs. 23% (14/62)]; (vi) spinal kyphosis [22% (11/50) vs. 3% (2/62)]; (vii)
vertebral collapse [42% (21/50) vs. 2% (1/62)]; (viii) peridiscal bone
destruction [22% (11/50) vs. 44% (27/62)]; and (ix) psoas abscesses [6% (3/50)
vs. 0% (0/62)]. CONCLUSION: MRI is a sensitive imaging technique and can clearly
define the different features between TS and BS, so it should be the first choice
for imaging in the diagnosis of spondylodiscitis. LEVEL OF EVIDENCE: 4.
PMID- 27196026
TI - Effect of Polyether Ether Ketone on Therapeutic Radiation to the Spine: A Pilot
Study.
AB - STUDY DESIGN: Cadaveric model. OBJECTIVES: To compare the effect of PEEK versus
conventional implants on scatter radiation to a simulated tumor bed in the spine
SUMMARY OF BACKGROUND DATA.: Given the highly vasculature nature of the spine, it
is the most common place for bony metastases. After surgical treatment of a
spinal metastasis, adjuvant radiation therapy is typically administered.
Radiation dosing is primarily limited by toxicity to the spinal cord. The scatter
effect caused by metallic implants decreases the accuracy of dosing and can
unintentionally increase the effective dose seen by the spinal cord. This
represents a dose-limiting factor for therapeutic radiation postoperatively.
METHODS: A cadaveric thorax specimen was utilized as a metastatic tumor model
with two separate three-level spine constructs (one upper thoracic and one lower
thoracic). Each construct was examined independently. All four groups compared
included identical posterior instrumentation. The anterior constructs consisted
of either: an anterior polyether ether ketone (PEEK) cage, an anterior titanium
cage, an anterior bone cement cage (polymethyl methacrylate), or a control group
with posterior instrumentation alone. Each construct had six thermoluminescent
detectors to measure the radiation dose. RESULTS: The mean dose was similar
across all constructs and locations. There was more variability in the upper
thoracic spine irrespective of the construct type. The PEEK construct had a more
uniform dose distribution with a standard deviation of 9.76. The standard
deviation of the others constructs was 14.26 for the control group, 19.31 for the
titanium cage, and 21.57 for the cement (polymethyl methacrylate) construct.
CONCLUSION: The PEEK inter-body cage resulted in a significantly more uniform
distribution of therapeutic radiation in the spine when compared with the other
constructs. This may allow for the application of higher effective dosing to the
tumor bed for spinal metastases without increasing spinal cord toxicity with
either fractionated or hypofractionated radiotherapy. LEVEL OF EVIDENCE: N/A.
PMID- 27196027
TI - Acute Stress and Perceptual Load Consume the Same Attentional Resources: A
Behavioral-ERP Study.
AB - Stress and perceptual load affect selective attention in a paradoxical manner.
They can facilitate selectivity or disrupt it. This EEG study was designed to
examine the reciprocal relations between stress, load and attention. Two groups
of subjects, one that performed the Trier Social Stress Test (TSST), and a
control group, were asked to respond to a target letter under low and high
perceptual load in the absence or presence of a distractor. In the control group,
the distractor increased response times (RTs) for high and low load. In the TSST
group, distractor increased RTs under low load only. ERPs showed that
distractor's presentation attenuated early visual P1 component and shortened its
latency. In the TSST group, distractor reduced P1 component under high load but
did not affect its latency. Source localization demonstrated reduced activation
in V1 in response to distractors presence in the P1 time window for the TSST
group compared to the control group. A behavioral replication revealed that in
the TSST group distractors were less perceived under high load. Taken together,
our results show that stress and perceptual load affect selectivity through the
early stages of visual processing and might increase selectivity in a manner that
would block conscious perception of irrelevant stimuli.
PMID- 27196028
TI - Asymptomatic Renal Pseudoaneurysm Following Laparoscopic Partial Nephrectomy.
AB - A 66-year-old-woman underwent a laparoscopic left partial nephrectomy for a 3 cm
partially exophytic tumor arising from the posterior interpolar region of the
left kidney. Follow-up surveillance computed tomography 6 months following the
surgery found an incidental 4 cm lesion in the left kidney that is avidly
enhanced in the arterial phase, consistent with a renal pseudoaneurysm. She was
completely asymptomatic. Renal pseudoaneurysm is a rare complication following
minimally invasive nephron-sparing surgery and typically presents in the early
postoperative period with gross hematuria. However, a large renal pseudoaneurysm
may also present as an asymptomatic incidental finding and is amenable to
angioembolization.
PMID- 27196029
TI - Improvements in Patient-reported Sexual Function After Microsurgical
Varicocelectomy.
AB - OBJECTIVE: To evaluate whether varicocelectomy improves both serum testosterone
and sexual function, as assessed by the Male Sexual Health Questionnaire (MSHQ).
METHODS: A retrospective chart review of patients who have undergone
varicocelectomy and had both pre- and postoperative MSHQ was performed. The MSHQ
is a clinically validated questionnaire that assesses erectile function,
ejaculatory function, and sexual satisfaction, with higher scores indicating
better function. Clinical parameters pre and postvaricocelectomy were compared
with paired t test. RESULTS: Thirty-four patients met study criteria. Seventeen
patients (50%) presented for infertility, and the remaining 13 had symptomatic
varicocele associated with hypogonadism. Average postsurgical follow-up was 20.6
+/- 12.5 months. The majority of men in the study had bilateral varicoceles and
left grade III varicoceles. Significant improvements in the total MSHQ score (3.9
+/- 8.7, P = .027), the MSHQ erectile function (1.2 +/- 2.3, P = .007), and the
MSHQ ejaculatory function (1.4 +/- 3.1, P = .018) domains were seen. Fifteen
(44%) men saw improvement in their erectile function and 18 (53%) saw improvement
in ejaculatory function. The improvement in serum testosterone was also
significant (136.0 +/- 201.3 ng/dL, P = .007). CONCLUSION: Microsurgical repair
of varicocele not only improves testosterone, but also improves patient-reported
erectile and ejaculatory functions. Patients can confidently be counseled that
varicocelectomy has the potential to improve sexual function along with serum
testosterone.
PMID- 27196030
TI - 30-Day Morbidity and Reoperation Following Midurethral Sling: Analysis of 8772
Cases Using a National Prospective Database.
AB - OBJECTIVE: To determine 30-day complications, risk of readmission, and
reoperation for midurethral slings (MUS). METHODS: The National Surgical Quality
Improvement Program database from 2006 to 2013 was queried for MUS alone by
excluding concurrent reconstructive, urologic, or gynecologic procedures. We
assessed baseline characteristics, 30-day perioperative outcomes and 30-day
readmission. Logistic regression analysis identified risk factors for the
frequent complications. RESULTS: There were 8772 women who underwent MUS, of
which 3830 (43.7%) and 4942 (56.3%) were performed by urologists and
gynecologists, respectively. Patients of urologists were older, had higher
frailty, and were more likely diabetic (all P < .05). Patients of gynecologists
were more likely to have resident involvement compared to urologists (16.4% vs
11.2%, P < .001). Mean operative time was shorter for urologists compared to
gynecologists (35.6 +/- 29.2 minutes vs 38.1 +/- 34.3 minutes, P < .001). The
overall 30-day rate of any complication was 3.52%. Urinary tract infection (UTI)
occurred in 2.2% vs 3.5% of the urologic and gynecologic patients, respectively
(P=.001). After adjusting for frailty, body mass index, steroid use, age,
operative time, and residency involvement, gynecologic performed surgery incurred
an increased risk of UTI (OR 1.67, 95% CI 1.27-2.19; P=.001). Sixty-five (0.90%)
patients were readmitted within 30 days, most commonly due to urinary symptoms.
Sling revision for urinary obstruction occurred in 15 patients; 10 underwent
repair of the bladder, urethra, or vagina. CONCLUSION: To our knowledge, we
present the largest American cohort of MUS 30-day outcomes to date, stratified by
specialty of performing surgeon. Overall, morbidity is low. UTI is the most
common complication, and occurs at increased frequency for patients of
gynecologists.
PMID- 27196031
TI - Erastus B. Wolcott: A Pioneer in Renal Surgery and Frontier Medicine.
PMID- 27196032
TI - Abstinence Time and Its Impact on Basic and Advanced Semen Parameters.
AB - OBJECTIVE: To assess the effect of ejaculatory abstinence (EA) periods on routine
and advanced sperm tests. METHODS: Men with normozoospermia provided semen
samples after 1, 2, 5, 7, 9, and 11 days of abstinence. A standard semen analysis
was performed on all samples. Each specimen was assessed for levels of reactive
oxygen species (ROS) and sperm DNA fragmentation. Comparison was also made by
grouping EA periods into short (1 day), recommended by World Health Organization
(WHO) (2-7 days), and long (9-11 days). RESULTS: Semen volume (P < .001), sperm
concentration (P < .001), and total sperm count (P < .001) increased
significantly with abstinence length. Sperm DNA fragmentation was associated with
the length of EA (P < .001). Both 1 and 2 days of EA had the least amount of DNA
fragmentation (P < .001). Significant increase was seen in volume, pH, viscosity,
total count, total motile sperm, and DNA fragmentation between short and
recommended EA (P < .05), and between recommended and long EA (P < .05). Short EA
had no detrimental impact on semen characteristics according to the 2010 WHO
thresholds. CONCLUSION: Shortening of EA time is not detrimental to sperm quality
in men with normozoospermia and is proposed as a method for reducing sperm DNA
fragmentation. This strategy could help optimize sperm quality and the chances of
natural and assisted conception. Accurate abstinence time should be considered
when managing men with infertility problems with semen analyses even when it is
within the recommended range.
PMID- 27196033
TI - Cyclization of 4-Phenoxy-2-coumarins and 2-Pyrones via a Double C-H Activation.
AB - Aryl-heteroaryl coupling via double C-H activation is a powerful transformation
that avoids the installation of activating groups. A double C-H activation of
privileged biological scaffolds, 2-coumarins and 2-pyrones, is reported. Despite
the rich chemistry of these molecular frameworks, the yields are very good.
Excellent regioselectivity was achieved on the pyrones. This methodology was
applied to the synthesis of flemichapparin C in three steps. Isotope effect
experiments were carried out, and a mechanism is proposed.
PMID- 27196034
TI - A School Passport as Part of a Protocol to Assist Educational Reintegration After
Medulloblastoma Treatment in Childhood.
AB - BACKGROUND: Medulloblastoma is the most common malignant brain tumour in children
and is treated with a combination of surgery, radiotherapy and chemotherapy.
These children frequently experience long-term cognitive, social and physical
sequelae, which significantly affect school reintegration. AIM: This study aimed
to explore school-return experiences to create a more structured school
reintegration protocol for children postmedulloblastoma. METHODS: A cohort of
nine patients who had completed treatment and for whom full neuropsychometric
data were available was included in the study (median time since diagnosis 8
years). Data were collected using qualitative parental questionnaires,
semistructured interviews with teachers (n = 12) and healthcare professionals
(HCPs) (n = 6) involved in their school reintegration. Thematic analysis was
employed. A focus group with five HCPs was then used for data validation.
RESULTS: This study uncovered the following four main subjects: (1) Information
sharing; (2) education and empowerment (of educational professionals (EP) and
parents); (3) communication between parents, HCPs and EPs; and (4) long-term
difficulties. DISCUSSION: Implementation of a standardised protocol delivered
within the structure of a school passport document would aid uniform follow-up.
The proposed multistage protocol includes early communication and reintegration
planning followed by meetings at school re-entry. Follow-up meetings are
suggested to reduce information loss and reassess the child's needs. Hospital
support at school transitions, inclusion of school data in long-term clinical
follow-up and long-term rehabilitation are also recommended. Each stage would be
supported by school passport documentation and would facilitate school and
parental empowerment, paramount to the long-term sustainability of successful
schooling.
PMID- 27196036
TI - Generation of Small Single Domain Nanobody Binders for Sensitive Detection of
Testosterone by Electrochemical Impedance Spectroscopy.
AB - A phage display library of variable domain of the heavy chain only antibody or
nanobody (Nb) was constructed after immunizing a bactrian camel with
testosterone. With the smaller molecular size (15 kDa), improved solubility, good
stability, high affinity, specificity, and lower immunogenicity, Nbs are a
promising tool in the next generation of diagnosis and medical applications.
Testosterone is a reproductive hormone, playing an important role in normal
cardiac function and being the highly predictive marker for many diseases.
Herein, a simple and sensitive immunosensor based on electrochemical impedance
spectroscopy (EIS) and Nbs was successfully developed for the determination of
testosterone. We successfully isolated the antitestosterone Nbs from an immune
phage display library. Moreover, one of the Nbs was biotinylated according to in
vivo BirA system, which showed the highest production yield and the most stable
case. Further, the EIS immunosensor was set up for testosterone detection by
applying the biotinylated antitestosterone Nb. As a result, the biosensor
exhibited a linear working range from 0.05 to 5 ng mL(-1) with a detection limit
of 0.045 ng mL(-1). In addition, the proposed immunosensor was successfully
applied in determining testosterone in serum samples. In conclusion, the proposed
immunosensor revealed high specificity of testosterone detection and showed as a
potential approach for sensitive and accurate diagnosis of testosterone.
PMID- 27196037
TI - Factors Influencing Communication with Doctors via the Internet: A Cross
Sectional Analysis of 2014 HINTS Survey.
AB - Based on Street's (2003) ecological framework of communication in medical
encounters, this study examined personal, interpersonal, and media factors that
could influence patients' use of the Internet to communicate with doctors.
Results from data analysis of responses from the 2014 Health Information National
Trends Survey showed that patient activation and ease of Internet access were two
positive predictors of online doctor-patient communication. In addition,
patients' trust in doctors positively moderated the relationships between patient
activation and online doctor-patient communication, and between perceived health
status and online doctor-patient communication. Finally, the quality of patients'
past experiences communicating with doctors had a positive moderation effect on
the association between health information seeking behavior and online doctor
patient communication. Implications and limitations are discussed.
PMID- 27196035
TI - TopoGromacs: Automated Topology Conversion from CHARMM to GROMACS within VMD.
AB - Molecular dynamics (MD) simulation engines use a variety of different approaches
for modeling molecular systems with force fields that govern their dynamics and
describe their topology. These different approaches introduce incompatibilities
between engines, and previously published software bridges the gaps between many
popular MD packages, such as between CHARMM and AMBER or GROMACS and LAMMPS.
While there are many structure building tools available that generate topologies
and structures in CHARMM format, only recently have mechanisms been developed to
convert their results into GROMACS input. We present an approach to convert
CHARMM-formatted topology and parameters into a format suitable for simulation
with GROMACS by expanding the functionality of TopoTools, a plugin integrated
within the widely used molecular visualization and analysis software VMD. The
conversion process was diligently tested on a comprehensive set of biological
molecules in vacuo. The resulting comparison between energy terms shows that the
translation performed was lossless as the energies were unchanged for identical
starting configurations. By applying the conversion process to conventional
benchmark systems that mimic typical modestly sized MD systems, we explore the
effect of the implementation choices made in CHARMM, NAMD, and GROMACS. The newly
available automatic conversion capability breaks down barriers between simulation
tools and user communities and allows users to easily compare simulation programs
and leverage their unique features without the tedium of constructing a topology
twice.
PMID- 27196048
TI - Evidence of a Cooler Continental Climate in East China during the Warm Early
Cenozoic.
AB - The early Cenozoic was characterized by a very warm climate especially during the
Early Eocene. To understand climatic changes in eastern Asia, we reconstructed
the Early Eocene vegetation and climate based on palynological data of a borehole
from Wutu coal mine, East China and evaluated the climatic differences between
eastern Asia and Central Europe. The Wutu palynological assemblages indicated a
warm temperate vegetation succession comprising mixed needle- and broad-leaved
forests. Three periods of vegetation succession over time were recognized. The
changes of palynomorph relative abundance indicated that period 1 was warm and
humid, period 2 was relatively warmer and wetter, and period 3 was cooler and
drier again. The climatic parameters estimated by the coexistence approach (CA)
suggested that the Early Eocene climate in Wutu was warmer and wetter. Mean
annual temperature (MAT) was approximately 16 degrees C and mean annual
precipitation (MAP) was 800-1400 mm. Comparison of the Early Eocene climatic
parameters of Wutu with those of 39 other fossil floras of different age in East
China, reveals that 1) the climate became gradually cooler during the last 65
million years, with MAT dropping by 9.3 degrees C. This cooling trend coincided
with the ocean temperature changes but with weaker amplitude; 2) the Early Eocene
climate was cooler in East China than in Central Europe; 3) the cooling trend in
East China (MAT dropped by 6.9 degrees C) was gentler than in Central Europe (MAT
dropped by 13 degrees C) during the last 45 million years.
PMID- 27196049
TI - Dirofilaria in Humans, Dogs, and Vectors in Austria (1978-2014)-From Imported
Pathogens to the Endemicity of Dirofilaria repens.
AB - BACKGROUND: Dirofilaria repens and D. immitis are filarioid helminths with
domestic and wild canids as main hosts and mosquitoes as vectors. Both species
are known to cause zoonotic diseases, primarily pulmonary (D. immitis), ocular
(D. repens), and subcutaneous (D. repens) dirofilariosis. Both D. immitis and D.
repens are known as invasive species, and their distribution seems associated
with climate change. Until very recently, both species were known to be
nonendemic in Austria. METHODOLOGY AND PRINCIPAL FINDINGS: Metadata on introduced
and possibly autochthonous cases of infection with Dirofilaria sp. in dogs and
humans in Austria are analysed, together with analyses of mosquito populations
from Austria in ongoing studies. In Austria, most cases of Dirofilaria sp. in
humans (30 cases of D. repens-six ocular and 24 subcutaneous) and dogs
(approximately 50 cases-both D. immitis and D. repens) were most likely imported.
However, occasionally infections with D. repens were discussed to be
autochthonous (one human case and seven in dogs). The introduction of D. repens
to Austria was confirmed very recently, as the parasite was detected in
Burgenland (eastern Austria) for the first time in mosquito vectors during a
surveillance program. For D. immitis, this could not be confirmed yet, but data
from Germany suggest that the successful establishment of this nematode species
in Austria is a credible scenario for the near future. CONCLUSIONS: The first
findings of D. repens in mosquito vectors indicate that D. repens presumably
invaded in eastern Austria. Climate analyses from central Europe indicate that D.
immitis also has the capacity to establish itself in the lowland regions of
Austria, given that both canid and culicid hosts are present.
PMID- 27196050
TI - Cervical Cancer Histology, Staging and Survival before and after Implementation
of Organised Cervical Screening Programme in Poland.
AB - A population-based organised cervical cancer screening programme (OCCSP) was
introduced in Poland in 2006. In this study we have aimed to analyse whether
selected parameters related to invasive cervical cancer (ICC) of patients
diagnosed in two distant gynaecological oncology centres changed after the first
screening round of the programme run between 2006-2008. We have run a
retrospective cross-sectional analysis of 189 women diagnosed with ICC between
2002-2005 (directly before introduction of the programme) and 165 patients
diagnosed between 2009-2012 (just after the first screening round of the
programme) and compared their age at diagnosis, histology, stage of tumours and
overall survival (OS). Mean age of patients diagnosed in years 2002-2005 and 2009
2012 was 52.1 and 52.6 years respectively. Squamous cell carcinomas constituted
90.5% and 86.1% of tumours diagnosed in years 2002-2005 and 2009-2012
respectively and the rest of tumours had glandular and other histologies. 74.5%
and 61.0% of women diagnosed in years 2002-2005 and 2009-2012 respectively had
early ICC (FIGO-International Federation of Gynaecology and Obstetrics stages I
IIA) and the rest had advanced disease (FIGO IIB-IV). We have noticed no
significant differences in mean age of patients, histology of tumours and OS of
patients with ICC diagnosed before and after the first screening round of OCSSP
in Poland. Advanced stages of ICC were more commonly diagnosed after the
introduction of OCSSP. Changes only in some clinical parameters of patients with
ICC were noticed before and after the first screening round of OCSSP in Poland
but OS of patients remained the same.
PMID- 27196051
TI - Dynamic Measurement of Hemodynamic Parameters and Cardiac Preload in Adults with
Dengue: A Prospective Observational Study.
AB - Few previous studies have monitored hemodynamic parameters to determine the
physiological process of dengue or examined inferior vena cava (IVC) parameters
to assess cardiac preload during the clinical phase of dengue. From January 2013
to July 2015, we prospectively studied 162 hospitalized adults with confirmed
dengue viral infection using non-invasive cardiac output monitoring and bedside
ultrasonography to determine changes in hemodynamic and IVC parameters and
identify the types of circulatory shock that occur in patients with dengue. Of
162 patients with dengue, 17 (10.5%) experienced dengue shock and 145 (89.5%) did
not. In patients with shock, the mean arterial pressure was significantly lower
on day 6 after fever onset (P = 0.045) and the pulse pressure was significantly
lower between days 4 and 7 (P<0.05). The stroke volume index and cardiac index
were significantly decreased between days 4 and 15 and between days 5 and 8 after
fever onset (P<0.05), respectively. A significant proportion of patients with
dengue shock had an IVC diameter <1.5 cm and IVC collapsibility index >50%
between days 4 and 5 (P<0.05). Hypovolemic shock was observed in 9 (52.9%)
patients and cardiogenic shock in 8 (47.1%), with a median (interquartile range)
time to shock onset of 6.0 (5.0-6.5) days after fever onset, which was the median
day of defervescence. Intravascular hypovolemia occurred before defervescence,
whereas myocardial dysfunction occurred on the day of defervescence until 2 weeks
after fever onset. Hypovolemic shock and cardiogenic shock each occurred in
approximately half of the patients with dengue shock. Therefore, dynamic measures
to estimate changes in hemodynamic parameters and preload should be monitored to
ensure adequate fluid therapy among patients with dengue, particularly patients
with dengue shock.
PMID- 27196052
TI - Investigating axial diffusion in cylindrical pores using confocal single-particle
fluorescence correlation spectroscopy.
AB - We explored the feasibility of using confocal fluorescence correlation
spectroscopy to study small nanoparticle diffusion in hundred-nanometer-sized
cylindrical pores. By modeling single particle diffusion in tube-like confined
three-dimensional space aligned parallel to the confocal optical axis, we showed
that two diffusion dynamics can be observed in both original intensity traces and
the autocorrelation functions (ACFs): the confined two-dimensional lateral
diffusion and the unconfined one-dimensional (1D) axial diffusion. The separation
of the axial and confined lateral diffusion dynamics provides an opportunity to
study diffusions in different dimensions separately. We further experimentally
studied 45 nm carboxylated polystyrene particles diffusing in 300 nm alumina
pores. The experimental data showed consistency with the simulation. To extract
the accurate axial diffusion coefficient, we found that a 1D diffusion model with
a Lorentzian axial collection profile needs to be used to analyze the
experimental ACFs. The diffusion of the 45 nm nanoparticles in polyethyleneglycol
passivated 300 nm pores slowed down by a factor of ~2, which can be
satisfactorily explained by hydrodynamic frictions.
PMID- 27196055
TI - Predicting the Direction of Stock Market Index Movement Using an Optimized
Artificial Neural Network Model.
AB - In the business sector, it has always been a difficult task to predict the exact
daily price of the stock market index; hence, there is a great deal of research
being conducted regarding the prediction of the direction of stock price index
movement. Many factors such as political events, general economic conditions, and
traders' expectations may have an influence on the stock market index. There are
numerous research studies that use similar indicators to forecast the direction
of the stock market index. In this study, we compare two basic types of input
variables to predict the direction of the daily stock market index. The main
contribution of this study is the ability to predict the direction of the next
day's price of the Japanese stock market index by using an optimized artificial
neural network (ANN) model. To improve the prediction accuracy of the trend of
the stock market index in the future, we optimize the ANN model using genetic
algorithms (GA). We demonstrate and verify the predictability of stock price
direction by using the hybrid GA-ANN model and then compare the performance with
prior studies. Empirical results show that the Type 2 input variables can
generate a higher forecast accuracy and that it is possible to enhance the
performance of the optimized ANN model by selecting input variables
appropriately.
PMID- 27196056
TI - Conveying Discovery to a Broad Audience.
PMID- 27196053
TI - Increased Cord Blood Betatrophin Levels in the Offspring of Mothers with
Gestational Diabetes.
AB - AIM: Exposing a fetus to hyperglycemia can increase the risk for later-life
metabolic disorders. Betatrophin has been proposed as a key regulator of
pancreatic beta cell proliferation and lipid regulation. Highly responsive to
nutritional signals, serum betatrophin concentrations have been found to be
altered by various physiological and pathological conditions. We hypothesized
that betatrophin levels are increased in the cord blood in offspring exposed to
intrauterine hyperglycemia. METHODS: This was a cross-sectional study including
54 mothers who underwent uncomplicated Cesarean delivery in a university
hospital. Maternal gestational glucose concentration was determined at 24-48
weeks gestation after a 75-g OGTT. Cord blood and placental tissue was collected
immediately post delivery. Metabolic parameters were determined in the Clinical
Laboratory. Cord blood betatrophin levels were assayed using a commercially
available ELISA kit. Placental mitochondrial content was determined by real-time
PCR. RESULTS: Cord blood betatrophin levels were increased in the gestational
diabetes mellitus (GDM) group compared with the normoglycemic group. Furthermore,
betatrophin levels were positively correlated with maternal gestational 2h post
OGTT glucose, cord blood insulin, HOMA-IR, and inversely correlated with
placental mitochondrial content. CONCLUSIONS: Cord blood betatrophin may function
as a potential biomarker of maternal intrauterine hyperglycemia and fetal insulin
resistance, which may presage for long-term metabolic impact of GDM on offspring.
PMID- 27196054
TI - An Integrated Data Driven Approach to Drug Repositioning Using Gene-Disease
Associations.
AB - Drug development is both increasing in cost whilst decreasing in productivity.
There is a general acceptance that the current paradigm of R&D needs to change.
One alternative approach is drug repositioning. With target-based approaches
utilised heavily in the field of drug discovery, it becomes increasingly
necessary to have a systematic method to rank gene-disease associations. Although
methods already exist to collect, integrate and score these associations, they
are often not a reliable reflection of expert knowledge. Furthermore, the amount
of data available in all areas covered by bioinformatics is increasing
dramatically year on year. It thus makes sense to move away from more generalised
hypothesis driven approaches to research to one that allows data to generate
their own hypothesis. We introduce an integrated, data driven approach to drug
repositioning. We first apply a Bayesian statistics approach to rank 309,885 gene
disease associations using existing knowledge. Ranked associations are then
integrated with other biological data to produce a semantically-rich drug
discovery network. Using this network, we show how our approach identifies
diseases of the central nervous system (CNS) to be an area of interest. CNS
disorders are identified due to the low numbers of such disorders that currently
have marketed treatments, in comparison to other therapeutic areas. We then
systematically mine our network for semantic subgraphs that allow us to infer
drug-disease relations that are not captured in the network. We identify and rank
275,934 drug-disease has_indication associations after filtering those that are
more likely to be side effects, whilst commenting on the top ranked associations
in more detail. The dataset has been created in Neo4j and is available for
download at https://bitbucket.org/ncl-intbio/genediseaserepositioning along with
a Java implementation of the searching algorithm.
PMID- 27196059
TI - How Bees Deter Elephants: Beehive Trials with Forest Elephants (Loxodonta
africana cyclotis) in Gabon.
AB - In Gabon, like elsewhere in Africa, crops are often sources of conflict between
humans and wildlife. Wildlife damage to crops can drastically reduce income,
amplifying poverty and creating a negative perception of wild animal conservation
among rural people. In this context, crop-raiding animals like elephants quickly
become "problem animals". To deter elephants from raiding crops beehives have
been successfully employed in East Africa; however, this method has not yet been
tested in Central Africa. We experimentally examined whether the presence of Apis
mellifera adansonii, the African honey bee species present in Central Africa,
deters forest elephants (Loxodonta Africana cyclotis) from feeding on fruit
trees. We show for the first time that the effectiveness of beehives as
deterrents of elephants is related to bee activity. Empty hives and those housing
colonies of low bee activity do not deter elephants all the time; but beehives
with high bee activity do. Although elephant disturbance of hives does not impede
honey production, there is a tradeoff between deterrence and the quantity of
honey produced. To best achieve the dual goals of deterring elephants and
producing honey colonies must maintain an optimum activity level of 40 to 60 bee
movements per minute. Thus, beehives colonized by Apis mellifera adansonii bees
can be effective elephant deterrents, but people must actively manage hives to
maintain bee colonies at the optimum activity level.
PMID- 27196057
TI - Surgical Stress Abrogates Pre-Existing Protective T Cell Mediated Anti-Tumor
Immunity Leading to Postoperative Cancer Recurrence.
AB - Anti-tumor CD8+ T cells are a key determinant for overall survival in patients
following surgical resection for solid malignancies. Using a mouse model of
cancer vaccination (adenovirus expressing melanoma tumor-associated antigen (TAA)
dopachrome tautomerase (AdDCT) and resection resulting in major surgical stress
(abdominal nephrectomy), we demonstrate that surgical stress results in a
reduction in the number of CD8+ T cell that produce cytokines (IFNgamma,
TNFalpha, Granzyme B) in response to TAA. This effect is secondary to both
reduced proliferation and impaired T cell function following antigen binding. In
a prophylactic model, surgical stress completely abrogates tumor protection
conferred by vaccination in the immediate postoperative period. In a clinically
relevant surgical resection model, vaccinated mice undergoing a positive margin
resection with surgical stress had decreased survival compared to mice with
positive margin resection alone. Preoperative immunotherapy with IFNalpha
significantly extends survival in surgically stressed mice. Importantly, myeloid
derived suppressor cell (MDSC) population numbers and functional impairment of
TAA-specific CD8+ T cell were altered in surgically stressed mice. Our
observations suggest that cancer progression may result from surgery-induced
suppression of tumor-specific CD8+ T cells. Preoperative immunotherapies aimed at
targeting the prometastatic effects of cancer surgery will reduce recurrence and
improve survival in cancer surgery patients.
PMID- 27196060
TI - The VHL short variant involves in protein quality control.
AB - The von Hippel-Lindau (VHL) is the most important and frequently mutated gene in
human clear cell renal cell carcinoma (ccRCC). In contrast to its long
counterpart, the internal translational variant of VHL protein (VHLs) is
evolutionarily conserved. Herein we present evidence that VHLs associates with
ribosome complex via interaction with the large subunit 6 (RPL6). Manipulation of
VHLs expression significantly alters protein synthesis, cell size and
mitochondrial mass. VHLs deficiency leads to remarkable sensitivity to drug
treatments eliciting nascent protein mis-folding and translational errors. The
ubiquitination of nascent peptides are dramatically increased upon the ectopic
over-expression of VHLs, which simultaneously co-localizes with proteasome and
thus may facilitate the ubiquitin-proteasome mediated degradation. In summary,
VHLs contributes to protein quality control in addition to its canonical function
in maintaining homeostasis of hypoxia-induced factors alpha subunit (HIFalpha) in
response to environmental oxygen supply.
PMID- 27196061
TI - Age-related gene expression change of GABAergic system in visual cortex of rhesus
macaque.
AB - Degradation of visual function is a common phenomenon during aging and likely
mediated by change in the impaired central visual pathway. Treatment with GABA or
its agonist could recover the ability of visual neurons in the primary visual
cortex of senescent macaques. However, little is known about how GABAergic system
change is related to the aged degradation of visual function in nonhuman primate.
With the use of quantitative PCR method, we measured the expression change of 24
GABA related genes in the primary visual cortex (Brodmann's 17) of different age
groups. In this study, both of mRNA and protein of glutamic acid decarboxylase
(GAD65) were measured by real-time RT-PCR and Western blot, respectively. Results
revealed that the level of GAD65 message was not significantly altered, but the
proteins were significantly decreased in the aged monkey. As GAD65 plays an
important role in GABA synthesis, the down-regulation of GAD65 protein was likely
the key factor leading to the observed GABA reduction in the primary visual
cortex of the aged macaques. In addition, 7 of 14 GABA receptor genes were up
regulated and one GABA receptor gene was significantly reduced during aging
process even after Banjamini correction for multiple comparisons (P<0.05). These
results suggested that the dysregulation of GAD65 protein might contribute to
some age-related neural visual dysfunctions and most of GABA receptor genes
induce a clear indication of compensatory effect for the reduced GABA release in
the healthy aged monkey cortex.
PMID- 27196058
TI - Hair-Cell Mechanotransduction Persists in TRP Channel Knockout Mice.
AB - Members of the TRP superfamily of ion channels mediate mechanosensation in some
organisms, and have been suggested as candidates for the mechanotransduction
channel in vertebrate hair cells. Some TRP channels can be ruled out based on
lack of an inner ear phenotype in knockout animals or pore properties not similar
to the hair-cell channel. Such studies have excluded Trpv4, Trpa1, Trpml3, Trpm1,
Trpm3, Trpc1, Trpc3, Trpc5, and Trpc6. However, others remain reasonable
candidates. We used data from an RNA-seq analysis of gene expression in hair
cells as well as data on TRP channel conductance to narrow the candidate group.
We then characterized mice lacking functional Trpm2, Pkd2, Pkd2l1, Pkd2l2 and
Pkd1l3, using scanning electron microscopy, auditory brainstem response, permeant
dye accumulation, and single-cell electrophysiology. In all of these TRP
deficient mice, and in double and triple knockouts, mechanotransduction
persisted. Together with published studies, these results argue against the
participation of any of the 33 mouse TRP channels in hair cell transduction.
PMID- 27196062
TI - Chromokinesin: Kinesin superfamily regulating cell division through chromosome
and spindle.
AB - Material transportation is essential for appropriate cellular morphology and
functions, especially during cell division. As a motor protein moving along
microtubules, kinesin has several intracellular functions. Many kinesins play
important roles in chromosome condensation and separation and spindle
organization during the cell cycle. Some of them even can directly bind to
chromosomes, as a result, these proteins are called chromokinesins. Kinesin-4 and
kinesin-10 family are two major families of chromokinesin and many members can
regulate some processes, both in mitosis and meiosis. Their functions have been
widely studied. Here, we summarize current knowledge about known chromokinesins
and introduce their intracellular features in accordance with different families.
Furthermore, we have also introduced some new-found but unconfirmed kinesins
which may have a relationship with chromosomes or the cell cycle.
PMID- 27196063
TI - Unveiling the interactions among BMPR-2, ALK-1 and 5-HTT genes in the
pathophysiology of HAPE.
AB - CONTEXT: Few potential candidate genes coding for type I and II receptors of
transforming growth factor beta signaling pathway and the serotonin transporter
have been associated with pulmonary hypertension (PH). The latter being a
phenotype for high altitude pulmonary edema (HAPE), these genes are hypothesized
to be crucial markers to investigate under the hypobaric hypoxic environment of
high altitude. AIMS: We hence aimed to investigate bone-morphogenetic protein-2
(BMP2), bone morphogenetic protein receptor type-II (BMPR-2), activin receptor
like kinase-1 (ALK-1), serotonin transporter (5-HTT) and serotonin (5-HT) for
their contribution, individually/epistatically, to clinical endpoints by altering
downstream signaling molecules. METHODS AND MATERIALS: In a case-control design,
interactions between/among polymorphisms of BMPR-2, ALK-1 and 5-HTT were screened
in 200 HAPE-patients (HAPE-p) and 200 HAPE-free sojourners (HAPE-f). Plasma
biomarker BMP-2 and 5-HT were estimated. The relative gene expression was also
witnessed in 20 humans/10 rats followed by correlation analyses. RESULTS: The
genotype/allele models revealed the prevalence of BMPR-2 rs6717924A-rs4303700A
rs1048829A; ALK-1 rs11169953T-rs3759178C-rs706816C and 5-HTT rs6354C in HAPE
(P<=0.05). Multifactor dimensionality reduction for interactions among genes
revealed a 4-locus model of BMPR-2 rs6717924G/A; ALK-1 rs11169953C/T-rs706816T/C
and 5-HTT rs6354A/C as the best disease predicting (P<=0.001); whereas
HapEvolution analysis confirmed the alleles rs6717924A, rs4303700A and rs6354C as
the best interacting (P<=0.01). Plasma levels of BMP-2 and 5-HT were elevated in
HAPE (P<=0.0001). The expression of BMP-2, ALK-1, 5-HT, 5-HTT was elevated and of
BMPR-2 decreased in humans and rats (P<=0.05). The risk alleles BMPR-2 rs6717924A
rs4303700G-rs1048829A; ALK-1 rs11169953T-rs706816C and 5-HTT rs6354C correlated
inversely with arterial oxygen saturation (SaO2) and positively with mean
arterial pressure (MAP), BMP-2 and 5-HT in HAPE. Likewise, haplotypes BMPR-2
GGGCGAAAA, AAATAGGGA and ALK-1 CCTCAAAG, CCTTAAAG correlated with clinical
markers and biomarkers (P<=0.01). BMP-2 and 5-HT correlated positively with MAP
and negatively with SaO2 (P<=0.01). CONCLUSIONS: The genetic-interactions among
BMPR-2, ALK-1, and 5-HTT polymorphisms, elevated BMP-2 and 5-HT levels and
differential gene expression substantiated the strong genetic contribution in
HAPE pathophysiology.
PMID- 27196064
TI - The Metabolism of Clopidogrel: CYP2C19 Is a Minor Pathway.
AB - The major metabolic pathway of clopidogrel is conversion to carboxylic acid by an
esterase (CES1), forming clopidogrelic acid (SR26334) that is inactive. There is
agreement on the structure of the active metabolite; however, there are differing
views about the mechanism of its formation. Sanofi studied the conversion of
clopidogrel to the active metabolite using human liver microsomes. It was
concluded that 2-oxo-clopidogrel was formed via CYP3A oxidation. From a
subsequent in vitro study by Sankyo of the metabolism of clopidogrel using
recombinant DNA CYPs, it was concluded that CYP2C19 was the major oxidative
pathway. Such CYPs can give false-negative results particularly with drugs such
as clopidogrel that have high first-pass metabolism in the enterocyte. CYP3A is
present in the enterocyte but not CYP2C19. However, the view that clopidogrel is
a CYP2C19 substrate was reinforced by a finding that omeprazole, a CYP2C19
inhibitor, reduced the ability of clopidogrel to inhibit platelet aggregation.
The drug-drug interaction study of clopidogrel with omeprazole had the effect of
reducing the area under the curve (AUC) of the clopidogrel active metabolite by
45%. However, a drug interaction study with a CYP3A inhibitor, grapefruit juice,
caused a 6-fold reduction in the AUC of the active metabolite. Clopidogrel is
therefore now considered to be primarily a CYP3A4/5 substrate. CYP2C19 has a
minor role whose effect can be detected using a sensitive methodology such as
platelet aggregometry.
PMID- 27196065
TI - Metagenomic Analysis of the Indian Ocean Picocyanobacterial Community: Structure,
Potential Function and Evolution.
AB - Unicellular cyanobacteria are ubiquitous photoautotrophic microbes that
contribute substantially to global primary production. Picocyanobacteria such as
Synechococcus and Prochlorococcus depend on chlorophyll a-binding protein
complexes to capture light energy. In addition, Synechococcus has accessory
pigments organized into phycobilisomes, and Prochlorococcus contains chlorophyll
b. Across a surface water transect spanning the sparsely studied tropical Indian
Ocean, we examined Synechococcus and Prochlorococcus occurrence, taxonomy and
habitat preference in an evolutionary context. Shotgun sequencing of size
fractionated microbial communities from 0.1 MUm to 20 MUm and subsequent
phylogenetic analysis indicated that cyanobacteria account for up to 15% of
annotated reads, with the genera Prochlorococcus and Synechococcus comprising 90%
of the cyanobacterial reads, even in the largest size fraction (3.0-20 mm).
Phylogenetic analyses of cyanobacterial light-harvesting genes (chl-binding
pcb/isiA, allophycocyanin (apcAB), phycocyanin (cpcAB) and phycoerythin (cpeAB))
mostly identified picocyanobacteria clades comprised of overlapping sequences
obtained from Indian Ocean, Atlantic and/or Pacific Oceans samples. Habitat
reconstructions coupled with phylogenetic analysis of the Indian Ocean samples
suggested that large Synechococcus-like ancestors in coastal waters expanded
their ecological niche towards open oligotrophic waters in the Indian Ocean
through lineage diversification and associated streamlining of genomes (e.g. loss
of phycobilisomes and acquisition of Chl b); resulting in contemporary small
celled Prochlorococcus. Comparative metagenomic analysis with picocyanobacteria
populations in other oceans suggests that this evolutionary scenario may be
globally important.
PMID- 27196066
TI - Expression of Quaking RNA-Binding Protein in the Adult and Developing Mouse
Retina.
AB - Quaking (QKI), which belongs to the STAR family of KH domain-containing RNA
binding proteins, functions in pre-mRNA splicing, microRNA regulation, and
formation of circular RNA. QKI plays critical roles in myelinogenesis in the
central and peripheral nervous systems and has been implicated neuron-glia fate
decision in the brain; however, neither the expression nor function of QKI in the
neural retina is known. Here we report the expression of QKI RNA-binding protein
in the developing and mature mouse retina. QKI was strongly expressed by Muller
glial cells in both the developing and adult retina. Intriguingly, during
development, QKI was expressed in early differentiating neurons, such as the
horizontal and amacrine cells, and subsequently in later differentiating bipolar
cells, but not in photoreceptors. Neuronal expression was uniformly weak in the
adult. Among QKI isoforms (5, 6, and 7), QKI-5 was the predominantly expressed
isoform in the adult retina. To study the function of QKI in the mouse retina, we
examined quakingviable(qkv) mice, which have a dysmyelination phenotype that
results from deficiency of QKI expression and reduced numbers of mature
oligodendrocytes. In homozygous qkv mutant mice (qkv/qkv), the optic nerve
expression levels of QKI-6 and 7, but not QKI-5 were reduced. In the retina of
the mutant homozygote, QKI-5 levels were unchanged, and QKI-6 and 7 levels,
already low, were also unaffected. We conclude that QKI is expressed in
developing and adult Muller glia. QKI is additionally expressed in progenitors
and in differentiating neurons during retinal development, but expression
weakened or diminished during maturation. Among QKI isoforms, we found that QKI-5
predominated in the adult mouse retina. Since Muller glial cells are thought to
share properties with retinal progenitor cells, our data suggest that QKI may
contribute to maintaining retinal progenitors prior to differentiation into
neurons. On the other hand, the expression of QKI in different retinal neurons
may suggest a role in neuronal cell type specific fate determination and
maturation. The data raises the possibility that QKI may function in retinal cell
fate determination and maturation in both glia and neurons.
PMID- 27196069
TI - A note from the editors: enterovirus D68 epidemiology in France and Germany-food
for thought.
PMID- 27196067
TI - Differential Contributions of Intrinsic and Extrinsic Pathways to Thrombin
Generation in Adult, Maternal and Cord Plasma Samples.
AB - BACKGROUND: Thrombin generation (TG) is a pivotal process in achieving
hemostasis. Coagulation profiles during pregnancy and early neonatal period are
different from that of normal (non-pregnant) adults. In this ex vivo study, the
differences in TG in maternal and cord plasma relative to normal adult plasma
were studied. METHODS: Twenty consented pregnant women and ten consented healthy
adults were included in the study. Maternal and cord blood samples were collected
at the time of delivery. Platelet-poor plasma was isolated for the measurement of
TG. In some samples, anti-FIXa aptamer, RB006, or a TFPI inhibitor, BAX499 were
added to elucidate the contribution of intrinsic and extrinsic pathway to TG.
Additionally, procoagulant and inhibitor levels were measured in maternal and
cord plasma, and these values were used to mathematically simulate TG. RESULTS:
Peak TG was increased in maternal plasma (393.6+/-57.9 nM) compared to adult and
cord samples (323.2+/-38.9 nM and 209.9+/-29.5 nM, respectively). Inhibitory
effects of RB006 on TG were less robust in maternal or cord plasma (52% vs. 12%
respectively) than in adult plasma (81%). Likewise the effectiveness of BAX499 as
represented by the increase in peak TG was much greater in adult (21%) than in
maternal (10%) or cord plasma (12%). Further, BAX499 was more effective in
reversing RB006 in adult plasma than in maternal or cord plasma. Ex vivo data
were reproducible with the results of the mathematical simulation of TG.
CONCLUSION: Normal parturient plasma shows a large intrinsic pathway reserve for
TG compared to adult and cord plasma, while TG in cord plasma is sustained by
extrinsic pathway, and low levels of TFPI and AT.
PMID- 27196068
TI - ABCG1 and ABCG4 Suppress gamma-Secretase Activity and Amyloid beta Production.
AB - ATP-binding cassette G1 (ABCG1) and ABCG4, expressed in neurons and glia in the
central nervous system, mediate cholesterol efflux to lipid acceptors. The
relationship between cholesterol level in the central nervous system and
Alzheimer's disease has been reported. In this study, we examined the effects of
ABCG1 and ABCG4 on amyloid precursor protein (APP) processing, the product of
which, amyloid beta (Abeta), is involved in the pathogenesis of Alzheimer's
disease. Expression of ABCG1 or ABCG4 in human embryonic kidney 293 cells that
stably expressed Swedish-type mutant APP increased cellular and cell surface APP
levels. Products of cleavage from APP by alpha-secretase and by beta-secretase
also increased. The levels of secreted Abeta, however, decreased in the presence
of ABCG1 and ABCG4, but not ABCG4-KM, a nonfunctional Walker-A lysine mutant. In
contrast, secreted Abeta levels increased in differentiated SH-SY5Y neuron-like
cells in which ABCG1 and ABCG4 were suppressed. Furthermore, Abeta42 peptide in
the cerebrospinal fluid from Abcg1 null mice significantly increased compared to
the wild type mice. To examine the underlying mechanism, we analyzed the activity
and distribution of gamma-secretase. ABCG1 and ABCG4 suppressed gamma-secretase
activity and disturbed gamma-secretase localization in the raft domains where
gamma-secretase functions. These results suggest that ABCG1 and ABCG4 alter the
distribution of gamma-secretase on the plasma membrane, leading to the decreased
gamma-secretase activity and suppressed Abeta secretion. ABCG1 and ABCG4 may
inhibit the development of Alzheimer's disease and can be targets for the
treatment of Alzheimer's disease.
PMID- 27196070
TI - Aspects of cerebral plasticity related to clinical features in acute vestibular
neuritis: a "starting point" review from neuroimaging studies.
AB - Vestibular neuritis (VN) is one of the most common causes of vertigo and is
characterised by a sudden unilateral vestibular failure (UVF). Many neuroimaging
studies in the last 10 years have focused on brain changes related to sudden
vestibular deafferentation as in VN. However, most of these studies, also due to
different possibilities across diverse centres, were based on different times of
first acquisition from the onset of VN symptoms, neuroimaging techniques,
statistical analysis and correlation with otoneurological and psychological
findings. In the present review, the authors aim to merge together the
similarities and discrepancies across various investigations that have employed
neuroimaging techniques and group analysis with the purpose of better
understanding about how the brain changes and what characteristic clinical
features may relate to each other in the acute phase of VN. Six studies that
strictly met inclusion criteria were analysed to assess cortical-subcortical
correlates of acute clinical features related to VN. The present review clearly
reveals that sudden UVF may induce a wide variety of cortical and subcortical
responses - with changes in different sensory modules - as a result of acute
plasticity in the central nervous system.
PMID- 27196071
TI - Intraoperative radiation therapy as adjuvant treatment in locally advanced stage
tumours involving the middle ear: a hypothesis-generating retrospective study.
AB - The objective of this study was to evaluate the safety, effectiveness and
functional outcomes of intraoperative radiotherapy (IORT) followed by intensity
modulated radiation therapy (IMRT) in locally advanced stage tumours involving
the middle ear. Data on 13 consecutive patients treated for malignant tumor of
external auditory canal involving the middle ear were retrospectively reviewed.
Median follow-up was 33 months (range 6-133). Five (38%) patients were stage III
and 8 (62%) were Stage IV according to the University of Pittsburgh staging
system. Lateral temporal bone resection (LTBR) was performed in all cases. LTBR
was associated with parotidectomy in 5 (38%) cases, and with neck dissection and
parotidectomy in 6 (46%) cases. No patients had gross residual tumour. Surgical
treatment was followed by IORT (12 Gy) and IMRT (50 Gy). Adjuvant chemotherapy
was used in 4 (30%) cases. Preoperative and postoperative audiometric tests were
performed to assess hearing loss. 5-year local-control (LC), 5-year distant
metastasis (DM), 5-year disease-free-survival (DFS) and 5-year overall-survival
(OS) were calculated with Kaplan-Meyer method. Significant changes in bone
conduction were reported after treatment. Partial flap necrosis was the only
early complication observed in three (23%) cases, while meningeal fistula was
seen in one (7.6%) case as a late complication. The 5-year LC-rate was 68%. The 5
year DM-rate was 90%. The 5-year DFS-rate was 61%. The 5-year OS-rate was 69%.
IORT followed by IMRT for the treatment of advanced external auditory canal and
middle ear tumours seems to be safe. No intraoperative death was reported. IORT
may reduce the postoperative irradiation of remnant tissue obtaining the same
full dose on the tumour bed. No complications of the residual external ear were
observed. Detriment of neurosensory hearing may be expected. Future studies are
required to confirm the benefit of this procedure in the ear.
PMID- 27196073
TI - The effects of inferior turbinoplasty on nasal airflow during cosmetic
rhinoplasty.
AB - Rhinoplasty is one of the most common and challenging cosmetic procedures. One of
the complications of rhinoplasty associated with dissatisfaction is nasal
obstruction, which is often due to narrowing of the nasal valve area. Application
of certain procedures such as turbinoplasty can prevent and correct this problem.
This study aim was to investigate the effect of inferior turbinoplasty in
reduction of airflow resistance and nasal obstruction. Using active anterior
rhinomanometry, nasal airflow was measured in 50 patients who underwent cosmetic
rhinoplasty and bilateral turbinoplasty before and 6 months after surgery. None
of the patients subjectively complained of nasal obstruction before or after
surgery. According to rhinomanometry results, improvement in nasal airflow was
seen both in inspiration and expiration, although only expiration was significant
(p = 0.034). Airflow changes in males and females and in different age groups was
not significant (p > 0.05). It appears that rhinoplasty does not adversely affect
nasal airflow when it is accompanied by simple adjuvant procedure inferior
turbinoplasty.
PMID- 27196072
TI - Post-operative pain management in head and neck cancer patients: predictive
factors and efficacy of therapy.
AB - There is increasing interest about all aspects of pain sensation for patients
undergoing head and neck surgery, and efforts have been made to better assess,
monitor and reduce the occurrence of pain. The aetiology of pain is considered to
be "multifactorial", as it is defined by several features such as personal
experience, quality perception, location, intensity and emotional impact. The aim
of this paper is: (i) to evaluate the efficacy of analgesic treatment in patients
with head and neck cancer treated by surgery, and (ii) to study the variables and
predictive factors that can influence the occurrence of pain. A total of 164
patients, affected by head and neck cancer and surgically treated, between
December 2009 and December 2013, were included in this study. Data collected
include age, gender, assessment of anaesthetic risk, tumour localisation,
pathological cancer stage, TNM stage, type of surgery performed, complexity and
duration of surgery, post-operative complications, postoperative days of hospital
stay and pain evaluation on days 0, 1, 3 and 5 post-surgery. We studied the
appropriateness of analgesic therapy in terms of incidence and prevalence of post
operative pain; we also related pain to patient characteristics, disease and
surgical treatment to determine possible predictive factors. The population
studied received adequate pain control through analgesic therapy immediately post
surgery and in the following days. No associations between gender, age and post
operative pain were found, whereas pathological cancer stage, complexity of
surgery and tumour site were significantly associated with the risk of post
operative pain. Adequate pain control is essential in oncological patients, and
particularly in head and neck cancer patients as the prevalence of pain in this
localisation is reported to be higher than in other anatomical sites. Improved
comprehension of the biological and psychological factors that characterise pain
perception will help to enhance its control in the future.
PMID- 27196074
TI - In vivo tissue response and durability of five novel synthetic polymers in a
rabbit model.
AB - Alloplastic materials are frequently used in facial plastic surgeries such as
rhinoplasty and nasal reconstruction. Unfortunately, the ideal alloplastic
material has not been found. This experimental study evaluates the tissue
response and durability of five novel polymers developed as an alloplastic
material. In this experimental study involving a tertiary university hospital,
six subcuticular pockets were formed at the back of 10 rabbits for the
implantation of each polymer and sham group. Each pocket was excised with its
adjacent tissue after three months, and collected for histopathological
examination. Semi-quantitative examination including neovascularisation,
inflammation, fibrosis, abscess formation, multinucleated foreign body giant
cells was performed, and integrity of polymer was evaluated. A statistical
comparison was performed. No statically significant difference was detected in
neovascularisation, inflammation, fibrosis, abscess formation and multinucleated
foreign body giant cells when a paired comparison between sham and polymer II,
III and IV groups was performed individually. Nevertheless, the degree of
fibrosis was less than sham group in polymer I (p = .027) and V (p = .018),
although the other variables were almost similar. The integrity of polymers III
(9 intact, 1 fragmented) and IV (8 intact, 2 absent) was better than the other
polymers. These novel synthetic polymers could be considered as good candidates
for clinical applicability. All polymers provided satisfactory results in terms
of tissue response; however, fibrovascular integration was higher in polymers II,
III and IV. In addition, the durability of polymer III and IV was better than the
others.
PMID- 27196075
TI - Endoscopic endonasal approach to the craniocervical junction: the importance of
anterior C1 arch preservation or its reconstruction.
AB - We report our experience with the endoscopic endonasal approaches (EEA) for
different craniocervical junction (CCJ) disorders to analyse outcomes and
demonstrate the importance and feasibility of anterior C1 arch preservation or
its reconstruction. Between January 2009 and December 2013, 10 patients underwent
an endoscopic endonasal approach for different CCJ pathologies at our
Institution. In 8 patients we were able to preserve the anterior C1 arch, while
in 2 post-traumatic cases we reconstructed it. The CCJ disorders included 4 cases
of irreducible anterior bulbo-medullary compression secondary to rheumatoid
arthritis or CCJ anomalies, 4 cases of inveterate fractures of C1 and/or C2 and 2
tumours. Pre- and postoperative neuroradiological evaluation was always obtained
by magnetic resonance imaging (MRI), computed tomographic (CT) scanning and
dynamic cranio-vertebral junction x-ray. Pre- and postoperative neurologic
disability assessment was obtained by Ranawat classification for patients with
rheumatoid arthritis and by Nurick classification for the others. At a mean
follow-up of 31 months (range: 14-73 months), an improvement of at least one
Ranawat or Nurick classification level was observed in 6 patients, while in
another 4 patients neurological conditions were stable. Radiological follow-up
revealed an adequate bulbo-medullary decompression in all patients and a regular
bone fusion in cases of C1 and/or C2 fractures. In all patients spinal stability
was preserved and none required subsequent posterior fixation. The endoscopic
endonasal surgery provided adequate exposure and a low morbidity minimally
invasive approach to the antero-medial located lesions of the CCJ, resulting in a
safe, effective and well-tolerated procedure. This approach allowed preservation
of the anterior C1 arch and the avoidance of a posterior fixation in all patients
of this series, thus preserving the rotational movement at C0-C2 segment and
reducing the risk of a subaxial instability development.
PMID- 27196076
TI - Idiopathic sensorineural hearing loss in the only hearing ear.
AB - A retrospective chart review was used for 31 patients with sudden, progressive or
fluctuating sensorineural hearing loss (SHL) in the only hearing ear who had been
consecutively evaluated at the ENT, Audiology and Phoniatrics Unit of the
University of Pisa. The group of patients was evaluated with a complete history
review, clinical evaluation, imaging exam (MRI, CT), audiologic tests (tone and
speech audiometry, tympanometry, study of stapedial reflexes, ABR and otoacoustic
emission) evaluation. In order to exclude genetic causes, patients were screened
for CX 26 and CX30 mutations and for mitochondrial DNA mutation A1555G. Patients
with sudden or rapidly progressive SHL in the only hearing ear were treated with
osmotic diuretics and corticosteroids. In patients who did not respond to
intravenous therapy we performed intratympanic injections of corticosteroid.
Hearing aids were fitted when indicated and patients who developed severe to
profound SHL were scheduled for cochlear implant surgery. The aim of this study
is to report and discuss the epidemiology, aetiopathogenesis, therapy and
clinical characteristic of patients affected by SHL in the only hearing hear and
to discuss the issues related to the cochlear implant procedure in some of these
patients, with regard to indications, choice of the ear to implant and results.
PMID- 27196077
TI - Early and late surgical site infections in ear surgery.
AB - A retroauricular approach is routinely used for treating chronic otitis media.
The incidence of surgical site infections after ear surgery is around 10% in
contaminated or dirty procedures. This observational prospective study describes
surgical site infections after chronic otitis media surgery with the
retroauricular approach and investigated their potential predictive factors. This
observational prospective study included patients suffering from chronic otitis
media and eligible for therapeutic surgery with a retroauricular approach. During
follow-up, surgical site infections were defined as "early" if occurring within
30 days after surgery or as "late" if occurring thereafter. The data of 102
patients were analysed. Concerning early surgical site infections, four cases
were diagnosed (3.9%) and a significant association was found with preoperative
antibiotic therapy, wet ear at pre-operative examination, class III
(contaminated) in the surgical wound classification, NNIS (National Nosocomial
Infection Surveillance) index > 1, and oral post-operative antibiotic use. Seven
late surgical site infections were diagnosed (7.1%) between 90 and 160 days after
surgery and were significantly correlated to otorrhoea during the 6 months before
surgery, surgery duration <=60 minutes, canal wall down technique and use of
fibrin glue. Surgical site infections after chronic otitis media surgery seem to
be associated with factors related to the inflammatory state of the middle ear at
the time of surgery in early infections and with chronic inflammation in late
infections.
PMID- 27196078
TI - Can the learning curve in stapes surgery predict future functional outcome?
AB - Over the last 20 years, the number of stapes operations performed has decreased
steadily. This inadequate exposure to stapes surgery poses problems for both
trainees and trainers. We retrospectively reviewed the outcomes of stapedotomy
performed by a young physician at the ENT Clinic of the "A. Gemelli" Hospital of
Rome. We used the technique of "one-shot" CO2 Laser stapedotomy using a titanium
Teflon piston. For data analysis, we considered the audiograms obtained 24 hours
preoperatively and at the last follow-up examination (mean 45 months). Air
conduction (AC) and bone conduction (BC) PTA were calculated for 0.25, 0.5, 1, 2
and 4 kHz thresholds. Air bone gap (ABG) were obtained from ACPTA and BCPTA.
Postoperative hearing gain was calculated from the ABG before the operation minus
the ABG at late follow-up examination. Analysis of outcomes did not show a clear
endpoint for the learning curve; complete closure of the ABG was obtained in a
large number of patients at the beginning followed by patients who showed a
higher ABG. Fortunately, we did not observed any "dead ear". The study supports a
learning curve in stapes surgery, but the results can vary widely among surgeries
with excellent results followed by others that are not fully satisfactory. Stapes
surgery should not be one of the first ear surgeries performed by a young
otologist due to the functional outcome expected by patients and the lack of
necessary surgical skills.
PMID- 27196079
TI - Oral cavity reconstruction with the masseter flap.
AB - The purpose of this report is to highlight how an unusual, outdated, unpopular
and overlooked reconstructive method such as the masseter flap can be a reliable,
straightforward and effective solution for oral reconstruction in selected cases.
We report the transposition of the masseter crossover flap in two previously pre
treated patients presenting a second primary oral squamous cell carcinoma;
excellent functional results with satisfactory cosmetic appearance were obtained
in both cases. In the literature, only 60 cases of oral cavity and oropharyngeal
reconstructions using the masseter flap have been reported. The possible clinical
utility of this flap, even in modern head and neck reconstructive surgery, is
presented and discussed. We believe that the masseter flap should enter in the
armamentarium of every head and neck surgeon and be kept in mind as a possible
solution since it provides an elegant and extremely simple procedure in
suboptimal cases for microvascular reconstruction.
PMID- 27196080
TI - Endonasal endoscopic resection of ossifying fibroma involving the ethmoid sinus,
orbit and anterior skull base: case report and literature review.
AB - Ossifying fibroma is a benign fibro-osseous tumour that rarely involves the
ethmoid sinuses and orbit. It is classified as a benign fibroosseous lesion, a
term that is synonymous with a variety of lesions reported in the literature.
Recurrence rate with deleterious effects in cases of extramandibular ossifying
fibroma is the impetus for open en bloc resection of the tumour. Continuously
evolving techniques in endonasal endoscopic sinus surgery has rendered resection
of large benign sinonasal and cephalonasal tumours possible. The authors report a
case of ossifying fibroma involving the ethmoid sinus, orbit and anterior skull
base in a 65-year-old previously healthy woman completely resected by endonasal
endoscopic sinus surgery. The patient was free from postoperative complications
and was dismissed from hospital on the sixth postoperative day. At present, the
patient is disease-free at a regular five-year postoperative follow-up. Endonasal
endoscopic resection of sinonasal ossifying fibromas is an excellent therapeutic
option when performed by a surgeon experienced in endoscopic sinonasal surgery.
The advantages of an endonasal endoscopic approach include direct visualization,
enhanced visibility and magnification resulting in decreased intraoperative and
postoperative morbidity. Aesthetic outcome is excellent in the absence of facial
scars.
PMID- 27196081
TI - Traumatic intra-sphenoidal pseudoaneurysm lodged inside the fractured sphenoidal
sinus.
AB - We describe a case of traumatic intra-sphenoidal right internal carotid artery
pseudoaneurysm lodged inside the fractured sphenoidal sinus that developed in a
patient with a previous history of frontal and skull base fractures involving the
sphenoid sinus and walls of the carotid canal, but with normal intracranial
findings at early CT angiography. The patient presented two episodes of massive
life-threatening delayed epistaxis before successful endovascular treatment
combining the use of coils and an uncovered stent was instituted. This case
report highlights that patients with head trauma who present sphenoid sinus
fractures with or without massive epistaxis should be evaluated for the
development of traumatic internal carotid artery pseudoaneurysm as soon as
possible. If the first angiographic evaluation reveals normal findings, repeated
epistaxis should prompt a second angiographic evaluation because psudoaneurysm
takes time to develop. Early treatment with uncovered stent of the aneurysm can
be a life-saving therapeutic approach.
PMID- 27196082
TI - Associations Between Parkinson Disease and Cancer in US Asian Americans.
PMID- 27196084
TI - Repeated sustained release dinoprostone vaginal inserts in women with unfavorable
cervix may increase the risk of postpartum hemorrhage: preliminary results.
PMID- 27196083
TI - Validation of Novel Biomarkers for Prostate Cancer Progression by the Combination
of Bioinformatics, Clinical and Functional Studies.
AB - The identification and validation of biomarkers for clinical applications remains
an important issue for improving diagnostics and therapy in many diseases,
including prostate cancer. Gene expression profiles are routinely applied to
identify diagnostic and predictive biomarkers or novel targets for cancer.
However, only few predictive markers identified in silico have also been
validated for clinical, functional or mechanistic relevance in disease
progression. In this study, we have used a broad, bioinformatics-based approach
to identify such biomarkers across a spectrum of progression stages, including
normal and tumor-adjacent, premalignant, primary and late stage lesions.
Bioinformatics data mining combined with clinical validation of biomarkers by
sensitive, quantitative reverse-transcription PCR (qRT-PCR), followed by
functional evaluation of candidate genes in disease-relevant processes, such as
cancer cell proliferation, motility and invasion. From 300 initial candidates,
eight genes were selected for validation by several layers of data mining and
filtering. For clinical validation, differential mRNA expression of selected
genes was measured by qRT-PCR in 197 clinical prostate tissue samples including
normal prostate, compared against histologically benign and cancerous tissues.
Based on the qRT-PCR results, significantly different mRNA expression was
confirmed in normal prostate versus malignant PCa samples (for all eight genes),
but also in cancer-adjacent tissues, even in the absence of detectable cancer
cells, thus pointing to the possibility of pronounced field effects in prostate
lesions. For the validation of the functional properties of these genes, and to
demonstrate their putative relevance for disease-relevant processes, siRNA knock
down studies were performed in both 2D and 3D organotypic cell culture models.
Silencing of three genes (DLX1, PLA2G7 and RHOU) in the prostate cancer cell
lines PC3 and VCaP by siRNA resulted in marked growth arrest and cytotoxicity,
particularly in 3D organotypic cell culture conditions. In addition, silencing of
PLA2G7, RHOU, ACSM1, LAMB1 and CACNA1D also resulted in reduced tumor cell
invasion in PC3 organoid cultures. For PLA2G7 and RHOU, the effects of siRNA
silencing on proliferation and cell-motility could also be confirmed in 2D
monolayer cultures. In conclusion, DLX1 and RHOU showed the strongest potential
as useful clinical biomarkers for PCa diagnosis, further validated by their
functional roles in PCa progression. These candidates may be useful for more
reliable identification of relapses or therapy failures prior to the recurrence
local or distant metastases.
PMID- 27196085
TI - Hysterectomy for benign disease: clinical practice guidelines from the French
College of Obstetrics and Gynecology.
AB - OBJECTIVE: The objective of the study was to draw up French College of Obstetrics
and Gynecology (CNGOF) clinical practice guidelines based on the best available
evidence concerning hysterectomy for benign disease. METHODS: Each recommendation
for practice was allocated a grade, which depends on the level of evidence
(clinical practice guidelines). RESULTS: Hysterectomy should be performed by a
high-volume surgeon (>10 hysterectomy procedures per year) (gradeC). Stimulant
laxatives taken as a rectal enema are not recommended prior to hysterectomy
(gradeC). It is recommended to carry out vaginal disinfection using povidone
iodine solution prior to hysterectomy (grade B). Antibiotic prophylaxis is
recommended during hysterectomy, regardless of the surgical approach (grade B).
The vaginal or laparoscopic approach is recommended for hysterectomy for benign
disease (grade B), even if the uterus is large and/or the patient is obese
(gradeC). The choice between these two surgical approaches depends on other
parameters, such as the surgeon's experience, the mode of anesthesia, and
organizational constraints (duration of surgery and medical economic factors).
Vaginal hysterectomy is not contraindicated in nulliparous women (gradeC) or in
women with previous cesarean section (gradeC). No specific hemostatic technique
is recommended with a view to avoiding urinary tract injury (gradeC). In the
absence of ovarian disease and a personal or family history of breast/ovarian
carcinoma, the ovaries should be preserved in pre-menopausal women (grade B).
Subtotal hysterectomy is not recommended with a view to reducing the risk of peri
or postoperative complications (grade B). CONCLUSION: The application of these
recommendations should minimize risks associated with hysterectomy.
PMID- 27196088
TI - Defining Priorities for Reducing Disparities in Cancer Mortality.
PMID- 27196090
TI - The New Vital Sign: Where Do You Live?
PMID- 27196086
TI - Comparison of Antibodies with Amylase Activity from Cerebrospinal Fluid and Serum
of Patients with Multiple Sclerosis.
AB - We have recently shown that IgGs from serum and cerebrospinal fluid (CSF) of MS
patients are active in hydrolysis of DNA and myelin basic protein. According to
literature data, anti-DNA and anti-MBP abzymes may promote important
neuropathologic mechanisms in this chronic inflammatory disorder and in MS
pathogenesis development. At the same time, the involvement of antibodies with
amylase activity in the pathogenesis of any autoimmune disease has not yet been
identified. Electrophoretically and immunologically homogeneous IgGs were
obtained by a sequential affinity chromatography of the CSF proteins on protein G
Sepharose and FPLC gel filtration. We are able to present the first unpredictable
evidence showing that IgGs from CSF possess amylase activity and efficiently
hydrolyze maltoheptaose; their average specific Ab activity is ~30-fold higher
than that of antibodies from sera of the same MS patients. Specific average RA
(SAA) for IgGs from healthy volunteers was approximately ~1000 lower than that
for MS patients. In addition, it was shown that a relative SAA of total proteins
of CSF (including Abs) ~15-fold lower than that for purified IgGs, while the
relative SAA of the total sera protein is higher than that of sera IgGs by a
factor of 1033. This result speaks in favor of the fact that amylolytic activity
of CSF proteins is mainly caused by the activity of amylase abzymes. One cannot
exclude, that amylase abzymes of CSF can play a, as yet unknown, role in the
pathogenesis of MS. Some possible reasons of these findings are discussed.
PMID- 27196091
TI - Informing Future Population Health Interventions.
PMID- 27196092
TI - Multilevel Intervention Raises Latina Participation in Mammography Screening:
Findings from !Fortaleza Latina!
AB - BACKGROUND: Breast cancer is the most commonly diagnosed cancer in women in the
United States, and Latinas have relatively low rates of screening participation.
The Multilevel Intervention to Increase Latina Participation in Mammography
Screening study (!Fortaleza Latina!) sought to assess the efficacy of a clinic-
and patient-level program to increase breast cancer screening among Latinas in
Western Washington who seek care at a safety net health center. METHODS: The
study enrolled 536 Latinas ages 42 to 74 who had a primary care clinic visit in
the previous 5 years and had not obtained a mammogram in the previous 2 years.
Participants were block-randomized within clinic to either (i) a control arm
(usual care) or (ii) a promotora-led, motivational interviewing intervention that
included a home visit and telephone follow-up. At the clinic level, two of four
participating clinics were provided additional mammography services delivered by
a mobile mammography unit. RESULTS: Rates of screening mammography 1 year post
randomization were 19.6% in the intervention group and 11.0% in the usual care
group (P < 0.01), based on medical record data. No significant differences in
participants' mammography screening were observed in clinics randomized to
additional mammography services versus usual care (15.8% vs. 14.4%; P = 0.68).
CONCLUSION: This multilevel intervention of promotora-delivered motivational
interviewing and free mammography services modestly raised rates of participation
in breast cancer screening among Latinas. IMPACT: Our findings can inform future
efforts to boost mammography participation in safety net practices. Cancer
Epidemiol Biomarkers Prev; 25(4); 584-92. (c)2016 AACR SEE ALL ARTICLES IN THIS
CEBP FOCUS SECTION, "MULTILEVEL APPROACHES TO ADDRESSING CANCER HEALTH
DISPARITIES".
PMID- 27196089
TI - Recommendations for Cancer Epidemiologic Research in Understudied Populations and
Implications for Future Needs.
AB - Medically underserved populations in the United States continue to experience
higher cancer burdens of incidence, mortality, and other cancer-related outcomes.
It is imperative to understand how health inequities experienced by diverse
population groups may contribute to our increasing unequal cancer burdens and
disparate outcomes. The National Cancer Institute convened a diverse group of
scientists to discuss research challenges and opportunities for cancer
epidemiology in medically underserved and understudied populations. This report
summarizes salient issues and discusses five recommendations from the group,
including the next steps required to better examine and address cancer burden in
the United States among our rapidly increasing diverse and understudied
populations. Cancer Epidemiol Biomarkers Prev; 25(4); 573-80. (c)2016 AACR SEE
ALL ARTICLES IN THIS CEBP FOCUS SECTION, "MULTILEVEL APPROACHES TO ADDRESSING
CANCER HEALTH DISPARITIES".
PMID- 27196094
TI - Prevalence and Framing of Health Disparities in Local Print News: Implications
for Multilevel Interventions to Address Cancer Inequalities.
AB - BACKGROUND: Americans remain under-informed about cancer and other health
disparities and the social determinants of health (SDH). The news media may be
contributing to this knowledge deficit, whether by discussing these issues
narrowly or ignoring them altogether. Because local media are particularly
important in influencing public opinion and support for public policies, this
study examines the prevalence and framing of disparities/SDH in local mainstream
and ethnic print news. METHODS: We conducted a multi-method content analysis of
local mainstream (English language) and ethnic (Spanish language) print news in
two lower income cities in New England with substantial racial/ethnic minority
populations. After establishing intercoder reliability (kappa = 0.63-0.88),
coders reviewed the primary English and Spanish language newspaper in each city,
identifying both disparities and non-disparities health stories published between
February 2010 and January 2011. RESULTS: Local print news coverage of cancer and
other health disparities was rare. Of 650 health stories published across four
newspapers during the one-year study period, only 21 (3.2%) discussed
disparities/SDH. Although some stories identified causes of and solutions for
disparities, these were often framed in individual (e.g., poor dietary habits)
rather than social contextual terms (e.g., lack of food
availability/affordability). Cancer and other health stories routinely missed
opportunities to discuss disparities/SDH. CONCLUSION: Local mainstream and ethnic
media may be ideal targets for multilevel interventions designed to address
cancer and other health inequalities. IMPACT: By increasing media attention to
and framing of health disparities, we may observe important downstream effects on
public opinion and support for structural solutions to disparities, particularly
at the local level. Cancer Epidemiol Biomarkers Prev; 25(4); 603-12. (c)2016 AACR
SEE ALL ARTICLES IN THIS CEBP FOCUS SECTION, "MULTILEVEL APPROACHES TO ADDRESSING
CANCER HEALTH DISPARITIES".
PMID- 27196093
TI - Results of a Multilevel Intervention Trial to Increase Human Papillomavirus (HPV)
Vaccine Uptake among Adolescent Girls.
AB - BACKGROUND: Uptake of the human papillomavirus (HPV) vaccine is low in
Appalachian Ohio and areas with high cervical cancer rates. METHODS: We conducted
a group-randomized trial among 12 counties in Appalachian Ohio randomized to
receive either an HPV vaccine (intervention counties) or influenza vaccine
(comparison counties) multilevel intervention (MLI). Parents (n = 337) who had a
daughter aged 9 to 17 years who had not received the HPV vaccine were recruited
from commercial lists. Clinics (N = 24) and 119 providers from these clinics were
also recruited. The primary outcome was medical record-confirmed receipt of the
first shot of the HPV vaccine 3 months after receiving the intervention among
daughters of parents enrolled in the study. Secondary outcomes included receipt
of the first HPV vaccine shot by 6 months and changes in provider knowledge.
RESULTS: According to medical records, 10 (7.7%) daughters of intervention
participants received the first shot of the HPV vaccine within 3 months of being
sent the intervention materials compared with 4 (3.2%) daughters of comparison
group participants (P = 0.061). By 6 months, 17 (13.1%) daughters of intervention
participants received the first HPV vaccine shot compared with eight (6.5%)
daughters of comparison group participants (P = 0.002). Provider knowledge about
HPV increased (P < 0.001, from baseline to after education). CONCLUSIONS: The MLI
increased uptake of the HPV vaccine among girls aged 9 to 17 years; however,
uptake was low. IMPACT: To improve HPV vaccine uptake, attention to additional
levels of influence (e.g., policy, community) and more elements within levels
(e.g., reminders, automated prompts) may be needed. Cancer Epidemiol Biomarkers
Prev; 25(4); 593-602. (c)2016 AACR SEE ALL ARTICLES IN THIS CEBP FOCUS SECTION,
"MULTILEVEL APPROACHES TO ADDRESSING CANCER HEALTH DISPARITIES".
PMID- 27196095
TI - Coffee Consumption and the Risk of Colorectal Cancer.
AB - BACKGROUND: Coffee contains several bioactive compounds relevant to colon
physiology. Although coffee intake is a proposed protective factor for colorectal
cancer, current evidence remains inconclusive. METHODS: We investigated the
association between coffee consumption and risk of colorectal cancer in 5,145
cases and 4,097 controls from the Molecular Epidemiology of Colorectal Cancer
(MECC) study, a population-based case-control study in northern Israel. We also
examined this association by type of coffee, by cancer site (colon and rectum),
and by ethnic subgroup (Ashkenazi Jews, Sephardi Jews, and Arabs). Coffee data
were collected by interview using a validated, semi-quantitative food frequency
questionnaire. RESULTS: Coffee consumption was associated with 26% lower odds of
developing colorectal cancer [OR (drinkers vs. non-drinkers), 0.74; 95%
confidence interval (CI), 0.64-0.86; P < 0.001]. The inverse association was also
observed for decaffeinated coffee consumption alone (OR, 0.82; 95% CI, 0.68-0.99;
P = 0.04) and for boiled coffee (OR, 0.82; 95% CI, 0.71-0.94; P = 0.004).
Increasing consumption of coffee was associated with lower odds of developing
colorectal cancer. Compared with <1 serving/day, intake of 1 to <2 servings/day
(OR, 0.78; 95% CI, 0.68-0.90; P < 0.001), 2 to 2.5 servings/day (OR, 0.59; 95%
CI, 0.51-0.68; P < 0.001), and >2.5 servings/day (OR, 0.46; 95% CI, 0.39-0.54; P
< 0.001) were associated with significantly lower odds of colorectal cancer
(Ptrend < 0.001), and the dose-response trend was statistically significant for
both colon and rectal cancers. CONCLUSIONS: Coffee consumption may be inversely
associated with risk of colorectal cancer in a dose-response manner. IMPACT:
Global coffee consumption patterns suggest potential health benefits of the
beverage for reducing the risk of colorectal cancer. Cancer Epidemiol Biomarkers
Prev; 25(4); 634-9. (c)2016 AACR.
PMID- 27196097
TI - Bimodal Winter Haul-Out Patterns of Adult Weddell Seals (Leptonychotes weddellii)
in the Southern Weddell Sea.
AB - Hauling out is an essential component of pinniped life-history. Haul-out
behaviour may be affected by biological (e.g. sex, age and condition) and
physical factors (e.g. food availability and environmental conditions), and
identifying these factors may help explain the spatio-temporal distribution and
habitat use of pinnipeds. The aim of this study is to describe observed winter
haul-out patterns of adult Weddell seals in the Weddell Sea and investigate the
role of potential predictors to gain insight into the way these animals interact
with the physical environment in this region. We examined the haul-out behaviour
in relation to available biological (i.e., diving effort, sex) and physical
information (i.e., sun angle). Thirty-three satellite telemetry tags were
deployed on adult Weddell seals in the southern Weddell Sea during February 2007,
2009 and 2011, following their annual moult recording information on the
behavioural mode of the animal: at surface, hauled out or diving. At the end of
the austral summer Weddell seals spent, on average, more than 40% of their time
hauled out on the ice. Under constant light conditions, it appears that
physiological factors drive sex differences in the timing and duration of haul
out behaviour, with females spending on average more time hauled out than males
during daylight hours. This time spent hauled-out declined to around 15% in both
sexes by the beginning of autumn and remained at this level with a clear
nocturnal haul-out pattern during the winter. The time spent diving increased
during this period, indicating an increase in foraging effort during the winter
months, and led to a common haul-out pattern in both sexes over winter. We found
a positive relationship between haul-out duration and the percentage of time
spent diving prior to a haul-out in both sexes, with the exception of female
daytime haul-outs early in the year.
PMID- 27196096
TI - ROS Involves the Fungicidal Actions of Thymol against Spores of Aspergillus
flavus via the Induction of Nitric Oxide.
AB - Aspergillus flavus is a well-known pathogenic fungus for both crops and human
beings. The acquisition of resistance to azoles by A. flavus is leading to more
failures occurring in the prevention of infection by A. flavus. In this study, we
found that thymol, one of the major chemical constituents of the essential oil of
Monarda punctate, had efficient fungicidal activity against A. flavus and led to
sporular lysis. Further studies indicated that thymol treatment induced the
generation of both ROS and NO in spores, whereas NO accumulation was far later
than ROS accumulation in response to thymol. By blocking ROS production with the
inhibitors of NADPH oxidase, NO generation was also significantly inhibited in
the presence of thymol, which indicated that ROS induced NO generation in A.
flavus in response to thymol treatment. Moreover, the removal of either ROS or NO
attenuated lysis and death of spores exposed to thymol. The addition of SNP
(exogenous NO donor) eliminated the protective effects of the inhibitors of NADPH
oxidase on thymol-induced lysis and death of spores. Taken together, it could be
concluded that ROS is involved in spore death induced by thymol via the induction
of NO.
PMID- 27196098
TI - Dynamic Dazzle Distorts Speed Perception.
AB - Static high contrast ('dazzle') patterns, such as zigzags, have been shown to
reduce the perceived speed of an object. It has not escaped our notice that this
effect has possible military applications and here we report a series of
experiments on humans, designed to establish whether dynamic dazzle patterns can
cause distortions of perceived speed sufficient to provide effective defence in
the field, and the extent to which these effects are robust to a battery of
manipulations. Dynamic stripe patterns moving in the same direction as the target
are found to increase the perceived speed of that target, whilst dynamic stripes
moving in the opposite direction to the target reduce the perceived speed. We
establish the optimum position for such dazzle patches; confirm that reduced
contrast and the addition of colour do not affect the performance of the dynamic
dazzle, and finally, using the CO2 challenge, show that the effect is robust to
stressful conditions.
PMID- 27196099
TI - Possible evolutionary origins of human female sexual fluidity.
AB - I propose an evolutionary theory of human female sexual fluidity and argue that
women may have been evolutionarily designed to be sexually fluid in order to
allow them to have sex with their cowives in polygynous marriage and thus reduce
conflict and tension inherent in such marriage. In addition to providing an
extensive definition and operationalization of the concept of sexual fluidity and
specifying its ultimate function for women, the proposed theory can potentially
solve several theoretical and empirical puzzles in evolutionary psychology and
sex research. Analyses of the National Longitudinal Study of Adolescent Health
(Add Health) confirm the theory's predictions that: (i) women (but not men) who
experience increased levels of sexual fluidity have a larger number of children
(suggesting that female sexual fluidity, if heritable, may be evolutionarily
selected); (ii) women (but not men) who experience marriage or parenthood early
in adult life subsequently experience increased levels of sexual fluidity; and
(iii) sexual fluidity is significantly positively correlated with known markers
of unrestricted sexual orientation among women whereas it is significantly
negatively correlated with such markers among men.
PMID- 27196100
TI - Complexities and Perplexities: A Critical Appraisal of the Evidence for Soil
Transmitted Helminth Infection-Related Morbidity.
AB - BACKGROUND: Soil-transmitted helminths (STH) have acute and chronic
manifestations, and can result in lifetime morbidity. Disease burden is difficult
to quantify, yet quantitative evidence is required to justify large-scale
deworming programmes. A recent Cochrane systematic review, which influences
Global Burden of Disease (GBD) estimates for STH, has again called into question
the evidence for deworming benefit on morbidity due to STH. In this narrative
review, we investigate in detail what the shortfalls in evidence are.
METHODOLOGY/PRINCIPAL FINDINGS: We systematically reviewed recent literature that
used direct measures to investigate morbidity from STH and we critically
appraised systematic reviews, particularly the most recent Cochrane systematic
review investigating deworming impact on morbidity. We included six systematic
reviews and meta-analyses, 36 literature reviews, 44 experimental or
observational studies, and five case series. We highlight where evidence is
insufficient and where research needs to be directed to strengthen morbidity
evidence, ideally to prove benefits of deworming. CONCLUSIONS/SIGNIFICANCE:
Overall, the Cochrane systematic review and recent studies indicate major
shortfalls in evidence for direct morbidity. However, it is questionable whether
the systematic review methodology should be applied to STH due to heterogeneity
of the prevalence of different species in each setting. Urgent investment in
studies powered to detect direct morbidity effects due to STH is required.
PMID- 27196101
TI - Histone Modification Is Involved in Okadaic Acid (OA) Induced DNA Damage Response
and G2-M Transition Arrest in Maize.
AB - Histone modifications are involved in regulation of chromatin structure. To
investigate the relationship between chromatin modification and cell cycle
regulation during plant cell proliferation, Okadaic acid (OA), a specific
inhibitor of serine/threonine protein phosphatase, was applied in this study. The
results showed that OA caused the cell cycle arrest at preprophase, leading to
seedling growth inhibition. Western blotting assay revealed that the spatial
distribution of phosphorylation of Ser10 histone H3 tails (H3S10ph) signals was
altered under OA treatment. Reactive oxygen species (ROS) was found to be at
higher levels and TdT-mediated dUTP nick end labeling (TUNEL) assay displayed DNA
breaks happened at the chromatin after treatment with OA, companied with an
increase in the acetylation of histone H4 at lysine 5 (H4K5ac) level. From these
observations, we speculated that the alteration of the spatial distribution of
H3S10ph and the level of H4K5ac was involved in the procedure that OA induced DNA
breaks and G2-M arrested by the accumulation of ROS, and that the histone H3S10ph
and H4K5ac might facilitate DNA repair by their association with the chromatin
decondensation.
PMID- 27196102
TI - Provider-Initiated Late Preterm Births in Brazil: Differences between Public and
Private Health Services.
AB - BACKGROUND: A large proportion of the rise in prematurity worldwide is owing to
late preterm births, which may be due to the expansion of obstetric
interventions, especially pre-labour caesarean section. Late preterm births pose
similar risks to overall prematurity, making this trend a concern. In this study,
we describe factors associated with provider-initiated late preterm birth and
verify differences in provider-initiated late preterm birth rates between public
and private health services according to obstetric risk. METHODS: This is a sub
analysis of a national population-based survey of postpartum women entitled
"Birth in Brazil", performed between 2011 and 2012. We included 23,472 singleton
live births. We performed non-conditional multiple logistic regressions assessing
associated factors and analysing differences between public and private health
services. RESULTS: Provider-initiated births accounted for 38% of late preterm
births; 32% in public health services and 61% in private health services. They
were associated with previous preterm birth(s) and maternal pathologies for women
receiving both public and private services and with maternal age >=35 years for
women receiving public services. Women receiving private health services had
higher rates of provider-initiated late preterm birth (rate of 4.8%) when
compared to the ones receiving public services (rate of 2.4%), regardless of
obstetric risk-adjusted OR of 2.3 (CI 1.5-3.6) for women of low obstetric risk
and adjusted OR of 1.6 (CI 1.1-2.3) for women of high obstetric risk. CONCLUSION:
The high rates of provider-initiated late preterm birth suggests a considerable
potential for reduction, as such prematurity can be avoided, especially in women
of low obstetric risk. To promote healthy births, we advise introducing policies
with incentives for the adoption of new models of birth care.
PMID- 27196105
TI - Effect of age, decentration, aberrations and pupil size on subjective image
quality with concentric bifocal optics.
AB - PURPOSE: We investigated the impact of lens centration, wearer aberrations, pupil
size and age on the optics of two bifocal contact lenses using image simulation.
METHOD: Fourteen conditions (i.e. two optical profiles with two and eight
concentric zones; two conditions of centration: centred and 0.77 mm decentred;
and three conditions of aberrations: 0, 0.15 and 0.35 MUm RMS; three pupil sizes:
3, 4.5 and 6 mm) were tested on two populations (i.e. 20-40 and 40-60 years old)
using a numerical simulation method. For each condition, images were calculated
for proximities ranging from -4D to + 2D with steps of 0.25D. Subjects graded the
quality of each simulated image (i.e. a target 'HEV' of 0.4 logMAR) on a
continuous scale from 0 to 5. To limit the effect of the observer's own
aberrations, subjects viewed the displayed images through a 3-mm pupil and their
optimal correction. RESULTS: Both populations reported similar image quality
(i.e. average absolute difference of 0.23) except for sharp and low contrast
images, which obtained slightly higher grades with younger subjects, probably due
to a better contrast sensitivity in this population. Typical decentration had no
effect on bifocal contact lenses wearers' vision, as the ratio between areas
dedicated to near and distance vision did not change. Aberrations (i.e. mainly
0.24 MUm of spherical aberration on a 4.5-mm pupil) reduced the addition of the
two radial zones bifocal optics and introduced a hyperopic shift (i.e. 0.50D) of
the through-focus image quality for the eight radial zone bifocal lens. The
combination of typical aberrations with typical decentration created the same
effect as typical aberrations alone, meaning that aberration impact was stronger
than decentration impact. The two radial zone bifocal lens was dependent on the
pupil whereas the eight radial zone lens was not. CONCLUSIONS: When fitting new
bifocal optics, the aberrations of the patients, as well as their pupil diameter,
are the main subject dependent parameters influencing quality of vision. Typical
contact lens decentration and lower cortical treatment efficiency of retinal
images of older subjects had relatively little impact.
PMID- 27196103
TI - Thrombospondin 1 Deficiency Ameliorates the Development of Adriamycin-Induced
Proteinuric Kidney Disease.
AB - Accumulating evidence suggests that thrombospondin 1 (TSP1) is an important
player in diabetic nephropathy. However, the role of TSP1 in podocyte injury and
the development of non-diabetic proteinuric kidney disease is largely unknown. In
the current study, by using a well-established podocyte injury model (adriamycin
induced nephropathy mouse model), we examined the contribution of TSP1 to the
development of proteinuric kidney disease. We found that TSP1 was up-regulated in
the glomeruli, notably in podocytes, in adriamycin injected mice before the onset
of proteinuria. ADR treatment also stimulated TSP1 expression in cultured human
podocytes in vitro. Moreover, increased TSP1 mediated ADR-induced podocyte
apoptosis and actin cytoskeleton disorganization. This TSP1's effect was through
a CD36-dependent mechanism and involved in the stimulation of p38MAPK pathway.
Importantly, in vivo data demonstrated that TSP1 deficiency protected mice from
ADR induced podocyte loss and foot process effacement. ADR induced proteinuria,
glomerulosclerosis, renal macrophage infiltration and inflammation was also
attenuated in TSP1 deficient mice. Taken together, these studies provide new
evidence that TSP1 contributes to the development of non-diabetic proteinuric
kidney disease by stimulating podocyte injury and the progression of renal
inflammation.
PMID- 27196106
TI - Macrophages: A review of their role in wound healing and their therapeutic use.
AB - Macrophages are mononuclear phagocytes established during embryogenesis and
derived from the yolk sac or the fetal liver but also recruited from the blood
and bone marrow under proliferative inflammatory conditions (such as tissue
repair). Most importantly, they take on distinct phenotypes and functions crucial
to healing upon localization in the wound. The objective of this review is to
summarize recent findings in regard to the cellular mechanisms of macrophages and
chronic wounds. Advances in the potential use of macrophage therapy have arisen
based, in part, on the fact that early recruitment of macrophages is critical to
wound healing. Higher quality evidence is needed to support the use of macrophage
therapy for chronic wound types, as is a better understanding of the signaling
related to macrophage polarization, activation of macrophages, and their effect
of mechanisms of repair. An evaluation of the currently available research on
mechanism of action may lead to a better understanding of the signaling processes
of the many macrophage phenotypes, as well as their roles and outcomes in wound
healing, which could then guide the development and eventual widespread use of
macrophage therapies.
PMID- 27196104
TI - Associations of Hospital and Patient Characteristics with Fluid Resuscitation
Volumes in Patients with Severe Sepsis: Post Hoc Analyses of Data from a
Multicentre Randomised Clinical Trial.
AB - PURPOSE: Fluid resuscitation is a key intervention in patients with sepsis and
circulatory impairment. The recommendations for continued fluid therapy in sepsis
are vague, which may result in differences in clinical practice. We aimed to
evaluate associations between hospital and patient characteristics and fluid
resuscitation volumes in ICU patients with severe sepsis. METHODS: We explored
the 6S trial database of ICU patients with severe sepsis needing fluid
resuscitation randomised to hydroxyethyl starch 130/0.42 vs. Ringer's acetate.
Our primary outcome measure was fluid resuscitation volume and secondary outcome
total fluid input administered from 24 hours before randomisation until the end
of day 3 post-randomisation. We performed multivariate analyses with hospital and
patient baseline characteristics as covariates to assess associations with fluid
volumes given. RESULTS: We included 654 patients who were in the ICU for 3 days
and had fluid volumes available. Individual trial sites administered
significantly different volumes of fluid resuscitation and total fluid input
after adjusting for baseline variables (P<0.001). Increased lactate, higher
cardiovascular and renal SOFA subscores, lower respiratory SOFA subscore and
surgery were all independently associated with increased fluid resuscitation
volumes. CONCLUSIONS: Hospital characteristics adjusted for patient baseline
values were associated with differences in fluid resuscitation volumes given in
the first 3 days of severe sepsis. The data indicate variations in clinical
practice not explained by patient characteristics emphasizing the need for RCTs
assessing fluid resuscitation volumes fluid in patients with sepsis.
PMID- 27196107
TI - A Biomathematical Model of Pneumococcal Lung Infection and Antibiotic Treatment
in Mice.
AB - Pneumonia is considered to be one of the leading causes of death worldwide. The
outcome depends on both, proper antibiotic treatment and the effectivity of the
immune response of the host. However, due to the complexity of the immunologic
cascade initiated during infection, the latter cannot be predicted easily. We
construct a biomathematical model of the murine immune response during infection
with pneumococcus aiming at predicting the outcome of antibiotic treatment. The
model consists of a number of non-linear ordinary differential equations
describing dynamics of pneumococcal population, the inflammatory cytokine IL-6,
neutrophils and macrophages fighting the infection and destruction of alveolar
tissue due to pneumococcus. Equations were derived by translating known
biological mechanisms and assuming certain response kinetics. Antibiotic therapy
is modelled by a transient depletion of bacteria. Unknown model parameters were
determined by fitting the predictions of the model to data sets derived from mice
experiments of pneumococcal lung infection with and without antibiotic treatment.
Time series of pneumococcal population, debris, neutrophils, activated epithelial
cells, macrophages, monocytes and IL-6 serum concentrations were available for
this purpose. The antibiotics Ampicillin and Moxifloxacin were considered.
Parameter fittings resulted in a good agreement of model and data for all
experimental scenarios. Identifiability of parameters is also estimated. The
model can be used to predict the performance of alternative schedules of
antibiotic treatment. We conclude that we established a biomathematical model of
pneumococcal lung infection in mice allowing predictions regarding the outcome of
different schedules of antibiotic treatment. We aim at translating the model to
the human situation in the near future.
PMID- 27196108
TI - Evaluation of the Effect of Diagnostic Molecular Testing on the Surgical Decision
Making Process for Patients With Thyroid Nodules.
AB - IMPORTANCE: Diagnostic molecular testing is used in the workup of thyroid
nodules. While these tests appear to be promising in more definitively assigning
a risk of malignancy, their effect on surgical decision making has yet to be
demonstrated. OBJECTIVE: To investigate the effect of diagnostic molecular
profiling of thyroid nodules on the surgical decision-making process. DESIGN,
SETTING, AND PARTICIPANTS: A surgical management algorithm was developed and
published after peer review that incorporated individual Bethesda System for
Reporting Thyroid Cytopathology classifications with clinical, laboratory, and
radiological results. This algorithm was created to formalize the decision-making
process selected herein in managing patients with thyroid nodules. Between April
1, 2014, and March 31, 2015, a prospective study of patients who had undergone
diagnostic molecular testing of a thyroid nodule before being seen for surgical
consultation was performed. The recommended management undertaken by the surgeon
was then prospectively compared with the corresponding one in the algorithm.
Patients with thyroid nodules who did not undergo molecular testing and were seen
for surgical consultation during the same period served as a control group. MAIN
OUTCOMES AND MEASURES: All pertinent treatment options were presented to each
patient, and any deviation from the algorithm was recorded prospectively. To
evaluate the appropriateness of any change (deviation) in management, the
surgical histopathology diagnosis was correlated with the surgery performed.
RESULTS: The study cohort comprised 140 patients who underwent molecular testing.
Their mean (SD) age was 50.3 (14.6) years, and 75.0% (105 of 140) were female.
Over a 1-year period, 20.3% (140 of 688) had undergone diagnostic molecular
testing before surgical consultation, and 79.7% (548 of 688) had not undergone
molecular testing. The surgical management deviated from the treatment algorithm
in 12.9% (18 of 140) with molecular testing and in 10.2% (56 of 548) without
molecular testing (P = .37). In the group with molecular testing, the surgical
management plan of only 7.9% (11 of 140) was altered as a result of the molecular
test. All but 1 of those patients were found to be overtreated relative to the
surgical histopathology analysis. CONCLUSIONS AND RELEVANCE: Molecular testing
did not significantly affect the surgical decision-making process in this study.
Among patients whose treatment was altered based on these markers, there was
evidence of overtreatment.
PMID- 27196109
TI - Immunizing against Anogenital Cancer: HPV Vaccines.
PMID- 27196111
TI - Microwave and Quantum Chemical Study of Intramolecular Hydrogen Bonding in 2
Propynylhydrazine (HC=CCH2NHNH2).
AB - The microwave spectrum of 2-propynylhydrazine (HC=CCH2NHNH2) was investigated in
the 23-124 GHz spectral interval. The spectra of two conformers denoted I and II
were assigned. I is the lower-energy form, and relative intensity measurements
yielded an internal energy difference of 3.0(4) kJ/mol between I and II. The
spectra of the ground and five vibrationally excited states were assigned for I,
whereas only the spectrum of the ground vibrational state was assigned for II.
Both I and II are each stabilized simultaneously by two intramolecular hydrogen
bonds. The first of these hydrogen bonds is formed between the hydrogen atom of
the -NH- part of the hydrazino group, and the second internal hydrogen bond is
formed between one of the hydrogen atoms of the -NH2 part. The pi-electrons of
the triple bond is thus shared by these two hydrogen atoms. The shortest contact
between a hydrogen atom of the hydrazino group and the pi-electrons of the
ethynyl group is found in lower-energy conformer I. The conformational properties
of 2-propynylhydrazine were explored by MP2/cc-pVTZ and CCSD/cc-pVQZ
calculations. The CCSD method predicts that seven rotameric forms exist for this
compound. Five of these rotamers are stabilized by internal hydrogen bonding. The
simultaneous sharing of the pi-electrons of the triple bond by two hydrogen atoms
occurs only in Conformers I and II, which are predicted to be the two forms with
the lowest energies, with I 2.52 kJ/mol lower in energy than II. The effective
rotational constants of the ground vibrational states of I and II were predicted
by a combination of MP2 and CCSD calculations, whereas centrifugal distortion
constants and vibration-rotation constants were calculated by the MP2 method. The
theoretical spectroscopic constants are compared with the experimental
counterparts. It is concluded that more refined calculations are necessary to
obtain complete agreement.
PMID- 27196112
TI - Control of the threshold voltage in ZnO nanobelt field-effect transistors by
using MoO x thin film.
AB - We report on the feasible control of the threshold voltage (V th) in ultra-thin
ZnO nanobelt FETs by using substoichiometric molybdenum trioxide (MoO x , x < 3)
either as a modification layer on the surface of ZnO nanobelts or as electrodes
instead of the widely used Ti/Au. ZnO nanobelt FETs using Ti/Au as the electrodes
usually exhibit a negative threshold voltage, indicating n-channel depletion mode
behavior, whereas ZnO FETs with MoO x /Au electrodes instead of Ti/Au show a
positive shift of threshold voltage, exhibiting an n-channel type enhancement
mode, which can be explained by a high Schottky barrier created at the interface
of MoO x and the ZnO channel. In contrast, the decoration on the surface of ZnO
channel by MoO x significantly increases the zero-bias conductivity and electron
carrier concentration, and then negatively shifts the threshold voltage. We
propose that MoO x thin film may play a passivation effect role, much more so
than the doping effect role, due to the large amount of adsorbed species on as
grown ZnO nanobelts, especially oxygen species.
PMID- 27196110
TI - Coinfections of the Respiratory Tract: Viral Competition for Resources.
AB - Studies have shown that simultaneous infection of the respiratory tract with at
least two viruses is common in hospitalized patients, although it is not clear
whether these infections are more or less severe than single virus infections. We
use a mathematical model to study the dynamics of viral coinfection of the
respiratory tract in an effort to understand the kinetics of these infections.
Specifically, we use our model to investigate coinfections of influenza,
respiratory syncytial virus, rhinovirus, parainfluenza virus, and human
metapneumovirus. Our study shows that during coinfections, one virus can block
another simply by being the first to infect the available host cells; there is no
need for viral interference through immune response interactions. We use the
model to calculate the duration of detectable coinfection and examine how it
varies as initial viral dose and time of infection are varied. We find that
rhinovirus, the fastest-growing virus, reduces replication of the remaining
viruses during a coinfection, while parainfluenza virus, the slowest-growing
virus is suppressed in the presence of other viruses.
PMID- 27196113
TI - Educating teenagers about hearing health by training them to educate children.
AB - OBJECTIVE: We investigated the change in hearing-health behaviour amongst
teenagers trained to deliver the Dangerous Decibels programme to younger
children. DESIGN: The Dangerous Decibels programme uses a two-stage process to
train 8-12 year-old children to protect their hearing from noise: (1) a team of
experts train 'Educators' who (2) give classroom training to children in schools.
Training teenagers as Educators may add a second level of benefit if teenagers
internalize the hearing-health messages that they present and thus protect their
own hearing better. They were assessed before training, immediately after, and
three months later (after all had presented the classroom training) using a
questionnaire. In addition, a focus group was conducted with a subgroup of the
Educators to assess their subjective experience. STUDY SAMPLE: We trained 44
Educators aged 14-17 years. RESULTS: Results were generally positive: there were
significant and sustained improvements in knowledge, self-reported behaviour, and
perceived supports towards protecting hearing, and trends but not significant
changes in attitudes or perceived barriers to hearing protection. CONCLUSIONS:
Providing training to teenagers had benefits beyond the delivery of training to
younger children, but improvements in the delivery model may increase the uptake
and impact on the teenagers.
PMID- 27196114
TI - Aqueous chlorine dioxide treatment of horticultural produce: Effects on microbial
safety and produce quality-A review.
AB - Microbial load on fresh fruit and vegetables causes decay and losses after
harvest and may lead to foodborne illness in case of contamination with human
pathogens on raw consumed produces. Washing with tap water only marginally
reduces microorganisms attached to produce surfaces. Chlorine is widely used for
decontamination on fresh horticultural produces. However, due to harmful by
products and the questionable efficacy it has become increasingly challenged.
During the last 20 years, the interest to study ClO2 treatments as an alternative
sanitation agent for industrially prepared fresh produce has largely increased.
For a wide range of commodities, the application of gaseous ClO2 has meanwhile
been investigated. In addition, since several years, the interest in aqueous ClO2
treatments has further risen because of the better manageability in postharvest
processing lines compared to gaseous application. This article critically
evaluated the effects of postharvest application of aqueous ClO2, either alone or
in combination with other treatments, on microbial loads for various
horticultural produces. In laboratory investigations, application of aqueous ClO2
at concentrations between 3 and 100 ppm effectively reduced counts of natural or
inoculated microorganisms (bacteria, yeasts, and mold) in the range of 1 and 5
log. However, various effects of ClO2 treatments on produce quality have been
described. These mainly comprise implication on sensory and visual attributes. In
this context, there is increasing focus on the potential impacts of aqueous ClO2
on relevant nutritional components of produces such as organic acids or phenolic
substances.
PMID- 27196115
TI - Revisiting the revisit: added evidence for a social chemosignal in human
emotional tears.
AB - In a study by Gelstein et al., we found that human emotional tears act as a
social chemosignal. In the first of three different experiments in that study we
observed that sniffing women's emotional tears reduced the sexual attractiveness
attributed by men to pictures of women's faces. In a study partly titled
"Chemosignaling effects of human tears revisited", Gracanin et al. claim failed
replication of this effect in a series of experiments, one they described as
"exactly the same procedure" as Gelstein. Given that Gracanin et al. refused our
extended offer to jointly replicate the experiment at our expense, we can merely
comment on their effort. We find that Gracanin, who are not a chemosignaling
laboratory, used methodology that falls short of standards typically applied in
chemosignaling research. Thus, their experiments were profoundly different from
Gelstein. Finally, we found that in reanalysing their raw data we could in fact
replicate the effect from Gelstein. Thus, we conclude that the failed replication
in Gracanin is neither a replication nor failed.
PMID- 27196117
TI - Silent AF and Hypertrophic Stroke Risk: More Data, More Monitoring.
PMID- 27196116
TI - PD-L1 testing for lung cancer in the UK: recognizing the challenges for
implementation.
AB - A new approach to the management of non-small-cell lung cancer (NSCLC) has
recently emerged that works by manipulating the immune checkpoint controlled by
programmed death receptor 1 (PD-1) and its ligand programmed death ligand 1 (PD
L1). Several drugs targeting PD-1 (pembrolizumab and nivolumab) or PD-L1
(atezolizumab, durvalumab, and avelumab) have been approved or are in the late
stages of development. Inevitably, the introduction of these drugs will put
pressure on healthcare systems, and there is a need to stratify patients to
identify those who are most likely to benefit from such treatment. There is
evidence that responsiveness to PD-1 inhibitors may be predicted by expression of
PD-L1 on neoplastic cells. Hence, there is considerable interest in using PD-L1
immunohistochemical staining to guide the use of PD-1-targeted treatments in
patients with NSCLC. This article reviews the current knowledge about PD-L1
testing, and identifies current research requirements. Key factors to consider
include the source and timing of sample collection, pre-analytical steps (sample
tracking, fixation, tissue processing, sectioning, and tissue prioritization),
analytical decisions (choice of biomarker assay/kit and automated staining
platform, with verification of standardized assays or validation of laboratory
devised techniques, internal and external quality assurance, and audit), and
reporting and interpretation of the results. This review addresses the need for
integration of PD-L1 immunohistochemistry with other tests as part of locally
agreed pathways and protocols. There remain areas of uncertainty, and guidance
should be updated regularly as new information becomes available.
PMID- 27196118
TI - Managers as role models for health: Moderators of the relationship of
transformational leadership with employee exhaustion and cynicism.
AB - Drawing on social learning literature, this study examined managers' health
awareness and health behavior (health-related self-regulation) as a moderator of
the relationships between transformational leadership and employee exhaustion and
cynicism. In 2 organizations, employees (n = 247; n = 206) rated their own
exhaustion and cynicism, and their managers' transformational leadership.
Managers (n = 57; n = 30) assessed their own health-related self-regulation.
Multilevel modeling showed that, as expected, managers' health awareness
moderated the relationship between transformational leadership and employee
exhaustion and cynicism. Employees experienced less exhaustion and cynicism when
transformational leaders were aware of their own health. Managers' health
behavior moderated the relationship between transformational leadership and
employee exhaustion in 1 organization, but not in the other. With respect to
health behavior, we found no significant results for employee cynicism. In sum,
the results indicate that when managers are role models for health, employees
will benefit more from the transformational leadership style. (PsycINFO Database
Record
PMID- 27196119
TI - Otoscopic diagnosis of otitis media.
AB - Accurate diagnosis of otitis media is important to prevent suffering and
complications when infection is present, and unnecessary antibiotic use when
infection is absent. The usual signs and symptoms of acute otitis media are
unreliable guides in infants and young children. Similarly, middle ear effusions
may present with little discomfort in older children. We therefore depend on
examination of the tympanic membrane with an otoscope to make most diagnoses.
This article aims to improve the accuracy of middle ear diagnosis by pneumatic
otoscopy. It includes descriptions and photographs of the normal ear drum and
illustrates the pathologic changes seen in acute otitis media, long-standing
eustachian tube dysfunction and otitis media with effusion.
PMID- 27196120
TI - Outcomes in coeliac disease: a qualitative exploration of patients' views on what
they want to achieve when seeing a dietitian.
AB - BACKGROUND: Coeliac disease (CD) is managed by life-long adherence to a gluten
free diet and dietitians have the potential to facilitate this. Patient
involvement through shared decision-making is central to behaviour-change skills
used by dietitians, although there is little evidence supporting its inclusion in
evaluating dietetic interventions. The present study aimed to explore patients'
preferences for diet and nutrition-related outcomes in CD. METHODS: Adults with
CD or adult carers of children with CD were invited through support networks.
Participants took part in a telephone, face-to-face interview or focus group
which was audio-recorded and transcribed. Themes were developed using a framework
method. Ethical approval was obtained. RESULTS: Twenty-nine adult patients and
five parents of CD children participated 0-34 years after diagnosis. Four main
outcome-related themes emerged: (i) Participants wanted information specific to
their lifestyle and time since diagnosis, focussing on food containing gluten,
practical issues, prescribable items and general nutrition. (ii) The degree of
satisfaction with the consultation process impacted on participants' experience,
including the dietitian's CD expertise, consistency of the dietitian seen, and
the frequency and length of appointments. (iii) Health concerns were important to
participants and focussed on risk of osteoporosis, unwanted weight gain, and the
fat and sugar content of manufactured gluten-free products. (iv) Clinical
monitoring, including bone scans and antibody measurements, was mentioned but was
not described as being of importance for most participants. CONCLUSIONS: The
outcomes preferred by CD patients and carers focussed primarily on information
and resources received and satisfaction with their dietetic consultation.
PMID- 27196122
TI - Synthesis of Chiral Fluorinated Hydrazines via Pd-Catalyzed Asymmetric
Hydrogenation.
AB - An enantioselective hydrogenation of fluorinated hydrazones has been achieved by
employing [Pd(R)-DTBM-SegPhos(OCOCF3)2] as the catalyst, providing a general and
convenient method toward chiral fluorinated hydrazines. A broad substrate scope
including beta-aryl-, gamma-aryl-, and alkyl-chain-substituted hydrazones worked
efficiently in high yields and up to 94% of enantioselectivity. The reductive
amination between trifluoromethyl-substituted ketones and benzohydrazides could
also proceed smoothly.
PMID- 27196121
TI - Intranasal Dexmedetomidine as a Sedative Premedication for Patients Undergoing
Suspension Laryngoscopy: A Randomized Double-Blind Study.
AB - BACKGROUND: Intranasal dexmedetomidine, a well-tolerated and convenient treatment
option, has been shown to induce a favorable perioperative anxiolysis in
children. We investigate intranasal dexmedetomidine as a sedative premedication
for anesthesia recovery in an adult population. METHODS: A prospective randomized
controlled trial; 81 adult patients scheduled for elective suspension
laryngoscopy received intranasal dexmedetomidine (1 MUg?kg-1) or a placebo 45-60
min before anesthetic induction. Extubation time was used as the primary outcome
measure. Secondary variables included the levels of sedation (Observer's
Assessment of Alertness/Sedation scale, OAA/S) and anxiety (4-point anxiety
score), anesthetic and analgesic requirements, hemodynamic fluctuations, and
anesthesia recovery as well as side effects. RESULTS: The levels of sedation and
anxiety differed significantly between the two groups at anesthesia pre-induction
(p < 0.001 and = 0.001, respectively). Repeated-measure general linear model
determined no significant interaction effect between group and time on the
targeted concentration of propofol (F = 1.635, p = 0.200), but a significant main
effect of group existed (F = 6.880, p = 0.010). A moderate but significant
decrease in the heart rate was recorded in the dexmedetomidine group at pre
induction. Episodes of tachycardia and hypertension after tracheal intubation and
extubation were more frequent in the placebo group. CONCLUSIONS: Intranasal
dexmedetomidine as a sedative premedication induced a favorable perioperative
anxiolysis without prolongation in anesthesia recovery; the hemodynamic effect
was modest. TRIAL REGISTRATION: ClinicalTrials.gov NCT 02108171.
PMID- 27196123
TI - Analysis of the Proteins Secreted from the Oryza meyeriana Suspension-Cultured
Cells Induced by Xanthomonas oryzae pv. oryzae.
AB - Oryza meyeriana, a wild species of rice from China, shows high resistance to
Xanthomonas oryzae pv. oryzae (Xoo), the cause of rice bacterial blight, one of
the most serious rice pathogens. To better understand the resistance mechanism, a
proteomic study was conducted to identify changes in the proteins secreted in
embryo cell suspension cultures in response to Xoo. After two-dimensional
difference gel electrophoresis (2D-DIGE), 72 differentially expressed protein
spots corresponding to 34 proteins were identified by Matrix-Assisted Laser
Desorption/ Ionization Time of Flight Mass Spectrometry. Of the 34 proteins, 10
were up regulated and 24 down regulated. The secreted proteins identified were
predicted to be involved in various biological processes, including signal
transduction, defense, ROS and cell wall modification. 77% of the 34 proteins
were predicted to have a signal peptide by Signal P. Quantitative Real-Time PCR
showed that transcript levels of 14 secreted proteins were not well correlated
with secreted protein levels. Peroxidase activity was up regulated in both O.
meyriana and susceptible rice but was about three times higher in O. meyeriana.
This suggests that peroxidases may play an important role in the early response
to Xoo in O. meyeriana. These results not only provide a better understanding of
the resistance mechanism of O. meyeriana, but have implications for studies of
the interactions between other plants and their pathogens.
PMID- 27196125
TI - Structural insight into antibody-mediated antagonism of the Glucagon-like peptide
1 Receptor.
AB - The Glucagon-like peptide-1 receptor (GLP-1R) is a member of the class B G
protein-coupled receptor (GPCR) family and a well-established target for the
treatment of type 2 diabetes. The N-terminal extracellular domain (ECD) of GLP-1R
is important for GLP-1 binding and the crystal structure of the GLP-1/ECD complex
was reported previously. The first structure of a class B GPCR transmembrane (TM)
domain was solved recently, but the full length receptor structure is still not
well understood. Here we describe the molecular details of antibody-mediated
antagonism of the GLP-1R using both in vitro pharmacology and x-ray
crystallography. We showed that the antibody Fab fragment (Fab 3F52) blocked the
GLP-1 binding site of the ECD directly and thereby acts as a competitive
antagonist of native GLP-1. Interestingly, Fab 3F52 also blocked a short peptide
agonist believed to engage primarily the transmembrane and extracellular loop
region of GLP-1R, whereas functionality of an allosteric small-molecule agonist
was not inhibited. This study has implications for the structural understanding
of the GLP-1R and related class B GPCRs, which is important for the development
of new and improved therapeutics targeting these receptors.
PMID- 27196127
TI - Plasmablastic Richter transformation as a resistance mechanism for chronic
lymphocytic leukaemia treated with BCR signalling inhibitors.
PMID- 27196124
TI - Effect of Dietary Fibers on Cecal Microbiota and Intestinal Tumorigenesis in
Azoxymethane Treated A/J Min/+ Mice.
AB - Foods naturally high in dietary fiber are generally considered to protect against
development of colorectal cancer (CRC). However, the intrinsic effect of dietary
fiber on intestinal carcinogenesis is unclear. We used azoxymethane (AOM) treated
A/J Min/+ mice, which developed a significantly higher tumor load in the colon
than in the small intestine, to compare the effects of dietary inulin (IN),
cellulose (CE) or brewers spent grain (BSG) on intestinal tumorigenesis and cecal
microbiota. Each fiber was tested at two dose levels, 5% and 15% (w/w) content of
the AIN-93M diet. The microbiota was investigated by next-generation sequencing
of the 16S rRNA gene (V4). We found that mice fed IN had approximately 50% lower
colonic tumor load than mice fed CE or BSG (p<0.001). Surprisingly, all three
types of fiber caused a dose dependent increase of colonic tumor load (p<0.001).
The small intestinal tumor load was not affected by the dietary fiber
interventions. Mice fed IN had a lower bacterial diversity than mice fed CE or
BSG. The Bacteroidetes/Firmicutes ratio was significantly (p = 0.003) different
between the three fiber diets with a higher mean value in IN fed mice compared
with BSG and CE. We also found a relation between microbiota and the colonic
tumor load, where many of the operational taxonomic units (OTUs) related to low
tumor load were significantly enriched in mice fed IN. Among the OTUs related to
low tumor load were bacteria affiliated with the Bacteroides genus. These results
suggest that type of dietary fiber may play a role in the development of CRC, and
that the suppressive effect of IN on colonic tumorigenesis is associated with
profound changes in the cecal microbiota profile.
PMID- 27196128
TI - Editorial Comment to Testicular torsion-detorsion and potential therapeutic
treatments: A possible role for ischemic postconditioning.
PMID- 27196126
TI - The Geriatric Nutritional Risk Index Predicts Survival in Elderly Esophageal
Squamous Cell Carcinoma Patients with Radiotherapy.
AB - The impact of nutritional status on survival among elderly esophageal squamous
cell carcinoma (ESCC) patients undergoing radiotherapy is unclear. In this study,
we aimed at validating the performance of the geriatric nutritional risk index
(GNRI) in predicting overall survival time in elderly ESCC patients with
radiotherapy. A retrospective cohort study was conducted on 239 ESCC patients
aged 60 and over admitted consecutively from January 2008 to November 2014 in the
Department of Radiotherapy, Henan Tumor Hospital (Affiliated Tumor Hospital of
Zhengzhou University), Zhengzhou, Henan, China. All patients were subjected to
nutritional screening using GNRI, and were followed for the occurrence of
lymphatic node metastasis, radiation complication and mortality. The Kaplan-Meier
method with Log-rank test was used to estimate survival curves. Univariable Cox
regression analysis was used to identify variables associated with overall
survival time. Among the 239 patients, 184 patients (76.9%) took no nutritional
risk, 32 patients (13.4%) took moderate risk of malnutrition, and 23 patients
(9.7%) took a high risk of malnutrition. Univariable Cox regression showed that
both high nutritional risk group and moderate nutritional risk group were
significantly less likely to survive than no nutritional risk patients (hazard
ratio (HR) = 1.688, 95% confidence interval (CI) = 1.019-2.798 for moderate risk
group, and HR = 2.699, 95% CI = 1.512-4.819 for high risk group, respectively).
The GNRI is an independent prognostic factor for overall survival time in elderly
ESCC patients with radiotherapy. A GNRI <=98 can be suggested as an indicator of
surviving less.
PMID- 27196129
TI - Non-pungent long chain capsaicin-analogs arvanil and olvanil display better anti
invasive activity than capsaicin in human small cell lung cancers.
AB - The nutritional compound capsaicin inhibits the invasion of many types of human
cancers. The clinical development of capsaicin as an anti-cancer drug is limited
due to its unfavorable side effects like burning sensation, stomach cramps, gut
pain and nausea. This study compared the anti-invasive activity of capsaicin to
non-pungent long chain capsaicin analogs, namely arvanil and olvanil, in human
small cell lung cancer cells. Boyden chamber invasion assays revealed that
arvanil and olvanil displayed improved anti-invasive activity relative to
capsaicin in human SCLC cells. The results of the Boyden chamber assay were
confirmed by the spherical invasion assay, and similar results were obtained. The
anti-invasive activity of arvanil, olvanil and capsaicin were independent of TRPV
and CB1 receptors. Furthermore, the anti-invasive activity of arvanil, olvanil
and capsaicin was mediated by the AMPK pathway. Depletion of AMPK levels by siRNA
methodology abrogated the anti-invasive activity of arvanil, olvanil and
capsaicin. The non-pungent capsaicin analogs arvanil and olvanil display improved
anti-invasive activity relative to capsaicin in human SCLC cells. These agents
may represent the second generation of capsaicin-like compounds which are more
potent than the parent molecule and have a better side effect profile.
PMID- 27196131
TI - More than Anecdotes: Fishers' Ecological Knowledge Can Fill Gaps for Ecosystem
Modeling.
AB - BACKGROUND: Ecosystem modeling applied to fisheries remains hampered by a lack of
local information. Fishers' knowledge could fill this gap, improving
participation in and the management of fisheries. METHODOLOGY: The same fishing
area was modeled using two approaches: based on fishers' knowledge and based on
scientific information. For the former, the data was collected by interviews
through the Delphi methodology, and for the latter, the data was gathered from
the literature. Agreement between the attributes generated by the fishers'
knowledge model and scientific model is discussed and explored, aiming to improve
data availability, the ecosystem model, and fisheries management. PRINCIPAL
FINDINGS: The ecosystem attributes produced from the fishers' knowledge model
were consistent with the ecosystem attributes produced by the scientific model,
and elaborated using only the scientific data from literature.
CONCLUSIONS/SIGNIFICANCE: This study provides evidence that fishers' knowledge
may suitably complement scientific data, and may improve the modeling tools for
the research and management of fisheries.
PMID- 27196130
TI - X-ray Structure Analysis of Indazolium trans-[Tetrachlorobis(1H
indazole)ruthenate(III)] (KP1019) Bound to Human Serum Albumin Reveals Two
Ruthenium Binding Sites and Provides Insights into the Drug Binding Mechanism.
AB - Ruthenium(III) complexes are promising candidates for anticancer drugs,
especially the clinically studied indazolium trans-[tetrachlorobis(1H
indazole)ruthenate(III)] (KP1019) and its analogue sodium trans
[tetrachlorobis(1H-indazole)ruthenate(III)] (NKP-1339). Several studies have
emphasized the likely role of human serum proteins in the transportation and
accumulation of ruthenium(III) complexes in tumors. Therefore, the interaction
between KP1019 and human serum albumin was investigated by means of X-ray
crystallography and inductively coupled plasma mass spectrometry (ICP-MS). The
structural data unambiguously reveal the binding of two ruthenium atoms to
histidine residues 146 and 242, which are both located within well-known
hydrophobic binding pockets of albumin. The ruthenium centers are octahedrally
coordinated by solvent molecules revealing the dissociation of both indazole
ligands from the ruthenium-based drug. However, a binding mechanism is proposed
indicating the importance of the indazole ligands for binding site recognition
and thus their indispensable role for the binding of KP1019.
PMID- 27196135
TI - En Bloc Cervical Laminoplasty Using Translaminar Screws (T-laminoplasty): Novel
Procedure for Preserving Midline Ligamentous Structures.
AB - STUDY DESIGN: Prospectively maintained and retrospectively analyzed study.
OBJECTIVE: The authors have newly developed an en bloc cervical laminoplasty
using translaminar screws (T-laminoplasty) to preserve the posterior midline
structures so as to maintain spinal stability and prevent postoperative axial
pain and deformity. SUMMARY OF BACKGROUND DATA: Cervical laminoplasty is a
popular surgical procedure for patients with multilevel compressive cervical
lesions. However, several reports have noted its limitations and shortcomings.
METHODS: After exposure of the posterior cervical spine with preservation of the
midline ligamentous structure, en bloc laminotomy was performed and made a
laminectomized block. While the laminotomized block was being lifted, the
translaminar trajectory from the lamina to the contralateral lateral mass was
prepared. Then a translaminar screw was inserted with suspension of the
laminotomized block to expand the spinal canal, passed through the laminar
spacer, and finally fixed in the contralateral lateral mass. Next, another screw
was inserted into the adjacent segment from the opposite side, and further screw
fixations were made in this alternating manner. RESULTS: Twenty patients
underwent T-laminoplasty and 83 segments were operated upon. Clinical outcomes
were statistically improved during the mean follow-up period of 19.7 months.
Radiologic outcomes of cervical lordosis and range of motion were preserved with
the expansion of the cross-sectional area of the spinal canal. In addition, no
restenosis or laminar settlement was observed at the last follow-up. CONCLUSIONS:
T-laminoplasty can be one of the surgical options for multilevel compressive
cervical lesions. With midline ligamentous structures preserving the procedure,
it was possible to get enough canal decompression and foraminal decompression,
while obtaining good clinical and radiologic outcomes.
PMID- 27196140
TI - Thermodynamic Destabilization of Ti-O Solid Solution by H2 and Deoxygenation of
Ti Using Mg.
AB - Reactive metals including Ti, Zr, Hf, and V, among others, have a strong chemical
affinity to oxygen, which makes them difficult to produce and costly to use. It
is especially challenging to produce pure or metal alloy powders of these
elements when extremely low oxygen content is required, because they have high
solubility for oxygen, and the solid solution of these metals with oxygen is
often more stable thermodynamically than their oxides. We report a novel
thermochemical approach to destabilize Ti(O) solid solutions using hydrogen, thus
enabling deoxygenation of Ti powder using Mg, which has not been possible before
because of the thermodynamic stability of Ti(O) solid solutions relative to MgO.
The work on Ti serves as an example for other reactive metals. Both analytical
modeling and experimental results show that hydrogen can indeed increase the
oxygen potential of Ti-O solid solution alloys; in other words, the stability of
Ti-O solid solutions is effectively decreased, thus increasing the thermodynamic
driving force for Mg to react with oxygen in Ti. Because hydrogen can be easily
removed from Ti by a simple heat treatment, it is used only as a temporary
alloying element to destabilize the Ti-O systems. The thermodynamic approach
described here is a breakthrough and is applicable to a range of different
materials. This work is expected to provide an enabling solution to overcome one
of the key scientific and technological hurdles to the additive manufacturing of
metals, which is emerging rapidly as the future of the manufacturing industry.
PMID- 27196139
TI - Early 18F-FDG PET/CT Evaluation Shows Heterogeneous Metabolic Responses to Anti
EGFR Therapy in Patients with Metastatic Colorectal Cancer.
AB - OBJECTIVE: The aim of this pilot study was to explore intrapatient mixed
metabolic response and early 18F-FDG PET response evaluation using predefined
quantification strategies in patients with advanced KRAS wild-type colorectal
adenocarcinoma (mCRC) treated with cetuximab. METHODS: A 18F-FDG PET was
performed at baseline and after 2 cycles of cetuximab. Metabolic response was
categorized using thresholds suggested in PERCIST. Quantitative analysis was done
for the sum of all target lesions, <= 5 lesions and the metabolically most active
lesion per PET. Quantitative data were correlated with clinical benefit,
according to RECIST v1.1, after two months of treatment. RESULTS: In nine
evaluable patients the total number of target lesions was 34 (1-8 per patient).
Mixed metabolic response was observed in three out of seven patients with
multiple target lesions, using TLG. Dichotomised metabolic data of the sum of all
or <= 5 lesions had a concordance with clinical benefit of 89% using SULmax or
SULpeak, and 100% using TLG. Evaluating the metabolically most active lesion,
concordance was 89% for all three units. Additionally, the decrease in TLG was
significantly correlated with PFS for all three quantification strategies.
CONCLUSION: Mixed metabolic response was observed in nearly half of the patients
with advanced KRAS wild-type mCRC treated with cetuximab. If <= 5 target lesions
were evaluated using TLG clinical benefit was predicted correctly for all
patients. Moreover, decrease in TLG is significantly correlated with the duration
of PFS. Validation of these promising preliminary results in a larger cohort is
currently on-going. TRIAL REGISTRATION: ClinicalTrials.gov NCT01691391.
PMID- 27196138
TI - Rescuing Perishable Neuroanatomical Information from a Threatened Biodiversity
Hotspot: Remote Field Methods for Brain Tissue Preservation Validated by
Cytoarchitectonic Analysis, Immunohistochemistry, and X-Ray Microcomputed
Tomography.
AB - Biodiversity hotspots, which harbor more endemic species than elsewhere on Earth,
are increasingly threatened. There is a need to accelerate collection efforts in
these regions before threatened or endangered species become extinct. The diverse
geographical, ecological, genetic, morphological, and behavioral data generated
from the on-site collection of an individual specimen are useful for many
scientific purposes. However, traditional methods for specimen preparation in the
field do not permit researchers to retrieve neuroanatomical data, disregarding
potentially useful data for increasing our understanding of brain diversity.
These data have helped clarify brain evolution, deciphered relationships between
structure and function, and revealed constraints and selective pressures that
provide context about the evolution of complex behavior. Here, we report our
field-testing of two commonly used laboratory-based techniques for brain
preservation while on a collecting expedition in the Congo Basin and Albertine
Rift, two poorly known regions associated with the Eastern Afromontane
biodiversity hotspot. First, we found that transcardial perfusion fixation and
long-term brain storage, conducted in remote field conditions with no access to
cold storage laboratory equipment, had no observable impact on cytoarchitectural
features of lizard brain tissue when compared to lizard brain tissue processed
under laboratory conditions. Second, field-perfused brain tissue subjected to
prolonged post-fixation remained readily compatible with subsequent
immunohistochemical detection of neural antigens, with immunostaining that was
comparable to that of laboratory-perfused brain tissue. Third, immersion-fixation
of lizard brains, prepared under identical environmental conditions, was readily
compatible with subsequent iodine-enhanced X-ray microcomputed tomography, which
facilitated the non-destructive imaging of the intact brain within its skull. In
summary, we have validated multiple approaches to preserving intact lizard brains
in remote field conditions with limited access to supplies and a high degree of
environmental exposure. This protocol should serve as a malleable framework for
researchers attempting to rescue perishable and irreplaceable morphological and
molecular data from regions of disappearing biodiversity. Our approach can be
harnessed to extend the numbers of species being actively studied by the
neuroscience community, by reducing some of the difficulty associated with
acquiring brains of animal species that are not readily available in captivity.
PMID- 27196142
TI - Neighborhood disadvantage, individual-level socioeconomic position and physical
function: A cross-sectional multilevel analysis.
AB - INTRODUCTION: Understanding associations between physical function and
neighborhood disadvantage may provide insights into which interventions might
best contribute to reducing socioeconomic inequalities in health. This study
examines associations between neighborhood-disadvantage, individual-level
socioeconomic position (SEP) and physical function from a multilevel perspective.
METHODS: Data were obtained from the HABITAT multilevel longitudinal (2007-13)
study of middle-aged adults, using data from the fourth wave (2013). This
investigation included 6004 residents (age 46-71years) of 535 neighborhoods in
Brisbane, Australia. Physical function was measured using the PF-10 (0-100), with
higher scores indicating better function. The data were analyzed using multilevel
linear regression and were extended to test for cross-level interactions by
including interaction terms for different combinations of SEP (education,
occupation, household income) and neighborhood disadvantage on physical function.
RESULTS: Residents of the most disadvantaged neighborhoods reported significantly
lower physical function (men: beta -11.36 95% CI -13.74, -8.99; women: beta
11.41 95% CI -13.60, -9.22). These associations remained after adjustment for
individual-level SEP. Individuals with no post-school education, those
permanently unable to work, and members of the lowest household income had
significantly poorer physical function. Cross-level interactions suggested that
the relationship between household income and physical function is different
across levels of neighborhood disadvantage for men; and for education and
occupation for women. CONCLUSION: Living in a disadvantaged neighborhood was
negatively associated with physical function after adjustment for individual
level SEP. These results may assist in the development of policy-relevant
targeted interventions to delay the rate of physical function decline at a
community-level.
PMID- 27196141
TI - A behavioral economic perspective on smoking persistence in serious mental
illness.
AB - Serious mental illness (SMI) is associated with disproportionately high rates of
cigarette smoking. The identification of factors that contribute to persistent
smoking in people with SMI may lead to the development and adoption of tobacco
control policies and treatment approaches that help these smokers quit. This
commentary examines factors underlying smoking persistence in people with SMI
from the perspective of behavioral economics, a discipline that applies economic
principles to understanding drug abuse and dependence. Studies, conducted in the
Northeastern US within the past 30years, that compare the reinforcing effects of
nicotine and the costs of smoking in smokers with and without schizophrenia and
depression are discussed, and interventions that may reduce the reinforcing
efficacy of nicotine and increase the costs of smoking in people with SMI are
described.
PMID- 27196145
TI - Association of childhood and teen school performance and obesity in young
adulthood in the US National Longitudinal Survey of Youth.
AB - BACKGROUND: The literature suggests an association between poor school
performance and obesity. However, little is known about academic achievement and
behavior as possible risk factors for future obesity. METHOD: The analysis was
based on data from 3172 participants aged 6 to 25years from the US National
Longitudinal Survey conducted 1986 to 2010. Academic achievement, behavior
problems and body mass index (BMI) were assessed at childhood (6-9) and teenhood
(10-14). Height and weight were self-reported at pre-young adulthood (15-18) and
young adulthood (19-25). RESULTS: Based on logistic regression stratified by sex
and race/ethnicity, academic and behavioral deficiencies during childhood and
teenhood were risk factors for young adult obesity with some sex and
ethnic/racial differences. The highest prevalence rates of obesity by
race/ethnicity and sex are as follows: black/Hispanic females, those in the
lowest quartile of teen reading and math (32.8%); black/Hispanic males, those in
lowest quartile of teen reading (26.1%); white males, those in the highest
quartile of behavioral problems (21.9%); and white females, those in the lowest
quartile teen math (23.2%). CONCLUSION: Poor school performance in childhood and
teenhood is associated with an increased risk of adult obesity. Prospective
studies should further examine the association of school performance and adult
obesity and whether programs directed at improving school performance may have
secondary gains in preventing obesity.
PMID- 27196144
TI - Relationships of nativity and length of residence in the U.S. with favorable
cardiovascular health among Hispanics/Latinos: The Hispanic Community Health
Study/Study of Latinos (HCHS/SOL).
AB - Individuals with favorable levels of all readily measured major CVD risk factors
(low CV risk) during middle age incur lower cardiovascular morbidity and
mortality, lower all-cause mortality, and lower Medicare costs at older ages
compared to adults with one or more unfavorable CVD risk factors. Studies on
predictors of low CV risk in Hispanics/Latinos have focused solely on Mexican
Americans. The objective of this study was to use data from the Hispanic
Community Health Study/Study of Latinos (HCHS/SOL; enrolled 2008 to 2011) to
assess relationships of nativity and length of residence in the US, a commonly
used proxy for acculturation, with low CV risk (not currently smoking; no
diabetes; untreated total cholesterol <200mg/dL; untreated blood
pressure<120/<80; body mass index <25kg/m(2); and no major ECG abnormalities) in
15,047 Central American, South American, Cuban, Dominican, Mexican, Puerto Rican
men and women, and Hispanic/Latino men and women identifying as other or >1
heritage. We also tested whether associations varied by Hispanic/Latino
background. Women living in the US<10years were 1.96 (95% confidence interval:
1.37, 2.80) times more likely to be low CV risk than US-born women after
adjusting for sociodemographic characteristics, diet, physical activity, and self
reported experiences of ethnic discrimination. Findings varied in men by
Hispanic/Latino background, but length of residence was largely unrelated to low
CV risk. These findings highlight the role acculturative processes play in
shaping cardiovascular health in Hispanics/Latinos.
PMID- 27196143
TI - A review of epidemiologic research on smoking behavior among persons with alcohol
and illicit substance use disorders.
AB - Persons with alcohol use disorders (AUDs) and substance use disorders (SUDs)
appear to be heavily affected by cigarette smoking. In order to address the
consequences of smoking in this population, an understanding of the current state
of knowledge is needed. Epidemiologic research provides the opportunity to obtain
detailed information on smoking behaviors in large community samples. The aim of
this paper was to synthesize the epidemiologic evidence on smoking among persons
with AUDs/SUDs and suggest directions for future research. Literature searches of
Medline and PubMed were used to identify articles and additional articles were
elicited from publication reference lists. To be included in the review, papers
had to be published in English, analyze epidemiologic data, and examine an aspect
of smoking behavior in persons with AUDs/SUDs. Twenty-nine studies met inclusion
criteria and were included in the review. In summary, epidemiologic evidence to
date suggests greater lifetime and current smoking, nicotine dependence, and non
cigarette tobacco use; lower quitting; and differences in quit attempts and
withdrawal symptoms for persons with AUDs/SUDs compared to other people. Most
studies examined nationally representative data and were conducted on persons in
the United States and Australia. Few publications examined outcomes by
demographics (e.g., gender, age) but these studies suggested that specific
patterns differ by demographic subgroups. More research is needed on persons with
AUDs/SUDs in order to develop the most effective public health and clinical
interventions to reduce smoking behaviors, improve cessation outcomes, and reduce
the harmful consequences of smoking for those with AUDs/SUDs.
PMID- 27196146
TI - Prevalence and trends in physical activity among older adults in the United
States: A comparison across three national surveys.
AB - This paper examined how many older adults (65+years) are meeting physical
activity (PA) Guidelines (PAG; 150min/week of moderate-to-vigorous PA) using data
from three leading national surveys (NHANES, BRFSS and NHIS). The proportion of
individuals meeting aerobic PAG was determined for the most recent cycle
available for each survey (NHANES 2011-12, NHIS and BRFSS 2013). We also assessed
whether PAG adherence has changed over time. Predicted margins from multinomial
logistic regression were computed after adjusting for age, race/ethnicity and
gender and sample weights. The proportion of older adults meeting PAG was 27.3%
for NHANES, 35.8% for NHIS and 44.3% for BRFSS. Across all surveys, men reported
higher levels of activity than women, Non-Hispanic whites reported higher levels
than Non-Hispanic blacks and Hispanics, activity declined with age and was lower
in those with functional limitations, all P<0.05. The proportion of older adults
meeting PAG in the NHIS survey, the only survey where PA questions remained the
same over time, increased from 25.7% in 1998 to 35.8% in 2013 (P<0.01). Point
estimates for activity levels are different between surveys but they consistently
identify sub-groups who are less active. Although older adults are reporting more
activity over time, adherence to aerobic and strength training PAG remains low in
this population and there is a need for effective interventions to prevent age
related declines in PA and address health disparities among older adults.
PMID- 27196147
TI - Trends and disparities in the prevalence of physicians' counseling on diet and
nutrition among the U.S. adult population, 2000-2011.
AB - BACKGROUND: Although healthy eating plays a crucial role in addressing the
obesity and chronic disease epidemics, a few Americans have diets that meet
dietary guidelines. Because physicians-delivered counseling is a strong predictor
for behavioral modification among patients, the Healthy People Objectives have
emphasized diet counseling since 2000. However, research on impact of physicians'
counseling over time on a national level has been limited. METHODS: We used data
from the 2000 and 2011 National Health Interview Surveys to apply a logistic
regression model to identify predictors of physicians' counseling and examine any
changes in disparities over a decade. RESULTS: In 2000, only 23.7% of our
national sample had received dietary counseling, it increased to 32.6% in 2011.
Hispanics were less likely than Whites to receive advice on diet in 2000
(adjusted odds ratio [AOR]=0.74, 95% confidence interval [CI]=0.62-0.88). By
2011, Hispanics 18% (AOR=1.18, CI=1.09-1.28) and Blacks were 42% (AOR=1.42,
CI=1.32-1.54) more likely to receive advice from their physicians on diet than
Whites. In both years, men were significantly less likely than women to receive
counseling. The uninsured patients were increasingly less likely than insured
patients in receiving diet counseling, being 60% less likely in 2011 (AOR=0.40,
CI=0.37-0.40). Obese patients were substantially (88% in 2000 to 290% in 2011)
more likely to receive counseling than normal-weight patients. CONCLUSIONS: The
overall prevalence of physicians' counseling on diet increased moderately between
2000 and 2011. However, substantial disparities in dietary counseling related to
access to care and gender continue to exist.
PMID- 27196149
TI - Sporadic occurrence of non-diagnosed IgG4-related disease in lymphoma patients
with a previous Sjogren's syndrome diagnosis.
AB - BACKGROUND: IgG4-related disease (IgG4-RD) is a recently recognized fibro
inflammatory disorder, which may affect many organs, and often comes to clinical
attention due to tumor-like organ swelling or is identified incidentally by
specific biopsy findings. Typical histopathology of IgG4-RD is lymphoplasmacytic
infiltration rich in IgG4 + plasma cells (PCs), storiform fibrosis, and
obliterative phlebitis. Patients with sicca symptoms can be misdiagnosed as
primary Sjogren's syndrome (pSS) instead of IgG4-RD because of clinical and
histopathological similarities. Moreover, an association with lymphoma
development is described in both diseases. This study investigated signs of IgG4
RD in a population-based cohort of patients diagnosed with pSS complicated by
lymphoma. METHODS: Patients with pSS and lymphoma diagnoses and available
lymphoma specimens were identified by linkage with the Swedish Patient Register
1964-2007 and the Cancer Register 1990-2007 (n = 79). Clinical data and lymphomas
were reviewed and the diagnoses evaluated. All lymphoma tissues and available
minor salivary gland biopsies (n = 11) were immunostained for IgG4 + PCs and
evaluated for other histopathological signs of IgG4-RD. In a case with specific
findings of IgG4-RD, other available tissue specimens of the same patient were
investigated for IgG4-RD. RESULTS: Only one patient of 79 (1.3%) had >10 IgG4 +
PCs/high power field (HPF) in the lymphoma tissue, an unspecified low-grade B
cell lymphoma localized in the submandibular gland. This patient also had other
histopathological features of IgG4-RD in the lymphoma and a surgical lung biopsy
taken five years before lymphoma diagnosis and, therefore, fulfilled the criteria
for IgG4-RD. Occasional IgG4 + PCs (<10/HPF) without signs of IgG4-RD were
observed in another six lymphomas. No IgG4 + PCs were identified in the minor
salivary gland biopsies. CONCLUSION: Histopathological findings of IgG4-RD may co
exist with low malignant B-cell lymphoma in patients with initially suspected pSS
and may be associated with an underlying IgG4-RD.
PMID- 27196148
TI - Home-based lifestyle intervention for rural adults improves metabolic syndrome
parameters and cardiovascular risk factors: A randomised controlled trial.
AB - The presence of metabolic syndrome (MetS) increases the risk of developing type 2
diabetes and cardiovascular disease. Targeted interventions to reduce MetS for
high risk populations are crucial for the prevention of these chronic diseases.
This study evaluated the effectiveness of a 6-month home-based physical activity
and diet intervention for rural adults with, or at risk of MetS. The randomised
controlled trial was conducted in Albany and surrounding towns, Western
Australia, 2014-2015. Participants were screened for MetS using the International
Diabetes Federation criteria, and eligible participants were randomly assigned to
the intervention (n=201) or control (n=200) group. The intervention group
received printed and online programme materials and motivational support, and the
control group was waitlisted to receive the programme after post-test data
collection. Anthropometry, lipid profiles, glycaemic status, and blood pressure
were measured at baseline and 6-months post-test. In total, 312 (77.8%)
participants completed post-test data collection and were included in the
anthropometric analysis, and 274 (68.3%) participants were included in the blood
sample analysis. After controlling for confounders, the intervention group
significantly improved their triglyceride (-0.10mM, p=0.002), total cholesterol (
0.09mM, p=0.02), and non-HDL cholesterol (-0.08mM, p=0.02) concentrations
compared to the control group. Waist circumference (-2.11cm, p=0.03), waist-to
hip ratio (-0.01, p=0.04), weight (-0.70kg, p=0.01), and body mass index (
0.20kg/m(2), p<0.001) were also improved. These findings suggest that
comprehensive home-based prevention programmes that include a combination of
dietary and physical activity interventions are a promising means to prevent the
onset of chronic disease in rural adults. TRIAL REGISTRATION: anzctr.org.au
Identifier: ACTRN12614000512628.
PMID- 27196252
TI - Predicting Mortality in Low-Income Country ICUs: The Rwanda Mortality Probability
Model (R-MPM).
AB - INTRODUCTION: Intensive Care Unit (ICU) risk prediction models are used to
compare outcomes for quality improvement initiatives, benchmarking, and research.
While such models provide robust tools in high-income countries, an ICU risk
prediction model has not been validated in a low-income country where ICU
population characteristics are different from those in high-income countries, and
where laboratory-based patient data are often unavailable. We sought to validate
the Mortality Probability Admission Model, version III (MPM0-III) in two public
ICUs in Rwanda and to develop a new Rwanda Mortality Probability Model (R-MPM)
for use in low-income countries. METHODS: We prospectively collected data on all
adult patients admitted to Rwanda's two public ICUs between August 19, 2013 and
October 6, 2014. We described demographic and presenting characteristics and
outcomes. We assessed the discrimination and calibration of the MPM0-III model.
Using stepwise selection, we developed a new logistic model for risk prediction,
the R-MPM, and used bootstrapping techniques to test for optimism in the model.
RESULTS: Among 427 consecutive adults, the median age was 34 (IQR 25-47) years
and mortality was 48.7%. Mechanical ventilation was initiated for 85.3%, and
41.9% received vasopressors. The MPM0-III predicted mortality with area under the
receiver operating characteristic curve of 0.72 and Hosmer-Lemeshow chi-square
statistic p = 0.024. We developed a new model using five variables: age,
suspected or confirmed infection within 24 hours of ICU admission, hypotension or
shock as a reason for ICU admission, Glasgow Coma Scale score at ICU admission,
and heart rate at ICU admission. Using these five variables, the R-MPM predicted
outcomes with area under the ROC curve of 0.81 with 95% confidence interval of
(0.77, 0.86), and Hosmer-Lemeshow chi-square statistic p = 0.154. CONCLUSIONS:
The MPM0-III has modest ability to predict mortality in a population of Rwandan
ICU patients. The R-MPM is an alternative risk prediction model with fewer
variables and better predictive power. If validated in other critically ill
patients in a broad range of settings, the model has the potential to improve the
reliability of comparisons used for critical care research and quality
improvement initiatives in low-income countries.
PMID- 27196253
TI - Facile and ultrasensitive fluorescence sensor platform for tumor invasive
biomaker beta-glucuronidase detection and inhibitor evaluation with carbon
quantum dots based on inner-filter effect.
AB - Early detection and diagnosis have great practical significances for the
effective prevention and treatment of cancer. In this study, we developed a
novel, facile and ultra-sensitive fluorescence assay for the determination of
tumor invasive biomarker beta-glucuronidase (GLU) based on the inner-filter
effect (IFE). The nitrogen-doped carbon quantum dots (N-CQDs) with green
photoluminescence were employed as the fluorophore in IFE, and 4-nitrophenyl-beta
D-glucuronide (PNPG) was used to act as GLU substrate, and GLU catalytic product
(p-nitrophenol (PNP)) was capable of acting as the robust absorber in IFE to turn
off the fluorescence of N-CQDs due to the complementary overlap between the
absorption of PNP and the excitation of N-CQDs. Thus, signal of GLU activity
could be recorded by the fluorescence intensity of N-CQDs. Unlike other
fluorescence sensing mechanism such as fluorescence resonance energy transfer
(FRET) or photoinduced electron transfer (PET), IFE has no requirement for
electron or energy transfer process or any chemical modification of fluorophore,
which makes our assay more flexible and simple. The proposed method exhibited a
good linear relationship from 1UL(-1) to 60UL(-1) (R(2)=0.9967) with a low
detection limit of 0.3UL(-1). This method was also successfully applied to the
analysis of serum samples and the inhibitor screening from natural product. The
developed sensor platform was proven to be reliable, facile, sensitive, and
selective, making it promising as a candidate for GLU activity detection in
clinic tumor diagnose and anti-tumor drug screening.
PMID- 27196255
TI - Molecularly imprinted nanohybrids based on dopamine-modified poly(gamma-glutamic
acid) for electrochemical sensing of melamine.
AB - A voltammetric sensor for melamine (MEL) was prepared from molecularly imprinted
nanohybrids (MINBs). A dopamine modified poly-gamma-glutamic acid copolymer
(gamma-PGA-DA) and MEL were self-assembled into MEL/gamma-PGA-DA nanoparticles
(NPs) in aqueous solution via weak interactions, followed by adding an aqueous
AgNO3 solution into the mixture. The Ag(+) was adsorbed in the MEL/gamma-PGA-DA
NPs and spontaneously reduced to Ag NPs by the dopamine moieties of gamma-PGA-DA,
forming Ag/MEL/gamma-PGA-DA MINBs, which were then cast on a gold electrode to
form a MINBs film. The MEL was removed by electrolysis via catalysis of Ag NPs at
a constant potential of 1.4V in phosphate buffer saline solution, to obtain a
voltammetric sensor for MEL. The sensor responded linearly to MEL in the
concentration range of 5*10(-18) to 5*10(-7)molL(-1). Compared to other published
molecularly imprinted polymer sensors for sensing MEL, the prepared MINBs sensor
had much wider detection range with lower detection limit.
PMID- 27196254
TI - Silicon nanowire based biosensing platform for electrochemical sensing of
Mebendazole drug activity on breast cancer cells.
AB - Electrochemical approaches have played crucial roles in bio sensing because of
their Potential in achieving sensitive, specific and low-cost detection of
biomolecules and other bio evidences. Engineering the electrochemical sensing
interface with nanomaterials tends to new generations of label-free biosensors
with improved performances in terms of sensitive area and response signals. Here
we applied Silicon Nanowire (SiNW) array electrodes (in an integrated
architecture of working, counter and reference electrodes) grown by low pressure
chemical vapor deposition (LPCVD) system with VLS procedure to electrochemically
diagnose the presence of breast cancer cells as well as their response to
anticancer drugs. Mebendazole (MBZ), has been used as antitubulin drug. It
perturbs the anodic/cathodic response of the cell covered biosensor by releasing
Cytochrome C in cytoplasm. Reduction of cytochrome C would change the ionic state
of the cells monitored by SiNW biosensor. By applying well direct bioelectrical
contacts with cancer cells, SiNWs can detect minor signal transduction and bio
recognition events, resulting in precise biosensing. Our device detected the
trace of MBZ drugs (with the concentration of 2nM) on electrochemical activity
MCF-7 cells. Also, experimented biological analysis such as confocal and
Flowcytometry assays confirmed the electrochemical results.
PMID- 27196256
TI - Polyaniline-graphene based alpha-amylase biosensor with a linear dynamic range in
excess of 6 orders of magnitude.
AB - alpha-amylase is an established marker for diagnosis of pancreatic and salivary
disease, and recent research has seen a substantial expansion of its use in
therapeutic and diagnostic applications for infection, cancer and wound healing.
The lack of bedside monitoring devices for alpha-amylase detection has hitherto
restricted the clinical progress of such applications. We have developed a highly
sensitive alpha-amylase immunosensor platform, produced via in situ
electropolymerization of aniline onto a screen-printed graphene support (SPE).
Covalently binding an alpha-amylase specific antibody to a polyaniline (PANI)
layer and controlling device assembly using electrochemical impedance
spectroscopy (EIS), we have achieved a highly linear response against alpha
amylase concentration. Each stage of the assembly was characterized using a suite
of high-resolution topographical, chemical and mechanical techniques.
Quantitative, highly sensitive detection was demonstrated using an artificially
spiked human blood plasma samples. The device has a remarkably wide limit of
quantification (0.025-1000IU/L) compared to alpha-amylase assays in current
clinical use. With potential for simple scale up to volume manufacturing though
standard semiconductor production techniques and subsequently clinical
application, this biosensor will enable clinical benefit through early disease
detection, and better informed administration of correct therapeutic dose of
drugs used to treat alpha-amylase related diseases.
PMID- 27196257
TI - The Significance of Isolated Reactive Treponemal Enzyme Immunoassay in the
Diagnosis of Early Syphilis.
AB - BACKGROUND: The Treponemal test algorithm for syphilis screening is widely used.
A diagnostic challenge between identifying early syphilis versus a false positive
signal occurs in cases where the treponemal enzyme immunoassay (EIA) is reactive
and confirmatory T. pallidum particle agglutination assay is negative. We
investigated the diagnostic outcome of isolated reactive EIA in patients
attending a sexual health clinic. METHODS: Results of syphilis serology tests
carried out at Birmingham Whittall Street Clinic between August 10, 2010, and
November 31, 2014, were reviewed. Cases with isolated EIA were routinely invited
for repeat syphilis serology. Outcomes of patients with isolated EIA were
reviewed and the proportion with confirmed positive syphilis serology on their
repeat test identified. The number of isolated EIA cases needed to retest to
identify 1 case of early syphilis was calculated. RESULTS: A total of 121,724
syphilis screening tests were performed. Among the 1561 individual patients with
reactive EIA sera, 316 (20% of total reactive tests) had isolated reactive EIA.
Repeat syphilis serology results of 163 patients were reviewed; 106 patients
remained with isolated reactive EIA, 50 had negative EIA test and 7 (4.3%) had
confirmed reactive EIA. Of the 7 patients, 2 had evidence of early syphilis
infection. The number of isolated EIA needed to retest to identify 1 case of
early syphilis was 81.5 (95% confidence interval, 22.9-671.4). CONCLUSIONS:
Routine recall of patients with isolated EIA sera is not warranted. Risk of
acquisition or presence of early syphilis should be assessed independently and
irrespective of a negative syphilis screening test or isolated EIA.
PMID- 27196259
TI - Comparison of Workflow, Maintenance, and Consumables in the GeneXpert Infinity 80
and Panther Instruments While Testing for Chlamydia trachomatis and Neisseria
gonorrhoeae.
AB - BACKGROUND: The 2015 Sexually Transmitted Diseases Treatment Guidelines from the
Centers for Disease Control and Prevention recommend testing for Chlamydia
trachomatis (CT) and Neisseria gonorrhoeae (NG) using nucleic acid amplification
tests, and prompt treatment of infected persons on site under direct observation.
Faster time to results may enable treatment and management outcomes. METHODS:
Workflow parameters for processing 1, 10, 48, 96, and 192 tests were determined
in the GeneXpert Infinity 80 (Cepheid) and Panther (Hologic) instruments.
RESULTS: In an Xpert CT/NG cartridge, the time to first results on the Infinity
80 was 1 hour 30 minutes for single or multiple tests and final results for 10,
48, 96, and 192 tests were available at 1 hour 37 minutes, 1 hour 54 minutes, 3
hour 17 minutes, and 5 hour 7 minutes, respectively. With the Aptima CT/GC assay
on the Panther, the respective times were 3 hr 45 min for the first test result,
and 3 hour 51 minutes, 4 hour 38 minutes, 5 hour 26 minutes, and 7 hour 4 minutes
to final results. The Panther required more time for maintenance and consumed a
greater variety of plastics and reagents but required less hands-on time when
testing larger numbers of specimens. CONCLUSIONS: The Infinity 80 is a versatile
instrument for continuous random access testing of small or large numbers of
clinical specimens and may provide diagnostic results, in some settings, in time
for treatment of CT and NG infections.
PMID- 27196258
TI - Rapid Diagnosis of Trichomonas vaginalis by Testing Vaginal Swabs in an
Isothermal Helicase-Dependent AmpliVue Assay.
AB - BACKGROUND: The AmpliVue Trichomonas Assay (Quidel) is a new Federal Drug
Administration-cleared rapid test for qualitative detection of Trichomonas
vaginalis (TV) DNA in female vaginal specimens. The assay is based on BioHelix's
helicase-dependent amplification isothermal technology in conjunction with a
disposable lateral-flow detection device, with a total turnaround time of
approximately 45 minutes. OBJECTIVE: The objective of this study was to compare
the performance of this new assay to wet preparation and culture as well as to
another Federal Drug Administration-cleared nucleic acid amplification assay.
METHODS: Four clinician collected vaginal swabs were obtained from women
attending sexually transmitted disease, family planning, and OB/GYN clinics and
tested by AmpliVue Trichomonas Assay and comparator tests: saline microscopy, TV
culture (InPouch), and Aptima TV. AmpliVue Trichomonas Assay results were
compared with a composite positive comparator (CPC) as determined by the results
from culture and/or wet mount microscopic examination. At least one of either the
wet preparation or culture reference test results was required to be positive to
establish CPC. RESULTS: A total of 992 patients, 342 symptomatic and 650
asymptomatic patients, were included in the study. Results for AmpliVue for all
women combined compared with saline microscopy and culture as a CPC yielded a
sensitivity of 100%. Specificity for all women was 98.2%. Overall percent
agreement versus Aptima TV was 97.8%. Sensitivity for AmpliVue compared with
Aptima was 90.7% %, whereas specificity was 98.9%. CONCLUSIONS: The rapid
AmpliVue Trichomonas Assay performed as well as microscopy and culture, and had
comparable sensitivity and specificity to another nucleic acid amplification test
for the detection of TV. This study provided evidence of new diagnostic options
and indicated very good performance of amplified testing for detection of TV in
symptomatic and asymptomatic women.
PMID- 27196260
TI - Population-Based Study of Prediagnostic Antibodies to Chlamydia trachomatis in
Relation to Adverse Pregnancy Outcome.
AB - BACKGROUND: Chlamydia trachomatis infection is one of the most common sexually
transmitted reported bacterial infections worldwide. The well-known sequelae of
chlamydial infection include pelvic inflammatory disease and tubal factor
infertility, but the evidence linking C. trachomatis infection and adverse
pregnancy outcome is inconsistent and has been largely based on case-control
studies with limited study populations. We evaluated this link in a population
based longitudinal biobank health registry setting. METHODS: The association
between C. trachomatis major outer membrane protein (MOMP) peptide-specific IgG
antibodies and ectopic pregnancy, miscarriage, and preterm delivery was examined
in a prospective case-control study nested in the Finnish Maternity Cohort.
Ectopic pregnancy and miscarriage cases were identified through the Hospital
Discharge Register 1998-2005; cases with preterm deliveries were identified
through the Finnish Medical Birth register 1988-2005. Control samples were
retrieved from the Finnish Maternity Cohort serum bank. A total of 800 cases of
ectopic pregnancy, 800 cases of miscarriage, and 1350 cases of preterm birth were
included. Equal number of pregnant women without the outcome diagnosis served as
controls. The cases and controls were matched by sampling time, at the serum
sampling and postal code district. RESULTS: Antichlamydial IgG antibodies were
associated with ectopic pregnancy. Positive antibody levels were found in 21.0%
of cases and 14.6% of controls (P = 0.001; odds ratio, 1.56; 95% confidence
interval, 1.20-2.03). Previous exposure to C. trachomatis, as indicated by serum
antibodies, doubled the risk of ectopic pregnancy within age and was highest
among women 35 years or older. Antichlamydial IgG antibody rates between the
cases with miscarriage (16.3% in cases vs. 16.8% in controls) or preterm delivery
(18.1% vs. 18.1%) and controls did not differ. CONCLUSIONS: Our findings confirm
the association between previous exposure to C. trachomatis and ectopic
pregnancy. We found no association between C. trachomatis seropositivity and
miscarriage or preterm birth.
PMID- 27196261
TI - Community-Based Assessment to Inform a Chlamydia Screening Program for Women in a
Rural American Indian Community.
AB - BACKGROUND: Rates of chlamydial infection in American Indian/Alaska Native women
in the United States are approximately 4-fold those in non-Hispanic white women.
We conducted a community-based survey of self-identified American Indian/Alaska
Native women 14 to 25 years of age on a reservation in the Northwestern United
States to inform a chlamydia screening strategy. METHODS: The anonymous survey
assessed respondents' knowledge, perceptions, and preferences related to
chlamydia screening, results receipt, and partner notification. We recruited
women using respondent-driven sampling, school-based sampling, and direct
recruitment through social media and fliers. Participants in schools completed
the survey as a paper-based, self-administered survey. Other participants could
complete the survey in person, by phone as an interviewer-administered survey, or
online. RESULTS: We recruited 162 participants, most in schools (n = 83; 51%) or
by peer referral (n = 55; 34%). Only 1 woman completed the survey online. Thirty
one respondents (19%) reported a history of an unplanned first pregnancy, and 19
(12%) reported a history of a diagnosed sexually transmitted disease. Most women
(n = 98; 63%) recognized the potential impact of Chlamydia trachomatis on
fertility. The preferred site for chlamydia screening was the Indian Health
Service Clinic (n = 114; 70%), but 79 women (41%) would accept a C. trachomatis
test at a nonclinical testing site. Of the 56 women (35%) who would accept home
testing, most preferred to get the test kit from a clinic. CONCLUSIONS: Our
results suggest that Indian Health Service efforts to increase chlamydia
screening in the clinic and through outreach may be more successful than
promotion of home testing in this population.
PMID- 27196262
TI - Use of the Historical Limits Method to Detect Increases in Primary and Secondary
Syphilis, Arizona 2011-2014: An Exploratory Study.
AB - BACKGROUND: Increasing numbers of reported primary and secondary (P&S) syphilis
cases in the United States suggest the need for improved surveillance methods. An
outbreak detection method using reported syphilis test results, which can be
counted before the conclusion of a syphilis case investigation, could lead to
timelier outbreak detection. METHODS: The historical limits comparison method was
used to compare the number of positive rapid plasma reagin results reported
during 2011-2014 with data for the preceding 3 years. An outbreak alert was
generated when the monthly count of positive rapid plasma reagin quantitative
results was greater than the historical mean plus 2 standard deviations for 2
consecutive months. RESULTS: Three outbreak alerts occurred during 2011-2014. The
first alert occurred in December 2012 in Maricopa County (Phoenix area). Primary
and secondary cases subsequently increased from 10 in January 2013 to 15 in March
followed by 5 months of consecutive increases. A second alert was generated for
Maricopa County in May 2014. Primary and secondary cases increased from 29 in May
to 42 in July 2014. Reported cases remained elevated for approximately 7 months
after the second alert. In December 2013, an outbreak alert occurred for Pima
County (Tucson area). The number of reported P&S syphilis cases in Pima County
increased from 6 in February to 15 in March. Counts of reported cases remained
elevated for approximately 6 months after the alert. CONCLUSIONS: Use of
historical limits comparison method based on syphilis laboratory results can
provide an outbreak alert before increases in reported cases of P&S syphilis.
PMID- 27196263
TI - Current physico-biochemistry in steroid research and status of structural biology
for steroid-converting enzymes.
PMID- 27196265
TI - Early Discharge of Neutropenic Pediatric Oncology Patients Admitted With Fever.
AB - BACKGROUND: Fever and neutropenia (FN) is a common complication of pediatric
oncology therapy and accounts for a large number of hospital admissions. Standard
therapy for FN includes hospital admission and empiric antibiotics. Strict
adherence to this practice leads to prolonged hospitalizations that may be
unnecessary for patients at low risk of having an underlying significant
infection. PROCEDURE: Children admitted with FN could be discharged after a
minimum of 48 hr with no further antibiotic therapy once they had been afebrile
for 24 hr with negative blood cultures from initial presentation, regardless of
their neutrophil count. We performed a retrospective review with regard to
readmissions and subsequent documented infections in FN patients discharged with
an ANC of <=500 cells/mm(3) . RESULTS: There were 299 FN admissions in 188
patients who were discharged prior to achieving an ANC of >=500 cells/mm(3) .
Readmission to the hospital during the same period of neutropenia occurred in 50
cases (16.7%) with 27 infections diagnosed in 21 patients. Patients discharged
with an ANC of <=100 cells/mm(3) (odds ratio 3.7) and patients with acute
lymphoblastic leukemia (odds ratio 2.6) were more likely to be readmitted for
fever. All patients that developed a significant infection had an ANC of <=100
cells/mm(3) at admission and discharge. In patients that developed a significant
infection, only one required admission to the intensive care unit with no deaths.
CONCLUSIONS: The practice of discharging patients with persistent neutropenia who
are afebrile with negative blood cultures produces acceptable rates of
readmission and subsequent infection and does not lead to increased morbidity and
mortality.
PMID- 27196264
TI - Correction: Virtual Electrophysiological Study of Atrial Fibrillation in Fibrotic
Remodeling.
AB - [This corrects the article DOI: 10.1371/journal.pone.0117110.].
PMID- 27196266
TI - The Status of Health Literacy Research in Health Communication and Opportunities
for Future Scholarship.
AB - While national concern is growing, the scholarly body of knowledge in health
literacy is still relatively small in health communication literature. The field
began to distinguish itself as an outgrowth of adult literacy that focused on
patient understanding of health information. It grew out of medicine and public
health science mostly, and still today the majority of research can be found in
health professional journals. However, the links with health communication,
particularly with provider-patient communication and with printed health
information, have been established and documented over the last decade. This
article is a conceptual review that highlights state-of-the-science literature
that has made connections between health literacy and health communication.
Evidence reveals the contribution that health literacy can have on the health
communication body of knowledge. The article illuminates the gaps in research and
possibilities for theory development and future studies.
PMID- 27196268
TI - Offset layered closure reduces deep wound infection in early-onset scoliosis
surgery.
AB - Deep wound infection is a common complication in early-onset scoliosis (EOS)
surgery. Soft tissue technique has received less attention as a means to reduce
infection. A retrospective review of 1170 EOS surgeries (single surgeon,
institution) investigated the impact of offset layered closure (OLC) and soft
tissue awareness. The introduction of OLC reduced deep infection from 3.0% in 99
surgeries to 0.37% in 1071. Logistic regression confirmed that OLC led to
significantly lower odds of infection (P=0.007). This deep infection rate (0.37%)
is more typical of elective surgery, providing a more optimistic view of
infection in EOS surgery than generally reported.
PMID- 27196267
TI - The Protective Effects of Salubrinal on the Cartilage and Subchondral Bone of the
Temporomandibular Joint under Various Compressive Mechanical Stimulations.
AB - Excessive mechanical loads on the temporomandibular joint (TMJ) can cause
mandibular cartilage degradation and subchondral bone erosion, but the treatment
of these conditions remains challenging. Salubrinal, which target eukaryotic
translation initiation factor 2 alpha, has been shown to have multiple beneficial
effects on skeletal tissue. Here, we examined the effect of a Salubrinal
injection on the mandibular cartilage and subchondral bone of the TMJ under
various compressive stresses. We conducted in vivo analyses in rat models using
various compressive stresses (40 g and 80 g), and we observed time-related
degeneration and pathological changes in the cartilage and subchondral bone of
the TMJ at days 1, 3 and 7 through histological measurements, subcellular
observation, and changes in proliferation and apoptosis. After the Salubrinal
injection, the thickness of the cartilage recovered, and the pathological change
was alleviated. In the Salubrinal/light (Sal/light) compressive stress group, the
drug altered the proliferation and apoptosis of chondrocytes most significantly
at day 1. In the Salubrinal/heavy (Sal/heavy) compressive stress group, the drug
increased the proliferation of chondrocytes most significantly at day 1 and
reduced the apoptosis of chondrocytes most significantly at day 7. Salubrinal
also increased the area of the bone trabeculae and suppressed inflammatory
responses and pathological change in the subchondral bone of the TMJ. Together,
these results indicate that the administration of Salubrinal reduces apoptosis
and strengthens the proliferation of chondrocyte to varying degrees at days 1, 3
and 7 under various compressive mechanical stresses, both of which contribute to
the recovery of cartilage thickness and the alleviation of pathological change.
Salubrinal also suppresses inflammatory responses and pathological change in the
subchondral bone of the TMJ.
PMID- 27196269
TI - Delayed treatment of femoral neck fractures in 58 children: open reduction
internal fixation versus closed reduction internal fixation.
AB - The best treatment plan for femoral neck fractures in children in whom treatment
is delayed by more than 24 h is unclear because of the limited number of such
cases reported. This study compares the outcomes of closed and open reduction
performed 24 h after the injury was sustained. We retrospectively reviewed 58
cases (40 males, 18 females) of femoral neck fractures reset after more than a 24
h delay at our hospital (May 2005 to May 2014). According to Delbet's
classification, there were 30 type II fractures (51.7%), 21 type III fractures
(36.2%), and seven type IV fractures (12.1%). A total of 21 patients underwent
closed reduction and internal fixation; the remaining patients underwent open
reduction and internal fixation. The follow-up period was 17-61 months (average,
35.1 months). The results were classified according to Ratliff's criteria. With
the exception of one case of bone nonunion (closed reduction group), the fracture
was healed in the remaining cases. The average healing time was 10.82 weeks (9-13
weeks). The incidence of avascular necrosis was significantly lower in the open
reduction group. Further, the percentage of results classified as 'fine'
according to Ratliff's criteria was significantly higher in the open reduction
group than in the closed reduction group. Coxa vara occurred in three patients in
the closed reduction group, but it did not occur in any of the patients in the
open reduction group. The incidence of avascular necrosis was significantly lower
in the patients who achieved anatomical reduction than in the patients who only
achieved acceptable reduction. Finally, the results in the anatomical reduction
group were obviously better than those in the acceptable reduction group. Open
reduction with internal fixation yielded better outcomes than closed reduction in
this group of children with displaced femoral neck fractures who were treated
more than 24 h after the injury. This may be related to the better reduction
quality in the open reduction group. LEVEL OF EVIDENCE: Therapeutic studies:
investigating the results of treatment, Level III.
PMID- 27196270
TI - Effects of surgical intervention on trochlear remodeling in pediatric patients
with recurrent patella dislocation cases.
AB - Patella instability is often encountered among physically active pediatric
athletes, and surgical intervention is useful in cases with recurrent patella
dislocations, chronic instability, and abnormal alignment. Several surgical
procedures have been used for patella-realignment and stabilization, but the
effects of surgical intervention on bony trochlear remodeling in skeletally
immature patients have not been well studied. We thus present two cases of
pediatric recurrent patella dislocations that showed trochlear remodeling
following patella-realignment surgery. The first case describes an 11-year-old
female treated with a Roux-Golthwait procedure and the second case highlights a
12-year-old male treated with lateral release and medial capsular reefing. The
Merchant technique, a radiographic criterion that was designed to evaluate
patella alignment in relation to the femoral trochlea groove, including sulcus
and congruence angles was used to measure postoperative bony development. Both
pediatric patients showed successful outcomes following surgical interventions
for chronic patella instability. Using the Merchant technique, both patients
showed improved congruence and sulcus angles postoperatively. Patella realignment
in skeletally immature patients may be beneficial for promoting trochlear
remodeling and deepening of the trochlear groove, which may help protect against
future dislocation or subluxation events. LEVEL OF EVIDENCE: Level IV, case
report.
PMID- 27196271
TI - Diving into the rat plasma proteome to get to the bottom of decompression
sickness.
AB - Decompression sickness (DCS) is the collective term for an array of signs and
symptoms triggered by ambient pressure reduction. It is of particular concern to
divers as they decompress on ascend from depth to sea surface, but despite a long
history of studies the determinants of DCS risk are incompletely understood and
there are no validated biomarkers. In this issue of Proteomics Clinical
Applications, Lautridou et al. [8] report on their search for DCS biomarkers in
rats exposed to simulated diving. By comparing the plasma proteomes from animals
showing neurological symptoms to those emerging from dives unaffected, they
identified several high-abundance proteins not previously associated with DCS.
The most significant finding was a near depletion of thyroxine- and vitamin A
transporter transthyretin in symptomatic rats. In addition to their potential
role as diagnostic biomarkers, the proteins identified in Lautridou's study may
offer new pieces in the yet incomplete puzzle of DCS etiology.
PMID- 27196288
TI - Reduced Height (Rht) Alleles Affect Wheat Grain Quality.
AB - The effects of dwarfing alleles (reduced height, Rht) in near isogenic lines on
wheat grain quality are characterised in field experiments and related to effects
on crop height, grain yield and GA-sensitivity. Alleles included those that
conferred GA-insensitivity (Rht-B1b, Rht-B1c, Rht-D1b, Rht-D1c) as well as those
that retained GA-sensitivity (rht(tall), Rht8, Rht8 + Ppd-D1a, Rht12). Full
characterisation was facilitated by including factors with which the effects of
Rht alleles are known to interact for grain yield (i.e. system, [conventional or
organic]; tillage intensity [plough-based, minimum or zero]; nitrogen fertilizer
level [0-450 kg N/ha]; and genetic backgrounds varying in height [cvs Maris
Huntsman, Maris Widgeon, and Mercia]. Allele effects on mean grain weight and
grain specific weight were positively associated with final crop height: dwarfing
reduced these quality criteria irrespective of crop management or GA-sensitivity.
In all but two experiments the effects of dwarfing alleles on grain nitrogen and
sulphur concentrations were closely and negatively related to effects on grain
yield, e.g. a quadratic relationship between grain yield and crop height
manipulated by the GA-insensitive alleles was mirrored by quadratic relationships
for nitrogen and sulphur concentrations: the highest yields and most dilute
concentrations occurred around 80cm. In one of the two exceptional experiments
the GA-insensitive Rht-B1b and Rht-B1c significantly (P<0.05) reduced grain
nitrogen concentration in the absence of an effect on yield, and in the remaining
experiment the GA-sensitive Rht8 significantly reduced both grain yield and grain
nitrogen concentration simultaneously. When Rht alleles diluted grain nitrogen
concentration, N:S ratios and SDS-sedimentation volumes were often improved.
Hagberg falling number (HFN) was negatively related to crop height but benefits
from dwarfing were only seen for GA-insensitive alleles. For HFN, therefore,
there was the strongest evidence for a direct pleiotropic effect of GA
insensitivity, rather than an effect consequential to yield and/or height.
PMID- 27196272
TI - The Challenges of Implementing Next Generation Sequencing Across a Large
Healthcare System, and the Molecular Epidemiology and Antibiotic Susceptibilities
of Carbapenemase-Producing Bacteria in the Healthcare System of the U.S.
Department of Defense.
AB - OBJECTIVE: We sought to: 1) provide an overview of the genomic epidemiology of an
extensive collection of carbapenemase-producing bacteria (CPB) collected in the
U.S. Department of Defense health system; 2) increase awareness of the public
availability of the sequences, isolates, and customized antimicrobial resistance
database of that system; and 3) illustrate challenges and offer mitigations for
implementing next generation sequencing (NGS) across large health systems.
DESIGN: Prospective surveillance and system-wide implementation of NGS. SETTING:
288-hospital healthcare network. METHODS: All phenotypically carbapenem resistant
bacteria underwent CarbaNP(r) testing and PCR, followed by NGS. Commercial
(Newbler and Geneious), on-line (ResFinder), and open-source software (Btrim,
FLASh, Bowtie2, an Samtools) were used for assembly, SNP detection and
clustering. Laboratory capacity, throughput, and response time were assessed.
RESULTS: From 2009 through 2015, 27,000 multidrug-resistant Gram-negative
isolates were submitted. 225 contained carbapenemase-encoding genes (most
commonly blaKPC, blaNDM, and blaOXA23). These were found in 15 species from 146
inpatients in 19 facilities. Genetically related CPB were found in more than one
hospital. Other clusters or outbreaks were not clonal and involved genetically
related plasmids, while some involved several unrelated plasmids. Relatedness
depended on the clustering algorithm used. Transmission patterns of plasmids and
other mobile genetic elements could not be determined without ultra-long read,
single-molecule real-time sequencing. 80% of carbapenem-resistant phenotypes
retained susceptibility to aminoglycosides, and 70% retained susceptibility to
fluoroquinolones. However, among the CPB-confirmed genotypes, fewer than 25%
retained susceptibility to aminoglycosides or fluoroquinolones. CONCLUSION:
Although NGS is increasingly acclaimed to revolutionize clinical practice,
resource-constrained environments, large or geographically dispersed healthcare
networks, and military or government-funded public health laboratories are likely
to encounter constraints and challenges as they implement NGS across their health
systems. These include lack of standardized definitions and quality control
metrics, limitations of short-read sequencing, insufficient bandwidth, and the
current limited availability of very expensive and scarcely available sequencing
platforms. Possible solutions and mitigations are also proposed.
PMID- 27196290
TI - Correction to: Childhood Obesity 2016;12(1):1-11.
PMID- 27196289
TI - Prevalence and Characteristics of Interventional Trials Conducted Exclusively in
Elderly Persons: A Cross-Sectional Analysis of Registered Clinical Trials.
AB - BACKGROUND: Elderly patients represent the greatest consumers of healthcare per
capita but have historically been underrepresented in clinical trials. It is
unknown how many trials are designed to focus exclusively on elderly patients.
OBJECTIVE: To define the prevalence of interventional trials that study
exclusively elderly persons and describe the characteristics of these trials,
including their distribution across conditions most prevalent in the elderly.
DESIGN: All interventional clinical trials enrolling exclusively elderly patients
(>=65 years), conducted primarily in high-income countries, and initiated between
2006 and 2014, identified through ClincialTrials.gov. MAIN MEASURES: Trials were
identified and characterized according to design features and disease categories
studied. Across disease categories we examined the burden of disease in the
elderly in high-income countries (measured in disability-adjusted life years
[DALYs]) and compared to the number of trials conducted exclusively in the
elderly. RESULTS: Among 80,965 interventional trials, 1,112 (1.4%) focused on
elderly patients. Diverse types of interventions were studied in these trials
(medications 33%, behavioral interventions 18%, and dietary supplements 10%) and
the majority was funded by non-profit organizations (81%). Studies tended to be
small (median sample size 122 participants [IQR 58, 305]), single-center studies
(67%). Only 43% of 126 disease categories affecting elderly persons were studied
in trials focused on the elderly. Among these disease categories, there was a
5162-fold range in the ratio of DALYs per trial. Across 5 conditions where over
80% of DALYs are in the elderly, there were a total of only 117 trials done
exclusively in the elderly. CONCLUSIONS: Very few and mostly small studies are
conducted exclusively in elderly persons, even for conditions that affect almost
exclusively the elderly.
PMID- 27196291
TI - Correction to: AIDS Res Hum Retroviruses 2016;32;420-426.
PMID- 27196292
TI - CP-25 attenuates the inflammatory response of fibroblast-like synoviocytes co
cultured with BAFF-activated CD4(+) T cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Total glucosides of paeony (TGP) is the first
anti-inflammatory immune regulatory drug approved for the treatment of rheumatoid
arthritis in China. A novel compound, paeoniflorin-6'-O-benzene sulfonate (code
CP-25), comes from the structural modification of paeoniflorin (Pae), which is
the effective active ingredient of TGP. The aim of the present study is to
investigate the effect of CP-25 on adjuvant arthritis (AA) fibroblast-like
synoviocytes (FLS) co-cultured with BAFF-activated CD4(+) T cells and the
expression of BAFF-R in CD4(+) T cells. METHODS: The mRNA expression of BAFF and
its receptors was assessed by qPCR. The expression of BAFF receptors in CD4(+) T
cells was analyzed by flow cytometry. The effect of CP-25 on AA rats was
evaluated by their joint histopathology. The cell culture growth of thymocytes
and FLS was detected by cell counting kit (CCK-8). The concentrations of IL
1beta, TNF-alpha, and IL-6 were measured by Enzyme-linked immunosorbent assay
(ELISA). RESULTS: The mRNA expression levels of BAFF and BAFF-R were enhanced in
the mesenteric lymph nodes of AA rats, TACI expression was reduced, and BCMA had
no change. The expression of BAFF-R in CD4(+) T cells was also enhanced. CP-25
alleviated the joint histopathology and decreased the expression of BAFF-R in
CD4(+) T cells from AA rats in vivo. In vitro, CP-25 inhibited the abnormal cell
culture growth of BAFF-stimulated thymocytes and FLS. In the co-culture system,
IL-1beta, IL-6 and TNF-alpha production was enhanced by FLS co-cultured with BAFF
activated CD4(+) T cells. Moreover, BAFF-stimulated CD4(+) T cells promoted the
cell culture growth of FLS. The addition of CP-25 decreased the expression of
BAFF-R in CD4(+) T cells and inhibited the cell culture growth and cytokine
secretion ability of FLS co-cultured with BAFF-activated CD4(+) T cells.
CONCLUSION: The present study indicates that CP-25 may repress the cell culture
growth and cytokine secretion ability of FLS, and its inhibitory effects might be
associated with its ability to inhibit the expression of BAFF-R in CD4(+) T cells
in a co-culture. These observations might provide a scientific basis for the
development of new drugs for the treatment of autoimmune diseases by CP-25.
PMID- 27196293
TI - Gastrointestinal safety and efficacy of long-term GCSB-5 use in patients with
osteoarthritis: A 24-week, multicenter study.
AB - ETHNOPHARMACOLOGY RELEVANCE: A previous study indicated non-inferiority of GCSB-5
to celecoxib regarding efficacy and safety in treating OA; however, the
gastrointestinal (GI) safety data was limited to 12 weeks. Accordingly, a longer
term study with a larger number of patients was necessary to establish the GI
safety of GCSB-5. AIM OF STUDY: The primary goal was to determine the safety and
efficacy of 24-week use of GCSB-5. The secondary goal was to compare the GI
safety data of GCSB-5 with that of the previously reported Celecoxib Long-term
Arthritis Safety Study (CLASS). METHOD: This was a 24-week, multicenter, single
arm phase IV Study for the safety and efficacy of GCSB-5. A total of 761 patients
were enrolled and 756 patients received at least one dose of GCSB-5. Among them,
629 patients (82.7%) completed the 24 week follow up. The primary goal was to
determine the safety and efficacy of GCSB-5 for 24 weeks. The secondary goal was
to compare the GI safety data of GCSB-5 with that of the previously reported
Celecoxib Long-term Arthritis Safety Study (CLASS). RESULTS: The incidence of GI
disorders of GCSB-5 was 23.7%. The annual rate of perforation, ulcer obstruction,
or bleeding (PUB) incidence was 0.0%. The drop-out rate due to GI disorders
following GCSB-5 use was 4.8%. Compared to celecoxib data from CLASS, the
incidence of GI disorders (23.7% vs. 31.4%, p<0.001), annual rate of PUB and
gastroduodenal ulcers (0.0% vs 2.2%, p=0.004), and drop-out rate due to GI
disorders following GCSB-5 use were significantly low (4.8% vs 8.7%, p<0.001).
Efficacy was proven by significant improvements in Western Ontario McMaster
Questionnaire (WOMAC) scale, Korean Knee Score (KKS), 100-mm pain visual analogue
scale (VAS), and physician's global assessments of patient's response to therapy
(PGART). CONCLUSIONS: The safety and efficacy profile of GCSB-5 are comparable to
celecoxib. These results indicate GCSB-5 is safe for a long-term treatment of
knee OA patients. TRIAL REGISTRATION: ClinicalTrials.gov (NCT01604239).
PMID- 27196294
TI - Cis- and Trans-gnetin H from Paeonia suffruticosa suppress inhibitor kappa B
kinase phosphorylation in LPS-stimulated human THP-1 cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The inflammatory response is an important
mechanism in host defense; however, overstimulation and chronic inflammation are
involved in many important human diseases. Currently, tumor necrosis factor-alpha
blockers such as infliximab and adalimumab along with methotrexate are used in
cases of severe and chronic disease. However, there are severe side effects and
limitations associated with these treatments. Cis- and trans-gnetin H are
compounds isolated from the seeds of Paeonia suffruticosa, a medicinal plant used
in traditional Chinese medicine for the treatment of many conditions, including
inflammatory diseases. In this study, we investigated possible anti-inflammatory
mechanisms of cis- and trans-gnetin H against LPS-stimulated human THP-1 cells.
MATERIAL AND METHODS: PMA-differentiated THP-1 cells were pretreated with
increasing concentrations of cis- and trans-gnetin H with or without LPS.
Following treatment, cytotoxicity and the TNF-alpha, IL-1beta, and IL-8 response
were measured. We also characterized the nuclear translocation of NF-kappaB
subunit p65 (RelA) by immunofluorescence and then investigated NF-kappaB
activation by measuring the phosphorylation of NF-kappaB mediators, IKK-beta,
IkappaB alpha, and p65 by western blotting. RESULTS: We found that cis- and trans
gnetin H significantly inhibited the cytokine response in a concentration
dependent manner without affecting cell viability. Cis- and trans-gnetin H
effectively inhibited nuclear translocation of p65 and phosphorylation of IKK
beta, IkappaB alpha, and p65. While both compounds showed promising anti
inflammatory effects, trans-gnetin H was determined to be more effective in
suppressing cytokine responses. CONCLUSION: We demonstrated that cis- and trans
gnetin H suppress cytokine response in LPS-stimulated THP-1 cells by preventing
activation of key signaling molecules, IKK-beta, IkappaB alpha, and p65, involved
in the NF-kappaB pathway and suggest the use of cis- and trans-gnetin H in
potential therapies for conditions and diseases associated with chronic
inflammation.
PMID- 27196295
TI - Huangqi decoction alleviates dimethylnitrosamine-induced liver fibrosis: An
analysis of bile acids metabolic mechanism.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Huangqi Decoction (HQD), a classical traditional
Chinese medicine (TCM) formula, is used to treating liver injury in China. The
aim of the study is to investigate mechanisms of HQD against dimethylnitrosamine
(DMN)-induced liver fibrosis underlying metabolic profiles of bile acids.
MATERIALS AND METHODS: DMN-induced liver fibrosis rats were administrated HQD and
its compounds, astragalosides (AS), glycyrrhizic acid (GA) and their combination.
The anti-fibrosis effects were evaluated and targeted metabolomics by UPLC-MS was
used to examine whether HQD had an influence on bile acid metabolism. The levels
of mRNAs associated with bile acid metabolism were expressed by RT-PCR.
Chenodeoxycholic acid (CDCA)-induced hepatic stellate cells (HSCs) proliferation
and activation were examined using MTS assay and Western blot. RESULTS:
Histopathological changes and serum liver function in HQD group had significant
improvements (P<0.01). Concentrations of free bile acids and taurine conjugates
were significantly increased in DMN group (P<0.05). HQD and its compounds
restored the increased bile acids to normal levels, and HQD was more effected on
parts of bile acids. Furthermore, the levels of mRNAs related bile acid synthesis
and reabsorption such as CYP7A1, CYP8B1, CYP27A1, OATP2, OATP3, OATP4 and NTCP
were significantly down-regulated in DMN group (P<0.05), mRNAs related excretion
such as MRP3 and BESP were up-regulated (P<0.01), and CYP7A1, CYP8B1, OATP3,
OATP4, NTCP and MRP3 restored to normal levels by HQD treatment. Moreover, CDCA
induced HSCs proliferation and activation were weaken by HQD (P<0.05) with down
regulated alpha-SMA, TGF-beta1, p-Smad2 and p-Smad3 expressions. CONCLUSIONS: HQD
alleviated DMN-induced liver fibrosis with a better effect than its compounds,
which may be involved in the regulation of bile acid metabolism enzyme. Moreover,
HQD may inhibit CDCA-induced HSCs proliferation and activation.
PMID- 27196296
TI - Effects of Viola yedoensis Makino anti-itching compound on degranulation and
cytokine generation in RBL-2H3 mast cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The Chinese herb compound prescription Viola
yedoensis Makino Anti-itching Compound (VYAC), which consists of Viola yedoensis
Makino, herb, Sophora flavescens Aiton, root, and Dictamnus dasycarpus Turcz,
root and rhizome, has been traditionally used to treat various skin allergic
inflammatory diseases in clinic. AIM OF THE STUDY: The aim of this study is to
investigate the effects of VYAC on degranulation and to determine its anti
inflammatory mechanism in RBL-2H3 mast cells. MATERIALS AND METHODS: VYAC was
extracted with water-coction extraction (Shufen et al., 2012). The aqueous
extracts were concentrated in vacuum under reduced pressure and lyophilized using
a freeze dryer, and lyophilized powder was obtained. MTT was used to evaluate the
cytotoxic of VYAC on RBL-2H3 cells. Degranulation was carried out with RBL-2H3
cell model, which was stimulated with A23187 plus PMA. beta-Hexosaminidase and
histamine were measured to evaluate degranulation. The mRNA levels of
inflammation cytokines (IL-1beta, TNF-alpha, IL-6, and iNOS) were investigated by
RT-PCR to explain the anti-inflammatory mechanism of VYAC. RESULTS: VYAC did not
show cytotoxic effect on RBL-2H3 cells in the range of 25-400MUg/mL. A higher
dose of VYAC (800MUg/mL) showed significant cytotoxicity (P<0.05). VYAC could
significantly inhibit beta-hexosaminidase and histamine release when treated with
100, 200, and 400MUg/mL (P<0.05), but could not significantly inhibit beta
Hexosaminidase and histamine release when treated with 25 and 50MUg/mL (p>0.05).
The mRNA levels of inflammatory cytokines (TNF-alpha, IL-1beta, IL-6, and iNOS)
could significantly decrease when treated with 200 and 400MUg/mL (P<0.05) of
VYAC, which were associated with the development of inflammation. CONCLUSIONS:
Results showed that VYAC inhibited beta-hexosaminidase and histamine release,
which was inhibit A23187 plus PMA stimulated RBL-2H3 cell degranulation and
downregulated inflammatory cytokines (IL-1beta, TNF-alpha, IL-6, and iNOS)
expression to block inflammatory development.
PMID- 27196297
TI - The estrogenic properties of Danggui Buxue Tang, a Chinese herbal decoction, are
triggered predominantly by calycosin in MCF-7 cells.
AB - BACKGROUND: Danggui Buxue Tang (DBT), a Chinese herbal decoction containing
Astragali Radix (AR; roots of Astragalus memebranaceus (Fisch.) Bunge var.
mongholicus (Bunge) Hsiao) and Angelicae Sinensis Radix (ASR; roots of Angelica
sinensis Oliv.) at a weight ratio of 5:1, is used to improve menopausal syndromes
in women. Several lines of evidence indicate that DBT has strong estrogenic
property; however, the action mechanism of this herbal decoction is not known.
Calycosin, a major flavonoid in AR, shares similar structure with beta-estradiol,
and thus which is hypothesized to be the key compound of DBT in responsible for
such estrogenic properties. AIMS: We aimed to determine the role of calycosin in
DBT in terms of its estrogenic functions by the creation of calycosin-depleted
DBT (DBTDeltacal) and calycosin-added DBT (DBT+cal) herbal extracts. METHODS: The
signalings triggered by DBT?cal, DBT+cal, and parental DBT were compared in
cultured MCF-7 cells by determining: (i) the activation of estrogen responsive
element; (ii) the phosphorylation of estrogen receptor alpha (ERalpha); and (iii)
the phosphorylation of Erk1/2. The DBT-induced responses were in dose- and/or
time-dependent manners. RESULTS: The estrogenic signals triggered by DBT were
markedly reduced in DBTDeltacal, and in contrast the addition of calycosin in
DBT, i.e. DBT+cal, enhanced the responses by 2-5 folds; however, calycosin alone
did not show such properties. In parallel, the DBT-induced responses could be
significantly blocked by inhibitors for estrogen receptor and mitogen activated
protein kinases. CONCLUSION: Thus, we hypothesize that calycosin is an
indispensable chemical in DBT, and which plays a linker in orchestrating multi
components of DBT as to achieve the maximal estrogenic functions. These
discoveries should be invaluable in drug development and in investigating the
modernization of traditional Chinese medicine from a new perspective.
PMID- 27196298
TI - Lonicerae Japonicae Flos attenuates diabetic retinopathy by inhibiting retinal
angiogenesis.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Lonicerae Japonicae Flos (Jin-Yin-Hua) is a well
known traditional Chinese medicine used for clearing away heat and toxic
material. AIM OF THE STUDY: This study aims to observe the attenuation of aqueous
extract of Lonicerae Japonicae Flos (FL) against streptozotocin (STZ)-induced
diabetic retinopathy (DR) and its engaged mechanism. MATERIALS AND METHODS: STZ
induced proliferative DR (PDR) for 5 month in C57BL/6 mice was used in this
study. Retinal vessels were observed by immunofluorescence staining with cluster
of differentiation 31 (CD31) and histopathological evaluation. Enzyme-linked
immunosorbent assay (ELISA) was used to detect serum vascular endothelial growth
factor (VEGF) content. Cell proliferation was detected by 3-(4, 5-dimethylthiazol
2-yl) 2, 5-diphenyltetrazolium bromide (MTT) assay in choroid-retinal endothelial
RF/6A cells. VEGF-induced tube formation in RF/6A cells was observed. The
contents of chlorogenic acid (CGA), caffeic acid (CA), and luteolin in FL were
detected by high-performance liquid chromatography (HPLC). RESULTS:
Histopathological evaluation demonstrated that retinal vessels were increased in
STZ-induced PDR mice, whereas FL decreased such increase. The results of CD31
staining also showed that FL decreased the increased number of retinal vessels in
STZ-induced PDR mice. In addition, FL reduced the increased serum VEGF content in
STZ-induced PDR mice. FL reduced VEGF-induced RF/6A cell proliferation in the
concentration-dependent manner, but had no obvious effect on RF/6A cell viability
without VEGF stimulation. VEGF-induced tube formation in RF/6A cells was
inhibited by different concentrations of FL. CGA, CA and luteolin all inhibited
VEGF-induced tube formation in RF/6A cells, and the lowest effective
concentration of CGA and CA was both 0.625MUM, but of luteolin was 5MUM.
Furthermore, the results of HPLC demonstrated that the amount of CGA was the
highest in FL. CONCLUSIONS: FL ameliorates STZ-induced PDR by inhibiting retinal
angiogenesis. Phenolic acid CGA is the main compound contributing to the
inhibition of FL on retinal angiogenesis.
PMID- 27196299
TI - Antibiotic-Resistant Neisseria gonorrhoeae Spread Faster with More Treatment, Not
More Sexual Partners.
AB - The sexually transmitted bacterium Neisseria gonorrhoeae has developed resistance
to all antibiotic classes that have been used for treatment and strains resistant
to multiple antibiotic classes have evolved. In many countries, there is only one
antibiotic remaining for empirical N. gonorrhoeae treatment, and antibiotic
management to counteract resistance spread is urgently needed. Understanding
dynamics and drivers of resistance spread can provide an improved rationale for
antibiotic management. In our study, we first used antibiotic resistance
surveillance data to estimate the rates at which antibiotic-resistant N.
gonorrhoeae spread in two host populations, heterosexual men (HetM) and men who
have sex with men (MSM). We found higher rates of spread for MSM (0.86 to 2.38 y
1, mean doubling time: 6 months) compared to HetM (0.24 to 0.86 y-1, mean
doubling time: 16 months). We then developed a dynamic transmission model to
reproduce the observed dynamics of N. gonorrhoeae transmission in populations of
heterosexual men and women (HMW) and MSM. We parameterized the model using sexual
behavior data and calibrated it to N. gonorrhoeae prevalence and incidence data.
In the model, antibiotic-resistant N. gonorrhoeae spread with a median rate of
0.88 y-1 in HMW and 3.12 y-1 in MSM. These rates correspond to median doubling
times of 9 (HMW) and 3 (MSM) months. Assuming no fitness costs, the model shows
the difference in the host population's treatment rate rather than the difference
in the number of sexual partners explains the differential spread of resistance.
As higher treatment rates result in faster spread of antibiotic resistance,
treatment recommendations for N. gonorrhoeae should carefully balance prevention
of infection and avoidance of resistance spread.
PMID- 27196301
TI - End-of-life care in the head and neck cancer patient.
AB - Past the point of no longer being able to control malignancies of the oral cavity
and head and neck, the decision-making process must shift to one that essentially
concerns itself with creating comfort for the patient. The role of family,
physicians, and other caregivers becomes, in many ways, more directed as active
neoplasia-related concerns become less relevant. Challenges remain significant in
terms of continuing management of prior treatment-related side effects and
functional impairments to providers concerning themselves with maintenance of
dignity, honoring the wishes of the family, and creating full understanding of on
the part of all parties concerned what the goals of treatment cessation and
palliation are key as death approaches.
PMID- 27196300
TI - Comparison of Removal Behavior of Two Biotrickling Filters under Transient
Condition and Effect of pH on the Bacterial Communities.
AB - Although biotrickling filters (BTFs) applied under acidic condition to remove H2S
from waste gases have been reported, the removal behavior of the acidic BTF under
transient condition which was normal in most industry processes, and
corresponding bacterial community have not been thoroughly studied. In the
present study, two BTFs were run under neutral (BTFn) and acidic (BTFa)
conditions, respectively. The results revealed that the removal performance of
BTFa under transient condition was superior to that of BTFn; the maximum H2S
eliminating capacities (ECs) achieved by BTFa and BTFn were 489.9 g/m3 h and
443.6 g/m3 h, respectively. High-throughput sequencing suggested that pH was the
critical factor and several other factors including nutrient and the inlet
loadings also had roles in shaping bacterial community structure.
Acidithiobacillus was the most abundant bacterial group. The results indicated
that BTF acclimation under acidic condition may facilitate generating microbial
community with high H2S-degrading capability.
PMID- 27196302
TI - Analysis of Body Mass Index and Mortality in Patients With Colorectal Cancer
Using Causal Diagrams.
AB - IMPORTANCE: Physicians and investigators have sought to determine the
relationship between body mass index (BMI [calculated as weight in kilograms
divided by height in meters squared]) and colorectal cancer (CRC) outcomes, but
methodologic limitations including sampling selection bias, reverse causality,
and collider bias have prevented the ability to draw definitive conclusions.
OBJECTIVE: To evaluate the association of BMI at the time of, and following,
colorectal cancer (CRC) diagnosis with mortality in a complete population using
causal diagrams. DESIGN, SETTING, AND PARTICIPANTS: This retrospective
observational study with prospectively collected data included a cohort of 3408
men and women, ages 18 to 80 years, from the Kaiser Permanente Northern
California population, who were diagnosed with stage I to III CRC between 2006
and 2011 and who also had surgery. EXPOSURES: Body mass index at diagnosis and 15
months following diagnosis. MAIN OUTCOMES AND MEASURES: Hazard ratios (HRs) for
all-cause mortality and CRC-specific mortality compared with normal-weight
patients, adjusted for sociodemographics, disease severity, treatment, and
prediagnosis BMI. RESULTS: This study investigated a cohort of 3408 men and women
ages 18 to 80 years diagnosed with stage I to III CRC between 2006 and 2011 who
also had surgery. At-diagnosis BMI was associated with all-cause mortality in a
nonlinear fashion, with patients who were underweight (BMI <18.5; HR, 2.65; 95%
CI, 1.63-4.31) and patients who were class II or III obese (BMI >=35; HR, 1.33;
95% CI, 0.89-1.98) exhibiting elevated mortality risks, compared with patients
who were low-normal weight (BMI 18.5 to <23). In contrast, patients who were high
normal weight (BMI 23 to <25; HR, 0.77; 95% CI, 0.56-1.06), low-overweight (BMI
25 to <28; HR, 0.75; 95% CI, 0.55-1.04), and high-overweight (BMI 28 to <30; HR,
0.52; 95% CI, 0.35-0.77) had lower mortality risks, and patients who were class I
obese (BMI 30 to <35) showed no difference in risk. Spline analysis confirmed a U
shaped relationship in participants with lowest mortality at a BMI of 28.
Associations with CRC-specific mortality were similar. Associations of
postdiagnosis BMI and mortality were also similar, but patients who were class I
obese had significantly lower all-cause and cancer-specific mortality risks.
CONCLUSIONS AND RELEVANCE: In this study, body mass index at the time of
diagnosis and following diagnosis of CRC was associated with mortality risk.
Though evidence shows that exercise in patients with cancer should be encouraged,
findings suggest that recommendations for weight loss in the immediate
postdiagnosis period among patients with CRC who are overweight may be
unwarranted.
PMID- 27196303
TI - Tyraminergic and Octopaminergic Modulation of Defensive Behavior in Termite
Soldier.
AB - In termites, i.e. a major group of eusocial insects, the soldier caste exhibits
specific morphological characteristics and extremely high aggression against
predators. Although the genomic background is identical to the other non
aggressive castes, they acquire the soldier-specific behavioral character during
the course of caste differentiation. The high aggressiveness and defensive
behavior is essential for colony survival, but the neurophysiological bases are
completely unknown. In the present study, using the damp-wood termite
Hodotermopsis sjostedti, we focused on two biogenic amines, octopamine (OA) and
tyramine (TA), as candidate neuromodulators for the defensive behavior in
soldiers. High-performance liquid chromatographic analysis revealed that TA
levels in the brain and suboesophageal ganglion (SOG) and the OA level in brain
were increased in soldiers than in pseudergates (worker caste).
Immunohistochemical analysis revealed that TA/OA neurons that innervate specific
areas, including the mandibular muscles, antennal nerve, central complex,
suboesophageal ganglion, and thoracic and/or abdominal ganglia, were enlarged in
a soldier-specific manner. Together with the results that pharmacological
application of TA promoted the defensive behavior in pseudergates, these findings
suggest that the increased TA/OA levels induce the higher aggressiveness and
defensive behavior in termite soldiers. The projection targets of these soldier
specific enlarged TA/OA neurons may have important roles in the higher
aggressiveness and defensive behavior of the termite soldiers, inducing the
neuronal transition that accompanies external morphological changes.
PMID- 27196307
TI - Erratum for Euro Surveill. 2016;21(15).
PMID- 27196306
TI - Nanofiber Alignment Regulates NIH3T3 Cell Orientation and Cytoskeletal Gene
Expression on Electrospun PCL+Gelatin Nanofibers.
AB - To examine the influence of substrate topology on the behavior of fibroblasts,
tissue engineering scaffolds were electrospun from polycaprolactone (PCL) and a
blend of PCL and gelatin (PCL+Gel) to produce matrices with both random and
aligned nanofibrous orientations. The addition of gelatin to the scaffold was
shown to increase the hydrophilicity of the PCL matrix and to increase the
proliferation of NIH3T3 cells compared to scaffolds of PCL alone. The orientation
of nanofibers within the matrix did not have an effect on the proliferation of
adherent cells, but cells on aligned substrates were shown to elongate and align
parallel to the direction of substrate fiber alignment. A microarray of
cyotoskeleton regulators was probed to examine differences in gene expression
between cells grown on an aligned and randomly oriented substrates. It was found
that transcriptional expression of eight genes was statistically different
between the two conditions, with all of them being upregulated in the aligned
condition. The proteins encoded by these genes are linked to production and
polymerization of actin microfilaments, as well as focal adhesion assembly. Taken
together, the data indicates NIH3T3 fibroblasts on aligned substrates align
themselves parallel with their substrate and increase production of actin and
focal adhesion related genes.
PMID- 27196308
TI - Production of Biosurfactants by Pseudomonas Species for Application in the
Petroleum Industry.
AB - The production of surfactants by microorganisms has become an attractive option
in the treatment of oil-contaminated environments because biosurfactants are
biodegradable and less toxic than synthetic surfactants, although production
costs remain high. With the aim of reducing the cost of biosurfactant production,
three strains of Pseudomonas (designated P1, P2, and P3) were cultivated in a low
cost medium containing molasses and corn steep liquor as substrates. Following
the selection of the best producer (P3), a rotational central composite design
(RCCD) was used to determine the influence of substrates concentration on surface
tension and biosurfactant yield. The biosurfactant reduced the surface tension of
water to 27.5 mN/m, and its CMC was determined to be 600 mg/L. The yield was 4.0
g/L. The biosurfactant demonstrated applicability under specific environmental
conditions and was able to remove 80 to 90% of motor oil adsorbed to sand. The
properties of the biosurfactant suggest its potential application in
bioremediation of hydrophobic pollutants.
PMID- 27196310
TI - Biochemical characterization of the novel alpha-1, 3-galactosyltransferase WclR
from Escherichia coli O3.
AB - Glycosyltransferases (GTs) catalyze the formation of regio- and stereo-specific
glycosidic linkages between specific sugar donors and recipients. In this study,
the function of the gene wclR from the Escherichia coli O3 O-antigen gene cluster
that encodes an alpha 1, 3-galactosyltransferase (GalT) that acts on the linkage
Gal alpha 1, 3-GlcNAc was biochemically characterized. WclR was expressed in E.
coli BL21 (DE3), and the enzymatic product was identified by liquid
chromatography-mass spectrometry (LC-MS), collision-induced dissociation
electrospray ionization ion trap multiple tandem MS (CID-ESI-IT-MS(n)) and
galactosidase digestion, using UDP-Gal as the donor substrate and the synthetic
acceptor substrate GlcNAc-PP-De (decyl diphosphate N-acetylglucosamine). The
physiochemical properties and the substrate specificity of WclR were
investigated. WclR is the first bacterial GalT characterized that acts on the
linkage Gal alpha 1, 3-GlcNAc. This study enhanced our knowledge of the
diversified functions of GTs and provided a novel enzyme source for possible
pharmaceutical application.
PMID- 27196309
TI - Mortality, Rehospitalisation and Violent Crime in Forensic Psychiatric Patients
Discharged from Hospital: Rates and Risk Factors.
AB - OBJECTIVES: To determine rates and risk factors for adverse outcomes in patients
discharged from forensic psychiatric services. METHOD: We conducted a historical
cohort study of all 6,520 psychiatric patients discharged from forensic
psychiatric hospitals between 1973 and 2009 in Sweden. We calculated hazard
ratios for mortality, rehospitalisation, and violent crime using Cox regression
to investigate the effect of different psychiatric diagnoses and two
comorbidities (personality or substance use disorder) on outcomes. RESULTS: Over
mean follow-up of 15.6 years, 30% of patients died (n = 1,949) after discharge
with an average age at death of 52 years. Over two-thirds were rehospitalised (n
= 4,472, 69%), and 40% violently offended after discharge (n = 2,613) with a mean
time to violent crime of 4.2 years. The association between psychiatric diagnosis
and outcome varied-substance use disorder as a primary diagnosis was associated
with highest risk of mortality and rehospitalisation, and personality disorder
was linked with the highest risk of violent offending. Furthermore comorbid
substance use disorder typically increased risk of adverse outcomes. CONCLUSION:
Violent offending, premature mortality and rehospitalisation are prevalent in
patients discharged from forensic psychiatric hospitals. Individualised treatment
plans for such patients should take into account primary and comorbid psychiatric
diagnoses.
PMID- 27196311
TI - Structural characterization of the lipoteichoic acid isolated from Staphylococcus
sciuri W620.
AB - Lipoteichoic acid (LTA) is an important cell envelope compound of Gram-positive
bacteria. LTA isolated from allergy-protective Staphylococcus sciuri W620 strain
was characterized by chemical analyses as well as 1D and 2D NMR experiments.
Compositional analyses indicated the presence of glycerol (Gro), phosphate-Gro,
alanine-Gro, glucose (Glc) and fatty acids. The studied strain produced LTA with
backbone composed of glycerol-phosphate repeating units only substituted with d
alanine (Ala) and the lipid anchor, typically for genus Staphyloccocus,
possessing the structure beta-d-Glcp(1->6)- beta-d-Glcp(1->3)-1,2-diacyl-sn-Gro.
PMID- 27196312
TI - Efficient chemoenzymatic synthesis of 4-nitrophenyl beta-d-apiofuranoside and its
use in screening of beta-d-apiofuranosidases.
AB - 4-Nitrophenyl beta-d-apiofuranoside as a chromogenic probe for detection of beta
d-apiofuranosidase activity was prepared in 61% yield from 2,3-isopropylidene
alpha,beta-d-apiofuranose through a sequence of five reactions. The synthesis
involves one regioselective enzymatic step-benzoylation of primary hydroxyl of
2,3-isopropylidene-alpha,beta-d-apiofuranose catalysed by Lipolase 100T and
stereoselective beta-d-apiofuranosylation of p-nitrophenol using BF3?OEt2/Et3N.
The product was used for screening of beta-d-apiofuranosidase activity in 61
samples of crude commercial enzymes and plant materials. Fifteen enzyme
preparations originating from different strains of genera Aspergillus display
beta-d-apiofuranosidase activity. The highest activity was found in Rapidase AR
2000 (78.27 U/g) and lyophilized Viscozyme L (64,36 U/g).
PMID- 27196313
TI - Synthesis of the 2-deoxy trisaccharide glycal of antitumor antibiotics
landomycins A and E.
AB - Synthesis of the 2-deoxy trisaccharide glycal of antitumor antibiotics
landomycins A and E has been described. The synthesis involves an anomeric O
alkylation for the synthesis of 2-deoxy beta-linked disaccharide, a tert
butyldimethylsilyl triflate-catalyzed alpha-selective L-rhodinosylation, and a
lithium 4,4'-di-tert-butylbiphenyl-mediated reductive debenzylation and
concomitant reductive lithiation-elimination for the production of the 2-deoxy
trisaccharide glycal.
PMID- 27196314
TI - Block synthesis of A (type 2) and B (type 2) tetrasaccharides related to the
human ABO blood group system.
AB - Herein we report the synthesis of 3-aminopropyl glycosides of A (type 2) and B
(type 2) tetrasaccharides via [3 + 1] block scheme. Peracetylated
trichloroacetimidates of A and B trisaccharides were used as glycosyl donors. The
well-known low reactivity of 4-OH group of N-acetyl-d-glucosamine forced us to
test four glucosamine derivatives (3-Bz-1,6-anhydro-GlcNAc and 3
trifluoroacetamidopropyl beta-glycosides of 3-Ac-6-Bn-GlcNAc, 3-Ac-6-Bn-GlcN3,
and 3-Ac-6-Bn-GlcNAc2) to select the best glycosyl acceptor for the synthesis of
type 2 tetrasaccharides. The desired tetrasacchrides were not isolated, when 3
trifluoroacetamidopropyl glycosyde of 3-Ac-6-Bn-GlcNAcbeta was glycosylated.
Glycosylation of 3-Bz-1,6-anhydro-GlcNAc derivative resulted in alpha-glycoside
as a major product. High stereospecificity was achieved only in the synthesis of
B (type 2) tetrasaccharide, when 3-trifluoroacetamidopropyl 3-Ac-6-Bn-GlcNAc2beta
was applied as the glycosyl acceptor (beta/alpha 5:1), whereas glycosylation with
trichloroacetimidate of A trisaccharide was not stereospecific (beta/alpha
1.3:1). Glycosylation of 3-trifluoroacetamidopropyl glycoside of 3-Ac-6-Bn
GlcN3beta with trichloroacetimidates of A and B trisaccharides provided the same
stereochemical yield (beta/alpha 1.5:1).
PMID- 27196315
TI - 1,2,3,4,6-Pentakis[-O-(3,4,5-trihydroxybenzoyl)]-alpha,beta-D-glucopyranose (PGG)
analogs: design, synthesis, anti-tumor and anti-oxidant activities.
AB - 1,2,3,4,6-Pentakis[-O-(3,4,5-trihydroxybenzoyl)]-alpha,beta-D-glucopyranose (PGG)
12 has been reported for its antioxidant activities, where the free OH groups in
PGG seem to be critical for activities. To explore PGG-based compounds as
chemotherapeutic agents and to analyze the contribution of specific OH groups in
PGG for anti-cancer activities, we designed and synthesized a series of 27
benzoic and cinnamic acid analogs of PGG. These analogs were tested for
cytotoxicities against two human lung (A549 and H1299) and two human colon
(HCT116 and HT29) cancer cell lines. Compound 12 (PGG) had highest cytotoxicities
against HCT116 and A549 cells with IC50 of 1.61 uM and 3.02 uM, respectively. In
contrast, the compound 16 (1,2,3,4,6-pentakis[-O-(4-hydroxy-3-methoxybenzoyl)]
alpha,beta-D-glucopyranose, PVG) was most effective at killing HT29 and H1299
cells with IC50 of 1.76 uM and 3.65 uM, respectively, indicating the mutual
contribution of m-methoxy and p-hydroxy groups to the observed cytotoxicities.
Moreover, cinnamic acid analogs were less active than the benzoic acid analogs
evidenced by higher IC50 values. Furthermore, in cinnamic acid analogs the
hydrogenation of double bond to saturated 2-C side chain enhance the
cytotoxicities in all four cell lines. Compounds also possess good anti-oxidant
and reducing activities. Compound 12 and 26 show the highest antioxidant and
reducing activities.
PMID- 27196316
TI - Serum Levels of Acyl-Carnitines along the Continuum from Normal to Alzheimer's
Dementia.
AB - This study aimed to determine the serum levels of free L-carnitine, acetyl-L
carnitine and 34 acyl-L-carnitine in healthy subjects and in patients with or at
risk of Alzheimer's disease. Twenty-nine patients with probable Alzheimer's
disease, 18 with mild cognitive impairment of the amnestic type, 24 with
subjective memory complaint and 46 healthy subjects were enrolled in the study,
and the levels of carnitine and acyl-carnitines were measured by tandem mass
spectrometry. The concentrations of acetyl-L-carnitine progressively decreased
passing from healthy subjects group (mean+/-SD, 5.6+/-1.3 MUmol/L) to subjective
memory complaint (4.3+/-0.9 MUmol/L), mild cognitive impairment (4.0+/-0.53
MUmol/L), up to Alzheimer's disease (3.5+/-0.6 MUmol/L) group (p<0.001). The
differences were significant for the comparisons: healthy subjects vs. subjective
memory complaint, mild cognitive impairment or Alzheimer's disease group; and
subjective memory complaint vs. Alzheimer's disease group. Other acyl-carnitines,
such as malonyl-, 3-hydroxyisovaleryl-, hexenoyl-, decanoyl-, dodecanoyl-,
dodecenoyl-, myristoyl-, tetradecenoyl-, hexadecenoyl-, stearoyl-, oleyl- and
linoleyl-L-carnitine, showed a similar decreasing trend, passing from healthy
subjects to patients at risk of or with Alzheimer's disease. These results
suggest that serum acetyl-L-carnitine and other acyl-L-carnitine levels decrease
along the continuum from healthy subjects to subjective memory complaint and mild
cognitive impairment subjects, up to patients with Alzheimer's disease, and that
the metabolism of some acyl-carnitines is finely connected among them. These
findings also suggest that the serum levels of acetyl-L-carnitine and other acyl
L-carnitines could help to identify the patients before the phenotype conversion
to Alzheimer's disease and the patients who would benefit from the treatment with
acetyl-L-carnitine. However, further validation on a larger number of samples in
a longitudinal study is needed before application to clinical practice.
PMID- 27196317
TI - Gene Expression Analysis Indicates Divergent Mechanisms in DEN-Induced
Carcinogenesis in Wild Type and Bid-Deficient Livers.
AB - Bid is a Bcl-2 family protein. In addition to its pro-apoptosis function, Bid can
also promote cell proliferation, maintain S phase checkpoint, and facilitate
inflammasome activation. Bid plays important roles in tissue injury and
regeneration, hematopoietic homeostasis, and tumorigenesis. Bid participates in
hepatic carcinogenesis but the mechanism is not fully understood. Deletion of Bid
resulted in diminished tumor burden and delayed tumor progression in a liver
cancer model. In order to better understand the Bid-regulated events during
hepatic carcinogenesis we performed gene expression analysis in wild type and bid
deficient mice treated with a hepatic carcinogen, diethylnitrosamine. We found
that deletion of Bid caused significantly fewer alterations in gene expression in
terms of the number of genes affected and the number of pathways affected. In
addition, the expression profiles were remarkably different. In the wild type
mice, there was a significant increase in the expression of growth regulation
related and immune/inflammation response-related genes, and a significant
decrease in the expression of metabolism-related genes, both of which were
diminished in bid-deficient livers. These data suggest that Bid could promote
hepatic carcinogenesis via growth control and inflammation-mediated events.
PMID- 27196319
TI - MRI Evidence of Cerebellar and Extraocular Muscle Atrophy Differently
Contributing to Eye Movement Abnormalities in SCA2 and SCA28 Diseases.
AB - PURPOSE: Spinocerebellar ataxias type 2 and 28 (SCA2, SCA28) are autosomal
dominant disorders characterized by progressive cerebellar and oculomotor
abnormalities. We aimed to investigate cerebellar, brainstem, and extraocular
muscle involvement in the mitochondrial SCA28 disease compared with SCA2.
METHODS: We obtained orbital and brain 1.5 T-magnetic resonance images (MRI) in
eight SCA28 subjects, nine SCA2, and nine age-matched healthy subjects. Automated
segmentation of cerebellum and frontal lobe was performed using Freesurfer
software. Manual segmentations for midbrain, pons, and extraocular muscles were
performed using OsiriX. RESULTS: Eye movement abnormalities in SCA2 subjects were
characterized by slow horizontal saccades. Subjects with SCA28 variably presented
hypometric saccades, saccadic horizontal pursuit, impaired horizontal gaze
holding, and superior eyelid ptosis. Quantitative brain MRI demonstrated that
cerebellar and pons volumes were significantly reduced in both SCA2 and SCA28
subjects compared with controls (P < 0.03), and in SCA2 subjects compared with
SCA28 (P < 0.01). Midbrain and frontal lobe volumes were also significantly
reduced in SCA2 compared to controls (P < 0.03), whereas these volumes did not
differ between SCA2 and SCA28 and between SCA28 and control subjects. The
extraocular muscle areas were 37% to 48% smaller in SCA28 subjects compared with
controls (P < 0.002), and 14% to 36% smaller compared with SCA2 subjects (P <
0.03). Extraocular muscle areas did not differ between SCA2 and controls.
CONCLUSIONS: Our MRI findings support the hypothesis of different cerebellar and
extraocular myopathic contributions in the eye movement abnormalities in SCA2 and
SCA28 diseases. In SCA28, a myopathic defect selectively involving the
extraocular muscles supports a specific impairment of mitochondrial energy
metabolism.
PMID- 27196320
TI - Oxidative Stress-Induced Dysfunction of Muller Cells During Starvation.
AB - PURPOSE: Muller cells support retinal neurons with essential functions. Here, we
aim to examine the impact of starvation and oxidative stress on glutamate uptake
and mitochondrial function in Muller cells. METHODS: Cultured human retinal
Muller cells (MIO-M1) were exposed to H2O2 and additional starvation for 24
hours. Effects of starvation and H2O2 on glutamate uptake and mitochondrial
function were assessed by kinetic glutamate uptake assays and Seahorse assays,
respectively. Cell survival was evaluated by cell viability assays. mRNA and
protein expressions were assessed by quantitative PCR and Western blot. RESULTS:
Starvation of Muller cells increased the glutamate uptake capacity as well as the
expression of the most abundant glutamate transporter, EAAT1. Mitochondrial and
glycolytic activity were diminished in starved Muller cells despite unaffected
cell viability. Simultaneous starvation and exposure to oxidative stress resulted
in a reduced glutamate uptake and a collapsed mitochondrial function. In Muller
cells with intact energy supply, the glutamate uptake and mitochondrial function
were unaffected after exposure to oxidative stress. CONCLUSIONS: Here, we
identify an increased susceptibility toward oxidative stress in starved Muller
cells in spite of unaffected viability and an apparent decreased ability to
transport glutamate. Solely exposure to oxidative stress did not affect Muller
cell functions. Thus, our study suggests an increased susceptibility of Muller
cells in case of more than one cellular stressor. Extrapolating these findings,
age-related neurodegenerative retinal diseases may be the result of impaired
Muller cell function.
PMID- 27196318
TI - Vitamin D Induces Global Gene Transcription in Human Corneal Epithelial Cells:
Implications for Corneal Inflammation.
AB - PURPOSE: Our previous studies show that human corneal epithelial cells (HCEC)
have a functional vitamin D receptor (VDR) and respond to vitamin D by dampening
TLR-induced inflammation. Here, we further examined the timing of the cytokine
response to combined vitamin D-TLR treatment and used genome-wide microarray
analysis to examine the effect of vitamin D on corneal gene expression. METHODS:
Telomerase-immortalized HCEC (hTCEpi) were stimulated with polyinosinic
polycytidylic acid (poly[I:C]) and 1,25-dihydroxyvitamin D3 (1,25D3) for 2 to 24
hours and interleukin (IL)-8 expression was examined by quantitative (q)PCR and
ELISA. Telomerase-immortalized HCEC and SV40-HCEC were treated with 1,25D3 and
used in genome-wide microarray analysis. Expression of target genes was validated
using qPCR in both cell lines and primary HCEC. For confirmation of IkappaBalpha
protein, hTCEpi were treated with 1,25D3 for 24 hours and cell lysates used in an
ELISA. RESULTS: Treatment with 1,25D3 increased poly(I:C)-induced IL-8 mRNA and
protein expression after 2 to 6 hours. However, when cells were pretreated with
1,25D3 for 24 hours, 1,25D3 decreased cytokine expression. For microarray
analysis, 308 genes were differentially expressed by 1,25D3 treatment in hTCEpi,
and 69 genes in SV40s. Quantitative (q)PCR confirmed the vitamin D-mediated
upregulation of target genes, including nuclear factor of kappa light polypeptide
gene enhancer in B-cells inhibitor, alpha (IkappaBalpha). In addition to
increased transcript levels, IkappaBalpha protein was increased by 28% following
24 hours of vitamin D treatment. CONCLUSIONS: Microarray analysis demonstrates
that vitamin D regulates numerous genes in HCEC and influences TLR signaling
through upregulation of IkappaBalpha. These findings are important in dissecting
the role of vitamin D at the ocular surface and highlight the need for further
research into the functions of vitamin D and its influence on corneal gene
expression.
PMID- 27196321
TI - The Effect of Statins on Intraocular Pressure and on the Incidence and
Progression of Glaucoma: A Systematic Review and Meta-Analysis.
AB - PURPOSE: We conducted a systematic review and meta-analysis of observational
studies to evaluate the effect of oral statins on intraocular pressure (IOP) and
the incidence and progression of glaucoma. METHODS: This was a systematic review
of the literature and meta-analysis. Searches of PubMed/Medline and Embase were
conducted to include all types of studies. Gray literature abstracts were also
considered for inclusion. Last search date was February 2016. Risk of bias was
assessed using the Newcastle-Ottawa scale independently by two reviewers. Odds
ratios (OR) or hazard ratios (HR) and 95% confidence intervals (CI) were
extracted from each study. Pooled ORs for incidence of glaucoma were calculated
using a random-effects model. RESULTS: We identified seven cohort studies, three
case-control studies, and one cross-sectional study with a total number of
583,615 participants. No randomized controlled trials were retrieved. Pooled ORs
demonstrated a statistically significant association between short-term statin
use (<=2 years) and reduced incidence of glaucoma (OR 0.96, 95%CI 0.94, 0.99).
Pooled ORs of long-term statin use (>2 years) did not demonstrate statistically
significant reduction in incidence of glaucoma (OR 0.70, 95%CI 0.46, 1.06). There
was inconsistent evidence for the protective effect of statins against the
progression of glaucoma, although there was no standard definition for
progression across studies. There was no significant difference in IOP associated
with statin use. CONCLUSIONS: Short-term statin use is associated with a reduced
incidence of glaucoma. The effect of statins on glaucoma progression and IOP is
uncertain.
PMID- 27196324
TI - Patterns of lymph node metastasis identified following bilateral mandibular and
medial retropharyngeal lymphadenectomy in 31 dogs with malignancies of the head.
AB - Variable pathways of lymphatic drainage have been described in the dog head and
neck. The aim of this study was to retrospectively assess the patterns of lymph
node metastasis in dogs with malignancies of the head following bilateral
mandibular and medial retropharyngeal lymphadenectomy. Thirty-one dogs were
included. Median age at surgery was 10 years (range: 5 months to 14 years) and
mean bodyweight was 21.4 +/- 11.9 kg. Lymph node metastasis occurred in 14 dogs
(45%), with spread to mandibular lymph nodes in 14 dogs and medial
retropharyngeal metastasis in 11 dogs. Eight of 13 dogs (62%) with lymphatic
metastasis and a lateralised lesion showed contralateral dissemination, while
12/13 (92%) showed ipsilateral metastasis. Of 13 dogs with oral malignant
melanoma, four showed metastasis to all four lymph centres. Contralateral
metastasis may occur in dogs with malignancies of the head and should be
considered during staging and management.
PMID- 27196322
TI - Novel Identity and Functional Markers for Human Corneal Endothelial Cells.
AB - PURPOSE: Human corneal endothelial cell (HCEC) density decreases with age,
surgical complications, or disease, leading to vision impairment. Such
endothelial dysfunction is an indication for corneal transplantation, although
there is a worldwide shortage of transplant-grade tissue. To overcome the current
poor donor availability, here we isolate, expand, and characterize HCECs in vitro
as a step toward cell therapy. METHODS: Human corneal endothelial cells were
isolated from cadaveric corneas and expanded in vitro. Cell identity was
evaluated based on morphology and immunocytochemistry, and gene expression
analysis and flow cytometry were used to identify novel HCEC-specific markers.
The functional ability of HCEC to form barriers was assessed by transendothelial
electrical resistance (TEER) assays. RESULTS: Cultured HCECs demonstrated
canonical morphology for up to four passages and later underwent endothelial-to
mesenchymal transition (EnMT). Quality of donor tissue influenced cell measures
in culture including proliferation rate. Cultured HCECs expressed identity
markers, and microarray analysis revealed novel endothelial-specific markers that
were validated by flow cytometry. Finally, canonical HCECs expressed higher
levels of CD56, which correlated with higher TEER than fibroblastic HCECs.
CONCLUSIONS: In vitro expansion of HCECs from cadaveric donor corneas yields
functional cells identifiable by morphology and a panel of novel markers. Markers
described correlated with function in culture, suggesting a basis for cell
therapy for corneal endothelial dysfunction.
PMID- 27196323
TI - Sequence and Expression of Complement Factor H Gene Cluster Variants and Their
Roles in Age-Related Macular Degeneration Risk.
AB - PURPOSE: To investigate how potentially functional genetic variants are
coinherited on each of four common complement factor H (CFH) and CFH-related gene
haplotypes and to measure expression of these genes in eye and liver tissues.
METHODS: We sequenced the CFH region in four individuals (one homozygote for each
of four common CFH region haplotypes) to identify all genetic variants. We
studied associations between the haplotypes and AMD phenotypes in 2157 cases and
1150 controls. We examined RNA-seq profiles in macular and peripheral retina and
retinal pigment epithelium/choroid/sclera (RCS) from eight eye donors and three
liver samples. RESULTS: The haplotypic coinheritance of potentially functional
variants (including missense variants, novel splice sites, and the CFHR3-CFHR1
deletion) was described for the four common haplotypes. Expression of the short
and long CFH transcripts differed markedly between the retina and liver. We found
no expression of any of the five CFH-related genes in the retina or RCS, in
contrast to the liver, which is the main source of the circulating proteins.
CONCLUSIONS: We identified all genetic variants on common CFH region haplotypes
and described their coinheritance. Understanding their functional effects will be
key to developing and stratifying AMD therapies. The small scale of our
expression study prevented us from investigating the relationships between CFH
region haplotypes and their expression, and it will take time and collaboration
to develop epidemiologic-scale studies. However, the striking difference between
systemic and ocular expression of complement regulators shown in this study
suggests important implications for the development of intraocular and systemic
treatments.
PMID- 27196331
TI - Long-term care for patients with coeliac disease in the UK: a review of the
literature and future directions.
AB - Coeliac disease is a common digestive disorder that affects 1% of adults. It is
characterised by mucosal damage of the small intestine caused by dietary gluten.
The main treatment for coeliac disease is a lifelong gluten-free diet, which can
reduce morbidity and mortality and also improve quality of life. Despite the
benefits, adhering to this diet is often challenging, with patients often
struggling to sustain dietary restriction. Structured follow-up for coeliac
disease is recommended in international guidelines for improving adherence and
for detecting complications;however, uncertainty exists concerning exactly who
should be administering this follow-up care. Here, we undertake a review of the
current approaches described in the literature to follow-up patients with coeliac
disease, and assess the efficacy of these differing models. We also explore
future directions for the care of these patients in the context of the UK
National Health Service (a publicly funded healthcare system). Although the focus
of this review pertains to follow-up within the UK healthcare system, these
problems are recognised to be international, and so the findings of our review
are likely to be of interest to all healthcare professionals seeing and managing
patients with coeliac disease.
PMID- 27196332
TI - An Indirect Comparison of Efficacy and Safety of
Elvitegravir/Cobicistat/Emtricitabine/Tenofovir Disoproxil Fumarate and
Abacavir/Lamivudine + Dolutegravir in Initial Therapy.
AB - OBJECTIVES: The objective of this analysis is to perform an indirect comparison
of elvitegravir, cobicistat, emtricitabine and tenofovir DF (E/C/F/TDF) to
abacavir/lamivudine and dolutegravir (ABC/3TC + DTG) by using 2 trials evaluating
each of these regimens in comparison to efavirenz, emtricitabine and tenofovir DF
(EFV/FTC/TDF). METHODS: An indirect comparison was performed by using a
generalization of Bucher's methodology to calculate risk differences. Two phase
III clinical trials (GS-US-236-0102 and SINGLE-described above) were used.
RESULTS: Results of the indirect comparison showed no statistically significant
risk difference of the efficacy endpoint of achieving HIV RNA < 50 copies/mL
between E/C/F/TDF and ABC/3TC + DTG for the ITT population at weeks 48, 96 and
144: respectively -3.7% (CI95% = [-10.8%; 3.4%]), -5.2% (CI95% = [-13.2%; 2.8%])
and -3.1% (CI95% = [-12.0%; 5.7%]). There was no statistically significant
differences in the risk difference for serious adverse events (5.7% (CI95% = [
2.2%; 12.3%])), drug related adverse event (2.7% (CI95% = [-7.0%;12.4%])), drug
related serious adverse event (0.8% (CI95% = [-1.6%;3.2%])) and death (0.5%
(CI95% = [-0.8%;1.8%])), respectively, between E/C/F/TDF and ABC/3TC + DTG. A
significant difference was found for discontinuation due to adverse events with a
higher rate for E/C/F/TDF (difference = 8.6% (CI95% = [3.3%; 13.9%])). There was
also no statistically significant risk difference of the viral resistance of 1.2%
(CI95% = [-1.2; 3.7]) between E/C/F/TDF and ABC/3TC + DTG at week 48, 1.7% at
week 96 (CI95% = [-1.1; 4.5]) and 2.2% (CI95% = [-1.0; 5.4]) at week 144.
PMID- 27196333
TI - Neural mechanisms of face perception, their emergence over development, and their
breakdown.
AB - Face perception is probably the most developed visual perceptual skill in humans,
most likely as a result of its unique evolutionary and social significance. Much
recent research has converged to identify a host of relevant psychological
mechanisms that support face recognition. In parallel, there has been substantial
progress in uncovering the neural mechanisms that mediate rapid and accurate face
perception, with specific emphasis on a broadly distributed neural circuit,
comprised of multiple nodes whose joint activity supports face perception. This
article focuses specifically on the neural underpinnings of face recognition, and
reviews recent structural and functional imaging studies that elucidate the
neural basis of this ability. In addition, the article covers some of the recent
investigations that characterize the emergence of the neural basis of face
recognition over the course of development, and explores the relationship between
these changes and increasing behavioural competence. This paper also describes
studies that characterize the nature of the breakdown of face recognition in
individuals who are impaired in face recognition, either as a result of brain
damage acquired at some point or as a result of the failure to master face
recognition over the course of development. Finally, information regarding
similarities between the neural circuits for face perception in humans and in
nonhuman primates is briefly covered, as is the contribution of subcortical
regions to face perception. WIREs Cogn Sci 2016, 7:247-263. doi: 10.1002/wcs.1388
For further resources related to this article, please visit the WIREs website.
PMID- 27196334
TI - Retraction: Resistance to DDT and Pyrethroids and Increased kdr Mutation
Frequency in An. gambiae after the Implementation of Permethrin-Treated Nets in
Senegal.
PMID- 27196335
TI - Anti-inflammatory and Quinone Reductase Inducing Compounds from Fermented Noni
(Morinda citrifolia) Juice Exudates.
AB - A new fatty acid ester disaccharide, 2-O-(beta-d-glucopyranosyl)-1-O-(2E,4Z,7Z)
deca-2,4,7-trienoyl-beta-d-glucopyranose (1), a new ascorbic acid derivative, 2
caffeoyl-3-ketohexulofuranosonic acid gamma-lactone (2), and a new iridoid
glycoside, 10-dimethoxyfermiloside (3), were isolated along with 13 known
compounds (4-16) from fermented noni fruit juice (Morinda citrifolia). The
structures of the new compounds, together with 4 and 5, were determined by 1D and
2D NMR experiments, as well as comparison with published values. Compounds 2 and
7 showed moderate inhibitory activities in a TNF-alpha-induced NF-kappaB assay,
and compounds 4 and 6 exhibited considerable quinone reductase-1 (QR1) inducing
effects.
PMID- 27196336
TI - Human African Trypanosomiasis in the Kafue National Park, Zambia.
PMID- 27196337
TI - Response to comment on "Chemosignalling effects of human tears revisited: Does
exposure to female tears decrease males' perception of female sexual
attractiveness?"
PMID- 27196338
TI - Cell-Imprinted Substrates Modulate Differentiation, Redifferentiation, and
Transdifferentiation.
AB - Differentiation of stem cells into mature cells through the use of physical
approaches is of great interest. Here, we prepared smart nanoenvironments by cell
imprinted substrates based on chondrocytes, tenocytes, and semifibroblasts as
templates and demonstrated their potential for differentiation,
redifferentiation, and transdifferentiation. Analysis of shape and
upregulation/downregulation of specific genes of stem cells, which were seeded on
these cell-imprinted substrates, confirmed that imprinted substrates have the
capability to induce specific shapes and molecular characteristics of the cell
types that were used as templates for cell-imprinting. Interestingly,
immunofluorescent staining of a specific protein in chondrocytes (i.e., collagen
type II) confirmed that adipose-derived stem cells, semifibroblasts, and
tenocytes can acquire the chondrocyte phenotype after a 14 day culture on
chondrocyte-imprinted substrates. In summary, we propose that common polystyrene
tissue culture plates can be replaced by this imprinting technique as an
effective and promising way to regulate any cell phenotype in vitro with
significant potential applications in regenerative medicine and cell-based
therapies.
PMID- 27196353
TI - Is It Time for Integrase Inhibitors to be the Preferred Regimen for the First
Line Treatment of HIV-1-Infected Naive Patients?
AB - Thanks to the emergence of combination antiretroviral therapy, HIV/AIDS has been
transformed into a manageable, chronic condition in just 30 years and the life
expectancy of patients living with HIV is now comparable to those without. Recent
data (START) support the strategy of starting all HIV-positive patients
regardless of CD4 count. However, patients and physicians want more than just
viral control: they want better tolerability, convenience, and few drug-drug
interactions. Are the guidelines right in recommending an integrase inhibitor
based regimen as the first-line treatment of choice?
PMID- 27196354
TI - Prevalence and Disease Burden of HCV Coinfection in HIV Cohorts in the Asia
Pacific Region: A Systematic Review and Meta-Analysis.
AB - BACKGROUND: Estimates of the prevalence and disease burden of HIV/HCV coinfection
in the Asia Pacific Region are uncertain. METHODS: A systematic review of indexed
(PubMed, Embase and Web of Science) and non-indexed cross-sectional and cohort
studies (2009-2015) reporting HCV seroprevalence in HIV-positive adults living in
the Asia Pacific region was performed. Pooled prevalence estimates were
calculated with a DerSimonian-Laird random-effects model. RESULTS: 39 studies
from 10 countries in the Asia Pacific region comprising 89,452 HIV-positive
individuals were included. In the high-income Asia Pacific region, HCV
coinfection prevalence was 3.8% (95% confidence interval (CI): 3.1-4.5) in
Singapore. In East Asia, HCV coinfection prevalence was 8.0% (95% CI: 6.4-9.8) in
Hong Kong and 25.5% (95% CI: 17.5-34.4) in general HIV cohorts in China. In South
Asia, HCV coinfection prevalence was 4.1% (95% CI: 1.7-7.3) in India and 42.6%
(95% CI: 38.7-46.5) in Nepal. In Southeast Asia, HCV coinfection prevalence was
5.5% (95% CI: 4.9-6.1) in Cambodia, 5.3% (95% CI: 4.9-5.7) in Myanmar, and 5.1%
(95% CI: 2.7-8.2) in Thailand, but higher in Vietnam (42.5%; 95% CI: 40.8-44.2)
and Indonesia (17.9%; 95% CI: 15.0-20.9). The prevalence of HCV coinfection was
higher in subpopulations of people who inject drugs (China 81.6%; 95% CI: 74.1
88.0; Nepal 80.8%; 95% CI: 76.4-84.9; Indonesia 81.6%; 95% CI: 71.1-90.3), former
blood donors (China 82.9%; 95% CI: 73.9-90.3), and blood transfusion recipients
(China 51.0%; 95% CI: 41.7-60.2). CONCLUSION: HCV coinfection prevalence within
HIV populations is highly variable in the Asia Pacific region, between countries
and at-risk populations. Enhanced epidemiological data is required to support
scale-up of interferon-free HCV therapy.
PMID- 27196355
TI - Report from the International Conference on Viral Hepatitis (ICVH), San
Francisco, March 2016.
AB - The International Conference on Viral Hepatitis 2016 brought exciting news on the
treatment of viral hepatitis. The conference was mainly focused on the most
recent estimates of burden for HBV and HCV; the current gaps and prospects for
regional and global HCV eradication; the use of HCV treatment as prevention; and
the management of difficult-to-cure hepatitis C patients, including individuals
who fail on direct-acting antivirals, people who inject drugs, and those with
decompensated cirrhosis or renal insufficiency. Special patient populations, such
as children, pregnant women, HIV-coinfected and persons with acute hepatitis C,
were addressed separately. Data from both clinical trials and real-world
experience were discussed. Further debates focused on hepatic conditions that may
alter the management and outcome of viral hepatitis, such as fatty liver disease,
liver transplantation, and hepatocellular carcinoma.
PMID- 27196356
TI - Drug Interactions with Cobicistat- or Ritonavir-Boosted Elvitegravir.
AB - Cobicistat and ritonavir are structurally distinct compounds that both potently
inhibit cytochrome P450 (CYP) 3A, the metabolizing enzyme primarily responsible
for the elimination of several antiretroviral medications, and, as such, are
pharmacokinetic boosters for antiretroviral agents that require longer dosing
intervals. Recently, cobicistat was approved for the treatment of HIV-1 infection
in treatment-naive adults as a component of a single-tablet regimen consisting of
cobicistat-boosted elvitegravir plus emtricitabine and tenofovir disoproxil
fumarate. While studies have demonstrated that boosting with either cobicistat or
ritonavir results in comparable plasma exposure of the target antiretroviral
agent, a better understanding of drug-drug interactions between cobicistat- and
ritonavir-boosted antiretrovirals and other medications will inform treatment
decisions in HIV-infected patients. In connection with their distinct structural
properties, COBI and RTV differ with respect to their drug-drug interaction
profiles. Compared with ritonavir, cobicistat lacks induction potential and is a
more specific inhibitor of 3A and therefore, has reduced effects on other CYP
isoforms. To date, more studies have assessed ritonavir drug-drug interactions
with other medications than have assessed cobicistat drug-drug interactions. The
objective of this article is to review the drug-drug interactions when cobicistat
or ritonavir-boosted elvitegravir, cobicistat, or
elvitegravir/cobicistat/emtricitabine/tenofovir are coadministered with
antiretroviral therapies or drugs that are either substrates, inducers, or
inhibitors of the CYP3A metabolic pathway, as well as with drugs that alter intra
gastric pH or are substrates of P-gp, in order to inform the proper use of
elvitegravir/cobicistat/emtricitabine/tenofovir.
PMID- 27196357
TI - Na2ZnGe2S6: A New Infrared Nonlinear Optical Material with Good Balance between
Large Second-Harmonic Generation Response and High Laser Damage Threshold.
AB - The development of frequency-conversion technology in the infrared region is in
urgent need of new excellent infrared nonlinear optical (IR NLO) materials. How
to achieve a good balance between laser damage threshold (LDT) and NLO
coefficient (dij) for new IR NLO candidates is still a challenge. The combination
of the highly electropositive alkali metal (Na) and Zn with d(10) electronic
configuration into crystal structure affords one new IR NLO material, Na2ZnGe2S6.
It exhibits excellent properties including a wide transparent region (0.38-22
MUm), large band gap (3.25 eV), and especially a balance between a strong NLO
coefficient (30-fold that of KDP) and a high LDT (6-fold that of AgGaS2),
indicating a promising application in the IR region. Moreover, novel common
vertex-linked wavelike infinity[GeS3]n chains are interestingly discovered in
Na2ZnGe2S6, which rarely exist in the reported thiogermanides containing alkali
metals. In addition, calculated SHG density and dipole moment demonstrate that
the large NLO response is mainly attributed to the cooperative effects of the
[GeS4] and [ZnS4] units.
PMID- 27196358
TI - Clinical Safety and Feasibility of the Advanced Bolus Calculator for Type 1
Diabetes Based on Case-Based Reasoning: A 6-Week Nonrandomized Single-Arm Pilot
Study.
AB - BACKGROUND: The Advanced Bolus Calculator for Diabetes (ABC4D) is an insulin
bolus dose decision support system based on case-based reasoning (CBR). The
system is implemented in a smartphone application to provide personalized and
adaptive insulin bolus advice for people with type 1 diabetes. We aimed to assess
proof of concept, safety, and feasibility of ABC4D in a free-living environment
over 6 weeks. METHODS: Prospective nonrandomized single-arm pilot study.
Participants used the ABC4D smartphone application for 6 weeks in their home
environment, attending the clinical research facility weekly for data upload,
revision, and adaptation of the CBR case base. The primary outcome was
postprandial hypoglycemia. RESULTS: Ten adults with type 1 diabetes, on multiple
daily injections of insulin, mean (standard deviation) age 47 (17), diabetes
duration 25 (16), and HbA1c 68 (16) mmol/mol (8.4 (1.5) %) participated. A total
of 182 and 150 meals, in week 1 and week 6, respectively, were included in the
analysis of postprandial outcomes. The median (interquartile range) number of
postprandial hypoglycemia episodes within 6-h after the meal was 4.5 (2.0-8.2) in
week 1 versus 2.0 (0.5-6.5) in week 6 (P = 0.1). No episodes of severe
hypoglycemia occurred during the study. CONCLUSION: The ABC4D is safe for use as
a decision support tool for insulin bolus dosing in self-management of type 1
diabetes. A trend suggesting a reduction in postprandial hypoglycemia was
observed in the final week compared with week 1.
PMID- 27196359
TI - A Calsequestrin-1 Mutation Associated with a Skeletal Muscle Disease Alters
Sarcoplasmic Ca2+ Release.
AB - An autosomal dominant protein aggregate myopathy, characterized by high plasma
creatine kinase and calsequestrin-1 (CASQ1) accumulation in skeletal muscle, has
been recently associated with a missense mutation in CASQ1 gene. The mutation
replaces an evolutionarily-conserved aspartic acid with glycine at position 244
(p.D244G) of CASQ1, the main sarcoplasmic reticulum (SR) Ca2+ binding and storage
protein localized at the terminal cisternae of skeletal muscle cells. Here,
immunocytochemical analysis of myotubes, differentiated from muscle-derived
primary myoblasts, shows that sarcoplasmic vacuolar aggregations positive for
CASQ1 are significantly larger in CASQ1-mutated cells than control cells. A
strong co-immuno staining of both RyR1 and CASQ1 was also noted in the vacuoles
of myotubes and muscle biopsies derived from patients. Electrophysiological
recordings and sarcoplasmic Ca2+ measurements provide evidence for less Ca2+
release from the SR of mutated myotubes when compared to that of controls. These
findings further clarify the pathogenic nature of the p.D244G variant and point
out defects in sarcoplasmic Ca2+ homeostasis as a mechanism underlying this human
disease, which could be distinctly classified as "CASQ1-couplonopathy".
PMID- 27196360
TI - Validity and reliability of a video questionnaire to assess physical function in
older adults.
AB - BACKGROUND: Self-report questionnaires are widely used to assess physical
function in older adults. However, they often lack a clear frame of reference and
hence interpreting and rating task difficulty levels can be problematic for the
responder. Consequently, the usefulness of traditional self-report questionnaires
for assessing higher-level functioning is limited. Video-based questionnaires can
overcome some of these limitations by offering a clear and objective visual
reference for the performance level against which the subject is to compare his
or her perceived capacity. Hence the purpose of the study was to develop and
validate a novel, video-based questionnaire to assess physical function in older
adults independently living in the community. METHODS: A total of 61 community
living adults, 60years or older, were recruited. To examine validity, 35 of the
subjects completed the video questionnaire, two types of physical performance
tests: a test of instrumental activity of daily living (IADL) included in the
Short Physical Functional Performance battery (PFP-10), and a composite of 3
performance tests (30s chair stand, single-leg balance and usual gait speed). To
ascertain reliability, two-week test-retest reliability was assessed in the
remaining 26 subjects who did not participate in validity testing. RESULTS: The
video questionnaire showed a moderate correlation with the IADLs (Spearman
rho=0.64, p<0.001; 95% CI (0.4, 0.8)), and a lower correlation with the composite
score of physical performance tests (Spearman rho=0.49, p<0.01; 95% CI (0.18,
0.7)). The test-retest assessment yielded an intra-class correlation (ICC) of
0.87 (p<0.001; 95% CI (0.70, 0.94)) and a Cronbach's alpha of 0.89 demonstrating
good reliability and internal consistency. CONCLUSIONS: Our results show that the
video questionnaire developed to evaluate physical function in community-living
older adults is a valid and reliable assessment tool; however, further validation
is needed for definitive conclusions.
PMID- 27196361
TI - Vascular Alterations in a Murine Model of Acute Graft-Versus-Host Disease Are
Associated with Decreased Serum Levels of Adiponectin and an Increased Activity
and Vascular Expression of Indoleamine 2,3-Dioxygenase.
AB - Graft-versus-host disease (GVHD) is the limiting complication after bone marrow
transplantation (BMT), and its pathophysiology seems to be highly influenced by
vascular factors. Our study aimed at elucidating possible mechanisms involved in
vascular GVHD. For this purpose, we used a fully MHC-mismatched model of BALB/c
mice conditioned according to two different intensity protocols with total body
irradiation and transplantation of allogeneic (C57BL/6) or syngeneic bone marrow
cells and splenocytes. Mesenteric resistance arteries were studied in a
pressurized myograph. We also quantified the expression of indoleamine 2,3
dioxygenase (IDO), endothelial (eNOS), and inducible NO synthase (iNOS), as well
as several pro- and anti-inflammatory cytokines. We measured the serum levels of
tryptophan (trp) and kynurenine (kyn), the kyn/trp ratio (KTR) as a marker of IDO
activity, and adiponectin (APN). The myographic study showed a correlation of
GVHD severity after allogeneic BMT with functional vessel alterations that
started with increased vessel stress and ended in eccentric vessel remodeling,
increased vessel strain, and endothelial dysfunction. These alterations were
accompanied by increasing IDO activity and decreasing APN levels in the serum of
allogeneic animals. The mRNA expression showed significantly elevated IDO,
decreased eNOS, and elevation of most studied pro- and anti-inflammatory
cytokines. Our study provides further data supporting the importance of vessel
alterations in GVHD and is the first to show an association of vascular GVHD with
hypoadiponectinemia and an increased activity and vascular expression of IDO.
Whether there is also a causative involvement of these two factors in the
development of GVHD needs to be further investigated.
PMID- 27196362
TI - Lanthanide-Directed Fabrication of Four Tetranuclear Quadruple Stranded Helicates
Showing Magnetic Refrigeration and Slow Magnetic Relaxation.
AB - A rare class of four tetranuclear lanthanide based quadruple stranded helicates
namely, [Ln4L4(OH)2](OAc)2.xH2O (Ln = Gd(III)(1), Dy(III)(2) and x = 4, 5
respectively), [Er4L4(OH)2](NO3)2.9H2O (3), and [Dy4L4(NO3)](NO3)2.2CH3OH.H2O (4)
were synthesized by employing succinohydrazone derived bis-tridentate ligand
(H2L) and characterized. Structures of 1-3 are similar to each other except the
nature of counterions and number of lattice water molecules. In 4, a distorted
nitrate ion was arranged in a hexagonal manner holding four dysprosium centers in
a slightly twisted manner. Because of the symmetrical nature of each complex, the
C4 axis crosses the center of helicate resulting a pseudo-D4 coordination
environment. Each ligand coordinates to lanthanide centers in helical manner
forming mixture of left (Lambda) and right (Delta) handed discrete units. Complex
1 exhibits antiferromagnetic exchange interaction between nearby Gd(III) centers
and shows magnetic refrigeration (-DeltaSm = 24.4 J kg(-1) K(-1) for DeltaH = 7 T
at 3 K). AC magnetic susceptibility measurements of 2 and 4 demonstrate slow
relaxation behavior, with Ueff (effective energy barrier) of 20.5 and 4.6 K,
respectively. As per our knowledge, complexes 1, 2, and 4 represent the first
examples of aesthetically pleasing quadruple stranded helicates showing potential
magnetocaloric effect and single-molecule-magnet-like behavior.
PMID- 27196364
TI - Alleviating salt stress in tomato seedlings using Arthrobacter and Bacillus
megaterium isolated from the rhizosphere of wild plants grown on saline-alkaline
lands.
AB - Salt-induced soil degradation is common in farmlands and limits the growth and
development of numerous crop plants in the world. In this study, we isolated salt
tolerant bacteria from the rhizosphere of Tamarix chinensis, Suaeda salsa and
Zoysia sinica, which are common wild plants grown on a saline-alkaline land, to
test these bacteria's efficiency in alleviating salt stress in tomato plants. We
screened out seven strains (TF1-7) that are efficient in reducing salt stress in
tomato seedlings. The sequence data of 16S rRNA genes showed that these strains
belong to Arthrobacter and Bacillus megaterium. All strains could hydrolyze
casein and solubilize phosphate, and showed at least one plant growth promotion
(PGP)-related gene, indicating their potential in promoting plant growth. The
Arthrobacter strains TF1 and TF7 and the Bacillus megaterium strain TF2 and TF3
could produce indole acetic acid under salt stress, further demonstrating their
PGP potential. Tomato seed germination, seedling length, vigor index, and plant
fresh and dry weight were enhanced by inoculation of Arthrobacter and B.
megaterium strains under salt stress. Our results demonstrated that salt-tolerant
bacteria isolated from the rhizosphere of wild plants grown on saline-alkaline
lands could be used for alleviating salt stress in crop plants.
PMID- 27196363
TI - Synthesis of enantiomerically pure [14 C]-labelled morpholine derivatives for a
class of trace amine-associate receptor 1 agonists.
AB - Various agonists of the trace amine-associate receptor 1, under consideration as
potential clinical development candidates, were labelled with carbon-14 for use
in preclinical in vitro and in vivo drug metabolism studies. Herein, the [14 C]
radiosynthesis of 2-phenyl-substituted morpholines 1 is described. After
evaluating and optimizing different synthetic routes, 4-iodonitrobenzene 3 was
selected as starting material for the 14-step synthesis. Incorporation of carbon
14 into the acetyl moiety allowed a safe and efficient synthesis of [14 C]
labelled 4-nitroacetophenone 2 in five steps and 38% yield. Further
transformation of 2 to the target compounds 1 was achieved in a 9-step synthesis.
In a representative example, [14 C]-labelled 1 was obtained in an overall yield
of 11% and was isolated in >99% radiochemical purity and a specific activity of
47 mCi/mmol.
PMID- 27196365
TI - How Can We Best Describe the Cardiac Components?
PMID- 27196366
TI - Covalently-layers of PVA and PAA and in situ formed Ag nanoparticles as versatile
antimicrobial surfaces.
AB - The in situ synthesis of silver nanoparticles (AgNPs) within covalently-modified
poly(ethylene terephthalate) (PET) films possessing ultra-thin layer of
poly(vinyl alcohol) (PVA) and poly(acrylic acid) (PAA) is successfully
demonstrated. The resulting polymeric films are shown to exhibit antimicrobial
activities toward Gram-positive (Staphylococcus aureus) and Gram-negative
(Escherichia coli) bacteria and fungus (Candida albicans). To make the films,
first PET surfaces were subject to photo-oxidation and subsequent solid-state
grafting to attach a PVA layer, followed by a PAA layer. To synthesize the AgNPs
inside the films, the PVA and PAA-modified PET was soaked in AgNO3 solution and
the polymeric film was modified with the Ag(+) ions via Ag(+)-carboxylate
interaction, and then the Ag(+) ions-containing polymer film was subject to
either photo-reduction or thermal reduction processes. The PVA and PAA thin
layers attached by covalent bonds to the PET surface uniquely promoted not only
the in situ synthesis but also the stabilization of AgNPs. The formation of the
AgNPs was confirmed by UV-vis spectroscopy or by monitoring the surface plasmon
resonance (SPR) peak associated with AgNPs. The resulting PVA and PAA ultrathin
layers modified and AgNPs containing PET served as bactericide and fungicide,
inhibiting the growth of bacteria and fungi on the surfaces. Given PET's
versatility and common use in many commercial processes, the method can be used
for producing plastic surfaces with versatile antimicrobial and antibacterial
properties.
PMID- 27196367
TI - Highly selective BTX from catalytic fast pyrolysis of lignin over supported
mesoporous silica.
AB - The post synthesis of Al(3+) or Zr(4+) substituted MCM-48 framework with
controlled acidity is challenging because the functional groups exhibiting
acidity often jeopardize the framework integrity. Herein, we report the post
synthesis of two hierarchically porous MCM-48 composed of either aluminum
(Al(3+)) or zirconium (Zr(4+)) clusters with high throughput. All prepared
catalysts have been characterized by HR-TEM, XRD, IR, N2-adsorption, NH3-TPD, TGA
and MAS NMR. They exhibit BET surface areas of 597 and 1112m(2)g(-1) for 8.4%
Al/MCM-48 and 2.9% Zr/MCM-48, respectively. XRD analysis reveals that the
hierarchical porosity of parental MCM-48 is reserved even after incorporation of
Al(3+)or Zr(4+). Zr/MCM-48 catalysts are demonstrate a superior performance
versus that of Al/MCM-48 and MCM-48 because of the mild (ZrO2) or nil (SiO2)
Lewis acidity contributed from Zr-MU2-O group as well as smaller pore sizes
suitable for the restriction of unwanted side reactions. The reaction conditions
which were affecting the catalytic pyrolysis and final products were gas flow
rate, pyrolysis temperature, and catalyst to lignin ratio. A total of 49% of BTX
product were obtained over 2.9% Zr/MCM-48 at 600 degrees C. The Lewis acid
character was the governing factor which helps in pyrolysis and directly affects
the BTX formation.
PMID- 27196368
TI - Why Chitosan? From properties to perspective of mucosal drug delivery.
AB - Non-parenteral drug delivery routes primarily remove the local pain at the
injection site. The drugs administered through the oral route encounter the
process of hepatic first pass metabolism. Among the alternative delivery routes,
mucosal route is being investigated as the most preferred route. Different
mucosal routes include the gastrointestinal tract (oral), vagina, buccal cavity
and nasal cavity. Novel formulations are being developed using natural and
synthetic polymers that could increase the residence time of the drug at mucosal
surface in order to facilitate permeation and reduce (or bypass) the first pass
metabolism. For recombinant drugs, the formulations are accompanied by enzyme
inhibitors and penetration enhancers. Buccal cavity (buccal and sublingual
mucosa) has smaller surface area than the gastrointestinal tract but the drugs
can easily escape the first pass metabolism. Chitosan is the most applied natural
polymer while synthetic polymers include Carbopol and Eudragit. Chitosan has
inherent properties of mucoadhesion and penetration enhancement apart from
biodegradability and efflux pump inhibition. This review hoards the important
research purview of chitosan as a compatible drug carrier macromolecule for
mucosal delivery on single platform.
PMID- 27196369
TI - The spectrum of orofacial manifestations in systemic sclerosis: a challenging
management.
AB - Systemic sclerosis (SSc) is a rare multisystem connective tissue disorder
characterized by the triad fibrosis, vasculopathy and immune dysregulation. This
chronic disease has a significant impact on the orofacial region that is involved
in more than two-thirds of the cases. SSc patients can show a wide array of oral
manifestations, which are usually associated with a severe impairment of the
quality of life. They often present a decreased the salivary flow and a reduced
mouth opening that contribute substantially to the worsening of the oral health
status. Therefore, SSc patients require specific and multidisciplinary
interventions that should be initiated as early as possible. The identification
of specific radiological and clinical signs at the early stage will improve the
management of such patients. This study reviews the wide spectrum of orofacial
manifestations associated with SSc and suggests clues for the oral management
that remains challenging.
PMID- 27196371
TI - Transcriptional repression of p27 is essential for murine embryonic development.
AB - The Nczf gene has been identified as one of Ncx target genes and encodes a novel
KRAB zinc-finger protein, which functions as a sequence specific transcriptional
repressor. In order to elucidate Nczf functions, we generated Nczf knockout (Nczf
/-) mice. Nczf-/- mice died around embryonic day 8.5 (E8.5) with small body size
and impairment of axial rotation. Histopathological analysis revealed that the
cell number decreased and pyknotic cells were occasionally observed. We examined
the expression of cell cycle related genes in Nczf-/- mice. p27 expression was
increased in E8.0 Nczf-/- mice compared to that of wild type mice. Nczf knockdown
by siRNA resulted in increased expression of p27 in mouse embryonic fibroblasts
(MEFs). Furthermore, p27 promoter luciferase reporter gene analysis confirmed the
regulation of p27 mRNA expression by Nczf. Nczf-/-; p27-/- double knockout mice
survived until E11.5 and the defect of axial rotation was restored. These data
suggest that p27 repression by Nczf is essential in the developing embryo.
PMID- 27196370
TI - Rubella immunity among pregnant women aged 15-44 years, Namibia, 2010.
AB - BACKGROUND: The level of rubella susceptibility among women of reproductive age
in Namibia is unknown. Documenting the risk of rubella will help estimate the
potential burden of disease in Namibian women and the risk of congenital rubella
syndrome (CRS) in infants, and will guide strategies for the introduction of
rubella vaccine. METHODS: A total of 2044 serum samples from pregnant Namibian
women aged 15-44 years were tested for rubella immunoglobulin G antibody; the
samples were obtained during the 2010 National HIV Sentinel Survey. The
proportion of women seropositive for rubella was determined by 5-year age strata,
and factors associated with seropositivity were analyzed by logistic regression,
including age, gravidity, HIV status, facility type, and urban/rural status.
RESULTS: Overall rubella seroprevalence was 85% (95% confidence interval (CI) 83
86%). Seroprevalence varied by age group (83-90%) and health district (71-100%).
In the multivariable model, women from urban residences had higher odds of
seropositivity as compared to women from rural residences (odds ratio 1.40, 95%
CI 1.09-1.81). CONCLUSIONS: In the absence of a routine rubella immunization
program, the high level of rubella seropositivity suggests rubella virus
transmission in Namibia, yet 15% of pregnant Namibian women remain susceptible to
rubella. The introduction of rubella vaccine will help reduce the risk of rubella
in pregnant women and CRS in infants.
PMID- 27196373
TI - A universal mechanism generating clusters of differentiated loci during
divergence-with-migration.
AB - Genome-wide patterns of genetic divergence reveal mechanisms of adaptation under
gene flow. Empirical data show that divergence is mostly concentrated in narrow
genomic regions. This pattern may arise because differentiated loci protect
nearby mutations from gene flow, but recent theory suggests this mechanism is
insufficient to explain the emergence of concentrated differentiation during
biologically realistic timescales. Critically, earlier theory neglects an
inevitable consequence of genetic drift: stochastic loss of local genomic
divergence. Here, we demonstrate that the rate of stochastic loss of weak local
differentiation increases with recombination distance to a strongly diverged
locus and, above a critical recombination distance, local loss is faster than
local "gain" of new differentiation. Under high migration and weak selection,
this critical recombination distance is much smaller than the total recombination
distance of the genomic region under selection. Consequently, divergence between
populations increases by net gain of new differentiation within the critical
recombination distance, resulting in tightly linked clusters of divergence. The
mechanism responsible is the balance between stochastic loss and gain of weak
local differentiation, a mechanism acting universally throughout the genome. Our
results will help to explain empirical observations and lead to novel predictions
regarding changes in genomic architectures during adaptive divergence.
PMID- 27196372
TI - OBE3 and WUS Interaction in Shoot Meristem Stem Cell Regulation.
AB - The stem cells in the shoot apical meristem (SAM) are the origin of all above
ground tissues in plants. In Arabidopsis thaliana, shoot meristem stem cells are
maintained by the homeobox transcription factor gene WUS (WUSCHEL) that is
expressed in cells of the organizing center underneath the stem cells. In order
to identify factors that operate together with WUS in stem cell maintenance, we
performed an EMS mutant screen for modifiers of the hypomorphic wus-6 allele. We
isolated the oberon3-2 (obe3-2) mutant that enhances stem cell defects in wus-6,
but does not affect the putative null allele wus-1. The OBE3 gene encodes a PHD
(Plant Homeo Domain) protein that is thought to function in chromatin regulation.
Single mutants of OBE3 or its closest homolog OBE4 do not display any defects,
whereas the obe3-2 obe4-2 double mutant displays broad growth defects and
developmental arrest of seedlings. Transcript levels of WUS and its target gene
in the stem cells, CLAVATA3, are reduced in obe3-2. On the other hand, OBE3 and
OBE4 transcripts are both indirectly upregulated by ectopic WUS expression. Our
results suggest a positive feedback regulation between WUS and OBE3 that
contributes to shoot meristem homeostasis.
PMID- 27196374
TI - Sedimentation coefficient distributions of large particles.
AB - The spatial and temporal evolution of concentration boundaries in sedimentation
velocity analytical ultracentrifugation reports on the size distribution of
particles with high hydrodynamic resolution. For large particles such as large
protein complexes, fibrils, viral particles, or nanoparticles, sedimentation
conditions usually allow migration from diffusion to be neglected relative to
sedimentation. In this case, the shape of the sedimentation boundaries of
polydisperse mixtures relates directly to the underlying size-distributions.
Integral and derivative methods for calculating sedimentation coefficient
distributions g*(s) of large particles from experimental boundary profiles have
been developed previously, and are recapitulated here in a common theoretical
framework. This leads to a previously unrecognized relationship between g*(s) and
the time-derivative of concentration profiles. Of closed analytical form, it is
analogous to the well-known Bridgman relationship for the radial derivative. It
provides a quantitative description of the effect of substituting the time
derivative by scan differences with finite time intervals, which appears as a
skewed box average of the true distribution. This helps to theoretically clarify
the differences between results from time-derivative method and the approach of
directly fitting the integral definition of g*(s) to the entirety of experimental
boundary data.
PMID- 27196375
TI - Association of Physical Activity by Type and Intensity With Digestive System
Cancer Risk.
AB - IMPORTANCE: Accumulating evidence indicates that common carcinogenic pathways may
underlie digestive system cancers. Physical activity may influence these
pathways. Yet, to our knowledge, no previous study has evaluated the role of
physical activity in overall digestive system cancer risk. OBJECTIVE: To examine
the association between physical activity and digestive system cancer risk,
accounting for amount, type (aerobic vs resistance), and intensity of physical
activity. DESIGN, SETTING, AND PARTICIPANTS: A prospective cohort study followed
43 479 men from the Health Professionals Follow-up Study from 1986 to 2012. At
enrollment, the eligible participants were 40 years or older, were free of
cancer, and reported physical activity. Follow-up rates exceeded 90% in each 2
year cycle. EXPOSURES: The amount of total physical activity expressed in
metabolic equivalent of task (MET)-hours/week. MAIN OUTCOMES AND MEASURES:
Incident cancer of the digestive system encompassing the digestive tract (mouth,
throat, esophagus, stomach, small intestine, and colorectum) and digestive
accessory organs (pancreas, gallbladder, and liver). RESULTS: Over 686 924 person
years, we documented 1370 incident digestive system cancers. Higher levels of
physical activity were associated with lower digestive system cancer risk (hazard
ratio [HR], 0.74 for >=63.0 vs <=8.9 MET-hours/week; 95% CI, 0.59-0.93; P value
for trend = .003). The inverse association was more evident with digestive tract
cancers (HR, 0.66 for >=63.0 vs <=8.9 MET-hours/week; 95% CI, 0.51-0.87) than
with digestive accessary organ cancers. Aerobic exercise was particularly
beneficial against digestive system cancers, with the optimal benefit observed at
approximately 30 MET-hours/week (HR, 0.68; 95% CI, 0.56-0.83; P value for
nonlinearity = .02). Moreover, as long as the same level of MET-hour score was
achieved from aerobic exercise, the magnitude of risk reduction was similar
regardless of intensity of aerobic exercise. CONCLUSIONS AND RELEVANCE: Physical
activity, as indicated by MET-hours/week, was inversely associated with the risk
of digestive system cancers, particularly digestive tract cancers, in men. The
optimal benefit was observed through aerobic exercise of any intensity at the
equivalent of energy expenditure of approximately 10 hours/week of walking at
average pace. Future studies are warranted to confirm our findings and to
translate them into clinical and public health recommendation.
PMID- 27196376
TI - Effect of Phytoplankton Richness on Phytoplankton Biomass Is Weak Where the
Distribution of Herbivores is Patchy.
AB - Positive effects of competitor species richness on competitor productivity can be
more pronounced at a scale that includes heterogeneity in 'bottom-up'
environmental factors, such as the supply of limiting nutrients. The effect of
species richness is not well understood in landscapes where variation in 'top
down' factors, such as the abundance of predators or herbivores, has a strong
influence competitor communities. I asked how phytoplankton species richness
directly influenced standing phytoplankton biomass in replicate microcosm regions
where one patch had a population of herbivores (Daphnia pulicaria) and one patch
did not have herbivores. The effect of phytoplankton richness on standing
phytoplankton biomass was positive but weak and not statistically significant at
this regional scale. Among no-Daphnia patches, there was a significant positive
effect of phytoplankton richness that resulted from positive selection effects
for two dominant and productive species in polycultures. Among with-Daphnia
patches there was not a significant effect of phytoplankton richness. The same
two species dominated species-rich polycultures in no- and with-Daphnia patches
but both species were relatively vulnerable to consumption by Daphnia. Consistent
with previous studies, this experiment shows a measurable positive influence of
primary producer richness on biomass when herbivores were absent. It also shows
that given the patchy distribution of herbivores at a regional scale, a regional
positive effect was not detected.
PMID- 27196377
TI - World Health Organization grade II-III astrocytomas consist of genetically
distinct tumor lineages.
AB - Recent investigations revealed genetic analysis provides important information in
management of gliomas, and we previously reported grade II-III gliomas could be
classified into clinically relevant subgroups based on the DNA copy number
aberrations (CNAs). To develop more precise genetic subgrouping, we investigated
the correlation between CNAs and mutational status of the gene encoding
isocitrate dehydrogenase (IDH) of those tumors. We analyzed the IDH status and
CNAs of 174 adult supratentorial gliomas of astrocytic or oligodendroglial origin
by PCR-based direct sequencing and comparative genomic hybridization,
respectively. We analyzed the relationship between genetic subclassification and
clinical features. We found the most frequent aberrations in IDH mutant tumors
were the combined whole arm-loss of 1p and 19q (1p/19q codeletion) followed by
gain on chromosome arm 7q (+7q). The gain of whole chromosome 7 (+7) and loss of
10q (-10q) were detected in IDH wild-type tumors. Kaplan-Meier estimates for
progression-free survival showed that the tumors with mutant IDH, -1p/19q, or +7q
(in the absence of +7p) survived longer than tumors with wild-type IDH, +7, or
10q. As tumors with +7 (IDH wild-type) showed a more aggressive clinical nature,
they are probably not a subtype that developed from the slowly progressive tumors
with +7q (IDH mutant). Thus, tumors with a gain on chromosome 7 (mostly
astrocytic) comprise multiple lineages, and such differences in their biological
nature should be taken into consideration during their clinical management.
PMID- 27196380
TI - Comparison of gDNA-based versus mRNA-based KIT D816V mutation analysis reveals
large differences between blood and bone marrow in systemic mastocytosis.
PMID- 27196379
TI - Use of RNA amplification and electrophoresis for studying virus aerosol
collection efficiency and their comparison with plaque assays.
AB - The spread of virus-induced infectious diseases through airborne routes of
transmission is a global concern for economic and medical reasons. To study virus
transmission, it is essential to have an effective aerosol collector such as the
growth tube collector (GTC) system that utilizes water-based condensation for
collecting virus-containing aerosols. In this work, we characterized the GTC
system using bacteriophage MS2 as a surrogate for a small RNA virus. We
investigated using RNA extraction and reverse transcription- polymerase chain
reaction (RT-PCR) to study the total virus collection efficiency of the GTC
system. Plaque assays were also used to enumerate viable viruses collected by the
GTC system compared to that by a commercially available apparatus, the SKC(r)
Biosampler. The plaque assay counts were used to enumerate viable viruses whereas
RT-PCR provides a total virus count, including those viruses inactivated during
collection. The effects of relative humidity (RH) and other conditions on
collection efficiency were also investigated. Our results suggest that the GTC
has a collection efficiency for viable viruses between 0.24 and 1.8% and a total
virus collection efficiency between 18.3 and 79.0%, which is 1-2 orders of
magnitude higher than that of the SKC(r) Biosampler. Moreover, higher RH
significantly increases both the viable and total collection efficiency of the
GTC, while its effect on the collection efficiency of the SKC(r) Biosampler is
not significant.
PMID- 27196378
TI - Loss of control eating and eating disorders in adolescents before bariatric
surgery.
AB - OBJECTIVE: This study assessed loss of control (LOC) eating and eating disorders
(EDs) in adolescents undergoing bariatric surgery for severe obesity. METHOD:
Preoperative baseline data from the Teen Longitudinal Assessment of Bariatric
Surgery (Teen-LABS) multisite observational study (n = 242; median BMI = 51 kg/m2
; mean age= 17; 76% female adolescents; 72% Caucasian) included anthropometric
and self-report questionnaires, including the Questionnaire of Eating and Weight
Patterns-Revised (QEWP-R), the Night Eating Questionnaire (NEQ), the Beck
Depression Inventory (BDI-II), and the Impact of Weight on Quality of Life-Kids
(IWQOL-Kids) RESULTS: LOC eating (27%) was common and ED diagnoses included binge
eating disorder (7%), night eating syndrome (5%), and bulimia nervosa (1%).
Compared to those without LOC eating, those with LOC eating reported greater
depressive symptomatology and greater impairment in weight-related quality of
life. DISCUSSION: Before undergoing bariatric surgery, adolescents with severe
obesity present with problematic disordered eating behaviors and meet diagnostic
criteria for EDs. LOC eating, in particular, was associated with several negative
psychosocial factors. Findings highlight targets for assessment and intervention
in adolescents before bariatric surgery. (c) 2016 Wiley Periodicals, Inc.(Int J
Eat Disord 2016; 49:947-952).
PMID- 27196381
TI - Barber-Say syndrome and Ablepharon-Macrostomia syndrome: An overview.
AB - Barber-Say syndrome (BSS) and Ablepharon-Macrostomia syndrome (AMS) are
congenital malformation syndromes caused by heterozygous mutations in TWIST2.
Here we provide a critical review of all patients published with these syndromes.
We excluded several earlier reports due to misdiagnosis or insufficient data for
reliable confirmation of the diagnosis. There remain 16 reliably diagnosed
individuals with BSS and 16 with AMS. Major facial characteristics present in
both entities, albeit often in differing frequencies, are excessive facial
creases, hypertelorism, underdevelopment of the anterior part of the eyelids
(anterior lamella), ectropion, broad nasal ridge and tip, thick and flaring alae
nasi, protruding maxilla, wide mouth, thin upper vermillion, and attached ear
lobes. In BSS a remarkable extension of the columella on the philtrum can be
seen, and in both the medial parts of the cheeks bulge towards the corners of the
mouth (cheek pads). Scalp hair is sparse in AMS only, but sparse eyebrows and
eyelashes occur in both entities, and general hypertrichosis occurs in BSS. We
compare these characteristics with those in Setleis syndrome which can also be
caused by TWIST2 mutations. The resemblance between the three syndromes is
considerable, and likely differences seem larger than they actually are due to
insufficiently complete evaluation for all characteristics of the three entities
in the past. It is likely that with time it can be concluded that BSS. AMS and
Setleis syndrome form a continuum. (c) 2016 Wiley Periodicals, Inc.
PMID- 27196382
TI - Hybrid Potential Simulation of the Acylation of Enterococcus faecium l,d
Transpeptidase by Carbapenems.
AB - The l,d-transpeptidases, Ldts, catalyze peptidoglycan cross-linking in beta
lactam-resistant mutant strains of several bacteria, including Enterococcus
faecium and Mycobacterium tuberculosis. Although unrelated to the essential d,d
transpeptidases, which are inactivated by the beta-lactam antibiotics, they are
nevertheless inhibited by the carbapenem antibiotics, making them potentially
useful targets in the treatment of some important diseases. In this work, we have
investigated the acylation mechanism of the Ldt from E. faecium by the
carbapenem, ertapenem, using computational techniques. We have employed molecular
dynamics simulations in conjunction with QC/MM hybrid potential calculations to
map out possible reaction paths. We have focused on determining the following:
(i) the protonation state of the nucleophilic cysteine of the enzyme when it
attacks; (ii) whether nucleophilic attack and beta-lactam ring-opening are
concerted or stepwise, the latter occurring via an oxyanion intermediate; and
(iii) the identities of the proton acceptors at the beginning and end of the
reaction. Overall, we note that there is considerable plasticity in the
mechanisms, owing to the significant flexibility of the enzyme, but find that the
preferred pathways are ones in which nucleophilic attack of cysteine thiolate is
concerted with beta-lactam ring-opening.
PMID- 27196383
TI - Comment on: Clinical Efficacy of Mudpack Therapy in Treating Knee Osteoarthritis:
A Meta-analysis of Randomized Controlled Studies.
PMID- 27196384
TI - Influence of Cognitive Impairment on Mobility Recovery of Patients With Hip
Fracture.
AB - OBJECTIVE: The aims of this study were to study the mobility recovery in hip
fracture patients and determine the influence of cognitive impairment on mobility
within the first 3 months after surgery. DESIGN: This prospective cohort study
was carried out in an acute public hospital in southern Spain and included 275
patients, 65 years or older, with a hip fracture. Mobility and Cognitive status
were measured by Tinetti Performance-Oriented Mobility Assessment and Pfeiffers'
Scale (Short Portable Mental State Questionnaire), respectively. Multiple linear
regression was used to examine the influence of cognitive impairment on mobility.
RESULTS: The median Performance-Oriented Mobility Assessment score changed from 4
(3-4) points at discharge to 17 (7-22) at 3 months. All degrees of cognitive
impairment were negatively associated with gait and balance at 1 and 3 months
after surgery (P < 0.01). Age, weight bearing, length of hospital stay, and
postsurgical complications were also identified as independent predictors of
mobility outcome at 3 months. CONCLUSIONS: Cognitive impairment is a negative
prognostic factor for the recovery of mobility in elderly patients with a hip
fracture. New treatment strategies are needed for hip fracture patients with
cognitive impairment.
PMID- 27196385
TI - Water-Cooled Radiofrequency Provides Pain Relief, Decreases Disability, and
Improves Quality of Life in Chronic Knee Osteoarthritis.
AB - Chronic osteoarthritis (OA) is a widespread source of pain and disability and
represents a growing economic burden across aging populations. Representing a
major focus of arthritis care, OA of the knee is especially concerning as it has
the potential to restrict mobility and significantly impair quality of life.
Chronic OA is often poorly managed both pharmacologically and
nonpharmacologically, with surgical management representing the definitive
treatment. Those who are not surgical candidates or simply opt for minimally
invasive treatments are usually faced with a lack of alternatives. An additional
treatment presents itself in the form of water-cooled radiofrequency ablation,
which involves the use of thermal lesions to interrupt the active pain pathways.
An 81-year-old woman with bilateral severe knee OA was initially seen and
evaluated in an outpatient physiatry clinic after multiple previous workups of
her ongoing knee pain. With a known diagnosis of end-stage knee OA, the patient
chose to proceed with bilateral water-cooled radiofrequency ablation. At 6 weeks
and 3 months after the procedure, the patient maintained adequate levels of pain
relief, markedly improved function, and enhanced quality of life. Water-cooled
radiofrequency ablation has the potential to create lasting pain relief and with
minimal adverse effects in patients with chronic knee OA.
PMID- 27196388
TI - Potentially traumatic events and mental health problems among children of Iraqi
refugees: The roles of relationships with parents and feelings about school.
AB - This study examined mental health problems among children of Iraqi refugees, most
of whom were Christian. Exposure to potentially traumatic events was hypothesized
to predict more symptoms of depression and traumatic stress. Moreover, youth
reports of supportive relationships with parents and positive feelings about
school were examined in relation to mental health problems. These promotive
factors were expected to mitigate the hypothesized association between traumatic
event exposure and mental health problems. Participants were 211 youth recruited
from agencies and programs serving Iraqi refugees in a large metropolitan area in
the United States. The hypotheses were partially supported. Youth who reported
experiencing more potentially traumatic events endorsed more traumatic stress and
depression symptoms. After accounting for exposure to potentially traumatic
events and other covariates, youth who reported more positive feelings about
school endorsed fewer symptoms of traumatic stress, and youth who reported more
supportive relationships with parents endorsed fewer symptoms of depression. In
addition, there was an interaction between potentially traumatic events and
relationships with parents when predicting depression symptoms. Youth endorsed
higher levels of depression symptoms when they reported less supportive
relationships, regardless of the amount of traumatic event exposure, whereas
youth endorsed lower levels of depression symptoms when they reported more
supportive relationships with parents, but only at low levels of traumatic event
exposure. Otherwise, the main effects were not qualified by interactions between
potentially traumatic event exposure and the promotive factors. The findings from
this study have implications for future research, policy, and practice with
children of refugees. (PsycINFO Database Record
PMID- 27196389
TI - Domestic violence survivors' empowerment and mental health: Exploring the role of
the alliance with advocates.
AB - Over the last 4 decades, domestic violence (DV) programs-both residential and
nonresidential-have sprung up in communities across the country with the aim of
helping survivors become safe. These programs place strong emphasis on the
relationship between the advocate and survivor as critical to becoming safer and
healing from the trauma of abuse. Yet little research has demonstrated the extent
to which specific aspects of the advocate-survivor alliance are related to
specific indicators of survivor well-being, nor shown what factors might mediate
that relationship. This study explored in a sample of help-seeking survivors (N =
370) whether the strength of the alliance between survivors and their advocates
is related to lower symptoms of depression and posttraumatic stress disorder
(PTSD) and whether this association is mediated by survivors' sense of
empowerment in the domain of safety. The structural equation model we tested also
controlled for variables that might influence these relationships, including
race/ethnicity, financial strain, and length of stay in the program. As expected,
stronger alliance was associated with reduced symptoms of both depression and
PTSD, through the mechanism of empowerment in the domain safety. These findings
provide direction to programs seeking to establish a theory of change and point
the way toward longitudinal research on the nature and function of the alliance
as a potential contributor to healing. (PsycINFO Database Record
PMID- 27196390
TI - Optimizing foster family placement for infants and toddlers: A randomized
controlled trial on the effect of the foster family intervention.
AB - The relationship between foster children and their foster carers comes with many
risks and may be very stressful both for parents and children. We developed an
intervention (foster family intervention [FFI]) to tackle these risks. The
intervention focuses on foster children below the age of 5 years. The objective
was to investigate the effects of FFI on the interactions between foster parents
and foster children. A randomized control trial was carried out with a sample of
123 preschool aged children (mean age 18.8 months; 51% boys) and their foster
carers. A pretest was carried out 6 to 8 weeks after placement and a posttest one
half year later. Interactions were videotaped and coded using the Emotional
Availability Scales (EAS). Foster carers were asked to fill in the Dutch version
of the Parenting Stress Index. Morning and evening samples of children's salivary
cortisol were taken. In the posttest, significantly positive effects were found
on the following EAS subscales: Sensitivity, Structuring, Nonintrusiveness, and
Responsiveness. We found no significant differences on stress levels of foster
carers and children (Nijmeegse Ouderlijke Stress Index domains and salivary
cortisol). This study shows that the FFI has a significant positive effect on
parenting skills as measured with EAS and on Responsiveness of the foster child.
Findings are discussed in terms of impact and significance relating to
methodology and design of the study and to clinical relevance. (PsycINFO Database
Record
PMID- 27196391
TI - Sex and the nursing home.
AB - The current article discusses the case of Henry Rahons. A nearly 80 year old man
who was accused by the local district attorney of having unlawful sexual contact
with Donna, his second wife of some seven years who had developed Alzheimer's
disease in her later years. Under Iowa law, he was accused of having sexually
abused her because she had "a mental defect or incapacity which precludes giving
consent" to sex acts. A jury acquitted Henry of the charge of sexually abusing
his wife. The evidence was equivocal that a sex act occurred on May 23, 2014, the
date specified in the indictment. This article addresses the ability to assessed
competence to consent to sex in similar situations. The current rules and
attitudes about senior sex in nursing homes needs to be reevaluated. (PsycINFO
Database Record
PMID- 27196392
TI - Manipulating the temperature dependence of the thermal conductivity of graphene
phononic crystal.
AB - By using non-equilibrium molecular dynamics simulations, modulating the
temperature dependence of thermal conductivity of graphene phononic crystals
(GPnCs) is investigated. It is found that the temperature dependence of thermal
conductivity of GPnCs follows ~T (-alpha) behavior. The power exponents (alpha)
can be efficiently tuned by changing the characteristic size of GPnCs. The phonon
participation ratio spectra and dispersion relation reveal that the long-range
phonon modes are more affected in GPnCs with larger holes (L 0). Our results
suggest that constructing GPnCs is an effective method to manipulate the
temperature dependence of thermal conductivity of graphene, which would be
beneficial for developing GPnC-based thermal management and signal processing
devices.
PMID- 27196393
TI - Differential actinodin1 regulation in zebrafish and mouse appendages.
AB - The fin-to-limb transition is an important evolutionary step in the colonization
of land and diversification of all terrestrial vertebrates. We previously
identified a gene family in zebrafish, termed actinodin, which codes for
structural proteins crucial for the formation of actinotrichia, rigid fibrils of
the teleost fin. Interestingly, this gene family is absent from all tetrapod
genomes examined to date, suggesting that it was lost during limb evolution. To
shed light on the disappearance of this gene family, and the consequences on fin
to-limb transition, we characterized actinodin regulatory elements. Using
fluorescent reporters in transgenic zebrafish, we identified tissue-specific cis
acting regulatory elements responsible for actinodin1 (and1) expression in the
ectodermal and mesenchymal cell populations of the fins, respectively.
Mutagenesis of potential transcription factor binding sites led to the
identification of one binding site crucial for and1 expression in ectodermal
cells. We show that these regulatory elements are partially functional in mouse
limb buds in a tissue-specific manner. Indeed, the zebrafish regulatory elements
target expression to the dorsal and ventral ectoderm of mouse limb buds. Absence
of expression in the apical ectodermal ridge is observed in both mouse and
zebrafish. However, cells of the mouse limb bud mesoderm do not express the
transgene, in contrast to zebrafish. Altogether these results hint for a change
in regulation of and1 during evolution that led to the downregulation and
eventual loss of this gene from tetrapod genomes.
PMID- 27196394
TI - Abyss or Shelter? On the Relevance of Web Search Engines' Search Results When
People Google for Suicide.
AB - Despite evidence that suicide rates can increase after suicides are widely
reported in the media, appropriate depictions of suicide in the media can help
people to overcome suicidal crises and can thus elicit preventive effects. We
argue on the level of individual media users that a similar ambivalence can be
postulated for search results on online suicide-related search queries.
Importantly, the filter bubble hypothesis (Pariser, 2011) states that search
results are biased by algorithms based on a person's previous search behavior. In
this study, we investigated whether suicide-related search queries, including
either potentially suicide-preventive or -facilitative terms, influence
subsequent search results. This might thus protect or harm suicidal Internet
users. We utilized a 3 (search history: suicide-related harmful, suicide-related
helpful, and suicide-unrelated) * 2 (reactive: clicking the top-most result link
and no clicking) experimental design applying agent-based testing. While findings
show no influences either of search histories or of reactivity on search results
in a subsequent situation, the presentation of a helpline offer raises concerns
about possible detrimental algorithmic decision-making: Algorithms "decided"
whether or not to present a helpline, and this automated decision, then, followed
the agent throughout the rest of the observation period. Implications for policy
making and search providers are discussed.
PMID- 27196395
TI - Disparate rates of acute rejection and donor-specific antibodies among high
immunologic risk renal transplant subgroups receiving antithymocyte globulin
induction.
AB - Lymphocyte-depleting induction lowers acute rejection (AR) rates among high
immunologic risk (HIR) renal transplant recipients, including African Americans
(AAs), retransplants, and the sensitized. It is unclear whether different HIR
subgroups experience similarly low rates of AR. We aimed to describe the
incidence of AR and de novo donor-specific antibody (dnDSA) among HIR recipients
categorized by age, race, or donor type. All received antithymocyte globulin
(ATG) induction and triple maintenance immunosuppression. A total of 464 HIR
recipients from 2007 to 2014 were reviewed. AR and dnDSA rates at 1 year for the
entire population were 14% and 27%, respectively. AR ranged from 6.7% among
living donor (LD) recipients to 30% in younger AA deceased donor (DD) recipients.
De novo donor-specific antibody at 1 year ranged from 7% in older non-AA LD
recipients to 32% in AAs. AA race remained as an independent risk factor for AR
among DD recipients and for dnDSA among all HIR recipients. Development of both
AR and dnDSA within the first year was associated with a 54% graft survival at 5
years and was an independent risk factor for graft loss. Despite utilization of
recommended immunosuppression for HIR recipients, substantial disparities exist
among subgroups, warranting further consideration of individualized
immunosuppression in certain HIR subgroups.
PMID- 27196397
TI - Does dorsal capsule interposition improve the results of proximal row carpectomy
in Kienbock's disease? One year randomized trial.
AB - INTRODUCTION: Proximal row carpectomy (PRC) is an option as a salvage procedure
in late stage Kienbock's disease. In this study, we hypothesize that
interposition of a dorsal capsular flap following PRC improves functional
outcomes. No comparative study is available to assess whether interposition is
effective from the functional perspective. This study aims to determine whether
the addition of this procedure may improve functional outcomes at a one year
assessment. METHODS: Thirty adult patients with IIIA and IIIB Lichtman stages,
aged 18-54 years, were randomized into two study groups. Fourteen patients were
allocated to the "no interposition group" and 16 to the "interposition" group.
DASH questionnaire was used to evaluate quality of life. Cooney's system was used
to assess pain, functional state, range of motion, and grip strength.
Complications were also assessed. Final followup and clinical assessment occurred
after 12 months. RESULTS: After 12 months and no patient losses, outcomes were
similar in both groups. DASH scores (41.9 (7.5) vs. 42.9 (12.8), p = 0.79)),
Cooney's system (poor results, 0.6 vs. 0.14, p = 0.54), and complications were
similar between groups. In conclusion, the inclusion of a dorsal capsular flap
does not improve functional outcomes in PRC. Low rates of complications were
found in both groups.
PMID- 27196396
TI - OFD1, as a Ciliary Protein, Exhibits Neuroprotective Function in Photoreceptor
Degeneration Models.
AB - Ofd1 is a newly identified causative gene for Retinitis pigmentosa (RP), a
photoreceptor degenerative disease. This study aimed to examine Ofd1 localization
in retina and further to investigate its function in photoreceptor degeneration
models. Ofd1 localization in rat retina was examined using immunofluorescence. N
methyl-N-nitrosourea (MNU)-induced rats and Royal College of Surgeons (RCS) rats
were used as photoreceptor degeneration models. The expression pattern of Ofd1,
other ciliary associated genes and Wnt signaling pathway genes were examined in
rat models. Furthermore, pEGFP-Ofd1-CDS and pSUPER-Ofd1-shRNA were constructed to
overexpress and knockdown the expression level in 661W and R28 cells. MNU was
also used to induce cell death. Cilia formation was observed using
immunocytochemistry (ICC). Reactive oxygen species (ROS) were detected using the
2', 7'-Dichlorofluorescin diacetate (DCFH-DA) assay. Apoptosis genes expression
was examined using qRT-PCR, Western blotting and fluorescence-activated cell
sorting (FACS). Ofd1 localized to outer segments of rat retina photoreceptors.
Ofd1 and other ciliary proteins expression levels increased from the 1st and 4th
postnatal weeks and decreased until the 6th week in the RCS rats, while their
expression consistently decreased from the 1st and 7th day in the MNU rats.
Moreover, Wnt signaling pathway proteins expression was significantly up
regulated in both rat models. Knockdown of Ofd1 expression resulted in a smaller
population, shorter length of cell cilia, and lower cell viability. Ofd1
overexpression partially attenuated MNU toxic effects by reducing ROS levels and
mitigating apoptosis. To the best of our knowledge, this is the first study
demonstrating Ofd1 localization and its function in rat retina and in retinal
degeneration rat models. Ofd1 plays a role in controlling photoreceptor cilium
length and number. Importantly, it demonstrates a neuroprotective function by
protecting the photoreceptor from oxidative stress and apoptosis. These data have
expanded our understanding of Ofd1 function beyond cilia, and we concluded that
ofd1 neuroprotection could be a potential treatment strategy in retina
degeneration models.
PMID- 27196401
TI - Simultaneous Bioreduction of Multiple Oxidized Contaminants Using a Membrane
Biofilm Reactor.
AB - This study tests a hydrogen-based membrane biofilm reactor (MBfR) to
investigate simultaneous bioreduction of selected oxidized contaminants,
including nitrate (-N), sulfate (),
bromate (), chromate (Cr(VI)) and para-chloronitrobenzene (p
CNB). The experiments demonstrate that MBfR can achieve high performance for
contaminants bioreduction to harmless or immobile forms in 240 days, with a
maximum reduction fluxes of 0.901 g -N/m2.d,
1.573 g /m2.d, 0.009 g /m2.d,
0.022 g Cr(VI)/m2.d, and 0.043 g p-CNB/m2.d. Increasing H2 pressure and
decreasing influent surface loading enhanced removal efficiency of the reactor.
Flux analysis indicates that nitrate and sulfate reductions competed more
strongly than , Cr(VI) and p-CNB reduction. The average H2
utilization rate, H2 flux, and H2 utilization efficiency of the reactor were
0.026 to 0.052 mg H2/cm3.d, 0.024 to 0.046 mg H2/cm2.d, and 97.5% to 99.3%
(nearly 100%). Results show the hydrogen-based MBfR may be suitable for removing
multiple oxidized contaminants in drinking water or groundwater.
PMID- 27196399
TI - The decisionalization of individualization.
AB - Throughout forensic science and adjacent branches, academic researchers and
practitioners continue to diverge in their perception and understanding of the
notion of 'individualization', that is the claim to reduce a pool of potential
donors of a forensic trace to a single source. In particular, recent shifts to
refer to the practice of individualization as a decision have been revealed as
being a mere change of label [1], leaving fundamental changes in thought and
understanding still pending. What is more, professional associations and
practitioners shy away from embracing the notion of decision in terms of the
formal theory of decision in which individualization may be framed, mainly
because of difficulties to deal with the measurement of desirability or
undesirability of the consequences of decisions (e.g., using utility functions).
Building on existing research in the area, this paper presents and discusses
fundamental concepts of utilities and losses with particular reference to their
application to forensic individualization. The paper emphasizes that a proper
appreciation of decision tools not only reduces the number of individual
assignments that the application of decision theory requires, but also shows how
such assignments can be meaningfully related to constituting features of the real
world decision problem to which the theory is applied. It is argued that the
decisonalization of individualization requires such fundamental insight to
initiate changes in the fields' underlying understandings, not merely in their
label.
PMID- 27196398
TI - Prevalence of Doctor-Diagnosed Arthritis at State and County Levels - United
States, 2014.
AB - Doctor-diagnosed arthritis is a common chronic condition that affects
approximately 52.5 million (22.7%) adults in the United States and is a leading
cause of disability (1,2). The prevalence of doctor-diagnosed arthritis has been
well documented at the national level (1), but little has been published at the
state level and the county level, where interventions are carried out and can
have their greatest effect. To estimate the prevalence of doctor-diagnosed
arthritis among adults at the state and county levels, CDC analyzed data from the
2014 Behavioral Risk Factor Surveillance System (BRFSS). This report summarizes
the results of that analysis, which found that, for all 50 states and the
District of Columbia (DC) overall, the age-standardized median prevalence of
doctor-diagnosed arthritis was 24% (range = 18.8%-35.5%). The age-standardized
model-predicted prevalence of doctor-diagnosed arthritis varied substantially by
county, with estimates ranging from 15.8% to 38.6%. The high prevalence of
arthritis in all counties, and the high frequency of arthritis-attributable
limitations (1) among adults with arthritis, suggests that states and counties
might benefit from expanding underused, evidence-based interventions for
arthritis that can reduce arthritis symptoms and improve self-management.
PMID- 27196405
TI - Bronchoscopy in lung cancer: navigational modalities and their clinical use.
AB - INTRODUCTION: More than 150,000 solitary pulmonary nodules are reported each year
in the United States. As the popularity of low dose CT scan use for screening
grows, these numbers are likely to increase substantially over the coming years.
More than 10 million CT scans of the chest were performed in the United States
alone in 2012, highlighting the potential for this clinical scenario. Detecting a
nodule on either a chest radiograph or a CT scan frequently leads to a cascade of
further investigations to establish a definite diagnosis. Thoracic surgery is
frequently performed to obtain a tissue diagnosis in these cases, however, for
what often turns out to be a benign abnormality. AREAS COVERED: During the last
decade, several new technologies have been introduced to the field of
bronchoscopy. As experience with these technologies has increased, the body of
published literature has grown. Expert commentary: In this review, we assess the
most important and interesting articles in the field. The value and the
limitations of the various options will be discussed based on the actual
evidence.
PMID- 27196406
TI - Characterization of Five Novel Mitoviruses in the White Pine Blister Rust Fungus
Cronartium ribicola.
AB - The white pine blister rust (WPBR) fungus Cronartium ribicola (J.C. Fisch.) is an
exotic invasive forest pathogen causing severe stem canker disease of native
white pine trees (subgenus Strobus) in North America. The present study reports
discovery of five novel mitoviruses in C. ribicola by deep RNA sequencing. The
complete genome of each mitovirus was determined by rapid amplification of cDNA
ends (RACE) and reverse transcriptase-polymerase chain reaction (RT-PCR). A
single open reading frame (ORF) encoding a putative RNA-dependent RNA polymerase
(RdRp) was detected in each of the viral genomes using mitochondrial genetic
codes. Phylogenetic analysis indicated that the C. ribicola mitoviruses (CrMV1 to
CrMV5) are new putative species of the genus Mitovirus. qRT-PCR and RNA-Seq
analyses revealed that viral RNAs were significantly increased in fungal mycelia
in cankered pine stems compared to expression during two different stages of
spore development, suggesting that viral genome replication and transcription
benefit from active growth of the host fungus. CrMVs were widespread with
relatively high levels of minor allele frequency (MAF) in western North America.
As the first report of mitoviruses in the Class Pucciniomycetes, this work allows
further investigation of the dynamics of a viral community in the WPBR
pathosystem, including potential impacts that may affect pathogenicity and
virulence of the host fungus.
PMID- 27196416
TI - Early Stress History Alters Serum Insulin-Like Growth Factor-1 and Impairs Muscle
Mitochondrial Function in Adult Male Rats.
AB - Early-life adversity is associated with an enhanced risk for adult
psychopathology. Psychiatric disorders such as depression exhibit comorbidity for
metabolic dysfunction, including obesity and diabetes. However, it is poorly
understood whether, besides altering anxiety and depression-like behaviour, early
stress also evokes dysregulation of metabolic pathways and enhances vulnerability
for metabolic disorders. We used the rodent model of the early stress of maternal
separation (ES) to examine the effects of early stress on serum metabolites,
insulin-like growth factor (IGF)-1 signalling, and muscle mitochondrial content.
Adult ES animals exhibited dyslipidaemia, decreased serum IGF1 levels, increased
expression of liver IGF binding proteins, and a decline in the expression of
specific metabolic genes in the liver and muscle, including Pck1, Lpl, Pdk4 and
Hmox1. These changes occurred in the absence of alterations in body weight, food
intake, glucose tolerance, insulin tolerance or insulin levels. ES animals also
exhibited a decline in markers of muscle mitochondrial content, such as
mitochondrial DNA levels and expression of TFAM (transcription factor A,
mitochondrial). Furthermore, the expression of several genes involved in
mitochondrial function, such as Ppargc1a, Nrf1, Tfam, Cat, Sesn3 and Ucp3, was
reduced in skeletal muscle. Adult-onset chronic unpredictable stress resulted in
overlapping and distinct consequences from ES, including increased circulating
triglyceride levels, and a decline in the expression of specific metabolic genes
in the liver and muscle, with no change in the expression of genes involved in
muscle mitochondrial function. Taken together, our results indicate that a
history of early adversity can evoke persistent changes in circulating IGF-1 and
muscle mitochondrial function and content, which could serve to enhance
predisposition for metabolic dysfunction in adulthood.
PMID- 27196407
TI - Hybrid procedure for total laryngectomy with a flexible robot-assisted surgical
system.
AB - INTRODUCTION: Total laryngectomy is a standard procedure in head-and-neck surgery
for the treatment of cancer patients. Recent clinical experiences have indicated
a clinical benefit for patients undergoing transoral robot-assisted total
laryngectomy (TORS-TL) with commercially available systems. Here, a new hybrid
procedure for total laryngectomy is presented. METHODS: TORS-TL was performed in
human cadavers (n = 3) using a transoral-transcervical hybrid procedure. The
transoral approach was performed with a robotic flexible robot-assisted surgical
system (Flex(r)) and compatible flexible instruments. Transoral access and
visualization of anatomical landmarks were studied in detail. RESULTS: Total
laryngectomy is feasible with a combined transoral-transcervical approach using
the flexible robot-assisted surgical system. Transoral visualization of all
anatomical structures is sufficient. The flexible design of the robot is
advantageous for transoral surgery of the laryngeal structures. CONCLUSION:
Transoral robot assisted surgery has the potential to reduce morbidity, hospital
time and fistula rates in a selected group of patients. Initial clinical studies
and further development of supplemental tools are in progress. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27196418
TI - Language learning, socioeconomic status, and child-directed speech.
AB - Young children's language experiences and language outcomes are highly variable.
Research in recent decades has focused on understanding the extent to which
family socioeconomic status (SES) relates to parents' language input to their
children and, subsequently, children's language learning. Here, we first review
research demonstrating differences in the quantity and quality of language that
children hear across low-, mid-, and high-SES groups, but also-and perhaps more
importantly-research showing that differences in input and learning also exist
within SES groups. Second, in order to better understand the defining features of
'high-quality' input, we highlight findings from laboratory studies examining
specific characteristics of the sounds, words, sentences, and social contexts of
child-directed speech (CDS) that influence children's learning. Finally, after
narrowing in on these particular features of CDS, we broaden our discussion by
considering family and community factors that may constrain parents' ability to
participate in high-quality interactions with their young children. A unification
of research on SES and CDS will facilitate a more complete understanding of the
specific means by which input shapes learning, as well as generate ideas for
crafting policies and programs designed to promote children's language outcomes.
WIREs Cogn Sci 2016, 7:264-275. doi: 10.1002/wcs.1393 For further resources
related to this article, please visit the WIREs website.
PMID- 27196402
TI - The Comparison of MTT and CVS Assays for the Assessment of Anticancer Agent
Interactions.
AB - Multiple in vitro tests are widely applied to assess the anticancer activity of
new compounds, including their combinations and interactions with other drugs.
The MTT (3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyl tetrazolium bromide) assay is
one of the most commonly used assays to assess the efficacy and interactions of
anticancer agents. However, it can be significantly influenced by compounds that
modify cell metabolism and reaction conditions. Therefore, several assays are
sometimes used to screen for potential anticancer drugs. However, the majority of
drug interactions are evaluated only with this single method. The aim of our
studies was to verify whether the choice of an assay has an impact on determining
the type of interaction and to identify the source of discrepancies. We compared
the accuracy of MTT and CVS (crystal violet staining) assays in the interaction
of two compounds characterized by similar anticancer activity: isothiocyanates
(ITCs) and Selol. Confocal microscopy studies were carried out to assess the
influence of these compounds on the reactive oxygen species (ROS) level,
mitochondrial membrane potential, dead-to-live cell ratio and MTT-tetrazolium
salt reduction rate. The MTT assay was less reliable than CVS. The MTT test of
Selol and 2-oxoheptyl ITC, which affected the ROS level and MTT reduction rate,
gave false negative (2-oxoheptyl ITC) or false positive (Selol) results. As a
consequence, the MTT assay identified an antagonistic interaction between Selol
and ITC, while the metabolism-independent CVS test identified an additive or
synergistic interaction. In this paper, we show for the first time that the test
assay may change the interpretation of the compound interaction. Therefore, the
test method should be chosen with caution, considering the mechanism of action of
the compound.
PMID- 27196417
TI - Penalized Multi-Way Partial Least Squares for Smooth Trajectory Decoding from
Electrocorticographic (ECoG) Recording.
AB - In the current paper the decoding algorithms for motor-related BCI systems for
continuous upper limb trajectory prediction are considered. Two methods for the
smooth prediction, namely Sobolev and Polynomial Penalized Multi-Way Partial
Least Squares (PLS) regressions, are proposed. The methods are compared to the
Multi-Way Partial Least Squares and Kalman Filter approaches. The comparison
demonstrated that the proposed methods combined the prediction accuracy of the
algorithms of the PLS family and trajectory smoothness of the Kalman Filter. In
addition, the prediction delay is significantly lower for the proposed algorithms
than for the Kalman Filter approach. The proposed methods could be applied in a
wide range of applications beyond neuroscience.
PMID- 27196400
TI - Cancer Incidence among Heart, Kidney, and Liver Transplant Recipients in Taiwan.
AB - Population-based evidence of the relative risk of cancer among heart, kidney, and
liver transplant recipients from Asia is lacking. The Taiwan National Health
Insurance Research Database was used to conduct a population-based cohort study
of transplant recipients (n = 5396), comprising 801 heart, 2847 kidney, and 1748
liver transplant recipients between 2001 and 2012. Standardized incidence ratios
and Cox regression models were used. Compared with the general population, the
risk of cancer increased 3.8-fold after heart transplantation, 4.1-fold after
kidney transplantation and 4.6-fold after liver transplantation. Cancer
occurrence showed considerable variation according to transplanted organs. The
most common cancers in all transplant patients were cancers of the head and neck,
liver, bladder, and kidney and non-Hodgkin lymphoma. Male recipients had an
increased risk of cancers of the head and neck and liver, and female kidney
recipients had a significant risk of bladder and kidney cancer. The adjusted
hazard ratio for any cancer in all recipients was higher in liver transplant
recipients compared with that in heart transplant recipients (hazard ratio = 1.5,
P = .04). Cancer occurrence varied considerably and posttransplant cancer
screening should be performed routinely according to transplanted organ and sex.
PMID- 27196421
TI - Histological Effects of Er:YAG Laser Irradiation with Snoring Handpiece in the
Rat Soft Palate.
AB - OBJECTIVE: The aim of this study was to assess the effects of laser irradiation
with a novel snoring handpiece on the histological structures of the soft palate
in a rat model. BACKGROUND: Snoring is a common problem and studies have shown
that 20-50% of the population is affected. An apnea-hypopnea index of <5/h
without daytime somnolence is documented as simple snoring. No snoring treatment
should ever be attempted until a sleep study has been completed to rule out sleep
apnea. METHODS: Twenty adult Wistar rats, weighing 200-250 g, were used in this
study. Rats were randomized into two groups: experimental group (n = 10) and
control group (n = 10). Laser energy was delivered in a horizontal direction to
the surface of the soft palate of each rat in the experimental group for 2 min
with an Er:YAG laser (LightWalker AT; Fotona) with snoring handpiece (PS04) in a
noncontact mode (1.15 W, 2 Hz, 1.5 J/cm(2)). The animals were sacrificed after 24
h, 1 week, 3 weeks, or 5 weeks. The soft palate of each rat was removed by
excisional biopsy. The specimens underwent histological examination. Contractions
and fibrosis were reported as grading from 1+ to 3+, while edema and hyperemia
were evaluated according to the absence (0) or presence (1) of any changes.
Statistical analysis was done with the Mann-Whitney U and Spearman's rho tests.
RESULT: A noticeable contraction of the soft palate occurred immediately after
laser application. Inflammatory changes were observed histologically at 3 weeks
postoperatively. Keratinization appeared after the procedure in both groups and
decreased gradually. Statistically, there was a strong correlation among
inflammation and time and also keratinization and time (p < 0.05). CONCLUSIONS:
The present study indicates that Er:YAG laser irradiation with snoring handpiece
(PS04) causes acute shrinkage of the mucosa. This contraction decreases gradually
but is still present at the end of fifth week.
PMID- 27196420
TI - Comparison of a New In-House and Three Published HLA-B*15:02 Screening Methods
for Prevention of Carbamazepine-Induced Severe Drug Reactions.
AB - Currently, there are three published HLA-B*15:02 screening methods for prevention
of carbamazepine-induced severe drug reactions in Asian populations. To analyze
available HLA-B*15:02 screening methods, we compared four screening methods,
including a multiplex PCR method, a nested PCR method, a LAMP method and our new
in-house PCR-dot blot hybridization method. These methods were reviewed regarding
their sensitivity, specificity, false positivity and technical considerations.
Possible false positive (FP) alleles and genotypes were checked regarding the
primers and probes designs, using the IMGT/HLA database. Expected FP rates in
Asian populations were predicted using the Allele Frequencies Net Database. All
methods had a sensitivity of more than 99.9%, although giving FP results to
certain very rare alleles and genotypes. The multiplex PCR method was the only
test that gave FP results to certain genotypes of HLA-B*15:13, the allele which
is prevalent in Southeast Asian populations. In conclusion, the nested PCR, LAMP
and our in-house methods could be applied in various Asian populations, but the
multiplex PCR, or any test with FP to HLA-B*15:13, should be applied with caution
in the Southeast Asian populations.
PMID- 27196419
TI - Health Disparities by Type of Disability: Health Examination Results of Adults
(18-64 Years) with Disabilities in Shanghai, China.
AB - AIMS: There have been few studies on the disparities within the population with
disabilities, especially in China. The aim of this study was to evaluate the
differences in some health conditions among people with different types of
disabilities in Shanghai. METHODS: This study was conducted using data from the
Shanghai Disabled Persons' Rehabilitation Comprehensive Information Platform. The
records of 31,082 persons with disabilities who had undergone professional health
examination were analyzed, and the prevalence and number of five diseases and
five risk factors were examined. Logistic regression was used to explore
disparities from two perspectives: 1) basic differences, unadjusted for other
factors, and 2) differences after adjusting for key demographic covariates. A p
value < 0.05 was considered significant. RESULTS: Individuals with visual
disability had a high rate of refractive error (60.0%), and averaged 1.75
diseases of interest, which was the highest value among all disability types. The
mean number of risk factors we measured was greatest (1.96) in the population
with mental disability. There were significant differences (p < 0.05) between the
hearing and speech impairment group and the other groups with respect to most
health outcomes, except chronic pharyngitis, hepatic cysts, and high blood
pressure. CONCLUSION: Significant differences of selected health outcomes between
groups with different types of disabilities remained after controlling for key
demographic indicators. Further research is needed to explore the relationships
between health conditions and disability types.
PMID- 27196422
TI - Measuring Spatial Dependence for Infectious Disease Epidemiology.
AB - Global spatial clustering is the tendency of points, here cases of infectious
disease, to occur closer together than expected by chance. The extent of global
clustering can provide a window into the spatial scale of disease transmission,
thereby providing insights into the mechanism of spread, and informing optimal
surveillance and control. Here the authors present an interpretable measure of
spatial clustering, tau, which can be understood as a measure of relative risk.
When biological or temporal information can be used to identify sets of
potentially linked and likely unlinked cases, this measure can be estimated
without knowledge of the underlying population distribution. The greater our
ability to distinguish closely related (i.e., separated by few generations of
transmission) from more distantly related cases, the more closely tau will track
the true scale of transmission. The authors illustrate this approach using
examples from the analyses of HIV, dengue and measles, and provide an R package
implementing the methods described. The statistic presented, and measures of
global clustering in general, can be powerful tools for analysis of spatially
resolved data on infectious diseases.
PMID- 27196424
TI - Scalable and Environmentally Benign Process for Smart Textile Nanofinishing.
AB - A major challenge in nanotechnology is that of determining how to introduce green
and sustainable principles when assembling individual nanoscale elements to
create working devices. For instance, textile nanofinishing is restricted by the
many constraints of traditional pad-dry-cure processes, such as the use of costly
chemical precursors to produce nanoparticles (NPs), the high liquid and energy
consumption, the production of harmful liquid wastes, and multistep batch
operations. By integrating low-cost, scalable, and environmentally benign aerosol
processes of the type proposed here into textile nanofinishing, these constraints
can be circumvented while leading to a new class of fabrics. The proposed one
step textile nanofinishing process relies on the diffusional deposition of
aerosol NPs onto textile fibers. As proof of this concept, we deposit Ag NPs onto
a range of textiles and assess their antimicrobial properties for two strains of
bacteria (i.e., Staphylococcus aureus and Klebsiella pneumoniae). The
measurements show that the logarithmic reduction in bacterial count can get as
high as ca. 5.5 (corresponding to a reduction efficiency of 99.96%) when the Ag
loading is 1 order of magnitude less (10 ppm; i.e., 10 mg Ag NPs per kg of
textile) than that of textiles treated by traditional wet-routes. The
antimicrobial activity does not increase in proportion to the Ag content above 10
ppm as a consequence of a "saturation" effect. Such low NP loadings on
antimicrobial textiles minimizes the risk to human health (during textile use)
and to the ecosystem (after textile disposal), as well as it reduces potential
changes in color and texture of the resulting textile products. After three
washes, the release of Ag is in the order of 1 wt %, which is comparable to
textiles nanofinished with wet routes using binders. Interestingly, the washed
textiles exhibit almost no reduction in antimicrobial activity, much as those of
as-deposited samples. Considering that a realm of functional textiles can be
nanofinished by aerosol NP deposition, our results demonstrate that the proposed
approach, which is universal and sustainable, can potentially lead to a wide
number of applications.
PMID- 27196423
TI - Mass Balance Assessment for Six Neonicotinoid Insecticides During Conventional
Wastewater and Wetland Treatment: Nationwide Reconnaissance in United States
Wastewater.
AB - Occurrence and removal of six high-production high-volume neonicotinoids was
investigated in 13 conventional wastewater treatment plants (WWTPs) and one
engineered wetland. Flow-weighted daily composites were analyzed by isotope
dilution liquid chromatography tandem mass spectrometry, revealing the occurrence
of imidacloprid, acetamiprid, and clothianidin at ng/L concentrations in WWTP
influent (60.5 +/- 40.0; 2.9 +/- 1.9; 149.7 +/- 289.5, respectively) and effluent
(58.5 +/- 29.1; 2.3 +/- 1.4; 70.2 +/- 121.8, respectively). A mass balance showed
insignificant removal of imidacloprid (p = 0.09, CI = 95%) and limited removal of
the sum of acetamiprid and its degradate, acetamiprid-N-desmethyl (18 +/- 4%, p =
0.01, CI = 95%). Clothianidin was found only intermittently, whereas
thiamethoxam, thiacloprid, and dinotefuran were never detected. In the wetland,
no removal of imidacloprid or acetamiprid was observed. Extrapolation of data
from 13 WWTPs to the nation as a whole suggests annual discharges on the order of
1000-3400 kg/y of imidacloprid contained in treated effluent to surface waters
nationwide. This first mass balance and first United States nationwide wastewater
reconnaissance identified imidacloprid, acetamiprid, and clothianidin as
recalcitrant sewage constituents that persist through wastewater treatment to
enter water bodies at significant loadings, potentially harmful to sensitive
aquatic invertebrates.
PMID- 27196426
TI - Retraction: How the Malaria Vector Anopheles gambiae Adapts to the Use of
Insecticide-Treated Nets by African Populations.
PMID- 27196425
TI - Effects of High-Temperature-Pressure Polymerized Resin-Infiltrated Ceramic
Networks on Oral Stem Cells.
AB - OBJECTIVES: The development of CAD-CAM techniques called for new materials suited
to this technique and offering a safe and sustainable clinical implementation.
The infiltration of resin in a ceramic network under high pressure and high
temperature defines a new class of hybrid materials, namely polymer infiltrated
ceramics network (PICN), for this purpose which requires to be evaluated
biologically. We used oral stem cells (gingival and pulpal) as an in vitro
experimental model. METHODS: Four biomaterials were grinded, immersed in a
culture medium and deposed on stem cells from dental pulp (DPSC) and gingiva
(GSC): Enamic (VITA(r)), Experimental Hybrid Material (EHM), EHM with initiator
(EHMi) and polymerized Z100TM composite material (3M(r)). After 7 days of
incubation; viability, apoptosis, proliferation, cytoskeleton, inflammatory
response and morphology were evaluated in vitro. RESULTS: Proliferation was
insignificantly delayed by all the tested materials. Significant cytotoxicity was
observed in presence of resin based composites (MTT assay), however no detectable
apoptosis and some dead cells were detected like in PICN materials. Cell
morphology, major cytoskeleton and extracellular matrix components were not
altered. An intimate contact appeared between the materials and cells. CLINICAL
SIGNIFICANCE: The three new tested biomaterials did not exhibit adverse effects
on oral stem cells in our experimental conditions and may be an interesting
alternative to ceramics or composite based CAD-CAM blocks.
PMID- 27196428
TI - Slit-Like Deformation of the Coronary Sinus Orifice due to Compression of the
Inferior Pyramidal Space by the Severely Dilated Left Ventricle.
AB - The coronary sinus is located within the inferior pyramidal space, which is the
part of the epicardial visceral fibroadipose tissue wedging between the four
cardiac chambers from the bottom of the heart. Therefore, this region is
susceptible to the morphological changes of the cardiac chambers. We present a
case of slit-like deformation of the coronary sinus orifice due to compression of
the inferior pyramidal space by the severely dilated left ventricle, which has
not been previously described.
PMID- 27196427
TI - Ontogeny and Molecular Phylogeny of Apoamphisiella vernalis Reveal Unclear
Separation between Genera Apoamphisiella and Paraurostyla (Protozoa, Ciliophora,
Hypotricha).
AB - Morphology and divisional morphogenesis of the hypotrich ciliate Apoamphisiella
vernalis are investigated based on two populations from Brazil. Typical specimens
of A. vernalis replicates its ventral ciliature from six fronto-ventral
transverse (FVT) anlagen independently formed for proter and opisthe, plus one or
more short anlagen located between IV and V, which form surplus transverse cirri.
Dorsal morphogenesis occurs as in typical oxytrichid dorsomarginalians, viz.,
with formation of three anlagen and fragmentation of the rightmost one.
Dorsomarginal kineties are formed near anterior end of right marginal cirral row
anlagen. Various anomalous specimens exhibiting more than two long ventral rows
were found, which are explained by increasing the number of FVT anlagen and/or
the number of cirri produced by anlagen. Comparative ontogeny and phylogenetic
analyses based on the 18S rDNA reveal that Apoamphisiella vernalis is closely
affine to North American and European strains of the Paraurostyla weissei
complex. Their reduced genetic distances and conspicuous morphological
variability show that both genera can overlap, which implies the necessity of re
evaluating the contextual relevance of some morphological characters commonly
used for genus-level separation within hypotrich taxa.
PMID- 27196429
TI - Old-School Chemotherapy in Immunotherapeutic Combination in Cancer, A Low-cost
Drug Repurposed.
AB - Cancer immunotherapy has proven to be a potent treatment modality. Although often
successful in generating antitumor immune responses, cancer immunotherapy is
frequently hindered by tumor immune-escape mechanisms. Among immunosuppressive
strategies within the tumor microenvironment, suppressive immune regulatory cells
play a key role in promoting tumor progression through inhibiting the effector
arm of the immune response. Targeting these suppressive cells can greatly enhance
antitumor immune therapies, hence augmenting a highly effective therapeutic
antitumor response. Several approaches are being tested to enhance the effector
arm of the immune system while simultaneously inhibiting the suppressor arm. Some
of these approaches are none other than traditional drugs repurposed as immune
modulators. Cyclophosphamide, an old-school chemotherapeutic agent used across a
wide range of malignancies, was found to be a potent immune modulator that
targets suppressive regulatory immune cells within the tumor microenvironment
while enhancing effector cells. Preclinical and clinical findings have confirmed
the ability of low doses of cyclophosphamide to selectively deplete regulatory T
cells while enhancing effector and memory cytotoxic T cells within the tumor
microenvironment. These immune effects translate to suppressed tumor growth and
enhanced survival, evidence of antitumor therapeutic efficacy. This article
discusses the reincarnation of cyclophosphamide as an immune modulator that
augments novel immunotherapeutic approaches. Cancer Immunol Res; 4(5); 377-82.
(c)2016 AACR.
PMID- 27196430
TI - Short-term warfarin treatment for apical thrombus in a patient with Takotsubo
cardiomyopathy.
AB - Takotsubo cardiomyopathy (TCMP) is characterised by a temporary aneurysm of the
left ventricular apex in individuals without significant stenosis of the coronary
arteries. It is extremely rare to see it combined with a thrombus. In this case
report, we present a 57-year-old female patient with TCMP in whom apical thrombus
was treated with short-term warfarin use.
PMID- 27196431
TI - Programmatic Use of Molecular Xenomonitoring at the Level of Evaluation Units to
Assess Persistence of Lymphatic Filariasis in Sri Lanka.
AB - BACKGROUND: Sri Lanka's Anti Filariasis Campaign distributed 5 rounds of mass
drug administration (MDA with DEC plus albendazole) to all endemic regions in the
country from 2002-2006. Post-MDA surveillance results have generally been
encouraging. However, recent studies have documented low level persistence of
Wuchereria bancrofti in Galle district based on comprehensive surveys that
include molecular xenomonitoring (MX, detection of filarial DNA in mosquitoes)
results. The purposes of this study were to demonstrate the use of MX in large
evaluation units (EUs) and to field test different mosquito sampling schemes.
METHODOLOGY/PRINCIPAL FINDINGS: Galle district (population 1.1 million) was
divided into two EUs. These included a coastal EU with known persistent LF and an
inland EU with little persistent LF. Mosquitoes were systematically sampled from
~300 trap locations in 30 randomly selected clusters (health administrative
units) per EU. Approximately 28,000 Culex quinquefasciatus were collected with
gravid traps and tested for filarial DNA by qPCR. 92/625 pools (14.7%) from the
coastal EU and 8/583 pools (1.4%) from the inland EU were positive for filarial
DNA. Maximum likelihood estimates (MLE) for filarial DNA rates were essentially
the same when the same number of mosquito pools were collected and tested from
75, 150, or 300 trap sites (range 0.61-0.78% for the coastal EU and 0.04-0.07%
for the inland EU). The ability to use a smaller number of trap sites reduces the
cost and time required for mosquito sampling. CONCLUSIONS/SIGNIFICANCE: These
results suggest there is widespread persistence of W. bancrofti infection in the
coastal Galle EU 8 years after the last round of MDA in 2006, and this is
consistent with other data from the district. This study has shown that MX can be
used by national programs to assess and map the persistence of W. bancrofti at
the level of large EUs in areas with Culex transmission.
PMID- 27196433
TI - Where to Go: Breaking the Symmetry in Cell Motility.
AB - Cell migration in the "correct" direction is pivotal for many biological
processes. Although most work is devoted to its molecular mechanisms, the cell's
preference for one direction over others, thus overcoming intrinsic random
motility, epitomizes a profound principle that underlies all complex systems: the
choice of one axis, in structure or motion, from a uniform or symmetric set of
options. Explaining directional motility by an external chemo-attractant gradient
does not solve but only shifts the problem of causation: whence the gradient? A
new study in PLOS Biology shows cell migration in a self-generated gradient,
offering an opportunity to take a broader look at the old dualism of extrinsic
instruction versus intrinsic symmetry-breaking in cell biology.
PMID- 27196432
TI - Blockade of Extracellular ATP Effect by Oxidized ATP Effectively Mitigated
Induced Mouse Experimental Autoimmune Uveitis (EAU).
AB - Various pathological conditions are accompanied by ATP release from the
intracellular to the extracellular compartment. Extracellular ATP (eATP)
functions as a signaling molecule by activating purinergic P2 purine receptors.
The key P2 receptor involved in inflammation was identified as P2X7R. Recent
studies have shown that P2X7R signaling is required to trigger the Th1/Th17
immune response, and oxidized ATP (oxATP) effectively blocks P2X7R activation. In
this study we investigated the effect of oxATP on mouse experimental autoimmune
uveitis (EAU). Our results demonstrated that induced EAU in B6 mice was almost
completely abolished by the administration of small doses of oxATP, and the Th17
response, but not the Th1 response, was significantly weakened in the treated
mice. Mechanistic studies showed that the therapeutic effects involve the
functional change of a number of immune cells, including dendritic cells (DCs), T
cells, and regulatory T cells. OxATP not only directly inhibits the T cell
response; it also suppresses T cell activation by altering the function of DCs
and Foxp3+ T cell. Our results demonstrated that inhibition of P2X7R activation
effectively exempts excessive autoimmune inflammation, which may indicate a
possible therapeutic use in the treatment of autoimmune diseases.
PMID- 27196434
TI - Association of Extracapsular Spread With Survival According to Human
Papillomavirus Status in Oropharynx Squamous Cell Carcinoma and Carcinoma of
Unknown Primary Site.
AB - IMPORTANCE: The presence of extracapsular spread (ECS) of metastatic nodes is
considered a poor prognosticator in head and neck cancer, with postoperative
chemoradiation therapy often recommended over radiation therapy alone in such
cases. However, there is less clarity regarding the effect of ECS on human
papillomavirus-associated oropharynx squamous cell carcinoma (OPSCC) or carcinoma
of unknown primary site (CUP). OBJECTIVE: To investigate the association of ECS
according to human papillomavirus status in OPSCC and CUP with survival. DESIGN,
SETTING, AND PARTICIPANTS: This investigation was a retrospective cohort study
performed between August 1998 and March 2015 at an academic teaching hospital.
Participants were 83 patients with OPSCC (n = 62) or CUP (n = 21) undergoing neck
dissection as part of initial treatment. MAIN OUTCOME AND MEASURES: Human
papillomavirus status was determined by p16 immunohistochemistry. The presence of
ECS was extrapolated from pathology reports, and the extent of ECS was determined
by rereview of original pathology slides. Disease-specific survival (DSS) and
recurrence-free survival (RFS) were assessed. RESULTS: Among 83 patients (71
male), there were 45 p16-positive and 38 p16-negative tumors. Fifty-one patients
had ECS, which was graded as extensive in 43 cases. The median follow-up was 31
months for all patients and 50 months for surviving patients. Among the entire
cohort, adverse predictors of RFS were p16-negative status (hazard ratio [HR],
9.4; 95% CI, 3.3-27.2) and ECS (HR, 6.5; 95% CI, 2.0-21.6). Adverse predictors of
DSS were p16-negative status (HR, 16.8; 95% CI, 3.9-71.2) and ECS (HR, 8.3; 95%
CI, 2.0-35.3). Among p16-negative patients, ECS was significantly associated with
worse RFS (HR, 9.7; 95% CI, 1.3-72.3) and DSS (HR, 8.7; 95% CI, 1.1-62.7). In
contrast, among p16-positive patients, ECS had no effect on RFS (HR, 1.1; 95% CI,
0.2-7.8) or DSS (HR, 1.2; 95% CI, 0.1-18.7). CONCLUSIONS AND RELEVANCE: The
presence of ECS appears to be associated with survival in OPSCC and CUP according
to p16 status. Our findings raise questions regarding the benefits of
postoperative chemoradiation therapy in p16-positive patients with ECS.
PMID- 27196435
TI - Molybdenum Disulfide as a Protection Layer and Catalyst for Gallium Indium
Phosphide Solar Water Splitting Photocathodes.
AB - Gallium indium phosphide (GaInP2) is a semiconductor with promising optical and
electronic properties for solar water splitting, but its surface stability is
problematic as it undergoes significant chemical and electrochemical corrosion in
aqueous electrolytes. Molybdenum disulfide (MoS2) nanomaterials are promising to
both protect GaInP2 and to improve catalysis because MoS2 is resistant to
corrosion and also possesses high activity for the hydrogen evolution reaction
(HER). In this work, we demonstrate that GaInP2 photocathodes coated with thin
MoS2 surface protecting layers exhibit excellent activity and stability for solar
hydrogen production, with no loss in performance (photocurrent onset potential,
fill factor, and light-limited current density) after 60 h of operation. This
represents a 500-fold increase in stability compared to bare p-GaInP2 samples
tested in identical conditions.
PMID- 27196436
TI - Modeling the cognitive mechanisms linking autism symptoms and anxiety in adults.
AB - Emotional acceptance, alexithymia, and intolerance of uncertainty (IU) contribute
to anxiety disorders in neurotypical populations. Their association with anxiety
in people diagnosed with autism spectrum disorder (ASD) has not been studied. We
aimed to model the contributions of these constructs on the relationship between
dimensional measures of autism and anxiety. Participants were 151 adults
recruited from 2 sites, including those diagnosed with ASD (n = 76) and a matched
comparison group (n = 75). All participants completed a battery of questionnaires
measuring core autism symptoms, anxiety, emotional acceptance, alexithymia, and
intolerance of uncertainty. Structural equation modeling with mediation was used
to examine directional relationships among these variables. Autism symptoms
directly predicted less emotional acceptance and increased alexithymia and IU.
Alexithymia and acceptance were shown to explain 64% of the effect between autism
symptom severity and anxiety level. This suggests that people with ASD experience
increased levels of anxiety because they are more likely to react aversively to
their emotional experiences, while lacking the ability to identify and understand
their emotions. Developing and implementing mindfulness-based interventions aimed
at assuaging alexithymia and IU, while increasing emotional acceptance, may be
especially helpful in treating anxiety in ASD. (PsycINFO Database Record
PMID- 27196438
TI - Fast Knoevenagel Condensations Catalyzed by an Artificial Schiff-Base-Forming
Enzyme.
AB - The simple catalytic motifs utilized by enzymes created by computational design
and directed evolution constitute a potentially valuable source of chemical
promiscuity. Here we show that the artificial retro-aldolase RA95.5-8 is able to
use a reactive lysine in a hydrophobic pocket to accelerate promiscuous
Knoevenagel condensations of electron-rich aldehydes and activated methylene
donors. Optimization of this activity by directed evolution afforded an efficient
enzyme variant with a catalytic proficiency of 5 * 10(11) M(-1) and a >10(8)-fold
catalytic advantage over simple primary and secondary amines. Divergent evolution
of de novo enzymes in this way could be a promising strategy for creating
tailored biocatalysts for many synthetically useful reactions.
PMID- 27196437
TI - Stability and fluctuation of personality disorder features in daily life.
AB - Very little is known about the daily stability and fluctuation of personality
pathology. To address this gap in knowledge, we investigated the naturalistic
manifestation of personality pathology over the course of 100 days. A group of
individuals (N = 101) diagnosed with any personality disorder (PD) completed a
daily diary study over 100 consecutive days (Mdn = 94 days, range = 33-101 days).
Participants completed daily ratings of 30 manifestations of personality
pathology. Patterns of stability and variability over the course of the study
were then examined. Results indicated that individual PD manifestations and
domains of PD manifestations were variable across days and differed widely in
their frequency. Additionally, individual averages and level of variability in PD
domains were highly stable across months, individual averages of PD domains were
predicted by baseline dispositional ratings of PD traits with a high degree of
specificity, and daily variability PD domains was associated with elevated levels
of PD traits. This pattern of findings suggests that dynamic processes of symptom
exacerbation and diminution that are stable in mean level and variability in
expression over time characterizes personality pathology. Further, dispositional
ratings are significant predictors of average daily expression of PD features.
(PsycINFO Database Record
PMID- 27196439
TI - Ultrasound for Distal Forearm Fracture: A Systematic Review and Diagnostic Meta
Analysis.
AB - STUDY OBJECTIVE: To determine the diagnostic accuracy of ultrasound for detecting
distal forearm fractures. METHODS: A systematic review and diagnostic meta
analysis was performed according to the PRISMA statement. We searched MEDLINE,
Web of Science and the Cochrane Library from inception to September 2015. All
prospective studies of the diagnostic accuracy of ultrasound versus radiography
as the reference standard were included. We excluded studies with a retrospective
design and those with evidence of verification bias. We assessed the
methodological quality of the included studies with the QUADAS-2 tool. We
performed a meta-analysis of studies evaluating ultrasound to calculate the
pooled sensitivity and specificity with 95% confidence intervals (CI95%) using a
bivariate model with random effects. Subgroup and sensitivity analysis were used
to examine the effect of methodological differences and other study
characteristics. RESULTS: Out of 867 publications we included 16 studies with
1,204 patients and 641 fractures. The pooled test characteristics for ultrasound
were: sensitivity 97% (CI95% 93-99%), specificity 95% (CI95% 89-98%), positive
likelihood ratio (LR) 20.0 (8.5-47.2) and negative LR 0.03 (0.01-0.08). The
corresponding pooled diagnostic odds ratio (DOR) was 667 (142-3,133). Apparent
differences were shown for method of viewing, with the 6-view method showing
higher specificity, positive LR, and DOR, compared to the 4-view method.
CONCLUSION: The present meta-analysis showed that ultrasound has a high accuracy
for the diagnosis of distal forearm fractures in children when used by proper
viewing method. Based on this, ultrasound should be considered a reliable
alternative, which has the advantages of being radiation free.
PMID- 27196440
TI - Common miR-590 Variant rs6971711 Present Only in African Americans Reduces miR
590 Biogenesis.
AB - MicroRNAs (miRNAs) are recognized as important regulators of cardiac development,
hypertrophy and fibrosis. Recent studies have demonstrated that genetic
variations which cause alterations in miRNA:target interactions can lead to
disease. We hypothesized that genetic variations in miRNAs that regulate cardiac
hypertrophy/fibrosis might be involved in generation of the cardiac phenotype in
patients diagnosed with hypertrophic cardiomyopathy (HCM). To investigate this
question, we Sanger sequenced 18 miRNA genes previously implicated in myocyte
hypertrophy/fibrosis and apoptosis, using genomic DNA isolated from the
leukocytes of 199 HCM patients. We identified a single nucleotide polymorphism
(rs6971711, C57T SNP) at the 17th position of mature miR-590-3p (= 57th position
of pre-miR-590) that is common in individuals of African ancestry. SNP frequency
was higher in African American HCM patients (n = 55) than ethnically-matched
controls (n = 100), but the difference was not statistically significant (8.2%
vs. 6.5%; p = 0.5). Using a cell culture system, we discovered that presence of
this SNP resulted in markedly lower levels of mature miR-590-5p (39 +/- 16%,
p<0.003) and miR-590-3p (20 +/- 2%, p<0.003), when compared with wild-type (WT)
miR-590, without affecting levels of pri-miR-590 and pre-miR-590. Consistent with
this finding, the SNP resulted in reduced target suppression when compared to WT
miR-590 (71% suppression by WT vs 60% suppression by SNP, p<0.03). Since miR-590
can regulate TGF-beta, Activin A and Akt signaling, SNP-induced reduction in miR
590 biogenesis could influence cardiac phenotype by de-repression of these
signaling pathways. Since the SNP is only present in African Americans,
population studies in this patient population would be valuable to investigate
effects of this SNP on myocyte function and cardiac physiology.
PMID- 27196443
TI - CoYoT1 Clinic: Innovative Telemedicine Care Model for Young Adults with Type 1
Diabetes.
AB - BACKGROUND: Young adults with type 1 diabetes (T1D) face many challenges in
managing their diabetes, resulting in suboptimal glycemic control and often loss
to follow-up. Comprehensive strategies are needed to engage this population in
diabetes care and improve outcomes. This pilot study investigated the feasibility
and acceptability of the Colorado Young Adults with Type 1 Diabetes (CoYoT1)
Clinic-an innovative clinical care model for young adults with T1D, incorporating
telemedicine and peer interactions. SUBJECTS AND METHODS: Forty-five patients
with T1D, 18-25 years of age, participated in this study. Patients completed one
routine, diabetes clinic appointment, using Health Insurance Portability and
Accountability-approved, Web-based videoconferencing from a location of their
choosing. The clinic visit consisted of an individual appointment with a diabetes
provider and a group appointment with other young adults, facilitated by a
certified diabetes educator. Patients completed a satisfaction survey and
reported the time lost from school or work to complete the virtual appointment
compared with time typically lost to complete a traditional, in-person, visit.
RESULTS: Patients reported high levels of satisfaction with the virtual clinic
and high levels of perceived support from the peer interaction. Additionally,
patients reported saving over 6 h from their work or school day when completing
their diabetes clinic visit virtually instead of in-person. CONCLUSIONS: In this
cross-sectional pilot study, the CoYoT1 Clinic, incorporating Web-based
videoconferencing and peer interactions, was feasible and acceptable for young
adults with T1D. This model may potentially increase engagement with diabetes
care in the young adult population. However, further research is needed to fully
evaluate the intervention.
PMID- 27196441
TI - A bout analysis reveals age-related methylmercury neurotoxicity and nimodipine
neuroprotection.
AB - Age-related deficits in motor and cognitive functioning may be driven by
perturbations in calcium (Ca(2+)) homeostasis in nerve terminals, mechanisms that
are also thought to mediate the neurotoxicity of methylmercury (MeHg). Calcium
channel blockers (CCBs) protect against MeHg toxicity in adult mice, but little
is known about their efficacy in other age groups. Two age groups of BALB/c mice
were exposed to 0 or 1.2mg/kg/day MeHg and 0 or 20mg/kg/day of the CCB nimodipine
for approximately 8.5 months. Adults began exposure on postnatal day (PND) 72 and
the retired breeders on PND 296. High-rate operant behavior was maintained under
a percentile schedule, which helped to decouple response rate from reinforcer
rate. Responding was analyzed using a log-survivor bout analysis approach that
partitioned behavior into high-rate bouts separated by pauses. MeHg-induced
mortality did not depend on age but nimodipine neuroprotection was age-dependent,
with poorer protection occurring in older mice. Within-bout response rate (a
marker of sensorimotor function) was more sensitive to MeHg toxicity than bout
initiation rate (a marker of motivation). Within-bout rate declined almost 2
months prior to overt signs of toxicity for the MeHg-only retired breeders but
not adults, suggesting greater delay to toxicity in younger animals. Motor-based
decrements also appeared in relatively healthy adult MeHg+NIM animals. Aging
appeared to alter the processes underlying Ca(2+) homeostasis thereby diminishing
protection by nimodipine, even in mice that have not reached senescence. The
study of MeHg exposure presents an experimental model by which to study potential
mechanisms of aging.
PMID- 27196445
TI - gamma-Glutamyl Transferase Is an Independent Biomarker of Splanchnic Thrombosis
in Patients With Myeloproliferative Neoplasm.
AB - Myeloproliferative neoplasms (MPNs) are associated with an increased risk of
thrombotic events and constitute the major risk factor of splanchnic venous
thrombosis (SVT) in Western countries. Although timely anticoagulation resolves
SVT, unrecognized SVT frequently leads to portal hypertension and, potentially,
variceal bleeding, which may render anticoagulation difficult. Thus, early
identification of SVT development is clinically relevant in MPN patients.In this
retrospective analysis, we included 126 patients with MPN and/or SVT referred to
our hospital between 2009 and 2014. A total of 86 patients diagnosed with MPN
formed the first cohort (PV n = 18, ET n = 16, and MF n = 40), whereas 40
patients who had SVT without adjunct MPN formed a control cohort. Median follow
up period was 960 days. Clinical and laboratory data were collected and analyzed
for the identification of potential biomarkers applying descriptive statistics,
nonparametric testing, Kaplan-Meier, and logistic regression analysis. The
relevance of the identified biomarkers was evaluated in an independent 2nd cohort
of 181 patients from the MPN registry of the Study Alliance of Leukemia (SAL
MPN).Thirty-three MPN patients (38%) in the 1st cohort had SVT. Elevated levels
of aspartate aminotransferase, alanine aminotransferase, serum bilirubin, or
gamma-GT were significantly correlated to the presence of SVT. In multivariate
testing, CRP and aspartate aminotransferase were predictors for survival and
gamma-GT remained the only significant variable associated with SVT in MPN
patients (P < 0.05). These findings were confirmed in the 2nd cohort comprising
42% of patients with MPN suffering from SVT.Elevated gamma-GT levels indicate SVT
in MPN patients, whereas CRP levels are independent predictors of patient
survival.
PMID- 27196444
TI - Karyomegalic Interstitial Nephritis: A Case Report and Review of the Literature.
AB - Karyomegalic interstitial nephritis is a rare cause of hereditary chronic
interstitial nephritis, described for the first time over 40 years ago.A 36-year
old woman, of Turkish origin, presented with chronic kidney disease and high
blood pressure. She had a history of recurrent upper respiratory tract infections
but no familial history of nephropathy. Physical examination was unremarkable.
Laboratory tests showed serum creatinine at 2.3 mg/dL with an estimated
glomerular filtration rate of 26 mL/min/1.73m, and gamma-glutamyl transpeptidase
and alkaline phosphatase at 3 and 1.5 times the upper normal limit. Urinalysis
showed 0.8 g/day of nonselective proteinuria, microscopic hematuria, and aseptic
leukocyturia. Immunological tests and tests for human immunodeficiency and
hepatitis B and C viruses were negative. Complement level and serum proteins
electrophoresis were normal. Analysis of the renal biopsy showed severe
interstitial fibrosis and tubular atrophy. Numerous tubular cells had nuclear
enlargement with irregular outlines, hyperchromatic aspect, and prominent
nucleoli. These findings were highly suggestive of karyomegalic interstitial
nephritis, which was further confirmed by exome sequencing of FAN1 gene showing
an identified homozygous frameshift mutation due to a one-base-pair deletion in
exon 12 (c.2616delA).The present case illustrates a rare but severe cause of
hereditary interstitial nephritis, sometimes accompanied by subtle extrarenal
manifestations. Identification of mutations in FAN1 gene underscores recent
insights linking inadequate DNA repair and susceptibility to chronic kidney
disease.
PMID- 27196447
TI - Comparisons of Different Screening Tools for Identifying Fracture/Osteoporosis
Risk Among Community-Dwelling Older People.
AB - A prospective study was conducted to compare criterion, predictive, and construct
validities of 9 fracture/osteoporosis assessment tools, including calcaneal
quantitative ultrasonography (QUS), Age Bulk One or Never Estrogens (ABONE), body
weight criterion (BWC), Fracture Risk Assessment Tool (FRAX), Garvan fracture
risk calculator (GARVAN), Osteoporosis Risk Assessment Instrument (ORAI),
Osteoporosis Index of Risk (OSIRIS), Osteoporosis Self-Assessment Tool for Asians
(OSTA), and Simple Calculated Osteoporosis Risk Estimation (SCORE), among older
men and women in Taiwan.Using the femoral neck dual-energy x-ray absorptiometry
(DXA) T-score as an external criterion, the sensitivity, specificity, positive
and negative predictive values, positive and negative likelihood ratios, and the
area under the receiver operating characteristic curve (AUC) for each tool were
calculated. The ability of these tools to predict injurious falls was examined. A
principal component analysis was applied to understand whether these tools were
measuring the same underlying construct.The FRAX, BWC, ORAI, OSIRIS, OSTA, and
SCORE had AUCs of >=0.8 in men, while the GARVAN, OSIRIS, OSTA, and SCORE had
AUCs of >=0.8 in women. The sensitivity, negative predictive value, and
likelihood ratio of the ABONE, BWC, ORAI, OSIRIS, OSTA, and SCORE tools in both
men and women were 100%, >=90%, and 0.0, respectively; the specificity and
positive predictive value and likelihood ratio were far from satisfactory. The
GARVAN displayed the best predictive ability of a fall in both men (AUCs, 0.653
0.686) and women (AUCs, 0.560-0.567), despite being smaller in women. The 9
screening tools and 2 central DXA measurements assessed 5 different factors,
while the ABONE, BWC, ORAI, OSIRIS, OSTA, and SCORE measured the same one.Simple
self-assessment tools can serve as initial screening instruments to rule out
persons who have osteoporosis; however, these tools may measure a different
construct other than fracture/osteoporosis risk.
PMID- 27196446
TI - An Observational Study on Aberrant Methylation of Runx3 With the Prognosis in
Chronic Atrophic Gastritis Patients.
AB - The aim of this study is to discuss whether the methylation levels of Runx3 could
be used as the early biomarker for predicting the prognosis in chronic atrophic
gastritis (CAG) patients. A total of 200 subjects including 60 controls without
CAG (Group 1), 70 patients with mild CAG (Group 2), and 70 patients with moderate
and severe CAG (Group 3) were recruited for this cross-sectional investigation in
the Department of Gastroenterology in Daqing Oilfield General Hospital from July
2013 to May 2014. The MlALDI-TOF-MS was used to measure the methylation levels of
Runx3 in all of the subjects. Real-time quantitative reverse transcription
polymerase chain reaction and western blotting were chosen to determine the
expression levels of Runx3. The correlations between methylation levels of Runx3
among these CAG patients and their prognosis were shown by logistic regression
models. The results demonstrated that the methylation levels of CpG13, CpG14, and
CpG15 in Runx3 were higher in Group 3 than those in Groups 1 and 2 (P <0.05),
whereas the mRNA and protein expression levels of Runx3 were lower in Group 3
than those in Groups 1 and 2 (P <0.05). There were significantly negative
correlations between the methylation levels of Runx3 with its expression and the
healing prognosis of CAG patients. In brief, this study proved that the
hypermethylation modifications of CpG13, CpG14, and CpG15 in the promoter region
of Runx3 could result in the down regulation of Runx3 expression to affect the
prognosis of CAG. So the methylation levels of these CpG sites in Runx3 in the
peripheral blood can be used as the biomarker for predicting the healing
prognosis of CAG patients.
PMID- 27196448
TI - Clinical Outcomes for Systemic Corticosteroids Versus Vincristine in Treating
Kaposiform Hemangioendothelioma and Tufted Angioma.
AB - A meta-analysis was performed to evaluate the efficacy and safety of systemic
corticosteroids versus those of vincristine in the treatment of kaposiform
hemangioendothelioma (KHE) and tufted angioma (TA).A literature search of PubMed,
Embase, and Web of Science was performed for clinical studies on systemic
corticosteroid versus vincristine therapies in treating KHE/TA. Pooled relative
risks (RRs) and response rate with 95% confidence intervals (CIs) were used to
measure outcomes. Heterogeneity, subgroup analysis, sensitivity analysis, and
publication bias analysis were performed for result evaluation.Thirteen studies,
comprising 344 participants, were used in the analysis. Vincristine therapy was
found to be relatively more effective than systemic corticosteroids (RRs = 0.45,
95%CI: 0.35-0.58). The result of pooled adverse reactions response rate for
systemic corticosteroids was 0.31 (95%CI, 0.18-0.43), significantly higher than
that for vincristine, which was 0.12 (95%CI, 0.06-0.19). In subgroup analyses,
factors including mean age and race of patients, and period of follow-up were
examined as possible sources of heterogeneity.This is the first meta-analysis
estimating the clinical outcomes of systemic corticosteroids in comparison with
those of vincristine in the treatment of KHE/TA. The results showed that
vincristine was considerably more effective with lower complication rates than
systemic corticosteroids; thus, vincristine could be suggested as the first-line
therapy for KHE/TA.
PMID- 27196449
TI - Transient Elastography is Superior to FIB-4 in Assessing the Risk of
Hepatocellular Carcinoma in Patients With Chronic Hepatitis B.
AB - Liver stiffness (LS), assessed using transient elastography (TE), and (FIB-4) can
both estimate the risk of developing hepatocellular carcinoma (HCC). We compared
prognostic performances of LS and FIB-4 to predict HCC development in patients
with chronic hepatitis B (CHB).Data from 1308 patients with CHB, who underwent
TE, were retrospectively analyzed. FIB-4 was calculated for all patients. The
cumulative rate of HCC development was assessed using Kaplan-Meier curves. The
predictive performances of LS and FIB-4 were evaluated using time-dependent
receiver-operating characteristic (ROC) curves.The mean age (883 men) was 50
years. During follow-up (median 6.1 years), 119 patients developed HCC. The areas
under the ROC curves (AUROCs) predicting HCC risk at 3, 5, and 7 years were
consistently greater for LS than for FIB-4 (0.791-0.807 vs 0.691-0.725; all P <
0.05). Similarly, when the respective AUROCs for LS and FIB-4 at every time point
during the 7-year follow-up were plotted, LS also showed consistently better
performance than FIB-4 after 1 year of enrollment. The combined use of LS and FIB
4 significantly enhanced the prognostic performance compared with the use of FIB
4 alone (P < 0.05), but the performance of the combined scores was statistically
similar to that of LS alone (P > 0.05).LS showed significantly better performance
than FIB-4 in assessing the risk of HCC development, and the combined use of LS
and FIB-4 did not provide additional benefit compared with the use of LS alone.
Hence, LS assessed using TE might be helpful for optimizing HCC surveillance
strategies.
PMID- 27196450
TI - Increased Risk of Acute Coronary Syndrome in Patients With Chronic Pancreatitis:
A Nationwide Cohort Analysis.
AB - Chronic inflammation may promote development of coronary heart disease. Studies
on the relationship between chronic pancreatitis (CP) and cardiovascular diseases
are scant.We conducted a nationwide retrospective cohort study to determine the
risk of acute coronary syndrome (ACS) in patients with CP.We randomly selected a
comparison cohort of individuals without CP from the Taiwan National Health
Insurance Research Database (N = 23.74 million) and frequency-matched them with
patients with CP from 2000 to 2010 in a 1:4 ratio according to age, sex, and
index year. The follow-up period lasted from the index date of the new CP
diagnosis to the date of ACS diagnosis, censoring, or the end of 2011. We
analyzed the risk of ACS by using Cox proportional-hazard models.In total, 17,405
patients with CP and 69,620 individuals without CP were followed for 84,430 and
417,426 person-years. Most patients with CP were men, and the mean age of the
patients was 48.3 +/- 15.0 years. The overall ACS incidence was 2.15-fold higher
in the CP cohort than in the non-CP cohort (4.89 vs 2.28 per 10,000 person-years)
with an adjusted hazard ratio (aHR) of 1.40 (95% confidence interval [CI] 1.20
1.64). Compared with individuals without CP, patients with CP aged <=39 years
exhibited the highest risk of ACS (aHR 2.14, 95% CI 1.13-4.02), followed by those
aged 40 to 54 years (aHR 1.66, 95% CI 1.23-2.24) and those aged 55 to 69 years
(aHR 1.53, 95% CI 1.15-2.03).CP may become an independent risk factor for ACS.
PMID- 27196451
TI - Primary Thyroid Extranasal NK/T-Cell Lymphoma Associated With Good Outcome: A
Case Report and Literature Review: A Care-Compliant Article.
AB - Most thyroid lymphomas are B-lineage, and T-cell lymphomas are rare. None of
primary thyroid extranasal NK/T-cell lymphoma (NKTCL) has been reported in the
literature. Here, we report a case of extranasal NKTCL exclusively arising in the
thyroid in an 18-year-old Chinese.The patient presented with rapid anterior
swelling at the neck and aggravated dyspnea for 2 months. Neck computer
tomography scan revealed diffuse thyroid enlargement in the left lobe compressing
the trachea. The thyroid function test was indicative of hypothyroidism.
Gastroscopy demonstrated chronic nonspecific gastritis. Subtotal thyroidectomy
was performed. Histological examination showed a diffuse infiltration of
neoplastic lymphoid cells with an angiodestructive behavior. Immunophenotype is
positive for CD2, CD56, CD43, and TIA-1, and typically negative for surface CD3.
Epstein-Barr virus-encoded small RNAs were detected in tumor cells. A diagnose of
primary thyroid extranasal NKTCL-N lymphoma was confirmed by the findings.The
patient was treated with CHOP-L combination chemotherapy followed by local
radiotherapy, and tolerated the modality well. The patient has been in remission
for 28 months so far.To our knowledge, this is the first case report of primary
extranasal NKTCL exclusively arising in the thyroid. The case has a relatively
good treatment outcome with timely diagnosis and multimodality approach.
PMID- 27196452
TI - Steroid Injection Versus Physiotherapy for Patients With Adhesive Capsulitis of
the Shoulder: A PRIMSA Systematic Review and Meta-Analysis of Randomized
Controlled Trials.
AB - To compare the effect of steroid injection and physiotherapy for patients with
adhesive capsulitis of the shoulder (ACS).An electronic search was performed on
Pubmed, Embase, and Cochrane library, and reference lists were also reviewed for
randomized controlled trials (RCTs) comparing steroid injection and physiotherapy
for patients with ACS. The quality of included studies were assessed using PEDro
scale. Standardized mean differences (SMDs) and 95% confidence interval (CI) were
used for comparisons. The primary outcome was functional improvement.Nine RCTs
including 453 patients were identified. From 6-7 weeks to 24-26 weeks
postintervention, no superiority was noted in favor of either steroid injection
or physiotherapy for functional improvement (SMD 0.28; 95% CI -0.01-0.58; P =
0.06) or pain relief (SMD -0.10; 95% CI -0.70-0.50; P = 0.75). Steroid injection
provided more improvement in passive external rotation at 24 to 26 weeks (3
studies, SMD 0.42; 95% CI 0.11-0.72; P = 0.007) but not at 6 to 7 weeks (4
studies, SMD 0.63; 95% CI 0.36-0.89; P = 0.32) or 12 to 16 weeks (3 studies, SMD
0.07; 95% CI -0.79-0.65; P = 0.85). Steroid injection was as safe as
physiotherapy for patients with ACS (risk ratio 0.94; 95% CI 0.67-1.31).Both
steroid injection and physiotherapy are equally effective for patients with ACS.
One steroid injection might be the 1st choice for ACS. Results should be
interpreted with caution due to the heterogeneity among the studies.
PMID- 27196453
TI - Prevalence and Consequences of the Proximal Junctional Kyphosis After Spinal
Deformity Surgery: A Meta-Analysis.
AB - The aim of this study was to estimate the prevalence and patient outcomes of
proximal junctional kyphosis (PJK) in pediatric patients and adolescents who
received surgical interventions for the treatment of a spinal
deformity.Literature was searched in electronic databases, and studies were
selected by following precised eligibility criteria. Percent prevalence values of
the PJK in individual studies were pooled to achieve a weighted effect size under
the random effects model. Subgroup and meta-regression analyses were performed to
appraise the factors affecting PJK prevalence.Twenty-six studies (2024 patients)
were included in this meta-analysis. Average age of the patients was 13.8 +/-
2.75 years of which 32 +/- 20 % were males. Average follow-up was 51.6 +/- 38.8
(range 17 +/- 13 to 218 +/- 60) months. Overall, the percent prevalence of PJK
(95% confidence interval) was 11.02 (10.5, 11.5) %; P < 0.00001 which was
inversely associated with age (meta-regression coefficient: -1.607 [-2.86,
0.36]; 0.014). Revision surgery rate in the patients with PJK was 10%. The
prevalence of PJK was positively associated with the proximal junctional angle at
last follow-up (coefficient: 2.248; P = 0.012) and the change in the proximal
junctional angle from surgery to last follow-up (coefficient: 2.139; P = 0.014)
but not with preoperative proximal junctional angle.The prevalence of PJK in the
children and adolescent patients is 11%. About 10% of those affected require
revision surgery.
PMID- 27196454
TI - Cost-Effectiveness of Bariatric Surgery for Type 2 Diabetes Mellitus: A
Randomized Controlled Trial in China.
AB - To compare the remission of type 2 diabetes mellitus (T2DM) through treatment
with laparoscopic sleeve gastrectomy (LSG) or laparoscopic Roux-en-Y gastric
bypass (LRYGB), and to analyze the cost-effectiveness of medical treatment, LSG,
and LRYGB in T2DM patients (BMI >= 28).A 2-group randomized controlled trial was
conducted at Diabetes Surgery Centre, Beijing Shijitan Hospital in Beijing,
China. Subjects were 80 patients ages 16 to 65 years with a body mass index of 28
kg/m or more and duration of T2DM no more than 15 years. Subjects were randomly
assigned (1:1) to undergo either LSG (n = 40) or LRYGB (n = 40) between February
3, 2011 and October 31, 2013. Of those patients, 72 (90%) were available at
follow-up at 2 years. These patients included 34 (85%) who underwent LSG and 38
(95%) who underwent LRYGB. This study presents the follow-up data at 2 years,
which compared LSG and LRYGB in T2DM patients. Partial remission and complete
remission were determined, and weight loss, BMI, changes in abdominal
circumference, cholesterol, and triglycerides were measured. The cost
effectiveness of each type of bariatric surgery was analyzed with a Markov
simulation model that yielded quality-adjusted life-years (QALYs) and costs.From
our analysis results, LSG and LRYGB are both have taken a great effect on the
reduction of fasting plasma glucose (FPG), hemoglobin A1c (HbA1c), and bodyweight
in patients with T2DM. The cost-effectiveness ratios of medical treatment, LSG,
and LRYGB respectively are 1589.02, 1028.97, and 1197.44 dollars per QALY.Our
analysis indicates that LSG appear to provide a cost-effective method of T2DM
treatment for the patients.
PMID- 27196455
TI - Predictive Factors of Biliary Tract Cancer in Anomalous Union of the
Pancreaticobiliary Duct.
AB - The assessment of malignancies associated with anomalous union of the
pancreaticobiliary duct (AUPBD) is essential for the design of appropriate
treatment strategies. The aim of the present study is to measure the incidence of
AUPBD-related pancreaticobiliary malignancy and to identify predictive factors.
This retrospective cohort study included cases of 229 patients with AUPBD between
January 1999 and December 2013. The impact of bile duct dilatation on the
incidence of AUPBD-related pancreaticobiliary disease was measured, and
predictive factors were evaluated.Among 229 patients with AUPBD, 152 had common
bile duct dilatation (>=10 mm) (dilated group) and 77 did not (<10 mm)
(nondilated group). Intrahepatic cholangiocarcinoma occurred more frequently in
the nondilated group than in the dilated group (3.9% vs 0%; P < 0.05). By
contrast, no significant difference in the incidence of extrahepatic
cholangiocarcinoma was observed between the 2 groups (1.3% vs 3.9%; P = 0.271).
By univariate analysis, age, type of AUPBD, and the level of pancreatic enzymes
refluxed in the bile duct were associated with occurrence of biliary tract
cancers. In multivariate analysis, age >=45 years (odds ratio [OR] 1.042, 95%
confidence interval [CI] 1.011-1.073, P < 0.05), P-C type (OR 3.327, 95% CI 1.031
10.740, P < 0.05), and a high level of biliary lipase (OR 4.132, 95% CI 1.420
12.021, P < 0.05) showed a significant association with AUPBD-related biliary
tract cancer.Intrahepatic cholangiocarcinoma may occur more frequently in AUPBD
patients without bile duct dilatation. Age >=45 years, P-C type, and biliary
lipase level >=45,000 IU/L are significantly associated with AUPBD-related
biliary tract cancer.
PMID- 27196456
TI - Using Flat-Panel Perfusion Imaging to Measure Cerebral Hemodynamics: A Pilot
Feasibility Study in Patients With Carotid Stenosis.
AB - Flat-detector CT perfusion (FD-CTP) imaging has demonstrated efficacy in
qualitatively accessing the penumbra in acute stroke equivalent to that of
magnetic resonance perfusion (MRP). The aim of our study was to evaluate the
feasibility of quantifying oligemia in the brain in patients with carotid
stenosis.Ten patients with unilateral carotid stenosis of >70% were included. All
MRPs and FD-CTPs were performed before stenting. Region-of-interests (ROIs)
including middle cerebral artery territory at basal ganglia level on both
stenotic and contralateral sides were used for quantitative analysis. Relative
time to peak (rTTP) was defined as TTP of the stenotic side divided by TTP of the
contralateral side, and so as relative cerebral blood volume (rCBV), relative
mean transit time (rMTT), and relative cerebral blood flow (rCBF). Absolute and
relative TTP, CBV, MTT, CBF between two modalities were compared.For absolute
quantitative analysis, the correlation of TTP was highest (r = 0.56), followed by
CBV (r = 0.47), MTT (r = 0.47), and CBF (r = 0.43); for relative quantitative
analysis, rCBF was the highest (r = 0.79), followed by rTTP (r = 0.75) and rCBV
(r = 0.50).We confirmed that relative quantitative assessment of FD-CTP is
feasible in chronic ischemic disease. Absolute quantitative measurements between
MRP and FD-CTP only expressed moderate correlations. Optimization of acquisitions
and algorithms is warranted to achieve better quantification.
PMID- 27196457
TI - Acrodermatitis Enteropathica: A Case Report.
AB - Acrodermatitis enteropathica is a rare genetic autosomal recessive disorder,
characterized by periorificial dermatitis, alopecia, and diarrhea. It is caused
by mutations in the gene that encodes a membrane protein that binds zinc. We
report a 14-month-old boy, admitted for erythematous, scaly and pustular lesions,
initially located in the inguinal and perianal regions and on thighs, and very
few erythematous lesions on the face. Due to the numerous bacterial skin
superinfections with Staphylococcus aureus, including abscesses that required
surgical incision, the clinical picture was modified, leading to a delayed
establishment of the diagnosis. Later, the symptoms became suggestive for this
disease, the diagnostic having been confirmed by low plasma zinc values. Under
zinc therapy, skin lesions improved significantly in a few days, with favorable
outcome. Two months later, the skin lesions almost disappeared.Abscesses due to
bacterial skin superinfections may lead to initially misdiagnosed acrodermatitis
enteropathica.
PMID- 27196458
TI - Medication Adherence and Blood Pressure Control Among Hypertensive Patients With
Coexisting Long-Term Conditions in Primary Care Settings: A Cross-Sectional
Analysis.
AB - Hypertension is a typical example of long-term disease posing formidable
challenges to health care. One goal of antihypertensive therapy is to achieve
optimal blood pressure (BP) control and reduce co-occurring chronic conditions
(multimorbidity). This study aimed to assess the influence of multimorbidity on
medication adherence, and to explore the association between poor BP control and
multimorbidity, with implications for hypertension management.A cross-sectional
design with multistage sampling was adopted to recruit Chinese hypertensive
patients attending general out-patient clinics from 3 geographic regions in Hong
Kong. A modified systemic sampling methodology with 1 patient as a sampling unit
was used to recruit consecutive samples in each general out-patient clinic. Data
were collected by face-to-face interviews using a standardized protocol. Poor BP
control was defined as having systolic BP/diastolic BP >=130/80 mm Hg for those
with diabetes or chronic kidney disease; and >=140/90 mm Hg for others.
Medication adherence was assessed by a validated Chinese version of the Morisky
Medication Adherence Scale. A simple unweighted enumeration was adopted to
measure the combinations of coexisting long-term conditions. Binary logistic
regression analysis was conducted with medication adherence and multimorbidity as
outcome variables, respectively, after controlling for effects of patient-level
covariates.The prevalence of multimorbidity was 47.4% (95% confidence interval
[CI] 45.4%-49.4%) among a total of 2445 hypertensive patients. The proportion of
subjects having 0, 1, and >=2 additional long-term conditions was 52.6%, 29.1%,
and 18.3%, respectively. The overall rate of poor adherence to medication was
46.6%, whereas the rate of suboptimal BP control was 48.7%. Albeit the influence
of multimorbidity on medication adherence was not found to be statistically
significant, patients with poorly controlled BP were more likely to have
multimorbidity (adjusted odds ratio 2.07, 95% CI 1.70-2.53, P < 0.001). Diabetes
was the most prevalent concomitant long-term condition among hypertensive
patients with poor BP control (38.6%, 95% CI 35.8-41.4 vs 19.7%, 95% CI 17.5-21.9
for patients with good BP control, P < 0.001).Multimorbidity was common among
hypertensive patients, and was associated with poor BP control. Subjects with
coexisting diabetes, heart disease, or chronic kidney disorder should receive
more clinical attention to achieve better clinical outcomes.
PMID- 27196459
TI - Association Between Psoriasis and Subclinical Atherosclerosis: A Meta-Analysis.
AB - The association between psoriasis and carotid intima-media thickness (CIMT) or
impaired flow-mediated dilation (FMD) remains controversial. We aimed to evaluate
the extent of subclinical atherosclerosis as measured by CIMT and FMD in patients
with psoriasis by conducting a meta-analysis.A systematic literature search was
performed using PubMed, Embase, Cochrane databases, China National Knowledge
Infrastructure, and VIP databases up to February 2015. Observational studies
investigating CIMT or FMD in patients with psoriasis and controls were eligible.
Psoriatic patients and controls were at least age- and sex-matched. Random
effects analysis was used to estimate the weighted mean difference (WMD) and 95%
confidence interval (CI) between psoriatic patients and controls.A total of 20
studies were identified and analyzed. Meta-analysis showed that psoriatic
patients had a significantly thicker CIMT (WMD 0.11 mm; 95% CI 0.08-0.15) and
lower FMD (WMD -2.79%; -4.14% to -1.43%) than those in controls. Subgroup
analysis indicated that psoriatic arthritis appeared to have less impaired FMD
(WMD -2.45%) and thinner CIMT (WMD 0.10 mm). Psoriatic patients with mean age >45
years had much thicker CIMT (WMD 0.13 mm). The impaired FMD (WMD -3.99%) seemed
more pronounced in psoriatic patients with mean age <45 years.This meta-analysis
suggests that patients with psoriasis are associated with excessive risk of
subclinical atherosclerosis. Screening and monitoring CIMT and brachial artery
FMD may be recommended to identify a subgroup of psoriatic patients at higher
risk for cardiovascular events.
PMID- 27196460
TI - Efficacy and Safety of Celecoxib Therapy in Osteoarthritis: A Meta-Analysis of
Randomized Controlled Trials.
AB - Osteoarthritis (OA) is the most common form of arthritis in older individuals and
is among the most prevalent and disabling chronic conditions worldwide.We
conducted a meta-analysis to determine the efficacy and safety of celecoxib, a
cyclooxygenase-2 (COX-2) inhibitor in the treatment of osteoarthritis. Studies
were pooled, and mean difference (MD), relative risk (RR), and its corresponding
95% confidence interval (CI) were calculated. Fifteen relevant articles were
included for this meta-analysis study.We observed that osteoarthritis total score
(MD = -4.41, 95% CI -7.27 to -1.55), pain subscale score (MD = -0.86, 95% CI
1.10 to -0.62), and function subscale score (MD = -2.90, 95% CI -5.12 to -0.67)
in OA patients treatment with celecoxib was significantly improved than that with
placebo. There was no significant difference in the incidence of adverse events
(AEs), SAEs, and discontinuations due to AEs; however, the incidence of
gastrointestinal AEs in OA patients treatment with celecoxib is significantly
higher than that with placebo. For AE, the incidence of abdominal pain in OA
patients with celecoxib was significantly higher than that with placebo (RR =
2.24, 95% CI: 1.40-3.58; P = 0.839, I = 0%). There was no significant difference
in diarrhea, dyspepsia, headache, and nausea.This meta-analysis indicated that
celecoxib treatment (200 mg orally once daily) led to significant improvement in
the pain and function of osteoarthritis. However, compared with placebo control,
celecoxib resulted in greater gastrointestinal AEs, especially abdominal pain
after approximately 10 to 13 weeks of treatment. The current study, therefore,
provides valuable information to help physicians make treatment decisions for
their patients with OA.
PMID- 27196461
TI - The Use of Apatinib in Treating Nonsmall-Cell Lung Cancer: Case Report and Review
of Literature.
AB - Apatinib is a novel tyrosine kinase inhibitor targeting vascular endothelial
growth factor receptor-2, which has been proved to be effective and safe in
treating heavily pretreated patients with gastric cancer.The aim of the study was
to explore the use of apatinib in treatment of nonsmall cell lung cancer and its
side effects.We report 2 patients presented with advanced nonsmall-cell lung
cancer, who received apatinib after failure in the first- or third-line
chemotherapy. They are treated with apatinib in daily dose of 850 mg, 28 days per
cycle.Favorable oncologic outcomes were achieved in the 2 cases after the
treatment of apatinib. Patient I's progression-free-survival has increased to 4.6
months after palliative therapy of apatinib, whereas Patient II nearly 6 months.
The common side effects of apatinib were hypertension and hand-foot syndrome;
however, the toxicity of apatinib was controllable and tolerable.Apatinib may be
an option for advanced nonsmall cell lung cancer after failure of chemotherapy or
other targeted therapy. But that still warrants further investigation in the
prospective study.
PMID- 27196463
TI - Imaging Findings of Cerebral Amyloid Angiopathy, Abeta-Related Angiitis (ABRA),
and Cerebral Amyloid Angiopathy-Related Inflammation: A Single-Institution 25
Year Experience.
AB - Vascular inflammation is present in a subset of patients with cerebral amyloid
angiopathy (CAA) and has a major influence in determining the disease
manifestations. Radiological characterization of this subset is particularly
important to achieve early recognition and treatment. We conducted this study to
investigate the role of imaging in differentiating CAA with and without
inflammation. We reviewed neuroimaging findings for 54 patients seen at Mayo
Clinic over 25 years with pathological evidence of CAA and with available
neuroimaging at the time of diagnosis. Clinical data were also recorded. Patients
were grouped into CAA alone (no vascular inflammation), Abeta-related angiitis or
ABRA (angiodestructive inflammation), and CAA-related inflammation or CAA-RI
(perivascular inflammation). Imaging findings at presentation were compared among
patient subgroups. Radiological features supporting a diagnosis of ABRA or CAA-RI
were identified. Radiologic findings at diagnosis were available in 27 patients
with CAA without inflammation, 22 with ABRA, and 5 with CAA-RI. On MRI,
leptomeningeal disease alone or with infiltrative white matter was significantly
more frequent at presentation in patients with ABRA or CAA-RI compared with those
with CAA (29.6% vs. 3.7%, P = 0.02; and 40.7% vs. 3.7%, P = 0.002, respectively),
whereas lobar hemorrhage was more frequent in patients with CAA (62.3% vs. 7.4%,
P = 0.0001). Overall, leptomeningeal involvement at presentation was present in
70.4% of patients with ABRA or CAA-RI and in only 7.4% of patients with CAA (P =
0.0001). The sensitivity and specificity of leptomeningeal enhancement to
identify patients with ABRA or CAA-RI were 70.4% and 92.6%, respectively, whereas
the positive likelihood ratio (LR) was 9.5. The sensitivity and specificity of
intracerebral hemorrhage to identify patients with CAA were 62.9% and 92.6%,
respectively, whereas the positive LR was 8.5. Microbleeds were found in 70.4% of
patients with inflammatory CAA at presentation. In conclusion, leptomeningeal
enhancement and lobar hemorrhage at presentation may enable differentiation
between CAA with and without inflammation. The identification at initial MRI of
diffuse cortical-subcortical microbleeds in elderly patients presenting with
infiltrative white matter process or prominent leptomeningeal enhancement is
highly suggestive of vascular inflammatory CAA.
PMID- 27196462
TI - Familial Clustering of Gastric Cancer: A Retrospective Study Based on the Number
of First-Degree Relatives.
AB - This comprehensive cross-sectional study aimed to identify factors contributing
to familial aggregation of gastric cancer (GC). A total of 1058 GC patients and
1268 controls were analyzed separately according to the presence or absence of a
first-degree relative of GC (GC-relative). Logistic regression analysis adjusted
for age, gender, residence during childhood, smoking, alcohol intake, monthly
income, spicy food ingestion, Helicobacter pylori status and host cytokine
polymorphisms was performed. Cytotoxin-associated gene A (cagA) positivity was a
distinctive risk factor for GC in the family history (FH)-positive group (odds
ratio [OR], 2.39; 95% confidence interval [CI], 1.42-4.00), while current/ex
smoker, moderate to strong spicy food ingestion, and non-B blood types were more
closely associated with GC in the FH-negative group. Among the FH-positive group,
alcohol consumption showed a synergistic carcinogenic effect in the at least 2 GC
relatives group compared to the 1 GC-relative group (1.71 vs. 9.58, P for
interaction = 0.026), and this was dose-dependent. In the subjects with >=2 GC
relatives, TGFB1-509T/T was a risk factor for GC (OR 23.74; 95% CI 1.37-410.91),
as were rural residency in childhood, alcohol consumption, spicy food ingestion,
and cagA positivity. These results suggest that subjects with FH may be a
heterogeneous group in terms of gastric cancer susceptibility. Especially,
subjects with >=2 GC-relatives should undergo risk stratification including TGFB1
509T/T and alcohol consumption.
PMID- 27196464
TI - Sonolysis in Prevention of Brain Infarction During Cardiac Surgery (SONORESCUE):
Randomized, Controlled Trial.
AB - Here, we examined whether intraoperative sonolysis can alter the risk of new
ischemic lesions in the insonated brain artery territory during coronary artery
bypass grafting (CABG) or valve surgery.Silent brain ischemic lesions could be
detected in as many as two-thirds of patients after CABG or valve
surgery.Patients indicated for CABG or valve surgery were allocated randomly to
sonolysis (60 patients, 37 males; mean age, 65.3 years) of the right middle
cerebral artery (MCA) during cardiac surgery and control group (60 patients, 37
males; mean age, 65.3 years). Neurologic examination, cognitive function tests,
and brain magnetic resonance imaging (MRI) were conducted before intervention as
well as 24 to 72 hours and 30 days after surgery.New ischemic lesions on control
diffusion-weighted MRI in the insonated MCA territory >=0.5 mL were significantly
less frequent in the sonolysis group than in the control group (13.3% vs 26.7%, P
= 0.109). The sonolysis group exhibited significantly reduced median volume of
new brain ischemic lesions (P = 0.026). Stenosis of the internal carotid artery
>=50% and smoking were independent predictors of new brain ischemic lesions >=0.5
mL (odds ratio = 5.685 [1.272-25.409], P = 0.023 and 4.698 [1.092-20.208], P =
0.038, respectively). Stroke or transient ischemic attack occurred only in 2
control patients (P = 0.496). No significant differences were found in scores for
postintervention cognitive tests (P > 0.05).This study provides class-II evidence
that sonolysis during CABG or valve surgery reduces the risk of larger, new
ischemic lesions in the brain.www.clinicaltrials.gov (NCT01591018).
PMID- 27196465
TI - Variation of DNA Fragmentation Levels During Density Gradient Sperm Selection for
Assisted Reproduction Techniques: A Possible New Male Predictive Parameter of
Pregnancy?
AB - Predicting the outcome of in vitro fertilization (IVF)/intracytoplasmic sperm
injection (ICSI) is one main goal of the present research on assisted
reproduction. To understand whether density gradient centrifugation (DGC), used
to select sperm, can affect sperm DNA integrity and impact pregnancy rate (PR),
we prospectively evaluated sperm DNA fragmentation (sDF) by TUNEL/PI, before and
after DGC. sDF was studied in a cohort of 90 infertile couples the same day of
IVF/ICSI treatment. After DGC, sDF increased in 41 samples (Group A, median sDF
value: 29.25% [interquartile range, IQR: 16.01-41.63] in pre- and 60.40% [IQR:
32.92-93.53] in post-DGC) and decreased in 49 (Group B, median sDF value: 18.84%
[IQR: 13.70-35.47] in pre- and 8.98% [IQR: 6.24-15.58] in post-DGC). PR was 17.1%
and 34.4% in Group A and B, respectively (odds ratio [OR]: 2.58, 95% confidence
interval [CI]: 0.95-7.04, P = 0.056). After adjustment for female factor, female
and male age and female BMI, the estimated OR increased to 3.12 (95% CI: 1.05
9.27, P = 0.041). According to the subgroup analysis for presence/absence of
female factor, heterogeneity in the association between the Group A and B and PR
emerged (OR: 4.22, 95% CI: 1.16-15.30 and OR: 1.53, 95% CI: 0.23-10.40,
respectively, for couples without, n = 59, and with, n = 31, female factor).This
study provides the first evidence that the DGC procedure produces an increase in
sDF in about half of the subjects undergoing IVF/ICSI, who then show a much lower
probability of pregnancy, raising concerns about the safety of this selection
procedure. Evaluation of sDF before and after DGC configures as a possible new
prognostic parameter of pregnancy outcome in IVF/ICSI. Alternative sperm
selection strategies are recommended for those subjects who undergo the damage
after DGC.
PMID- 27196466
TI - Profile of the Older Population Living in Miami-Dade County, Florida: An
Observational Study.
AB - Florida has the greatest proportion (19%) of older population (65 years or older)
in the United States. The age distribution of its residents, in conjunction with
a major shift in the leading cause of death within all age groups from acute
illnesses to chronic disease, creates unprecedented health care challenges for
the state. The objective of this study is to profile the older population living
in Miami-Dade County (MDC) using 3 population-based, household-based surveys
conducted over the past 5 years.This study examined cross-sectional data
(demographics, health outcomes, risk factors, health assess, and utilization)
collected from probability-sampled, household-based surveys conducted in 3 areas
of MDC: north Miami-Dade, Little Haiti, and South Miami. The questionnaire was
administered face-to-face by trained interviewers in English, Spanish, French, or
Creole. Analyses were restricted to households containing at least 1 member aged
65 years or older (n = 935). One consenting adult answered the questionnaire on
behalf of household members.The mean age of the respondent (60% females) was 60
years. Overall, respondents were predominantly African-Americans, Hispanics, and
blacks of Haitian origin. One-third of all households fell below the US poverty
thresholds. One-quarter of all households had at least 1 member who was uninsured
within the year before the survey. Twenty percent of households had at least 1
member with an acute myocardial infarction or stroke during the year before the
survey. Bone density tests and blood stool tests were strikingly underutilized.
The health outcomes most prevalent within household members were cardiovascular
diseases followed by cancer, anxiety/depression, obesity, asthma, and bone
fractures. Twenty percent of households reported having at least 1 current
smoker. Overall, emergency rooms were the most commonly used places of care after
doctor's offices.Findings of 3 household-based surveys show a predominantly
elderly, female, uninsured, and poor minority populations living in MDC, FL. The
reported use of preventive services was constrained, and emergency room use was
often reported as a main resource for health care. Cardiovascular disease,
cancer, bone fractures, and related risk factors were the most prevalent health
outcomes.
PMID- 27196467
TI - Quality Reporting of Multivariable Regression Models in Observational Studies:
Review of a Representative Sample of Articles Published in Biomedical Journals.
AB - Controlling for confounders is a crucial step in analytical observational
studies, and multivariable models are widely used as statistical adjustment
techniques. However, the validation of the assumptions of the multivariable
regression models (MRMs) should be made clear in scientific reporting. The
objective of this study is to review the quality of statistical reporting of the
most commonly used MRMs (logistic, linear, and Cox regression) that were applied
in analytical observational studies published between 2003 and 2014 by journals
indexed in MEDLINE.Review of a representative sample of articles indexed in
MEDLINE (n = 428) with observational design and use of MRMs (logistic, linear,
and Cox regression). We assessed the quality of reporting about: model
assumptions and goodness-of-fit, interactions, sensitivity analysis, crude and
adjusted effect estimate, and specification of more than 1 adjusted model.The
tests of underlying assumptions or goodness-of-fit of the MRMs used were
described in 26.2% (95% CI: 22.0-30.3) of the articles and 18.5% (95% CI: 14.8
22.1) reported the interaction analysis. Reporting of all items assessed was
higher in articles published in journals with a higher impact factor.A low
percentage of articles indexed in MEDLINE that used multivariable techniques
provided information demonstrating rigorous application of the model selected as
an adjustment method. Given the importance of these methods to the final results
and conclusions of observational studies, greater rigor is required in reporting
the use of MRMs in the scientific literature.
PMID- 27196468
TI - Comparison of Laparoscopy and Laparotomy in Surgical Staging of Apparent Early
Ovarian Cancer: 13-year Experience.
AB - The aim of this study was to compare the safety and morbidity of laparoscopic
versus laparotomic comprehensive staging of apparent early stage ovarian
cancer.In this retrospective study, the outcomes of patients with apparent stage
I ovarian cancer who underwent laparoscopic or laparotomic comprehensive surgical
staging from January 2002 to January 2014 were evaluated. The long-term survival
of patients with early ovarian cancer was compared.Forty-two patients were
treated by laparoscopy, and 50 were treated by laparotomy. The median operative
time was 200 minutes in the laparoscopy group and 240 minutes in the laparotomy
group (P >0.05). The median length of hospital stay was 3 days in the laparoscopy
group and 7 days in the laparotomy group (P <0.05). Following laparoscopic and
laparotomic staging, the cancer was upstaged for 9 (21.4%) and 10 (20.0%) women,
respectively. The median follow-up time was 82 months in the laparoscopic and
laparotomic groups, respectively. Excluding the upstaged patients, no recurrence
was observed in the present study, and the overall survival and 5-year survival
rates were 100% in both the laparoscopy and laparotomy groups.Laparoscopic and
laparotomic comprehensive staging of early ovarian cancer were similar in terms
of staging adequacy, accuracy and survival rate. Laparoscopic staging was
associated with a significantly reduced hospital stay. Prospective randomized
trials are required to evaluate the overall oncologic outcomes.
PMID- 27196470
TI - Gonadotropin-Releasing Hormone Stimulate Aldosterone Production in a Subset of
Aldosterone-Producing Adenoma.
AB - We aimed to detect novel genes associated with G protein-coupled receptors
(GPCRs) in aldosterone-producing adenoma (APA) and elucidate the mechanisms
underlying aldosterone production.Microarray analysis targeting GPCR-associated
genes was conducted using APA without known mutations (APA-WT) samples (n = 3)
and APA with the KCNJ5 mutation (APA-KCNJ5; n = 3). Since gonadotropin-releasing
hormone receptor (GNRHR) was the highest expression in APA-WT by microarray
analysis, we investigated the effect of gonadotropin-releasing hormone (GnRH)
stimulation on aldosterone production.The quantitative polymerase chain reaction
assay results revealed higher GNRHR expression levels in APA-WT samples those in
APA-KCNJ5 samples (P < 0.05). LHCGR levels were also significantly elevated in
APA-WT samples, and there was a significant and positive correlation between
GNRHR and LHCGR expression in all APA samples (r = 0.476, P < 0.05). Patients
with APA-WT (n = 9), which showed higher GNRHR and LHCGR levels, had
significantly higher GnRH-stimulated aldosterone response than those with APA
KCNJ5 (n = 13) (P < 0.05). Multiple regression analysis revealed that the
presence of the KCNJ5 mutation was linked to GNRHR mRNA expression (beta = 0.94
and P < 0.01). HAC15 cells with KCNJ5 gene carrying T158A mutation exhibited a
significantly lower GNRHR expression than that in control cells (P < 0.05).We
clarified increased expression of GNRHR and LHCGR in APA-WT, and the molecular
analysis including the receptor expression associated with clinical findings of
GnRH stimulation.
PMID- 27196471
TI - Diagnosis and Treatment of Odontogenic Cutaneous Sinus Tracts in an 11-Year-Old
Boy: A Case Report.
AB - Odontogenic cutaneous sinus tracts (OCSTs) are generally primarily misdiagnosed
and inappropriately treated by virtue of their rarity and the absence of dental
symptoms. Accurate diagnosis and treatment and the elimination of the source of
infection can reduce the incidence of complications and relieve the pain of the
patient.In this case report, we present the case of an 11-year-old patient with
an apparent abscess but an unobvious draining sinus tract in his left cheek.
Intraorally, a glass-ionomer-cement filling on the occlusal surface of the left
mandibular first molar (tooth 36) was noted. Radiographic examination revealed a
radiopaque mass inside the crown and pulp chamber and an irregular, radiolucent
periapical lesion surrounding the distal root apex. He was diagnosed with an OCTS
secondary to a periapical abscess of tooth 36. Precise root canal therapy (RCT)
and chronic granuloma debridement was performed; 6 months later, the abscess and
sinus had healed completely, and the periapical lesion had resolved.Odontogenic
cutaneous sinus tracts are uncommon in the clinic. This case report reminds us of
the significance of OCSTs and provides some implications for their diagnosis and
treatment.
PMID- 27196469
TI - Comparison of Changes in Biochemical Markers for Skeletal Muscles, Hepatic
Metabolism, and Renal Function after Three Types of Long-distance Running:
Observational Study.
AB - The purpose of this study is to compare changes in biochemical markers for the
skeletal muscles, hepatic metabolism, and renal function based on extreme long
distance running.Among healthy amateur endurance athletes who participated in a
marathon, 100 km-, or 308 km ultramarathon, 15 athletes with similar physical and
demographic characteristics were chosen to be the subjects in this study, upon
completion of each course. The subjects' blood was collected before and after the
course to identify biochemical markers for the skeletal muscles, hepatic
metabolism, and renal function.After all of the courses, creatinine kinase (CK),
lactate dehydrogenase (LDH), aspartate aminotransferase (AST), alanine
transaminase (ALT), blood urea nitrogen (BUN), and creatinine were found to be
significantly increased compared with values obtained before the race (P <0.05
for each marker). CK, LDH, AST, and LDH were significantly higher after
completion of the 100 km race than the marathon (P <0.05) and were significantly
higher after the 308 km race than the marathon or 100 km race (P <0.05). Total
protein was significantly lower after the 308 km race than the marathon or 100 km
race (P <0.05). Albumin significantly increased after the marathon but
significantly decreased after the 308 km course (P <0.05). Total and direct
bilirubin were significantly increased after the 100 km and 308 km races (P
<0.05), and were significantly higher after the 308 km than the marathon or 100
km course (P <0.05). BUN was significantly higher after the 100 km race than the
marathon (P <0.05) and was significantly lower after the 308 km than the 100 km
race (P <0.05). Creatinine was significantly higher after the marathon and 100 km
than the 308 km race (P <0.05). Uric acid significantly increased after the
marathon and 100 km race (P <0.05); it was significantly higher after completing
the marathon and 100 km than the 308 km race (P <0.05).Muscular damage, decline
in hepatic function, and hemolysis in the blood were higher after running a 308
km race, which is low-intensity running compared with a marathon, and a temporary
decline in renal function was higher after completing a 100 km race, which is
medium-to-high intensity.
PMID- 27196473
TI - The Effect of Gabapentin on Acute Postoperative Pain in Patients Undergoing Total
Knee Arthroplasty: A Meta-Analysis.
AB - The purpose of this systematic review and meta-analysis of randomized controlled
trials (RCTs) and non-RCTs was to evaluate the efficacy and safety of gabapentin
versus placebo for pain control after total knee arthroplasty (TKA).In December
2015, a systematic computer-based search was conducted in the Medline, Embase,
PubMed, Cochrane Controlled Trials Register (CENTRAL), Web of Science, Google,
and Chinese Wanfang databases. This systematic review and meta-analysis were
performed according to the preferred reporting items for systematic reviews and
meta-analyses (PRISMA) statement criteria. The primary endpoint was the visual
analogue scale (VAS) score after TKA with rest or mobilization at 24 and 48
hours, representing the efficacy of pain control after TKA. Cumulative morphine
consumption via patient controlled anesthesia (PCA) was also assessed to
determine the morphine-spare effect. Complications such as dizziness, pruritus,
vomiting, nausea, and sedation were also compiled to assess the safety of
gabapentin. Stata 12.0 software was used for the meta-analysis. After testing for
publication bias and heterogeneity across studies, the data were aggregated for
random-effects modeling whenever necessary.Six studies involving 769 patients met
the inclusion criteria. Our meta-analysis revealed that gabapentin resulted in
superior pain relief compared to the control group in terms of VAS score with
rest at 24 hours (mean difference [MD] = -3.47; 95% confidence interval [CI]
6.16 to -0.77; P = 0.012) and at 48 hours postoperatively (MD = -2.25; 95% CI
4.21 to -0.30; P = 0.024). There was no statistically significant difference
between the groups with respect to the VAS score at 24 hours postoperatively (MD
= 1.05; 95% CI -3.31 to 5.42; P = 0.636) or at 48 hours (MD = 1.71; 95% CI -0.74
to 4.15; P = 0.171). These results indicated that the perioperative
administration of gabapentin decreases the cumulative morphine consumption via
PCA at 24 hours (MD = -8.28; 95% CI -12.57 to -3.99; P = 0.000) and 48 hours (MD
= -4.50; 95% CI -10.98 to -3.61; P = 0.221). Furthermore, gabapentin decreased
the rate of postoperative dizziness (relative risk [RR], 0.68; 95% CI 0.47-0.99,
P = 0.044) and the occurrence of pruritus (RR, 0.50; 95% CI 0.37-0.67, P =
0.000).Based on the current meta-analysis, gabapentin exerts an analgesic and
opioid-sparing effect in acute postoperative pain management without increasing
the rate of dizziness and pruritus.
PMID- 27196474
TI - Propofol-Based Sedation Versus General Anesthesia for Endoscopic Submucosal
Dissection.
AB - The main objective of this study is to evaluate general anesthesia or propofol
based sedation methods at gastric endoscopic submucosal dissection (ESD)
procedures.The anesthetic method administered to cases undergoing upper
gastrointestinal ESD between 2013 and 2015 was retrospectively investigated.
Procedure time, lesion size, dissection speed, anesthesia time, adverse effects
such as gag reflex, nausea, vomiting, cough, number of desaturation episodes
(SpO2 < 90%), oropharyngeal suctioning requirements, hemorrhage, perforation, and
amount of anesthetic medications were recorded.There were 54 and 37 patients who
were administered sedation (group S) and general anesthesia (group G),
respectively. The demographics of the groups were similar. The calculated
dissection speed was significantly high in group G (36.02 +/- 20.96 mm/min)
compared with group S (26.04 +/- 17.56 mm/min; P = 0.010). The incidence of
nausea, cough, number of oropharyngeal suctioning, and desaturation episodes were
significantly high in group S compared with that in group G (P < 0.5). While
there was no difference between the groups in terms of hemodynamic parameters, in
group S the use of propofol and in group G the use of midazolam and fentanyl were
significantly higher (P < 0.05). Anesthesia time, postoperative anesthesia care
unit, and hospital stay durations were not significantly different between the
groups.General anesthesia increased dissection speed and enhanced endoscopist
performance when compared with propofol-based sedation technique.
PMID- 27196472
TI - Functional Status Assessment of Patients With COPD: A Systematic Review of
Performance-Based Measures and Patient-Reported Measures.
AB - Presently, there is no recommendation on how to assess functional status of
chronic obstructive pulmonary disease (COPD) patients. This study aimed to
summarize and systematically evaluate these measures.Studies on measures of COPD
patients' functional status published before the end of January 2015 were
included using a search filters in PubMed and Web of Science, screening reference
lists of all included studies, and cross-checking against some relevant reviews.
After title, abstract, and main text screening, the remaining was appraised using
the Consensus-based Standards for the Selection of Health Measurement Instruments
(COSMIN) 4-point checklist. All measures from these studies were rated according
to best-evidence synthesis and the best-rated measures were selected.A total of
6447 records were found and 102 studies were reviewed, suggesting 44 performance
based measures and 14 patient-reported measures. The majority of the studies
focused on internal consistency, reliability, and hypothesis testing, but only
21% of them employed good or excellent methodology. Their common weaknesses
include lack of checks for unidimensionality, inadequate sample sizes, no prior
hypotheses, and improper methods. On average, patient-reported measures perform
better than performance-based measures. The best-rated patient-reported measures
are functional performance inventory (FPI), functional performance inventory
short form (FPI-SF), living with COPD questionnaire (LCOPD), COPD activity rating
scale (CARS), University of Cincinnati dyspnea questionnaire (UCDQ), shortness of
breath with daily activities (SOBDA), and short-form pulmonary functional status
scale (PFSS-11), and the best-rated performance-based measures are exercise
testing: 6-minute walk test (6MWT), endurance treadmill test, and usual 4-meter
gait speed (usual 4MGS).Further research is needed to evaluate the reliability
and validity of performance-based measures since present studies failed to
provide convincing evidence. FPI, FPI-SF, LCOPD, CARS, UCDQ, SOBDA, PFSS-11,
6MWT, endurance treadmill test, and usual 4MGS performed well and are preferable
to assess functional status of COPD patients.
PMID- 27196475
TI - Income Disparities in the Use of Health Screening Services Among University
Students in Korea: A Cross-Sectional Study of 2479 Participants in a University.
AB - Public health insurance coverage for preventive care in young adults is
incomplete in Korea. Few studies have focused on young adults' socioeconomic
disparities in preventive care utilization. We aimed to explore household income
disparities in the use of different types of health screening services among
university students in Korea.This cross-sectional study used a web-based self
administered survey of students at a university in Korea from January to February
2013. To examine the associations between household income levels and health
screening service use within the past 2 years, odds ratios (ORs) and 95%
confidence intervals (CIs) were estimated using logistic regression with
adjustment for various covariables.Of 2479 participants, 45.5% reported using
health screening services within 2 years (university-provided screening 32.9%,
private sector screening 16.7%, and both 4.1%). Household income levels were not
significantly associated with overall rates of health screening service use with
a multivariable-adjusted OR (95% CI) in the lowest versus highest income group of
1.12 (0.87-1.45, Ptrend = 0.35). However, we found significantly different
associations in specific types of utilized screening services by household income
levels. The multivariable-adjusted OR (95% CI) of university-provided health
screening service use in the lowest versus highest income level was 1.74 (1.30
2.34; Ptrend < 0.001), whereas the multivariable-adjusted OR (95% CI) of private
sector service use in the lowest versus highest income level was 0.45 (0.31-0.66;
Ptrend < 0.001).This study demonstrated significant disparities in the types of
utilized health screening services by income groups among university students in
Korea, although overall rates of health screening service use were similar across
income levels. Low-income students were more likely to use university-provided
health screening services, and less likely to use private sector screening
services. To ensure appropriate preventive care delivery for young adults and to
address disparities in disadvantaged groups, the expansion of medical insurance
coverage for preventive health care, establishment of a usual source of care,
focusing on vulnerable groups, and the development of evidence-based standardized
health screening guidelines for young adults are needed.
PMID- 27196476
TI - Finger Fractures as an Early Manifestation of Primary Hyperparathyroidism Among
Young Patients: A Case Report of a 30-Year-Old Male With Recurrent Osteoporotic
Fractures.
AB - Osteoporosis and osteoporotic fractures represent a substantial health burden,
and predominantly affect the elderly. Younger generations may also develop these
conditions because of various predisposing conditions, including primary
hyperparathyroidism. However, little information is available regarding early
skeletal manifestations of primary hyperparathyroidism.A 30-year-old Japanese
male presented with pain in his left wrist, and was diagnosed with a distal
radius fracture. During surgery, we noticed decreased bone strength of the
fracture site. Further investigation found osteoporosis and primary
hyperparathyroidism owing to a solitary parathyroid adenoma, which was resected
without significant complications. History revealed that the patient suffered a
metacarpal bone fracture of his right fifth bone 6 months earlier. Although
serial x-rays at that time had shown rapidly developed cortical bone erosion
around the fractured finger, the possibility of primary hyperparathyroidism was
overlooked because of poor awareness of the condition, leading to a 6-month delay
in the diagnosis of primary hyperparathyroidism.Clinicians should be aware that
finger fractures may be an early skeletal manifestation of primary
hyperparathyroidism that can help achieve a prompt diagnosis of the condition,
especially when they occur in young adults in the absence of major trauma.
PMID- 27196479
TI - New Perspectives on Criteria for the Determination of HCG Trigger Timing in GnRH
Antagonist Cycles.
AB - The aim of this study was to investigate 2 quantification criteria to evaluate
the developmental condition of follicles cohort and clarify their impacts upon
the determining of human chorionic gonadotropin trigger timing and the
reproductive outcome: the proportion of mature follicles in growing follicles
cohort on the day of human chorionic gonadotropin trigger and the peak estradiol
level per oocyte on the day of human chorionic gonadotropin administration.Of the
patients who underwent in vitro fertilization/ intracytoplasmic sperm injection
embryo transfer from 2011 to 2013, 492 controlled ovarian hyperstimulation cycles
using gonadotropin-releasing hormone antagonists reaching the ovum pick-up and
fresh embryo-transfer stage were included. Patients were divided into 3 groups
according to their >=17 mm/>=10 mm follicles ratio on the day of human chorionic
gonadotropin administration (Low proportion: <=30%, Middle proportion: 30%-60%,
High proportion: >=60%). Patients were divided into 5 groups according to their
peak estradiol level/oocyte (Group A: <100 pg/mL per oocyte, Group B: 100-199
pg/mL per oocyte, Group C: 200-299 pg/mL per oocyte, Group D: 300-399 pg/mL per
oocyte, Group E >=400 pg/mL per oocyte) as well. Comparison among groups was made
regarding ovarian stimulation characteristics, fertilization rate, good quality
embryo rate, implantation, pregnancy, and live birth rates.On the basis of >=17
mm/>=10 mm follicles ratio, the number of oocyte retrieved in low proportion
group is more than other 2 groups. Implantation rate, clinical pregnancy, and
live birth rate in high proportion group were 25.8%, 42.7%, and 31.1%,
respectively, which is highest in 3 groups, and statistical significance existed
between high and middle proportion groups. When the division is based on peak
estradiol level/oocyte, the number of oocyte retrieved of >=400 pg/mL per oocyte
Group was significantly lowest compared with the other 4 groups. Matured ovum
rate, fertilization rate, and good quality embryos rate exhibited an increasing
trend as the peak estradiol level/oocyte increased. While pregnancy rate,
implantation rate, and live birth rate were found to be lower whenever
estradiol/oocyte ratio exceeded 400 pg/mL per oocyte or less than 100 pg/mL per
oocyte, and there is statistical difference.Patients with the proportion of
mature follicle reaching 60% on the day of human chorionic gonadotropin trigger
and peak estradiol/oocyte level within 100~399 pg/mL range can get a better
pregnancy and implantation rate.
PMID- 27196477
TI - Simple Prediction Model of Axillary Lymph Node Positivity After Analyzing
Molecular and Clinical Factors in Early Breast Cancer.
AB - The aim of this study was to evaluate the association between pretreatment
molecular and clinical factors and axillary lymph node metastases in early breast
cancer. A total of 367 consecutive breast cancer patients with cT1-2NxM0 who
underwent breast conserving surgery and axillary lymph node dissection followed
by whole breast irradiation were enrolled. We evaluated the pathologic tumor and
node status, tumor differentiation, calcification, and lymphovascular invasion,
the status of estrogen receptor (ER), progesterone receptor (PR), epidermal
growth factor receptor 1 (EGFR1), and human epidermal growth factor receptor 2
(HER2), the expression of E-cadherin, P53, and Ki-67 index. Totally, 108 (29.4%)
of the 367 patients had positive axillary lymph nodes. An increased tumor size (P
= 0.024), the presence of lymphovascular invasion (P < 0.001), and Ki-67 index of
>20% (P = 0.038) were significantly associated with axillary lymph node
metastases on the multivariate analysis. In our study, 86.2% of the patients with
all the unfavorable factors had an involvement of axillary nodal metastases, and
only 12.2% of the patients with all the favorable predictors had positive
axillary nodes. The predictive power was significant on the receiver operating
curve (P < 0.001). We found that several factors, such as tumor size,
lymphovascular invasion, and the Ki-67 index, are independent factors that
predict positive ALNM on multivariate analysis for the patients with cT1-2 breast
cancer. Clinicians simply could predict the probability of ALNM after verifying
the molecular and clinical factors in early breast cancer.
PMID- 27196478
TI - Calcifying Fibrous Tumor: Review of 157 Patients Reported in International
Literature.
AB - Calcifying fibrous tumor (CFT) is a benign lesion characterized by its specific
histological findings and is found as solitary or multiple lesions in several
locations of the human body. The aim of the present systematic review is to give
a detailed account of all reported cases of CFT in the literature and to analyze
the available data, to completely characterize the entity from epidemiological,
medical, and surgical aspects.A bibliographic research was performed from 1988
until 2015. A database with the patients' characteristics was made, including
sex, age, location of the tumor, symptoms, symptoms duration, size of the tumor,
diagnostic methods, treatment, metastasis, and follow-up.A total of 104 articles
were identified, reporting 157 cases of CFT. Mean age of patients was 33.58 years
and the ratio between men and women was 1:1.27. The most common locations of CFT
were stomach (18%), small intestine (8.7%), pleura (9.9%), mesentery (5%), and
peritoneum (6.8%). Mean diameter of the tumor was estimated 4.6 cm. The
correlations proceeded showed that as age increases, size decreases (P = 0.001)
and that the tumor is larger in females (P = 0.027). Kruskal-Wallis test showed
that the larger tumors appear in the neck and adrenal gland (P = 0.001). The
percentage of asymptomatic patients was 30.57%. Computed tomography and biopsy
were the most common tests for the diagnosis of CFT. Open surgical procedure was
performed in the majority of cases. The median hospitalization was 6.06 days and
the mean follow-up period was 29.97 months. Recurrences were mentioned in 10 of
96 patients with available data. No deaths owing to CFT were mentioned in the
literature.CFT should be included in the differential diagnosis of enlarging mass
revealed by clinical or imaging examination either incidentally or after specific
acute or chronic symptomatology.
PMID- 27196480
TI - Bacteremic Urinary Tract Infection Caused by Multidrug-Resistant
Enterobacteriaceae Are Associated With Severe Sepsis at Admission: Implication
for Empirical Therapy.
AB - The purpose of this study is to compare the clinical features and treatment
outcomes among patients with bacteremic urinary tract infection (UTI) caused by
multidrug-resistant (MDR) and non-MDR Enterobacteriaceae and to identify whether
MDR pathogens were independently associated with severe sepsis or septic shock at
presentation.The clinical data of adult patients visiting and being treated at
Chia-Yi Christian Hospital due to bacteremic UTI caused by Enterobacteriaceae
from January 2006 to August 2015 were retrospectively analyzed.A total of 585
patients were enrolled. Among them, 220 (37.6%) were caused by the MDR
Enterobacteriaceae. A total of 206 patients (35.2%) developed severe sepsis or
septic shock at presentation. Patients in the MDR group tend to be male and have
a past history of gout, recurrent UTI, prior hospitalization, hydronephrosis,
renal stone, ureteral stone, indwelling urinary catheter, newly development of
renal dysfunction, severe sepsis or septic shock, intensive care unit (ICU)
admission, receipt of ineffective empirical therapy, longer hospital stay, and
higher in-hospital mortality (2.7% vs 1.9%, P = 0.569). Using multivariate
logistic regression analysis, it is revealed that independent predictors
associated with severe sepsis or septic shock at presentation were liver
cirrhosis (OR 2.868; 95% CI 1.439-5.716; P = 0.003), indwelling urinary catheter
(OR 1.936; 95% CI 1.238-3.027; P = 0.004), and MDR Enterobacteriaceae (OR 1.447;
95% CI 1.002-2.090; P = 0.049).Multidrug resistance was associated with the
development of severe sepsis or septic shock upon presentation among patients
with bacteremic UTI caused by Enterobacteriaceae. Therefore, empirical
antibiotics therapy for patients with UTI presented with severe sepsis and/or
septic shock should be more broad-spectrum to effectively cover MDR
Enterobacteriaceae.
PMID- 27196482
TI - Risk Factors for Esophageal Fistula Associated With Chemoradiotherapy for Locally
Advanced Unresectable Esophageal Cancer: A Supplementary Analysis of JCOG0303.
AB - Esophageal fistula is a critical adverse event in patients treated with
chemoradiotherapy (CRT) for locally advanced esophageal cancer. However, risk
factors associated with esophageal fistula formation in patients receiving CRT
have not yet been elucidated.We retrospectively analyzed data obtained from 140
patients who were enrolled in a phase II/III trial comparing low-dose cisplatin
with standard-dose cisplatin administered in combination with 5-flurouracil and
concomitant radiotherapy. Inclusion criteria were performance status (PS) 0 to 2
and histologically proven thoracic esophageal cancer clinically diagnosed as T4
and/or unresectable lymph node metastasis for which definitive CRT was
applicable. Risk factors for esophageal fistula were examined with univariate
analysis using Fisher exact test and multivariate analysis using logistic
regression models.Esophageal fistula was observed in 31 patients (22%). Of these,
6 patients developed fistula during CRT. Median time interval between the date of
CRT initiation and that of fistula diagnosis was 100 days (inter quartile range,
45-171). Esophageal stenosis was the only significant risk factor for esophageal
fistula formation both in univariate (P = 0.026) and in multivariate analyses
(odds ratio, 2.59; 95% confidence interval, 1.13-5.92, P = 0.025). Other
clinicopathological factors, namely treatment arm, age, sex, PS, primary tumor
location, T stage, lymph node invasion to adjacent organs, blood cell count,
albumin level, and body mass index, were not risk factors fistula
formation.Esophageal stenosis was a significant risk factor for esophageal
fistula formation in patients treated with CRT for unresectable locally advanced
thoracic esophageal squamous cell carcinoma.
PMID- 27196481
TI - Erdheim-Chester Disease With Multiorgan Involvement, Following Polycythemia Vera:
A Case Report.
AB - Erdheim-Chester disease is a rare form of non-Langerhans cell histiocytosis
characterized by the migration and infiltration of lipid-laden CD68, CD1a and
S100 histiocytes to various target organs, which leads to the disruption of
physiological tissue architecture and reactive fibrosis, and thus impairs organ
function.We describe the first case of a patient with Erdheim-Chester disease
with multiorgan involvement developed after 6 years from polycythemia vera
diagnosis. During the follow-up, an abdominal ultrasound scan revealed the
presence of dense, bilateral perinephric infiltration. A computed tomographic
guided core biopsy was performed in order to identify the histological nature of
this lesion, and a morphological analysis demonstrated the accumulation of foamy
histiocytes surrounded by fibrosis. The BRAFV600E mutation was detected, and a
diagnosis of Erdheim-Chester disease was made.The extreme rarity of Erdheim
Chester disease strongly suggests the existence of potentially common element(s)
that may have contributed to the pathogenesis of both disorders. Obviously,
further studies are needed to clarify the mutual roles and effects of JAK2 and
BRAF mutations in this patient, as well as their possible therapeutic
implications.
PMID- 27196484
TI - Chinese Herbal Medicine and Salmeterol and Fluticasone Propionate for Chronic
Obstructive Pulmonary Disease: Systematic Review and Network Meta-Analysis.
AB - Among Chinese populations worldwide, Chinese herbal medicines (CHMs) are often
used as an adjunct to pharmacotherapy in managing chronic obstructive pulmonary
disease (COPD). However, the relative performance among different CHM is
unknown.The aim of this study was to evaluate comparative effectiveness of
different CHM when used with salmeterol and fluticasone propionate (SFP),
compared with SFP alone.This study is a systematic review of randomized
controlled trials (RCTs) with network meta-analyses (NMAs).Eight electronic
databases were searched. Data from RCTs were extracted for random effect pairwise
meta-analyses. Pooled relative risk (RR) with 95% confidence interval (CI) was
used to quantify the impact of CHM and SFP on forced expiratory volume in 1
second (FEV1), St George's Respiratory Questionnaire (SGRQ) scoring, and 6-Minute
Walk Test (6MWT). NMA was used to explore the most effective CHM when used with
SFP.Eleven RCTs (n = 925) assessing 11 different CHM were included. Result from
pairwise meta-analyses indicated favorable, clinically relevant benefit of CHM
and SFP on FEV1 [7 studies, pooled weighted mean difference (WMD) = 0.20 L, 95%
CI: 0.06-0.34 L], SGRQ scoring (5 studies, pooled WMD = -4.99, 95% CI: -7.73 to
2.24), and 6MWT (3 studies, pooled WMD = 32.84 m, 95% CI: 18.26-47.42). Results
from NMA showed no differences on the comparative effectiveness among CHM
formulations for improving FEV1. For SGRQ, NMA suggested that Runfeijianpibushen
decoction and Renshenbufei pills performed best. Use of CHM on top of SFP can
provide clinically relevant benefit for COPD patients on FEV1 and SGRQ.
Additional use of Runfeijianpibushen decoction and Renshenbufei pills showed
better effect on improving SGRQ.Use of CHM and SFP may provide clinically
relevant benefit for COPD patients on FEV1, SGRQ, and 6MWT. Use of different CHM
formulae included in this NMA showed similar effect for increasing FEV1, while
the additional use of Runfeijianpibushen formula and Renshenbufei Pills showed
better effect on improving SGRQ. Well conducted, adequately powered trials are
needed to confirm their effectiveness in the future.
PMID- 27196483
TI - Evaluation of an Association of Blood Homocysteine Levels With Gastric Cancer
Risk From 27 Case-Control Studies.
AB - High blood homocysteine levels may risk gastric cancer. However, observational
studies have been plagued by chance, bias, confounding, or reverse causality. In
this study, we assessed the relationship between blood homocysteine levels and
gastric cancer by using a Mendelian randomization method, which is independent of
nongenetic confounding.We took 2 steps to perform Mendelian randomization
analysis. First, we evaluated the methylenetetrahydrofolate reductase (MTHFR)
C677T association with gastric cancer by a meta-analysis of case-control studies
including 7566 patients with gastric cancer and 10 640 control subjects from 27
Case-Control studies. Second, MTHFR C677T polymorphism, which affects the blood
homocysteine levels, was used as an instrumental variable to calculate the risk
and estimate the association of gastric cancer with this single nucleotide
polymorphism (SNP). We obtained an estimate to the association of blood total
homocysteine levels with this SNP from a meta-analysis of Genome-Wide Association
Studies (GWAS), which comprises a total of 44 147 individuals.In our Mendelian
randomization analysis, we demonstrated a significant effect of the blood
homocysteine levels on gastric cancer risk, representing an OR of 2.56 (95% CI =
2.41-2.72; P = 5.0*10) for gastric cancer per 1-SD increase in the natural log
transformed blood total homocysteine levels.We proved that there is a causal
relationship between blood total homocysteine and risk of gastric cancer, and
this study will add insight into the treatment and pathology research of gastric
cancer.
PMID- 27196485
TI - Preventive Analgesic Efficacy of Nefopam in Acute and Chronic Pain After Breast
Cancer Surgery: A Prospective, Double-Blind, and Randomized Trial.
AB - Breast cancer surgery is known to cause severe acute postoperative pain, which
can persist for a long time. We administered nefopam preventively to patients
undergoing lumpectomy with axillary lymph node dissection or sentinel lymph node
biopsy, and evaluated its efficacy on acute and chronic postoperative
pain.Enrolled patients were assigned to the nefopam (n = 41) or the control (n =
42) group. Before initiating the operation, 20 mg of nefopam was given to the
patients of the nefopam group, and normal saline was used in the control group.
Ketorolac was given at the end of surgery, and meloxicam was prescribed in the
postoperative period to all patients in both groups. Pain was assessed using a
numerical rating scale (NRS), and the rescue analgesic drug was given when the
NRS was >5. Implementation of postoperative chemotherapy, radiotherapy (RT), or
hormone therapy was evaluated.The NRS of postoperative pain was significantly
lower in the nefopam than in the control group in the postanesthetic care unit
(4.5 +/- 2.2 vs 5.7 +/- 1.5, respectively; P = 0.01), at postoperative 6 h (3.0
+/- 1.6 vs 4.5 +/- 1.3, respectively; P < 0.001), and at postoperative 24 h (3.1
+/- 1.1 vs 3.8 +/- 1.5, respectively; P = 0.01) with reduced use of rescue
analgesic drugs. Significantly fewer patients suffered from chronic postoperative
pain in the nefopam than in the control group at postoperative 3 months (36.6% vs
59.5%, P = 0.04). Considering only the cohort without postoperative adjuvant RT,
the difference in the proportion of patients reporting chronic pain increased
(23.5% in the nefopam group vs 61.5% in the control group, P = 0.04).Preventive
nefopam was helpful in reducing the acute postoperative pain, with reduced use of
rescue analgesic drugs, and it contributed to reduced occurrence of chronic pain
at postoperative 3 months after breast cancer surgery.
PMID- 27196486
TI - FTO rs 9939609 SNP Is Associated With Adiponectin and Leptin Levels and the Risk
of Obesity in a Cohort of Romanian Children Population.
AB - Obesity is a disorder with increasing frequency in children and adolescents,
directly linked with various diseases. Variants in the FTO (fat mass and obesity
related) gene have been associated with body mass index and waist and hip
circumferences in widespread populations.The aim of this case-control study was
to assess if there is any association between FTO gene variants rs9939609,
respectively, rs17817449 with anthropometric and metabolic biomarkers (fasting
glucose, TC, HDL-cholesterol, LDL-cholesterol, triglycerides) and adipokines
(adiponectin and leptin), in Romanian obese children.A total of 387 children, 201
obese and 186 nonobese individuals, were included in this prospective study.
Genotyping of the FTO gene polymorphisms for all subjects was performed using the
restriction fragment length polymorphism (PCR-RFLP) method.Significant
associations were found between FTO rs9939609 single nucleotide polymorphism
(SNP) and obesity. AA genotype carriers have a 2.02 times higher risk for obesity
compared with AT+TT genotype carriers. Risk allele carriers of rs17817449 SNP had
somewhat higher values of weight, body mass index, waist and hip circumference,
total cholesterol, triglycerides, adiponectin, and fasting glucose.This study
revealed the genetic association between rs9939609 SNP of FTO and obesity in a
Romanian population, and to the authors' knowledge, this is the first study to
investigate this association in a Romanian population. This study also
established that combined variant genotypes (AA/GG) of FTO rs9939609 /rs17817449
are strongly associated with several measures of adiposity (weight, BMI-SD, mid
upper arm circumference, tricipital skinfold thicknesses) and are also associated
with total cholesterol, triglyceride, and LDL-cholesterol levels.
PMID- 27196487
TI - Local Immune Response in Helicobacter pylori Infection.
AB - There have been few studies concerning the cytokine profiles in gastric mucosa of
Helicobacter pylori-infected patients with normal mucosa, chronic gastritis, and
gastric carcinoma (GAC).In the present study, we aimed to elucidate the genomic
expression levels and immune pathological roles of cytokines-interferon (IFN)
gamma, tumor necrosis factor (TNF)-alpha, interleukin (IL)-4, IL-6, IL-10,
transforming growth factor (TGF)-beta, IL-17A, IL-32-in H pylori-infected
patients with normal gastric mucosa (NGM; control), chronic active gastritis
(CAG), and GAC. Genomic expression levels of these cytokines were assayed by real
time PCR analysis in gastric biopsy specimens obtained from 93 patients.We found
that the genomic expression levels of IFN-gamma, TNF-alpha, IL-6, IL-10, IL-17A
mRNA were increased in the CAG group and those of TNF-alpha, IL-6, IL-10, IL-17A,
TGF-beta mRNA were increased in the GAC group with reference to H pylori-infected
NGM group.This study is on the interest of cytokine profiles in gastric mucosa
among individuals with normal, gastritis, or GAC. Our findings suggest that the
immune response of gastric mucosa to infection of H pylori differs from patient
to patient. For individual therapy, levels of genomic expression of IL-6 or other
cytokines may be tracked in patients.
PMID- 27196488
TI - Inter- and Intradigit Somatotopic Map of High-Frequency Vibration Stimulations in
Human Primary Somatosensory Cortex.
AB - Although more about the somatotopic mapping of fingers continues to be uncovered,
there is lack of mapping attempts regarding the integration of within-finger and
across-finger somatotopic coordinates in Broadmann area (BA) 3. This study aimed
to address the issue by finding an inter-/intradigit somatotopic map with high
frequency (250 Hz) vibrotactile stimulation. Functional magnetic resonance
imaging (fMRI) data were acquired while stimulation was applied to 3 phalanxes
(distal [p1], intermediate [p2], and proximal [p3] phalanx) of 4 fingers (index,
middle, ring, and little finger) for a total of 12 finger-phalanx combinations
for a human. Inter-, intra-, and inter-/intradigit distances were calculated from
peak activation coordinates in BA 3 for each combination. With regard to
interdigit dimensions, the somatotopic coordinates proceeded in the lateral-to
medial direction for the index, middle, ring, and little fingers consecutively.
This trend is comparable to that generated from low-frequency stimulation
modalities (flutter stimulation). The somatotopic distances between fingers were
greatest when p1 was compared across fingers. From an intradigit perspective,
stimulation on p1, p2, and p3 yielded BA 3 peak coordinates aligned along the
anterior-to-posterior and inferior-to-superior directions for all fingers. An
inter-/intradigit map exhibited a radially propagating trend of distances
calculated with respect to index p1 as a reference point; this provided an
integrated view of inter- and intradigit somatotopies, which are traditionally
discussed separately. We expect such an inter-/intradigit somatotopic map
approach to contribute in generating a comprehensive somatotopic model of
fingers.
PMID- 27196489
TI - Notch Signaling Components: Diverging Prognostic Indicators in Lung
Adenocarcinoma.
AB - Non-small-cell lung cancer (NSCLC) is a lethal and aggressive malignancy.
Currently, the identities of prognostic and predictive makers of NSCLC have not
been fully established. Dysregulated Notch signaling has been implicated in many
human malignancies, including NSCLC. However, the prognostic value of measuring
Notch signaling and the utility of developing Notch-targeted therapies in NSCLC
remain inconclusive. The present study investigated the association of individual
Notch receptor and ligand levels with lung adenocarcinoma (ADC) and squamous cell
carcinoma (SCC) prognosis using the Kaplan-Meier plotte database. This online
database encompasses 2437 lung cancer samples. Hazard ratios with 95% confidence
intervals were calculated. The results showed that higher Notch1, Notch2, JAG1,
and DLL1 mRNA expression predicted better overall survival (OS) in lung ADC, but
showed no significance in SCC patients. Elevated Notch3, JAG2, and DLL3 mRNA
expression was associated with poor OS of ADC patients, but not in SCC patients.
There was no association between Notch4 and OS in either lung ADC or SCC
patients. In conclusion, the set of Notch1, Notch2, JAG1, DLL1 and that of
Notch3, JAG2, DLL3 played opposing prognostic roles in lung ADC patients. Neither
set of Notch receptors and ligands was indicative of lung SCC prognosis. Notch
signaling could serve as promising marker to predict outcomes in lung ADC
patients. The distinct features of lung cancer subtypes and Notch components
should be considered when developing future Notch-targeted therapies.
PMID- 27196490
TI - Oncologic Safety of Local Excision Compared With Total Mesorectal Excision for
ypT0-T1 Rectal Cancer: A Propensity Score Analysis.
AB - Good oncologic outcomes, demonstrated by a complete pathologic response after
preoperative chemoradiotherapy (PCRT), have led to local excision (LE) in
selected patients with rectal cancer. We evaluated the oncologic safety of LE
compared with total mesorectal excision (TME) in patients with ypT0-T1 rectal
cancer.A retrospective review of 304 patients who underwent PCRT, followed by LE
or TME, for ypT0-T1 rectal cancer was performed. Propensity scores were computed
and used to match groups (LE:TME = 1:1), and analysis of disease-free survival
(DFS) and overall survival (OS) was made by comparing patients who underwent LE
or TME. Prognostic factors of relapse were analyzed for all patients.Tumor
categories were ypT0 in 25 (61.9%) cases, ypTis in 6 (14.3%) cases, and ypT1 in
11 (26.2%) cases for the LE group, and ypT0 in 28 (66.7%) cases, ypTis in 4
(9.5%) cases, and ypT1 in 10 (23.8%) cases for the matched TME patients. There
was no significant difference between the matched LE and TME groups in relapse
(4.8% and 7.14%, respectively; P = 0.646), 5-year DFS (95.2% vs 91.6%; P = 0.33)
and 5-year OS (96.6% vs 88.0%; P = 0.238). In the multivariate Cox regression
analysis, tumor distance from the anal verge (hazard ratio [HR] = 0.78; 95%
confidence interval (CI) = 0.616-0.992) and the tumor grade (HR = 4.29; 95% CI =
1.430-12.886) were significantly associated with the recurrence risk.LE results
in oncologic outcomes that are comparable to those achieved by TME in selected
patients with ypT0-T1 rectal cancer after PCRT.
PMID- 27196491
TI - Angiotensin-Receptor Blocker, Angiotensin-Converting Enzyme Inhibitor, and Risks
of Atrial Fibrillation: A Nationwide Cohort Study.
AB - Both angiotensin-receptor blockers (ARB) and angiotensin-converting enzyme
inhibitors (ACEI) have protective effects against atrial fibrillation (AF). The
differences between ARB and ACEI in their effects on the primary prevention of AF
remain unclear. This study compared ARB and ACEI in combined antihypertensive
medications for reducing the risk of AF in patients with hypertension, and
determined which was better for AF prevention in a nationwide cohort
study.Patients aged >=55 years and with a history of hypertension were identified
from Taiwan National Health Insurance Research Database. Medical records of
25,075 patients were obtained, and included 6205 who used ARB, 8034 who used
ACEI, and 10,836 nonusers (no ARB or ACEI) in their antihypertensive regimen. Cox
regression models were applied to estimate the hazard ratio (HR) for new-onset
AF.During an average of 7.7 years' follow-up, 1619 patients developed new-onset
AF. Both ARB (adjusted HR: 0.51, 95% CI 0.44-0.58, P < 0.001) and ACEI (adjusted
HR: 0.53, 95% CI 0.47-0.59, P < 0.001) reduced the risk of AF compared to
nonusers. Subgroup analysis showed that ARB and ACEI were equally effective in
preventing new-onset AF regardless of age, gender, the presence of heart failure,
diabetes, and vascular disease, except for those with prior stroke or transient
ischemic attack (TIA). ARB prevents new-onset AF better than ACEI in patients
with a history of stroke or TIA (log-rank P = 0.012).Both ARB and ACEI reduce new
onset AF in patients with hypertension. ARB prevents AF better than ACEI in
patients with a history of prior stroke or TIA.
PMID- 27196492
TI - Conversion Chemotherapy for Technically Unresectable Colorectal Liver Metastases:
A Retrospective, STROBE-Compliant, Single-Center Study Comparing Chemotherapy
Alone and Combination Chemotherapy With Cetuximab or Bevacizumab.
AB - The response rate of patients with unresectable liver-limited metastases of
colorectal cancer can be improved by converting inoperable disease to operable
disease. However, the benefits of conversion chemotherapy for survival are still
controversial.Patients considered to have technically inoperable disease by a
multidisciplinary team were retrospectively analyzed. Patients were stratified
based on the treatment they received, into the chemotherapy only (G1),
chemotherapy plus bevacizumab (G2), or chemotherapy plus cetuximab (G3) groups.
The primary endpoint was the resection rate. The secondary endpoint was the
overall survival (OS), according to both the treatment received and liver surgery
status.In total, 104 patients were included: 30 in the G1, 39 in the G2, and 35
in the G3 groups. All G3 patients had the wild-type KRAS exon 2. The surgical
resection rates for patients in the G1, G2, and G3 groups were 43.3% (13/30),
30.7% (12/39), and 51.4% (18/35), respectively. Disease-free survival did not
show significant differences among the 3 groups. The median OS was 35.2 months in
the G1, 28.8 months in the G2, and 42.1 months in the G3 (P = 0.25) groups. The
OS was significantly higher in patients who underwent surgical resection than
those who did not. The median OS was 28.4 months in patients who did not undergo
resection, whereas it had not been reached after a median follow-up period of
37.5 months for patients who underwent surgical resection (events: 21/43).Our
data confirmed that the conversion of initially inoperable disease to operable
disease conferred a survival benefit, even in patients who relapsed after
surgery. The addition of cetuximab to chemotherapy improved the objective
response and resection rates, conferring a potential survival benefit even in
patients whose diseases were not converted to operable disease, compared to
chemotherapy alone or in combination with bevacizumab.
PMID- 27196493
TI - A Randomized Cadaver Study Comparing First-Attempt Success Between Tibial and
Humeral Intraosseous Insertions Using NIO Device by Paramedics: A Preliminary
Investigation.
AB - Medical personnel may encounter difficulties in obtaining intravenous (IV) access
during cardiac arrest. The 2015 American Heart Association guidelines and the
2015 European Resuscitation Council guidelines for cardiopulmonary resuscitation
(CPR) suggest that rescuers establish intraosseous (IO) access if an IV line is
not easily obtainable.The aim of the study was to compare the success rates of
the IO proximal tibia and proximal humerus head access performed by paramedics
using the New Intraosseous access device (NIO; Persys Medical, Houston, TX, USA)
in an adult cadaver model during simulated CPR.In an interventional, randomized,
crossover, single-center cadaver study, a semi-automatic spring-load driven NIO
access device was investigated. In total, 84 paramedics with less than 5-year
experience in Emergency Medical Service participated in the study. The trial was
performed on 42 adult cadavers. In each cadaver, 2 IO accesses to the humerus
head, and 2 IO accesses to the proximal tibia were obtained.The success rate of
the first IO attempt was 89.3% (75/84) for tibial access, and 73.8% (62/84) for
humeral access (P = 0.017). The procedure times were significantly faster for
tibial access [16.8 (interquartile range, IQR, 15.1-19.9] s] than humeral access
[26.7 (IQR, 22.1-30.9) s] (P < 0.001).Tibial IO access is easier and faster to
put in place than humeral IO access. Humeral IO access can be an alternative
method to tibial IO access. TRIAL REGISTRATION: clinicaltrials.gov Identifier:
NCT02700867.
PMID- 27196494
TI - Renal Function and All-Cause Mortality Risk Among Cancer Patients.
AB - Renal dysfunction predicts all-cause mortality in general population. However,
the prevalence of renal insufficiency and its relationship with mortality in
cancer patients are unclear.We retrospectively studied 9465 patients with newly
diagnosed cancer from January 2010 to December 2010. Renal insufficiency was
defined as an estimated glomerular filtration rate (eGFR) <60 mL/min/1.73 m using
the Chronic Kidney Disease Epidemiology Collaboration equation. The hazard ratio
(HR) of all-cause mortality associated with baseline eGFR was assessed by Cox
regression.Three thousand sixty-nine patients (32.4%) exhibited eGFR <90
mL/min/1.73 m and 3% had abnormal serum creatinine levels at the time of
diagnosis. Over a median follow-up of 40.5 months, 2705 patients (28.6%) died.
Compared with the reference group (eGFR >= 60 mL/min/1.73 m), an elevated all
cause mortality was observed among patients with eGFR < 60 mL/min/1.73 m
stratified by cancer stage in the entire cohort, the corresponding hazard ratios
were 1.87 (95% CI, 1.41-2.47) and 1.28 (95% CI, 1.01-1.62) for stage I to III and
stage IV, respectively. However, this relationship was not observed after
multivariate adjustment. Subgroup analysis found that eGFR < 60 mL/min/1.73 m
independently predicted death among patients with hematologic (adjusted HR 2.93,
95% CI [1.36-6.31]) and gynecological cancer (adjusted HR 2.82, 95% CI [1.19
6.70]), but not in those with other cancer. Five hundred fifty-seven patients
(6%) had proteinuria. When controlled for potential confounding factors,
proteinuria was a risk factor for all-cause mortality among patients in the
entire cohort, regardless of cancer stage and eGFR values. When patients were
categorized by specific cancer type, the risk of all-cause death was only
significant in patients with digestive system cancer (adjusted HR, 1.85 [1.48
2.32]).The prevalence of renal dysfunction was common in patients with newly
diagnosed cancer. Patients with eGFR < 60 mL/min/1.73 m or proteinuria were
associated with increased risk for all-cause mortality, this relation depended on
cancer site.
PMID- 27196495
TI - Pregnancy Incidence in Female Nasopharyngeal Carcinoma Survivors of Reproductive
Age: A Population-Based Study.
AB - This study evaluated the pregnancy incidence in female nasopharyngeal carcinoma
(NPC) survivors of reproductive age.In a nationwide cohort, 2816 female patients
15 to 50 years of age from 1998 to 2010 were identified from the Taiwan National
Health Insurance Research database. Comorbidities, complications during
pregnancy, and delivery status were recorded. All patients were followed up until
a diagnosis of pregnancy, withdrawal from the National Health Insurance system,
or December 31, 2011.Overall, 155 patients (incidence rate [IR] = 9.50) were
pregnant in the NPC group, whereas 251 patients (IR = 12.80) were pregnant in the
non-NPC group. The cumulative incidence of pregnancy in the NPC group was lower
than that in the non-NPC group (incidence rate ratio = 0.74, 95% CI = 0.61-0.91).
The adjusted hazard ratio of pregnancy in the NPC group was 0.79 with 95% CI =
0.61-0.96, compared with the non-NPC group.The incidence of pregnancy is
significantly lower among female NPC survivors of reproductive age than among
those without NPC.
PMID- 27196496
TI - Increased Risk of Intracranial Hemorrhage in Patients With Pregnancy-Induced
Hypertension: A Nationwide Population-Based Retrospective Cohort Study.
AB - Pregnancy-induced hypertension (PIH) may be a major predictor of pregnancy
associated intracranial hemorrhage (ICH). However, the relationship between PIH
and long-term ICH risk is unknown.The objective of the study was to determine the
association between PIH and ICH and to identify the predictive risk
factors.Patients with newly diagnosed PIH were recruited from the Taiwan National
Health Insurance Research Database. PIH patients were divided into gestational
hypertension (GH) and preeclampsia groups. The 2 groups were separately compared
with matched cohorts of patients without PIH based on age and date of delivery.
The occurrence of ICH was evaluated in both cohorts. The overall observational
period was from January 1, 2000 to December 31, 2013.Among the 23.3 million
individuals registered in the National Health Insurance Research Database, 28,346
PIH patients, including 7390 with GH and 20,956 with preeclampsia, were
identified. The incidences of ICH were increased in both groups (incidence rate
ratio [IRR] = 3.72 in the GH group, 95% confidence interval [CI] 3.63-3.81, P <
0.0001 and IRR = 8.21 in the preeclampsia group, 95% CI 8.12-8.31, P < 0.0001,
respectively). In addition, according to the results of stratification of follow
up years, both groups were associated with a highest risk of ICH at 1 to 5 years
of follow-up (IRR = 11.99, 95% CI 11.16-12.88, P < 0.0001 and IRR = 21.83, 95% CI
21.24-22.44, P < 0.0001, respectively). After adjusting for age, parity, severity
of PIH, number of PIH occurrences, gestational age, and comorbidities in the
multivariate survival analysis using Cox regression model, age >=30 years (hazard
ratio [HR] 1.99, 95% CI 1.27-3.10, P = 0.0026), patients with preeclampsia (HR
2.18, 95% CI 1.22-3.90, P = 0.0089), multiple PIH occurrences (HR 4.08, 95% CI
1.85-9.01, P = 0.0005), hypertension (HR 4.51, 95% CI 1.89-10.74, P = 0.0007),
and obesity (HR 7.21, 95% CI 1.58-32.84, P = 0.0107) were independent risk
factors for the development of ICH among patients with PIH.Patients with PIH,
especially those with older age, preeclampsia, and multiple PIH occurrences, may
have an increased risk of developing ICH later in life.
PMID- 27196497
TI - Periodontal Treatment Reduces Risk of Adverse Respiratory Events in Patients With
Chronic Obstructive Pulmonary Disease: A Propensity-Matched Cohort Study.
AB - Treatment of periodontal diseases has been associated with benefit outcomes for
patients with chronic obstructive pulmonary disease (COPD). However, no
population-based cohort study has been conducted. We evaluated this relationship
by retrospective cohort study using a large population data.Using the National
Health Insurance claims data of Taiwan, we identified 5562 COPD patients with
periodontal diseases who had received periodontal treatment as the treatment
group. The comparison group was selected at a 1:1 ratio matched by the propensity
score estimated with age, sex, date of COPD diagnosis and periodontal treatment,
and comorbidities. Both groups were followed up for 5 years to compare risks of
acute exacerbation, pneumonia, and acute respiratory failure.The incidence rates
of adverse respiratory events were significantly lower in the treatment group
than in the comparison group: 3.79 versus 4.21 per 100 person-years for emergency
room visits, 2.75 versus 3.65 per 100 person-years for hospitalizations, and 0.66
versus 0.75 per 100 person-years for intensive care unit admissions. The
treatment group also had a 37% reduced risk of deaths (1.81 vs 2.87 per 100
person-years), with an adjusted hazard ratio of 0.57 (95% confidence interval
0.52-0.62).Periodontal treatment for COPD patients could reduce the risk of
adverse respiratory events and mortality. The adequate periodontal health care is
important for COPD patients with periodontal diseases.
PMID- 27196498
TI - Severity of Diabetes Mellitus and Total Hip or Knee Replacement: A Population
Based Case-Control Study.
AB - It is generally thought that people with diabetes mellitus (DM) are more likely
to suffer from osteoarthritis (OA) due to an increased body mass index (BMI),
resulting in mechanical destruction of cartilage. However, previous studies have
suggested a coexisting metabolic causality.To evaluate the risk of hip or knee
replacement, as a proxy for severe OA, in patients with DM. We additionally
evaluated the risk of total joint replacement (TJR) with various proxies for
increased DM severity.A population-based case-control study was performed, using
the Clinical Practice Research Datalink (CPRD). Cases (n = 94,609) were defined
as patients >18 years who had undergone TJR between 2000 and 2012. Controls were
matched by age, gender, and general practice. Conditional logistic regression was
used to estimate the risk of total knee (TKR) and total hip replacement (THR)
surgery associated with use of antidiabetic drugs (ADs). We additionally
stratified current AD users by proxies for DM severity.Current AD use was
significantly associated with a lower risk of TKR (OR = 0.86 (95% CI = 0.78
0.94)) and THR (OR = 0.90 (95% CI = 0.82-0.99)) compared to patients not using
ADs. Moreover, risk of TKR and THR was decreased with increasing HbA1c.This study
does not support the theory that DM patients are more likely to suffer from
severe OA as compared to patients without diabetes. Moreover, risk of severe OA
necessitating TJR decreases with increasing DM severity. This is possibly due to
dissimilarities in methodology, a decrease in eligibility for surgery, or
variability of OA phenotypes.
PMID- 27196499
TI - Atrial Fibrillation on Intensive Care Unit Admission Independently Increases the
Risk of Weaning Failure in Nonheart Failure Mechanically Ventilated Patients in a
Medical Intensive Care Unit: A Retrospective Case-Control Study.
AB - Atrial fibrillation (AF) is one of the most frequent arrhythmias in clinical
practice. Previous studies have reported the influence of AF on patients with
heart failure (HF). The effect of AF on the non-HF critically ill patients in a
medical intensive care unit (ICU) remains largely unclear. The study aimed to
investigate the impact of AF presenting on ICU admission on the weaning outcome
of non-HF mechanically ventilated patients in a medical ICU.A retrospective
observational case-control study was conducted over a 1-year period in a medical
ICU at Taipei Veterans General Hospital, a tertiary medical center in north
Taiwan. Non-HF mechanically ventilated patients who were successful in their
spontaneous breathing trial and underwent ventilator discontinuation were
enrolled. The primary outcome measure was the ventilator status after the first
episode of ventilator discontinuation.A total of 285 non-HF patients enrolled
were divided into AF (n = 62) and non-AF (n = 223) groups. Compared with the non
AF patients, the AF patients were significantly associated with old age (P =
0.002), a higher rate of acute respiratory distress syndrome causing respiratory
failure (P = 0.015), a higher percentage of sepsis before liberation from
mechanical ventilation (MV) (P = 0.004), and a higher serum level of blood urea
nitrogen on the day of liberation from MV (P = 0.003). Multivariate logistic
regression analysis demonstrated that AF independently increased the risk of
weaning failure [adjusted odds ratio (AOR), 3.268; 95% confidence interval (CI),
1.254-8.517; P = 0.015]. Furthermore, the AF patients were found to be
independently associated with a high rate of ventilator dependence (log rank
test, P = 0.026), prolonged total ventilator use (AOR, 1.979; 95% CI, 1.032
3.794; P = 0.040), increased length of ICU stay (AOR, 2.256; 95% CI, 1.049-4.849;
P = 0.037), increased length of hospital stay (AOR, 2.921; 95% CI, 1.363-6.260; P
= 0.006), and increased ICU mortality (AOR, 4.143; 95% CI, 1.381-12.424; P =
0.011).AF on ICU admission is an independent risk factor for weaning failure and
significantly associated with poor hospital outcome in non-HF mechanically
ventilated patients in a medical ICU.
PMID- 27196500
TI - PET-CT for Evaluation of Spleen and Liver 18F-FDG Diffuse Uptake Without Lymph
Node Enlargement in Lymphoma.
AB - The aim of the study was to compare differences between lymphoma and inflammation
as indicated by high diffuse uptake of F-fluorodeoxyglucose (F-FDG) in the
spleen, liver, and bone marrow without increased F-FDG uptake in the lymph nodes
and without enlarged peripheral lymph nodes.Eighteen lymphoma patients and 14
inflammation patients were examined with F-FDG positron emission tomography
computer tomography (PET-CT). All patients displayed high diffuse uptake of F-FDG
in the spleen, liver, and bone marrow without increased F-FDG uptake in the lymph
nodes and without enlarged peripheral lymph nodes. Our analyses compared the
maximum standardized uptake values (SUVmax) of F-FDG uptake ratios between the
spleen/liver, the spleen/bone marrow, and the liver/bone marrow and further
compared spleen sizes between lymphoma and inflammation patients.Using Student t
test, no significant differences were found in the SUVmax ratios of spleen/liver
and liver/bone marrow between the lymphoma and inflammation patients (t = 0.853,
P = 0.401 > 0.05; t = 1.622, P = 0.115 > 0.05). However, the SUVmax ratio of the
spleen/bone marrow of the lymphoma patients was significantly different from that
of the inflammation patients (t = 2.426, P = 0.021 < 0.05). The spleen size
between the lymphoma and inflammation patients was also significantly different
(t = 2.911, P = 0.007 < 0.05).As indicated by F-FDG PET-CT, our study
demonstrated that lymphoma and inflammation patients displayed a few differences
despite both having high diffuse uptake of F-FDG in the spleen, liver, and bone
marrow without enlarged peripheral lymph nodes and without increased F-FDG uptake
in lymph nodes.
PMID- 27196501
TI - Combination Therapy of Radiofrequency Ablation and Transarterial
Chemoembolization for Unresectable Hepatocellular Carcinoma: A Retrospective
Study.
AB - The treatment efficacy of unresectable hepatocellular carcinoma (HCC) is still
not promising. This study aimed to compare the efficacy and safety of
radiofrequency ablation (RFA) combined with transarterial chemoembolization
(TACE) for unresectable HCC with a single treatment.Between June 2009 and June
2012, 132 patients who were diagnosed with unresectable HCC and accepted
nonsurgical treatments in our center were enrolled in this retrospective study.
On the basis of treatment modality, they were allocated to 3 groups: 49 patients
accepted RFA (RFA group); 43 patients accepted TACE (TACE group); and 40 patients
accepted RFA following TACE (combination group). Clinical data including
complications, treatment success rate, hospitalization costs, intrahepatic
recurrence-free survival, overall survival, and factors influencing survival were
retrospectively analyzed.Patient characteristics between these groups showed no
significant difference. Treatment success was achieved in all patients of 3
groups. The combination group had a significantly higher total hospitalization
cost to treatment than the TACE group (63,708.14 +/- 9193.81 Chinese yuan vs
37,534.88 +/- 6802.84 Chinese yuan; P = 0.0000). All complications were
controllable and no permanent adverse sequelae or procedure-related deaths were
observed. The 3-year intrahepatic recurrence-free survival probability was
significantly better in the combination group than in the TACE group (42.50% vs
20.93%; hazard ratio [HR], 0.5105; 95% confidence interval [CI], 0.3022-0.8625; P
= 0.0094) or the RFA group (42.50% vs 22.45%; HR, 0.5233; 95% CI, 0.3149-0.8697;
P = 0.0111).The 3-year overall survival probability was significantly better in
the combination group than in the TACE group (45.00% vs 26.53%; HR, 0.5069; 95%
CI, 0.2936-0.8752; P = 0.0100) or the RFA group (45.00% vs 27.91%; HR, 0.4913;
95% CI, 0.2928-0.8246; P = 0.0054). Main tumor size, number of tumors, and
treatment modality were demonstrated to be important factors associated with 3
year intrahepatic recurrence-free survival probability and overall survival
probability (P < 0.05) by univariate and multivariate analyses.Combination
therapy of RFA and TACE was superior to TACE alone or RFA alone in improving
survival for patients with unresectable HCC.
PMID- 27196502
TI - Lycium barbarum polysaccharide attenuates the cytotoxicity of mutant huntingtin
and increases the activity of AKT.
AB - Huntington's disease (HD) is an inherited neurodegenerative disease that is
caused by the abnormal expansion of CAG repeats in the gene encoding huntingtin
(Htt). Reduced AKT phosphorylation and inhibited AKT activity have been shown to
be involved in mutant Htt (mHtt)-induced cell death. Lycium barbarum
polysaccharide (LBP), the main bioactive component of Lycium barbarum, reportedly
has neuroprotective roles in neural injuries, including neurodegenerative
diseases. Here, we report that treatment with LBP can increased the viability of
HEK293 cells that stably expressed mHtt containing 160 glutamine repeats and
significantly improved motor behavior and life span in HD-transgenic mice.
Furthermore, we found that in LBP-treated HEK293 cells expressing mHtt, mHtt
levels were reduced and the phosphorylation of AKT at Ser473 (p-AKT-Ser473) was
significantly increased. We also found that treatment with LBP increased p-AKT
Ser473 and decreased mHtt in the cortex, hippocampus and striatum in HD
transgenic mice. The level of phosphorylation of p-GSK3beta-Ser9 remained
unchanged in both cultured cells and HD-transgenic mice. Our findings suggest
that LBP alleviates the cytotoxicity of mHtt by activating AKT and reducing mHtt
levels, indicating that LBP may be potentially useful for treating HD.
PMID- 27196503
TI - Tertiary sewage treatment by a full-scale compact vertical flow constructed
wetland.
AB - A 208 m2 compact vertical flow treatment wetland (cVFTW) was studied, treating
the rotating biological contactor (RBC) effluent of a busy UK visitor attraction,
with widely varying daily sewage loads up to 111 resident population equivalent.
Results are presented from monthly spot-sampling and analysis for inlet and
outlet concentrations of BOD, SS, NH4-N and TN, including load rates, for the
first four-and-a-half years of operation. From commissioning to 13 weeks, outlet
BOD, SS and NH4-N were below 12 mg l-1, until a sudden outlet NH4-N spike, to
31.9 mg l-1, the reasons for which are discussed. Thereafter, final effluent
quality was <3:<3:<0.5 mg l-1 BOD/SS/NH4-N almost without exception, regardless
of the determinand load rates imposed. Recirculation of controlled proportions of
cVFTW effluent to the RBC inlet eliminated foul odour emanating from the RBC, and
considerably increased overall nitrogen removal via denitrification. Phragmites
australis development was poor, with the majority of plants experiencing
chlorosis, putatively owing to insufficient bioavailable iron. By year three,
despite ongoing weed removal to select for Phragmites, the wetland was
increasingly colonised by other species, predominantly Epilobium hirsutum.
Glyceria maxima, planted in year three, outcompeted even Epilobium, recommending
itself as an alternative to Phragmites in high redox potential treatment
wetlands.
PMID- 27196504
TI - More apheresis medicine abstracts should be published into manuscripts for
clinical use.
PMID- 27196505
TI - The bright side of microbial dark matter: lessons learned from the uncultivated
majority.
AB - Microorganisms are the most diverse and abundant life forms on Earth. Yet, in
many environments, only 0.1-1% of them have been cultivated greatly hindering our
understanding of the microbial world. However, today cultivation is no longer a
requirement for gaining access to information from the uncultivated majority. New
genomic information from metagenomics and single cell genomics has provided
insights into microbial metabolic cooperation and dependence, generating new
avenues for cultivation efforts. Here we summarize recent advances from
uncultivated phyla and discuss how this knowledge has influenced our
understanding of the topology of the tree of life and metabolic diversity.
PMID- 27196508
TI - Bioaccumulation of thallium by the wild plants grown in soils of mining area.
AB - Gumuskoy Ag (As, Pb, and Tl) deposits are one of the largest silver deposits in
the country and located about 25 km west of Kutahya, Turkey. This study
investigated the accumulation and transport of thallium into 11 wild plants in
soil of the mining area. Plant samples and their associated soils were collected
from the field and Tl contents were measured with inductively coupled plasma mass
spectroscopy (ICP-MS). The mean concentrations in the soil, roots, and shoots of
the studied plants were, respectively, 170, 318, and 315 mg kg(-1) for Tl. The
plants analyzed and collected from the studied area were separated into different
groups based on enrichment coefficients of roots and shoots (ECR and ECS). The
results showed that because of their higher ECR and ECS, the following could be
good bioaccumulators: CY, IS, SL, and VR for Tl. Therefore, these plants can be
useful for remediation or phytoremediation of soils polluted by Tl.
PMID- 27196506
TI - Probing the Reactivity of the Ce?O Multiple Bond in a Cerium(IV) Oxo Complex.
AB - The reactivity of the cerium(IV) oxo complex [(LOEt)2CeIV(?O)(H2O)].MeC(O)NH2 (1;
LOEt- = [CoCp{P(O)(OEt)2}3]-, where Cp = eta5-C5H5) toward electrophiles and
Bronsted acids has been investigated. The treatment of 1 with acetic anhydride
afforded the diacetate complex [CeIV(LOEt)2(O2CMe)2] (2). The reaction of 1 with
B(C6F5)3 yielded [CeIV(LOEt)2(Me2CONH2)2][B(C6F5)3(OH)]2 (3), in which the
[B(C6F5)3(OH)]- anions are H-bonded to the O-bound acetamide ligands. The
treatment of 1 with HCl and HNO3 afforded [CeIV(LOEt)2Cl2] and
[CeIV(LOEt)2(NO3)2], respectively. Protonation of 1 with triflic acid (HOTf) gave
the diaqua complex [CeIV(LOEt)2(H2O)2](OTf)2 (4), in which the triflate anions
are H-bonded to the two aqua ligands. The treatment of 1 with phenol afforded the
phenoxide complex [CeIV(LOEt)2(OPh)2] (5). The oxo-bridged bimetallic complex
[(LOEt)2(Me2CONH2)CeIV(O)NaLOEt] (6) with the Ce-Ooxo and Na-Ooxo distances of
1.953(4) and 2.341(4) A, respectively, was obtained from the reaction of 1 with
[NaLOEt]. Density functional theory calculations showed that the model complex
[(LOMe)2CeIV(Me2CONH2)(O)NaLOMe] (6A; LOMe- = [CoCp{P(O)(OMe)2}3]-) contains a
polarized Ce?O multiple bond. The energy for dissociation of the {NaLOMe}
fragment from 6A in acetonitrile was calculated to be +33.7 kcal/mol, which is
higher than that for dissociation of the H-bonded acetamide from
[(LOMe)2CeIV(?O)(H2O)].MeC(O)NH2 (1A) (calculated to be +17.4 kcal/mol). In
hexanes containing trace water, complex 1 decomposed readily to a mixture of a
tetranuclear cerium(IV) oxo cluster, [CeIV4(LOEt)4(MU4-O)(MU2-O)4(MU2-OH)2] (7),
and a cerium(III) complex, [CeIII(LOEt)2(H2O)2][LOEt] [8(LOEt)], whereas the
cerium/sodium oxo complex 6 is stable under the same conditions. The crystal
structures of 3, 4.H2O, 6, and 8(LOEt) have been determined.
PMID- 27196507
TI - Long Postpacing Interval After Entrainment of Tachycardia Including a Slow
Conduction Zone Within the Circuit.
AB - BACKGROUNDS: Postpacing interval (PPI) measured after entrainment pacing
describes the distance between pacing site and reentrant circuit. However, the
influential features to PPI remain to be elucidated. METHODS AND RESULTS: This
study included 22 cases with slow/fast atrioventricular (AV) nodal reentrant
tachycardia (AVNRT), 14 orthodromic AV reciprocating tachycardia (AVRT) using an
accessary pathway, 22 typical atrial flutter (AFL), and 18 other macroreentrant
atrial tachycardia (atypical AFL). Rapid pacing at a pacing cycle length (PCL) 5%
shorter than tachycardia cycle length (TCL) was done from a site on or close to
the reentry circuit. Pacing sites included the coronary sinus ostium in AVNRT,
earliest atrial activation site in AVRT, and cavotricuspid isthmus in typical
AFL. In atypical AFL, tachycardia circuit was determined on the basis of CARTO
mapping, and then the pacing site was. TCL was significantly longer in AVNRT and
AVRT than in typical AFL and atypical AFL (both P < 0.05). PCL minus TCL value
was similar among the 4 groups. PPI minus TCL value (milliseconds) was
significantly longer in AVNRT (median, 40 [IQR, 29-60.8]) and AVRT (34 [20-47])
than in typical AFL (0 [0-4]) and atypical AFL (3.5 [0-8]) (both P < 0.05).
Furthermore, PPI minus TCL was prolonged with shortening of PCL in AVNRT and AVRT
(both P < 0.05), whereas it was unchanged in typical AFL (P = 0.50). CONCLUSION:
PPI after concealed entrainment is prolonged compared with TCL when the reentry
circuit involves a slow conduction zone with a decremental conduction property
such as the AV node.
PMID- 27196510
TI - Eosinophils and mast cells: a lineage apart.
PMID- 27196509
TI - Biomedical ethics education may benefit from integrating compliance and analysis
approaches.
PMID- 27196511
TI - ILC2s masquerade as ILC1s to drive chronic disease.
PMID- 27196512
TI - Protein glycosylation energizes T cells.
PMID- 27196513
TI - Tcf1 and Lef1 pack their own HDAC.
PMID- 27196520
TI - Immunometabolism of regulatory T cells.
AB - The bidirectional interaction between the immune system and whole-body metabolism
has been well recognized for many years. Via effects on adipocytes and
hepatocytes, immune cells can modulate whole-body metabolism (in metabolic
syndromes such as type 2 diabetes and obesity) and, reciprocally, host nutrition
and commensal-microbiota-derived metabolites modulate immunological homeostasis.
Studies demonstrating the metabolic similarities of proliferating immune cells
and cancer cells have helped give birth to the new field of immunometabolism,
which focuses on how the cell-intrinsic metabolic properties of lymphocytes and
macrophages can themselves dictate the fate and function of the cells and
eventually shape an immune response. We focus on this aspect here, particularly
as it relates to regulatory T cells.
PMID- 27196523
TI - An FTO Gene Variant Moderates the Association between Parental Restriction and
Child BMI.
AB - OBJECTIVE: This study aimed to explore whether a common variant in the FTO gene
moderates the relationship between parental restriction and child BMI. METHODS:
This study reports on baseline data from 178 parent-child (ages 9-10 years)
dyads. Parents completed the Child Feeding Questionnaire and reported on socio
demographic characteristics. Each child's height, weight and FTO rs9939609
genotype was assessed. Ordinary least squares regression was used to fit the
child's BMI-percentile on parental restriction and the child's FTO genotype,
adjusted for covariates. A likelihood ratio test was used to compare a model with
and without a multiplicative interaction term between restriction and genotype.
RESULTS: Most participants (93.3%) were white, non-Hispanic. Twenty-three percent
of children were overweight/obese and FTO genotype was associated with weight
status. Mean parental restriction was statistically higher among overweight/obese
vs. normal weight children: 3.3 (SD 0.8) vs. 2.8 (SD 1.0); t-test p-value =
0.002. Parental restriction was positively associated with child BMI-percentile
and BMI-z only among children with two copies of the high-risk FTO allele (p for
interaction = 0.02), where each one-point increase in parental restriction was
associated with a 14.7 increase in the child's BMI-percentile or a 0.56-point
increase in the child's BMI z-score. CONCLUSION: For only the children with two
high-risk alleles, parental restriction was positively associated with child BMI
percentile.
PMID- 27196524
TI - Short-term Clinical Course of Knee Pain in Children and Adolescents: A
Feasibility Study Using Electronic Methods of Data Collection.
AB - BACKGROUND AND PURPOSE: Musculoskeletal disorders, such as knee pain, are common
in children and adolescents, but there is a lack of high quality research that
evaluates the clinical course of these conditions. The objective of this study
was to evaluate the feasibility of conducting a prospective study of children and
adolescents with knee pain using electronic methods of data collection. METHODS:
Children and adolescents with knee pain that presented to primary care
physiotherapy clinics were enrolled and followed-up on a weekly basis via short
messaging service (SMS) until their knee pain had recovered (i.e. two consecutive
weeks of no pain). Feasibility was assessed in terms of recruitment, retention
and response rates to SMS and an online questionnaire. Baseline and 6-month
follow-up measures included pain, disability, physical function, physical
activity and health related quality of life. Kaplan-Meier survival analysis was
used to estimate the median time to knee pain recovery. RESULTS: Thirty
participants (mean age 13.0 +/- 2.2 years, 53% boys) were recruited over 26
months. The overall response rate to weekly SMS follow-up was 71.3% (809
received/1135 sent). One third of participants stopped responding to SMS prior to
recovery, and these participants typically had a much lower response rate during
the time they remained in the study. At 6-month follow-up, 80% of the cohort
completed the final online questionnaire, and 29% of participants still reported
current knee pain (>=1/10 VAS). The median time for knee pain recovery was 8
weeks (95%CI: 5, 10). CONCLUSION: Electronic data collection alone seems
insufficient to track pain recovery in young people and may need to be
supplemented with more traditional data collection methods. Researchers should
consider further measures to address slow recruitment rates and high attrition
when designing large prospective studies of children and adolescents in the
future. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27196525
TI - Preventable Incidence and Mortality of Carcinoma Associated With Lifestyle
Factors Among White Adults in the United States.
AB - IMPORTANCE: Lifestyle factors are important for cancer development. However, a
recent study has been interpreted to suggest that random mutations during stem
cell divisions are the major contributor to human cancer. OBJECTIVE: To estimate
the proportion of cases and deaths of carcinoma (all cancers except skin, brain,
lymphatic, hematologic, and nonfatal prostate malignancies) among whites in the
United States that can be potentially prevented by lifestyle modification.
DESIGN, SETTING, AND PARTICIPANTS: This prospective cohort study analyzes cancer
and lifestyle data from the Nurses' Health Study, the Health Professionals Follow
up Study, and US national cancer statistics to evaluate associations between
lifestyle and cancer incidence and mortality. EXPOSURES: A healthy lifestyle
pattern was defined as never or past smoking (pack-years <5), no or moderate
alcohol drinking (<=1 drink/d for women, <=2 drinks/d for men), BMI of at least
18.5 but lower than 27.5, and weekly aerobic physical activity of at least 75
vigorous-intensity or 150 moderate-intensity minutes. Participants meeting all 4
of these criteria made up the low-risk group; all others, the high-risk group.
MAIN OUTCOMES AND MEASURES: We calculated the population-attributable risk (PAR)
by comparing incidence and mortality of total and major individual carcinomas
between the low- and high-risk groups. We further assessed the PAR at the
national scale by comparing the low-risk group with the US population. RESULTS: A
total of 89 571 women and 46 339 men from 2 cohorts were included in the study:
16 531 women and 11 731 men had a healthy lifestyle pattern (low-risk group), and
the remaining 73 040 women and 34 608 men made up the high-risk group. Within the
2 cohorts, the PARs for incidence and mortality of total carcinoma were 25% and
48% in women, and 33% and 44% in men, respectively. For individual cancers, the
respective PARs in women and men were 82% and 78% for lung, 29% and 20% for colon
and rectum, 30% and 29% for pancreas, and 36% and 44% for bladder. Similar
estimates were obtained for mortality. The PARs were 4% and 12% for breast cancer
incidence and mortality, and 21% for fatal prostate cancer. Substantially higher
PARs were obtained when the low-risk group was compared with the US population.
For example, the PARs in women and men were 41% and 63% for incidence of total
carcinoma, and 60% and 59% for colorectal cancer, respectively. CONCLUSIONS AND
RELEVANCE: A substantial cancer burden may be prevented through lifestyle
modification. Primary prevention should remain a priority for cancer control.
PMID- 27196526
TI - Characteristics Associated with Home Health Care Referrals at Hospital Discharge:
Results from the 2012 National Inpatient Sample.
AB - OBJECTIVE: To assess patient- and hospital-level factors associated with home
health care (HHC) referrals following nonelective U.S. patient hospitalizations
in 2012. DATA SOURCE: The 2012 National Inpatient Sample (NIS). STUDY DESIGN:
Retrospective, cross-sectional multivariable logistic regression modeling to
assess patient- and hospital-level variables in patient discharges with versus
without HHC referrals. DATA COLLECTION: Analysis included 1,109,905 discharges in
patients >=65 years with Medicare. PRINCIPAL FINDINGS: About 29.2 percent of
discharges were referred to HHC, which were more likely with older age, female
sex, urban location, low income, longer length of stay, higher severity of
illness scores, diagnoses of heart failure or sepsis, and hospital location in
New England (referent: Pacific). CONCLUSIONS: As health policy changes influence
postacute HHC, defining specific diagnoses and regional patterns associated with
HHC is a first step to optimize postacute HHC services.
PMID- 27196530
TI - An efficient algorithm to identify the optimal one-bit perturbation based on the
basin-of-state size of Boolean networks.
AB - Boolean networks are widely used to model gene regulatory networks and to design
therapeutic intervention strategies to affect the long-term behavior of systems.
In this paper, we investigate the less-studied one-bit perturbation, which falls
under the category of structural intervention. Previous works focused on finding
the optimal one-bit perturbation to maximally alter the steady-state distribution
(SSD) of undesirable states through matrix perturbation theory. However, the
application of the SSD is limited to Boolean networks with about ten genes. In
2007, Xiao et al. proposed to search the optimal one-bit perturbation by altering
the sizes of the basin of attractions (BOAs). However, their algorithm requires
close observation of the state-transition diagram. In this paper, we propose an
algorithm that efficiently determines the BOA size after a perturbation. Our idea
is that, if we construct the basin of states for all states, then the size of the
BOA of perturbed networks can be obtained just by updating the paths of the
states whose transitions have been affected. Results from both synthetic and real
biological networks show that the proposed algorithm performs better than the
exhaustive SSD-based algorithm and can be applied to networks with about 25
genes.
PMID- 27196527
TI - Advances in the transepithelial transport of nanoparticles.
AB - The intestinal epithelium represents a barrier to the delivery of nanoparticles
(NPs). It prevents intact NPs from efficiently crossing the mucosa to access the
circulation, thus limiting the successful application of NP-based oral drug
delivery. Recent advances in nanotechnology have provided promising solutions to
this challenge. This review describes the potential intestinal absorption
pathways of NPs, including the transenterocytic pathway, paracellular pathway and
M-cell-mediated pathway. NP properties that influence transcytosis are
summarized; and the biodistribution of NPs after oral absorption is described and
the future prospects of novel NPs are explored.
PMID- 27196531
TI - Signal enhancement in ligand-receptor interactions using dynamic polymers at
quartz crystal microbalance sensors.
AB - The signal enhancement properties of QCM sensors based on dynamic, biotinylated
poly(acrylic acid) brushes has been studied in interaction studies with an anti
biotin Fab fragment. The poly(acrylic acid) sensors showed a dramatic increase in
signal response with more than ten times higher signal than the carboxyl
terminated self-assembled monolayer surface.
PMID- 27196532
TI - Ballooning osteolysis in 71 failed total ankle arthroplasties.
AB - Background and purpose - Aseptic loosening is a major cause of failure in total
ankle arthroplasty (TAA). In contrast to other total joint replacements, large
periarticular cysts (ballooning osteolysis) have frequently been observed in this
context. We investigated periprosthetic tissue responses in failed TAA, and
performed an element analysis of retrieved tissues in failed TAA. Patients and
methods - The study cohort consisted of 71 patients undergoing revision surgery
for failed TAA, all with hydroxyapatite-coated implants. In addition, 5 patients
undergoing primary TAA served as a control group. Radiologically, patients were
classified into those with ballooning osteolysis and those without, according to
defined criteria. Histomorphometric, immunohistochemical, and elemental analysis
of tissues was performed. Von Kossa staining and digital microscopy was performed
on all tissue samples. Results - Patients without ballooning osteolysis showed a
generally higher expression of lymphocytes, and CD3+, CD11c+, CD20+, and CD68+
cells in a perivascular distribution, compared to diffuse expression. The odds of
having ballooning osteolysis was 300 times higher in patients with calcium
content >0.5 mg/g in periprosthetic tissue than in patients with calcium content
<=0.5 mg/g (p < 0.001). Interpretation - There have been very few studies
investigating the pathomechanisms of failed TAA and the cause-effect nature of
ballooning osteolysis in this context. Our data suggest that the hydroxyapatite
coating of the implant may be a contributory factor.
PMID- 27196533
TI - Immunogenicity of Anti-HLA Antibodies in Pancreas and Islet Transplantation.
AB - The aim of the current study was to characterize the anti-HLA antibodies before
and after pancreatic islet or pancreas transplantation. We assessed the risk of
anti-donor-specific antibody (DSA) sensitization in a single-center,
retrospective clinical study at Geneva University Hospital. Data regarding
clinical characteristics, graft outcome, HLA mismatch, donor HLA immunogenicity,
and anti-HLA antibody characteristics were collected. Between January 2008 and
July 2014, 18 patients received islet transplants, and 26 patients received a
pancreas transplant. Eleven out of 18 patients (61.1%) in the islet group and 12
out of 26 patients (46.2%) in the pancreas group had anti-HLA antibodies. Six
patients (33.3%) developed DSAs against HLA of the islets, and 10 patients
(38.4%) developed DSAs against HLA of the pancreas. Most of the DSAs were at a
low level. Several parameters such as gender, number of times cells were
transplanted, HLA mismatch, eplet mismatch and PIRCHE-II numbers, rejection, and
infection were analyzed. Only the number of PIRCHE-II was associated with the
development of anti-HLA class II de novo DSAs. Overall, the development of de
novo DSAs did not influence graft survival as estimated by insulin independence.
Our results indicated that pretransplant DSAs at low levels do not restrict islet
or pancreas transplantation [especially islet transplantation (27.8% vs.
15.4.%)]. De novo DSAs do occur at a similar rate in both pancreas and islet
transplant recipients (mainly of class II), and the immunogenicity of donor HLA
is a parameter that should be taken into consideration. When combined with an
immunosuppressive regimen and close follow-up, development of low levels of DSAs
was not found to result in reduced graft survival or graft function in the
current study.
PMID- 27196534
TI - Pneumothorax in a young man with anorexia nervosa.
AB - Primary spontaneous pneumothorax (PSP) is defined as a pneumothorax that occurs
in the absence of an external cause in an individual without underlying
generalized lung disease. A number of factors are important and should be
carefully considered in the pathogenesis of PSP. This is the first case report of
a PSP in a severely malnourished adolescent male with anorexia nervosa (AN) who
was involved in excessive physical activity. Clinicians caring for adolescents
with AN and vigorous exercise need to be aware of the risk factors associated
with the development of a PSP. (c) 2016 Wiley Periodicals, Inc.(Int J Eat Disord
2016; 49:895-898).
PMID- 27196535
TI - Optimizing Effect Sizes With Imaging Enrichment and Outcome Choices for Mild
Alzheimer Disease Clinical Trials.
AB - Recent clinical trials in mild Alzheimer disease (AD) have enriched for amyloid
specific positron emission tomography (PET) imaging and used extended versions of
the AD Assessment Scale-Cognitive Subscale (ADAS-Cog) in an effort to increase
the sensitivity to detect treatment effects. We used data from mild AD
participants in the AD Neuroimaging Initiative to model trial effect sizes for 12
and 24-month trials using 3 versions of the ADAS-Cog and increased standardized
uptake value ratio (SUVR) cutoffs for amyloid imaging inclusion criteria. For 12
month trials, extended ADAS-Cog versions improved effect sizes. The ADAS-Cog11
elicited larger effect sizes when enriching for SUVR 1.1 only, whereas the ADAS
Cog12 and ADAS-Cog13 were associated with larger effect sizes with higher SUVR
thresholds. For 24-month trials, extended ADAS-Cog versions increased effect
sizes for trials not enriched for amyloid and trials enriched for SUVR 1.1. Only
enriching for higher SUVR thresholds (1.3 and 1.4, not 1.1) increased trial
power. We conclude that extended versions of the ADAS-Cog improve mild AD trial
effect sizes for both 12- and 24-month long studies, whereas amyloid imaging
criteria may be most valuable for 12-month trials.
PMID- 27196536
TI - Increased Total Urinary Cortisol (tUC) and Serum Brain-derived Neurotrophic
Factor (BDNF) Ratio in Alzheimer Disease (AD)-affected Patients.
PMID- 27196539
TI - In situ preparation of cubic Cu2O-RGO nanocomposites for enhanced visible-light
degradation of methyl orange.
AB - There has been a growing interest in gathering together photocatalysis of
semiconductors, like cuprous oxide (Cu2O), and the excellent electron
transmittability of graphene to produce a graphene-based semiconductor for
photocatalytic degradation. In this paper, a mild one-pot in situ synthesis of
cubic cuprous oxide-reduced graphene oxide (Cu2O-RGO) nanocomposites has been
proposed for the removal of methyl orange. In contrast to pure cubic Cu2O
particles under similar preparation conditions, the cubic Cu2O-RGO nanocomposites
demonstrate enhanced visible-light-driven photocatalytic activity for methyl
orange dye with a 100% degradation rate in 100 min. The enhanced photocatalytic
performance is mainly attributed to the increased charge transportation,
effective separation of photoelectrons from vacancies, and the improved contact
area.
PMID- 27196538
TI - Colonic overexpression of the T-type calcium channel Cav 3.2 in a mouse model of
visceral hypersensitivity and in irritable bowel syndrome patients.
AB - BACKGROUND: Among the different mechanisms involved in irritable bowel syndrome
(IBS) physiopathology, visceral hypersensitivity seems to play a key role. It
involves sensitization of the colonic primary afferent fibers, especially through
an overexpression of ion channels. The aims of this translational study were to
investigate the colonic expression of Cav 3.2 calcium channels and their
involvement in an animal model of colonic hypersensitivity, and to assess their
expression in the colonic mucosa of symptomatic IBS patients. METHODS: This bench
to-bed study combined a preclinical experimental study on mice and a case-control
clinical study. Preclinical studies were performed on wild-type and Cav 3.2-KO
mice. Colonic sensitivity and Cav 3.2 expression were studied after a low-dose
treatment of dextran sodium sulfate (DSS 0.5%). Regarding the clinical study,
colonic biopsies were performed in 14 IBS patients and 16 controls during a
colonoscopy to analyze the mucosal Cav 3.2 expression. KEY RESULTS: Wild-type,
but not Cav 3.2-KO, mice developed visceral hypersensitivity without colonic
inflammation, after 0.5% DSS treatment. A significant increase of Cav 3.2 mRNA (p
= 0.04) was found in the colon of low-dose DSS-treated wild-type (WT) mice
compared to their controls. In human colonic biopsies, the Cav 3.2 mRNA level was
significantly higher in the IBS group compared to the control group (p = 0.01).
The immunofluorescence staining revealed their protein expression in colonic
mucosa, particularly in nerve fibers. CONCLUSIONS & INFERENCES: This
translational study supports the involvement of the calcium channels Cav 3.2 in
abdominal pain, as observed in IBS patients. It opens new therapeutic
perspectives based on molecules specifically blocking these channels.
PMID- 27196540
TI - MALDI-TOF MS portrait of emetic and non-emetic Bacillus cereus group members.
AB - The number of foodborne intoxications caused by emetic Bacillus cereus isolates
has increased significantly. As such, rapid and reliable methods to identify
emetic strains appear to be clinically relevant. In this study, intact cell
matrix-assisted laser desorption/ionization time-of-flight mass spectrometry
(MALDI-TOF MS) was used to differentiate emetic and non-emetic bacilli. The
phyloproteomic clustering of 34 B. cereus emetic and 88 non-emetic isolates
classified as B. cereus, Bacillus thuringiensis, Bacillus weihenstephanensis, and
Bacillus mycoides, showed (i) a clear separation of both groups at a similarity
level of 43%, and (ii) a high relatedness among the emetic isolates (similarity
of 78%). Specifically, 83 mass peak classes were recognized in the spectral
window range between m/z 4000 and 12 000 that were tentatively assigned to 41
protein variants based on a bioinformatic approach. Mass variation between the
emetic and the non-emetic subsets was recorded for 27 of them, including ten
ribosomal subunit proteins, for which inter-strain polymorphism was confirmed by
gene sequencing. Additional peaks were assigned to other proteins such as small
acid soluble proteins, cold shock proteins and hypothetical proteins, e.g.,
carbohydrate kinase. Moreover, the results were supported by in silico analysis
of the biomarkers in 259 members of B. cereus group, including Bacillus
anthracis, based on their whole-genome sequences. In conclusion, the proteomic
profiling by MALDI-TOF MS is a promising and rapid method for pre-screening B.
cereus to identify medically relevant isolates and for epidemiologic purposes.
PMID- 27196541
TI - Aging in the Male Face: Intrinsic and Extrinsic Factors.
AB - BACKGROUND: Gender is one of the most significant factors that influence facial
anatomy and behavior, both key factors in the aging process. OBJECTIVE: To review
male facial anatomy, physiology, and behavior and how it contributes to sexual
dimorphism in facial aging. METHODS: A MEDLINE search was performed for
publications on gender differences in facial anatomy, aging, cutaneous
physiology, and behavior. RESULTS: There are differences in both intrinsic and
extrinsic aging factors in men. Men have a thicker epidermis and dermis with more
active cutaneous appendages including hair growth. Male skin has a reduced
antioxidant capacity and increased ultraviolet-induced immunosuppression. The
male face is larger and has a unique square shape with less subcutaneous soft
tissue, especially at the medial cheek. Men are also more prone to smoking and
exhibiting poor sun-protective behavior. The differences in intrinsic and
extrinsic aging factors contribute to poor facial aging in men. Men develop more
severe rhytides in a unique pattern, show increased periocular aging changes, and
are more prone to hair loss. CONCLUSION: This review provides insight into the
factors contributing to accelerated male facial aging. Understanding gender
differences in aging will help physicians tailor cosmetic treatments for men and
minimize extrinsic aging factors.
PMID- 27196543
TI - Flight simulation using a Brain-Computer Interface: A pilot, pilot study.
AB - As Brain-Computer Interface (BCI) systems advance for uses such as robotic arm
control it is postulated that the control paradigms could apply to other
scenarios, such as control of video games, wheelchair movement or even flight.
The purpose of this pilot study was to determine whether our BCI system, which
involves decoding the signals of two 96-microelectrode arrays implanted into the
motor cortex of a subject, could also be used to control an aircraft in a flight
simulator environment. The study involved six sessions in which various
parameters were modified in order to achieve the best flight control, including
plane type, view, control paradigm, gains, and limits. Successful flight was
determined qualitatively by evaluating the subject's ability to perform requested
maneuvers, maintain flight paths, and avoid control losses such as dives, spins
and crashes. By the end of the study, it was found that the subject could
successfully control an aircraft. The subject could use both the jet and
propeller plane with different views, adopting an intuitive control paradigm.
From the subject's perspective, this was one of the most exciting and
entertaining experiments she had performed in two years of research. In
conclusion, this study provides a proof-of-concept that traditional motor cortex
signals combined with a decoding paradigm can be used to control systems besides
a robotic arm for which the decoder was developed. Aside from possible functional
benefits, it also shows the potential for a new recreational activity for
individuals with disabilities who are able to master BCI control.
PMID- 27196544
TI - Zika virus and microcephaly: is the correlation causal or coincidental?
PMID- 27196542
TI - Regulation of MicroRNAs, and the Correlations of MicroRNAs and Their Targeted
Genes by Zinc Oxide Nanoparticles in Ovarian Granulosa Cells.
AB - Zinc oxide (ZnO) nanoparticles (NPs) have been applied in numerous industrial
products and personal care products like sunscreens and cosmetics. The released
ZnO NPs from consumer and household products into the environment might pose
potential health issues for animals and humans. In this study the expression of
microRNAs and the correlations of microRNAs and their targeted genes in ZnO NPs
treated chicken ovarian granulosa cells were investigated. ZnSO4 was used as the
sole Zn2+ provider to differentiate the effects of NPs from Zn2+. It was found
that ZnO-NP-5 MUg/ml specifically regulated the expression of microRNAs involved
in embryonic development although ZnO-NP-5 MUg/ml and ZnSO4-10 MUg/ml treatments
produced the same intracellular Zn concentrations and resulted in similar cell
growth inhibition. And ZnO-NP-5 MUg/ml also specifically regulated the
correlations of microRNAs and their targeted genes. This is the first
investigation that intact NPs in ZnO-NP-5 MUg/ml treatment specifically regulated
the expression of microRNAs, and the correlations of microRNAs and their targeted
genes compared to that by Zn2+. This expands our knowledge for biological effects
of ZnO NPs and at the same time it raises the health concerns that ZnO NPs might
adversely affect our biological systems, even the reproductive systems through
regulation of specific signaling pathways.
PMID- 27196545
TI - Zika Virus: a re-emerging pathogen with rapidly evolving public health
implications.
PMID- 27196546
TI - Clinical relevance of genotypic resistance testing today.
PMID- 27196537
TI - The genetics of anxiety-related negative valence system traits.
AB - NIMH's Research Domain Criteria (RDoC) domain of negative valence systems (NVS)
captures constructs of negative affect such as fear and distress traditionally
subsumed under the various internalizing disorders. Through its aims to capture
dimensional measures that cut across diagnostic categories and are linked to
underlying neurobiological systems, a large number of phenotypic constructs have
been proposed as potential research targets. Since "genes" represent a central
"unit of analysis" in the RDoC matrix, it is important for studies going forward
to apply what is known about the genetics of these phenotypes as well as fill in
the gaps of existing knowledge. This article reviews the extant genetic
epidemiological data (twin studies, heritability) and molecular genetic
association findings for a broad range of putative NVS phenotypic measures. We
find that scant genetic epidemiological data is available for experimentally
derived measures such as attentional bias, peripheral physiology, or brain-based
measures of threat response. The molecular genetic basis of NVS phenotypes is in
its infancy, since most studies have focused on a small number of candidate genes
selected for putative association to anxiety disorders (ADs). Thus, more research
is required to provide a firm understanding of the genetic aspects of anxiety
related NVS constructs. (c) 2016 Wiley Periodicals, Inc.
PMID- 27196548
TI - Diagnostic accuracy of APRI, FIB-4 and Forns for the detection of liver cirrhosis
in HIV/HCV-coinfected patients.
AB - We determined the diagnostic accuracy and optimal cut off of three indirect
fibrosis biomarkers (APRI, FIB-4, Forns) compared with liver stiffness (LS) for
the detection of liver cirrhosis in HIV/HCV-coinfected patients. An observational
retrospective study on HIV/HCV-coinfected patients with concomitant LS
measurement and APRI, FIB-4 and Forns was performed. The presence of liver
cirrhosis was defined as a LS >=13 KPa. The diagnostic accuracy and optimal cut
off values, compared with LS categorization (<13 vs >=13 KPa), were determined by
receiver operating characteristics (ROC) curves.The study sample included 646
patients. The area-under-the ROC curve (95% confidence interval) for the
detection of liver cirrhosis were 0.84 (0.81-0.88), 0.87 (0.84-0.91) and 0.87
(0.84-0.90) for APRI, FIB-4 and Forns, respectively. According to the optimal cut
off values for liver cirrhosis (>=0.97 for APRI, >=2.02 for FIB-4 and >=7.8 for
Forns), 80%, 80% and 82% of subjects were correctly classified by the three
indirect fibrosis biomarkers, respectively. Misclassifications were mostly due to
false positive cases.The study suggests that indirect fibrosis biomarkers can
help clinicians to exclude liver cirrhosis in the management of HIV/HCV co
infected patients, reducing the frequency of more expensive or invasive
assessments.
PMID- 27196547
TI - Italian guidelines for the use of antiretroviral agents and the diagnostic
clinical management of HIV-1 infected persons. Update 2015.
PMID- 27196550
TI - Colistin inhibits E. coli O157:H7 Shiga-like toxin release, binds endotoxins and
protects Vero cells.
AB - The role of antibiotics in the treatment of Shiga-like toxin (Stx)-producing E.
coli infection is still controversial. This study investigated the effects of
colistin on Vero cell cytotoxicity caused by the enterohemorrhagic EC O157:H7,
and the effects of colistin on Stx and endotoxin release by EC O157:H7. Vero
cells were incubated with supernatant collected from EC O157:H7 cultured for 18 h
without (control) or with various concentrations of colistin. In the absence of
colistin, Vero cell viability after 48 h was 29.1+/-6.5%. Under the same
conditions, the overnight presence of colistin reduced cytotoxicity to Vero cells
(viability: 97+/-3.5 to 56.5+/-14.4% for colistin concentrations >=MIC). Sub-MIC
concentrations of colistin also provided partial protection (viability: 38.8+/
12.5 to 36.6+/-14% for 0.125 and 0.06 mcg/ml colistin, respectively). Endotoxins
contributed to the cytotoxic effects on Vero cells since lower but still
significant protection was observed when colistin was added directly to the
supernatant collected from cultures of untreated EC O157:H7. Colistin reduced Stx
release in a concentration-dependent manner, also at sub-MIC concentrations.
Coincubation of the supernatant from EC O157:H7 cultures with colistin markedly
reduced the endotoxin concentration at all doses investigated. In conclusion,
colistin protects Vero cells from EC O157:H7 at supra- and sub-MIC concentrations
by inhibiting Stx release and binding endotoxins. Colistin might be a valuable
treatment for clinically severe forms of EC O157:H7 infection.
PMID- 27196549
TI - Chronic HBV infection in pregnant immigrants: a multicenter study of the Italian
Society of Infectious and Tropical Diseases.
AB - The aims of the study were to estimate the clinical impact of HBV infection in
pregnant immigrants and their family members and to identify a useful approach to
managing the healthcare of HBsAg-positive immigrants. Included in this study were
143 HBsAg-positive pregnant immigrants of the 1,970 from countries with
intermediate/high HBV endemicity who delivered in 8 Italian hospitals in 2012
2013. In addition, 172 family members of 96 HBsAg-positive pregnant immigrants
were tested for serum HBsAg. The median age of the 143 HBsAg-positive pregnant
immigrants was 31.0+/-12.1 years and the length of stay in Italy 5.0+/-4.1 years;
56.5% were unaware of their HBsAg positivity. HBV DNA was detected in 74.5% of
the pregnant immigrants, i.e., 94.3% from Eastern Europe, 72.2% from East Asia
and 58.1% from Sub-Saharan Africa. HBV DNA >=2000 IU/mL was detected in 47.8% of
pregnant immigrants, associated with ALT >=1.5 times the upper normal value in
15% of cases. Anti-HDV was detected in 10% of cases. HBsAg was detected in 31.3%
of the 172 family members. All HBsAg-positive immigrants received counseling on
HBV infection and its prevention, and underwent a complete clinical evaluation.
The findings validate the approach used for the healthcare management of the
HBsAg-positive immigrant population.
PMID- 27196551
TI - Occurrence of bacteria producing broad-spectrum beta-lactamases and qnr genes in
hospital and urban wastewater samples.
AB - The aims were to investigate the level of antibiotic-resistant bacteria in
hospital and urban wastewater and to determine the similarity of isolates
obtained from wastewater and hospitalized patients. Wastewater samples were
collected in September 2013 and 2014. After identification using MALDI-TOF MS,
beta-lactamase production was determined by relevant phenotypic tests. Genes
responsible for the production of single beta-lactamase groups and Qnr proteins
were established. The epidemiological relationship of the isolates from
wastewater and hospitalized patients was determined by PFGE. A total of 51
isolates of enterobacteria were obtained. Overall, 45.1% of them produced broad
spectrum beta-lactamases. Genes encoding TEM, SHV, CTX-M, CIT, DHA and EBC types
of enzymes and Qnr proteins were detected. No broad-spectrum beta-lactamase
production was confirmed in the urban wastewater treatment plant. The most
important finding was the detection of two identical isolates of K. pneumoniae in
2013, one from a patient's urinary catheter and the other from a wastewater
sample.
PMID- 27196553
TI - Antiviral activity of human Vdelta2 T-cells against WNV includes both cytolytic
and non-cytolytic mechanisms.
AB - West Nile virus (WNV) causes a severe central nervous system infection in humans,
primarily in the elderly and immunocompromised subjects. Human gammadelta T-cells
play a critical role in the immune response against viruses, and studies of WNV
meningoencephalitis in laboratory mice described a role of gammadelta T-cells in
the protective immune response. Aim of this study was to analyze the cytolytic
and non-cytolytic antiviral activity of human Vdelta2 T-cells against WNV
replication. The anti-WNV activity of soluble factor released by zoledronic acid
(ZA)-activated Vdelta2 T-cell lines and the cytotoxic capability of Vdelta2 T
cell lines against WNV-infected cells were tested in vitro. The activation of
Vdelta2 T-cell lines was able to inhibit WNV replication through the release of
soluble factors. IFN-gamma is massively released by activated Vdelta2 T-cell
lines and is involved in the anti-WNV activity. Moreover, the Vdelta2 T-cell
lines can efficiently kill WNV-infected cells possibly through perforin-mediated
mechanism. Altogether, our results provide insight into the effector functions of
human Vdelta2 T-cells against WNV. The possibility to target these cells by ZA, a
commercially available drug used in humans, could potentially offer a new
immunotherapeutic strategy for WNV infection.
PMID- 27196554
TI - Molecular analysis for bacterial contamination in dental unit water lines.
AB - Bacterial contamination in dental unit waterlines (DUWLs) was evaluated by
molecular techniques in addition to the conventional culture method. Water
samples (n=8) from DUWLs were investigated for heterotrophic bacteria by culture
method using R2A agar. The selected bacterial antibiotic-resistance genes and
Legionella species-specific 16SrDNA were identified by PCR. The profiles of
bacterial contamination in DUWLs were further identified by PCR-DGGE. In this
study, no antibiotic-resistant or Legionella genes were detected. Polycyclic
aromatic hydrocarbon-degrading bacterium, Novosphingobium sp. was the most
prevalent in DUWLs. Conventional PCR and PCR-DGGE were shown to be potentially
useful for monitoring of bacterial contamination in DUWLs.
PMID- 27196555
TI - Features of uropathogenic Escherichia coli able to invade a prostate cell line.
AB - RWPE-1 normal prostate cells were tested as an experimental model for
adhesion/invasion assays by genotypically and phenotypically characterized
community uropathogenic strains of Escherichia coli (UPEC), a frequent cause of
urinary tract infections (UTIs) and significant etiologic agent also in bacterial
prostatitis. Adhesive ability and strong biofilm production was significantly
associated with the bacterial invasive phenotype. Invasive strains derived mainly
from male and pediatric patients. This study suggests that such a cell model
could usefully integrate other available methods of urovirulence analysis, to
deepen knowledge on the bacterial interaction with host cells.
PMID- 27196556
TI - HIV-1 RNA quantification in CRF02_AG HIV-1 infection: too easy to make mistakes.
AB - The number of patients newly infected by HIV-1 non-B subtypes and circulating
recombinant forms (CRFs) is increasing worldwide, including in the western
countries. We report on a primary HIV-1 infection in a Caucasian patient. A
routine quantitative assay (Nuclisens EasyQ HIV-1 2.0, BioMerieux SA) showed
6,700 HIV-1 RNA copies/ml. A combined antiretroviral therapy (cART) consistent
with low baseline HIV-1 RNA was started. Few days later, the analysis performed
with REGA HIV-1 Subtyping Tool - Version 3.0 attributed the HIV-1 sequence to the
CRF02_AG recombinant form. Therefore, a second real-time PCR assay was performed,
using the Versant HIV-1 RNA 1.0 Assay (kPCR) (Siemens HealthCare Diagnostics)
which revealed a HIV-1 RNA of 230,000 copies/ml. Consequently, the ongoing cART
was potentiated. This case suggests that the wide genetic variability of HIV-1
subtypes may affect the capability of the commonly used assays to detect and
accurately quantify HIV-1 RNA in non-B subtypes and CRFs. In presence of CRFs
different commercial HIV-1 RNA tests should be performed to find the most
reliable for viral load quantification at the diagnosis, because it influences
the choice of cART, and during the follow-up. Indeed, international guidelines
for HIV-1 infection management suggest to monitor patient' HIV-RNA with the same
assay over the course of treatment. As different commercial tests can be
performed in the same laboratory with considerable difficulty, the laboratory
should select an assay that is suitable not only for the more prevalent strain,
but also for less frequent ones that, nevertheless, can occur. Then, knowing and
investigating the spread of non-B strains has essential clinical and laboratory
implications.
PMID- 27196552
TI - Surgical site infection after caesarean section: space for post-discharge
surveillance improvements and reliable comparisons.
AB - Surgical site infections (SSI) after caesarean section (CS) represent a
substantial health system concern. Surveying SSI has been associated with a
reduction in SSI incidence. We report the findings of three (2008, 2011 and 2013)
regional active SSI surveillances after CS in community hospital of the Latium
region determining the incidence of SSI. Each CS was surveyed for SSI occurrence
by trained staff up to 30 post-operative days, and association of SSI with
relevant characteristics was assessed using binomial logistic regression. A total
of 3,685 CS were included in the study. A complete 30 day post-operation follow
up was achieved in over 94% of procedures. Overall 145 SSI were observed (3.9%
cumulative incidence) of which 131 (90.3%) were superficial and 14 (9.7%) complex
(deep or organ/space) SSI; overall 129 SSI (of which 89.9% superficial) were
diagnosed post-discharge. Only higher NNIS score was significantly associated
with SSI occurrence in the regression analysis. Our work provides the first
regional data on CS-associated SSI incidence, highlighting the need for a post
discharge surveillance which should assure 30 days post-operation to not miss
data on complex SSI, as well as being less labour intensive.
PMID- 27196557
TI - Diagnostic performances of antigen detection compared to conventional and nucleic
acid detection of Entamoeba histolytica in a non-endemic setting.
AB - This study evaluated the immunochromatographic (IC) assay "TECHLAB((r)) E.
HISTOLYTICA QUIK CHEKTM" analysing 36 faecal samples and 7 cultured strains. This
assay was compared to the methods performed in our laboratory for the diagnosis
of amoebiasis. The IC assay revealed a detection limit of 103 trophozoites/g
faeces and no cross-reactivity with other parasites and failed to detect E.
histolytica antigen in frozen faeces. In our laboratory located in a non-endemic
setting this assay could not replace the methods currently used for the diagnosis
of amoebiasis.
PMID- 27196558
TI - Madura foot in Europe: diagnosis of an autochthonous case by molecular approach
and review of the literature.
AB - Madura foot is a chronic granulomatous infection of the soft-tissue of the foot
and it is endemic in tropical and subtropical countries. Some cases have also
been reported in local people or migrants in temperate countries. The
microbiological diagnosis requires prolonged bacterial cultures in aerobic and
anaerobic conditions, but the use of the molecular approach could be helpful for
an early and rapid diagnosis. We describe an autochthonous case of Actinomadura
madurae foot infection in an Italian woman. The diagnosis was achieved 36 months
after symptoms onset by PCR detection and sequencing of 16S rDNA directly on
biopsy. She started therapy with rifampin, trimethoprim-sulfamethoxazole, and
amikacin. After 3 months the pain had disappeared and the swelling subsided. We
reviewed the literature on Madura foot due to bacterial causative agents in
Europe and observed that the median time from onset to diagnosis is high,
possibly due to several factors like the difficulties of the microbiological and
radiological diagnosis. Our case report and the review of literature point out
that the implementation of a surveillance system, the involvement of an
infectious diseases specialist, with experience in tropical diseases, and the
availability of a microbiology unit to perform feasible and rapid molecular
diagnostic tests could result in an earlier diagnosis and an optimal antibiotic
therapy of this rare but difficult-to-treat and, above all, difficult-to-diagnose
infection.
PMID- 27196559
TI - Fatal acute respiratory distress by Toxoplasma gondii in a toxoplasma
seronegative liver transplant recipient.
AB - The risk of Toxoplasma gondii infection in solid organ transplant recipients is
well known but mainly after heart transplantation. We discuss a case of acute
respiratory distress caused by Toxoplasma gondii on Day 32 after liver
transplantation. The reported case, which is extremely rare, emphasizes how
direct examination and Quantitative Polymerase Chain Reaction (QPCR) in
broncholaveolar lavage help to diagnose the infection. Given
Trimethoprim/Sulfamethoxazole toxicity, systematic prescription of Toxoplasma
gondii chemoprophylaxis is not commonly approved in liver transplantation.
PMID- 27196561
TI - Hepatocyte Nuclear Factor-1beta Induces Redifferentiation of Dedifferentiated
Tubular Epithelial Cells.
AB - Tubular epithelial cells (TECs) can be dedifferentiated by repetitive insults,
which activate scar-producing cells generated from interstitial cells such as
fibroblasts, leading to the accumulation and deposition of extracellular matrix
molecules. The dedifferentiated TECs play a crucial role in the development of
renal fibrosis. Therefore, renal fibrosis may be attenuated if dedifferentiated
TECs are converted back to their normal state (re-epithelialization). However,
the mechanism underlying the re-epithelialization remains to be elucidated. In
the present study, TGF-beta1, a profibrotic cytokine, induced dedifferentiation
of cultured TECs, and the dedifferentiated TECs were re-epithelialized by the
removal of TGF-beta1 stimulation. In the re-epithelialization process,
transcription factor hepatocyte nuclear factor 1, beta (HNF-1beta) was identified
as a candidate molecule involved in inducing re-epithelialization by means of DNA
microarray and biological network analysis. In functional validation studies, the
re-epithelialization by TGF-beta1 removal was abolished by HNF-1beta knockdown.
Furthermore, the ectopic expression of HNF-1beta in the dedifferentiated TECs
induced the re-epithelialization without the inhibition of TGF-beta/Smad
signaling, even in the presence of TGF-beta1 stimulation. In mouse renal fibrosis
model, unilateral ureteral obstruction model, HNF-1beta expression in the TECs of
the kidney was suppressed with fibrosis progression. Furthermore, the HNF-1beta
downregulated TECs resulted in dedifferentiation, which was characterized by
expression of nestin. In conclusion, HNF-1beta suppression in TECs is a crucial
event for the dedifferentiation of TECs, and the upregulation of HNF-1beta in
TECs has a potential to restore the dedifferentiated TECs into their normal
state, leading to the attenuation of renal fibrosis.
PMID- 27196560
TI - Genetic Analysis of PLA2G6 in 22 Indian Families with Infantile Neuroaxonal
Dystrophy, Atypical Late-Onset Neuroaxonal Dystrophy and Dystonia Parkinsonism
Complex.
AB - Mutations in PLA2G6 were identified in patients with a spectrum of
neurodegenerative conditions, such as infantile neuroaxonal dystrophy (INAD),
atypical late-onset neuroaxonal dystrophy (ANAD) and dystonia parkinsonism
complex (DPC). However, there is no report on the genetic analysis of families
with members affected with INAD, ANAD and DPC from India. Therefore, the main aim
of this study was to perform genetic analysis of 22 Indian families with INAD,
ANAD and DPC. DNA sequence analysis of the entire coding region of PLA2G6
identified 13 different mutations, including five novel ones (p.Leu224Pro,
p.Asp283Asn, p.Arg329Cys, p.Leu491Phe, and p.Arg649His), in 12/22 (54.55%)
families with INAD and ANAD. Interestingly, one patient with INAD was homozygous
for two different mutations, p.Leu491Phe and p.Ala516Val, and thus harboured four
mutant alleles. With these mutations, the total number of mutations in this gene
reaches 129. The absence of mutations in 10/22 (45.45%) families suggests that
the mutations could be in deep intronic or promoter regions of this gene or these
families could have mutations in a yet to be identified gene. The present study
increases the mutation landscape of PLA2G6. The present finding will be useful
for genetic diagnosis, carrier detection and genetic counselling to families
included in this study and other families with similar disease condition.
PMID- 27196563
TI - Low serum vitamin D is associated with higher cortical porosity in elderly men.
AB - BACKGROUND: Bone loss at peripheral sites in the elderly is mainly cortical and
involves increased cortical porosity. However, an association between bone loss
at these sites and 25-hydroxyvitamin D has not been reported. OBJECTIVE: To
investigate the association between serum levels of 25-hydroxyvitamin D, bone
microstructure and areal bone mineral density (BMD) in elderly men. METHODS: A
population-based cohort of 444 elderly men (mean +/- SD age 80.2 +/- 3.5 years)
was investigated. Bone microstructure was measured by high-resolution peripheral
quantitative computed tomography, areal BMD by dual-energy X-ray absorptiometry
and serum 25-hydroxyvitamin D and parathyroid hormone levels by immunoassay.
RESULTS: Mean cortical porosity at the distal tibia was 14.7% higher (12.5 +/-
4.3% vs. 10.9 +/- 4.1%, P < 0.05) whilst cortical volumetric BMD, area,
trabecular bone volume fraction and femoral neck areal BMD were lower in men in
the lowest quartile of vitamin D levels compared to the highest. In men with
vitamin D deficiency (<25 nmol L-1 ) or insufficiency [25-49 nmol L-1 , in
combination with an elevated serum level of parathyroid hormone (>6.8 pmol L-1
)], cortical porosity was 17.2% higher than in vitamin D-sufficient men (P <
0.01). A linear regression model including age, weight, height, daily calcium
intake, physical activity, smoking vitamin D supplementation and parathyroid
hormone showed that 25-hydroxyvitamin D independently predicted cortical porosity
(standardized beta = -0.110, R2 = 1.1%, P = 0.024), area (beta = 0.123, R2 =
1.4%, P = 0.007) and cortical volumetric BMD (beta = 0.125, R2 = 1.4%, P = 0.007)
of the tibia as well as areal BMD of the femoral neck (beta = 0.102, R2 = 0.9%, P
= 0.04). CONCLUSION: Serum vitamin D is associated with cortical porosity, area
and density, indicating that bone fragility as a result of low vitamin D could be
due to changes in cortical bone microstructure and geometry.
PMID- 27196562
TI - Anthracycline Drugs on Modified Surface of Quercetin-Loaded Polymer
Nanoparticles: A Dual Drug Delivery Model for Cancer Treatment.
AB - Polymer nanoparticles are vehicles used for delivery of hydrophobic anti-cancer
drugs, like doxorubicin, paclitaxel or chemopreventors like quercetin (Q). The
present study deals with the synthesis and characterisation of nano formulations
(NFs) from Q loaded PLGA (poly lactic-co-glycolic acid) nano particles (NPs) by
surface modification. The surface of Q-loaded (NPs) is modified by coating with
biopolymers like bovine serum albumin (BSA) or histones (His). Conventional
chemotherapeutic drugs adriamycin (ADR) and mitoxantrone (MTX) are bound to BSA
and His respectively before being coated on Q-loaded NPs to nano formulate NF1
and NF2 respectively. The sizes of these NFs are in the range 400-500 nm as
ascertained by SEM and DLS measurements. Encapsulation of Q in polymer NPs is
confirmed from shifts in FT-IR, TGA and DSC traces of Q-loaded NPs compared to
native PLGA and Q. Surface modification in NFs is evidenced by three distinct
regions in their TEM images; the core, polymer capsule and the coated surface.
Negative zeta potential of Q-loaded NPs shifted to positive potential on surface
modification in NF1 and NF2. In vitro release of Q from the NFs lasted up to
twenty days with an early burst release. NF2 is better formulation than NF1 as
loading of MTX is 85% compared to 23% loading of ADR. Such NFs are expected to
overcome multi-drug resistance (MDR) by reaching and treating the target
cancerous cells by virtue of size, charge and retention.
PMID- 27196564
TI - The Survival of Roma Minority Patients on Chronic Hemodialysis Therapy - A
Romanian Multicenter Survey.
AB - OBJECTIVE: The Roma minority represents the largest ethnic group in Central and
South-East European countries. Data regarding the mortality in Roma hemodialysis
subjects are limited. We evaluated the 3 year mortality of ESRD Roma patients
treated with hemodialysis (HD). STUDY DESIGN AND SETTING: Our prospective cohort
study included 600 ESRD patients on HD therapy recruited from 7 HD centers, from
the main geographical regions of Romania. The median age of the patients was 56
(19) years, 332 (55.3%) being males, 51 (8.5%) having Roma ethnicity. RESULTS:
Roma ESRD patients initiate dialysis at a younger age, 47.8 years vs. 52.3 years
(P = 0.017), present higher serum albumin (P = 0.013) and higher serum phosphate
levels (P = 0.021). In the Roma group, the overall 3 year mortality was higher
when compared to Caucasians (33.3% vs. 24.8%). The multivariate survival analysis
revealed that being of Roma ethnicity is an independent risk factor for mortality
(HR = 1.74; 95% CI = 1.04-2.91; P = 0.035). CONCLUSIONS: Roma patients with ESRD
initiate HD therapy at a younger age as compared to Caucasians. They have a
higher 3 year mortality rate and are dying at a younger age. Roma ethnicity
represents an independent risk factor for mortality in our cohort.
PMID- 27196565
TI - Identification of a Novel Missense FBN2 Mutation in a Chinese Family with
Congenital Contractural Arachnodactyly Using Exome Sequencing.
AB - Congenital contractural arachnodactyly (CCA, OMIM 121050), also known as Beals
Hecht syndrome, is an autosomal dominant disorder of connective tissue. CCA is
characterized by arachnodactyly, dolichostenomelia, pectus deformities,
kyphoscoliosis, congenital contractures and a crumpled appearance of the helix of
the ear. The aim of this study is to identify the genetic cause of a 4-generation
Chinese family of Tujia ethnicity with congenital contractural arachnodactyly by
exome sequencing. The clinical features of patients in this family are consistent
with CCA. A novel missense mutation, c.3769T>C (p.C1257R), in the fibrillin 2
gene (FBN2) was identified responsible for the genetic cause of our family with
CCA. The p.C1257R mutation occurs in the 19th calcium-binding epidermal growth
factor-like (cbEGF) domain. The amino acid residue cysteine in this domain is
conserved among different species. Our findings suggest that exome sequencing is
a powerful tool to discover mutation(s) in CCA. Our results may also provide new
insights into the cause and diagnosis of CCA, and may have implications for
genetic counseling and clinical management.
PMID- 27196566
TI - Integrating Self-Affirmation with Health Risk Messages: Effects on Message
Evaluation and Response.
AB - This study tested a new method of using self-affirmation theory to increase
adaptive responses to health risk messages. Participants' self-concepts were
affirmed via text integrated with risk messages (public service
announcements/PSAs) rather than by methods used in most previous studies that
have limited applicability for campaigns employing mediated messages.
Participants were exposed to health risk PSAs either including or not including
affirming text to examine relative effects on message evaluation and adaptive
responses. The moderating effect of personal relevance of the behavior on
responses was also examined. Participants exposed to PSAs with integrated,
affirming text (affirmed participants) reported more positive attitudes toward
the PSA, greater self-efficacy, and greater intention to reduce risky behavior
than participants exposed to messages without affirming text (non-affirmed
participants). Compared to non-affirmed participants, affirmed participants also
reported weaker perceptions of risk severity and equivalent perceptions of
susceptibility. The personal relevance of the behavior did not moderate any
effects of self-affirmation. Implications for health campaigns that employ mass
mediated messages are discussed.
PMID- 27196568
TI - The reduced chance of a live birth in women with IBD receiving assisted
reproduction is due to a failure to achieve a clinical pregnancy.
PMID- 27196569
TI - Why is one arm stronger than two arms? IgG4 antibodies in IgG4-related autoimmune
pancreatitis.
PMID- 27196567
TI - A pharmacokinetics and safety phase 1/1b study of oral ixazomib in patients with
multiple myeloma and severe renal impairment or end-stage renal disease requiring
haemodialysis.
AB - Renal impairment (RI) is a major complication of multiple myeloma (MM). This
study aimed to characterize the single-dose pharmacokinetics (PK) of the oral
proteasome inhibitor, ixazomib, in cancer patients with normal renal function
[creatinine clearance (CrCl) >=90 ml/min; n = 20), severe RI (CrCl <30 ml/min; n
= 14), or end-stage renal disease requiring haemodialysis (ESRD; n = 7). PK and
adverse events (AEs) were assessed after a single 3 mg dose of ixazomib. Ixazomib
was highly bound to plasma proteins (~99%) in all renal function groups. Unbound
and total systemic exposures of ixazomib were 38% and 39% higher, respectively,
in severe RI/ESRD patients versus patients with normal renal function. Total
ixazomib concentrations were similar in pre- and post-dialyser samples collected
from ESRD patients; therefore, ixazomib can be administered without regard to
haemodialysis timing. Except for anaemia, the incidence of the most common AEs
was generally similar across groups, but grade 3 and 4 AEs were more frequent in
the severe RI/ESRD groups versus the normal group (79%/57% vs. 45%), as were
serious AEs (43%/43% vs. 15%). The PK and safety results support a reduced
ixazomib dose of 3 mg in patients with severe RI/ESRD.
PMID- 27196570
TI - Sex-specific effects of TLR9 promoter variants on spontaneous clearance of HCV
infection.
AB - OBJECTIVE: As pathogen sensors, Toll-like receptors (TLR) play a role in the
first defence line during HCV infection. However, the impact of the DNA sensor
TLR9 on the natural course of HCV infection is unknown. To address this, TLR9
promoter polymorphisms (single nucleotide polymorphisms (SNPs)) rs187084 and
rs5743836 were investigated for their effect on disease progression. DESIGN:
Therefore, the TLR9 SNPs and the interferon lambda 4 (IFNL4) rs12979860 were
genotyped in chronically HCV type 1 infected (n=333), in patients who
spontaneously cleared the infection (n=161), in the Swiss HCV cohort (n=1057) and
the well-characterised German (n=305) and Irish (n=198) 'anti-D' cohorts.
Functional analyses were done with promoter reporter constructs of human TLR9 in
B cells and assessing TLR9 mRNA levels in whole blood of healthy volunteers.
RESULTS: The TLR9 rs187084 C allele was associated with spontaneous virus
clearance in women of the study cohort (OR=2.15 (95% CI 1.18 to 3.90) p=0.012),
of the Swiss HCV cohort (OR=2.06 (95% CI 1.02 to 4.18) p=0.044) and in both 'anti
D' cohorts (German: OR=2.01 (95% CI 1.14 to 3.55) p=0.016; Irish: OR=1.93 (95% CI
1.10 to 3.68) p=0.047). Multivariate analysis in the combined study and Swiss HCV
cohorts supported the results (OR=1.99 (95% CI 1.30 to 3.05) p=0.002). Functional
analyses revealed higher transcriptional activities for both TLR9 variants and an
association of the C allele of rs5743836 with allele-specific TLR9 mRNA
regulation by oestrogens in women. CONCLUSIONS: TLR9 promoter SNPs are associated
with the natural course of HCV infection and show higher transcriptional
activities. Our results imply the DNA sensor TLR9 in natural immunity against the
RNA virus, HCV.
PMID- 27196571
TI - Opposite roles of cannabinoid receptors 1 and 2 in hepatocarcinogenesis.
AB - OBJECTIVE: The endocannabinoid system (ECS) exerts key roles in the development
of liver fibrosis and fatty liver, two diseases that promote the development of
hepatocellular carcinoma (HCC). Although cannabinoids exert potent antitumour
effects in vitro, the contribution of the ECS to carcinogenesis in vivo remains
elusive. DESIGN: Expression of key components of the ECS, including
endocannanabinoids, endocannabinoid-degrading enzymes and endocannabinoid
receptors, was determined in healthy liver and tumours. Diethylnitrosamine
induced hepatocarcinogenesis was determined in mice deficient in fatty acid amide
hydrolase (FAAH), the main anandamide (AEA)-degrading enzyme, in cannabinoid
receptor (CB)1, CB2, or transient receptor potential cation channel subfamily V
member 1 (TRPV1)-deficient mice. RESULTS: Murine and human HCCs displayed
activation of the ECS with strongly elevated expression of CB1 and CB2 but only
moderately altered endocannabinoid levels. Contrary to the antitumour effects of
cannabinoids in vitro, we observed increased hepatocarcinogenesis in FAAH
deficient mice, a mouse model with increased AEA levels. Accordingly,
inactivation of CB1, the main receptor for AEA, in wild-type or FAAH-deficient
mice suppressed hepatocarcinogenesis. In contrast, inactivation of CB2 increased
hepatocarcinogenesis. CB1 was strongly expressed within HCC lesions and its
inactivation suppressed proliferation and liver fibrosis. CB2 was predominantly
expressed in macrophages. CB2 inactivation decreased the expression of T-cell
recruiting chemokines and inhibited hepatic T-cell recruitment including
particular CD4+ T cells, a population with known antitumour effects in HCC. TRPV1
deletion did not alter HCC development. CONCLUSIONS: Similar to their role in
fibrogenesis, CB1 and CB2 exert opposite effects on hepatocarcinogenesis and may
provide novel therapeutic targets.
PMID- 27196572
TI - Hepatocyte MyD88 affects bile acids, gut microbiota and metabolome contributing
to regulate glucose and lipid metabolism.
AB - OBJECTIVE: To examine the role of hepatocyte myeloid differentiation primary
response gene 88 (MyD88) on glucose and lipid metabolism. DESIGN: To study the
impact of the innate immune system at the level of the hepatocyte and metabolism,
we generated mice harbouring hepatocyte-specific deletion of MyD88. We
investigated the impact of the deletion on metabolism by feeding mice with a
normal control diet or a high-fat diet for 8 weeks. We evaluated body weight, fat
mass gain (using time-domain nuclear magnetic resonance), glucose metabolism and
energy homeostasis (using metabolic chambers). We performed microarrays and
quantitative PCRs in the liver. In addition, we investigated the gut microbiota
composition, bile acid profile and both liver and plasma metabolome. We analysed
the expression pattern of genes in the liver of obese humans developing non
alcoholic steatohepatitis (NASH). RESULTS: Hepatocyte-specific deletion of MyD88
predisposes to glucose intolerance, inflammation and hepatic insulin resistance
independently of body weight and adiposity. These phenotypic differences were
partially attributed to differences in gene expression, transcriptional factor
activity (ie, peroxisome proliferator activator receptor-alpha, farnesoid X
receptor (FXR), liver X receptors and STAT3) and bile acid profiles involved in
glucose, lipid metabolism and inflammation. In addition to these alterations, the
genetic deletion of MyD88 in hepatocytes changes the gut microbiota composition
and their metabolomes, resembling those observed during diet-induced obesity.
Finally, obese humans with NASH displayed a decreased expression of different
cytochromes P450 involved in bioactive lipid synthesis. CONCLUSIONS: Our study
identifies a new link between innate immunity and hepatic synthesis of bile acids
and bioactive lipids. This dialogue appears to be involved in the susceptibility
to alterations associated with obesity such as type 2 diabetes and NASH, both in
mice and humans.
PMID- 27196575
TI - Reply to letter to the editor of Gut by Dickson and Cox.
PMID- 27196574
TI - The neurokinin-2 receptor antagonist ibodutant improves overall symptoms,
abdominal pain and stool pattern in female patients in a phase II study of
diarrhoea-predominant IBS.
AB - BACKGROUND: Tachykinins have been implicated in the pathophysiology of IBS with
diarrhoea (IBS-D). Our aim was to study the efficacy and safety of ibodutant, a
selective neurokinin-2 (NK2) receptor antagonist, in patients with IBS-D.
METHODS: This multinational double-blind, placebo-controlled study recruited 559
patients with IBS-D according to Rome III criteria. After a 2-week treatment-free
run-in, patients were randomised to ibodutant 1 mg, 3 mg, 10 mg or placebo once
daily for eight consecutive weeks. Responders were those with a combined response
of satisfactory relief (weekly binary question yes/no) of overall IBS symptoms
and abdominal pain/discomfort on >=75% weeks (primary end point). Secondary end
points included abdominal pain and stool pattern. Data were also analysed
according to US Food and Drug Administration (FDA)-approved interim end points
(improvement of pain and stool consistency). Safety was assessed by monitoring
adverse events and laboratory tests. Prespecified statistical analysis involved
the whole group as well as gender subgroups. RESULTS: Demographics and baseline
characteristics were comparable for all treatment arms. In the overall
population, responsiveness tended to increase with escalating ibodutant doses. In
the prespecified analysis by gender, ibodutant 10 mg demonstrated significant
superiority over placebo in females (p=0.003), while no significant effect
occurred in males. This was confirmed for secondary end points and for the
responder analysis according to FDA-approved end points. The tolerability and
safety of ibodutant was excellent at all doses. CONCLUSIONS: Ibodutant showed
dose-dependent efficacy response in IBS-D, reaching statistical significance at
the 10 mg dose in female patients. The safety and tolerability profile of
ibodutant was similar to placebo. TRIAL REGISTRATION NUMBER: NCT01303224.
PMID- 27196573
TI - Tumour CD274 (PD-L1) expression and T cells in colorectal cancer.
AB - OBJECTIVE: Evidence suggests that CD274 (programmed death-ligand 1, B7-H1) immune
checkpoint ligand repress antitumour immunity through its interaction with the
PDCD1 (programmed cell death 1, PD-1) receptor of T lymphocytes in various
tumours. We hypothesised that tumour CD274 expression levels might be inversely
associated with T-cell densities in colorectal carcinoma tissue. DESIGN: We
evaluated tumour CD274 expression by immunohistochemistry in 823 rectal and colon
cancer cases within the Nurses' Health Study and Health Professionals Follow-up
Study. We conducted multivariable ordinal logistic regression analyses to examine
the association of tumour CD274 expression with CD3+, CD8+, CD45RO (PTPRC)+ or
FOXP3+ cell density in tumour tissue, controlling for potential confounders
including tumour status of microsatellite instability (MSI), CpG island
methylator phenotype, long interspersed nucleotide element-1 methylation level
and KRAS, BRAF and PIK3CA mutations. RESULTS: CD274 expression in tumour cells or
stromal cells (including immune cells) was detected in 731 (89%) or 44 (5%)
cases, respectively. Tumour CD274 expression level correlated inversely with
FOXP3+ cell density in colorectal cancer tissue (outcome) (ptrend=0.0002). For a
unit increase in outcome quartile categories, multivariable OR in the highest (vs
lowest) CD274 expression score was 0.22 (95% CI 0.10 to 0.47). Tumour CD274
expression was inversely associated with MSI-high status (p=0.001). CD274
expression was not significantly associated with CD3+, CD8+ or CD45RO+ cell
density, pathological lymphocytic reactions or patient survival prognosis.
CONCLUSIONS: Tumour CD274 expression is inversely associated with FOXP3+ cell
density in colorectal cancer tissue, suggesting a possible influence of CD274
expressing carcinoma cells on regulatory T cells in the tumour microenvironment.
PMID- 27196577
TI - Sequential shear-wave elastography of liver and spleen rules out clinically
significant portal hypertension in compensated advanced chronic liver disease.
PMID- 27196576
TI - Narrow band imaging optical diagnosis of small colorectal polyps in routine
clinical practice: the Detect Inspect Characterise Resect and Discard 2 (DISCARD
2) study.
AB - BACKGROUND: Accurate optical characterisation and removal of small adenomas (<10
mm) at colonoscopy would allow hyperplastic polyps to be left in situ and
surveillance intervals to be determined without the need for histopathology.
Although accurate in specialist practice the performance of narrow band imaging
(NBI), colonoscopy in routine clinical practice is poorly understood. METHODS:
NBI-assisted optical diagnosis was compared with reference standard
histopathological findings in a prospective, blinded study, which recruited
adults undergoing routine colonoscopy in six general hospitals in the UK.
Participating colonoscopists (N=28) were trained using the NBI International
Colorectal Endoscopic (NICE) classification (relating to colour, vessel structure
and surface pattern). By comparing the optical and histological findings in
patients with only small polyps, test sensitivity was determined at the patient
level using two thresholds: presence of adenoma and need for surveillance.
Accuracy of identifying adenomatous polyps <10 mm was compared at the polyp level
using hierarchical models, allowing determinants of accuracy to be explored.
FINDINGS: Of 1688 patients recruited, 722 (42.8%) had polyps <10 mm with 567
(78.5%) having only polyps <10 mm. Test sensitivity (presence of adenoma, N=499
patients) by NBI optical diagnosis was 83.4% (95% CI 79.6% to 86.9%),
significantly less than the 95% sensitivity (p<0.001) this study was powered to
detect. Test sensitivity (need for surveillance) was 73.0% (95% CI 66.5% to
79.9%). Analysed at the polyp level, test sensitivity (presence of adenoma,
N=1620 polyps) was 76.1% (95% CI 72.8% to 79.1%). In fully adjusted analyses,
test sensitivity was 99.4% (95% CI 98.2% to 99.8%) if two or more NICE adenoma
characteristics were identified. Neither colonoscopist expertise, confidence in
diagnosis nor use of high definition colonoscopy independently improved test
accuracy. INTERPRETATION: This large multicentre study demonstrates that NBI
optical diagnosis cannot currently be recommended for application in routine
clinical practice. Further work is required to evaluate whether variation in test
accuracy is related to polyp characteristics or colonoscopist training. TRIAL
REGISTRATION NUMBER: The study was registered with clinicaltrials.gov
(NCT01603927).
PMID- 27196579
TI - Cardiac mucosa, Barrett's oesophagus and cancer of the gastro-oesophageal
junction: what's in a name?
PMID- 27196578
TI - Is human albumin solution really the best resuscitation fluid for patients with
advanced cirrhosis?
PMID- 27196580
TI - Comparative genomics of Crohn's disease-associated adherent-invasive Escherichia
coli.
AB - OBJECTIVE: Adherent-invasive Escherichia coli (AIEC) are a leading candidate
bacterial trigger for Crohn's disease (CD). The AIEC pathovar is defined by in
vitro cell-line assays examining specific bacteria/cell interactions. No
molecular marker exists for their identification. Our aim was to identify a
molecular property common to the AIEC phenotype. DESIGN: 41 B2 phylogroup E. coli
strains were isolated from 36 Australian subjects: 19 patients with IBD and 17
without. Adherence/invasion assays were conducted using the I-407 epithelial cell
line and survival/replication assays using the THP-1 macrophage cell line.
Cytokine secretion tumour necrosis factor ((TNF)-alpha, interleukin (IL) 6, IL-8
and IL-10) was measured using ELISA. The genomes were assembled and annotated,
and cluster analysis performed using CD-HIT. The resulting matrices were analysed
to identify genes unique/more frequent in AIEC strains compared with non-AIEC
strains. Base composition differences and clustered regularly interspaced
palindromic repeat (CRISPR) analyses were conducted. RESULTS: Of all B2
phylogroup strains assessed, 79% could survive and replicate in macrophages.
Among them, 11/41 strains (5 CD, 2 UCs, 5 non-IBD) also adhere to and invade
epithelial cells, a phenotype assigning them to the AIEC pathovar. The AIEC
strains were phylogenetically heterogeneous. We did not identify a gene (or
nucleic acid base composition differences) common to all, or the majority of,
AIEC. Cytokine secretion and CRISPRs were not associated with the AIEC phenotype.
CONCLUSIONS: Comparative genomic analysis of AIEC and non-AIEC strains did not
identify a molecular property exclusive to the AIEC phenotype. We recommend a
broader approach to the identification of the bacteria-host interactions that are
important in the pathogenesis of Crohn's disease.
PMID- 27196582
TI - Efficacy of vonoprazan-based second-line Helicobacter pylori eradication therapy
in patients for whom vonoprazan-based first-line treatment failed.
PMID- 27196581
TI - Hiatus hernia in healthy volunteers is associated with intrasphincteric reflux
and cardiac mucosal lengthening without traditional reflux.
AB - BACKGROUND AND AIMS: Hiatus hernia (HH) is a key mediator of gastro-oesophageal
reflux disease but little is known about its significance in the general
population. We studied the structure and function of the gastro-oesophageal
junction in healthy volunteers with and without HH. METHODS: We compared 15
volunteers with HH, detected by endoscopy or MRI scan, but without gastro
oesophageal reflux disease with 15 controls matched for age, gender and body
weight. Jumbo biopsies were taken across the squamocolumnar junction (SCJ). High
resolution pH metry (12 sensors) and manometry (36 sensors) were performed
upright and supine, before and after a meal. The SCJ was marked with an
endoscopically placed clip and visualised fluoroscopically. RESULTS: Cardiac
mucosa was longer in volunteers with HH (3.5 vs 2.5 mm, p=0.01). There was no
excessive acid reflux 5 cm above the upper border of the lower oesophageal
sphincter (LOS) in either group but those with HH had short segment reflux 11 mm
above the pH transition point after the meal when supine (pH<4 for 5.5% vs 0.3%
of time, p=0.01). The SCJ and pH transition point were proximally displaced
within the gastro-oesophageal junction in those with HH versus controls (p<0.05).
The pH transition point was proximal to the peak LOS pressure point in HH
subjects but distal to it in controls after the meal (p<0.05). When supine, the
postprandial pH transition point crossed the SCJ in those with HH (p=0.03).
CONCLUSIONS: Healthy volunteers with HH have increased intrasphincteric reflux
and lengthening of cardiac mucosa in the absence of traditional transsphincteric
reflux.
PMID- 27196583
TI - MAPping the Wnt pathway to hepatocellular carcinoma recurrence.
PMID- 27196584
TI - Integrative microRNA profiling in alcoholic hepatitis reveals a role for microRNA
182 in liver injury and inflammation.
AB - OBJECTIVE: MicroRNAs (miRNAs) are well-known regulators of disease pathogenesis
and have great potential as biomarkers and therapeutic targets. We aimed at
profiling miRNAs in alcoholic hepatitis (AH) and identifying miRNAs potentially
involved in liver injury. DESIGN: MiRNA profiling was performed in liver samples
from patients with AH, alcohol liver disease, non-alcoholic steatohepatitis, HCV
disease and normal liver tissue. Expression of miRNAs was assessed in liver and
serum from patients with AH and animal models. Mimic and decoy miR-182 were used
in vitro and in vivo to evaluate miR-182's biological functions. RESULTS: MiRNA
expression profile in liver was highly altered in AH and distinctive from alcohol
induced cirrhotic livers. Moreover, we identified a set of 18 miRNAs
predominantly expressed in AH as compared with other chronic liver conditions.
Integrative miRNA-mRNA functional analysis revealed the association of AH-altered
miRNAs with nuclear receptors, IGF-1 signalling and cholestasis. Interestingly,
miR-182 was the most highly expressed miRNA in AH, which correlated with degree
of ductular reaction, disease severity and short-term mortality. MiR-182 mimic
induced an upregulation of inflammatory mediators in biliary cells. At
experimental level, miR-182 was increased in biliary cells in mice fed with 3,5
diethoxycarbonyl-1,4-dihydrocollidine (DDC) diet but not upregulated by alcohol
intake or fibrosis. Inhibition of miR-182 in DDC-fed mice reduced liver damage,
bile acid accumulation and inflammatory response. CONCLUSIONS: AH is
characterised by a deregulated miRNA profile, including miR-182, which is
associated with disease severity and liver injury. These results highlight the
potential of miRNAs as therapeutic targets and biomarkers in AH.
PMID- 27196586
TI - Treatment scale-up to achieve global HCV incidence and mortality elimination
targets: a cost-effectiveness model.
AB - AIMS: The WHO's draft HCV elimination targets propose an 80% reduction in
incidence and a 65% reduction in HCV-related deaths by 2030. We estimate the
treatment scale-up required and cost-effectiveness of reaching these targets
among injecting drug use (IDU)-acquired infections using Australian disease
estimates. METHODS: A mathematical model of HCV transmission, liver disease
progression and treatment among current and former people who inject drugs
(PWID). Treatment scale-up and the most efficient allocation to priority groups
(PWID or patients with advanced liver disease) were determined; total healthcare
and treatment costs, quality-adjusted life years (QALYs) and incremental cost
effectiveness ratios (ICERs) compared with inaction were calculated. RESULTS:
5662 (95% CI 5202 to 6901) courses per year (30/1000 IDU-acquired infections)
were required, prioritised to patients with advanced liver disease, to reach the
mortality target. 4725 (3278-8420) courses per year (59/1000 PWID) were required,
prioritised to PWID, to reach the incidence target; this also achieved the
mortality target, but to avoid clinically unacceptable HCV-related deaths an
additional 5564 (1959-6917) treatments per year (30/1000 IDU-acquired infections)
were required for 5 years for patients with advanced liver disease. Achieving
both targets in this way cost $A4.6 ($A4.2-$A4.9) billion more than inaction, but
gained 184 000 (119 000-417 000) QALYs, giving an ICER of $A25 121 ($A11 062-$A39
036) per QALY gained. CONCLUSIONS: Achieving WHO elimination targets with
treatment scale-up is likely to be cost-effective, based on Australian HCV burden
and demographics. Reducing incidence should be a priority to achieve both WHO
elimination goals in the long-term.
PMID- 27196585
TI - Tumour-specific delivery of siRNA-coupled superparamagnetic iron oxide
nanoparticles, targeted against PLK1, stops progression of pancreatic cancer.
AB - OBJECTIVE: Pancreatic ductal adenocarcinoma (PDAC) is one of the most aggressive
malignancies and is projected to be the second leading cause of cancer-related
death by 2030. Despite extensive knowledge and insights into biological
properties and genetic aberrations of PDAC, therapeutic options remain temporary
and ineffective. One plausible explanation for the futile response to therapy is
an insufficient and non-specific delivery of anticancer drugs to the tumour site.
DESIGN: Superparamagnetic iron oxide nanoparticles (SPIONs) coupled with siRNA
directed against the cell cycle-specific serine-threonine-kinase, Polo-like
kinase-1 (siPLK1-StAv-SPIONs), could serve a dual purpose for delivery of siPLK1
to the tumour and for non-invasive assessment of efficiency of delivery in vivo
by imaging the tumour response. siPLK1-StAv-SPIONs were designed and synthesised
as theranostics to function via a membrane translocation peptide with added
advantage of driving endosomal escape for mediating transportation to the
cytoplasm (myristoylated polyarginine peptides) as well as a tumour-selective
peptide (EPPT1) to increase intracellular delivery and tumour specificity,
respectively. RESULTS: A syngeneic orthotopic as well as an endogenous cancer
model was treated biweekly with siPLK1-StAv-SPIONs and tumour growth was
monitored by small animal MRI. In vitro and in vivo experiments using a syngeneic
orthotopic PDAC model as well as the endogenous LSL-KrasG12D, LSL-Trp53R172H, Pdx
1-Cre model revealed significant accumulation of siPLK1-StAv-SPIONs in PDAC,
resulting in efficient PLK1 silencing. Tumour-specific silencing of PLK1 halted
tumour growth, marked by a decrease in tumour cell proliferation and an increase
in apoptosis. CONCLUSIONS: Our data suggest siPLK1-StAv-SPIONs with dual
specificity residues for tumour targeting and membrane translocation to represent
an exciting opportunity for targeted therapy in patients with PDAC.
PMID- 27196587
TI - Protease inhibition as new therapeutic strategy for GI diseases.
AB - The GI tract is the most exposed organ to proteases, both in physiological and
pathophysiological conditions. For digestive purposes, the lumen of the upper GI
tract contains large amounts of pancreatic proteases, but studies have also
demonstrated increased proteolytic activity into mucosal tissues (both in the
upper and lower GI tract), associated with pathological conditions. This review
aims at outlining the evidences for dysregulated proteolytic homeostasis in GI
diseases and the pathogenic mechanisms of increased proteolytic activity. The
therapeutic potential of protease inhibition in GI diseases is discussed, with a
particular focus on IBDs, functional GI disorders and colorectal cancer.
PMID- 27196589
TI - Barriers against split-dose bowel preparation for colonoscopy.
AB - OBJECTIVE: Although split regimen is associated with higher adenoma detection and
is recommended for elective colonoscopy, its adoption remains suboptimal. The
identification of patient-related barriers may improve its implementation. Our
aim was to assess patients' attitude towards split regimen and patient-related
factors associated with its uptake. DESIGN: In a multicentre, prospective study,
outpatients undergoing colonoscopy from 8:00 to 14:00 were given written
instructions for 4 L polyethylene glycol bowel preparation, offering the choice
between split-dose and day-before regimens and emphasising the superiority of
split regimen on colonoscopy outcomes. Uptake of split regimen and association
with patient-related factors were explored by a 20-item questionnaire. RESULTS:
Of the 1447 patients (mean age 59.2+/-13.5 years, men 54.3%), 61.7% and 38.3%
chose a split-dose and day-before regimens, respectively. A linear correlation
was observed between time of colonoscopy appointments and split-dose uptake, from
27.3% in 8:00 patients to 96% in 14:00 patients (p<0.001, chi2 for linear trend).
At multivariate analysis, colonoscopy appointment before 10:00 (OR 0.14, 95% CI
0.11 to 0.18), travel time to endoscopy service >1 h (OR 0.55, 95% CI 0.38 to
0.79), low education level (OR 0.72, 95% CI 0.54 to 0.96) and female gender (OR
0.74, 95% CI 0.58 to 0.95) were inversely correlated with the uptake of split
dose. Overall, the risk of travel interruption and faecal incontinence was
slightly increased in split regimen patients (3.0% vs 1.4% and 1.5% vs 0.9%,
respectively; p=NS). Split regimen was an independent predictor of adequate colon
cleansing (OR 3.34, 95% CI 2.40 to 4.63) and polyp detection (OR 1.46, 95% CI
1.11 to 1.92). CONCLUSION: Patient attitude towards split regimen is suboptimal,
especially for early morning examinations. Interventions to improve patient
compliance (ie, policies to reorganise colonoscopy timetable, educational
initiatives for patient and healthcare providers) should be considered. TRIAL
REGISTRATION NUMBER: NCT02287051; pre-result.
PMID- 27196588
TI - Comparing CT colonography and flexible sigmoidoscopy: a randomised trial within a
population-based screening programme.
AB - IMPORTANCE AND AIMS: The role of CT colonography (CTC) as a colorectal cancer
(CRC) screening test is uncertain. The aim of our trial was to compare
participation and detection rate (DR) with sigmoidoscopy (flexible sigmoidoscopy
(FS)) and CTC in a screening setting. DESIGN SETTING AND PARTICIPANTS: We
conducted two randomised clinical trials (RCTs). (1) Participation RCT:
individuals, aged 58 years, living in Turin (Italy), were randomly assigned to be
invited to FS or CTC screening; (2) detection RCT: residents in northern Italy,
aged 58-60, giving their consent to recruitment, were randomly allocated to CTC
or FS. Polyps >=6 mm at CTC, or 'high-risk' distal lesions at FS, were referred
for colonoscopy (TC). MAIN OUTCOME MEASURES: Participation rate (proportion of
invitees examined); DR of advanced adenomas or CRC (advanced neoplasia (AN)).
RESULTS: Participation was 30.4% (298/980) for CTC and 27.4% (267/976) for FS
(relative risk (RR) 1.1; 95% CI 0.98 to 1.29). Among men, participation was
higher with CTC than with FS (34.1% vs 26.5%, p=0.011). In the detection RCT,
2673 subjects had FS and 2595 had CTC: the AN DR was 4.8% (127/2673, including 9
CRCs) with FS and 5.1% (133/2595, including 10 CRCs) with CTC (RR 1.08; 95% CI
0.85 to 1.37). Distal AN DR was 3.9% (109/2673) with FS and 2.9% (76/2595) with
CTC (RR 0.72; 95% CI 0.54 to 0.96); proximal AN DR was 1.2% (34/2595) for FS vs
2.7% (69/2595) for CTC (RR 2.06; 95% CI 1.37 to 3.10). CONCLUSIONS AND RELEVANCE:
Participation and DR for FS and CTC were comparable. AN DR was twice as high in
the proximal colon and lower in the distal colon with CTC than with FS. Men were
more likely to participate in CTC screening. TRIAL REGISTRATION NUMBER:
NCT01739608; Pre-results.
PMID- 27196590
TI - The gut-adherent microbiota of PSC-IBD is distinct to that of IBD.
PMID- 27196591
TI - Reduced risk of UC in families affected by appendicitis: a Danish national cohort
study.
AB - OBJECTIVE: The possible aetiological link between appendicitis and UC remains
unclear. In order to investigate the hereditary component of the association, we
studied the risk of UC in family members of individuals with appendicitis.
DESIGN: A cohort of 7.1 million individuals was established by linkage of
national registers in Denmark with data on kinship and diagnoses of appendicitis
and UC. Poisson regression models were used to calculate first hospital contact
rate ratios (RR) for UC with 95% CIs between individuals with or without
relatives with a history of appendicitis. RESULTS: During 174 million person
years of follow-up between 1977 and 2011, a total of 190 004 cohort members
developed appendicitis and 45 202 developed UC. Individuals having a first-degree
relative with appendicitis before age 20 years had significantly reduced risk of
UC (RR 0.90; 95% CI 0.86 to 0.95); this association was stronger in individuals
with a family predisposition to UC (RR 0.66; 95% CI 0.51 to 0.83). CONCLUSIONS:
Individuals with a first-degree relative diagnosed with appendicitis before age
20 years are at reduced risk of UC, particularly when there is a family
predisposition to UC. Our findings question a previously hypothesised direct
protective influence of appendicitis on inflammation of the large bowel. Rather,
genetic or environmental factors linked to an increased risk of appendicitis
while being protective against UC may explain the repeatedly reported reduced
relative risk of UC in individuals with a history of appendicitis.
PMID- 27196592
TI - Stool frequency is associated with gut microbiota composition.
PMID- 27196593
TI - Author response to letter: colonic stenting in patients on P2Y12 receptor
antagonists and direct oral anticoagulants-are current BSG/ESGE guidelines
practical?
PMID- 27196594
TI - Appendectomy does not decrease the risk of future colectomy in UC: results from a
large cohort and meta-analysis.
AB - OBJECTIVES: Early appendectomy is inversely associated with the development of
UC. However, the impact of appendectomy on the clinical course of UC is
controversial, generally favouring a milder disease course. We aim to describe
the effect appendectomy has on the disease course of UC with focus on the timing
of appendectomy in relation to UC diagnosis. DESIGN: Using the National Institute
of Diabetes and Digestive and Kidney Diseases Inflammatory Bowel Disease Genetics
Consortium database of patients with UC, the risk of colectomy was compared
between patients who did and did not undergo appendectomy. In addition, we
performed a meta-analysis of studies that examined the association between
appendectomy and colectomy. RESULTS: 2980 patients with UC were initially
included. 111 (4.4%) patients with UC had an appendectomy; of which 63 were
performed prior to UC diagnosis and 48 after diagnosis. In multivariable
analysis, appendectomy performed at any time was an independent risk factor for
colectomy (OR 1.9, 95% CI 1.1 to 3.1), with appendectomy performed after UC
diagnosis most strongly associated with colectomy (OR 2.2, 95% CI 1.1 to 4.5). An
updated meta-analysis showed appendectomy performed either prior to or after UC
diagnosis had no effect on colectomy rates. CONCLUSIONS: Appendectomy performed
at any time in relation to UC diagnosis was not associated with a decrease in
severity of disease. In fact, appendectomy after UC diagnosis may be associated
with a higher risk of colectomy. These findings question the proposed use of
appendectomy as treatment for UC.
PMID- 27196595
TI - Lymphotoxin beta receptor signalling executes Helicobacter pylori-driven gastric
inflammation in a T4SS-dependent manner.
AB - OBJECTIVE: Lymphotoxin beta receptor (LTbetaR) signalling has been implicated in
inflammation-associated tumour development in different tissues. We have analysed
the role of LTbetaR and alternative NF-kappaB signalling in Helicobacter pylori
mediated gastric inflammation and pathology. DESIGN: We analysed several ligands
and receptors of the alternative NF-kappaB pathway, RelB, p52 nuclear
translocation and target genes in tissue samples of H. pylori-infected patients
with different degrees of gastritis or early gastric tumours by in situ
hybridisation, immunohistochemistry, Western blot and real-time PCR analyses.
Molecular mechanisms involved in LTbetaR activation by H. pylori were assessed in
vitro using human gastric cancer cell lines and distinct H. pylori isolates. The
effects of blocking or agonistically activating LTbetaR on gastric pathology
during challenge with a human pathogenic H. pylori strain were studied in a mouse
model. RESULTS: Among the tested candidates, LT was significantly increased and
activated alternative NF-kappaB signalling was observed in the gastric mucosa of
H. pylori-infected patients. H. pyloriinduced LTbetaR-ligand expression in a type
IV secretion system-dependent but CagA-independent manner, resulting in
activation of the alternative NF-kappaB pathway, which was further enhanced by
blocking canonical NF-kappaB during infection. Blocking LTbetaR signalling in
vivo suppressed H. pylori-driven gastritis, whereas LTbetaR activation in gastric
epithelial cells of infected mice induced a broadened pro-inflammatory chemokine
milieu, resulting in exacerbated pathology. CONCLUSIONS: LTbetaR-triggered
activation of alternative NF-kappaB signalling in gastric epithelial cells
executes H. pylori-induced chronic gastritis, representing a novel target to
restrict gastric inflammation and pathology elicited by H. pylori, while
exclusively targeting canonical NF-kappaB may aggravate pathology by enhancing
the alternative pathway.
PMID- 27196597
TI - Experimental infection of rabbits with genotype 3 hepatitis E virus produced both
chronicity and kidney injury.
PMID- 27196598
TI - Drug development in IBD: from novel target identification to early clinical
trials.
PMID- 27196600
TI - Preclinical disease and preventive strategies in IBD: perspectives, challenges
and opportunities.
PMID- 27196601
TI - Reply to the letter by Dr Graham concerning ethical and interpretation issues
with vonoprazan-containing H. pylori eradication therapy.
PMID- 27196596
TI - Transition from childhood to adulthood in coeliac disease: the Prague consensus
report.
AB - The process of transition from childhood to adulthood is characterised by
physical, mental and psychosocial development. Data on the transition and
transfer of care in adolescents/young adults with coeliac disease (CD) are
scarce. In this paper, 17 physicians from 10 countries (Sweden, Italy, the USA,
Germany, Norway, the Netherlands, Australia, Britain, Israel and Denmark) and two
representatives from patient organisations (Association of European Coeliac
Societies and the US Celiac Disease Foundation) examined the literature on
transition from childhood to adulthood in CD. Medline (Ovid) and EMBASE were
searched between 1900 and September 2015. Evidence in retrieved reports was
evaluated using the Grading of Recommendation Assessment, Development and
Evaluation method. The current consensus report aims to help healthcare personnel
manage CD in the adolescent and young adult and provide optimal care and
transition into adult healthcare for patients with this disease. In adolescence,
patients with CD should gradually assume exclusive responsibility for their care,
although parental support is still important. Dietary adherence and consequences
of non-adherence should be discussed during transition. In most adolescents and
young adults, routine small intestinal biopsy is not needed to reconfirm a
childhood diagnosis of CD based on European Society for Pediatric
Gastroenterology, Hepatology and Nutrition (ESPGHAN) or North American Society
for Pediatric Gastroenterology, Hepatology and Nutrition (NASPGHAN) criteria, but
a biopsy may be considered where paediatric diagnostic criteria have not been
fulfilled, such as, in a patient without biopsy at diagnosis, additional serology
(endomysium antibody) has not been performed to confirm 10-fold positivity of
tissue transglutaminase antibodies or when a no biopsy strategy has been adopted
in an asymptomatic child.
PMID- 27196599
TI - Targeting super-enhancer-associated oncogenes in oesophageal squamous cell
carcinoma.
AB - OBJECTIVES: Oesophageal squamous cell carcinoma (OSCC) is an aggressive
malignancy and the major histological subtype of oesophageal cancer. Although
recent large-scale genomic analysis has improved the description of the genetic
abnormalities of OSCC, few targetable genomic lesions have been identified, and
no molecular therapy is available. This study aims to identify druggable
candidates in this tumour. DESIGN: High-throughput small-molecule inhibitor
screening was performed to identify potent anti-OSCC compounds. Whole
transcriptome sequencing (RNA-Seq) and chromatin immunoprecipitation sequencing
(ChIP-Seq) were conducted to decipher the mechanisms of action of CDK7 inhibition
in OSCC. A variety of in vitro and in vivo cellular assays were performed to
determine the effects of candidate genes on OSCC malignant phenotypes. RESULTS:
The unbiased high-throughput small-molecule inhibitor screening led us to
discover a highly potent anti-OSCC compound, THZ1, a specific CDK7 inhibitor. RNA
Seq revealed that low-dose THZ1 treatment caused selective inhibition of a number
of oncogenic transcripts. Notably, further characterisation of the genomic
features of these THZ1-sensitive transcripts demonstrated that they were
frequently associated with super-enhancer (SE). Moreover, SE analysis alone
uncovered many OSCC lineage-specific master regulators. Finally, integrative
analysis of both THZ1-sensitive and SE-associated transcripts identified a number
of novel OSCC oncogenes, including PAK4, RUNX1, DNAJB1, SREBF2 and YAP1, with
PAK4 being a potential druggable kinase. CONCLUSIONS: Our integrative approaches
led to a catalogue of SE-associated master regulators and oncogenic transcripts,
which may significantly promote both the understanding of OSCC biology and the
development of more innovative therapies.
PMID- 27196603
TI - Elemental Analysis of Bone, Teeth, Horn and Antler in Different Animal Species
Using Non-Invasive Handheld X-Ray Fluorescence.
AB - Mineralized tissues accumulate elements that play crucial roles in animal health.
Although elemental content of bone, blood and teeth of human and some animal
species have been characterized, data for many others are lacking, as well as
species comparisons. Here we describe the distribution of elements in horn
(Bovidae), antler (Cervidae), teeth and bone (humerus) across a number of species
determined by handheld X-ray fluorescence (XRF) to better understand differences
and potential biological relevance. A difference in elemental profiles between
horns and antlers was observed, possibly due to the outer layer of horns being
comprised of keratin, whereas antlers are true bone. Species differences in
tissue elemental content may be intrinsic, but also related to feeding habits
that contribute to mineral accumulation, particularly for toxic heavy metals. One
significant finding was a higher level of iron (Fe) in the humerus bone of
elephants compared to other species. This may be an adaptation of the
hematopoietic system by distributing Fe throughout the bone rather than the
marrow, as elephant humerus lacks a marrow cavity. We also conducted discriminant
analysis and found XRF was capable of distinguishing samples from different
species, with humerus bone being the best source for species discrimination. For
example, we found a 79.2% correct prediction and success rate of 80% for
classification between human and non-human humerus bone. These findings show that
handheld XRF can serve as an effective tool for the biological study of elemental
composition in mineralized tissue samples and may have a forensic application.
PMID- 27196605
TI - Raspberry Ketone Analogs: Vapour Pressure Measurements and Attractiveness to
Queensland Fruit Fly, Bactrocera tryoni (Froggatt) (Diptera: Tephritidae).
AB - The Queensland fruit fly, Bactrocera tryoni (Froggatt) (Q-fly), is a major
horticultural pest in Eastern Australia. Effective monitoring, male annihilation
technique (MAT) and mass trapping (MT) are all important for control and require
strong lures to attract flies to traps or toxicants. Lure strength is thought to
be related in part to volatility, but little vapour pressure data are available
for most Q-fly lures. Raspberry ketone (4-(4-hydroxyphenyl)-2-butanone) and
analogs that had esters (acetyl, difluoroacetyl, trifluoroacetyl, formyl,
propionyl) and ethers (methyl ether, trimethylsilyl ether) in replacement of the
phenolic group, and in one case also had modification of the 2-butanone side
chain, were measured for their vapour pressures by differential scanning
calorimetry (DSC), and their attractiveness to Q-fly was assessed in small cage
environmentally controlled laboratory bioassays. Maximum response of one category
of compounds, containing both 2-butanone side chain and ester group was found to
be higher than that of the other group of compounds, of which either of 2
butanone or ester functionality was modified. However, linear relationship
between vapour pressure and maximum response was not significant. The results of
this study indicate that, while volatility may be a factor in lure effectiveness,
molecular structure is the dominating factor for the series of molecules
investigated.
PMID- 27196604
TI - Dimerization and Transactivation Domains as Candidates for Functional Modulation
and Diversity of Sox9.
AB - Sox9 plays an important role in a large variety of developmental pathways in
vertebrates. It is composed of three domains: high-mobility group box (HMG box),
dimerization (DIM) and transactivation (TAD). One of the main processes for
regulation and variability of the pathways involving Sox9 is the self-gene
expression regulation of Sox9. However, the subsequent roles of the Sox9 domains
can also generate regulatory modulations. Studies have shown that TADs can bind
to different types of proteins and its function seems to be influenced by DIM.
Therefore, we hypothesized that both domains are directly associated and can be
responsible for the functional variability of Sox9. We applied a method based on
a broad phylogenetic context, using sequences of the HMG box domain, to ensure
the homology of all the Sox9 copies used herein. The data obtained included 4,921
sequences relative to 657 metazoan species. Based on coevolutionary and selective
pressure analyses of the Sox9 sequences, we observed coevolutions involving DIM
and TADs. These data, along with the experimental data from literature, indicate
a functional relationship between these domains. Moreover, DIM and TADs may be
responsible for the functional plasticity of Sox9 because they are more tolerant
for molecular changes (higher Ka/Ks ratio than the HMG box domain). This
tolerance could allow a differential regulation of target genes or promote novel
targets during transcriptional activation. In conclusion, we suggest that DIM and
TADs functional association may regulate differentially the target genes or even
promote novel targets during transcription activation mediated by Sox9 paralogs,
contributing to the subfunctionalization of Sox9a and Sox9b in teleosts.
PMID- 27196607
TI - Correction: Structure of Type IIL Restriction-Modification Enzyme MmeI in Complex
with DNA Has Implications for Engineering New Specificities.
AB - [This corrects the article DOI: 10.1371/journal.pbio.1002442.].
PMID- 27196606
TI - Codon Adaptation of Plastid Genes.
AB - Codon adaptation is codon usage bias that results from selective pressure to
increase the translation efficiency of a gene. Codon adaptation has been studied
across a wide range of genomes and some early analyses of plastids have shown
evidence for codon adaptation in a limited set of highly expressed plastid genes.
Here we study codon usage bias across all fully sequenced plastid genomes which
includes representatives of the Rhodophyta, Alveolata, Cryptophyta, Euglenozoa,
Glaucocystophyceae, Rhizaria, Stramenopiles and numerous lineages within the
Viridiplantae, including Chlorophyta and Embryophyta. We show evidence that codon
adaptation occurs in all genomes except for two, Theileria parva and
Heicosporidium sp., both of which have highly reduced gene contents and no
photosynthesis genes. We also show evidence that selection for codon adaptation
increases the representation of the same set of codons, which we refer to as the
adaptive codons, across this wide range of taxa, which is probably due to common
features descended from the initial endosymbiont. We use various measures to
estimate the relative strength of selection in the different lineages and show
that it appears to be fairly strong in certain Stramenopiles and Chlorophyta
lineages but relatively weak in many members of the Rhodophyta, Euglenozoa and
Embryophyta. Given these results we propose that codon adaptation in plastids is
widespread and displays the same general features as adaptation in eubacterial
genomes.
PMID- 27196609
TI - Comprehensive personal RF-EMF exposure map and its potential use in
epidemiological studies.
AB - In recent years, numerous epidemiological studies, which deal with the potential
effects of mobile phone antennas on health, have almost exclusively focused on
their distance to mobile phone base stations. Although it is known that this is
not the best approach to the problem, this situation occurs due to the numerous
difficulties when determining the personal exposure to the radiofrequency
electromagnetic fields (RF-EMF). However, due to the rise of personal
exposimeters, the evolution of spatial statistics, the development of
geographical information systems and the use of powerful software, new
alternatives are available to deal with these epidemiological studies and thus
overcome the aforementioned difficulties. Using these tools, this paper presents
a lattice map of personal RF-EMF exposure from exterior mobile phone base
stations, covering the entire 110 administrative regions in the city of Albacete
(Spain). For this purpose, we used a personal exposimeter, Satimo EME Spy 140
model, performing measurements every 4s The exposimeter was located inside the
plastic basket of a bicycle, whose versatility permitted the access to all the
zones of the city. Once the exposure map was prepared, its relation with the
known antenna locations was studied. The 64 mobile telephone antennas of the city
were also georeferenced; the randomness of both variables (exposure and antennas)
were studied by means of the Moran's I test. Results showed that the distribution
of the antennas follows a grouped pattern (p<0.001), while the distribution of
the average exposure values have a random distribution (p=0.618). In addition, we
showed two Spearman correlation studies: the first between the average exposure
values and the number of mobile telephone antennas per administrative region, and
the second, also considering the antennas of the neighbouring regions. No
substantial correlation was detected in either of the two cases. This study also
reveals the weaknesses of the epidemiological studies, which only take into
account the distance to the antennas, which would provide a new approach to the
problem. By precisely knowing the resident population of each administrative
region of the city, this proves to be highly useful to rely on a prepared
aggregate data map based on the mean exposure values to RF-EMF in these sections.
The displayed map would permit the execution of more accurate epidemiological
studies, since it would be possible to compare the exposure measurements with the
incidence data of a disease.
PMID- 27196608
TI - Variable Nitrogen Fixation in Wild Populus.
AB - The microbiome of plants is diverse, and like that of animals, is important for
overall health and nutrient acquisition. In legumes and actinorhizal plants, a
portion of essential nitrogen (N) is obtained through symbiosis with nodule
inhabiting, N2-fixing microorganisms. However, a variety of non-nodulating plant
species can also thrive in natural, low-N settings. Some of these species may
rely on endophytes, microorganisms that live within plants, to fix N2 gas into
usable forms. Here we report the first direct evidence of N2 fixation in the
early successional wild tree, Populus trichocarpa, a non-leguminous tree, from
its native riparian habitat. In order to measure N2 fixation, surface-sterilized
cuttings of wild poplar were assayed using both 15N2 incorporation and the
commonly used acetylene reduction assay. The 15N label was incorporated at high
levels in a subset of cuttings, suggesting a high level of N-fixation. Similarly,
acetylene was reduced to ethylene in some samples. The microbiota of the cuttings
was highly variable, both in numbers of cultured bacteria and in genetic
diversity. Our results indicated that associative N2-fixation occurred within
wild poplar and that a non-uniformity in the distribution of endophytic bacteria
may explain the variability in N-fixation activity. These results point to the
need for molecular studies to decipher the required microbial consortia and
conditions for effective endophytic N2-fixation in trees.
PMID- 27196619
TI - Binational Dengue Outbreak Along the United States-Mexico Border - Yuma County,
Arizona, and Sonora, Mexico, 2014.
AB - Dengue is an acute febrile illness caused by any of four dengue virus types (DENV
1-4). DENVs are transmitted by mosquitos of the genus Aedes (1) and are endemic
throughout the tropics (2). In 2010, an estimated 390 million DENV infections
occurred worldwide (2). During 2007-2013, a total of three to 10 dengue cases
were reported annually in Arizona and all were travel-associated. During
September-December 2014, coincident with a dengue outbreak in Sonora, Mexico, 93
travel-associated dengue cases were reported in Arizona residents; 70 (75%) cases
were among residents of Yuma County, which borders San Luis Rio Colorado, Sonora,
Mexico. San Luis Rio Colorado reported its first case of locally acquired dengue
in September 2014. To investigate the temporal relationship of the dengue
outbreaks in Yuma County and San Luis Rio Colorado and compare patient
characteristics and signs and symptoms, passive surveillance data from both
locations were analyzed. In addition, household-based cluster investigations were
conducted near the residences of reported dengue cases in Yuma County to identify
unreported cases and assess risk for local transmission. Surveillance data
identified 52 locally acquired cases (21% hospitalized) in San Luis Rio Colorado
and 70 travel-associated cases (66% hospitalized) in Yuma County with illness
onset during September-December 2014. Among 194 persons who participated in the
cluster investigations in Yuma County, 152 (78%) traveled to Mexico at least
monthly during the preceding 3 months. Four (2%) of 161 Yuma County residents who
provided serum samples for diagnostic testing during cluster investigations had
detectable DENV immunoglobulin M (IgM); one reported a recent febrile illness,
and all four had traveled to Mexico during the preceding 3 months. Entomologic
assessments among 105 households revealed 24 water containers per 100 houses
colonized by Ae. aegypti. Frequent travel to Mexico and Ae. aegypti colonization
indicate risk for local transmission of DENV in Yuma County. Public health
officials in Sonora and Arizona should continue to collaborate on dengue
surveillance and educate the public regarding mosquito abatement and avoidance
practices. Clinicians evaluating patients from the U.S.-Mexico border region
should consider dengue in patients with acute febrile illness and report
suspected cases to public health authorities.
PMID- 27196620
TI - Prenatal maternal psychosocial stress and risk of asthma and allergy in their
offspring: protocol for a systematic review and meta-analysis.
PMID- 27196622
TI - New Recommendations for Extent of Thyroidectomy and Active Surveillance for the
Treatment of Differentiated Thyroid Cancer.
PMID- 27196610
TI - Mitochondrial metabolic remodeling in response to genetic and environmental
perturbations.
AB - Mitochondria are metabolic hubs within mammalian cells and demonstrate
significant metabolic plasticity. In oxygenated environments with ample
carbohydrate, amino acid, and lipid sources, they are able to use the
tricarboxylic acid cycle for the production of anabolic metabolites and ATP.
However, in conditions where oxygen becomes limiting for oxidative
phosphorylation, they can rapidly signal to increase cytosolic glycolytic ATP
production, while awaiting hypoxia-induced changes in the proteome mediated by
the activity of transcription factors such as hypoxia-inducible factor 1. Hypoxia
is a well-described phenotype of most cancers, driving many aspects of
malignancy. Improving our understanding of how mitochondria change their
metabolism in response to this stimulus may therefore elicit the design of new
selective therapies. Many of the recent advances in our understanding of
mitochondrial metabolic plasticity have been acquired through investigations of
cancer-associated mutations in metabolic enzymes, including succinate
dehydrogenase, fumarate hydratase, and isocitrate dehydrogenase. This review will
describe how metabolic perturbations induced by hypoxia and mutations in these
enzymes have informed our knowledge in the control of mitochondrial metabolism,
and will examine what this may mean for the biology of the cancers in which these
mutations are observed. WIREs Syst Biol Med 2016, 8:272-285. doi:
10.1002/wsbm.1334 For further resources related to this article, please visit the
WIREs website.
PMID- 27196621
TI - Average Stand Age from Forest Inventory Plots Does Not Describe Historical Fire
Regimes in Ponderosa Pine and Mixed-Conifer Forests of Western North America.
AB - Quantifying historical fire regimes provides important information for managing
contemporary forests. Historical fire frequency and severity can be estimated
using several methods; each method has strengths and weaknesses and presents
challenges for interpretation and verification. Recent efforts to quantify the
timing of historical high-severity fire events in forests of western North
America have assumed that the "stand age" variable from the US Forest Service
Forest Inventory and Analysis (FIA) program reflects the timing of historical
high-severity (i.e. stand-replacing) fire in ponderosa pine and mixed-conifer
forests. To test this assumption, we re-analyze the dataset used in a previous
analysis, and compare information from fire history records with information from
co-located FIA plots. We demonstrate that 1) the FIA stand age variable does not
reflect the large range of individual tree ages in the FIA plots: older trees
comprised more than 10% of pre-stand age basal area in 58% of plots analyzed and
more than 30% of pre-stand age basal area in 32% of plots, and 2) recruitment
events are not necessarily related to high-severity fire occurrence. Because the
FIA stand age variable is estimated from a sample of tree ages within the tree
size class containing a plurality of canopy trees in the plot, it does not
necessarily include the oldest trees, especially in uneven-aged stands. Thus, the
FIA stand age variable does not indicate whether the trees in the predominant
size class established in response to severe fire, or established during the
absence of fire. FIA stand age was not designed to measure the time since a stand
replacing disturbance. Quantification of historical "mixed-severity" fire regimes
must be explicit about the spatial scale of high-severity fire effects, which is
not possible using FIA stand age data.
PMID- 27196625
TI - Evaluation and management of pediatric nasal obstruction: A survey of practice
patterns.
AB - BACKGROUND: Inferior turbinate (IT) hypertrophy and adenoid hypertrophy are both
causes of pediatric nasal obstruction. OBJECTIVE: The purpose of this survey was
to study nasal obstruction evaluation and management among pediatric
otolaryngologists with respect to IT and adenoid hypertrophy. METHODS: A
questionnaire with embedded clinical videos was sent electronically to American
Society of Pediatric Otolaryngology members. RESULTS: A total of 435
questionnaires were sent, and 75 were completed. Respondents were presented with
scenarios that involved a 7-year-old child with nasal obstruction unresponsive to
medical therapy, and the respondents were asked to choose a surgical plan, either
IT reduction, adenoidectomy, or combined IT reduction and adenoidectomy. Three
questions described the extent of IT and adenoid obstruction in text form,
although three questions included a video of the child's nasal endoscopy. In
questions with perceived or stated IT hypertrophy, the respondents chose to
perform IT reduction significantly more frequently when the perceived or stated
adenoid hypertrophy was less severe (p < 0.0001 for video and p = 0.039 for
written questions). CONCLUSION: The decision to perform IT reduction in children
is inversely related to the extent of adenoid hypertrophy. Future studies on
pediatric IT surgery should include objective descriptions of the IT and adenoid
in study subjects.
PMID- 27196624
TI - Systematic variation of acquisition rate in delay eyelid conditioning.
AB - Averaging artifacts inherent in group acquisition curves can mask behavioral
phenomena that are potentially revealing in terms of underlying neural
mechanisms. To address this, we implemented a behavioral analysis of 106 rabbits
trained over 4 sessions using delay eyelid conditioning. Group results showed the
typical monotonic increase in conditioned responses (CRs). For most subjects CRs
first appeared (as indexed by the criterion of 8 CRs in 9 trials) during the
first 18 trials of the second training session. Subdividing subjects according to
the training block at which they met criterion revealed systematic differences in
the subsequent rate that CR amplitudes increased, but not in asymptotic CR
amplitudes. Subjects meeting criterion early in sessions showed more rapid
increases in CR amplitude than those meeting criterion later in sessions. This
effect was solely dependent on how early within a session criterion was met, as
subjects meeting criterion at the beginning of the third and fourth sessions
showed more rapid increases in CR amplitude than those meeting criterion after
the first 18 trials of the second session. The exceptions were the 7% of the
subjects that met criterion late in the first session. Their CR amplitudes
increased at a rate similar to subjects meeting criterion early in sessions.
These results suggest an interplay between consolidation processes and a
previously reported short-term plasticity process that makes CR acquisition a
nonmonotonic and complex function of the point during training sessions at which
CRs first appear. (PsycINFO Database Record
PMID- 27196623
TI - Relating approach-to-target and detection tasks in animal psychoacoustics.
AB - Psychophysical experiments seek to measure the limits of perception. While
straightforward in humans, in animals they are time consuming. Choosing an
appropriate task and interpreting measurements can be challenging. We
investigated the localization of high-frequency auditory signals in noise using
an "approach-to-target" task in ferrets, how task performance should be
interpreted in terms of perception, and how the measurements relate to other
types of tasks. To establish their general ability to localize, animals were
first trained to discriminate broadband noise from 12 locations. Subsequently we
tested their ability to discriminate between band-limited targets at 2 or 3 more
widely spaced locations, in a continuous background noise. The ability to
discriminate between 3 possible locations (-90 degrees , 0 degrees , 90 degrees )
of a 10-kHz pure tone decreased gradually over a wide range (>30 dB) of signal-to
noise ratios (SNRs). Location discrimination ability was better for wide band
noise targets (0.5 and 2 octave). These results were consistent with localization
ability limiting performance for pure tones. Discrimination of pure tones at 2
locations (-90/left, 90/right) was robust at positive SNRs, yielding psychometric
functions which fell steeply at negative SNRs. Thresholds for discrimination were
similar to previous tone-in-noise thresholds measured in ferrets using a yes/no
task. Thus, using an approach-to-target task, sound "localization" in noise can
reflect detectability or the ability to localize, depending on the stimulus
configuration. Signal-detection-theory-based models were able to account for the
results when discriminating between pure tones from 2- and 3-source locations.
(PsycINFO Database Record
PMID- 27196626
TI - Low-Level Laser for Mitigation of Low Salivary Flow Rate in Head and Neck Cancer
Patients Undergoing Radiochemotherapy: A Prospective Longitudinal Study.
AB - OBJECTIVE: The present study aimed to assess the impact of low-level laser (LLL)
on low salivary flow rate in patients undergoing radiochemotherapy (RT-CT) for
head and neck cancer. BACKGROUND DATA: LLL has shown efficiency in preventing
hyposalivation in patients under different pathological conditions, including
those undergoing RT-CT. METHODS: During all RT-CT, 17 patients received laser
therapy and 10 received clinical care only. An Indium-Gallium-Aluminum-Phosphorus
diode laser was punctually used for intraoral (660 nm, 40 mW, 10 J/cm(2),
illuminated area 0.04 cm(2), 10 sec) and extraoral irradiation (780 nm, 15 mW,
3.8 J/cm(2), illuminated area 0.04 cm(2), 10 sec), three times a week and on
alternate days, for a total of 21 sessions. Unstimulated salivary flow rate was
assessed before the first RT session (N0), at the 15th RT session (N15), at the
last RT session (Nf), and at 30 (N30) and 90 days after the end of the oncologic
treatment (N90). RESULTS: At N15, Nf, and N30, patients treated with LLL showed
significantly higher averages of salivary flow rate when compared with patients
receiving clinical care only. CONCLUSIONS: LLL seems to be an efficient tool for
mitigation of salivary hypofunction in patients undergoing RT for head and neck
cancer.
PMID- 27196627
TI - Uptake of Isoniazid Preventive Therapy among Under-Five Children: TB Contact
Investigation as an Entry Point.
AB - A child's risk of developing tuberculosis (TB) can be reduced by nearly 60% with
administration of 6 months course of isoniazid preventive therapy (IPT). However,
uptake of IPT by national TB programs is low, and IPT delivery is a challenge in
many resource-limited high TB-burden settings. Routinely collected program data
was analyzed to determine the coverage and outcome of implementation of IPT for
eligible under-five year old children in 28 health facilities in two regions of
Ethiopia. A total of 504 index smear-positive pulmonary TB (SS+) cases were
reported between October 2013 and June 2014 in the 28 health facilities. There
were 282 under-five children registered as household contacts of these SS+ TB
index cases, accounting for 17.9% of all household contacts. Of these, 237 (84%)
were screened for TB symptoms, and presumptive TB was identified in 16 (6.8%)
children. TB was confirmed in 5 children, producing an overall yield of 2.11%
(95% confidence interval, 0.76-4.08%). Of 221 children eligible for IPT, 64.3%
(142) received IPT, 80.3% (114) of whom successfully completed six months of
therapy. No child developed active TB while on IPT. Contact screening is a good
entry point for delivery of IPT to at risk children and should be routine
practice as recommended by the WHO despite the implementation challenges.
PMID- 27196628
TI - Titanium Oxide Crystallization and Interface Defect Passivation for High
Performance Insulator-Protected Schottky Junction MIS Photoanodes.
AB - Atomic layer deposited (ALD) TiO2 protection layers may allow for the development
of both highly efficient and stable photoanodes for solar fuel synthesis;
however, the very different conductivities and photovoltages reported for TiO2
protected silicon anodes prepared using similar ALD conditions indicate that
mechanisms that set these key properties are, as yet, poorly understood. In this
report, we study hydrogen-containing annealing treatments and find that
postcatalyst-deposition anneals at intermediate temperatures reproducibly yield
decreased oxide/silicon interface trap densities and high photovoltage. A
previously reported insulator thickness-dependent photovoltage loss in metal
insulator-semiconductor Schottky junction photoanodes is suppressed. This occurs
simultaneously with TiO2 crystallization and an increase in its dielectric
constant. At small insulator thickness, a record for a Schottky junction
photoanode of 623 mV photovoltage is achieved, yielding a photocurrent turn-on at
0.92 V vs NHE or -0.303 V with respect to the thermodynamic potential for water
oxidation.
PMID- 27196629
TI - Psychosocial, clinical and demographic features related to worry in patients with
melanoma.
AB - The aim of this study was to investigate clinical, demographic and psychosocial
predictors of melanoma-related worry. A questionnaire-based study in a population
ascertained cohort of individuals diagnosed with melanoma in the previous 3-6
months was carried out to identify factors associated with worry about melanoma
shortly after diagnosis. A total of 520 patients felt worried about their future
with respect to melanoma and 1568 patients felt confident about their future with
respect to melanoma. Worry was less likely in men with partners than women with
partners [adjusted odds ratio (OR)=0.51, 95% confidence interval (CI) (0.39
0.67)], and increasing age was protective against worry [adjusted OR=0.96 per
year, 95% CI (0.95-0.97)]. Worry was more likely for patients with stage III/IV
melanoma [adjusted OR=1.90, 95% CI (1.41-2.56) compared with stages IB-IIC],
melanoma arising in sun-protected sites (compared with a limb), no occupation
(compared with workers), those who reported insufficient emotional support from
healthcare providers [adjusted OR=2.20, 95% CI (1.56-3.09) compared with
sufficient support], lower knowledge of melanoma [adjusted OR=4.50, 95% CI (2.82
7.18) compared with well informed], perceived financial hardship compared with no
financial hardship and over three previous negative life events compared with
none/one. Worry about melanoma outcomes after diagnosis is multifactorial in
origin.
PMID- 27196630
TI - rRNA Gene Expression of Abundant and Rare Activated-Sludge Microorganisms and
Growth Rate Induced Micropollutant Removal.
AB - The role of abundant and rare taxa in modulating the performance of wastewater
treatment systems is a critical component of making better predictions for
enhanced functions such as micropollutant biotransformation. In this study, we
compared 16S rRNA genes (rDNA) and rRNA gene expression of taxa in an activated
sludge-treatment plant (sequencing batch membrane bioreactor) at two solids
retention times (SRTs): 20 and 5 days. These two SRTs were used to influence the
rates of micropollutant biotransformation and nutrient removal. Our results show
that rare taxa (<1%) have disproportionally high ratios of rRNA to rDNA, an
indication of higher protein synthesis, compared to abundant taxa (>=1%) and
suggests that rare taxa likely play an unrecognized role in bioreactor
performance. There were also significant differences in community-wide rRNA
expression signatures at 20-day SRT: anaerobic-oxic-anoxic periods were the
primary driver of rRNA similarity. These results indicate differential expression
of rRNA at high SRTs, which may further explain why high SRTs promote higher
rates of micropollutant biotransformation. An analysis of micropollutant
associated degradation genes via metagenomics and direct measurements of a suite
of micropollutants and nutrients further corroborates the loss of enhanced
functions at 5-day SRT operation. This work advances our knowledge of the
underlying ecosystem properties and dynamics of abundant and rare organisms
associated with enhanced functions in engineered systems.
PMID- 27196631
TI - Cyto/Genotoxic Effects of Pistacia atlantica Resin, a Traditional Gum.
AB - In recent years, many researchers have focused on native plants to search for a
new source of natural components with medical approach, especially by means of
anticancer potential. One of these natural components is Saqez, the resin of
Pistacia atlantica sub-kurdica with the local name of Baneh. It has been reported
as an anticancer and apoptosis inducer component; therefore, in this research, we
aimed to evaluate the solvated resin's possible cyto/genotoxic effects. The cell
viability was assessed using MTT assay. Flow cytometry analysis was performed to
distinguish the role of apoptosis and necrosis in cell toxicity, which was
further confirmed by Comet and DNA ladder assay, and 4,6-diamidino2-phenylindole
(DAPI) staining. Pistacia atlantica's resin decreased the growth of the treated
cells in a dose- and time-dependent manner, and single-strand DNA breaks have
been observed through comet assay. Moreover, morphological changes of DAPI
stained cells showed fragmentation in the nucleus of resin-treated cells. In
addition, early and late apoptosis in the treated cells was determined by flow
cytometry analysis, also DNA ladder assay showed fragmentation in DNA of the
treated cells. This study has revealed that the resin has significant
cyto/genotoxic effects on cancerous and noncancerous cell lines. Our results show
that apoptosis and necrosis are the dominant mechanisms by which the resin
affects cell lines. Although the resin of P. atlantica is the main source of
mastic gum and has been used for a long time as a natural remedy for different
diseases, it is necessary to perform thorough analysis due to its
cyto/genotoxicity in vivo.
PMID- 27196632
TI - Ionic liquid based polymeric liposomes: A stable and biocompatible soft platform
for bioelectrochemistry.
AB - Polymeric liposomes (denoted as ILs-polysomes) are a biocompatible and conductive
nanomaterial, which was first utilised as the electrode material for immobilising
and biosensing redox enzyme horseradish peroxide (HRP). The morphology and
surface property of IL-polysomes was characterised and systematically compared
with unpolymerised ionic liquid based liposomes (denoted as ILs-liposomes).
Differing from IL-liposomes, IL-polysomes preserves their original morphology and
bilayer membrane structure on glassy carbon (GC) electrodes due to the cross
linking of polymerised lipids, thus exhibiting excellent stability and specific
biocompability. Because of the existence of imidazolium ionic liquid moieties on
the outer surface, IL-polysomes displays a positive charge in aqueous solution,
leading to oppositely charged HRP self-assembling onto the vesicles to form
HRP/IL-polysomes/PVA/GC electrodes. Owing to the combined merits of ILs and
liposomes, electron transfer between HRP-Fe(III)/Fe(II) redox couples of
immobilised enzymes and GC electrodes can be achieved. Therefore, HRP/IL
polysomes/PVA/GC electrodes exhibited good electrocatalytic performance toward
the electrocatalysis of H2O2. Accordingly, IL-polysomes could act as an efficient
charged platform for the self-assembled redox enzymes to realise direct
electrochemistry. IL-polysomes have a promising application in the fabrication of
third-generation electrochemical biosensors.
PMID- 27196633
TI - Self-Generated Electrokinetic Fluid Flows during Pseudomorphic Mineral
Replacement Reactions.
AB - Pseudomorphic mineral replacement reactions involve one mineral phase replacing
another, while preserving the original mineral's size and texture.
Macroscopically, these transformations are driven by system-wide equilibration
through dissolution and precipitation reactions. It is unclear, however, how
replacement occurs on the molecular scale and what role dissolved ion transport
plays. Here, we develop a new quantitative framework to explain the pseudomorphic
replacement of KBr crystal in a saturated KCl solution through a combination of
microscopic, spectroscopic, and modeling techniques. Our observations reveal that
pseudomorphic mineral replacement (pMRR) is transport-controlled for this system
and that convective fluid flows, caused by diffusioosmosis, play a key role in
the ion transport process across the reaction-induced pores in the product phase.
Our findings have important implications for understanding mineral
transformations in natural environments and suggest that replacement could be
exploited in commercial and laboratory applications.
PMID- 27196634
TI - The economic considerations of patients and caregivers in choice of dialysis
modality.
AB - Introduction Broader adoption of home dialysis could lead to considerable cost
savings for health services. Globally, however, uptake remains low. The aim of
this study was to describe patient and caregiver perspectives of the economic
considerations that influence dialysis modality choice, and elicit policy
relevant recommendations. Methods Semistructured interviews with predialysis or
dialysis patients and their caregivers, at three hospitals in New Zealand.
Interview transcripts were analyzed thematically. Findings 43 patients and 9
caregivers (total n = 52) participated. The three themes related to economic
considerations were: (i) productivity losses associated with changes in
employment; (ii) the need for personal subsidization of home dialysis expenses;
and (iii) the role of socio-economic disadvantage as a barrier to home dialysis.
Patients weighed the flexibility of home dialysis which allowed them to remain
employed, against time required for training and out-of-pocket costs. Patients
saw the lack of reimbursement of home dialysis costs as unjust and suggested that
reimbursement would incentivize home dialysis uptake. Social disadvantage was a
barrier to home dialysis as patients' housing was often unsuitable; they could
not afford the additional treatment costs. Home hemodialysis was considered to
have the highest out-of-pocket costs and was sometimes avoided for this reason.
Discussion Our data suggests that economic considerations underpin the choices
patients make about dialysis treatments, however these are rarely reported. To
promote home dialysis, strategies to improve employment retention and housing,
and to minimize out-of-pocket costs, need to be addressed directly by healthcare
providers and payers.
PMID- 27196635
TI - Correlating Cleaning Thoroughness with Effectiveness and Briefly Intervening to
Affect Cleaning Outcomes: How Clean Is Cleaned?
AB - OBJECTIVES: The most efficient approach to monitoring and improving cleaning
outcomes remains unresolved. We sought to extend the findings of a previous study
by determining whether cleaning thoroughness (dye removal) correlates with
cleaning efficacy (absence of molecular or cultivable biomaterial) and whether
one brief educational intervention improves cleaning outcomes. DESIGN: Before
after trial. SETTING: Newly built community hospital. INTERVENTION: 90 minute
training refresher with surface-specific performance results. METHODS: Dye
removal, measured by fluorescence, and biomaterial removal and acquisition,
measured with culture and culture-independent PCR-based assays, were
clandestinely assessed for eight consecutive months. At this midpoint, results
were presented to the cleaning staff (intervention) and assessments continued for
another eight consecutive months. RESULTS: 1273 surfaces were sampled before and
after terminal room cleaning. In the short-term, dye removal increased from 40.3%
to 50.0% (not significant). For the entire study period, dye removal also
improved but not significantly. After the intervention, the number of rooms
testing positive for specific pathogenic species by culturing decreased from
55.6% to 36.6% (not significant), and those testing positive by PCR fell from
80.6% to 53.7% (P = 0.016). For nonspecific biomaterial on surfaces: a) removal
of cultivable Gram-negatives (GN) trended toward improvement (P = 0.056); b)
removal of any cultivable growth was unchanged but acquisition (detection of
biomaterial on post-cleaned surfaces that were contaminant-free before cleaning)
worsened (P = 0.017); c) removal of PCR-based detection of bacterial DNA improved
(P = 0.046), but acquisition worsened (P = 0.003); d) cleaning thoroughness and
efficacy were not correlated. CONCLUSION: At this facility, a minor intervention
or minimally more aggressive cleaning may reduce pathogen-specific contamination,
but not without unintended consequences.
PMID- 27196637
TI - Optimizing cyanobacteria growth conditions in a sealed environment to enable
chemical inhibition tests with volatile chemicals.
AB - Cyanobacteria are currently being engineered to photosynthetically produce next
generation biofuels and high-value chemicals. Many of these chemicals are highly
toxic to cyanobacteria, thus strains with increased tolerance need to be
developed. The volatility of these chemicals may necessitate that experiments be
conducted in a sealed environment to maintain chemical concentrations. Therefore,
carbon sources such as NaHCO3 must be used for supporting cyanobacterial growth
instead of CO2 sparging. The primary goal of this study was to determine the
optimal initial concentration of NaHCO3 for use in growth trials, as well as if
daily supplementation of NaHCO3 would allow for increased growth. The secondary
goal was to determine the most accurate method to assess growth of Anabaena sp.
PCC 7120 in a sealed environment with low biomass titers and small sample
volumes. An initial concentration of 0.5g/L NaHCO3 was found to be optimal for
cyanobacteria growth, and fed-batch additions of NaHCO3 marginally improved
growth. A separate study determined that a sealed test tube environment is
necessary to maintain stable titers of volatile chemicals in solution. This study
also showed that a SYTO(r) 9 fluorescence-based assay for cell viability was
superior for monitoring filamentous cyanobacterial growth compared to absorbance,
chlorophyll alpha (chl a) content, and biomass content due to its accuracy, small
sampling size (100MUL), and high throughput capabilities. Therefore, in future
chemical inhibition trials, it is recommended that 0.5g/L NaHCO3 is used as the
carbon source, and that culture viability is monitored via the SYTO(r) 9
fluorescence-based assay that requires minimum sample size.
PMID- 27196636
TI - Characterizing the in vitro biofilm phenotype of Staphylococcus epidermidis
isolates from central venous catheters.
AB - Central venous catheter (CVC)-related infections are commonly caused by
Staphylococcus epidermidis that is able to form a biofilm on the catheter
surface. Many studies involving biofilm formation by Staphylococcus have been
published each adopting an own in vitro model. Since the capacity to form a
biofilm depends on multiple environmental factors, direct comparison of results
obtained in different studies remains challenging. This study characterized the
phenotype (strong versus weak biofilm-producers) of S. epidermidis from CVCs in
four different in vitro biofilm models, covering differences in material type
(glass versus polymer) and nutrient presentation (static versus continuous flow).
A good correlation in phenotype was obtained between glass and polymeric surfaces
independent of nutrient flow, with 85% correspondence under static growth
conditions and 80% under dynamic conditions. A 80% correspondence between static
and dynamic conditions on polymeric surfaces could be demonstrated as well.
Incubation time had a significant influence on the biofilm phenotype with only
55% correspondence between the dynamic models at different incubation times (48h
versus 17h). Screening for the presence of biofilm-related genes only revealed
that ica A was correlated with biofilm formation under static but not under
dynamic conditions. In conclusion, this study highlights that a high level of
standardization is necessary to interpret and compare results of different in
vitro biofilm models.
PMID- 27196638
TI - Development of a real-time PCR assay for quantification of Citrobacter rodentium.
AB - Molecular tools to quantify Citrobacter rodentium are not available. We developed
a quantitative PCR assay targeting the espB gene. This assay is specific, has a
linearity range of about 6.7*10(1) to 6.7*10(6)cells/PCR reaction (92%
efficiency) and a detection limit of about 10(4)cells/g wet feces.
PMID- 27196639
TI - Endothelial dysfunction and arterial stiffness in pre-eclampsia demonstrated by
the EndoPAT method.
AB - OBJECTIVES: The EndoPAT method has been used as a non-invasive method for
assessing endothelial function in several non-pregnant populations. We
investigated its possible use in assessing endothelial dysfunction in pre
eclampsia. METHODS: Two hundred and fifteen participants were recruited and
grouped as pre-eclamptic cases (105) and normotensive controls (110). Endothelial
function and arterial stiffness were measured as reactive hyperaemia index and
augmentation index, respectively, using the EndoPAT 2000 machine. RESULTS: The
reactive hyperaemia index was significantly lower in the pre-eclamptic group
compared to the normotensive group (p < 0.05). Augmentation index on the other
hand was significantly higher in the pre-eclamptic group compared to the
normotensive group (p < 0.0001). CONCLUSION: The EndoPAT method demonstrates
endothelial dysfunction and arterial stiffness in pre-eclampsia.
PMID- 27196640
TI - Counterproductive Consequences of a Conservative Ideology: Medicaid Expansion and
Personal Responsibility Requirements.
AB - Medicaid expansion, a key part of the Affordable Care Act, has been opposed by
conservative politicians despite its fiscal and public health benefits. In
response, some Republican-led states have expanded Medicaid with new reforms,
including requirements for cost sharing and behavioral incentives, that promote
conservative political values tied to an ideology of personal responsibility. We
examine this trend using Michigan's Medicaid expansion as a case example. We
explore the origins, evidence base, and possible consequences of these reforms.
We argue that these reforms prioritize ideology over sound public health
knowledge, deflecting attention away from the social, economic, and structural
factors that influence the health of the poor, and may ultimately contribute to
counterproductive public health and fiscal outcomes.
PMID- 27196641
TI - Emotional Feedback and the Viral Spread of Social Media Messages About Autism
Spectrum Disorders.
AB - OBJECTIVE: To determine whether exchanges of emotional language between health
advocacy organizations and social media users predict the spread of posts about
autism spectrum disorders (ASDs). METHODS: I created a Facebook application that
tracked views of ASD advocacy organizations' posts between July 19, 2011, and
December 18, 2012. I evaluated the association between exchanges of emotional
language and viral views of posts, controlling for additional characteristics of
posts, the organizations that produced them, the social media users who viewed
them, and the broader social environment. RESULTS: Exchanges of emotional
language between advocacy organizations and social media users are strongly
associated with viral views of posts. CONCLUSIONS: Social media outreach may be
more successful if organizations invite emotional dialogue instead of simply
conveying information about ASDs. Yet exchanges of angry language may contribute
to the viral spread of misinformation, such as the rumor that vaccines cause
ASDs.
PMID- 27196642
TI - Evaluation of American Indian Health Service Training in Pain Management and
Opioid Substance Use Disorder.
AB - We examined the benefits of a collaboration between the Indian Health Service and
an academic medical center to address the high rates of unintentional drug
overdose in American Indians/Alaska Natives. In January 2015, the Indian Health
Service became the first federal agency to mandate training in pain and opioid
substance use disorder for all prescribing clinicians. More than 1300 Indian
Health Service clinicians were trained in 7 possible 5-hour courses specific to
pain and addiction. We noted positive changes in pre- and postcourse knowledge,
self-efficacy, and attitudes as well as thematic responses showing the trainings
to be comprehensive, interactive, and convenient.
PMID- 27196643
TI - Firearm Ownership and Suicide Rates Among US Men and Women, 1981-2013.
AB - OBJECTIVES: To examine the relationship between state-level firearm ownership
rates and gender-specific, age-adjusted firearm and total suicide rates across
all 50 US states from 1981 to 2013. METHODS: We used panel data for all 50 states
that included annual overall and gender-specific suicide and firearm suicide
rates and a proxy for state-level household firearm ownership. We analyzed data
by using linear regression and generalized estimating equations to account for
clustering. RESULTS: State-level firearm ownership was associated with an
increase in both male and female firearm-related suicide rates and with a
decrease in nonfirearm-related suicide rates. Higher gun ownership was associated
with higher suicide rates by any means among male, but not among female, persons.
CONCLUSIONS: We found a strong relationship between state-level firearm ownership
and firearm suicide rates among both genders, and a relationship between firearm
ownership and suicides by any means among male, but not female, individuals.
POLICY IMPLICATIONS: For male persons, policies that reduce firearm ownership
will likely reduce suicides by all means and by firearms. For female persons,
such policies will likely reduce suicides by firearms.
PMID- 27196644
TI - Impact of Food Assistance Programs on Obesity in Mothers and Children: A
Prospective Cohort Study in Peru.
AB - OBJECTIVES: To assess obesity risk among mothers participating in Community
Kitchens and children participating in Glass of Milk (Peru food assistance
programs). METHODS: We analyzed prospective data from the Young Lives study. The
exposure consisted in varying degrees of benefit from any of the programs (no
participation in any of the programs, program participation for some months, or
program participation nearly every month) at baseline (2006-2007). The outcome
was overweight and obesity in mothers and children at follow-up (2009-2010).
RESULTS: Prevalence of childhood overweight and obesity was 15.5% and 5.1%,
respectively; the corresponding figures for mothers were 40.5% and 14.6%.
Children exposed nearly every month to the Glass of Milk program had a 65% lower
risk of becoming obese compared with children not participating in the program
(relative risk [RR] = 0.35; 95% confidence interval [CI] = 0.18, 0.66). Mothers
participating frequently in the Community Kitchens program had almost twice the
risk of becoming obese compared with those who did not participate (RR = 1.93;
95% CI = 1.18, 3.15). CONCLUSIONS: Participating in food assistance programs in
Peru was associated with a lower risk of obesity in children and greater risk of
obesity in mothers.
PMID- 27196646
TI - Access and Quality of Care by Insurance Type for Low-Income Adults Before the
Affordable Care Act.
AB - OBJECTIVES: To compare access to care and perceived health care quality by
insurance type among low-income adults in 3 southern US states, before Medicaid
expansion under the Affordable Care Act. METHODS: We conducted a telephone survey
in 2013 of 2765 low-income US citizens, aged 19 to 64 years, in Arkansas,
Kentucky, and Texas. We compared 11 measures of access and quality of care for
respondents with Medicaid, private insurance, Medicare, and no insurance with
adjustment for sociodemographics and health status. RESULTS: Low-income adults
with Medicaid, private insurance, and Medicare reported significantly better
health care access and quality than uninsured individuals. Medicaid beneficiaries
reported greater difficulty accessing specialists but less risk of high out-of
pocket spending than those with private insurance. For other outcomes, Medicaid
and private coverage performed similarly. CONCLUSIONS: Low-income adults with
insurance report significantly greater access and quality of care than uninsured
adults, regardless of whether they have private or public insurance. Access to
specialty care in Medicaid may require policy attention. PUBLIC HEALTH
IMPLICATIONS: Many states are still considering whether to expand Medicaid under
the Affordable Care Act and whether to pursue alternative models for coverage
expansion. Our results suggest that access to quality health care will improve
under the Affordable Care Act's coverage expansions, regardless of the type of
coverage.
PMID- 27196645
TI - Peer Support for the Hardly Reached: A Systematic Review.
AB - BACKGROUND: Health disparities are aggravated when prevention and care
initiatives fail to reach those they are intended to help. Groups can be
classified as hardly reached according to a variety of circumstances that fall
into 3 domains: individual (e.g., psychological factors), demographic (e.g.,
socioeconomic status), and cultural-environmental (e.g., social network). Several
reports have indicated that peer support is an effective means of reaching hardly
reached individuals. However, no review has explored peer support effectiveness
in relation to the circumstances associated with being hardly reached or across
diverse health problems. OBJECTIVES: To conduct a systematic review assessing the
reach and effectiveness of peer support among hardly reached individuals, as well
as peer support strategies used. SEARCH METHODS: Three systematic searches
conducted in PubMed identified studies that evaluated peer support programs among
hardly reached individuals. In aggregate, the searches covered articles published
from 2000 to 2015. SELECTION CRITERIA: Eligible interventions provided ongoing
support for complex health behaviors, including prioritization of hardly reached
populations, assistance in applying behavior change plans, and social-emotional
support directed toward disease management or quality of life. Studies were
excluded if they addressed temporally isolated behaviors, were limited to
protocol group classes, included peer support as the dependent variable, did not
include statistical tests of significance, or incorporated comparison conditions
that provided appreciable social support. DATA COLLECTION AND ANALYSIS: We
abstracted data regarding the primary health topic, categorizations of hardly
reached groups, program reach, outcomes, and strategies employed. We conducted a
2-sample t test to determine whether reported strategies were related to reach.
RESULTS: Forty-seven studies met our inclusion criteria, and these studies
represented each of the 3 domains of circumstances assessed (individual,
demographic, and cultural-environmental). Interventions addressed 8 health areas,
most commonly maternal and child health (25.5%), diabetes (17.0%), and other
chronic diseases (14.9%). Thirty-six studies (76.6%) assessed program reach,
which ranged from 24% to 79% of the study population. Forty-four studies (94%)
reported significant changes favoring peer support. Eleven strategies emerged for
engaging and retaining hardly reached individuals. Among them, programs that
reported a strategy of trust and respect had higher participant retention (82.8%)
than did programs not reporting such a strategy (48.1%; P = .003). In 5 of the 6
studies examining moderators of the effects of peer support, peer support
benefits were greater among individuals characterized by disadvantage (e.g., low
health literacy). CONCLUSIONS: Peer support is a broad and robust strategy for
reaching groups that health services too often fail to engage. The wide range of
audiences and health concerns among which peer support is successful suggests
that a basis for its success may be its flexible response to different contexts,
including the intended audience, health problems, and setting. PUBLIC HEALTH
IMPLICATIONS: The general benefits of peer support and findings suggesting that
it may be more effective among those at heightened disadvantage indicate that
peer support should be considered in programs intended to reach and benefit those
too often hardly reached. Because engendering trust and respect was significantly
associated with participant retention, programs should emphasize this strategy.
PMID- 27196648
TI - Calorie Underestimation When Buying High-Calorie Beverages in Fast-Food Contexts.
AB - We asked 1877 adults and 1178 adolescents visiting 89 fast-food restaurants in
New England in 2010 and 2011 to estimate calories purchased. Calorie
underestimation was greater among those purchasing a high-calorie beverage than
among those who did not (adults: 324 +/-698 vs 102 +/-591 calories; adolescents:
360 +/-602 vs 198 +/-509 calories). This difference remained significant for
adults but not adolescents after adjusting for total calories purchased.
Purchasing high-calorie beverages may uniquely contribute to calorie
underestimation among adults.
PMID- 27196647
TI - Family-Focused Preventive Interventions With Cancer Cosurvivors: A Call to
Action.
AB - Health promotion and preventive action in the context of public health
interventions for highly prevalent, long-term conditions such as cancer are
rarely geared toward the family as a whole. Yet family members, as cancer
cosurvivors, must manage their own substantial stress and multiple caregiving
responsibilities and often constitute a critical nexus between individual
patients and clinicians. We drew on 2 examples of cancer cosurvivorship from 2
different health service contexts, the United States and Finland. A systemic
approach in public health is needed to support family members who not only have
to confront the meaning of long-term conditions such as cancer but also may have
to manage concurrent social life challenges and stressors such as economic
hardship.
PMID- 27196649
TI - Challenges in Identifying Refugees in National Health Data Sets.
AB - OBJECTIVES: To evaluate publicly available data sets to determine their utility
for studying refugee health. METHODS: We searched for keywords describing
refugees in data sets within the Society of General Internal Medicine Dataset
Compendium and the Inter-University Consortium for Political and Social Research
database. We included in our analysis US-based data sets with publicly available
documentation and a self-defined, health-related focus that allowed for an
examination of patient-level factors. RESULTS: Of the 68 data sets that met the
study criteria, 37 (54%) registered keyword matches related to refugees, but only
2 uniquely identified refugees. CONCLUSIONS: Few health data sets identify
refugee status among participants, presenting barriers to understanding refugees'
health and health care needs. PUBLIC HEALTH IMPLICATIONS: Information about
refugee status in national health surveys should include expanded demographic
questions and focus on mental health and chronic disease.
PMID- 27196650
TI - Population Survey Features and Response Rates: A Randomized Experiment.
AB - OBJECTIVES: To study the effects of several survey features on response rates in
a general population health survey. METHODS: In 2012 and 2013, 8000 households in
British Columbia, Canada, were randomly allocated to 1 of 7 survey variants, each
containing a different combination of survey features. Features compared included
administration modes (paper vs online), prepaid incentive ($2 coin vs none),
lottery incentive (instant vs end-of-study), questionnaire length (10 minutes vs
30 minutes), and sampling frame (InfoCanada vs Canada Post). RESULTS: The overall
response rate across the 7 groups was 27.9% (range = 17.1-43.4). All survey
features except the sampling frame were associated with statistically significant
differences in response rates. The survey mode elicited the largest effect on the
odds of response (odds ratio [OR] = 2.04; 95% confidence interval [CI] = 1.61,
2.59), whereas the sampling frame showed the least effect (OR = 1.14; 95% CI =
0.98, 1.34). The highest response was achieved by mailing a short paper survey
with a prepaid incentive. CONCLUSIONS: In a mailed general population health
survey in Canada, a 40% to 50% response rate can be expected. Questionnaire
administration mode, survey length, and type of incentive affect response rates.
PMID- 27196651
TI - Academic Dysfunction After a Concussion Among US High School and College
Students.
AB - OBJECTIVES: To determine whether concussed students experience greater academic
dysfunction than students who sustain other injuries. METHODS: We conducted a
prospective cohort study from September 2013 through January 2015 involving high
school and college students who visited 3 emergency departments in the Rochester,
New York, area. Using telephone surveys, we compared self-reported academic
dysfunction between 70 students with concussions and a comparison group of 108
students with extremity injuries at 1 week and 1 month after injury. RESULTS: At
1 week after injury, academic dysfunction scores were approximately 16 points
higher (b = 16.20; 95% confidence interval = 6.39, 26.00) on a 174-point scale in
the concussed group than in the extremity injury group. Although there were no
differences overall at 1-month after injury, female students in the concussion
group and those with a history of 2 or more prior concussions were more likely to
report academic dysfunction. CONCLUSIONS: Our results showed academic dysfunction
among concussed students, especially female students and those with multiple
prior concussions, 1 week after their injury. Such effects appeared to largely
resolve after 1 month. Our findings support the need for academic adjustments for
concussed students.
PMID- 27196652
TI - Quantitative Bias Analysis in Regulatory Settings.
AB - Nonrandomized studies are essential in the postmarket activities of the US Food
and Drug Administration, which, however, must often act on the basis of imperfect
data. Systematic errors can lead to inaccurate inferences, so it is critical to
develop analytic methods that quantify uncertainty and bias and ensure that these
methods are implemented when needed. "Quantitative bias analysis" is an
overarching term for methods that estimate quantitatively the direction,
magnitude, and uncertainty associated with systematic errors influencing measures
of associations. The Food and Drug Administration sponsored a collaborative
project to develop tools to better quantify the uncertainties associated with
postmarket surveillance studies used in regulatory decision making. We have
described the rationale, progress, and future directions of this project.
PMID- 27196653
TI - Effect of the Affordable Care Act on Racial and Ethnic Disparities in Health
Insurance Coverage.
AB - OBJECTIVES: To document how health insurance coverage changed for White, Black,
and Hispanic adults after the Affordable Care Act (ACA) went into effect.
METHODS: We used data from the American Community Survey from 2008 to 2014 to
examine changes in the percentage of nonelderly adults who were uninsured,
covered by Medicaid, or covered by private health insurance. In addition to
presenting overall trends by race/ethnicity, we stratified the analysis by income
group and state Medicaid expansion status. RESULTS: In 2013, 40.5% of Hispanics
and 25.8% of Blacks were uninsured, compared with 14.8% of Whites. We found a
larger gap in private insurance, which was partially offset by higher rates of
public coverage among Blacks and Hispanics. After the main ACA provisions went
into effect in 2014, coverage disparities declined slightly as the percentage of
adults who were uninsured decreased by 7.1 percentage points for Hispanics, 5.1
percentage points for Blacks, and 3 percentage points for Whites. Coverage gains
were greater in states that expanded Medicaid programs. CONCLUSIONS: The ACA has
reduced racial/ethnic disparities in coverage, although substantial disparities
remain. Further increases in coverage will require Medicaid expansion by more
states and improved program take-up in states that have already done so.
PMID- 27196654
TI - Pediatric Care Provider Density and Personal Belief Exemptions From Vaccine
Requirements in California Kindergartens.
AB - OBJECTIVES: To understand contextual associations between medical care providers
pediatricians, family medical practitioners, and alternative medicine
practitioners-and personal belief exemptions (PBEs) from mandated school entry
vaccinations. METHODS: Data on kindergarten PBEs from the California Department
of Public Health were analyzed for 2010, 2011, and 2012, with each school sorted
into Primary Care Service Areas (PCSAs). Provider data from federal sources and
state records of alternative medicine providers, alongside controls for school
factors, were used to estimate panel models. RESULTS: Each 10% increase in the
relative proportion of pediatricians in a given PCSA was associated with a
statistically significant 11% decrease in PBE prevalence. The same increase in
the proportion of family medical practitioners was associated with a 3.5%
relative increase. Access to alternative medicine practitioners was also
associated with a significantly higher PBE prevalence. CONCLUSIONS: Medical
provider contexts are associated with PBEs, reflecting a combination of
contextual effects and self-selection of families into schools and PCSAs that
share their preferences. The geographic distribution of child primary care
services may be a key factor in a school's health risk associated with lack of
immunization or underimmunization.
PMID- 27196655
TI - Health Care Visits as a Risk Factor for Tuberculosis in Taiwan: A Population
Based Case-Control Study.
AB - OBJECTIVES: To assess whether health care visits of nontuberculous patients are a
risk factor for contracting tuberculosis. METHODS: We conducted a case-control
study nested within the cohort of 1 million individuals from the health insurance
database in Taiwan between 2003 and 2010. We identified incident cases of
tuberculosis through International Classification of Diseases, Ninth Revision
(ICD-9) codes and prescription of antituberculosis drugs. We identified 4202 case
participants and 16 808 control participants matched by age, gender, and date of
diagnosis to estimate the association between frequency of health care visits and
incidence of tuberculosis. RESULTS: Frequency of health care visits was
associated with increased risk of tuberculosis in a dose-dependent manner after
adjustment for other medical comorbidities (P for trend < .001). Compared with
individuals with fewer than 5 visits per year, those with more than 30 had a 77%
increase in tuberculosis risk (adjusted odds ratio = 1.77; 95% confidence
interval [CI] = 1.60, 1.97). CONCLUSIONS: Frequent health care visits of
nontuberculous patients appear to be a risk factor for contracting tuberculosis.
PUBLIC HEALTH IMPLICATIONS: Efforts should focus on educating the general
population to avoid unnecessary hospital visits, strengthening active case
finding, and intensifying infection control in all health care settings.
PMID- 27196656
TI - Hepatitis C Screening of the "Birth Cohort" (Born 1945-1965) and Younger Inmates
of New York City Jails.
AB - OBJECTIVES: To examine uptake of screening for all individuals born between 1945
and 1965 (referred to by the Centers for Disease Control and Prevention as the
"birth cohort") and outline preliminary HCV prevalence data in the New York City
jail system. METHODS: Data were extracted from electronic health records for all
individuals screened for HCV between June 13, 2013, and June 13, 2014, in New
York City jails. We used the Abbott EIA 2.0 HCV antibody assay for testing.
RESULTS: In the year of study, 56 590 individuals were incarcerated; 15.1% were
born between 1945 and 1965, and 84.6% were born after 1965. HCV screening was
completed for 64.1% of the birth cohort and for 11.1% born after 1965, with 55.1%
and 43.8% of cases found in these groups, respectively. The overall
seropositivity rate was 20.6%. CONCLUSIONS: Birth cohort screening in a large
jail system identified many HCV cases, but HCV infection was common among younger
age groups. PUBLIC HEALTH IMPLICATIONS: Universal screening may be warranted
pending further study including cost-effectiveness analyses.
PMID- 27196657
TI - Young People's More Permissive Views About Marijuana: Local Impact of State Laws
or National Trend?
AB - OBJECTIVES: To determine whether state medical marijuana laws "send the wrong
message," that is, have a local influence on the views of young people about the
risks of using marijuana. METHODS: We performed multilevel, serial, cross
sectional analyses on 10 annual waves of the US National Survey on Drug Use and
Health (2004-2013) nationally and for states with marijuana laws using individual
and state-level controls. RESULTS: Living in medical marijuana states was
associated with more permissive views regarding marijuana across 5 different
measures. However, these associations became non-statistically significant after
we adjusted for state-level differences. By contrast, there was a consistent and
significant national time trend toward more permissive attitudes, which was less
pronounced among children of middle school age than it was among their older
counterparts. CONCLUSIONS: Passing medical marijuana laws does not seem to
directly affect the views of young people in medical marijuana states. However,
there is a national trend toward young people taking more permissive views about
marijuana independent of any effects within states.
PMID- 27196658
TI - Minimum Ages of Legal Access for Tobacco in the United States From 1863 to 2015.
AB - In the United States, state laws establish a minimum age of legal access (MLA)
for most tobacco products at 18 years. We reviewed the history of these laws with
internal tobacco industry documents and newspaper archives from 1860 to 2014. The
laws appeared in the 1880s; by 1920, half of states had set MLAs of at least 21
years. After 1920, tobacco industry lobbying eroded them to between 16 and 18
years. By the 1980s, the tobacco industry viewed restoration of higher MLAs as a
critical business threat. The industry's political advocacy reflects its
assessment that recruiting youth smokers is critical to its survival. The
increasing evidence on tobacco addiction suggests that restoring MLAs to 21 years
would reduce smoking initiation and prevalence, particularly among those younger
than 18 years.
PMID- 27196660
TI - Ten Things I Wish Someone Had Told Me When I Became a Health Officer.
AB - Public health, like politics, is the art of the possible. To maximize
effectiveness, public health officers in any jurisdiction should (1) get good
data and ensure timely and effective dissemination; (2) prioritize and tackle
more difficult initiatives first; (3) find, fight, and win winnable battles in
areas where progress is possible but not ensured without focused, strategic
effort; (4) support and hire great people and protect them so they can do their
jobs; (5) address communicable diseases and environmental health effectively; (6)
do not cede the clinical realm-public health programs depend on clinical care and
on effective coordination between health care and public health; (7) learn and
manage the budget cycle; (8) manage the context; (9) never surprise their boss;
and (10) follow core principles.
PMID- 27196661
TI - Mobilizing Local Authorities Around Public Health Priorities.
AB - Large Analysis and Review of European Housing and Health Status (LARES) was
conducted in Europe in 2002 to 2003 to study the relationship between citizens'
health and built environments. One of its objectives was to put public health
priorities on the agenda of local decision-makers to implement solutions for the
community. We adapted the LARES protocol as a pilot project in a small French
Canadian town in Quebec Province in 2012. The distinguishing feature of this
project was the collaborative approach taken with local actors, especially the
municipality, which was committed a priori to using survey data from an urban
planning perspective. The project produced interesting results that were used to
motivate actions concerning people living in bad sanitary conditions; to draft
the urban plan including the development of parks, green spaces, and bicycle
paths; and to allow the municipality to meet eligibility criteria for access to
renovation programs. If a partnership with the local actors and their commitment
to promote and realize the project were obtained at the beginning, then the
survey could be replicated in other communities.
PMID- 27196659
TI - Suicide Rates in Aboriginal Communities in Labrador, Canada.
AB - OBJECTIVES: To compare suicide rates in Aboriginal communities in Labrador,
including Innu, Inuit, and Southern Inuit, with the general population of
Newfoundland, Canada. METHODS: In partnership with Aboriginal governments, we
conducted a population-based study to understand patterns of suicide mortality in
Labrador. We analyzed suicide mortality data from 1993 to 2009 from the Vital
Statistics Death Database. We combined this with community-based methods,
including consultations with Elders, youths, mental health and community workers,
primary care clinicians, and government decision-makers. RESULTS: The suicide
rate was higher in Labrador than in Newfoundland. This trend persisted across all
age groups; however, the disparity was greatest among those aged 10 to 19 years.
Males accounted for the majority of deaths, although suicide rates were elevated
among females in the Inuit communities. When comparing Aboriginal subregions, the
Innu and Inuit communities had the highest age-standardized mortality rates of,
respectively, 165.6 and 114.0 suicides per 100 000 person-years. CONCLUSIONS:
Suicide disproportionately affects Innu and Inuit populations in Labrador.
Suicide rates were high among male youths and Inuit females.
PMID- 27196662
TI - From Design to Dissemination: Implementing Community-Based Participatory Research
in Postdisaster Communities.
AB - OBJECTIVES: To review how disasters introduce unique challenges to conducting
population-based research and community-based participatory research (CBPR).
METHODS: From 2007-2009, we conducted the Head-off Environmental Asthma in
Louisiana (HEAL) Study in the aftermath of Hurricane Katrina in a Gulf Coast
community facing an unprecedented triple burden: Katrina's and other disasters'
impact on the environment and health, historic health disparities, and persistent
environmental health threats. RESULTS: The unique triple burden influenced every
research component; still, most existing CBPR principles were applicable, even
though full adherence was not always feasible and additional tailored principles
govern postdisaster settings. CONCLUSIONS: Even in the most challenging
postdisaster conditions, CBPR can be successfully designed, implemented, and
disseminated while adhering to scientific rigor.
PMID- 27196663
TI - Formative Work and Community Engagement Approaches for Implementing an HIV
Intervention in Botswana Schools.
AB - Providing adolescents with evidence-based sexual risk reduction interventions is
critical to addressing the HIV/AIDS epidemic among adolescents in sub-Saharan
Africa. Project AIM (Adult Identity Mentoring) is an innovative, evidence-based,
youth development intervention that is being evaluated for the first time in
Botswana through a 3-year (2015-2017), 50-school cluster randomized controlled
trial, including testing for herpes simplex virus type 2 as a sexual activity
biomarker. Conducting a trial of this magnitude requires the support and
collaboration of government and community stakeholders. All school staff,
including teachers, must be well informed about the study; dedicated staff placed
at each school can help to improve school and community familiarity with the
study, improve the information flow, and relieve some of the burden study
activities places on schools.
PMID- 27196665
TI - Electromyographic Analysis of the Lower Limb Muscles in Low- and High-Handicap
Golfers.
AB - PURPOSE: The aim of this study was to compare the electromyographic patterns of
the lower limb muscles during a golf swing performed by low- and high-handicap
golfers. METHOD: Ten golfers (5 low- and 5 high-handicap) performed 8 swings
using a 7-iron. Surface electromyography (EMG) was recorded for the following
lower limb muscles on both sides: biceps femoris, semitendinosus, gluteus
maximus, vastus medialis and lateralis, rectus femoris, tibialis anterior,
peroneus longus, and gastrocnemius medialis and lateralis. The golf-swing phases
were determined by 3-dimensional high-speed video analysis. RESULTS: Compared
with the high-handicap golfers, the low-handicap golfers performed the forward
swing with a shorter duration of the swing phases, with the exception of the late
follow-through, where they exhibited longer duration. Considering the EMG
patterns, the low-handicap golfers showed a tendency for the studied muscles to
reach an activation peak earlier and presented statistically significant higher
muscle activity in some of the lower limb muscles, mainly from the left side.
CONCLUSION: Differences between low- and high-handicap golfers were found in the
average duration of swing phases and in the activation level of the lower limbs,
with more evidence on muscles from the left side.
PMID- 27196666
TI - The Evaluation of More Lymph Nodes in Colon Cancer Is Associated with Improved
Survival in Patients of All Ages.
AB - BACKGROUND: Improvement in survival of patients with colon cancer is reduced in
elderly patients compared to younger patients. The aim of this study was to
investigate whether the removal of >= 12 lymph nodes can explain differences in
survival rates between elderly and younger patients diagnosed with colon cancer.
METHODS: In a population-based cohort study, all patients (N = 41,074) diagnosed
with colon cancer stage I to III from 2003 through 2010 from the Netherlands
Cancer Registry were included. Age groups were defined as < 66, 66-75 and > 75
years of age. Main outcome measures were overall and relative survival, the
latter as a proxy for disease specific survival. RESULTS: Over an eight years
time period there was a 41.2% increase in patients with >= 12 lymph nodes
removed, whereas the percentage of patients with the presence of lymph node
metastases remained stable (35.7% to 37.5%). After adjustment for patient and
tumour characteristics and adjuvant chemotherapy, it was found that for patients
in which >= 12 lymph nodes were removed compared to patients with < 12 lymph
nodes removed, there was a statistically significant higher overall survival (<
66: HR: 0.858 (95% CI, 0.789-0.933); 66-75: HR: 0.763 (95% CI, 0.714-0.814); >
75: HR: 0.734 (95% CI, 0.700-0.771)) and relative survival (< 66: RER: 0.783 (95%
CI, 0.708-0.865); 66-75: RER: 0.672 (95% CI, 0.611-0.739); > 75: RER: 0.621 (95%
CI, 0.567-0.681)) in all three age groups. CONCLUSIONS: The removal of >= 12
lymph nodes is associated with an improvement in both overall and relative
survival in all patients. This association was stronger in the elderly patient.
The biology of this association needs further clarification.
PMID- 27196667
TI - Epidemiology of Hospital Admissions with Influenza during the 2013/2014 Northern
Hemisphere Influenza Season: Results from the Global Influenza Hospital
Surveillance Network.
AB - BACKGROUND: The Global Influenza Hospital Surveillance Network was established in
2012 to obtain valid epidemiologic data on hospital admissions with influenza
like illness. Here we describe the epidemiology of admissions with influenza
within the Northern Hemisphere sites during the 2013/2014 influenza season,
identify risk factors for severe outcomes and complications, and assess the
impact of different influenza viruses on clinically relevant outcomes in at-risk
populations. METHODS: Eligible consecutive admissions were screened for inclusion
at 19 hospitals in Russia, Turkey, China, and Spain using a prospective, active
surveillance approach. Patients that fulfilled a common case definition were
enrolled and epidemiological data were collected. Risk factors for
hospitalization with laboratory-confirmed influenza were identified by
multivariable logistic regression. FINDINGS: 5303 of 9507 consecutive admissions
were included in the analysis. Of these, 1086 were influenza positive (534
A(H3N2), 362 A(H1N1), 130 B/Yamagata lineage, 3 B/Victoria lineage, 40 untyped A,
and 18 untyped B). The risk of hospitalization with influenza (adjusted odds
ratio [95% confidence interval]) was elevated for patients with cardiovascular
disease (1.63 [1.33-2.02]), asthma (2.25 [1.67-3.03]), immunosuppression (2.25
[1.23-4.11]), renal disease (2.11 [1.48-3.01]), liver disease (1.94 [1.18-3.19],
autoimmune disease (2.97 [1.58-5.59]), and pregnancy (3.84 [2.48-5.94]). Patients
without comorbidities accounted for 60% of admissions with influenza. The need
for intensive care or in-hospital death was not significantly different between
patients with or without influenza. Influenza vaccination was associated with a
lower risk of confirmed influenza (adjusted odds ratio = 0.61 [0.48-0.77]).
CONCLUSIONS: Influenza infection was detected among hospital admissions with and
without known risk factors. Pregnancy and underlying comorbidity increased the
risk of detecting influenza virus in patients hospitalized with influenza-like
illness. Our results support influenza vaccination as a measure for reducing the
risk of influenza-associated hospital admission.
PMID- 27196669
TI - Body mass index and aromatase inhibitors: a step forward in individualizing
therapy for breast cancer patients?
AB - INTRODUCTION: Progress made in breast cancer management along with treatment
related symptoms has drawn a lot of attention from both scientists and
clinicians. Establishing predictive factors for treatment response facilitate
tailoring of therapy to each individual patient and leads to a reduction in
unnecessary treatments. Body mass index is confirmed to be a risk factor for
breast cancer development as well as for disease recurrence, which additionally
negatively influence the overall survival. Due to the increased level of fatty
tissue in obese and overweight patients, their total level of body aromatase is
elevated. This lead to the hypothesis about a worse response to aromatase
inhibitors in these groups as compared to normal weight patients, due to
incomplete aromatase blockage and thus higher peripheral androgen aromatization.
AREAS COVERED: This review aims to summarize the data from clinical trials
assessing the effect of BMI on response to AI-based therapy in the setting of
breast cancer. Expert commentary: Our conclusion made on the data available to
date does not exclude BMI from the list of potential predictive factors however
further research in this area is warranted.
PMID- 27196668
TI - Overcoming Resistance of Cancer Cells to PARP-1 Inhibitors with Three Different
Drug Combinations.
AB - Inhibitors of poly[ADP-ribose] polymerase 1 (PARPis) show promise for treatment
of cancers which lack capacity for homologous recombination repair (HRR).
However, new therapeutic strategies are required in order to overcome innate and
acquired resistance to these drugs and thus expand the array of cancers that
could benefit from them. We show that human cancer cell lines which respond
poorly to ABT-888 (a PARPi), become sensitive to it when co-treated with
vorinostat (a histone deacetylase inhibitor (HDACi)). Vorinostat also sensitized
PARPis insensitive cancer cell lines to 6-thioguanine (6-TG)-a drug that targets
PARPis sensitive cells. The sensitizing effect of vorinostat was associated with
increased phosphorylation of eukaryotic initiation factor (eIF) 2alpha which in
and of itself increases the sensitivity of cancer cells to ABT-888. Importantly,
these drug combinations did not affect survival of normal fibroblasts and breast
cells, and significantly increased the inhibition of xenograft tumor growth
relative to each drug alone, without affecting the mice weight or their liver and
kidney function. Our results show that combination of vorinostat and ABT-888
could potentially prove useful for treatment of cancer with innate resistance to
PARPis due to active HRR machinery, while the combination of vorinostat and 6-TG
could potentially overcome innate or acquired resistance to PARPis due to
secondary or reversal BRCA mutations, to decreased PARP-1 level or to increased
expression of multiple drug resistant proteins. Importantly, drugs which increase
phosphorylation of eIF2alpha may mimic the sensitizing effect of vorinostat on
cellular response to PARPis or to 6-TG, without activating all of its downstream
effectors.
PMID- 27196671
TI - Inhibition of the formation of benzo[a]pyrene adducts to DNA in A549 lung cells
exposed to mixtures of polycyclic aromatic hydrocarbons.
AB - Polycyclic aromatic hydrocarbons (PAHs) are ubiquitous pollutants, which exhibit
carcinogenic properties especially in lungs. In the present work, we studied the
effect of mixtures of 12 PAHs on the A549 alveolar cells. We first assess the
ability of each PAH at inducing gene expression of phase I metabolization enzymes
and at generating DNA adducts. A good correlation was found between these two
endpoints. We then exposed cells to either binary mixtures of the highly
genotoxic benzo[a]pyrene (B[a]P) with each PAH or complex mixtures of all studied
PAHs mimicking by real emissions including combustion of wood, cigarette smoke,
and atmospheres of garage, silicon factory and urban environments. Compared to
pure B[a]P, both types of mixtures led to reduced CYP450 activity measured by the
EROD test. A similar trend was observed for the formation of DNA adducts.
Surprisingly, the complex mixtures were more potent than B[a]P used at the same
concentration for the induction of genes coding for CYP. Our results stress the
lack of additivity of the genotoxic properties of PAH in mixtures. Interestingly,
an opposite synergy in the formation of B[a]P adducts were observed previously in
hepatocytes. Our data also show that measurement of the metabolic activity rather
than quantification of gene expression reflects the actual bioactivation of PAHs
into DNA damaging species.
PMID- 27196670
TI - Involvement of DkTGA1 Transcription Factor in Anaerobic Response Leading to
Persimmon Fruit Postharvest De-Astringency.
AB - Persimmon fruit are unique in accumulating proanthocyanidins (tannins) during
development, which cause astringency in mature fruit. In 'Mopanshi' persimmon,
astringency can be removed by treatment with 95% CO2, which increases the
concentrations of ethanol and acetaldehyde by glycolysis, and precipitates the
soluble tannin. A TGA transcription factor, DkTGA1, belonging to the bZIP super
family, was isolated from an RNA-seq database and real-time quantitative PCR
indicated that DkTGA1 was up-regulated by CO2 treatment, in concert with the
removal of astringency from persimmon fruit. Dual-luciferase assay revealed that
DkTGA1 had a small (less than 2-fold), but significant effect on the promoters of
de-astringency-related genes DkADH1, DkPDC2 and DkPDC3, which encode enzymes
catalyzing formation of acetaldehyde and ethanol. A combination of DkTGA1 and a
second transcription factor, DkERF9, shown previously to be related to de
astringency, showed additive effects on the activation of the DkPDC2 promoter.
Yeast one-hybrid assay showed that DkERF9, but not DkTGA1, could bind to the
DkPDC2 promoter. Thus, although DkTGA1 expression is positively associated with
persimmon fruit de-astringency, trans-activation analyses with DkPDC2 indicates
it is likely to act by binding indirectly DkPDC2 promoter, might with helps of
DkERF9.
PMID- 27196672
TI - Atrial Conduction Velocity Correlates with Frequency Content of Bipolar Signal.
AB - BACKGROUND: Anisotropy in conduction velocity (CV) is a key substrate abnormality
influencing atrial arrhythmias. In skeletal muscle fibers, CV and frequency
content of the surface electromyogram signal are directly related. We
hypothesized that in human atria the frequency content of the bipolar signal,
recorded on the endocardial surface, is directly related to the local CV.
METHODS: In 15 patients submitted to ablation of supraventricular arrhythmias,
incremental pacing was performed through an octapolar catheter inserted into the
coronary sinus (CS), alternatively from both extremities in two different
sequences: CS bipole 1-2 as the pacing site and CS bipole 7-8 as the detection
site in the first, and vice versa in the second. The pacing cycle length (PCL)
was stepwise decreased from 600 ms to 500 ms, 400 ms, 300 ms, until 250 ms.
Estimation of the CV was performed as the ratio between the distance traveled by
the propagating pulse and the propagation time. The frequency distribution of the
signal energy was estimated using the fast Fourier transform, and the
characteristic frequency (CF) was estimated as the barycenter of the frequency
spectrum. RESULTS: A total of 2,496 bipolar signals were analyzed; CV and CF were
estimated and compared. The single patient and group data analysis showed a
significant direct correlation between CV and CF of the local bipolar signal.
CONCLUSIONS: Comparing the degree of spectral compression among signals
registered in different points of the endocardial cardiac surface in response to
decreasing PCL enables to map local differences in CV, a useful arrhythmogenic
substrate index.
PMID- 27196674
TI - A Non-surgical Intervention for Triangular Fibrocartilage Complex Tears.
AB - BACKGROUND AND PURPOSE: The current literature contains no reports of treatment
options other than surgery following failed conservative management of a
triangular fibrocartilage complex (TFCC) tear. The purpose of this study is to
describe the use of a novel brace as a non-surgical intervention for TFCC tears.
METHODS: This paper is a case study of a subject with a magnetic resonance
imaging-confirmed TFCC tear. As an alternative to surgery, he consented to wear a
novel brace for 12 weeks after conservative management of his injury had failed.
His recovery from injury was monitored with a weight-bearing tolerance test and
the disabilities of the arm, shoulder and hand (DASH) outcome measure. RESULTS:
An increase in weight-bearing tolerance and upper extremity use was evident
immediately after donning the brace. After 12 weeks, the subject demonstrated a
return to normal weight-bearing tolerance and normal DASH outcome measure scores.
These improvements were still evident at a 1-year follow-up appointment.
DISCUSSION: Utilizing this novel brace resulted in functional status improvement
in a subject with a TFCC tear as demonstrated by significant changes in his DASH
outcome measure scores. This case study demonstrates the first non-surgical
alternative treatment for a TFCC tear after conservative management has failed.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27196673
TI - Geno2pheno[HCV] - A Web-based Interpretation System to Support Hepatitis C
Treatment Decisions in the Era of Direct-Acting Antiviral Agents.
AB - The face of hepatitis C virus (HCV) therapy is changing dramatically. Direct
acting antiviral agents (DAAs) specifically targeting HCV proteins have been
developed and entered clinical practice in 2011. However, despite high sustained
viral response (SVR) rates of more than 90%, a fraction of patients do not
eliminate the virus and in these cases treatment failure has been associated with
the selection of drug resistance mutations (RAMs). RAMs may be prevalent prior to
the start of treatment, or can be selected under therapy, and furthermore they
can persist after cessation of treatment. Additionally, certain DAAs have been
approved only for distinct HCV genotypes and may even have subtype specificity.
Thus, sequence analysis before start of therapy is instrumental for managing DAA
based treatment strategies. We have created the interpretation system
geno2pheno[HCV] (g2p[HCV]) to analyse HCV sequence data with respect to viral
subtype and to predict drug resistance. Extensive reviewing and weighting of
literature related to HCV drug resistance was performed to create a comprehensive
list of drug resistance rules for inhibitors of the HCV protease in non
structural protein 3 (NS3-protease: Boceprevir, Paritaprevir, Simeprevir,
Asunaprevir, Grazoprevir and Telaprevir), the NS5A replicase factor (Daclatasvir,
Ledipasvir, Elbasvir and Ombitasvir), and the NS5B RNA-dependent RNA polymerase
(Dasabuvir and Sofosbuvir). Upon submission of up to eight sequences, g2p[HCV]
aligns the input sequences, identifies the genomic region(s), predicts the HCV
geno- and subtypes, and generates for each DAA a drug resistance prediction
report. g2p[HCV] offers easy-to-use and fast subtype and resistance analysis of
HCV sequences, is continuously updated and freely accessible under
http://hcv.geno2pheno.org/index.php. The system was partially validated with
respect to the NS3-protease inhibitors Boceprevir, Telaprevir and Simeprevir by
using data generated with recombinant, phenotypic cell culture assays obtained
from patients' virus variants.
PMID- 27196676
TI - Benign Nerve Sheath Myxoma in an Infant Misdiagnosed as Infantile Digital
Fibromatosis.
AB - Herein we present the case of a 16-month boy, clinically diagnosed with infantile
digital fibromatosis, but 9 months after continued growth, the mass was excised
and the histopathologic diagnosis was that of a benign nerve sheath myxoma. We
present this case to emphasize that nerve sheath myxomas (also known as myxoid
neurothekeoma) should be included in the differential diagnosis of dermal nodules
in infants.
PMID- 27196675
TI - Resistance Analyses of Japanese Hepatitis C-Infected Patients Receiving
Sofosbuvir or Ledipasvir/Sofosbuvir Containing Regimens in Phase 3 Studies.
AB - High rates of sustained virologic response (SVR) has been achieved in Japanese
patients with chronic hepatitis C virus (HCV) genotype (GT)1 and GT2 infection
treated with ledipasvir/sofosbuvir (LDV/SOF) +/-ribavirin (RBV) and SOF+RBV,
respectively. We evaluated the effect of baseline HCV NS5A and NS5B resistance
associated variants (RAVs) on treatment outcome and characterized variants at
virologic failure. Baseline deep sequencing for NS5A and NS5B genes was performed
for all GT1 patients. Deep sequencing of NS5A (GT1 only) and NS5B (GT1 and GT2)
was performed for patients who failed treatment or discontinued early with
detectable HCV RNA (i.e., >25 IU/mL). In patients with HCV GT1 infection, 22.3%
(GT1a: 2/11; GT1b: 74/330) had >=1 baseline NS5A RAV. The most frequent NS5A RAVs
in GT1b were Y93H (17.9%, 59/330) and L31M (2.4%, 8/330). Despite the presence of
NS5A RAVs at baseline, 100% and 97% of patients achieved SVR12, compared with
100% and 99% for those with no NS5A RAVs with LDV/SOF and LDV/SOF+RBV,
respectively. All patients with NS5B RAVs at baseline achieved SVR12. Of the 153
patients with GT2 infection (GT2a 60.1%, GT2b 39.9%), 3.3% (5/153) experienced
viral relapse. No S282T or other NS5B RAVs were detected at baseline or relapse;
no change in susceptibility to SOF or RBV was observed at relapse. In conclusion,
LDV/SOF and SOF+RBV demonstrate a high barrier to resistance in Japanese patients
with HCV GT1 and GT2 infection. The presence of baseline NS5A RAVs did not impact
treatment outcome in GT1 Japanese patients treated with LDV/SOF for 12 weeks.
PMID- 27196677
TI - Legionella pneumophila strain associated with the first evidence of person-to
person transmission of Legionnaires' disease: a unique mosaic genetic backbone.
AB - A first strong evidence of person-to-person transmission of Legionnaires' Disease
(LD) was recently reported. Here, we characterize the genetic backbone of this
case-related Legionella pneumophila strain ("PtVFX/2014"), which also caused a
large outbreak of LD. PtVFX/2014 is phylogenetically divergent from the most
worldwide studied outbreak-associated L. pneumophila subspecies pneumophila
serogroup 1 strains. In fact, this strain is also from serogroup 1, but belongs
to the L. pneumophila subspecies fraseri. Its genomic mosaic backbone reveals
eight horizontally transferred regions encompassing genes, for instance, involved
in lipopolysaccharide biosynthesis or encoding virulence-associated Dot/Icm type
IVB secretion system (T4BSS) substrates. PtVFX/2014 also inherited a rare ~65 kb
pathogenicity island carrying virulence factors and detoxifying enzymes believed
to contribute to the emergence of best-fitted strains in water reservoirs and in
human macrophages, as well as a inter-species transferred (from L. oakridgensis)
~37.5 kb genomic island (harboring a lvh/lvr T4ASS cluster) that had never been
found intact within L. pneumophila species. PtVFX/2014 encodes another lvh/lvr
cluster near to CRISPR-associated genes, which may boost L. pneumophila
transition from an environmental bacterium to a human pathogen. Overall, this
unique genomic make-up may impact PtVFX/2014 ability to adapt to diverse
environments, and, ultimately, to be transmitted and cause human disease.
PMID- 27196678
TI - The Effect of Physician and Hospital Market Structure on Medical Technology
Diffusion.
AB - OBJECTIVE: To examine the influence of physician and hospital market structures
on medical technology diffusion, studying the diffusion of drug-eluting stents
(DESs), which became available in April 2003. DATA SOURCES/STUDY SETTING:
Medicare claims linked to physician demographic data from the American Medical
Association and to hospital characteristics from the American Hospital
Association Survey. STUDY DESIGN: Retrospective claims data analyses. DATA
COLLECTION/EXTRACTION METHODS: All fee-for-service Medicare beneficiaries who
received a percutaneous coronary intervention (PCI) with a cardiac stent in 2003
or 2004. Each PCI record was joined to characteristics on the patient, the
procedure, the cardiologist, and the hospital where the PCI was delivered. We
accounted for the endogeneity of physician and hospital market structure using
exogenous variation in the distances between patient, physician, and hospital
locations. We estimated multivariate linear probability models that related the
use of a DES in the PCI on market structure while controlling for patient,
physician, and hospital characteristics. PRINCIPAL FINDINGS: DESs diffused faster
in markets where cardiology practices faced more competition. Conversely, we
found no evidence that the structure of the hospital market mattered.
CONCLUSIONS: Competitive pressure to maintain or expand PCI volume shares
compelled cardiologists to adopt DESs more quickly.
PMID- 27196679
TI - Hepatocellular carcinoma in noncirrhotic livers is associated with steatosis
rather than steatohepatitis: potential implications for pathogenesis.
AB - OBJECTIVE: The risk of hepatocellular carcinoma (HCC) is increased in patients
with metabolic syndrome (MS), possibly related to nonalcoholic fatty liver
disease (NAFLD). As histological features of NAFLD may regress in cirrhosis, we
compared steatosis versus steatohepatitis in the nontumoral liver of noncirrhotic
HCC patients. PATIENTS AND METHODS: A retrospective clinicopathological analysis
was carried out in 91 noncirrhotic HCC patients. Patients were divided into three
subgroups: that is, patients with: (1) MS without other risk factors for
underlying liver disease, (2) no underlying risk factors, or (3) other risk
factors (with or without MS). The NAFLD activity score (NAS) less than 3 was
classified as no steatohepatitis, NAS 3-4 as borderline steatohepatitis, and NAS
5 or more as definite steatohepatitis. RESULTS: Eleven (12%) patients had MS
without other risk factors (group 1). In the nontumoral liver, significant
steatosis (>=5% of hepatocytes) was generally present (in 10/11 patients), with
mild lobular inflammation and absence of ballooning in most cases. Absence of
steatohepatitis, borderline steatohepatitis, and definite steatohepatitis were
found in 55, 45, and 0% of cases, respectively. In groups 2 and 3, significant
steatosis was frequently present (in 16/37 and 21/43 patients, respectively).
Absence of steatohepatitis, borderline steatohepatitis, and definite
steatohepatitis were found in 84, 16, and 0% of cases (group 2), respectively, in
77, 23, and 0% of cases (group 3). CONCLUSION: In noncirrhotic HCC patients,
histological steatosis was frequently present, whereas overt steatohepatitis did
not occur. These findings may be relevant for HCC pathogenesis in NAFLD.
PMID- 27196684
TI - Cadmium accumulation and tolerance of Lagerstroemia indica and Lagerstroemia
fauriei (Lythraceae) seedlings for phytoremediation applications.
AB - Contamination by heavy metals is one of the most serious environmental problems
generated from human activities. Because phytoremediation utilizes plants to
uptake contaminants, it could potentially be used to remediate metal-contaminated
areas. A pot culture experiment with four levels of cadmium (Cd) (0, 20, 40, and
80 mg of Cd/kg dry soil) was conducted to investigate Cd accumulation and
tolerance of roots, shoots, and leaves of Lagerstroemia indica and Lagerstroemia
fauriei as well as their potential for phytoremediation. Experimental results
indicated that Cd inhibited seedling growth only at the higher Cd exposure
concentration (40 and 80 mg/kg). The tolerance index revealed that on average L.
indica is more tolerant of Cd than L. fauriei. Moreover, plants in the experiment
accumulated Cd differentially. In comparisons between L. indica and L. fauriei,
the leaves of the former had higher concentrations of Cd, while the roots of
latter had higher concentrations of Cd. Furthermore, the roots, shoots, and
leaves had very high bioaccumulation factors that markedly exceeded 1.0
(exceptional only in shoots of 80 mg/kg for L. fauriei), indicating that the
seedlings extracted Cd from the soil. The leaves' translocation factor of L.
indica was greater than 1.0, being significantly higher than that of L. fauriei.
Chlorophyll a, Chlorophyll b and total declined in both species significantly as
Cd concentrations exceeded 40 mg/kg in the soil. In contrast, lipid peroxidation
and proline content was found to increase with increasing Cd concentration. From
the assessments of biomass production, Cd tolerance and uptake L. indica and L.
fauriei could stand as excellent species for remediating Cd-contaminated soils.
PMID- 27196685
TI - Interactions of Factors and Profiles of Incontinent Nursing Home Residents and
Hospital Patients: A Classification Tree Analysis.
AB - PURPOSE: To investigate the interactions among well-known influencing factors for
urinary incontinence (UI), fecal incontinence (FI), and double urinary and fecal
incontinence (DI) in the nursing home and hospital setting and to identify
profiles of UI, FI, and DI residents and patients. SUBJECTS AND SETTING: Data
from more than 4200 residents and patients from 16 nursing homes and 36 hospitals
were collected. DESIGN: This was a cross-sectional study. METHODS: A cross
sectional study was used for data collection. The Austrian version of the
International Prevalence Measurement of Care Problems survey was used to collect
data about different nursing care problems (eg, pressure ulcer and incontinence).
To improve objectivity, 2 nurses assessed each resident/patient. The Care
Dependency Scale (CDS) was used to measure the degree of care dependency
regarding different needs such as mobility, with lower scores indicating a higher
level of care dependency. A classification and regression tree analysis was used
to determine the interactions among factors and develop profiles of incontinent
residents and patients. RESULTS: Interactions between the CDS-items of states of
Dress/Undress, Hygiene, Mobility, and Eat/Drink and age based on incontinence
were found in nursing home residents. In contrast, interactions between the CDS
items Hygiene and Eat/Drink, as well as age and gender based on incontinence,
were identified in hospitalized patients. Residents with UI were care dependent
with reference to the CDS-item Dress/Undress. Patients with UI were older than
77.5 years and completely, or to a great extent, care dependent with reference to
the CDS-item Hygiene. Nursing home residents with DI were completely, or to a
great extent, care dependent with regard to the CDS-item Hygiene and completely
care dependent with reference to the CDS-item Dress/Undress. In comparison,
hospitalized DI patients were completely, or to a great extent, care dependent
with regard to the CDS-item Hygiene. CONCLUSIONS: The results of this study show
that independently associated factors for incontinence also influence each other.
Furthermore, these interactions increase the prevalence for incontinence and
differ with regard to the type of incontinence and setting.
PMID- 27196686
TI - Nocturnal Enuresis in Older People: Where Is the Evidence and What Are the Gaps?
AB - While there is extensive literature regarding nocturnal enuresis in children and
young adults, relatively little research explores this problem in older people.
This scoping review sought to identify knowledge gaps and provide research
direction specifically for older, institutionalized adults with nocturnal
enuresis. A comprehensive search of 8 electronic databases and the gray
literature was undertaken. Studies focusing on the causes, symptoms, and
treatment of nocturnal enuresis in older people were retrieved. A broad search
strategy including all adults was employed in order to capture all relevant
publications. Articles were then excluded by title and abstract such that only
those relevant to the older adult and institutionalized populations remained.
Relevant articles were identified by title and language. Further reading of the
abstract allowed inclusion and a final full reading of the articles allowed all
authors to map research activity and identify knowledge gaps. After duplicates
and nonrelevant articles were eliminated, we identified 7 articles on nursing
home residents and 2 involving older people living in psychiatric institutions.
Published literature focused on causes and treatment with either desmopressin or
aversive behavioral therapy. No study included a comprehensive continence
assessment or controlled for comorbid conditions. Identified gray literature
focused on general continence information for the public and nonspecialist
clinicians. We conclude that there is a dearth of evidence relevant to this
troublesome condition. Gaps in the evidence base include a lack of standardized
terminology and limited research focusing on the epidemiology, pathophysiology,
and treatment of nocturnal enuresis, all of which suggest a rich research agenda
for future investigation.
PMID- 27196687
TI - Quality of Life After Ostomy Surgery in Muslim Patients: A Systematic Review of
the Literature and Suggestions for Clinical Practice.
AB - PURPOSE: To determine factors that influence health-related quality of life
(HRQOL) after ostomy surgery in Muslim patients. METHODS: A systematic literature
review of published data was carried out using MeSH terms ("Muslim" OR "Islam")
AND ("stoma" OR "ostomy" OR "colostomy" OR "ileostomy") AND "quality of life" AND
"outcomes." RESULTS: Twelve studies enrolling 913 subjects were deemed suitable
for inclusion in the review. HRQOL was found to be particularly impaired in
Muslims; this impairment went beyond that experienced by non-Muslim patients.
Factors associated with this difference included psychological factors, social
isolation, underreporting of complications, and sexual dysfunction leading to
breakdown of marital relations as well as diminished religious practices.
CONCLUSION: Muslims requiring ostomies should receive preoperative counseling by
surgeons and ostomy nurses. These discussions should also include faith leaders
and/or hospital chaplains. Ongoing support after surgery can be extended into the
community and encompass family doctors and faith leaders. Additional research
exploring HRQOL after surgery in Muslims living in Western societies is
indicated.
PMID- 27196688
TI - The Effect of Colostomy and Ileostomy on Acts of Worship in the Islamic Faith.
AB - PURPOSE: The aim of this study was to determine the impact of colostomy and
ileostomy on Muslim patients' acts of worship. DESIGN: This was a cross
sectional, descriptive study. SUBJECTS AND SETTING: The research setting was a
stoma therapy unit of a 500-bed capacity training and research hospital in
Ankara, Turkey. The study sample comprised 150 patients with colostomies (40.7%)
or ileostomies (59.3%); their mean age was 51.6 +/- 12.9 (mean +/- standard
deviation), more than half (60.7%) were men, and 84.7% were married. METHODS:
Participants were queried about specific religious practices following ostomy
surgery including those related to salat, fasting, and pilgrimage. Data were
collected using forms specifically designed for this study; respondents were
interviewed either face-to-face or via telephone. Descriptive statistics were
used to characterize the influence of a fecal ostomy on specific religious
activities. RESULTS: Participants reported decreasing the frequency of daily and
Friday prayers (25.2% and 22.7%, respectively) or stopped practicing these
activities all together (12.0% and 14.0%, respectively). Respondents tended to
increase the frequency of acts of absolution while reducing acts of fasting.
Perceptions of cleanliness, central to performance of salat within the Islamic
faith, emerged as a central concern. CONCLUSIONS: Ostomy surgery influences
multiple religious acts practiced by Muslims. Awareness of the potential impact
of a fecal ostomy on religious acts within the Islamic faith, combined with
specialized education about spiritual practices delivered by the WOC nurse or a
knowledgeable resource person, is strongly recommended for all persons following
ostomy surgery.
PMID- 27196689
TI - Investigating the accuracy of a novel telehealth diagnostic approach for autism
spectrum disorder.
AB - Research indicates that a substantial amount of time elapses between parents'
first concerns about their child's development and a formal diagnosis of autism
spectrum disorder (ASD). Telehealth presents an opportunity to expedite the
diagnostic process. This project compared a novel telehealth diagnostic approach
that utilizes clinically guided in-home video recordings to the gold standard in
person diagnostic assessment. Participants included 40 families seeking an ASD
evaluation for their child and 11 families of typically developing children.
Children were between the ages of 18 months and 6 years 11 months; mean adaptive
behavior composite = 75.47 (SD = 15.94). All parent participants spoke English
fluently. Families completed the Naturalistic Observation Diagnostic Assessment
(NODA) for ASD, which was compared to an in-person assessment (IPA). Agreement
between the 2 methods, as well as sensitivity, specificity, and interrater
reliability, were calculated for the full sample and the subsample of families
seeking an ASD evaluation. Diagnostic agreement between NODA and the IPA was
88.2% (kappa = 0.75) in the full sample and 85% (kappa = 0.58) in the subsample.
Sensitivity was 84.9% in both, whereas specificity was 94.4% in the full sample
and 85.7% in the subsample. Kappa coefficients for interrater reliability
indicated 85% to 90% accuracy between raters. NODA utilizes telehealth technology
for families to share information with professionals and provides a method to
inform clinical judgment for a diagnosis of ASD. Due to the high level of
agreement with the IPA in this sample, NODA has potential to improve the
efficiency of the diagnostic process for ASD. (PsycINFO Database Record
PMID- 27196691
TI - Hybrid two-dimensional materials in rechargeable battery applications and their
microscopic mechanisms.
AB - Integration of two-dimensional (2D) nanomaterials and their composites into
energy storage devices, especially rechargeable batteries, offers opportunities
to timely tackle the challenges of ever growing clean and sustainable energy
demands. Therefore, it is crucial to design hybrid 2D electrode materials for
high performance rechargeable batteries and to fundamentally understand their
storage mechanisms at the atomic or nanoscopic levels. This review firstly
describes some of the exciting progress achieved in the economic production of
graphenes, 2D transition metal dichalcogenides (TMDCs), and their composites.
Then we survey the recent developments in their electrochemical energy storage
pathways and present the associated three kinds of storage mechanisms. In
addition, we highlight the uncovered structure-performance relationships while
utilizing advanced microscopic techniques, such as in situ high resolution
transmission electron microscopy (TEM) and spherical aberration-corrected
scanning TEM (STEM), both leading to deep unveiling and understanding of the
atomic-scale ion storage/release mechanisms and hence providing clear guidance
for designing optimized 2D nanostructured electrode materials. Finally, the major
challenges and opportunities that researchers have to face in this field are
outlined. We hope that this review can deepen the Chemical and Material Science
Communities' understanding of this field and thus effectively contribute to the
smart design of future-generation 2D nanostructured electrodes and exploitation
of their microscopic mechanisms toward novel high-performance rechargeable
batteries.
PMID- 27196690
TI - Psychometric analysis and validity of the daily alcohol-related consequences and
evaluations measure for young adults.
AB - College students experience a variety of effects resulting from alcohol use and
evaluate their experiences on a continuum from negative to positive. Using daily
reports collected via cell phone, we examined the psychometric properties of
alcohol use consequences and evaluations of those consequences. Participants were
349 undergraduate students (mean age 19.7 [SD = 1.26], 53.4% female). Data were
analyzed using a multilevel factor analysis framework, incorporating binary items
(consequences) and normally distributed items (evaluations). Our model converged
on 2 factors-positive and negative-with similar loadings between- and within
persons. Intraclass correlation coefficients for positive consequences and their
evaluations ranged from .30 to .40, whereas values for negative consequences were
more variable. Intraclass correlation coefficients for negative evaluations were
higher, suggesting evaluations were more trait-like compared to experience of
consequences which may be context dependent. Generalizability coefficients on the
whole were good to excellent, suggesting highly reliable scales at both person
mean and daily-mean levels. However, likely due to binary scale and infrequency,
the generalizability coefficients for negative consequences at the daily level
was somewhat low. Convergent validity was demonstrated by (a) positive
associations between baseline Rutgers Alcohol Problem Index and Alcohol Use
Disorders Identification Test scores with latent factors for daily positive and
negative consequences, and (b) positive associations between daily drinking and
daily consequences and evaluations of consequences. Overall, this measure
demonstrated good psychometric properties for use in studies examining daily and
lagged relationships between alcohol use and related consequences. (PsycINFO
Database Record
PMID- 27196692
TI - Lymph Nodes and Lymphadenopathy in Cancer.
PMID- 27196693
TI - Effect of Prophylactic Extended-Infusion Carboplatin on Incidence of
Hypersensitivity Reactions in Patients with Ovarian, Fallopian Tube, or
Peritoneal Carcinomas.
AB - STUDY OBJECTIVE: To determine whether extended-infusion carboplatin, initiated at
approximately the eighth cumulative carboplatin cycle and prior to development of
carboplatin hypersensitivity, reduces the incidence of carboplatin
hypersensitivity reactions in patients with ovarian, fallopian tube, or
peritoneal cancer. DESIGN: Retrospective chart review. SETTING: Large integrated
health system. PATIENTS: A total of 326 patients with ovarian, fallopian tube, or
primary peritoneal cancer who received at least eight cumulative cycles of
carboplatin between January 2007 and September 2014 were included. Of these, 161
patients received all doses of carboplatin infused over 30 or 60 minutes
(standard-infusion group [total of 1317 carboplatin cycles]), and 165 patients
received the 3-hour extended infusion of carboplatin administered at
approximately the eighth cumulative cycle and prior to development of a
hypersensitivity reaction (extended-infusion group [total of 1527 carboplatin
cycles]). MEASUREMENTS AND MAIN RESULTS: Baseline characteristics were similar
between the groups, except significantly more patients in the extended-infusion
group received triple premedication therapy prior to infusion (p<0.001).
Hypersensitivity reactions occurred in 64 patients (40%) who received standard
infusion carboplatin and 40 patients (24.2%) who received extended-infusion
carboplatin (p=0.0027). The median cycle of hypersensitivity reaction development
did not differ significantly between the groups: 9 cycles in patients who
received standard-infusion versus 11 cycles in patients who received extended
infusion carboplatin (p=0.06). Through regression analysis, the premedication
regimen received prior to carboplatin infusion was the only variable
significantly associated with hypersensitivity reactions (odds ratio 0.59, 95%
confidence interval 0.36-0.97, p=0.038). CONCLUSION: Patients who received
extended-infusion carboplatin experienced a lower incidence of hypersensitivity
reactions than patients who received standard-infusion carboplatin, which may be
attributed to the triple premedication regimen received more frequently in
patients in the extended-infusion group.
PMID- 27196695
TI - Ionic Effects on VEGF G-Quadruplex Stability.
AB - In a potassium solution, a modified 22-meric DNA sequence Pu22-T12T13 from a
region proximal to the transcription initiation site of the human VEGF gene
adopts a single parallel-stranded G-quadruplex conformation with a 1:4:1 loop
size arrangement. We measured the thermal stability, TM, of the K(+)-stabilized
Pu22-T12T13 G-quadruplex as a function of stabilizing K(+) ions and
nonstabilizing Cs(+) and TMA(+) ions. The thermal stability, TM, of the Pu22
T12T13 G-quadruplex increases with the concentration of the stabilizing potassium
ions, while it sharply decreases upon the addition of the nonstabilizing cations.
We interpret these results as underscoring the opposing effects of internal
binding and counterion condensation on the stability of the Pu22-T12T13 G
quadruplex. While centrally bound ions stabilize the G-quadruplex conformation,
counterion condensation destabilizes it, favoring the coil conformation. From the
initial slopes of the dependences of TM on the concentration of Cs(+) and TMA(+)
cations, we estimate that the deleterious effect of counterion condensation stems
from roughly one extra counterion associated with the coil relative to the G
quadruplex state of Pu22-T12T13. The reduced accumulation of counterions around
the G-quadruplex state of Pu22-T12T13 relative to its coil state is due to the
low surface charge density of the G-quadruplex reflecting its structural
characteristics. On the basis of the analysis of our data along with the results
of a previous study, we propose that the differential effect of internally
(stabilizing) and externally (destabilizing) bound cations may be a general
feature of parallel intramolecular G-quadruplexes.
PMID- 27196694
TI - Comparison of mHTT Antibodies in Huntington's Disease Mouse Models Reveal
Specific Binding Profiles and Steady-State Ubiquitin Levels with Disease
Development.
AB - Huntington's disease (HD) cellular pathology is characterised by the aggregation
of mutant huntingtin (mHTT) protein into inclusion bodies. The present paper
compared the sensitivity of five widely used mHTT antibodies (S830; MW8; EM48;
1C2; ubiquitin) against mice from five commonly used HD mouse models (R6/1;
YAC128; HdhQ92; B6 HdhQ150; B6 x129/Ola HdhQ150) at two ages to determine: the
most sensitive antibodies for each model; whether mHTT antibody binding differed
depending on aggregation stage (diffuse versus frank inclusion); the role of
ubiquitin during aggregation as the ubiquitin proteosome system has been
implicated in disease development. The models demonstrated unique profiles of
antibody binding even when the models varied only by background strain (HdhQ150).
MW8 was highly sensitive for detecting frank inclusions in all lines whereas
EM48, ubiquitin and 1C2 demonstrated consistent staining in all models
irrespective of age or form of mHTT. MW8 and S830 were the most sensitive
antibodies with 1C2 the least. Ubiquitin levels were stable for each model
regardless of age. Ubiquitin was particularly sensitive in young YAC128 mice that
demonstrate an absence of inclusions until ~12 months of age suggesting high
affinity to mHTT in its diffuse form. The data indicate that generalisations
across models regarding the quantification of aggregations may not be valid and
that mHTT antibody binding is unique to the mouse model and sensitive to changes
in inclusion development.
PMID- 27196696
TI - A Single Mechanism Can Account for Human Perception of Depth in Mixed Correlation
Random Dot Stereograms.
AB - In order to extract retinal disparity from a visual scene, the brain must match
corresponding points in the left and right retinae. This computationally
demanding task is known as the stereo correspondence problem. The initial stage
of the solution to the correspondence problem is generally thought to consist of
a correlation-based computation. However, recent work by Doi et al suggests that
human observers can see depth in a class of stimuli where the mean binocular
correlation is 0 (half-matched random dot stereograms). Half-matched random dot
stereograms are made up of an equal number of correlated and anticorrelated dots,
and the binocular energy model-a well-known model of V1 binocular complex cells
fails to signal disparity here. This has led to the proposition that a second,
match-based computation must be extracting disparity in these stimuli. Here we
show that a straightforward modification to the binocular energy model-adding a
point output nonlinearity-is by itself sufficient to produce cells that are
disparity-tuned to half-matched random dot stereograms. We then show that a
simple decision model using this single mechanism can reproduce psychometric
functions generated by human observers, including reduced performance to large
disparities and rapidly updating dot patterns. The model makes predictions about
how performance should change with dot size in half-matched stereograms and
temporal alternation in correlation, which we test in human observers. We
conclude that a single correlation-based computation, based directly on already
known properties of V1 neurons, can account for the literature on mixed
correlation random dot stereograms.
PMID- 27196698
TI - A systematic analysis of methylene blue for drug-induced shock.
AB - CONTEXT: Pharmacologically induced shock can be refractory to standard
resuscitation. Methylene blue (MB) acts to prevent nitric oxide-mediated
vasodilation and may be a potential treatment for refractory shock. OBJECTIVE: A
systematic analysis of the literature to evaluate MB in pharmacologically induced
shock. Primary outcome was survival and secondary outcome was hemodynamic
improvement. MATERIALS AND METHODS: A search of MedLine/PubMed, EMBASE, Cochrane
Library, TOXLINE, Google Scholar and Google was performed 10 August 2015 using a
combination of text words and keywords related to MB, shock and specific drugs.
We included primary literature articles reporting clinical outcomes in humans.
RESULTS: The searches yielded 928 citations, with 255 exact duplicates. Of the
673 entries screened, 16 citations met study criteria and comprised 17 cases.
Calcium channel blockers (CCBs) represented ten cases (six amlodipine, two
verapamil, and two diltiazem), atenolol three cases as coingestant with
amlodipine, five metformin, one ibuprofen, and one multidrug (quetiapine,
carbamazepine, valproic acid, oxazepam, and fluoxetine). Twelve patients survived
and nine had hemodynamic improvement following MB administration. Four did not
respond to MB but survived with other advanced resuscitative measures. None of
the seven cases had BP improvement and four died when lipid was given prior to
MB, compared to one death and nine cases of BP improvement when lipid was not
given. In all cases, MB was used after failing several other treatments. Bolus
doses ranging from 1 to 3 mg/kg, with repeat boluses or maintenance infusions.
Reported adverse events were temporary self-limited blue discolorations.
CONCLUSION: While there are compelling cases describing an improved hemodynamic
status following MB, there are also several cases without observed change.
Currently, there is not enough evidence available to recommend the routine
administration of MB in refractory pharmacologically induced shock.
PMID- 27196697
TI - FKBP5 genotype interacts with early life trauma to predict heavy drinking in
college students.
AB - Alcohol use disorder (AUD) is debilitating and costly. Identification and better
understanding of risk factors influencing the development of AUD remain a
research priority. Although early life exposure to trauma increases the risk of
adulthood psychiatric disorders, including AUD, many individuals exposed to early
life trauma do not develop psychopathology. Underlying genetic factors may
contribute to differential sensitivity to trauma experienced in childhood. The
hypothalamic-pituitary-adrenal (HPA) axis is susceptible to long-lasting changes
in function following childhood trauma. Functional genetic variation within
FKBP5, a gene encoding a modulator of HPA axis function, is associated with the
development of psychiatric symptoms in adulthood, particularly among individuals
exposed to trauma early in life. In the current study, we examined interactions
between self-reported early life trauma, past-year life stress, past-year trauma,
and a single nucleotide polymorphism (rs1360780) in FKBP5 on heavy alcohol
consumption in a sample of 1,845 college students from two university settings.
Although we found no effect of early life trauma on heavy drinking in rs1360780*T
allele carriers, rs1360780*C homozygotes exposed to early life trauma had a lower
probability of heavy drinking compared to rs1360780*C homozygotes not exposed to
early life trauma (P < 0.01). The absence of an interaction between either
current life stress or past-year trauma, and FKBP5 genotype on heavy drinking
suggests that there exists a developmental period of susceptibility to stress
that is moderated by FKBP5 genotype. These findings implicate interactive effects
of early life trauma and FKBP5 genetic variation on heavy drinking. (c) 2016
Wiley Periodicals, Inc.
PMID- 27196699
TI - Effects of intimate partner violence, PTSD, and alcohol use on cigarette smoking
in a nationally representative sample.
AB - BACKGROUND AND OBJECTIVES: Separate literatures indicate that intimate partner
violence (IPV), posttraumatic stress disorder (PTSD), and alcohol use are
independently associated with increased risk for cigarette smoking. No previous
studies have examined the co-occurrence of these problems on smoking quantity and
potential gender-specific relationships. This study will address this gap in the
literature. METHODS: Data from Wave 2 of the National Epidemiologic Study on
Alcohol and Related Conditions (NESARC) were examined. Variables were assessed
during the past year. Individuals (N = 25,604) who reported being married,
dating, or involved in a romantic relationship were included. RESULTS: Among men,
PTSD and alcohol use were associated with more cigarettes smoked per day. Among
women, PTSD, alcohol use, and IPV victimization were associated with more
cigarettes smoked per day. Women who experienced IPV victimization smoked
approximately three additional cigarettes per day. DISCUSSION AND CONCLUSIONS:
IPV victimization, PTSD, and alcohol use were associated with cigarettes smoked
among women, while IPV experiences were not associated with smoking risk among
men. SCIENTIFIC SIGNIFICANCE: These findings represent an important contribution
to the existing literature in that it elucidates the compounding relationship
between a common and complex comorbidity and cigarette smoking. Findings indicate
a critical need to implement routine smoking screening and intervention in venues
where intimate partner violence is commonly encountered, such as advocacy and
substance use treatment settings. (Am J Addict 2016;25:283-290).
PMID- 27196700
TI - Geographical Area and Life History Traits Influence Diet in an Arctic Marine
Predator.
AB - Global changes are thought to affect most Arctic species, yet some populations
are more at risk. Today, the Barents Sea ecoregion is suffering the strongest sea
ice retreat ever measured; and these changes are suspected to modify food access
and thus diet of several species. Biochemical diet tracers enable investigation
of diet in species such as polar bears (Ursus maritimus). We examined individual
diet variation of female polar bears in Svalbard, Norway, and related it to year,
season (spring and autumn), sampling area and breeding status (solitary, with
cubs of the year or yearlings). Sampling areas were split according to their ice
cover: North-West (less sea ice cover), South-East (larger amplitude in sea ice
extent) and North-East/South-West (NESW) as bears from that zone are more mobile
among all regions of Svalbard. We measured fatty acid (FA) composition in adipose
tissue and carbon (delta13C) and nitrogen (delta15N) stable isotopes in plasma
and red blood cells. Females feeding in the North-West area had lower delta15N
values than those from the NESW. In South-East females, delta13C values were
lower in autumn compared to spring and females seemed less selective in their
diet as depicted by large variances in stable isotope values. Considering the
differences in FA composition and stable isotope values, we suggest that females
from the North-West and South-East could ingest a higher proportion of avian
prey. With regard to breeding status, solitary females had higher delta15N values
and smaller variance in their stable isotopic values than females with cubs,
suggesting that solitary females were more selective and prey on higher trophic
level species (i.e. seals). Overall, our results indicate that prey availability
for Svalbard polar bears varies according to geographical area and prey
selectivity differs according to breeding status. Our findings suggest that
complex changes in sea ice and prey availability will interact to affect Svalbard
polar bear feeding patterns and associated nutrition.
PMID- 27196701
TI - Guidelines for the management of diffuse large B-cell lymphoma.
PMID- 27196702
TI - One-step gene delivery into the cytoplasm in a fusion-dependent manner based on a
new membrane fusogenic lipid.
AB - A new type of membrane fusogenic lipid was prepared to deliver DNA or siRNA into
the cytoplasm directly in a fusion-dependent manner in order to bypass the
cellular endocytosis to avoid the inefficient escape from the endosome and low
transfection efficacy.
PMID- 27196704
TI - Dispersion and shear-induced orientation of anisotropic nanoparticle filled
polymer nanocomposites: insights from molecular dynamics simulation.
AB - Although a large number of studies have been performed to study the dispersion
behavior of spherical nanoparticles (NPs) in the polymer matrix, little effort
has been directed to anisotropic NPs via simulation, which is convenient for
controlling the physical parameters compared to experiment. In this work we adopt
molecular dynamics simulation to study polymer nanocomposites filled with
anisotropic NPs such as graphene and carbon nanotubes (CNTs). We investigate the
effects of the grafting position, grafting density, the length and flexibility of
the grafted chains on the dispersion of graphene and CNTs. In particular, we find
that when the grafting position is located on the surface center of the graphene
or the middle of the CNT, the dispersion state is the best, leading to the
greatest stress-strain behavior. Meanwhile, the mechanical property can be
further strengthened by introducing chemical couplings in the interfacial region,
by chemically tethering the grafted chains to the matrix chains. To monitor the
processing effect, we exert a dynamic periodic shear deformation in the x
direction with its gradient in the y direction. Polymer chains are found to align
in the x direction, graphene sheets align in the xoz plane and CNTs orientate in
the z direction. We study the effects of the shear amplitude, the shear
frequency, polymer-NP interaction strength and volume fraction of NPs on the
stress-strain behavior. We also observe that the relaxation process following the
shear deformation deteriorates the mechanical performance, resulting from the
disorientation of polymer chains and NPs. In general, this work could provide
valuable guidance in manipulating the distribution and alignment of graphene and
CNTs in the polymer matrix.
PMID- 27196703
TI - Regulation of melanocortin 1 receptor in allergic rhinitis in vitro and in vivo.
AB - BACKGROUND: alpha-melanocyte-stimulating hormone (alpha-MSH) was shown to inhibit
allergic airway inflammation and exert suppressive effects on human basophils.
OBJECTIVE: This study aims to extend our current knowledge on the melanocortin 1
receptor (MC1R) expression in nasal tissue of patients with allergic rhinitis
(AR) and functional effects of alpha-MSH in human basophils especially from
patients with allergic rhinitis. METHODS: MC1R expression before and after nasal
allergen provocation was studied in nasal mucosal tissue of AR patients and in a
mouse model of allergic airway inflammation using immunofluorescence. In vitro
regulation of the MC1R and CD203c surface expression on whole-blood basophils of
patients with AR and controls was assessed with flow cytometry. Functional
effects of alpha-MSH on isolated basophils were analysed regarding apoptosis with
flow cytometry and chemotaxis using a Boyden chamber assay. RESULTS: We detected
an accumulation of MC1R-positive basophils in nasal mucosa tissue of patients
with AR 24 h after nasal allergen provocation. Such accumulation was not present
in mucosa sections from healthy controls. In mice with allergic airway
inflammation, we found a clear accumulation of MC1R-positive basophils in the
nasal tissue compared to control mice. MC1R expression was inducible in AR
patients and controls by stimulation with anti-IgE. alpha-MSH inhibited anti-IgE
and grass pollen induced upregulation of CD203c, but had no effect on chemotaxis
or apoptosis of basophils in vitro. CONCLUSIONS AND CLINICAL RELEVANCE: MC1R
positive basophils accumulate in the nasal mucosa of patients with AR after nasal
allergen provocation. Since alpha-MSH suppresses proinflammatory effector
functions in human basophils via the MC1R, it constitutes an interesting novel
target for modulating the allergic inflammatory response.
PMID- 27196705
TI - Zoledronic acid in vivo increases in vitro proliferation of rat mesenchymal
stromal cells.
AB - Background and purpose - Bisphosphonates are widely used in the treatment of bone
loss, but they might also have positive effects on osteoblastic cells and bone
formation. We evaluated the effect of in vivo zoledronic acid (ZA) treatment and
possible concomitant effects of ZA and fracture on the ex vivo osteogenic
capacity of rat mesenchymal stromal cells (MSCs). Methods - A closed femoral
fracture model was used in adult female rats and ZA was administered as a single
bolus or as weekly doses up to 8 weeks. Bone marrow MSCs were isolated and
cultured for in vitro analyses. Fracture healing was evaluated by radiography,
micro-computed tomography (MUCT), and histology. Results - Both bolus and weekly
ZA increased fracture-site bone mineral content and volume. MSCs from weekly ZA
treated animals showed increased ex vivo proliferative capacity, while no
substantial effect on osteoblastic differentiation was observed. Fracture itself
did not have any substantial effect on cell proliferation or differentiation at 8
weeks. Serum biochemical markers showed higher levels of bone formation in
animals with fracture than in intact animals, while no difference in bone
resorption was observed. Interestingly, ex vivo osteoblastic differentiation of
MSCs was found to correlate with in vivo serum bone markers. Interpretation - Our
data show that in vivo zoledronic acid treatment can influence ex vivo
proliferation of MSCs, indicating that bisphosphonates can have sustainable
effects on cells of the osteoblastic lineage. Further research is needed to
investigate the mechanisms.
PMID- 27196706
TI - Atrial fibrillation burden and atrial fibrillation type: Clinical significance
and impact on the risk of stroke and decision making for long-term
anticoagulation.
AB - Atrial fibrillation (AF) is a common arrhythmia increasing the risk of morbidity
and adverse outcomes (stroke, heart failure, death). AF is found in 1-2% of the
general population, with increasing prevalence with aging. Its exact
epidemiological profile is incomplete and underestimated, because 10-40% of AF
patients (particularly the elderly) can be asymptomatic ("clinically silent or
subclinical AF"), with occasional electrocardiographic diagnosis. The research
interest on silent AF has increased by the evidence that its outcome is no less
severe, in terms of risks of stroke and death, than that for symptomatic
patients. Data collected from more than 18,000 patients indicate that cardiac
implantable electrical devices (CIEDs) are validated tools for detecting silent
AF and measuring the time spent in AF, defined as "AF burden." A maximum daily AF
burden of >=5-6min, but particularly >=1h, is associated with a significant
increase in the risk of stroke, and may be clinically relevant to improve current
risk stratification based on risk scores and for "personalizing" prescription of
oral anticoagulants. An in-depth study of the temporal relationship between AF
and ischemic stroke showed that data from CIEDs reveal a complex scenario, by
which AF is certainly a risk factor for cardioembolic stroke, with a cause-effect
relationship related to atrial thrombi, but can also be a simple "marker of
risk," with a noncausal association with stroke. In such cases, stroke is
possibly related to atheroemboli from the aorta, the carotid arteries, or other
sources.
PMID- 27196716
TI - Synthesis and characterization of smart N-isopropylacrylamide-based magnetic
nanocomposites containing doxorubicin anti-cancer drug.
AB - In the present study, magnetic and thermo/pH-sensitive (multiresponsive)
nanocomposites based on N-isopropylacrylamide (NIPAAM) were synthesized and
characterized. Nanocomposites were synthesized by free radical emulsion
polymerization of NIPAAM as thermosensitive monomer and N,N-dimethyl-aminoethyl
methacrylate (DMAEMA) as pH-sensitive monomer in the presence of methylene-bis
acrylamide as cross-linking agent. Doxorubicin, an anti-cancer drug, was loaded
into these nanocomposites via equilibrium swelling method. Thermo/pH-sensitive
cross-linked poly (NIPAAM-DMAEMA)-Fe3O4 nanocomposites were characterized by
Fourier transform infrared spectroscopy (FT-IR), scanning electron microscopy
(SEM), and vibrating sample magnetometer (VSM). The volume of the loaded drug and
drug release amount was determined by UV measurements. The results showed that
this thermo/pH-sensitive magnetic nanocomposite has a high drug-loading
efficiency. Doxorubicin was released at 40 degrees C and pH 5.8 more than the 37
degrees C and pH 7.4.
PMID- 27196715
TI - The effects of injection modes on instantaneous particle deposition in a
realistic human nasal cavity.
AB - To understand the instantaneous particle deposition in nasal cavity, effects of
two injection models on particle deposition characteristic were discussed in this
paper. Based on a realistic human nasal cavity geometry obtained from CT scans, a
comparison of deposition pattern in the nasal cavity between single injection and
continuous injection was investigated through the Lagrangian approach. The
instantaneous airflow field was simulated with the tidal volume of 159 and 318 mL
by two sine wave curves at inlet. For the case of single injection, particles
have finished deposition in the first half of inhalation, and a negative
correlation between the tidal volumes and deposition can be observed when the
particle diameter was larger than 10 um. Moreover, particles were mainly
deposited in the turbinate area that was beneficial for aerosol therapy. The
inertial parameter was not suitable to predict the particle deposition in the
case of single injection. With respect to continuous injection, a reduction in
total deposition caused by the deceleration process of inhalation can be observed
after 1.5 s. The deposition was closely associated with the time-varying flow
field, and particles were mainly deposited in the anterior region and turbinate
area. Besides, the particle deposition increased with the inertial parameter for
continuous injection. The results indicated that the injection modes had an
influence on both the total deposition and local deposition pattern in the nasal
cavity. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27196718
TI - Announcement: Healthy and Safe Swimming Week - May 23-29, 2016.
AB - May 23-29, 2016, marks the 12th annual Healthy and Safe Swimming Week.* This
observance highlights ways that swimmers; parents of young swimmers; aquatic
facility operators; residential pool, hot tub, or spa owners; beach managers; and
public health officials can maximize the health benefits of water-based physical
activity while minimizing the risk for recreational water-associated illness and
injury.
PMID- 27196717
TI - Affinity capillary electrophoresis in studying the complex formation equilibria
of radionuclides in aqueous solutions.
AB - Interaction of radionuclides with inorganic and organic species present in
natural environment plays an important role in their eventual dispersion. The
complex equilibria established in the aqueous phase cause significant changes in
the migration properties of radionuclides. Affinity capillary electrophoresis
(ACE) can be fruitful in studying these equilibria. This paper reviews the recent
methodological advances of the use of ACE in studying the complex equilibria of
radionuclides in aqueous solutions. Special attention is paid to the
determination of a number of species involved in equilibrium, species
constituents (number of ligands, protonated, deprotonated), the influence of
ionic strength and temperature on stability constants of complex species formed.
Use of ACE for the determination of the main thermodynamic parameters (the molar
Gibbs energy (Deltar Gm ), the molar enthalpy (Deltar Hm ) and the molar entropy
(Deltar Sm )) of complex formation reactions is also discussed. These data are
essential to predict dispersion of radionuclides in the natural environment.
PMID- 27196721
TI - Controlling Au Photodeposition on Large ZnO Nanoparticles.
AB - This study investigated how to control the rate of photoreduction of metastable
AuCl2(-) at the solid-solution interface of large ZnO nanoparticles (NPs) (50-100
nm size). Band-gap photoexcitation of electronic charge in ZnO by 370 nm UV light
yielded Au NP deposition and the formation of ZnO-Au NP hybrids. Au NP growth was
observed to be nonepitaxial, and the patterns of Au photodeposition onto ZnO NPs
observed by high-resolution transmission electron microscopy were consistent with
reduction of AuCl2(-) at ZnO facet edges and corner sites. Au NP photodeposition
was effective in the presence of labile oleylamine ligands attached to the ZnO
surface; however, when a strong-binding dodecanethiol ligand coated the surface,
photodeposition was quenched. Rates of interfacial electron transfer at the ZnO
solution interface were adjusted by changing the solvent, and these rates were
observed to strongly depend on the solvent's permittivity (epsilon) and
viscosity. From measurements of electron transfer from ZnO to the organic dye
toluidine blue at the ZnO-solution interface, it was confirmed that low epsilon
solvent mixtures (epsilon ~ 9.5) possessed markedly higher rates of
photocatalytic interfacial electron transfer (~3.2 * 10(4) electrons.particle(
1).s(-1)) compared to solvent mixtures with high epsilon (epsilon = 29.9, ~1.9 *
10(4) electrons.particle(-1).s(-1)). Dissolved oxygen content in the solvent and
the exposure time of ZnO to band-gap, near-UV photoexcitation were also
identified as factors that strongly affected Au photodeposition behavior.
Production of Au clusters was favored under conditions that caused electron
accumulation in the ZnO-Au NP hybrid. Under conditions where electron discharge
was rapid (such as in low epsilon solvents), AuCl2(-) precursor ions photoreduced
at ZnO surfaces in less than 5 s, leading to deposition of several small,
isolated ~6 nm Au NP on the ZnO host instead.
PMID- 27196723
TI - Analysis of longitudinal data from animals with missing values using SPSS.
AB - Testing of therapies for disease or injury often involves the analysis of
longitudinal data from animals. Modern analytical methods have advantages over
conventional methods (particularly when some data are missing), yet they are not
used widely by preclinical researchers. Here we provide an easy-to-use protocol
for the analysis of longitudinal data from animals, and we present a click-by
click guide for performing suitable analyses using the statistical package IBM
SPSS Statistics software (SPSS). We guide readers through the analysis of a real
life data set obtained when testing a therapy for brain injury (stroke) in
elderly rats. If a few data points are missing, as in this example data set (for
example, because of animal dropout), repeated-measures analysis of covariance may
fail to detect a treatment effect. An alternative analysis method, such as the
use of linear models (with various covariance structures), and analysis using
restricted maximum likelihood estimation (to include all available data) can be
used to better detect treatment effects. This protocol takes 2 h to carry out.
PMID- 27196722
TI - Characterization of proteins by in-cell NMR spectroscopy in cultured mammalian
cells.
AB - In-cell NMR spectroscopy is a unique tool for characterizing biological
macromolecules in their physiological environment at atomic resolution. Recent
progress in NMR instruments and sample preparation methods allows functional
processes, such as metal uptake, disulfide-bond formation and protein folding, to
be analyzed by NMR in living, cultured human cells. This protocol describes the
necessary steps to overexpress one or more proteins of interest inside human
embryonic kidney 293T (HEK293T) cells, and it explains how to set up in-cell NMR
experiments. The cDNA is transiently transfected as a complex with a cationic
polymer (DNA:PEI (polyethylenimine)), and protein expression is carried on for 2
3 d, after which the NMR sample is prepared. (1)H and (1)H-(15)N correlation NMR
experiments (for example, using band-selective optimized flip-angle short
transient heteronuclear multiple quantum coherence (SOFAST-HMQC)) can be carried
out in <2 h, ensuring cell viability. Uniform (15)N labeling and amino-acid
specific (e.g., cysteine, methionine) labeling schemes are possible. The entire
procedure takes 4 d from cell culture seeding to NMR data collection.
PMID- 27196724
TI - Protection against 1-methyl-4-phenyl pyridinium-induced neurotoxicity in human
neuroblastoma SH-SY5Y cells by Soyasaponin I by the activation of the
phosphoinositide 3-kinase/AKT/GSK3beta pathway.
AB - Parkinson's disease (PD) can be ascribed to the progressive and selective loss of
dopaminergic neurons in the substantia nigra pars compacta, and thus molecules
with neuroprotective ability may have therapeutic value against PD. In the
current study, the neuroprotective effects and underlying mechanisms of
Soyasaponin I (Soya-I), a naturally occurring triterpene extracted from a widely
used ingredient in many foods, such as Glycine max (soybean), were evaluated in a
widely used cellular PD model in which neurotoxicity was induced by 1-methyl-4
phenyl pyridinium (MPP) in cultured SH-SY5Y cells. We found that Soya-I at 10-40
MUM considerably protected against MPP-induced neurotoxicity as evidenced by an
increase in cell viability, a decrease in lactate dehydrogenase release, and a
reduction in apoptotic nuclei. Moreover, Soya-I effectively inhibited the
elevated intracellular accumulation of reactive oxygen species as well as the
Bax/Bcl-2 ratio caused by MPP. Most importantly, Soya-I markedly reversed the
inhibition of protein expression of phosphorylated AKT and phosphorylated
GSK3beta caused by MPP. LY294002, the specific inhibitor of phosphoinositide 3
kinase, significantly abrogated the upregulated phosphorylated AKT and
phosphorylated GSK3beta offered by Soya-I, suggesting that the neuroprotection of
Soya-I was mainly dependent on the activation of the phosphoinositide 3
kinase/AKT/GSK3beta signaling pathway. The results taken together indicate that
Soya-I may be a potential candidate for further preclinical study aimed at the
prevention and treatment of PD.
PMID- 27196725
TI - Temporal cognition: Connecting subjective time to perception, attention, and
memory.
AB - Time is a universal psychological dimension, but time perception has often been
studied and discussed in relative isolation. Increasingly, researchers are
searching for unifying principles and integrated models that link time perception
to other domains. In this review, we survey the links between temporal cognition
and other psychological processes. Specifically, we describe how subjective
duration is affected by nontemporal stimulus properties (perception), the
allocation of processing resources (attention), and past experience with the
stimulus (memory). We show that many of these connections instantiate a
"processing principle," according to which perceived time is positively related
to perceptual vividity and the ease of extracting information from the stimulus.
This empirical generalization generates testable predictions and provides a
starting-point for integrated theoretical frameworks. By outlining some of the
links between temporal cognition and other domains, and by providing a unifying
principle for understanding these effects, we hope to encourage time-perception
researchers to situate their work within broader theoretical frameworks, and that
researchers from other fields will be inspired to apply their insights,
techniques, and theorizing to improve our understanding of the representation and
judgment of time. (PsycINFO Database Record
PMID- 27196726
TI - Management of Retinal Whitening With Vitritis.
PMID- 27196729
TI - Monochloramine Cometabolism by Mixed-Culture Nitrifiers under Drinking Water
Conditions.
AB - Chloramines are the second most used secondary disinfectant by United States
water utilities. However, chloramination may promote nitrifying bacteria.
Recently, monochloramine cometabolism by the pure culture ammonia-oxidizing
bacteria, Nitrosomonas europaea, was shown to increase monochloramine demand. The
current research investigated monochloramine cometabolism by nitrifying mixed
cultures grown under more relevant drinking water conditions and harvested from
sand-packed reactors before conducting suspended growth batch kinetic
experiments. Four types of batch kinetic experiments were conducted: (1) positive
controls to estimate ammonia kinetic parameters, (2) negative controls to account
for biomass reactivity, (3) utilization associated product (UAP) controls to
account for UAP reactivity, and (4) cometabolism experiments to estimate
cometabolism kinetic parameters. Kinetic parameters were estimated in AQUASIM
with a simultaneous fit to the experimental data. Cometabolism kinetics were best
described by a first-order model. Monochloramine cometabolism kinetics were
similar to those of ammonia metabolism, and monochloramine cometabolism accounted
for 30% of the observed monochloramine loss. These results demonstrated that
monochloramine cometabolism occurred in mixed cultures similar to those found in
drinking water distribution systems; therefore, monochloramine cometabolism may
be a significant contribution to monochloramine loss during nitrification
episodes in drinking water distribution systems.
PMID- 27196728
TI - Dendritic Cell-Like Cells Accumulate in Regenerating Murine Skeletal Muscle after
Injury and Boost Adaptive Immune Responses Only upon a Microbial Challenge.
AB - Skeletal muscle injury causes a local sterile inflammatory response. In parallel,
a state of immunosuppression develops distal to the site of tissue damage.
Granulocytes and monocytes that are rapidly recruited to the site of injury
contribute to tissue regeneration. In this study we used a mouse model of
traumatic skeletal muscle injury to investigate the previously unknown role of
dendritic cells (DCs) that accumulate in injured tissue. We injected the model
antigen ovalbumin (OVA) into the skeletal muscle of injured or sham-treated mice
to address the ability of these DCs in antigen uptake, migration, and specific T
cell activation in the draining popliteal lymph node (pLN). Immature DC-like
cells appeared in the skeletal muscle by 4 days after injury and subsequently
acquired a mature phenotype, as indicated by increased expression of the
costimulatory molecules CD40 and CD86. After the injection of OVA into the
muscle, OVA-loaded DCs migrated into the pLN. The migration of DC-like cells from
the injured muscle was enhanced in the presence of the microbial stimulus
lipopolysaccharide at the site of antigen uptake and triggered an increased OVA
specific T helper cell type 1 (Th1) response in the pLN. Naive OVA-loaded DCs
were superior in Th1-like priming in the pLN when adoptively transferred into the
skeletal muscle of injured mice, a finding indicating the relevance of the
microenvironment in the regenerating skeletal muscle for increased Th1-like
priming. These findings suggest that DC-like cells that accumulate in the
regenerating muscle initiate a protective immune response upon microbial
challenge and thereby overcome injury-induced immunosuppression.
PMID- 27196730
TI - Stomatal Dimorphism of Neodiplogaster acaloleptae (Diplogastromorpha:
Diplogastridae).
AB - Several genera belonging to the nematode family Diplogastridae show
characteristic dimorphism in their feeding structures; specifically, they have
microbial feeding stenostomatous and predatory eurystomatous morphs. A
diplogastrid satellite model species, Pristionchus pacificus, and its close
relatives have become a model system for studying this phenotypic plasticity,
with intensive physiological and structural studies having been undertaken.
However, the many other species that are morphologically and phylogenetically
divergent from P. pacificus have not been examined to date. In the present study,
the detailed stomatal structure and induction of dimorphism in Neodiplogaster
acaloleptae were examined. N. acaloleptae has a fungal feeding stenostomatous
morph and a predatory eurystomatous morph. The predatory morph was induced by
starvation, high population density, and co-culturing with its potential prey,
Caenorhabditis elegans. The feeding behavior of the stenostomatous and
eurystomatous morphs of N. acaloleptae was confirmed, demonstrating that 1) the
stomatal and pharyngeal movements of the two morphs were basically identical, and
2) the stomatal elements were protracted to cut open the hyphae and/or prey to
feed when a N. acaloleptae flips its dorsal movable tooth dorsally and tilts its
subventral stegostomatal cylinder ventrally, forming a pair of scissors to cut
the food source. The stoma morphology of N. acaloleptae with a single movable
tooth and a long stoma is markedly different from that of Pristionchus, which has
two movable teeth and a short stoma. It is, however, similar to that of
Mononchoides, tentatively a sister to Neodiplogaster.
PMID- 27196731
TI - Implant-Supported Immediately Loaded Fixed Full-Arch Dentures: Evaluation of
Implant Survival Rates in a Case Cohort of up to 7 Years.
AB - BACKGROUND: The treatment of severely atrophied and edentulous jaws by means of
fixed implant supported solutions is a challenging procedure. PURPOSE: The
immediate loading of four to six axial and tilted implants offers the possibility
to overcome elaborate hard tissue augmentation procedures but lacks implant and
patient related data on implant survival rates. MATERIALS AND METHODS: This
retrospective 7-years clinical trial investigated the implant survival rates of
2,081 implants (380 patients, 482 jaws) using an immediate loading protocol with
either 4, 5, or 6 implants per restoration. Survival rates were calculated
concerning implantation related factors (jaws/number of supporting
implants/angulations/diameters/lengths) and patient related factors (medical
status/smoking). RESULTS: Overall survival of 2,081 implants was 97.0% on implant
level. Survival rates of implantation related factors did not yield significant
differences. Significant differences were yield between healthy patients and
patients with osteoporosis (p = .002) and the medical status group "other" (p =
.032), respectively. Smokers yielded a significantly higher survival than
nonsmokers (p = .002). CONCLUSIONS: It is assumed that four implants per jaw
serve as a sufficient implant number for full arch restorations in both, the
mandible and the maxilla. Osteoporosis under the medication with bisphosphonates
seems to be a risk factor for implant survival. The authors suggest that the
effect of smoking on ISRs remains controversial within this treatment concept.
PMID- 27196732
TI - Development of human monoclonal antibodies to diphtheria toxin: A solution for
the increasing lack of equine DAT for therapeutic use?
PMID- 27196733
TI - Molybdate uptake by Agrobacterium tumefaciens correlates with the cellular
molybdenum cofactor status.
AB - Many enzymes require the molybdenum cofactor, Moco. Under Mo-limiting conditions,
the high-affinity ABC transporter ModABC permits molybdate uptake and Moco
biosynthesis in bacteria. Under Mo-replete conditions, Escherichia coli represses
modABC transcription by the one-component regulator, ModE, consisting of a DNA
binding and a molybdate-sensing domain. Instead of a full-length ModE protein,
many bacteria have a shorter ModE protein, ModE(S) , consisting of a DNA-binding
domain only. Here, we asked how such proteins sense the intracellular molybdenum
status. We show that the Agrobacterium tumefaciens ModE(S) protein Atu2564 is
essential for modABC repression. ModE(S) binds two Mo-boxes in the modA promoter
as shown by electrophoretic mobility shift assays. Northern analysis revealed
cotranscription of modE(S) with the upstream gene, atu2565, which was dispensable
for ModE(S) activity. To identify genes controlling ModE(S) function, we
performed transposon mutagenesis. Tn5 insertions resulting in derepressed modA
transcription mapped to the atu2565-modE(S) operon and several Moco biosynthesis
genes. We conclude that A. tumefaciens ModE(S) activity responds to Moco
availability rather than to molybdate concentration directly, as is the case for
E. coli ModE. Similar results in Sinorhizobium meliloti suggest that Moco
dependence is a common feature of ModE(S) regulators.
PMID- 27196734
TI - Observations on failed retrieval of optional inferior vena cava filters.
AB - PURPOSE: To evaluate causes of failed optional inferior vena cava filter (IVCF)
retrievals. METHODS: Single-center retrospective study. RESULTS: IVCF retrievals
were attempted in 26/211 (12%) patients at a mean 42.9 days. There were 9
failures (all OptEase) due to: inability to snare the hook (n=5), noncollapsible
IVCF (n=3), and unusual procedural pain (n=1). Median duration of retrieved IVCFs
was 31 days compared to 53 days for failures (P<.05). IVCFs aligned with the
IVC's cephalocaudal axis were retrieved in 13/16 cases, while misaligned IVCFs
were retrieved 4/10 cases (P<.05). CONCLUSION: Filter duration and misalignment
were significantly associated with retrieval failures.
PMID- 27196727
TI - Estrogens, Neuroinflammation, and Neurodegeneration.
AB - Inflammatory activation of microglia is a hallmark of several disorders of the
central nervous system. In addition to protecting the brain against inflammatory
insults, microglia are neuroprotective and play a significant role in maintaining
neuronal connectivity, but the prolongation of an inflammatory status may limit
the beneficial functions of these immune cells. The finding that estrogen
receptors are present in monocyte-derived cells and that estrogens prevent and
control the inflammatory response raise the question of the role that this sex
steroid plays in the manifestation and progression of pathologies that have a
clear sex difference in prevalence, such as multiple sclerosis, Parkinson's
disease, and Alzheimer's disease. The present review aims to provide a critical
review of the current literature on the actions of estrogen in microglia and on
the involvement of estrogen receptors in the manifestation of selected
neurological disorders. This current understanding highlights a research area
that should be expanded to identify appropriate replacement therapies to slow the
progression of such diseases.
PMID- 27196737
TI - Long-Term Outcomes after Endoscopic Treatment of Gastric Gastrointestinal Stromal
Tumor.
AB - Endoscopic resection of gastric subepithelial tumors (SETs) has several
advantages over biopsy techniques, such as superior diagnostic yield and definite
diagnosis. Removal of gastric SETs and histopathologic confirmation should be
considered whenever gastric SETs are highly suspected to have malignant potential
such as gastrointestinal stromal tumor (GIST) or neuroendocrine tumor. According
to our clinical experience, we suggest that endoscopic resection of gastric SETs
is feasible for GISTs less than 3.0 cm without positive endoscopic
ultrasonography findings or for hypoechoic SETs less than 3.0 cm. However,
serious complications such as macroperforation may occur during endoscopic
resection, and this procedure is highly dependent on endoscopists' skills. We
recently reported the long-term clinical outcomes of endoscopic resection of
gastric GIST, which showed a relatively low recurrence rate (2.2%) during long
term follow-up (46.0+/-28.5 months) despite the low R0 resection rate (25.0%). We
suggest that endoscopic surveillance might be possible without additional
surgical resection in completely resected GISTs without residual tumor confirmed
to be lower risk, even if they show an R1 resection margin.
PMID- 27196736
TI - Efficacy of Albis for the Prevention of Gastric Mucosal Injury Concomitant with
the Use of Low-Dose Aspirin: A Prospective, Randomized, Placebo-Controlled Study.
AB - BACKGROUND/AIMS: Long-term use of aspirin can be a risk factor of peptic ulcer
diseases. The aim of this study was to evaluate the efficacy of Albis (Daewoong
Pharmaceutical Co., Ltd.) for the prevention of gastric mucosal injury caused by
aspirin. METHODS: Aspirin users were enrolled and randomized into the Albis or
placebo group. Screening and follow-up endoscopy were performed for modified
Lanza scores (MLSs). Primary outcome was measured by the incidence rate of peptic
ulcer, and secondary outcomes were measured by the incidence rate of gastritis,
improvement in MLS and subjective symptoms. RESULTS: In total, 81 aspirin users
were randomized, 43 in the Albis group and 38 in the placebo group. There was no
incidence of peptic ulcer in both groups. The incidence of gastritis was
significantly higher in the placebo group (44.4% vs. 10.0%, p=0.003); however,
the scores of mucosal edema, hyperemia and hemorrhage were not statistically
different between the two groups (p>0.05). The frequency of subjective symptoms
were more improved in the Albis group than in the placebo group (p=0.023).
CONCLUSIONS: The incidence of gastritis was lower in the group that received low
dose aspirin and Albis. The development of peptic ulcer due to long-term use of
aspirin might be prevented with concomitant use of Albis.
PMID- 27196738
TI - MUTZ-3 Langerhans cell maturation and CXCL12 independent migration in
reconstructed human gingiva.
AB - Here we describe a reconstructed full thickness human oral mucosa (gingiva)
equivalent with integrated Langerhans Cells (GE-LC) and use it to compare LC
activation and migration from oral versus skin epithelium. The physiologically
representative models consist of differentiated reconstructed epithelium
(keratinocytes and Langerhans-like cells derived from the MUTZ-3 cell line) on a
fibroblast-populated collagen hydrogel which serves as a lamina propria for
gingiva and dermis for skin. Topical exposure of GE-LC and the skin equivalent
(SE-LC) to sub-toxic concentrations of the allergens cinnamaldehyde, resorcinol
and nickel sulphate, resulted in LC migration out of the epithelia. Neutralizing
antibody to CXCL12 blocked allergen-induced LC migration in SE-LC but not in GE
LC. Also, gingival fibroblasts secreted very low amounts of CXCL12 compared to
skin fibroblasts even when stimulated with rhTNFalpha or rhIL-1alpha.
Surprisingly, cinnamaldehyde exposure of GE-LC resulted in an increase in MUTZ-3
LC and CD83 mRNA in the hydrogel but did not result in an increase in CD1a+ cells
in the collagen hydrogel (as was observed for SE-LC. These results indicate that
in gingiva, upon allergen exposure, MUTZ-3 LC migrate in a CXCL12 independent
manner from epithelium-to-lamina propria and in doing so mature become CD1a- and
increase CD83+ mRNA. These physiologically relevant in vitro models which not
only are human but which also resemble specific tissues, may aid in the
identification of factors regulating immune stimulation which in turn will aid
the development of therapeutic interventions for allergy and inflammation, anti
cancer vaccines as well as improving diagnostics for skin and oral allergy.
PMID- 27196735
TI - Cellular Architecture Regulates Collective Calcium Signaling and Cell
Contractility.
AB - A key feature of multicellular systems is the ability of cells to function
collectively in response to external stimuli. However, the mechanisms of
intercellular cell signaling and their functional implications in diverse
vascular structures are poorly understood. Using a combination of computational
modeling and plasma lithography micropatterning, we investigate the roles of
structural arrangement of endothelial cells in collective calcium signaling and
cell contractility. Under histamine stimulation, endothelial cells in self
assembled and microengineered networks, but not individual cells and monolayers,
exhibit calcium oscillations. Micropatterning, pharmacological inhibition, and
computational modeling reveal that the calcium oscillation depends on the number
of neighboring cells coupled via gap junctional intercellular communication,
providing a mechanistic basis of the architecture-dependent calcium signaling.
Furthermore, the calcium oscillation attenuates the histamine-induced
cytoskeletal reorganization and cell contraction, resulting in differential cell
responses in an architecture-dependent manner. Taken together, our results
suggest that endothelial cells can sense and respond to chemical stimuli
according to the vascular architecture via collective calcium signaling.
PMID- 27196739
TI - Inhibition of beta-Catenin to Overcome Endocrine Resistance in Tamoxifen
Resistant Breast Cancer Cell Line.
AB - BACKGROUND: The beta-catenin signaling is important in cell growth and
differentiation and is frequently dysregulated in various cancers. The most well
known mechanism of endocrine resistance is cross-talk between the estrogen
receptor (ER) and other growth factor signaling, such as phosphatidylinositol-3
kinase (PI3K)/Akt and the mammalian target of rapamycin (mTOR) signaling pathway.
In the present study, we investigated whether beta-catenin could be a potential
target to overcome endocrine resistance in breast cancer. METHODS: We established
tamoxifen-resistant (TamR) cell line via long-term exposure of MCF-7 breast
cancer cells to gradually increasing concentrations of tamoxifen. The levels of
protein expression and mRNA transcripts were determined using western blot
analysis and real-time quantitative PCR. The transcriptional activity of beta
catenin was measured using luciferase activity assay. RESULTS: TamR cells showed
a mesenchymal phenotype, and exhibited a relatively decreased expression of ER
and increased expression of human epidermal growth factor receptor 2 and the
epidermal growth factor receptor. We confirmed that the expression and
transcriptional activity of beta-catenin were increased in TamR cells compared
with control cells. The expression and transcriptional activity of beta-catenin
were inhibited by beta-catenin small-molecule inhibitor, ICG-001 or beta-catenin
siRNA. The viability of TamR cells, which showed no change after treatment with
tamoxifen, was reduced by ICG-001 or beta-catenin siRNA. The combination of ICG
001 and mTOR inhibitor, rapamycin, yielded an additive effect on the inhibition
of viability in TamR cells. CONCLUSION: These results suggest that beta-catenin
plays a role in tamoxifen-resistant breast cancer, and the inhibition of beta
catenin may be a potential target in tamoxifen-resistant breast cancer.
PMID- 27196741
TI - Critical evaluation and methodological positioning of the transdermal
microdialysis technique. A review.
PMID- 27196740
TI - Parathyroidectomy Improves Restless Leg Syndrome in Patients on Hemodialysis.
AB - BACKGROUND: Restless leg syndrome (RLS) is a sleep disorder with high prevalence
among patients on hemodialysis. It has been postulated that high phosphate and
high parathyroid hormone may be implicated in its pathogenesis. Standard
international criteria and face-to-face interview are not always applied.
METHODS: this was an interventional prospective study in which 19 patients (6
men, aged 48+/-11 years) with severe hyperparathyroidism were evaluated. RLS
diagnosis and rating scale were accessed based on the International RLS Study
Group pre- and post-parathyroidectomy. Patients also underwent standard
polysomnography. RESULTS: At baseline, RLS was present in 10 patients (52.6%),
and pain was the most reported symptom associated with the diagnosis. Patients
with RLS had higher serum phosphate (p = 0.008) that remained independently
associated with RLS in a logistic regression model, adjusted for hemoglobin, age
and gender (HR = 7.28;CI = 1.14-46.3, p = 0.035). After parathyroidectomy, there
was a reduction of serum parathyroid hormone, phosphate, calcium and alkaline
phosphatase, and an increase of 25(OH)-vitamin D, and Fetuin-A. Parathyroidectomy
alleviated RLS (from 52% to 21%; p = 0.04), which was accompanied by a decrease
in severity scale, in association with relief of pain and pruritus.
Polysomnography in these patients showed an improvement of sleep parameters as
measured by sleep efficiency, sleep latency and percentage of REM sleep.
CONCLUSION: RLS is associated with high levels of phosphate in patients with
severe secondary hyperparathyroidism on hemodialysis. Pain is most reported
complain in these patients. Parathyroidectomy provided an opportunity to relief
RLS. Whether the reduction of serum phosphorus or parathyroid hormone contributed
to this improvement merits further investigation.
PMID- 27196743
TI - Delivery of the high-mobility group box 1 box A peptide using heparin in the
acute lung injury animal models.
AB - In this study, the efficacy of the high-mobility group box-1 box A
(HMGB1A)/heparin complex was evaluated for the treatment of acute lung injury
(ALI). HMGB1A is an antagonist against wild-type high-mobility group box-1
(wtHMGB1), a pro-inflammatory cytokine that is involved in ALIs. HMGB1A has
positive charges and can be captured in the mucus layer after intratracheal
administration. To enhance the delivery and therapeutic efficiency of HMGB1A, the
HMGB1A/heparin complex was produced using electrostatic interactions, with the
expectation that the nano-sized complex with a negative surface charge could
efficiently penetrate the mucus layer. Additionally, heparin itself had an anti
inflammatory effect. Complex formation with HMGB1A and heparin was confirmed by
atomic force microscopy. The particle size and surface charge of the
HMGB1A/heparin complex at a 1:1 weight ratio were 113nm and -25mV, respectively.
Intratracheal administration of the complex was performed into an ALI animal
model. The results showed that the HMGB1A/heparin complex reduced pro
inflammatory cytokines, including tumor necrosis factor-alpha (TNF-alpha),
interleukin-6 (IL-6), and IL-1beta, more effectively than HMGB1A or heparin
alone. Hematoxylin and eosin staining confirmed the decreased inflammatory
reaction in the lungs after delivery of the HMGB1A/heparin complex. In
conclusion, the HMGB1A/heparin complex might be useful to treat ALI.
PMID- 27196745
TI - Emission of particulate matter from a desktop three-dimensional (3D) printer.
AB - Desktop three-dimensional (3D) printers are becoming commonplace in business
offices, public libraries, university labs and classrooms, and even private
homes; however, these settings are generally not designed for exposure control.
Prior experience with a variety of office equipment devices such as laser
printers that emit ultrafine particles (UFP) suggests the need to characterize 3D
printer emissions to enable reliable risk assessment. The aim of this study was
to examine factors that influence particulate emissions from 3D printers and
characterize their physical properties to inform risk assessment. Emissions were
evaluated in a 0.5-m(3) chamber and in a small room (32.7 m(3)) using real-time
instrumentation to measure particle number, size distribution, mass, and surface
area. Factors evaluated included filament composition and color, as well as the
manufacturer-provided printer emissions control technologies while printing an
object. Filament type significantly influenced emissions, with acrylonitrile
butadiene styrene (ABS) emitting larger particles than polylactic acid (PLA),
which may have been the result of agglomeration. Geometric mean particle sizes
and total particle (TP) number and mass emissions differed significantly among
colors of a given filament type. Use of a cover on the printer reduced TP
emissions by a factor of 2. Lung deposition calculations indicated a threefold
higher PLA particle deposition in alveoli compared to ABS. Desktop 3D printers
emit high levels of UFP, which are released into indoor environments where
adequate ventilation may not be present to control emissions. Emissions in
nonindustrial settings need to be reduced through the use of a hierarchy of
controls, beginning with device design, followed by engineering controls
(ventilation) and administrative controls such as choice of filament composition
and color.
PMID- 27196744
TI - Loss of presenilin function is associated with a selective gain of APP function.
AB - Presenilin 1 (PS1) is an essential gamma-secretase component, the enzyme
responsible for amyloid precursor protein (APP) intramembraneous cleavage.
Mutations in PS1 lead to dominant-inheritance of early-onset familial Alzheimer's
disease (FAD). Although expression of FAD-linked PS1 mutations enhances toxic
Abeta production, the importance of other APP metabolites and gamma-secretase
substrates in the etiology of the disease has not been confirmed. We report that
neurons expressing FAD-linked PS1 variants or functionally deficient PS1 exhibit
enhanced axodendritic outgrowth due to increased levels of APP intracellular C
terminal fragment (APP-CTF). APP expression is required for exuberant neurite
outgrowth and hippocampal axonal sprouting observed in knock-in mice expressing
FAD-linked PS1 mutation. APP-CTF accumulation initiates CREB signaling cascade
through an association of APP-CTF with Galphas protein. We demonstrate that
pathological PS1 loss-of-function impinges on neurite formation through a
selective APP gain-of-function that could impact on axodendritic connectivity and
contribute to aberrant axonal sprouting observed in AD patients.
PMID- 27196746
TI - Transient Elimination of Posterior Right Ventricular Outflow Tract Ectopy by
Sternal Pressure.
AB - BACKGROUND: Ventricular ectopy (VE) originating in the right ventricular outflow
tract (RVOT) is a common arrhythmia. Mechanisms triggering or eliminating VE from
RVOT are not entirely understood. METHODS AND RESULTS: A patient with frequent,
symptomatic VE underwent an electrophysiologic study: VE origin was mapped by
NavX 3D navigation (St. Jude Medical, Inc., St. Paul, MN, USA). Incidental
pressure applied manually to the sternum reproducibly eliminated VE for the time
of exposure. Radiofrequency-ablation was successfully performed in the posterior
RVOT. CONCLUSION: The mechanism resulting in VE suppression remains speculative,
since a mechanical alteration of the substrate for VE in the posterior RVOT by
sternal pressure seems unlikely. "Mechano-electrical feedback" might have been
the mechanism operative in this case.
PMID- 27196747
TI - Management of Acute Alcohol Withdrawal Syndrome in Critically Ill Patients.
AB - Approximately 16-31% of patients in the intensive care unit (ICU) have an alcohol
use disorder and are at risk for developing alcohol withdrawal syndrome (AWS).
Patients admitted to the ICU with AWS have an increased hospital and ICU length
of stay, longer duration of mechanical ventilation, higher costs, and increased
mortality compared with those admitted without an alcohol-related disorder.
Despite the high prevalence of AWS among ICU patients, no guidelines for the
recognition or management of AWS or delirium tremens in the critically ill
currently exist, leading to tremendous variability in clinical practice. Goals of
care should include immediate management of dehydration, nutritional deficits,
and electrolyte derangements; relief of withdrawal symptoms; prevention of
progression of symptoms; and treatment of comorbid illnesses. Symptom-triggered
treatment of AWS with gamma-aminobutyric acid receptor agonists is the
cornerstone of therapy. Benzodiazepines (BZDs) are most studied and are often the
preferred first-line agents due to their efficacy and safety profile. However,
controversy still exists as to who should receive treatment, how to administer
BZDs, and which BZD to use. Although most patients with AWS respond to usual
doses of BZDs, ICU clinicians are challenged with managing BZD-resistant
patients. Recent literature has shown that using an early multimodal approach to
managing BZD-resistant patients appears beneficial in rapidly improving symptoms.
This review highlights the results of recent promising studies published between
2011 and 2015 evaluating adjunctive therapies for BZD-resistant alcohol
withdrawal such as antiepileptics, baclofen, dexmedetomidine, ethanol, ketamine,
phenobarbital, propofol, and ketamine. We provide guidance on the places in
therapy for select agents for management of critically ill patients in the
presence of AWS.
PMID- 27196748
TI - Long Term Positive Effect of Grassland Restoration on Plant Diversity - Success
or Not?
AB - Restoration is important for biodiversity conservation worldwide, but
surprisingly little is known about its efficiency in a long-term perspective. In
this study, we re-examined Swedish semi-natural grasslands 12-20 years after the
restoration, by comparing field inventories of vascular plant species diversity
made in 2001 with follow-up inventories in 2012. We also analysed restoration
effect in relation to six environmental factors and used continuously managed
semi-natural grasslands as references of desired state after restoration. We
found that total species richness increased over time but not to reference
levels, while there were no significant changes in species density or number of
grassland specialists. However, the overall species composition in the restored
sites, as well as grassland specialist composition, now largely resembled
reference conditions. Fertilisation and time between abandonment and restoration
were the only environmental variables that affected total species composition
change, while site area affected change in grassland specialist composition. Our
results show that restoration of semi-natural grasslands can contribute to
conservation of semi-natural habitats and their associated biodiversity. Yet, due
to the vague restoration goals for these sites, it is difficult to evaluate the
restoration success, which emphasise the general need for clear and measurable
goals.
PMID- 27196749
TI - Preclinical Evaluation of AMG 337, a Highly Selective Small Molecule MET
Inhibitor, in Hepatocellular Carcinoma.
AB - Aberrant hepatocyte growth factor (HGF)/MET signaling has been implicated in
hepatocarcinogenesis, suggesting that MET may serve as an attractive therapeutic
target in hepatocellular carcinoma. We sought to investigate the in vitro and in
vivo antitumor activity of AMG 337, a potent and highly selective small molecule
MET kinase inhibitor, in preclinical models of hepatocellular carcinoma. The
antiproliferative activity of AMG 337 was evaluated across a panel of
hepatocellular carcinoma cell lines in a viability assay. Daily oral
administration was used to evaluate the in vivo antitumor activity of AMG 337 in
two patient-derived xenograft (PDX) models of hepatocellular carcinoma (LI0612
and LI1078). AMG 337 exerted potent antiproliferative activity against 2 of 40
hepatocellular carcinoma cell lines, namely, MHCC97H (IC50, 0.015 MUmol/L) and
HCCLM3 (IC50, 0.025 MUmol/L). Both sensitive cell lines showed MET amplification
(MET/CEN-7 >2.0) assessed by FISH, and high MET expression (3+ IHC) assessed by
IHC. AMG 337 potently inhibited p-MET in all cell lines with detectable levels of
total MET. However, the dose-dependent inhibition of downstream effectors of
HGF/MET signaling, including p-GAB1, p-AKT, and p-ERK, was limited to those cell
lines sensitive to AMG 337 in a viability assay (MHCC97H and HCCLM3). AMG 337
significantly inhibited tumor growth at all doses tested in the MET-amplified and
MET-high-expressing hepatocellular carcinoma PDX model LI0612 and had no effect
on tumor growth in the non-MET-amplified and MET-low-expressing hepatocellular
carcinoma PDX model LI1078. AMG 337 represents a promising and novel therapeutic
strategy for targeting hepatocellular carcinomas with a dependence on HGF/MET
signaling. Mol Cancer Ther; 15(6); 1227-37. (c)2016 AACR.
PMID- 27196750
TI - Therapeutic Targeting of miR-29b/HDAC4 Epigenetic Loop in Multiple Myeloma.
AB - Epigenetic abnormalities are common in hematologic malignancies, including
multiple myeloma, and their effects can be efficiently counteracted by a class of
tumor suppressor miRNAs, named epi-miRNAs. Given the oncogenic role of histone
deacetylases (HDAC) in multiple myeloma, we investigated whether their activity
could be antagonized by miR-29b, a well-established epi-miRNA. We demonstrated
here that miR-29b specifically targets HDAC4 and highlighted that both molecules
are involved in a functional loop. In fact, silencing of HDAC4 by shRNAs
inhibited multiple myeloma cell survival and migration and triggered apoptosis
and autophagy, along with the induction of miR-29b expression by promoter
hyperacetylation, leading to the downregulation of prosurvival miR-29b targets
(SP1, MCL-1). Moreover, treatment with the pan-HDAC inhibitor SAHA upregulated
miR-29b, overcoming the negative control exerted by HDAC4. Importantly,
overexpression or inhibition of miR-29b, respectively, potentiated or antagonized
SAHA activity on multiple myeloma cells, as also shown in vivo by a strong
synergism between miR-29b synthetic mimics and SAHA in a murine xenograft model
of human multiple myeloma. Altogether, our results shed light on a novel
epigenetic circuitry regulating multiple myeloma cell growth and survival and
open new avenues for miR-29b-based epi-therapeutic approaches in the treatment of
this malignancy. Mol Cancer Ther; 15(6); 1364-75. (c)2016 AACR.
PMID- 27196751
TI - Activating Transcription Factor 3 Expression as a Marker of Response to the
Histone Deacetylase Inhibitor Pracinostat.
AB - Improved treatment strategies are required for bladder cancer due to frequent
recurrence of low-grade tumors and poor survival rate from high-grade tumors with
current therapies. Histone deacetylase inhibitors (HDACi), approved as single
agents for specific lymphomas, have shown promising preclinical results in solid
tumors but could benefit from identification of biomarkers for response. Loss of
activating transcription factor 3 (ATF3) expression is a feature of bladder tumor
progression and correlates with poor survival. We investigated the utility of
measuring ATF3 expression as a marker of response to the HDACi pracinostat in
bladder cancer models. Pracinostat treatment of bladder cancer cell lines
reactivated the expression of ATF3, correlating with significant alteration in
proliferative, migratory, and anchorage-dependent growth capacities. Pracinostat
also induced growth arrest at the G0-G1 cell-cycle phase, coincident with the
activation of tumor suppressor genes. In mouse xenograft bladder cancer models,
pracinostat treatment significantly reduced tumor volumes compared with controls,
accompanied by reexpression of ATF3 in nonproliferating cells from early to late
stage of therapy and in parallel induced antiangiogenesis and apoptosis.
Importantly, cells in which ATF3 expression was depleted were less sensitive to
pracinostat treatment in vitro, exhibiting significantly higher proliferative and
migratory properties. In vivo, control xenograft tumors were significantly more
responsive to treatment than ATF3 knockdown xenografts. Thus, reactivation of
ATF3 is an important factor in determining sensitivity to pracinostat treatment,
both in vitro and in vivo, and could serve as a potential biomarker of response
and provide a rationale for therapeutic utility in HDACi-mediated treatments for
bladder cancer. Mol Cancer Ther; 15(7); 1726-39. (c)2016 AACR.
PMID- 27196752
TI - The Discovery and Characterization of K-756, a Novel Wnt/beta-Catenin Pathway
Inhibitor Targeting Tankyrase.
AB - The Wnt/beta-catenin pathway is a well-known oncogenic pathway. Its suppression
has long been considered as an important challenge in treating cancer patients.
Among colon cancer patients in particular, most patients carry an adenomatous
polyposis coli (APC) mutation that leads to an aberration of Wnt/beta-catenin
pathway. To discover the small molecule inhibitors of the Wnt/beta-catenin
pathway, we conducted high-throughput screening in APC-mutant colon cancer DLD-1
cells using a transcriptional reporter assay, which identified a selective
Wnt/beta-catenin pathway inhibitor, K-756. K-756 stabilizes Axin and reduces
active beta-catenin, and inhibits the genes downstream of endogenous Wnt/beta
catenin. We subsequently identified that K-756 is a tankyrase (TNKS) inhibitor.
TNKS, which belongs to the PARP family, poly-ADP ribosylates Axin and promotes
Axin degradation via the proteasome pathway. K-756 binds to the induced pocket of
TNKS and inhibits its enzyme activity. Moreover, PARP family enzyme assays showed
that K-756 is a selective TNKS inhibitor. K-756 inhibited the cell growth of APC
mutant colorectal cancer COLO 320DM and SW403 cells by inhibiting the Wnt/beta
catenin pathway. An in vivo study showed that the oral administration of K-756
inhibited the Wnt/beta-catenin pathway in colon cancer xenografts in mice. To
further explore the therapeutic potential of K-756, we also evaluated the effects
of K-756 in non-small cell lung cancer cells. Although a single treatment of K
756 did not induce antiproliferative activity, when K-756 was combined with an
EGFR inhibitor (gefitinib), it showed a strong synergistic effect. Therefore, K
756, a novel selective TNKS inhibitor, could be a leading compound in the
development of anticancer agents. Mol Cancer Ther; 15(7); 1525-34. (c)2016 AACR.
PMID- 27196753
TI - Osimertinib (AZD9291) Enhanced the Efficacy of Chemotherapeutic Agents in ABCB1-
and ABCG2-Overexpressing Cells In Vitro, In Vivo, and Ex Vivo.
AB - The overexpression of ATP-binding cassette (ABC) transporters has been proved to
be a major trigger for multidrug resistance (MDR) in certain types of cancer. In
our study, we investigated whether osimertinib (AZD9291), a third-generation
irreversible tyrosine kinase inhibitor of both activating EGFR mutations and
resistance-associated T790M point mutation, could reverse MDR induced by ABCB1
and ABCG2 in vitro, in vivo, and ex vivo Our results showed that osimertinib
significantly increased the sensitivity of ABCB1- and ABCG2-overexpressing cells
to their substrate chemotherapeutic agents in vitro and in the model of ABCB1
overexpressing KBv200 cell xenograft in nude mice. Mechanistically, osimertinib
increased the intracellular accumulations of doxorubicin (DOX) and Rhodamine 123
(Rho 123) by inhibiting the efflux function of the transporters in ABCB1- or
ABCG2-overexpressing cells but not in their parental sensitive cells.
Furthermore, osimertinib stimulated the ATPase activity of both ABCB1 and ABCG2
and competed with the [(125)I] iodoarylazidoprazosin photolabeling bound to ABCB1
or ABCG2, but did not alter the localization and expression of ABCB1 or ABCG2 in
mRNA and protein levels nor the phosphorylations of EGFR, AKT, and ERK.
Importantly, osimertinib also enhanced the cytotoxicity of DOX and intracellular
accumulation of Rho 123 in ABCB1-overexpressing primary leukemia cells. Overall,
these findings suggest osimertinib reverses ABCB1- and ABCG2-mediated MDR via
inhibiting ABCB1 and ABCG2 from pumping out chemotherapeutic agents and provide
possibility for cancer combinational therapy with osimertinib in the clinic. Mol
Cancer Ther; 15(8); 1845-58. (c)2016 AACR.
PMID- 27196754
TI - Concomitant Inhibition of PI3Kbeta and BRAF or MEK in PTEN-Deficient/BRAF-Mutant
Melanoma Treatment: Preclinical Assessment of SAR260301 Oral PI3Kbeta-Selective
Inhibitor.
AB - Class IA PI3K pathway activation resulting from PTEN deficiency has been
associated with lack of sensitivity of melanoma to BRAF kinase inhibitors.
Although previous studies have shown synergistic activity when pan-PI3K
inhibitors were combined with MAPK inhibitors in the treatment of melanoma
exhibiting concurrent genetic abnormalities, overlapping adverse events in
patients limit optimal dosing and clinical application. With the aim of
specifically targeting PTEN-deficient cancers and minimizing the potential for on
target toxicity when inhibiting multiple PI3K isoforms, we developed a program to
discover PI3Kbeta-selective kinase inhibitors and identified SAR260301 as a
potent PI3Kbeta-selective, orally available compound, which is now in clinical
development. Herein, we provide a detailed biological characterization of
SAR260301, and show that this compound has outstanding biochemical and cellular
selectivity for the PI3Kbeta isoform versus the alpha, delta, and gamma isoforms
and a large panel of protein and lipid kinases. We demonstrate that SAR260301
blocks PI3K pathway signaling preferentially in PTEN-deficient human tumor
models, and has synergistic antitumor activity when combined with vemurafenib
(BRAF inhibitor) or selumetinib (MEK inhibitor) in PTEN-deficient/BRAF-mutated
human melanoma tumor models. Combination treatments were very well tolerated,
suggesting the potential for a superior safety profile at optimal dosing using
selective compounds to inhibit multiple signaling pathways. Together, these
experiments provide a preclinical proof-of-concept for safely combining
inhibitors of PI3Kbeta and BRAF or MEK kinase modulators to improve antitumor
activity in PTEN-deficient/BRAF-mutant melanoma, and support the evaluation of
SAR260301-based combinations in clinical studies. Mol Cancer Ther; 15(7); 1460
71. (c)2016 AACR.
PMID- 27196755
TI - Loss of PKCdelta Induces Prostate Cancer Resistance to Paclitaxel through
Activation of Wnt/beta-Catenin Pathway and Mcl-1 Accumulation.
AB - Prostate cancer is the leading cause of cancer-related death among men in
developed countries. Although castration therapy is initially effective, prostate
cancers progress to hormone-refractory disease and in this case taxane-based
chemotherapy is widely used. Castration-resistant prostate cancer cells often
develop resistance to chemotherapy agents and the search for new therapeutic
strategies is necessary. In this article, we demonstrate that PKCdelta silencing
favors mitotic arrest after paclitaxel treatment in PC3 and LNCaP cells; however,
this is associated with resistance to paclitaxel-induced apoptosis. In prostate
cancer cells, PKCdelta seems to exert a proapoptotic role, acting as a negative
regulator of the canonical Wnt/beta-catenin pathway. PKCdelta silencing induces
activation of Wnt/beta-catenin pathway and the expression of its target genes,
including Aurora kinase A, which is involved in activation of Akt and both
factors play a key role in GSK3beta inactivation and consequently in the
stabilization of beta-catenin and antiapoptotic protein Mcl-1. We also show that
combined treatments with paclitaxel and Wnt/beta-catenin or Akt inhibitors
improve the apoptotic response to paclitaxel, even in the absence of PKCdelta.
Finally, we observe that high Gleason score prostate tumors lose PKCdelta
expression and this correlates with higher activation of beta-catenin,
inactivation of GSK3beta, and higher levels of Aurora kinase A and Mcl-1
proteins. These findings suggest that targeting Wnt/beta-catenin or Akt pathways
may increase the efficacy of taxane chemotherapy in advanced human prostate
cancers that have lost PKCdelta expression. Mol Cancer Ther; 15(7); 1713-25.
(c)2016 AACR.
PMID- 27196756
TI - The Effect of F877L and T878A Mutations on Androgen Receptor Response to
Enzalutamide.
AB - Treatment-induced mutations in the ligand-binding domain of the androgen receptor
(AR) are known to change antagonists into agonists. Recently, the F877L mutation
has been described to convert enzalutamide into an agonist. This mutation was
seen to co-occur in the endogenous AR allele of LNCaP cells, next to the T878A
mutation. Here, we studied the effects of enzalutamide on the F877L and T878A
mutants, as well as the double-mutant AR (F877L/T878A). Molecular modeling
revealed favorable structural changes in the double-mutant AR that lead to a
decrease in steric clashes for enzalutamide. Ligand-binding assays confirmed that
the F877L mutation leads to an increase in relative binding affinity for
enzalutamide, but only the combination with the T878A mutation resulted in a
strong agonistic activity. This correlated with changes in coregulator
recruitment and chromatin interactions. Our data show that enzalutamide is only a
very weak partial agonist of the AR F877L, and a strong partial agonist of the
double-mutant AR. Mol Cancer Ther; 15(7); 1702-12. (c)2016 AACR.
PMID- 27196742
TI - Barriers to inhaled gene therapy of obstructive lung diseases: A review.
AB - Knowledge of genetic origins of obstructive lung diseases has made inhaled gene
therapy an attractive alternative to the current standards of care that are
limited to managing disease symptoms. Initial lung gene therapy clinical trials
occurred in the early 1990s following the discovery of the genetic defect
responsible for cystic fibrosis (CF), a monogenic disorder. However, despite over
two decades of intensive effort, gene therapy has yet to help patients with CF or
any other obstructive lung disease. The slow progress is due in part to poor
understanding of the biological barriers to inhaled gene therapy. Encouragingly,
clinical trials have shown that inhaled gene therapy with various viral vectors
and non-viral gene vectors is well tolerated by patients, and continued research
has provided valuable lessons and resources that may lead to future success of
this therapeutic strategy. In this review, we first introduce representative
obstructive lung diseases and examine limitations of currently available
therapeutic options. We then review key components for successful execution of
inhaled gene therapy, including gene delivery systems, primary physiological
barriers and strategies to overcome them, and advances in preclinical disease
models with which the most promising systems may be identified for human clinical
trials.
PMID- 27196758
TI - Src as a Therapeutic Target in Biliary Tract Cancer.
AB - Src, a nonreceptor tyrosine kinase, is involved in a number of cancer-related
signaling pathways and aberrantly activated in biliary tract cancer (BTC). This
study aimed to elucidate the potential role of Src as a therapeutic target in
BTC. We tested bosutinib, an orally active c-Src/Abl kinase inhibitor, alone or
in combination with cytotoxic agents using 9 human BTC cell lines: SNU-245, SNU
308, SNU-478, SNU-869, SNU-1079, SNU-1196, HuCCT1, TFK-1, and EGI-1. Of these,
SNU-308 and SNU-478 were relatively sensitive to bosutinib. Bosutinib abrogated
phosphorylation of Src and its downstream molecules, and significantly increased
G1 cell-cycle arrest and apoptosis. Bosutinib significantly inhibited cell
migration and invasion and decreased epithelial-mesenchymal transition markers.
Bosutinib combined with gemcitabine or cisplatin showed synergistic
antiproliferative and antimigratory effects. In addition, this combination
further inhibited phosphorylation of Src and its downstream molecules and
decreased epithelial-mesenchymal transition marker expression compared with
bosutinib alone. We established a SNU-478 xenograft model for in vivo
experiments, because SNU-478 was more tumorigenic than SNU-308. Bosutinib
combined with gemcitabine or cisplatin showed significantly more potent antitumor
effects than bosutinib alone. Bosutinib combined with gemcitabine further
decreased Ki-67 expression and Src phosphorylation, and further increased TUNEL
expression. Our data suggest that Src might be a potential therapeutic target in
BTC. Bosutinib demonstrated promising antitumor activity alone or in combination
with gemcitabine or cisplatin in BTC cells, which supports further clinical
development in patients with advanced BTC. Mol Cancer Ther; 15(7); 1515-24.
(c)2016 AACR.
PMID- 27196757
TI - Chromatin Regulators as a Guide for Cancer Treatment Choice.
AB - The limited capacity to predict a patient's response to distinct chemotherapeutic
agents is a major hurdle in cancer management. The efficiency of a large fraction
of current cancer therapeutics (radio- and chemotherapies) is influenced by
chromatin structure. Reciprocally, alterations in chromatin organization may
affect resistance mechanisms. Here, we explore how the misexpression of chromatin
regulators-factors involved in the establishment and maintenance of functional
chromatin domains-can inform about the extent of docetaxel response. We exploit
Affymetrix and NanoString gene expression data for a set of chromatin regulators
generated from breast cancer patient-derived xenograft models and patient samples
treated with docetaxel. Random Forest classification reveals specific panels of
chromatin regulators, including key components of the SWI/SNF chromatin
remodeler, which readily distinguish docetaxel high-responders and poor
responders. Further exploration of SWI/SNF components in the comprehensive NCI-60
dataset reveals that the expression inversely correlates with docetaxel
sensitivity. Finally, we show that loss of the SWI/SNF subunit BRG1 (SMARCA4) in
a model cell line leads to enhanced docetaxel sensitivity. Altogether, our
findings point toward chromatin regulators as biomarkers for drug response as
well as therapeutic targets to sensitize patients toward docetaxel and combat
drug resistance. Mol Cancer Ther; 15(7); 1768-77. (c)2016 AACR.
PMID- 27196760
TI - TRX-E-002-1 Induces c-Jun-Dependent Apoptosis in Ovarian Cancer Stem Cells and
Prevents Recurrence In Vivo.
AB - Chemoresistance is a major hurdle in the management of patients with epithelial
ovarian cancer and is responsible for its high mortality. Studies have shown that
chemoresistance is due to the presence of a subgroup of cancer cells with
stemness properties and a high capacity for tumor repair. We have developed a
library of super-benzopyran analogues to generate potent compounds that can
induce cell death in chemoresistant cancer stem cells. TRX-E-002-1 is identified
as the most potent analogue and can induce cell death in all chemoresistant
CD44(+)/MyD88(+) ovarian cancer stem cells tested (IC50 = 50 nmol/L). TRX-E-002-1
is also potent against spheroid cultures formed from cancer stem cells,
chemosensitive CD44(-)/MyD88(-) ovarian cancer cells, and heterogeneous cultures
of ovarian cancer cells. Cell death was associated with the phosphorylation and
increased levels of c-Jun and induction of caspases. In vivo, TRX-E-002-1 given
as daily intraperitoneal monotherapy at 100 mg/kg significantly decreased
intraperitoneal tumor burden compared with vehicle control. When given in
combination with cisplatin, animals receiving the combination of cisplatin and
TRX-E-002-1 showed decreased tumor burden compared with each monotherapy.
Finally, TRX-E-002-1 given as maintenance treatment after paclitaxel
significantly delayed disease recurrence. Our results suggest that TRX-E-002-1
may fill the current need for better therapeutic options in the control and
management of recurrent ovarian cancer and may help improve patient survival. Mol
Cancer Ther; 15(6); 1279-90. (c)2016 AACR.
PMID- 27196759
TI - MSK1-Mediated beta-Catenin Phosphorylation Confers Resistance to PI3K/mTOR
Inhibitors in Glioblastoma.
AB - Glioblastoma (GBM) represents a compelling disease for kinase inhibitor therapy
because most of these tumors harbor genetic alterations that result in aberrant
activation of growth factor-signaling pathways. The PI3K/mammalian target of the
rapamycin (mTOR) pathway is dysregulated in over 50% of human GBM but remains a
challenging clinical target. Inhibitors against PI3K/mTOR mediators have limited
clinical efficacy as single agents. We investigated potential bypass mechanisms
to PI3K/mTOR inhibition using gene expression profiling before and after PI3K
inhibitor treatment by Affymetrix microarrays. Mitogen- and stress-activated
protein kinase 1 (MSK1) was markedly induced after PI3K/mTOR inhibitor treatment
and disruption of MSK1 by specific shRNAs attenuated resistance to PI3K/mTOR
inhibitors in glioma-initiating cells (GIC). Further investigation showed that
MSK1 phosphorylates beta-catenin and regulates its nuclear translocation and
transcriptional activity. The depletion of beta-catenin potentiated PI3K/mTOR
inhibitor-induced cytotoxicity and the inhibition of MSK1 synergized with
PI3K/mTOR inhibitors to extend survival in an intracranial animal model and
decreased phosphorylation of beta-catenin at Ser(552) These observations suggest
that MSK1/beta-catenin signaling serves as an escape survival signal upon
PI3K/mTOR inhibition and provides a strong rationale for the combined use of
PI3K/mTOR and MSK1/beta-catenin inhibition to induce lethal growth inhibition in
human GBM. Mol Cancer Ther; 15(7); 1656-68. (c)2016 AACR.
PMID- 27196762
TI - Suppression of SRC Signaling Is Effective in Reducing Synergy between
Glioblastoma and Stromal Cells.
AB - Glioblastoma cells efficiently interact with and infiltrate the surrounding
normal tissue, rendering surgical resection and adjuvant chemo/radiotherapy
ineffective. New therapeutic targets, able to interfere with glioblastoma's
capacity to synergize with normal brain tissue, are currently under
investigation. The compound Si306, a pyrazolo[3,4-d]pyrimidine derivative,
selected for its favorable activity against SRC, was tested in vitro and in vivo
on glioblastoma cell lines. In vivo, combination treatment with Si306 and
radiotherapy was strongly active in reducing U-87 xenograft growth with respect
to control and single treatments. The histology revealed a significant difference
in the stromal compartment of tumoral tissue derived from control or radiotherapy
treated samples with respect to Si306-treated samples, showing in the latter a
reduced presence of collagen and alpha-SMA-positive cells. This effect was
paralleled in vitro by the capacity of Si306 to interfere with myofibroblastic
differentiation of normal fibroblasts induced by U-87 cells. In the presence of
Si306, TGF-beta released by U-87 cells, mainly in hypoxia, was ineffective in
upregulating alpha-SMA and beta-PDGFR in fibroblasts. Si306 efficiently reached
the brain and significantly prolonged the survival of mice orthotopically
injected with U-87 cells. Drugs that target SRC could represent an effective
therapeutic strategy in glioblastoma, able to block positive paracrine loop with
stromal cells based on the beta-PDGFR axis and the formation of a tumor-promoting
microenvironment. This approach could be important in combination with
conventional treatments in the effort to reduce tumor resistance to therapy. Mol
Cancer Ther; 15(7); 1535-44. (c)2016 AACR.
PMID- 27196761
TI - Targeting IkappaB Kinase beta/NF-kappaB Signaling in Human Prostate Cancer by a
Novel IkappaB Kinase beta Inhibitor CmpdA.
AB - NF-kappaB plays an important role in many types of cancer, including prostate
cancer, but the role of the upstream kinase of NF-kappaB, IKKbeta, in prostate
cancer has neither been fully documented nor are there any effective IKKbeta
inhibitors used in clinical settings. Here, we have shown that IKKbeta activity
is mediated by multiple kinases including IKKalpha in human prostate cancer cell
lines that express activated IKKbeta. IHC analysis (IHC) of human prostate cancer
tissue microarrays (TMA) demonstrates that phosphorylation of IKKalpha/beta
within its activation loop gradually increases in low to higher stage tumors as
compared with normal tissue. The expression of cell proliferation and survival
markers (Ki-67, Survivin) and epithelial-to-mesenchymal transition (EMT) markers
(Slug, Snail), as well as cancer stem cell (CSC)-related transcription factors
(Nanog, Sox2, Oct-4), also increase in parallel among the respective TMA samples
analyzed. IKKbeta, but not NF-kappaB, is found to regulate Nanog, which, in turn,
modulates the levels of Oct4, Sox2, Snail, and Slug, indicating an essential role
of IKKbeta in regulating CSCs and EMT. The novel IKKbeta inhibitor CmpdA inhibits
constitutively activated IKKbeta/NF-kappaB signaling, leading to induction of
apoptosis and inhibition of proliferation, migration, and stemness in these
cells. CmpdA also significantly inhibits tumor growth in xenografts without
causing apparent in vivo toxicity. Furthermore, CmpdA and docetaxel act
synergistically to inhibit proliferation of prostate cancer cells. These results
indicate that IKKbeta plays a pivotal role in prostate cancer, and targeting
IKKbeta, including in combination with docetaxel, may be a potentially useful
strategy for treating advanced prostate cancer. Mol Cancer Ther; 15(7); 1504-14.
(c)2016 AACR.
PMID- 27196763
TI - Infiltrating T Cells Promote Bladder Cancer Progression via Increasing IL1
>Androgen Receptor->HIF1alpha->VEGFa Signals.
AB - The tumor microenvironment impacts tumor progression and individual cells,
including CD4(+) T cells, which have been detected in bladder cancer tissues. The
detailed mechanism of how these T cells were recruited to the bladder cancer
tumor and their impact on bladder cancer progression, however, remains unclear.
Using a human clinical bladder cancer sample survey and in vitro coculture
system, we found that bladder cancer has a greater capacity to recruit T cells
than surrounding normal bladder tissues. The consequences of higher levels of
recruited T cells in bladder cancer included increased bladder cancer metastasis.
Mechanism dissection revealed that infiltrating T cells might function through
secreting the cytokine IL1, which increases the recruitment of T cells to bladder
cancer and enhances the bladder cancer androgen receptor (AR) signaling that
results in increased bladder cancer cell invasion via upregulation of hypoxia
inducible factor-1alpha (HIF1alpha)/VEGFa expression. Interruption of the IL1->AR
>HIF1alpha->VEGFa signals with inhibitors of HIF1alpha or VEGFa partially
reversed the enhanced bladder cancer cell invasion. Finally, in vivo mouse models
of xenografted bladder cancer T24 cells with CD4(+) T cells confirmed in vitro
coculture studies and concluded that infiltrating CD4(+) T cells can promote
bladder cancer metastasis via modulation of the IL1->AR->HIF1alpha->VEGFa
signaling. Future clinical trials using small molecules to target this newly
identified signaling pathway may facilitate the development of new therapeutic
approaches to better suppress bladder cancer metastasis. Mol Cancer Ther; 15(8);
1943-51. (c)2016 AACR.
PMID- 27196764
TI - Clinical Significance of TLR1 I602S Polymorphism for Patients with Metastatic
Colorectal Cancer Treated with FOLFIRI plus Bevacizumab.
AB - The purpose of this study was to evaluate the clinical significance of single
nucleotide polymorphisms in TLR1, TLR2, TLR6, and TAK1 in patients with
metastatic colorectal cancer (mCRC). We genotyped 9 SNPs of TLR1, TLR2, TLR6, and
TAK1 in mCRC patients treated with first-line FOLFIRI (combination therapy of
irinotecan, 5-fluorouracil, and folinic acid) plus bevacizumab, using a discovery
cohort (TRIBE trial, n = 228) and a validation cohort (FIRE-3 trial, n = 297),
and analyzed for the association with response rate (RR), progression-free
survival (PFS), and overall survival (OS). There was a significant association of
TLR1 rs5743618 (T1805G) with the clinical outcome. In the TRIBE cohort, a
homozygous wild-type genotype (T/T) associated with a significantly lower RR
compared with variant T/G and G/G genotypes (43% vs. 62%, P = 0.025), and this
observation was validated in the FIRE-3 cohort (46% vs. 65%, P = 0.021). In
addition, those patients with the T/T genotype had significantly worse PFS
(median, 8.2 vs. 10.5 months; HR, 1.57; 95% CI, 1.09-2.28, P = 0.014) and OS
(median: 19.9 vs. 27.9 months; HR, 1.63; 95% CI, 1.14-2.35, P = 0.007), compared
with those with other genotypes in the TRIBE cohort. These differences remained
statistically significant in multivariate analysis. Our data suggest that TLR1
rs5743618 could serve as a predictor of clinical response to FOLFIRI plus
bevacizumab in patients with mCRC. Mol Cancer Ther; 15(7); 1740-5. (c)2016 AACR.
PMID- 27196766
TI - Sensitizing Triple-Negative Breast Cancer to PI3K Inhibition by Cotargeting
IGF1R.
AB - Targeted therapies have proven invaluable in the treatment of breast cancer, as
exemplified by tamoxifen treatment for hormone receptor-positive tumors and
trastuzumab treatment for HER2-positive tumors. In contrast, a subset of breast
cancer negative for these markers, triple-negative breast cancer (TNBC), has met
limited success with pathway-targeted therapies. A large fraction of TNBCs depend
on the PI3K pathway for proliferation and survival, but inhibition of PI3K alone
generally has limited clinical benefit. We performed an RNAi-based genetic screen
in a human TNBC cell line to identify kinases whose knockdown synergizes with the
PI3K inhibitor GDC-0941 (pictilisib). We discovered that knockdown of insulin
like growth factor-1 receptor (IGF1R) expression potently increased sensitivity
of these cells to GDC-0941. Pharmacologic inhibition of IGF1R using OSI-906
(linsitinib) showed a strong synergy with PI3K inhibition. Furthermore, we found
that the combination of GDC-0941 and OSI-906 is synergistic in 8 lines from a
panel of 18 TNBC cell lines. In these cell lines, inhibition of IGF1R further
decreases the activity of downstream PI3K pathway components when PI3K is
inhibited. Expression analysis of the panel of TNBC cell lines indicates that the
expression levels of IGF2BP3 can be used as a potential predictor for sensitivity
to the PI3K/IGF1R inhibitor combination. Our data show that combination therapy
consisting of PI3K and IGF1R inhibitors could be beneficial in a subset of TNBCs.
Mol Cancer Ther; 15(7); 1545-56. (c)2016 AACR.
PMID- 27196765
TI - PAXIP1 Potentiates the Combination of WEE1 Inhibitor AZD1775 and Platinum Agents
in Lung Cancer.
AB - The DNA damage response (DDR) involves a complex network of signaling events
mediated by modular protein domains such as the BRCA1 C-terminal (BRCT) domain.
Thus, proteins that interact with BRCT domains and are a part of the DDR
constitute potential targets for sensitization to DNA-damaging chemotherapy
agents. We performed a pharmacologic screen to evaluate 17 kinases, identified in
a BRCT-mediated interaction network as targets to enhance platinum-based
chemotherapy in lung cancer. Inhibition of mitotic kinase WEE1 was found to have
the most effective response in combination with platinum compounds in lung cancer
cell lines. In the BRCT-mediated interaction network, WEE1 was found in complex
with PAXIP1, a protein containing six BRCT domains involved in transcription and
in the cellular response to DNA damage. We show that PAXIP1 BRCT domains regulate
WEE1-mediated phosphorylation of CDK1. Furthermore, ectopic expression of PAXIP1
promotes enhanced caspase-3-mediated apoptosis in cells treated with WEE1
inhibitor AZD1775 (formerly, MK-1775) and cisplatin compared with cells treated
with AZD1775 alone. Cell lines and patient-derived xenograft models expressing
both PAXIP1 and WEE1 exhibited synergistic effects of AZD1775 and cisplatin. In
summary, PAXIP1 is involved in sensitizing lung cancer cells to the WEE1
inhibitor AZD1775 in combination with platinum-based treatment. We propose that
WEE1 and PAXIP1 levels may be used as mechanism-based biomarkers of response when
WEE1 inhibitor AZD1775 is combined with DNA-damaging agents. Mol Cancer Ther;
15(7); 1669-81. (c)2016 AACR.
PMID- 27196767
TI - Cetuximab Resistance in Squamous Carcinomas of the Upper Aerodigestive Tract Is
Driven by Receptor Tyrosine Kinase Plasticity: Potential for mAb Mixtures.
AB - Squamous cell carcinomas (SCC) arising in upper parts of the aerodigestive tract
are among the leading causes of death worldwide. EGFR has been found to play an
essential role in driving the malignancy of SCC of the upper aerodigestive tract
(SCCUAT), but, despite this, clinical results using a range of different EGFR
targeted agents have been disappointing. Cetuximab is currently the only EGFR
targeted agent approved by the FDA for treatment of SCCUAT. However, intrinsic
and acquired cetuximab resistance is a major problem for effective therapy. Thus,
a better understanding of the mechanisms responsible for cetuximab resistance is
valuable for development of the next generation of antibody therapeutics. In
order to better understand the underlying mechanisms of cetuximab resistance in
SCCUAT, we established from cetuximab-sensitive models cell lines with acquired
resistance to cetuximab by continuous selective pressure in vitro and in vivo Our
results show that resistant clones maintain partial dependency on EGFR and that
receptor tyrosine kinase plasticity mediated by HER3 and IGF1R plays an essential
role. A multitarget mAb mixture against EGFR, HER3, and IGF1R was able to
overcome cetuximab resistance in vitro To our surprise, these findings could be
extended to include SCCUAT cell lines with intrinsic resistance to cetuximab,
suggesting that the triad consisting of EGFR, HER3, and IGF1R plays a key role in
SCCUAT. Our results thus provide a rationale for simultaneous targeting of EGFR,
HER3, and IGF1R in SCCUAT. Mol Cancer Ther; 15(7); 1614-26. (c)2016 AACR.
PMID- 27196768
TI - EGFR-Mediated Reactivation of MAPK Signaling Induces Acquired Resistance to
GSK2118436 in BRAF V600E-Mutant NSCLC Cell Lines.
AB - Although treatment of BRAF V600E-mutant non-small cell lung cancer (NSCLC(V600E))
with GSK2118436 has shown an encouraging efficacy, most patients develop
resistance. To investigate the mechanisms of acquired resistance to GSK2118436 in
NSCLC(V600E), we established GSK2118436-resistant (GSR) cells by exposing MV522
NSCLC(V600E) to increasing GSK2118436 concentrations. GSR cells displayed
activated EGFR-RAS-CRAF signaling with upregulated EGFR ligands and sustained
activation of ERK1/2, but not MEK1/2, in the presence of GSK2118436. Treatment of
GSR cells with GSK2118436 enhanced EGFR-mediated RAS activity, leading to the
formation of BRAF-CRAF dimers and transactivation of CRAF. Interestingly,
sustained activation of ERK1/2 was partly dependent on receptor-interacting
protein kinase-2 (RIP2) activity, but not on MEK1/2 activity. Combined BRAF and
EGFR inhibition blocked reactivation of ERK signaling and improved efficacy in
vitro and in vivo Our findings support the evaluation of combined BRAF and EGFR
inhibition in NSCLC(V600E) with acquired resistance to BRAF inhibitors. Mol
Cancer Ther; 15(7); 1627-36. (c)2016 AACR.
PMID- 27196769
TI - Cell-Cycle Gene Alterations in 4,864 Tumors Analyzed by Next-Generation
Sequencing: Implications for Targeted Therapeutics.
AB - Alterations in the cyclin-dependent kinase (CDK)-retinoblastoma (RB) machinery
disrupt cell-cycle regulation and are being targeted in drug development. To
understand the cancer types impacted by this pathway, we analyzed frequency of
abnormalities in key cell-cycle genes across 4,864 tumors using next-generation
sequencing (182 or 236 genes; Clinical Laboratory Improvement Amendments
laboratory). Aberrations in the cell-cycle pathway were identified in 39% of
cancers, making this pathway one of the most commonly altered in cancer. The
frequency of aberrations was as follows: CDKN2A/B (20.1% of all patients), RB1
(7.6%), CCND1 (6.1%), CCNE1 (3.6%), CDK4 (3.2%), CCND3 (1.8%), CCND2 (1.7%), and
CDK6 (1.7%). Rates and types of aberrant cell-cycle pathway genes differed
between cancer types and within histologies. Analysis of coexisting and mutually
exclusive genetic aberrations showed that CCND1, CCND2, and CCND3 aberrations
were all positively associated with CDK6 aberrations [OR and P values,
multivariate analysis: CCND1 and CDK6 (OR = 3.5; P < 0.0001), CCND2 and CDK6 (OR
= 4.3; P = 0.003), CCND3 and CDK6 (OR = 3.6; P = 0.007)]. In contrast, RB1
alterations were negatively associated with multiple gene anomalies in the cell
cycle pathway, including CCND1 (OR = 0.25; P = 0.003), CKD4 (OR = 0.10; P =
0.001), and CDKN2A/B (OR = 0.21; P < 0.0001). In conclusion, aberrations in the
cell-cycle pathway were very common in diverse cancers (39% of 4,864 neoplasms).
The frequencies and types of alterations differed between and within tumor types
and will be informative for drug development strategies. Mol Cancer Ther; 15(7);
1682-90. (c)2016 AACR.
PMID- 27196770
TI - Inhibition of Nucleotide Synthesis Targets Brain Tumor Stem Cells in a Subset of
Glioblastoma.
AB - Inhibition of both the de novo (DNP) and salvage (NSP) pathways of nucleoside
synthesis has been demonstrated to impair leukemia cells. We endeavored to
determine whether this approach would be efficacious in glioblastoma. To diminish
nucleoside biosynthesis, we utilized compound DI-39, which selectively targets
NSP, in combination with thymidine (dT), which selectively targets DNP. We
employed in vitro and ex vivo models to determine the effects of pretreatment
with dT + DI-39 on brain tumor stem cells (BTSC). Here, we demonstrate that this
combinatorial therapy elicits a differential response across a spectrum of human
patient-derived glioblastoma cultures. As determined by apoptotic markers, most
cultures were relatively resistant to treatment, although a subset was highly
sensitive. Sensitivity was unrelated to S-phase delay and to DNA damage induced
by treatment. Bioinformatics analysis indicated that response across cultures was
associated with the transcription factor PAX3 (associated with resistance) and
with canonical pathways, including the nucleotide excision repair pathway, PTEN
(associated with resistance), PI3K/AKT (associated with sensitivity), and ErbB2
ErbB3. Our in vitro assays demonstrated that, in sensitive cultures, clonal
sphere formation was reduced upon removal from pretreatment. In contrast, in a
resistant culture, clonal sphere formation was slightly increased upon removal
from pretreatment. Moreover, in an intracranial xenograft model, pretreatment of
a sensitive culture caused significantly smaller and fewer tumors. In a resistant
culture, tumors were equivalent irrespective of pretreatment. These results
indicate that, in the subset of sensitive glioblastoma, BTSCs are targeted by
inhibition of pyrimidine synthesis. Mol Cancer Ther; 15(6); 1271-8. (c)2016 AACR.
PMID- 27196771
TI - Reduced Shedding of Surface Mesothelin Improves Efficacy of Mesothelin-Targeting
Recombinant Immunotoxins.
AB - Mesothelin (MSLN) is a differentiation antigen that is highly expressed in many
epithelial cancers. MSLN is an important therapeutic target due to its high
expression in cancers and limited expression in normal human tissues. Although it
has been assumed that shed antigen is a barrier to immunotoxin action, a modeling
study predicted that shed MSLN may enhance the action of MSLN-targeting
recombinant immunotoxins such as SS1P and similar therapeutics by facilitating
their redistribution within tumors. We aimed to determine whether shed MSLN
enhances or reduces the antitumor effect of MSLN-targeting immunotoxins SS1P and
RG7787. We engineered a cell line, A431/G9 (TACE mutant) that expresses a mutant
form of MSLN in which the TNF-converting enzyme protease site is replaced with
GGGS. We compared the response of the TACE-mutant cells with immunotoxins SS1P
and RG7787 with that of the parental A431/H9 cell line. We show that TACE-mutant
cells shed 80% less MSLN than A431/H9 cells, that TACE-mutant cells show a 2- to
3-fold increase in MSLN-targeted immunotoxin uptake, and that they are about 5
fold more sensitive to SS1P killing in cell culture. Tumors with reduced shedding
respond significantly better to treatment with SS1P and RG7787. Our data show
that MSLN shedding is an impediment to the antitumor activity of SS1P and RG7787.
Approaches that decrease MSLN shedding could enhance the efficacy of immunotoxins
and immunoconjugates targeting MSLN-expressing tumors. Mol Cancer Ther; 15(7);
1648-55. (c)2016 AACR.
PMID- 27196772
TI - An Artificially Designed Interfering lncRNA Expressed by Oncolytic Adenovirus
Competitively Consumes OncomiRs to Exert Antitumor Efficacy in Hepatocellular
Carcinoma.
AB - Endogenous miRNAs, especially oncogenic miRNAs (OncomiR), have been molecular
targets for cancer therapy. We generated an artificially designed interfering
long noncoding RNA (lncRNAi), which contains the sequences that can
complementarily bind to multiple OncomiRs and is expressed by cancer-selectively
replicating adenovirus. The adenovirus-expressed lncRNAi with high levels in
hepatocellular carcinoma (HCC) cells competes with OncomiR target genes to bind
to and consume OncomiRs, thereby achieving the targeted anti-HCC efficacy. With
the targeting replication of adenovirus in HCC cells, lncRNAi was highly
expressed and resulted in decreased abilities of proliferation, migration, and
invasion, induced cell-cycle changes and apoptosis, and markedly changed the
cellular mRNA and miRNA expression profiles in HCC cells. The optimal antitumor
effect was also demonstrated on HCC cell line xenograft models and HCC patient
derived xenograft (PDX) tumor models in nude mice. This strategy has established
a technology platform with a reliable therapeutic effect for HCC therapy. Mol
Cancer Ther; 15(7); 1436-51. (c)2016 AACR.
PMID- 27196774
TI - Antagonists of IGF:Vitronectin Interactions Inhibit IGF-I-Induced Breast Cancer
Cell Functions.
AB - We provide proof-of-concept evidence for a new class of therapeutics that target
growth factor:extracellular matrix (GF:ECM) interactions for the management of
breast cancer. Insulin-like growth factor-I (IGF-I) forms multiprotein complexes
with IGF-binding proteins (IGFBP) and the ECM protein vitronectin (VN), and
stimulates the survival, migration and invasion of breast cancer cells. For the
first time we provide physical evidence for IGFBP-3:VN interactions in breast
cancer patient tissues; these interactions were predominantly localized to tumor
cell clusters and in stroma surrounding tumor cells. We show that disruption of
IGF-I:IGFBP:VN complexes with L(27)-IGF-II inhibits IGF-I:IGFBP:VN-stimulated
breast cancer cell migration and proliferation in two- and three-dimensional
assay systems. Peptide arrays screened to identify regions critical for the IGFBP
3/-5:VN and IGF-II:VN interactions demonstrated IGFBP-3/-5 and IGF-II binds VN
through the hemopexin-2 domain, and VN binds IGFBP-3 at residues not involved in
the binding of IGF-I to IGFBP-3. IGFBP-interacting VN peptides identified from
these peptide arrays disrupted the IGF-I:IGFBP:VN complex, impeded the growth of
primary tumor-like spheroids and, more importantly, inhibited the invasion of
metastatic breast cancer cells in 3D assay systems. These studies provide first
in-field evidence for the utility of small peptides in antagonizing GF:ECM
mediated biologic functions and present data demonstrating the potential of these
peptide antagonists as novel therapeutics. Mol Cancer Ther; 15(7); 1602-13.
(c)2016 AACR.
PMID- 27196773
TI - Emodin Inhibits Breast Cancer Growth by Blocking the Tumor-Promoting Feedforward
Loop between Cancer Cells and Macrophages.
AB - Macrophage infiltration correlates with severity in many types of cancer. Tumor
cells recruit macrophages and educate them to adopt an M2-like phenotype through
the secretion of chemokines and growth factors, such as MCP1 and CSF1.
Macrophages in turn promote tumor growth through supporting angiogenesis,
suppressing antitumor immunity, modulating extracellular matrix remodeling, and
promoting tumor cell migration. Thus, tumor cells and macrophages interact to
create a feedforward loop supporting tumor growth and metastasis. In this study,
we tested the ability of emodin, a Chinese herb-derived compound, to inhibit
breast cancer growth in mice and examined the underlying mechanisms. Emodin was
used to treat mice bearing EO771 or 4T1 breast tumors. It was shown that emodin
attenuated tumor growth by inhibiting macrophage infiltration and M2-like
polarization, accompanied by increased T-cell activation and reduced angiogenesis
in tumors. The tumor inhibitory effects of emodin were lost in tumor-bearing mice
with macrophage depletion. Emodin inhibited IRF4, STAT6, and C/EBPbeta signaling
and increased inhibitory histone H3 lysine 27 tri-methylation (H3K27m3) on the
promoters of M2-related genes in tumor-associated macrophages. In addition,
emodin inhibited tumor cell secretion of MCP1 and CSF1, as well as expression of
surface anchoring molecule Thy-1, thus suppressing macrophage migration toward
and adhesion to tumor cells. These results suggest that emodin acts on both
breast cancer cells and macrophages and effectively blocks the tumor-promoting
feedforward loop between the two cell types, thereby inhibiting breast cancer
growth and metastasis. Mol Cancer Ther; 15(8); 1931-42. (c)2016 AACR.
PMID- 27196775
TI - A Novel Glycogen Synthase Kinase-3 Inhibitor Optimized for Acute Myeloid Leukemia
Differentiation Activity.
AB - Standard therapies used for the treatment of acute myeloid leukemia (AML) are
cytotoxic agents that target rapidly proliferating cells. Unfortunately, this
therapeutic approach has limited efficacy and significant toxicity and the
majority of AML patients still die of their disease. In contrast to the poor
prognosis of most AML patients, most individuals with a rare subtype of AML,
acute promyelocytic leukemia, can be cured by differentiation therapy using
regimens containing all-trans retinoic acid. GSK3 has been previously identified
as a therapeutic target in AML where its inhibition can lead to the
differentiation and growth arrest of leukemic cells. Unfortunately, existing GSK3
inhibitors lead to suboptimal differentiation activity making them less useful as
clinical AML differentiation agents. Here, we describe the discovery of a novel
GSK3 inhibitor, GS87. GS87 was discovered in efforts to optimize GSK3 inhibition
for AML differentiation activity. Despite GS87's dramatic ability to induce AML
differentiation, kinase profiling reveals its high specificity in targeting GSK3
as compared with other kinases. GS87 demonstrates high efficacy in a mouse AML
model system and unlike current AML therapeutics, exhibits little effect on
normal bone marrow cells. GS87 induces potent differentiation by more effectively
activating GSK3-dependent signaling components including MAPK signaling as
compared with other GSK3 inhibitors. GS87 is a novel GSK3 inhibitor with
therapeutic potential as a differentiation agent for non-promyelocytic AML. Mol
Cancer Ther; 15(7); 1485-94. (c)2016 AACR.
PMID- 27196776
TI - Secretory TRAIL-Armed Natural Killer Cell-Based Therapy: In Vitro and In Vivo
Colorectal Peritoneal Carcinomatosis Xenograft.
AB - Since its discovery in 1995, TNF-related apoptosis-inducing ligand (TRAIL) has
sparked growing interest among oncologists due to its remarkable ability to
induce apoptosis in malignant human cells, but not in most normal cells. However,
one major drawback is its fast clearance rate in vivo Thus, the development of an
alternative means of delivery may increase the effectiveness of TRAIL-based
therapy. In this study, we developed a secretory TRAIL-armed natural killer (NK)
cell-based therapy and assessed its cytotoxic effects on colorectal cancer cells
and its tumoricidal efficacy on colorectal peritoneal carcinomatosis xenograft.
We generated genetically modified NK cells by transduction with a lentiviral
vector consisting of a secretion signal domain, a trimerization domain, and an
extracellular domain of the TRAIL gene. These NK cells secreted a glycosylated
form of TRAIL fusion protein that induced apoptotic death. Intraperitoneally, but
not intravenously, injected NK cells effectively accumulated at tumor sites,
infiltrated tumor tissue, induced apoptosis, and delayed tumor growth. These
results shed light on the therapeutic potential of genetically engineered NK
cells to treat peritoneal carcinomatosis. Mol Cancer Ther; 15(7); 1591-601.
(c)2016 AACR.
PMID- 27196778
TI - Genomic and Immunological Tumor Profiling Identifies Targetable Pathways and
Extensive CD8+/PDL1+ Immune Infiltration in Inflammatory Breast Cancer Tumors.
AB - Inflammatory breast cancer (IBC) is a rare and aggressive form of breast cancer
that remains poorly understood at the molecular level. Comprehensive tumor
profiling was performed to understand clinically actionable alterations in IBC.
Targeted next-generation sequencing (NGS) and IHC were performed to identify
activated pathways in IBC tumor tissues. siRNA studies examined the impact of IBC
genomic variants in cellular models. IBC tumor tissues were further characterized
for immune infiltration and immune checkpoint expression by IHC. Genomic analysis
identified recurrent alterations in core biologic pathways, including activating
and targetable variants in HER/PI3K/mTOR signaling. High rates of activating HER3
point mutations were discovered in IBC tumors. Cell line studies confirmed a role
for mutant HER3 in IBC cell proliferation. Immunologic analysis revealed a subset
of IBC tumors associated with high CD8(+)/PD-L1(+) lymphocyte infiltration.
Immune infiltration positively correlated with an NGS-based estimate of
neoantigen exposure derived from the somatic mutation rate and mutant allele
frequency, iScore. Additionally, DNA mismatch repair alterations, which may
contribute to higher iScores, occurred at greater frequency in tumors with higher
immune infiltration. Our study identifies genomic alterations that
mechanistically contribute to oncogenic signaling in IBC and provides a genetic
basis for the selection of clinically relevant targeted and combination
therapeutic strategies. Furthermore, an NGS-based estimate of neoantigen exposure
developed in this study (iScore) may be a useful biomarker to predict immune
infiltration in IBC and other cancers. The iScore may be associated with greater
levels of response to immunotherapies, such as PD-L1/PD-1-targeted therapies. Mol
Cancer Ther; 15(7); 1746-56. (c)2016 AACR.
PMID- 27196779
TI - Dual Carfilzomib and Doxorubicin-Loaded Liposomal Nanoparticles for Synergistic
Efficacy in Multiple Myeloma.
AB - Here, we report the synthesis and evaluation of dual drug-loaded nanoparticles as
an effective means to deliver carfilzomib and doxorubicin to multiple myeloma
tumor cells at their optimal synergistic ratio. First, various molar ratios of
carfilzomib to doxorubicin were screened against multiple myeloma cell lines to
determine the molar ratio that elicited the greatest synergy using the Chou
Talalay method. The therapeutic agents were then incorporated into liposomes at
the optimal synergistic ratio of 1:1 to yield dual drug-loaded nanoparticles with
a narrow size range of 115 nm and high reproducibility. Our results demonstrated
that the dual drug-loaded liposomes exhibited synergy in vitro and were more
efficacious in inhibiting tumor growth in vivo than a combination of free drugs,
while at the same time reducing systemic toxicity. Taken together, this study
presents the synthesis and preclinical evaluation of dual drug-loaded liposomes
containing carfilzomib and doxorubicin for enhanced therapeutic efficacy to
improve patient outcome in multiple myeloma. Mol Cancer Ther; 15(7); 1452-9.
(c)2016 AACR.
PMID- 27196777
TI - NQO1-Mediated Tumor-Selective Lethality and Radiosensitization for Head and Neck
Cancer.
AB - Ionizing radiation (IR) is a key therapeutic regimen for many head and neck
cancers (HNC). However, the 5-year overall survival rate for locally advanced
HNCs is approximately 50% and better therapeutic efficacy is needed. NAD(P)H:
quinone oxidoreductase 1 (NQO1) is overexpressed in many cancers, and beta
lapachone (beta-lap), a unique NQO1 bioactivatable drug, exploits this enzyme to
release massive reactive oxygen species (ROS) that synergize with IR to kill by
programmed necrosis. beta-Lap represents a novel therapeutic opportunity in HNC
leading to tumor-selective lethality that will enhance the efficacy of IR.
Immunohistochemical staining and Western blot assays were used to assess the
expression levels of NQO1 in HNC cells and tumors. Forty-five percent of
endogenous HNCs expressed elevated NQO1 levels. In addition, multiple HNC cell
lines and tumors demonstrated elevated levels of NQO1 expression and activity and
were tested for anticancer lethality and radiosensitization by beta-lap using
long-term survival assays. The combination of nontoxic beta-lap doses and IR
significantly enhanced NQO1-dependent tumor cell lethality, increased ROS, TUNEL
positive cells, DNA damage, NAD(+), and ATP consumption, and resulted in
significant antitumor efficacy and prolonged survival in two xenograft murine HNC
models, demonstrating beta-lap radiosensitization of HNCs through a NQO1
dependent mechanism. This translational study offers a potential biomarker-driven
strategy using NQO1 expression to select tumors susceptible to beta-lap-induced
radiosensitization. Mol Cancer Ther; 15(7); 1757-67. (c)2016 AACR.
PMID- 27196781
TI - PIM Kinase Inhibitors Kill Hypoxic Tumor Cells by Reducing Nrf2 Signaling and
Increasing Reactive Oxygen Species.
AB - Intratumoral hypoxia is a significant obstacle to the successful treatment of
solid tumors, and it is highly correlated with metastasis, therapeutic
resistance, and disease recurrence in cancer patients. As a result, there is an
urgent need to develop effective therapies that target hypoxic cells within the
tumor microenvironment. The Proviral Integration site for Moloney murine leukemia
virus (PIM) kinases represent a prosurvival pathway that is upregulated in
response to hypoxia, in a HIF-1-independent manner. We demonstrate that
pharmacologic or genetic inhibition of PIM kinases is significantly more toxic
toward cancer cells in hypoxia as compared with normoxia. Xenograft studies
confirm that PIM kinase inhibitors impede tumor growth and selectively kill
hypoxic tumor cells in vivo Experiments show that PIM kinases enhance the ability
of tumor cells to adapt to hypoxia-induced oxidative stress by increasing the
nuclear localization and activity of nuclear factor-erythroid 2 p45-related
factor 2 (Nrf2), which functions to increase the expression of antioxidant genes.
Small molecule PIM kinase inhibitors prevent Nrf2 from accumulating in the
nucleus, reducing the transcription of cytoprotective genes and leading to the
build-up of intracellular reactive oxygen species (ROS) to toxic levels in
hypoxic tumor cells. This toxic effect of PIM inhibitors can be successfully
blocked by ROS scavengers, including N-acetyl cystine and superoxide dismutase.
Thus, inhibition of PIM kinases has the potential to oppose hypoxia-mediated
therapeutic resistance and induce cell death in the hypoxic tumor
microenvironment. Mol Cancer Ther; 15(7); 1637-47. (c)2016 AACR.
PMID- 27196780
TI - Dual mTORC1/2 Inhibition as a Novel Strategy for the Resensitization and
Treatment of Platinum-Resistant Ovarian Cancer.
AB - There is considerable interest in the clinical development of inhibitors of mTOR
complexes mTORC1 and 2. Because mTORC1 and its downstream mRNA translation
effectors may protect against genotoxic DNA damage, we investigated the
inhibition of mTORC1 and mTORC1/2 in the ability to reverse platinum resistance
in tissue culture and in animal tumor models of serous ovarian cancer. Cell
survival, tumor growth, PI3K-AKT-mTOR pathway signaling, DNA damage and repair
response (DDR) gene expression, and translational control were all investigated.
We show that platinum-resistant OVCAR-3 ovarian cancer cells are resensitized to
low levels of carboplatin in culture by mTOR inhibition, demonstrating reduced
survival after treatment with either mTORC1 inhibitor everolimus or mTORC1/2
inhibitor PP242. Platinum resistance is shown to be associated with activating
phosphorylation of AKT and CHK1, inactivating phosphorylation of 4E-BP1, the
negative regulator of eIF4E, which promotes increased cap-dependent mRNA
translation and increased levels of CHK1 and BRCA1 proteins. Animals with
platinum-resistant OVCAR-3 tumors treated with carboplatin plus mTORC1/2
inhibition had significantly longer median survival and strikingly reduced
metastasis compared with animals treated with carboplatin plus everolimus, which
inhibits only mTORC1. Reduced tumor growth, metastasis, and increased survival by
mTORC1/2 inhibition with carboplatin treatment was associated with reduced AKT
activating phosphorylation and increased 4E-BP1 hypophosphorylation (activation).
We conclude that mTORC1/2 inhibition is superior to mTORC1 inhibition in
reversing platinum resistance in tumors and strongly impairs AKT activation, DNA
repair responses, and translation, promoting improved survival in the background
of platinum resistance. Mol Cancer Ther; 15(7); 1557-67. (c)2016 AACR.
PMID- 27196782
TI - In Vitro and In Vivo Activity of AMG 337, a Potent and Selective MET Kinase
Inhibitor, in MET-Dependent Cancer Models.
AB - The MET receptor tyrosine kinase is involved in cell growth, survival, and
invasion. Clinical studies with small molecule MET inhibitors have shown the role
of biomarkers in identifying patients most likely to benefit from MET-targeted
therapy. AMG 337 is an oral, small molecule, ATP-competitive, highly selective
inhibitor of the MET receptor. Herein, we describe AMG 337 preclinical activity
and mechanism of action in MET-dependent tumor models. These studies suggest MET
is the only therapeutic target for AMG 337. In an unbiased tumor cell line
proliferation screen (260 cell lines), a closely related analogue of AMG 337,
Compound 5, exhibited activity in 2 of 260 cell lines; both were MET-amplified.
Additional studies examining the effects of AMG 337 on the proliferation of a
limited panel of cell lines with varying MET copy numbers revealed that high
level focal MET amplification (>12 copies) was required to confer MET oncogene
addiction and AMG 337 sensitivity. One MET-amplified cell line, H1573 (>12
copies), was AMG 337 insensitive, possibly because of a downstream G12A KRAS
mutation. Mechanism-of-action studies in sensitive MET-amplified cell lines
demonstrated that AMG 337 inhibited MET and adaptor protein Gab-1
phosphorylation, subsequently blocking the downstream PI3K and MAPK pathways. AMG
337 exhibited potency in pharmacodynamic assays evaluating MET signaling in tumor
xenograft models; >90% inhibition of Gab-1 phosphorylation was observed at 0.75
mg/kg. These findings describe the preclinical activity and mechanism of action
of AMG 337 in MET-dependent tumor models and indicate its potential as a novel
therapeutic for the treatment of MET-dependent tumors. Mol Cancer Ther; 15(7);
1568-79. (c)2016 AACR.
PMID- 27196785
TI - Children's perceptions of smile esthetics and their influence on social judgment.
AB - OBJECTIVE: To define a threshold of acceptance of smile esthetics for children
and adolescents. MATERIALS AND METHODS: A systematic search in the medical
literature (PubMed, PubMed Central, National Library of Medicine's Medline,
Embase, Cochrane Central Register of Controlled Clinical Trials, Web of
Knowledge, Scopus, Google Scholar, and LILACs) was performed to identify all peer
reviewed papers reporting data regarding the evaluation of children's and
adolescents' perceptions of dental esthetic factors. The search was conducted
using a research strategy based on keywords such as "children," "adolescents,"
"smile aesthetics perception," "smile aesthetics evaluation." Studies analyzing
smile esthetics involving at least 10 observers younger than 18 years of age were
selected. RESULTS: Among the 1667 analyzed articles, five studies were selected
for the final review process. No study included in the review analyzed perception
of smile anomalies in a quantitative or qualitative way, thus no threshold was
identified for smile features. Among the analyzed samples, unaltered smiles were
always significantly associated with better evaluation scores when compared with
altered smiles. CONCLUSIONS: Smile esthetics influence social perception during
childhood and adolescence. However, thresholds of smile esthetic acceptance in
children and adolescents are still not available.
PMID- 27196783
TI - Apratoxin A Shows Novel Pancreas-Targeting Activity through the Binding of Sec
61.
AB - Apratoxin A is a natural product with potent antiproliferative activity against
many human cancer cell lines. However, we and other investigators observed that
it has a narrow therapeutic window in vivo Previous mechanistic studies have
suggested its involvement in the secretory pathway as well as the process of
chaperone-mediated autophagy. Still the link between the biologic activities of
apratoxin A and its in vivo toxicity has remained largely unknown. A better
understanding of this relationship is critically important for any further
development of apratoxin A as an anticancer drug. Here, we describe a detailed
pathologic analysis that revealed a specific pancreas-targeting activity of
apratoxin A, such that severe pancreatic atrophy was observed in apratoxin A
treated animals. Follow-up tissue distribution studies further uncovered a unique
drug distribution profile for apratoxin A, showing high drug exposure in pancreas
and salivary gland. It has been shown previously that apratoxin A inhibits the
protein secretory pathway by preventing cotranslational translocation. However,
the molecule targeted by apratoxin A in this pathway has not been well defined.
By using a (3)H-labeled apratoxin A probe and specific Sec 61alpha/beta
antibodies, we identified that the Sec 61 complex is the molecular target of
apratoxin A. We conclude that apratoxin A in vivo toxicity is likely caused by
pancreas atrophy due to high apratoxin A exposure. Mol Cancer Ther; 15(6); 1208
16. (c)2016 AACR.
PMID- 27196786
TI - Enhanced amplified spontaneous emission in a quantum dot-doped polymer-dispersed
liquid crystal.
AB - Quantum dot-doped polymer-dispersed liquid crystals (QD-PDLCs) were prepared by
photoinitiated polymerization and sealed in capillary tubes. The concentration of
QDs in the PDLC was 1 wt%. Amplified spontaneous emission (ASE) of the quantum
dot-doped polymer-dispersed liquid crystals was observed with 532 nm wavelength
laser excitation. The threshold for ASE was 6 mJ cm(-2), which is much lower than
that for homogeneous quantum dot-doped polymer (25 mJ cm(-2)). The threshold for
ASE was dramatically enhanced when the working temperature exceeded the clearing
point of the liquid crystal; this result demonstrates that multi-scattering
caused by the liquid crystals effectively improved the path length or dwell time
of light in the gain region, which played a key role in decreasing the threshold
for ASE.
PMID- 27196784
TI - Quantitative Phosphoproteomics Reveals Wee1 Kinase as a Therapeutic Target in a
Model of Proneural Glioblastoma.
AB - Glioblastoma (GBM) is the most common malignant primary brain cancer. With a
median survival of about a year, new approaches to treating this disease are
necessary. To identify signaling molecules regulating GBM progression in a
genetically engineered murine model of proneural GBM, we quantified
phosphotyrosine-mediated signaling using mass spectrometry. Oncogenic signals,
including phosphorylated ERK MAPK, PI3K, and PDGFR, were found to be increased in
the murine tumors relative to brain. Phosphorylation of CDK1 pY15, associated
with the G2 arrest checkpoint, was identified as the most differentially
phosphorylated site, with a 14-fold increase in phosphorylation in the tumors. To
assess the role of this checkpoint as a potential therapeutic target, syngeneic
primary cell lines derived from these tumors were treated with MK-1775, an
inhibitor of Wee1, the kinase responsible for CDK1 Y15 phosphorylation. MK-1775
treatment led to mitotic catastrophe, as defined by increased DNA damage and cell
death by apoptosis. To assess the extensibility of targeting Wee1/CDK1 in GBM,
patient-derived xenograft (PDX) cell lines were also treated with MK-1775.
Although the response was more heterogeneous, on-target Wee1 inhibition led to
decreased CDK1 Y15 phosphorylation and increased DNA damage and apoptosis in each
line. These results were also validated in vivo, where single-agent MK-1775
demonstrated an antitumor effect on a flank PDX tumor model, increasing mouse
survival by 1.74-fold. This study highlights the ability of unbiased quantitative
phosphoproteomics to reveal therapeutic targets in tumor models, and the
potential for Wee1 inhibition as a treatment approach in preclinical models of
GBM. Mol Cancer Ther; 15(6); 1332-43. (c)2016 AACR.
PMID- 27196787
TI - An examination of the default mode network in individuals with autonomous sensory
meridian response (ASMR).
AB - Autonomous Sensory Meridian Response (ASMR) is a perceptual condition in which
specific visual and auditory stimuli consistently trigger tingling sensations on
the scalp and neck, sometimes spreading to the back and limbs. These triggering
stimuli are often social, almost intimate, in nature (e.g., hearing whispering,
or watching someone brush her hair), and often elicit a calm and positive
emotional state. Surprisingly, despite its prevalence in the general population,
no published study has examined the neural underpinnings of ASMR. In the current
study, the default mode network (DMN) of 11 individuals with ASMR was contrasted
to that of 11 matched controls. The results indicated that the DMN of individuals
with ASMR showed significantly less functional connectivity than that of
controls. The DMN of individuals with ASMR also demonstrated increased
connectivity between regions in the occipital, frontal, and temporal cortices,
suggesting that ASMR was associated with a blending of multiple resting-state
networks. This atypical functional connectivity likely influences the unique
sensory-emotional experiences associated with ASMR.
PMID- 27196788
TI - A statistical approach to determining responses to individual peptides from
pooled-peptide ELISpot data.
AB - To investigate in detail the effect of infection or vaccination on the human
immune system, ELISpot assays are used to simultaneously test the immune response
to a large number of peptides of interest. Scientists commonly use "peptide
pools", where, instead of an individual peptide, a test well contains a group of
peptides. Since the response from a well may be due to any or many of the
peptides in the pool, pooled assays usually need to be followed by confirmatory
assays of a number of individual peptides. We present a statistical method that
enables estimation of individual peptide responses from pool responses using the
Expectation Maximization (EM) algorithm for "incomplete data". We demonstrate the
accuracy and precision of these estimates in simulation studies of ELISpot plates
with 90 pools of 6 or 7 peptides arranged in three dimensions and three Mock
wells for the estimation of background. In analysis of real pooled data from 6
subjects in a HIV-1 vaccine trial, where 199 peptides were arranged in 80 pools
if size 9 or 10, our estimates were in very good agreement with the results from
individual-peptide confirmatory assays. Compared to the classical approach, we
could identify almost all the same peptides with high or moderate response, with
less than half the number of confirmatory tests. Our method facilitates efficient
use of the information available in pooled ELISpot data to avoid or reduce the
need for confirmatory testing. We provide an easy-to-use free online application
for implementing the method, where on uploading two spreadsheets with the pool
design and pool responses, the user obtains the estimates of the individual
peptide responses.
PMID- 27196790
TI - The influence of bilingualism on the preference for the mouth region of dynamic
faces.
AB - Bilingual infants show an extended period of looking at the mouth of talking
faces, which provides them with additional articulatory cues that can be used to
boost the challenging situation of learning two languages (Pons, Bosch &
Lewkowicz, 2015). However, the eye region also provides fundamental cues for
emotion perception and recognition, as well as communication. Here, we explored
whether the adaptations resulting from learning two languages are specific to
linguistic content or if they also influence the focus of attention when looking
at dynamic faces. We recorded the eye gaze of bilingual and monolingual infants
(8- and 12-month-olds) while watching videos of infants and adults portraying
different emotional states (neutral, crying, and laughing). When looking at
infant faces, bilinguals looked longer at the mouth region as compared to
monolinguals regardless of age. However, when presented with adult faces, 8-month
old bilingual infants looked longer at the mouth region and less at the eye
region compared to 8-month-old monolingual infants, but no effect of language
exposure was found at 12 months of age. These findings suggest that the bias to
the mouth region in bilingual infants at 8 months of age can be generalized to
other audiovisual dynamic faces that do not contain linguistic information. We
discuss the potential implications of such bias in early social and communicative
development.
PMID- 27196789
TI - Human immunophenotyping via low-variance, low-bias, interpretive regression
modeling of small, wide data sets: Application to aging and immune response to
influenza vaccination.
AB - Small, wide data sets are commonplace in human immunophenotyping research. As
defined here, a small, wide data set is constructed by sampling a small to modest
quantity n,1100-fold), rapidly, and reversibly activated by cooling by only a few degrees.
We found that the mechanism(s) underlying PLCgamma2 PLAID mutant activation by
cool temperatures is distinct from a mere loss of SH-region-mediated
autoinhibition and dependent on both the integrity and the pliability of the spPH
domain. The results suggest a new mechanism of PLCgamma activation with unique
thermodynamic features and assign a novel regulatory role to its spPH domain.
Involvement of this mechanism in other human disease states associated with
cooling such as exertional asthma and certain acute coronary events appears an
intriguing possibility.
PMID- 27196804
TI - Mapping Geographic Variation in Infant Mortality and Related Black-White
Disparities in the US.
AB - BACKGROUND: In the US, black infants remain more than twice as likely as white
infants to die in the first year of life. Previous studies of geographic
variation in infant mortality disparities have been limited to large metropolitan
areas where stable estimates of infant mortality rates by race can be determined,
leaving much of the US unexplored. METHODS: The objective of this analysis was to
describe geographic variation in county-level racial disparities in infant
mortality rates across the 48 contiguous US states and District of Columbia using
national linked birth and infant death period files (2004-2011). We implemented
Bayesian shared component models in OpenBUGS, borrowing strength across both
spatial units and racial groups. We mapped posterior estimates of mortality rates
for black and white infants as well as relative and absolute disparities.
RESULTS: Black infants had higher infant mortality rates than white infants in
all counties, but there was geographic variation in the magnitude of both
relative and absolute disparities. The mean difference between black and white
rates was 5.9 per 1,000 (median: 5.8, interquartile range: 5.2 to 6.6 per 1,000),
while those for black infants were 2.2 times higher than for white infants
(median: 2.1, interquartile range: 1.9-2.3). One quarter of the county-level
variation in rates for black infants was shared with white infants. CONCLUSIONS:
Examining county-level variation in infant mortality rates among black and white
infants and related racial disparities may inform efforts to redress inequities
and reduce the burden of infant mortality in the US.
PMID- 27196805
TI - Racial/Ethnic Differences in the Role of Childhood Adversities for Mental
Disorders Among a Nationally Representative Sample of Adolescents.
AB - BACKGROUND: Childhood adversities may play a key role in the onset of mental
disorders and influence patterns by race/ethnicity. We examined the relations
between childhood adversities and mental disorders by race/ethnicity in the
National Comorbidity Survey-Adolescent Supplement. METHODS: Using targeted
maximum likelihood estimation, a rigorous and flexible estimation procedure, we
estimated the relationship of each adversity with mental disorders (behavior,
distress, fear, and substance use), and estimated the distribution of disorders
by race/ethnicity in the absence of adversities. Targeted maximum likelihood
estimation addresses the challenge of a multidimensional exposure such as a set
of adversities because it facilitates "learning" from the data the strength of
the relationships between each adversity and outcome, incorporating any
interactions or nonlinearity, specific to each racial/ethnic group. Cross
validation is used to select the best model without over fitting. RESULTS: Among
adversities, physical abuse, emotional abuse, and sexual abuse had the strongest
associations with mental disorders. Of all outcomes, behavior disorders were most
strongly associated with adversities. Our comparisons of observed prevalences of
mental disorders to estimates in the absence of adversities suggest lower
prevalences of behavior disorders across all racial/ethnic groups. Estimates for
distress disorders and substance use disorders varied in magnitude among groups,
but some estimates were imprecise. Interestingly, results suggest that the
adversities examined here do not play a major role in patterns of racial/ethnic
differences in mental disorders. CONCLUSIONS: Although causal interpretation
relies on assumptions, growing work on this topic suggests childhood adversities
play an important role in mental disorder development in adolescents.
PMID- 27196806
TI - Soy-based Infant Formula Feeding and Heavy Menstrual Bleeding Among Young African
American Women.
AB - BACKGROUND: Phytoestrogen exposure from soy formula feeding during infancy may
disrupt reproductive system development, resulting in altered menstrual bleeding
in adulthood. METHODS: We investigated this relationship in a cohort of 1,696
young African American women using enrollment data from the Study of Environment,
Lifestyle, & Fibroids (2010-2012). Questionnaire data on soy formula feeding were
available for 1,553 participants, 89% based on mother's report. Menstrual
bleeding outcomes including those indicative of heavy menstrual bleeding were
ascertained by interview. We estimated relative risks (RRs) and 95% confidence
intervals (CI) for associations between soy formula feeding and menstrual
bleeding outcomes using log-binomial regression and log-multinomial regression,
comparing participants ever fed and never fed soy formula. RESULTS: We observed
associations between soy formula feeding and variables indicating a history of
heavy menstrual bleeding, including ever experiencing heavy, gushing-type
bleeding (RR: 1.2, 95% CI: 1.0, 1.4), ever use of nonsteroidal anti-inflammatory
drugs for heavy bleeding (RR: 1.3, 95% CI: 1.0, 1.6), and ever use of a
contraceptive method for heavy bleeding (RR: 1.2, 95% CI, 0.9, 1.6). Among the
subset of participants with menses in the past year who did not use medication
that may alter menstrual flow (n = 762), our data suggested that soy formula
feeding was associated with heavier flow and the adverse impact of menstrual
bleeding on quality of life, but CIs were wide. CONCLUSIONS: Our data suggested
that soy formula feeding is associated with heavy menstrual bleeding. Our results
support the idea that infancy is a susceptible developmental window for female
reproductive function.
PMID- 27196808
TI - Long-Term Intra-Aortic Balloon Pump Support as Bridge to Left Ventricular Assist
Device Implantation.
AB - BACKGROUND: The intra-aortic balloon pump (IABP) can be used to bridge critically
ill end-stage heart failure patients to left ventricular assist device (LVAD)
implantation. However, the IABP's potential association with hemorrhagic
complications raises concerns regarding its utilization in these patients. AIM:
We investigated whether preoperative long-term IABP support increases hemorrhagic
complications post-LVAD implantation. METHODS: Ten patients undergoing IABP
support prior to LVAD implantation (IABP-LVAD group) were compared with 16 who
did not require IABP support (LVAD group). RESULTS: Mean duration of IABP support
was 25.8 days. Preoperatively, both groups were comparable in all measured
parameters and indices of end-organ function. Perioperative (defined as three
weeks post-LVAD implantation, including the procedure) cellular and noncellular
blood transfusion requirements were similar between IABP-LVAD and LVAD groups
(19.8 +/- 9.95 vs. 19.76 +/- 29.69 RBC units, p = 0.96; 3.8 +/- 4.14 vs. 2.0 +/-
6.44 plateletpheresis units p = 0.84; 23 +/- 16.04 vs. 25.14 +/- 37.8 fresh
frozen plasma units, p = 0.45). Perioperative minimum hematocrit (33.6 +/- 5.6
vs. 36.59 +/- 4.8, p = 0.38) and minimum platelet count (199 +/- 153 vs. 144 +/-
65, p = 0.52) were similar in the two groups. Two patients in the IABP-LVAD group
and three patients in the LVAD group underwent reoperation post-LVAD implantation
for bleeding. Length of ICU stay was longer in the LVAD group but did not reach
statistical significance (6.2 +/- 6.22 days in the IABP-LVAD group versus 13.45
+/- 10.95 days in the LVAD group, p = 0.06). CONCLUSIONS: Long-term IABP support
as a bridge to LVAD implantation is not associated with increased hemorrhagic
complications post-LVAD implantation. doi: 10.1111/jocs.12759 (J Card Surg
2016;31:467-471).
PMID- 27196807
TI - Adropin as a potential marker of enzyme-positive acute coronary syndrome.
AB - AIM: Enzyme-positive acute coronary syndrome (EPACS) can cause injury to or death
of the heart muscle owing to prolonged ischaemia. Recent research has indicated
that in addition to liver and brain cells, cardiomyocytes also produce adropin.
We hypothesised that adropin is released into the bloodstream during myocardial
injury caused by acute coronary syndrome (ACS), so serum and saliva levels rise
as the myocytes die. Therefore, it could be useful to investigate how ACS affects
the timing and significance of adropin release in human subjects. METHODS:
Samples were taken over three days after admission, from 22 EPACS patients and 24
age- and gendermatched controls. The three major salivary glands (submandibular,
sublingual and parotid) were immunohistochemically screened for adropin
production, and serum and saliva adropin levels were measured by an enzyme-linked
immunosorbent assay (ELISA). Salivary gland cells produce and secrete adropin
locally. RESULTS: Serum adropin, troponin I, CK and CK-MB concentrations in the
EPACS group became gradually higher than those in the control group up to six
hours (p < 0.05), and troponin I continued to rise up to 12 hours after EPACS.
The same relative increase in adropin level was observed in the saliva. Troponin
I, CK and CK-MB levels started to decrease after 12 hours, while saliva and serum
adropin levels started to decrease at six hours after EPACS. In samples taken
four hours after EPACS, when the serum adropin value averaged 4.43 ng/ml, the
receiver operating characteristic curve showed that the serum adropin
concentration indicated EPACS with 91.7% sensitivity and 50% specificity, while
when the cut-off adropin value in saliva was 4.12 ng/ml, the saliva adropin
concentration indicated EPACS with 91.7% sensitivity and 57% specificity.
CONCLUSION: In addition to cardiac troponin and CK-MB assays, measurement of
adropin level in saliva and serum samples is a potential marker for diagnosing
EPACS.
PMID- 27196810
TI - Application of nanostructured drug delivery systems in immunotherapy of cancer: a
review.
AB - The cancer immunotherapy method uses the specificity of the immune system to
provide a more effective than more conventional treatments, such as chemotherapy
and radiotherapy. Immunotherapy has two main strategies (passive or active) to
organize the immune system. Passive strategies use advantage of tumor
hyperpermeable cells, which have enhanced permeability and retention effects.
Nanoparticles due to their better accumulation within tissues and cells of the
immune system are well suitable for delivery of immune therapies such as vaccines
or adjuvants. In this review, we explained application of nanotechnology in
immunotherapy of cancer.
PMID- 27196809
TI - Assessing Callous-Unemotional Traits in Adolescents: Determining Cutoff Scores
for the Inventory of Callous and Unemotional Traits.
AB - OBJECTIVE: This study aims to establish a cut score for the Inventory of Callous
Unemotional Traits, a well-validated measure of callous-unemotional (CU) traits
in youth for which there is currently no cutoff score. METHOD: We analyzed data
on 634 adolescents from high schools (n = 343) and juvenile detention centers (n
= 291). Participants, their parents and guardians, and their teachers and staff
members reported on participants' CU traits and aggressive/violent behavior.
RESULTS: All three reports of CU traits as well as intersource composites were
associated with aggression, violence, and detained status. Parent report was a
better indicator compared to self-reports and teacher reports. Appropriate cut
scores based on each report and composite were determined. CONCLUSION: We
recommend that information from all available informants should be used whenever
possible, but when only one informant report is feasible, parent reports are
preferable.
PMID- 27196811
TI - Structural Characteristics of Homogeneous Hydrophobic Ionic Liquid-HNO3-H2O
Ternary System: Experimental Studies and Molecular Dynamics Simulations.
AB - The solubility of water in the hydrophobic ionic liquid 1-ethyl-3
methylimidazolium bis(trifluoromethylsulfonyl)imide ([C2mim][NTf2]) increases
significantly in the presence of HNO3. [C2mim][NTf2] is completely miscible with
HNO3 but immiscible with water. The triangular phase diagram of the ternary
system [C2mim][NTf2]-HNO3-H2O was determined at 300.1 K. The homogeneous
[C2mim][NTf2]-HNO3-H2O phase is thermodynamically stable, while it can be
separated into two phases with an increase of water content. Experiments
(electrospray ionization mass spectrometry, Fourier transform infrared
spectrometry, and (1)H-nuclear magnetic resonance spectrometry) and molecular
dynamics simulations were carried out to investigate the interaction between
[C2mim][NTf2], HNO3, and water in the homogeneous phase. It was found that NO3(-)
ions interact with both C2mim(+) and water via H-bonding and act as a "bridge" to
induce a large amount of water to be dissolved in the hydrophobic IL phase. This
confirms that the complexes [C2mim-NTf2-C2mim](+) and [NTf2-C2mim-NTf2](-) exist
in the homogeneous [C2mim][NTf2]-HNO3-H2O system at the concentration of HNO3 up
to 27.01 wt % and of water as high as 20.74 wt %.
PMID- 27196812
TI - Ambivalence resolution in emotion-focused therapy: The successful case of Sarah.
AB - : Ambivalence can be understood as a cyclical movement between two opposing
positions of the self: one expressed in a novelty-an innovative moment (IM)-and
another one conveyed by a return to the maladaptive pattern. If not properly
addressed and resolved during therapy, ambivalence can prevent change and lead to
psychotherapeutic failure. Two processes of ambivalence resolution have been
suggested: (1) the dominance of the innovative position and consequent inhibition
of the problematic position and (2) the negotiation between both positions.
OBJECTIVES: To empirically study both processes of ambivalence resolution in a
successful case of emotion-focused therapy. METHOD: Sessions were independently
coded with three coding systems-the IMs, the return to the problem and the
ambivalence resolution. RESULTS: Ambivalence tended to be resolved from the
initial to the final sessions. Although resolutions through dominance tended to
decrease and resolutions through negotiation seemingly increased along treatment,
dominance was, nonetheless, the most prominent process of resolution along the
whole treatment. CONCLUSIONS: Although it has been suggested that integrating
opposing parts of the self is a necessary process for psychotherapeutic success,
a less integrative process of ambivalence resolution may also be an important
resource along the process.
PMID- 27196815
TI - Evaluation of Cajanus cajan (pigeon pea) for phytoremediation of landfill
leachate containing chromium and lead.
AB - Landfill leachates containing heavy metals are important contaminants and a
matter of great concern due to the effect that they might have on ecosystems. We
evaluated the use of Cajanus cajan to remove chromium and lead from landfill
leachates. Eight-week-old plants were submitted to varied tests to select the
experimental conditions. Water assays with a solution (pH 6) containing leachate
(25% v/v) were selected; the metals were added as potassium dichromate and lead
(II) nitrate salts. Soil matrices that contained leachate (30% v/v) up to field
capacity were used. For both water and soil assays, the metal concentrations were
10 mg kg(-1). C. cajan proved able to remove 49% of chromium and 36% of lead,
both from dilute leachate. The plants also removed 34.7% of chromium from
irrigated soil, but were unable to decrease the lead content. Removal of nitrogen
from landfill leachate was also tested, resulting in elimination of 85% of
ammonia and 70% of combined nitrite/nitrate species. The results indicate that C.
cajan might be an effective candidate for the rhizofiltration of leachates
containing chromium and lead, and nitrogen in large concentrations.
PMID- 27196813
TI - A bedside test for methaemoglobinemia improved antidote use in propanil
poisoning.
AB - BACKGROUND: In 2008, self-poisoning with the herbicide propanil had a case
fatality of around 11% in Sri Lanka. A simple quantitative methaemoglobinemia
bedside test was developed so that treatment could be titrated according to the
methaemoglobin level. OBJECTIVE: To determine whether the new method influenced
patient management and changed the case fatality of propanil self-poisoning.
METHOD: The bedside test (using an inexpensive validated colour chart) was
introduced in three hospitals (Anuradhapura, Polonnaruwa and Galle) in Sri Lanka
from 2008. Junior ward staff were given a brief training on how to use the chart
for quantitative estimation of methaemoglobin in patients with propanil poisoning
and utilize the results in the context of the national treatment guidelines for
propanil poisoning. It was taught that the bedside test should be done repeatedly
from admission until it showed consistently low values of methaemoglobin.
Treatment with the antidote methylene blue was suggested for patients whose
methaemoglobin was greater than 20%. Limited clinical data on poisoning have been
prospectively collected from these hospitals from 2003. The case-fatality and
management before and after the change were compared with data up to December
2014. RESULTS: The case-fatality decreased from (38/401) 9.5% to (8/262) 3.1%
[difference: -6.4%, 95% CI: -10 to -3]. Methylene blue use increased from under
10% of patients before to 55% of patients after the intervention. More patients
received repeat doses and infusions, and few received ascorbic acid and exchange
transfusion. CONCLUSION: The simple bedside test for methaemoglobinemia was
readily adopted into routine practice and led to large changes in management. A
substantial reduction in mortality from propanil poisoning occurred after this
intervention.
PMID- 27196814
TI - Experience and challenges for biologic use in the treatment of moderate-to-severe
psoriasis in Africa and the Middle East region.
AB - The incidence of psoriasis in Africa and the Middle East (AfME) is high as in
other regions and represents a significant problem for both dermatologists and
patients. Psoriasis co-morbidities such as obesity, cardiovascular disease and
psoriatic arthritis (PsA) are also particularly common in these regions and may
be under-recognized and under-treated. Despite this, regional guidelines to aid
physicians on the appropriate use of biologic agents in their clinical practice
are limited. A group of expert dermatologists from across the AfME region were
surveyed to help establish best practice across the region, alongside supporting
data from the literature. Although biologics have significantly improved patient
outcomes since their introduction, the results of this survey identified several
unmet needs, including the lack of consensus regarding their use in clinical
practice. Discrepancy also exists among AfME physicians concerning the clinical
relevance of immunogenicity to biologics, despite increasing data across
inflammatory diseases. Significant treatment and management of challenges for
psoriasis patients remain, and a move towards individualized, tailored care may
help to address these issues. The development of specific local guidelines for
the treatment of both psoriasis and PsA could also be a step towards
understanding the distinct patient profiles in these regions.
PMID- 27196816
TI - Emergence of white pine needle damage in the northeastern United States is
associated with changes in pathogen pressure in response to climate change.
AB - The defoliation of the eastern white pine (Pinus strobus) across the northeastern
United States is an escalating concern threatening the ecological health of
northern forests and economic vitality of the region's lumber industry. First
documented in the spring of 2010 affecting 24 328 hectares in the state of Maine,
white pine needle damage (WPND) has continued to spread and is now well
established in all New England states. While causal agents of WPND are known,
current research is lacking in both sampling distribution and the specific
environmental factor(s) that affect the development and spread of this disease
complex. This study aims to construct a more detailed distribution map of the
four primary causal agents within the region, as well as utilize long-term WPND
monitoring plots and data collected from land-based weather stations to develop a
climatic model to predict the severity of defoliation events in the proceeding
year. Sampling results showed a greater distribution of WPND than previously
reported. WPND was generally found in forest stands that compromised >50% eastern
white pine by basal area. No single species, nor a specific combination of
species had a dominating presence in particular states or regions, thus
supporting the disease complex theory that WPND is neither caused by an
individual species nor by a specific combination of species. In addition,
regional weather data confirmed the trend of increasing temperature and
precipitation observed in this region with the previous year's May, June, and
July rainfall being the best predictor of defoliation events in the following
year. Climatic models were developed to aid land managers in predicting disease
severity and accordingly adjust their management decisions. Our results clearly
demonstrate the role changing climate patterns have on the health of eastern
white pine in the northeastern United States.
PMID- 27196817
TI - Immediate-type hypersensitivity to polyethylene glycols: a review.
AB - Polyethylene glycols (PEGs) or macrogols are polyether compounds widely used in
medical and household products. Although generally considered biologically inert,
cases of mild to life-threatening immediate-type PEG hypersensitivity are
reported with increasing frequency. Nevertheless, awareness of PEG's allergenic
potential remains low, due to a general lack of suspicion towards excipients and
insufficient product labelling. Information on immediate-type reactions to PEG is
limited to anecdotal reports, and the potential for PEG sensitization and cross
sensitization to PEGylated drugs and structurally related derivatives is likely
underestimated. Most healthcare professionals have no knowledge of PEG and thus
do not suspect PEG's as culprit agents in hypersensitivity reactions. In
consequence, patients are at risk of misdiagnosis and commonly present with a
history of repeated, severe reactions to a range of unrelated products in
hospital and at home. Increased awareness of PEG prevalence, PEG
hypersensitivity, and improved access to PEG allergy testing, should facilitate
earlier diagnosis and reduce the risk of inadvertent re-exposure. This first
comprehensive review provides practical information for allergists and other
healthcare professionals by describing the clinical picture of 37 reported cases
of PEG hypersensitivity since 1977, summarizing instances where PEG
hypersensitivity should be considered and proposing an algorithm for diagnostic
management.
PMID- 27196818
TI - Impact of magnetic resonance imaging on ventricular tachyarrhythmia sensing:
Results of the Evera MRI Study.
AB - BACKGROUND: Studies have shown that magnetic resonance imaging (MRI) conditional
pacemakers experience no significant effect from MRI on device function, sensing,
or pacing. More recently, similar safety outcomes were demonstrated with MRI
conditional defibrillators (implantable cardioverter-defibrillator [ICD]), but
the impact on ventricular arrhythmias has not been assessed. OBJECTIVE: The
purpose of this study was to assess the effect of MRI on ICD sensing and
treatment of ventricular tachyarrhythmias. METHODS: The Evera MRI Study was a
worldwide trial of 156 patients implanted with an ICD designed to be MRI
conditional. Device-detected spontaneous and induced ventricular
tachycardia/ventricular fibrillation (VT/VF) episodes occurring before and after
whole body MRI were evaluated by a blinded episode review committee. Detection
delay was computed as the sum of RR intervals of undersensed beats. A >=5-second
delay in detection due to undersensing was prospectively defined as clinically
significant. RESULTS: Post-MRI, there were 22 polymorphic VT/VF episodes in 21
patients, with 16 of these patients having 17 VT/VF episodes pre-MRI. Therapy was
successful for all episodes, with no failures to treat or terminate arrhythmias.
The mean detection delay due to undersensing pre- and post-MRI was 0.60 +/- 0.59
and 0.33 +/- 0.63 seconds, respectively (P = .17). The maximum detection delay
was 2.19 seconds pre-MRI and 2.87 seconds post-MRI. Of the 17 pre-MRI episodes,
14 (82%) had some detection delay as compared with 11 of 22 (50%) post-MRI
episodes (P = .03); no detection delay was clinically significant. CONCLUSION:
Detection and treatment of VT/VF was excellent, with no detection delays or
significant impact of MRI observed.
PMID- 27196820
TI - Microfluidic SAXS Study of Lamellar and Multilamellar Vesicle Phases of Linear
Sodium Alkylbenzenesulfonate Surfactant with Intrinsic Isomeric Distribution.
AB - The structure and flow behavior of a concentrated aqueous solution (45 wt %) of
the ubiquitous linear sodium alkylbenzenesulfonate (NaLAS) surfactant is
investigated by microfluidic small-angle X-ray scattering (SAXS) at 70 degrees
C. NaLAS is an intrinsically complex mixture of over 20 surfactant molecules,
presenting coexisting micellar (L1) and lamellar (Lalpha) phases. Novel
microfluidic devices were fabricated to ensure pressure and thermal resistance,
ability to handle viscous fluids, and low SAXS background. Polarized light
optical microscopy showed that the NaLAS solution exhibits wall slip in
microchannels, with velocity profiles approaching plug flow. Microfluidic SAXS
demonstrated the structural spatial heterogeneity of the system with a
characteristic length scale of 50 nL. Using a statistical flow-SAXS analysis, we
identified the micellar phase and multiple coexisting lamellar phases with a
continuous distribution of d spacings between 37.5 and 39.5 A. Additionally, we
showed that the orientation of NaLAS lamellar phases is strongly affected by a
single microfluidic constriction. The bilayers align parallel to the velocity
field upon entering a constriction and perpendicular to it upon exiting. On the
other hand, multilamellar vesicle phases are not affected under the same flow
conditions. Our results demonstrate that despite the compositional complexity
inherent to NaLAS, microfluidic SAXS can rigorously elucidate its structure and
flow response.
PMID- 27196819
TI - Multiplex polymerase chain reaction-based prognostic models in diffuse large B
cell lymphoma patients treated with R-CHOP.
AB - We present a multiplex analysis for genes known to have prognostic value in an
attempt to design a clinically useful classification model in patients with
diffuse large B-cell lymphoma (DLBCL). Real-time polymerase chain reaction was
used to measure transcript levels of 28 relevant genes in 194 de novo DLBCL
patients treated with R-CHOP (rituximab, cyclophosphamide, doxorubicin,
vincristine, prednisone). Including International Prognostic Index (IPI) as a
variable in a penalized Cox regression, we investigated the association with
disease progression for single genes or gene combinations in four models. The
best model was validated in data from an online available R-CHOP treated cohort.
With progression-free survival (PFS) as primary endpoint, the best performing IPI
independent model incorporated the LMO2 and HLADQA1 as well as gene interactions
for GCSAMxMIB1, GCSAMxCTGF and FOXP1xPDE4B. This model assigned 33% of patients
(n = 60) to poor outcome with an estimated 3-year PFS of 40% vs. 87% for low risk
(n = 61) and intermediate (n = 60) risk groups (P < 0.001). However, a simpler,
IPI independent model incorporated LMO2 and BCL2 and assigned 33% of the patients
with a 3-year PFS of 35% vs. 82% for low risk group (P < 0.001). We have
documented the impact of a few single genes added to IPI for assignment in new
drug trials.
PMID- 27196825
TI - Rules for Cyberwar.
PMID- 27196821
TI - Country-Specific vs. Common Birthweight-for-Gestational Age References to
Identify Small for Gestational Age Infants Born at 24-28 weeks: An International
Study.
AB - BACKGROUND: Controversy exists as to whether birthweight-for-gestational age
references used to classify infants as small for gestational age (SGA) should be
country specific or based on an international (common) standard. We examined
whether different birthweight-for-gestational age references affected the
association of SGA with adverse outcomes among very preterm neonates. METHODS:
Singleton infants (n = 23 788) of 24(0) -28(6) weeks' gestational age in nine
high-resource countries were classified as SGA (<10th centile) using common and
country-specific references based on birthweight and estimated fetal weight
(EFW). For each reference, the adjusted relative risk (aRR) for the association
of SGA with composite outcome of mortality or major morbidity was estimated.
RESULTS: The percentage of infants classified as SGA differed slightly for common
compared with country specific for birthweight references [9.9% (95% CI 9.5,
10.2) vs. 11.1% (95% CI 10.7, 11.5)] and for EFW references [28.6% (95% CI 28.0,
29.2) vs. 24.6% (95% CI 24.1, 25.2)]. The association of SGA with the composite
outcome was similar when using common or country-specific references for the
total sample for birthweight [aRRs 1.47 (95% CI 1.43, 1.51) and 1.48 (95% CI
1.44, 1.53) respectively] and for EFW references [aRRs 1.35 (95% CI 1.31, 1.38)
and 1.39 (95% CI 1.35, 1.43) respectively]. CONCLUSION: Small for gestational age
is associated with higher mortality and morbidity in infants born <29 weeks'
gestational age. Although common and country-specific birthweight/EFW references
identified slightly different proportions of SGA infants, the risk of the
composite outcome was comparable.
PMID- 27196826
TI - The Collider That Could Save Physics.
PMID- 27196822
TI - Coping and resiliency enhancement program (CARE): a pilot study for interpreters
in cancer care.
AB - OBJECTIVE: There is a growing demand for interpreters in the cancer setting.
Interpreters, the link to quality care for limited English proficiency patients,
face many psychosocial stressors in their work. This project assessed
interpreters' experiences of stress and piloted a resiliency program to help
interpreters cope with stressors. METHODS: From 2013 to 2014, we pilot tested a
targeted resiliency program with interpreters from three Boston-based hospitals.
In Phase 1, we conducted five focus groups (n = 31) to identify interpreters'
psychosocial needs. In Phase 2, we developed and tested a 4-h group program with
29 interpreters (response rate = 90%; 69% female, 54% Hispanic, 85% born outside
of the U.S.). RESULTS: Phase 1. Stressors were patient-based (seeing young
patients decline), interactions with medical team (unsure of role), and systems
based (appointment unpredictability). Phase 2. At baseline interpreters reported
low abilities to cope with stress (measured by the Measure of Current Status
(MOCS-A)). At 4-week follow-up we found improvements in job satisfaction (p =
.02; Cohen's d = .41) and declines in sick days (p = .08; Cohen's d = .38).
Stress reactivity (MOCS-A) improved; specifically participants reported feeling
more assertive about their needs (p = .10; Cohen's d = .30) and more able to
relax at will (p = .10; Cohen's d = .35)-important mechanisms to lower distress.
CONCLUSIONS: We piloted a resiliency program for medical interpreters in cancer
care. We found that interpreters experience distress and have low coping skills.
This program resulted in improved work factors and stress reactivity. Future
research should include further implementation and testing in a larger,
randomized trial.Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27196827
TI - When DNA Implicates the Innocent.
PMID- 27196828
TI - Ugly Critters Get No Love.
PMID- 27196829
TI - A Northwest Passage for the Internet.
PMID- 27196830
TI - Fast Faults.
PMID- 27196831
TI - Lab Tech Opening: 249 Miles above Earth.
PMID- 27196832
TI - Toddlers' Tool Kits.
PMID- 27196834
TI - Eggshell Education.
PMID- 27196835
TI - A Mental Unblock.
PMID- 27196836
TI - Eyeglasses, No Prescription Necessary.
PMID- 27196837
TI - A Plan to Prevent Gun Suicides.
PMID- 27196838
TI - Hail, Robo-Taxi.
PMID- 27196840
TI - Stellar Fireworks.
PMID- 27196839
TI - Ascent of the Mammals.
PMID- 27196843
TI - The Truth about "Self-Driving" Cars.
PMID- 27196842
TI - Machines Who Learn.
PMID- 27196844
TI - Should We Fear Supersmart Robots?
PMID- 27196845
TI - Preventing Tomorrow's Climate Wars.
PMID- 27196846
TI - Einstein of the Sea.
PMID- 27196847
TI - The Fog of Agent Orange.
PMID- 27196849
TI - Death Wish.
PMID- 27196851
TI - 50, 100 &150 Years Ago.
PMID- 27196852
TI - Can You Read This from a Distance?
PMID- 27196854
TI - Rehabilitating a missed opportunity: Integration of rehabilitation psychology
into the care of critically ill patients, survivors, and caregivers.
AB - OBJECTIVE: Rehabilitation psychologists are specifically trained to work with
individuals across the spectrum of health care settings and they have only
recently begun to wade into the often deep and challenging waters of the critical
care environment and intensive care unit (ICU) setting. METHOD: In the commentary
that follows, we will provide a brief historical perspective on the involvement
of rehabilitation psychologists in medical settings and we will describe and
interact with the various topics raised in this current special section, all of
them pertaining to the intersection of psychology and critical illness. RESULTS:
These topics concern the potential role of psychologists in the ICU, the
identification and treatment of conditions such as Post-Intensive Care Syndrome,
the clinical nuances of cognitive impairment and psychological dysfunction after
critical illness, and the legacy of critical illness on families. CONCLUSION: In
light of the relevance of these and related topics, we argue in the commentary
that the time for psychologists to become involved in the critical care arena is
"now" and we discuss practical opportunities for such involvement. (PsycINFO
Database Record
PMID- 27196853
TI - Psychometric properties of the Cognitive and Linguistic Scale: A follow-up study.
AB - OBJECTIVE: In a pediatric rehabilitation setting, monitoring recovery of
cognitive skills is challenging due to diversity in age and brain injury
severity. The Cognitive and Linguistic Scale (CALS) is a measure with promising
psychometric properties that was designed for inpatient pediatric rehabilitation
care. This study re-examines the reliability and validity of the CALS in a
larger, independent sample. METHOD: Two hundred fifty-eight children (2-21 years)
who were consecutively admitted to an inpatient brain injury facility between
2008 and 2014 for a first inpatient rehabilitation admission following a
traumatic or acquired brain injury were included. Both CALS and Functional
Independence Measure for Children (WeeFIM) were examined at admission and
discharge. CALS scores by age groups (preschool-aged, school-aged, adolescents,
or young adults), gender, and etiology (acquired vs. traumatic brain injury) and
in two subgroups. RESULTS: The internal consistency of the CALS was high. Total
CALS score and individual item scores improved significantly between admission
and discharge for children of all age groups, both genders, both traumatic and
acquired etiologies, and in subgroups with limited responsiveness and no change
on the WeeFIM cognitive domain. No age group had a floor or ceiling effect.
Correlations with the WeeFIM were high. Factor analysis revealed 2 factors (basic
responding and higher-level cognitive skills). CONCLUSIONS: The CALS has strong
psychometric properties across a wide range of ages, brain injury etiologies, and
cognitive severity. The CALS can be used to track cognitive and linguistic
recovery in children, adolescents, and young adults with brain injury during
inpatient rehabilitation. (PsycINFO Database Record
PMID- 27196855
TI - Is the intensive care unit traumatic? What we know and don't know about the
intensive care unit and posttraumatic stress responses.
AB - The intensive care unit (ICU) has been portrayed as psychologically stressful,
with a growing body of research substantiating elevated rates of depression,
posttraumatic stress disorder (PTSD), and other psychological disruptions in
populations of critical care survivors. To explain these psychopathology
elevations, some have proposed a direct effect of ICU admission upon the later
development of psychopathology, whereas others highlight the complex interaction
between the trauma of a life-threatening illness or injury and the stressful life
saving interventions often administered in the ICU. However, the conclusion that
the ICU is an independent causal factor in trauma-related psychological outcomes
may be premature. Current ICU research suffers from important methodological
problems including lack of true prospective data, failure to employ appropriate
comparison groups, sampling bias, measurement issues, and problems with
statistical methodology. In addition, the ICU literature has yet to investigate
important risk and resilience factors that have been empirically validated in the
broader stress-response literature. The authors propose the application of these
important constructs to the unique setting of the ICU. This review focuses on
multiple aspects of the important but complex research question of whether the
ICU confers risk for psychological distress above and beyond the traumatic impact
of the serious health events that necessitate ICU treatment. (PsycINFO Database
Record
PMID- 27196856
TI - Posttraumatic stress disorder (PTSD) after critical illness: A conceptual review
of distinct clinical issues and their implications.
AB - PURPOSE/OBJECTIVE: Posttraumatic stress disorder (PTSD) that develops after
critical care may be marked by a unique constellation of symptoms that differ,
for example, from the symptoms that develop in response to more traditional
traumas such as combat or assault. RESEARCH METHOD/DESIGN: We describe ways in
which symptoms of PTSD after critical illness can be clinically engaged, drawing
from literature pointing to "best treatment" practices in other settings. And, we
discuss the relevance of intensive care unit (ICU) related PTSD to rehabilitation
psychologists and explain why rehabilitation psychologists are well suited to
identify and treat ICU-related PTSD. RESULTS: In this conceptual review, drawing
from both empirical findings and theoretical models, we surmise that traumatized
survivors of critical illness demonstrate 2 central clinical features-avoidance
and reexperiencing. CONCLUSIONS/IMPLICATIONS: The potentially unique clinical
profile of ICU-related PTSD likely requires unique assessment and treatment
practices. These services may be best provided by providers with expertise in
providing coordinated care, such as rehabilitation psychologists. Next steps
should include empirical study to determine whether practices that are
empirically supported in other settings may be translated to the ICU and post-ICU
hospitalization for critical illness survivors. (PsycINFO Database Record
PMID- 27196857
TI - Posttraumatic stress symptoms in intensive care patients: An exploration of
associated factors.
AB - PURPOSE/OBJECTIVE: To explore demographic, clinical, and psychological factors in
intensive care unit (ICU), including self-reported sleep quality and experiences
that were associated with posttraumatic stress (PTS) symptoms 6 months after
discharge from hospital. RESEARCH METHOD/DESIGN: A prospective survey was
conducted (N = 222). On the day of transfer to the hospital ward, ICU patients
reported pain and state-anxiety levels, as well as ICU and prehospital sleep
quality. Two months after hospital discharge, they reported sleep quality at home
and experiences in ICU. Six months after hospital discharge, sleep quality, PTS
symptoms (measured with the Posttraumatic Stress Disorder Checklist-Specific; PCL
S; VA National Center for PTSD, 2014) and psychological well-being (using
Depression, Anxiety and Stress Scales-21; DASS-21; Ware, Kosinski, & Keller,
1994) were reported. Descriptive data analyses were performed and factors
associated with PTS symptoms were explored with multiple linear regression.
RESULTS: The sample was predominately male (65%), with a mean age 57 years. At
baseline, pain intensity was low (M +/- SD; 2.25 +/- 1.14) and state-anxiety
levels were moderate (2.50 +/- 2.90). At 6 months, 30% of the sample demonstrated
depression, and 13.5% (mean PCL-S score: 26.54 +/- 10.52) reported symptoms
reflective of PTS. The regression model explained 68.2% of the variance in the
PCL-S score. Higher PTS scores were independently associated with frightening
experiences in ICU, higher stress and depression scores, pain intensity at
baseline, and sleep quality at 6 months. CONCLUSION/IMPLICATIONS: Continuing
symptoms of PTS were evident in 14% of the cohort. Screening for prevention and
treatment of delirium while a patient is in ICU is essential, and psychological
screening and follow-up during recovery would therefore also be beneficial for
many patients. (PsycINFO Database Record
PMID- 27196859
TI - Caregiver strain and posttraumatic stress symptoms of informal caregivers of
intensive care unit survivors.
AB - BACKGROUND: Many intensive care unit survivors (ICU) are confronted with
undesirable and long-lasting impairments in physical, cognitive, and mental
health, but not only patients are at risk of developing this post-intensive care
syndrome (PICS). Family members can experience symptoms of depression, anxiety,
and posttraumatic stress disorder (PTSD). This cluster of complications is called
PICS-family. OBJECTIVE: To describe the level of caregiver strain and
posttraumatic stress-related symptoms in relatives of ICU survivors. METHODS: We
conducted a cohort study in a general hospital between July 2010 and May 2014.
Relatives of ICU survivors, mechanically ventilated for > 48 h in the ICU, were
asked to complete a questionnaire 3 months after discharge from critical care.
Symptoms of PTSD and caregiving concerns were assessed using the Trauma Screening
Questionnaire and the Caregiver Strain Index (CSI). RESULTS: A total of 94
relatives visiting our post-ICU clinic completed the questionnaires. Twenty-one
percent of the caregivers had a CSI score of 7 or more, indicating high levels of
strain. Six percent had CSI scores indicating severe strain (CSI > 10). PTSD
related symptoms were seen in 21% of the caregivers. The mean time spent on
caregiving was 10 h (interquartile range 6-17 h) per week. CONCLUSION: This study
shows that relatives of ICU survivors could experience strain 3 months after
hospital discharge and are at risk of developing PTSD-related symptoms. This
complements existing data that relatives are at risk of psychological symptoms.
Knowledge can lead to improvements and means to prevent these symptoms. (PsycINFO
Database Record
PMID- 27196858
TI - Critical illness acquired brain injury: Neuroimaging and implications for
rehabilitation.
AB - OBJECTIVE: The increasing likelihood of surviving critical illness has resulted
in a large and growing number of individuals transitioning from medical and
surgical intensive care units (ICUs) to their homes. Many ICU survivors develop
pervasive morbidities in physical, psychological, and cognitive functioning that
adversely impact day-to-day functioning, ability to return to work, and quality
of-life. These individuals have been extensively studied with neuropsychological
test batteries, but relatively little research has been conducted using
neuroimaging. This paper reviews neuroimaging findings in survivors of critical
illness treated in medical or surgical ICUs. METHODS: We assessed the
relationships between abnormalities on neuroimaging and cognitive outcomes and
discussed the implications for rehabilitation. RESULTS: There are limited imaging
studies in ICU survivors. These studies use a wide range of modalities including
magnetic resonance imaging (MRI), functional magnetic resonance imaging (fMRI),
diffusion tensor imaging (DTI), fluid attenuated inversion recovery (FLAIR), and
diffusion weighted imaging. Structural abnormalities in survivors of critical
illness include cortical and subcortical lesions, white matter hyperintensities
(WMHs), and generalized and focal atrophy. These abnormalities persist months to
years after ICU discharge and are associated with cognitive impairments.
(PsycINFO Database Record
PMID- 27196860
TI - The intensive care unit experience: Psychological impact on family members of
patients with and without traumatic brain injury.
AB - PURPOSE/OBJECTIVE: The intensive care unit (ICU) has been identified as a
possible contributor to emotional distress. This study seeks to identify whether
families of traumatic brain injury (TBI) patients in the ICU experience
psychological differences as compared with non-TBI patients' family members.
METHOD: Eighty-two family members in a trauma/critical care ICU were assessed at
baseline and again at 3 months. The Patient Health Questionnaire 8-Item measured
depression, the Primary Care PTSD Screen measured symptoms of posttraumatic
stress (PTS). RESULTS: Forty of these individuals were the family members of ICU
patients who had sustained a TBI; 42 of the individuals were the family members
of non-TBI patients in the ICU. At baseline, 39% (33% non-TBI, 45% TBI, p = .28)
of the total sample screened positive for depressive symptoms and 24.3% (26% non
TBI, 23% TBI, p = .69) screened positive for PTS symptoms. However, differences
emerged between the groups at 3 months, with family members in the non-TBI group
showing a significant decrease in both baseline depression and PTS symptoms.
CONCLUSIONS/IMPLICATIONS: This study, to our knowledge, is the first of its kind
to examine psychological differences in the ICU in those whose family members
either have or do not have a TBI. Results suggest the TBI group endorsed more
symptoms of depression and PTS symptoms at 3 months. Although it is unclear
whether symptoms were directly related to the ICU experience or the injury
itself, future research should explore the possible additive effect of
postintensive care syndrome-family symptoms. (PsycINFO Database Record
PMID- 27196861
TI - After critical care: Challenges in the transition to inpatient rehabilitation.
AB - PURPOSE/OBJECTIVE: The aftermath of treatment for critical illness and/or
critical injury in the intensive care unit (ICU) often includes persisting
cognitive and emotional morbidities as well as severe physical deconditioning (a
constellation termed post-intensive care syndrome, or PICS), but most patients do
not receive psychological services before they enter the inpatient rehabilitation
facility (IRF). Although a burgeoning literature guides the efforts of critical
care providers to reduce risk factors for PICS - for example, reducing the use of
sedatives and enacting early mobilization, there is need for a corresponding
awareness among IRF psychologists and other providers that the post-ICU patient
often arrives in a state of significantly reduced capacity, with persisting
cognitive impairments and acute psychological distress. Many are at risk for long
term complications of posttraumatic stress disorder, general anxiety and/or
clinical depression, and assuredly all have experienced a profound life
disruption. This paper offers a multilevel perspective on the adaptation of post
ICU patients during inpatient rehabilitation, with discussion of the
psychologist's role in education and intervention. RESEARCH METHOD/DESIGN:
Clinical review paper. RESULTS: NA. CONCLUSIONS: To optimize response to
rehabilitation, it is important to understand the behavior of post-ICU patients
within a full biopsychosocial context including debility, cognitive and emotional
impairment, disruption of role identities, and environmental factors. The
psychologist can provide education about predictable barriers to participation
for the post-ICU patient, and guide individual, family and team interventions to
ameliorate those barriers. (PsycINFO Database Record
PMID- 27196862
TI - A survey of psychology practice in critical-care settings.
AB - PURPOSE/OBJECTIVE: The aims of this survey study were to (a) examine the
frequency of health-service psychology involvement in intensive and critical-care
settings; (b) characterize the distinguishing features of these providers; and
(c) examine unique or distinguishing features of the hospital setting in which
these providers are offering services. RESEARCH METHOD/DESIGN: chi2 analyses were
conducted for group comparisons of health-service psychologists: (a) providing
services in critical care versus those with no or limited critical care activity
and (b) involved in both critical care and rehabilitation versus those only
involved in critical care. RESULTS: A total of 175 surveys met inclusion criteria
and were included in the analyses. Psychologists who worked in critical-care
settings at least monthly were more likely to be at a Level-1, chi2(1, N = 157) =
9.654, p = .002, or pediatric, chi2(1, N = 158) = 7.081, p = .008, trauma center.
Psychologists involved with critical care were more likely to provide services on
general medical-surgical units, chi2(1, N = 167) = 45.679, p = .000. A higher
proportion of rehabilitation-oriented providers provided intensive care, critical
care, and neurointensive care services relative to nonrehabilitation providers.
CONCLUSION/IMPLICATIONS: The findings indicate that health-service psychologists
are involved in critical-care settings and in various roles. A more broad-based
survey of hospitals across the United States would be required to identify how
frequently health-service psychologists are consulted and what specific services
are most effective, valued, or desired in critical-care settings. (PsycINFO
Database Record
PMID- 27196863
TI - The influence of psychological flexibility on life satisfaction and mood in
muscle disorders.
AB - PURPOSE/OBJECTIVE: Acceptance and commitment therapy (ACT), a newer type of
behavior therapy that targets psychological flexibility, may have particular
utility in the context of muscle disorders. However, there has been no formal
investigation of psychological flexibility in this population. This longitudinal
observational study investigated whether psychological flexibility is cross
sectionally related to, and prospectively influential on, life satisfaction and
mood in muscle disorders. METHODS: Data were collected via online questionnaire
batteries, completed at baseline and then repeated 4 months later. Cross
sectional and prospective regression analyses examined relationships between
validated measures of disability level, psychological flexibility (experiential
avoidance, cognitive fusion, and valued living) and illness perceptions (a
psychological variable with known influence in muscle disorders), and outcomes
(life satisfaction, anxiety, and depression). RESULTS: A sample of 137 people
with a range of muscle disorders participated. In cross-sectional analyses,
psychological flexibility explained significant unique variance in addition to
illness perceptions (DeltaR2 = 0.17-0.34, p < .001). In prospective analyses,
psychological flexibility alone was predictive of change in life satisfaction
(DeltaR2 = 0.04, p = .01) and anxiety (DeltaR2 = 0.03, p = .04) over 4 months. No
independent variables were predictive of change in depression over 4 months, and
disability level had no significant influence on outcomes. CONCLUSIONS:
Psychological flexibility influences important outcomes in muscle disorders.
Experimental studies are required to establish if increased psychological
flexibility leads to improved outcomes. (PsycINFO Database Record
PMID- 27196864
TI - Clinical utility and psychometric properties of the Functional Assessment of
Multiple Sclerosis for individuals with multiple sclerosis.
AB - This Rehabilitation Measures Database summary provides a review of the
psychometric properties of the FAMS in individuals with MS. A full review of the
FAMS as well as reviews of over 300 other instruments can be found at
www.rehabmeasures.org. (PsycINFO Database Record
PMID- 27196869
TI - Review of the Pharmacological Effects of Vitis vinifera (Grape) and its Bioactive
Constituents: An Update.
AB - Vitis vinifera fruit (grape) contains various phenolic compounds, flavonoids and
stilbenes. In recent years, active constituents found in the fruits, seeds,
stems, skin and pomaces of grapes have been identified and some have been
studied. In this review, we summarize the active constituents of different parts
of V. vinifera and their pharmacological effects including skin protection,
antioxidant, antibacterial, anticancer, antiinflammatory and antidiabetic
activities, as well as hepatoprotective, cardioprotective and neuroprotective
effects in experimental studies published after our 2009 review. Clinical and
toxicity studies have also been examined. Copyright (c) 2016 John Wiley & Sons,
Ltd.
PMID- 27196870
TI - Dynamic Changes in Heart Rate Variability and Nasal Airflow Resistance during
Nasal Allergen Provocation Test.
AB - Allergic rhinitis is a major chronic respiratory disease and an immunoneuronal
disorder. We aimed at providing further knowledge on the function of the neural
system in nasal allergic reaction. Here, a method to assess simultaneously the
nasal airflow resistance and the underlying function of autonomic nervous system
(ANS) is presented and used during the nasal provocation of allergic and
nonallergic subjects. Continuous nasal airflow resistance and spectral heart rate
variability parameters show in detail the timing and intensity differences in
subjects' reactions. After the provocation, the nasal airflow resistance of
allergic subjects showed a positive trend, whereas LF/HF (Low Frequency/High
Frequency) ratio and LF power showed a negative trend. This could imply a gradual
sympathetic withdrawal in allergic subjects after the allergen provocation. The
groups differed significantly by these physiological descriptors. The proposed
method opens entirely new opportunities to research accurately concomitant
changes in nasal breathing function and ANS.
PMID- 27196872
TI - Study of the efficiency of anticoagulant rodenticides to control Mus musculus
domesticus introgressed with Mus spretus Vkorc1.
AB - BACKGROUND: Antivitamin K anticoagulant (AVK) rodenticides are commonly used to
control rodent pests worldwide. They specifically inhibit the VKORC1 enzyme
essential for the recycling of vitamin K, and thus prevent blood clotting and
cause death by haemorrhage. Numerous mutations or polymorphisms of the Vkorc1
gene were reported in rodents, and some led to resistance to rodenticides. In
house mice (Mus musculus domesticus), adaptive introgression of the Vkorc1 gene
from the Algerian mouse (Mus spretus) was reported. This adaptive introgression
causes the substitution of four amino acids in M. musculus domesticus. RESULTS:
The consequences of introgression were assessed by (i) the characterisation of
the in vivo resistant phenotype of adaptive Vkorc1spr -introgressed mice, (ii)
the characterisation of the ex vivo resistance phenotype of the liver VKOR
activity and (iii) the comparison of these results with the properties of
recombinant VKORC1spr protein expressed in yeast. The resistance factor (from 1
to 120) induced by the four introgressed polymorphisms obtained using these three
approaches was dependent on the AVKs used but were highly correlated among the
three approaches. CONCLUSION: The four introgressed polymorphisms were clearly
the cause of the strong resistant phenotype observed in the field. In the context
of strong selection pressure due to the extensive use of AVKs, this resistant
phenotype may explain the widespread distribution of this genotype from Spain to
Germany. (c) 2016 Society of Chemical Industry.
PMID- 27196876
TI - Burden of Visual Impairment and Chronic Diseases.
AB - IMPORTANCE: Visual impairment (VI) is an emerging public health concern,
especially considering the aging population. However, unlike other major chronic
diseases, VI is often overlooked by investigators assessing the burden of
diseases. OBJECTIVES: To provide a description of preference weights for VI and
chronic diseases from a national survey and estimate the corresponding burden of
these diseases. DESIGN, SETTING, AND PARTICIPANTS: A cross-sectional study was
conducted using a multistage, probability-cluster survey, which can produce
nationally representative estimates. Data on 29 639 participants 19 years or
older from the 2008-2012 Korean National Health and Nutrition Examination Survey
were analyzed in terms of vision assessment, status of chronic diseases, and the
European Quality of Life-Five Dimensions Questionnaire (EQ-5D). Visual impairment
was defined as the presenting distance best-corrected visual acuity of worse than
decimal 0.5. Data analysis was conducted from August 14, 2008, and September 7,
2015. MAIN OUTCOMES AND MEASURES: Preference weights (utilities) and prevalence
based quality-adjusted life-year (QALY) losses. RESULTS: Of the 29 639
participants, 28 382 with VA or EQ-5D measurements were included in the analysis;
they had a mean (SE) age of 45.05 (0.19) years and a mean EQ-5D index of 0.948
(0.001). The preference weight for VI was -0.0549 (95% CI, -0.0777 to -0.0321),
which was the third highest value among the 12 diseases analyzed-preceded only by
the preference weights for osteoarthritis or rheumatoid arthritis (-0.0688; 95%
CI, -0.748 to -0.0628) and stroke (-0.0666; 95% CI, -0.0854 to -0.0479). The
estimated annual QALY loss from VI was -74.93 years per 100 000 person-years;
this loss is comparable to or higher than that associated with other major
chronic conditions (eg, diabetes mellitus, dyslipidemia, stroke, myocardial
infarction/ischemic heart disease, asthma, obesity, and anemia). Visual
impairment accounted for 4.77% of the total estimated QALY loss in the Korean
population aged 19 years or older. CONCLUSIONS AND RELEVANCE: The present study
provides a description of preference weights for VI and various chronic diseases
from a national survey. Furthermore, it reveals the distributions of public
burden from these conditions, and compared them in this regard. Although details
might vary across the populations having different cultural and socioeconomic
backgrounds, the results underscore the importance of VI for quality of life and
as a public health burden compared with other major chronic diseases.
PMID- 27196877
TI - Efficient synthesis of triarylamine-based dyes for p-type dye-sensitized solar
cells.
AB - The class of triarylamine-based dyes has proven great potential as efficient
light absorbers in inverse (p-type) dye sensitized solar cells (DSSCs). However,
detailed investigation and further improvement of p-type DSSCs is strongly
hindered by the fact that available synthesis routes of triarylamine-based dyes
are inefficient and particularly demanding with regard to time and costs. Here,
we report on an efficient synthesis strategy for triarylamine-based dyes for p
type DSSCs. A protocol for the synthesis of the dye-precursor (4-(bis(4
bromophenyl)amino)benzoic acid) is presented along with its X-ray crystal
structure. The dye precursor is obtained from the commercially available
4(diphenylamino)benzaldehyde in a yield of 87% and serves as a starting point for
the synthesis of various triarylamine-based dyes. Starting from the precursor we
further describe a synthesis protocol for the dye 4-{bis[4'-(2,2-dicyanovinyl)
[1,1'-biphenyl]-4-yl]amino}benzoic acid (also known as dye P4) in a yield of 74%.
All synthesis steps are characterized by high yields and high purities without
the need for laborious purification steps and thus fulfill essential requirements
for scale-up.
PMID- 27196878
TI - Finding and Producing Probiotic Glycosylases for the Biocatalysis of
Ginsenosides: A Mini Review.
AB - Various microorganisms have been widely applied in nutraceutical industries for
the processing of phytochemical conversion. Specifically, in the Asian food
industry and academia, notable attention is paid to the biocatalytic process of
ginsenosides (ginseng saponins) using probiotic bacteria that produce high levels
of glycosyl-hydrolases. Multiple groups have conducted experiments in order to
determine the best conditions to produce more active and stable enzymes, which
can be applicable to produce diverse types of ginsenosides for commercial
applications. In this sense, there are various reviews that cover the
biofunctional effects of multiple types of ginsenosides and the pathways of
ginsenoside deglycosylation. However, little work has been published on the
production methods of probiotic enzymes, which is a critical component of
ginsenoside processing. This review aims to investigate current preparation
methods, results on the discovery of new glycosylases, the application potential
of probiotic enzymes and their use for biocatalysis of ginsenosides in the
nutraceutical industry.
PMID- 27196879
TI - Regioselective Palmitoylation of 9-(2,3-Dihydroxy- propyl)adenine Catalyzed by a
Glycopolymer-enzyme Conjugate.
AB - The enzymatic regioselective monopalmitoylation of racemic 9-(2,3
dihydroxypropyl)- adenine (DHPA), an approved antiviral agent, has been performed
by an immobilized form of Candida antarctica B lipase (CAL-B) using a 4:1
DMF/hexane mixture as the reaction medium. To improve the chemical yield of the
desired monopalmitoylation reaction, solid-phase chemical modifications of the
lipase were evaluated. The reaction yield was successfully increased obtaining
100% product after a second treatment of the product solution with fresh
immobilised chemically glycosylated-CAL-B.
PMID- 27196880
TI - Naturally Inspired Molecules as Multifunctional Agents for Alzheimer's Disease
Treatment.
AB - Alzheimer's disease (AD) has been defined as a multi-factorial disorder resulting
from a complex array of networked cellular and molecular mechanisms. In
particular, elevated levels of Abeta protein and its aggregation products in the
presence of metal ions proved to be highly neurotoxic and therapeutic strategies
aimed at preventing Abeta generation and oxidative stress may represent an
effective approach for AD treatment. A recent paradigm for the treatment of
complex diseases such as AD suggests the employment of multifunctional compounds,
single chemical entities capable of simultaneously modulating different targets
involved in the pathology. In this paper, the "pharmacophores combination"
strategy was applied, connecting the main scaffold of the BACE-1 ligand 1 to that
of the chalcone 2, as metal chelating pharmacophore, to obtain a small library of
compounds. Conjugate 5 emerged as the most interesting derivative, proving to
inhibit BACE-1 with low-micromolar potency, and showing neuroprotective effects.
In particular, 5 proved to be able to protect from metal-associated oxidative
stress by hampering intracellular Cu(2+)-induced ROS formation without any direct
neurotoxic effect.
PMID- 27196881
TI - In Vitro Antioxidant, Anti-Diabetes, Anti-Dementia, and Inflammation Inhibitory
Effect of Trametes pubescens Fruiting Body Extracts.
AB - Trametes pubescens, white rot fungus, has been used for folk medicine in Asian
countries to treat ailments such as cancer and gastrointestinal diseases. This
study was initiated to evaluate the in vitro antioxidant, anti-diabetes, anti
dementia, and anti-inflammatory activities of T. pubescens fruiting bodies. The
1,1-diphenyl-2-picryl-hydrazyl (DPPH) free radical scavenging activities of T.
pubescens methanol (ME) and hot water (HWE) extracts (2.0 mg/mL) were comparable
to butylated hydroxytoluene (BHT), the positive control. However, the chelating
effects of ME and HWE were significantly higher than that of BHT. The HWE (6
mg/mL) also showed comparable reducing power to BHT. Eleven phenol compounds were
detected by high performance liquid chromatography (HPLC) analysis. The alpha
amylase and alpha-glucosidase inhibitory activities of the ME and HWE of the
mushroom were lower than Acarbose, the standard reference; however, the
inhibitory effects of the mushroom extracts at 2.0 mg/mL were moderate. The
acetylcholinesterase (AChE) and butyrylcholinesterase (BChE) inhibitory effects
of ME and HWE were moderate and comparable with galanthamine, the standard drug
to treat early stages of Alzheimer's disease (AD). The ME had a neuroprotective
effect against glutamate-induced PC-12 cell cytotoxicity at the concentration
range of 2-40 MUg/mL. The mushroom extracts also showed inflammation inhibitory
activities such as production of nitric oxide (NO) and expression of inducible
nitric oxide synthase (iNOS) in lipopolysaccharide (LPS)-induced murine
macrophage-like cell lines (RAW 264.7) and significantly suppressed the
carrageenan-induced rat paw-edema. Therefore, fruiting body extracts of T.
pubescens demonstrated antioxidant related anti-diabetes, anti-dementia and anti
inflammatory activities.
PMID- 27196882
TI - Reversible Immobilization of Lipases on Heterofunctional Octyl-Amino Agarose
Beads Prevents Enzyme Desorption.
AB - Two different heterofunctional octyl-amino supports have been prepared using
ethylenediamine and hexylendiamine (OCEDA and OCHDA) and utilized to immobilize
five lipases (lipases A (CALA) and B (CALB) from Candida antarctica, lipases from
Thermomyces lanuginosus (TLL), from Rhizomucor miehei (RML) and from Candida
rugosa (CRL) and the phospholipase Lecitase Ultra (LU). Using pH 5 and 50 mM
sodium acetate, the immobilizations proceeded via interfacial activation on the
octyl layer, after some ionic bridges were established. These supports did not
release enzyme when incubated at Triton X-100 concentrations that released all
enzyme molecules from the octyl support. The octyl support produced significant
enzyme hyperactivation, except for CALB. However, the activities of the
immobilized enzymes were usually slightly higher using the new supports than the
octyl ones. Thermal and solvent stabilities of LU and TLL were significantly
improved compared to the OC counterparts, while in the other enzymes the
stability decreased in most cases (depending on the pH value). As a general rule,
OCEDA had lower negative effects on the stability of the immobilized enzymes than
OCHDA and while in solvent inactivation the enzyme molecules remained attached to
the support using the new supports and were released using monofunctional octyl
supports, in thermal inactivations this only occurred in certain cases.
PMID- 27196883
TI - A New Canthinone-Type Alkaloid Isolated from Ailanthus altissima Swingle.
AB - The present investigation of the chemical constituents of the stem barks of
Ailanthus altissima has resulted in the isolation of six canthinone-type
alkaloids, including a new compound, (R)-5-(1-hydroxyethyl)-canthine-6-one (1),
and five known compounds (2-6). Moreover, four phenyl propanoids (7-10), two
lignans (11 and 12), two triterpenoids (13 and 14) and a fatty acid (15) having
previously known chemical structures were isolated during the same course of this
study. The structure of the new compound was elucidated by physical (m.p.,
[alpha]D) and spectroscopic data (1H-NMR, (13)C-NMR, 2D NMR, and HR-DART-MS)
interpretation and its absolute configuration was determined by electronic
circular dichroism (ECD) data and quantum chemical calculations. The inflammatory
activities of the isolates were screened on lipopolysaccharide (LPS)-induced
nitric oxide (NO), a proinflammatory mediator, in RAW 264.7 cells. Among these
isolated compounds, six compounds exhibited significant inhibition of NO
production, with IC50 values in the range of 5.92 +/- 0.9 to 15.09 +/- 1.8 MUM.
PMID- 27196884
TI - MASM, a Matrine Derivative, Offers Radioprotection by Modulating Lethal Total
Body Irradiation-Induced Multiple Signaling Pathways in Wistar Rats.
AB - Matrine is an alkaloid extracted from Sophora flavescens Ait and has many
biological activities, such as anti-inflammatory, antitumor, anti-fibrosis, and
immunosuppressive properties. In our previous studies, the matrine derivative
MASM was synthesized and exhibited potent inhibitory activity against liver
fibrosis. In this study, we mainly investigated its protection against lethal
total-body irradiation (TBI) in rats. Administration of MASM reduced the
radiation sickness characteristics and increased the 30-day survival of rats
before or after lethal TBI. Ultrastructural observation illustrated that
pretreatment of rats with MASM significantly attenuated the TBI-induced
morphological changes in the different organs of irradiated rats. Gene expression
profiles revealed that pretreatment with MASM had a dramatic effect on gene
expression changes caused by TBI. Pretreatment with MASM prevented differential
expression of 53% (765 genes) of 1445 differentially expressed genes induced by
TBI. Pathway enrichment analysis indicated that these genes were mainly involved
in a total of 21 pathways, such as metabolic pathways, pathways in cancer, and
mitogen-activated protein kinase (MAPK) pathways. Our data indicated that
pretreatment of rats with MASM modulated these pathways induced by TBI,
suggesting that the pretreatment with MASM might provide the protective effects
on lethal TBI mainly or partially through the modulation of these pathways, such
as multiple MAPK pathways. Therefore, MASM has the potential to be used as an
effective therapeutic or radioprotective agent to minimize irradiation damages
and in combination with radiotherapy to improve the efficacy of cancer therapy.
PMID- 27196885
TI - A Convenient Synthesis of 3,7'-Bisindole Derivatives.
AB - An efficient and convenient method to synthesize highly functionalized 3,7'
bisindole derivatives has been developed via a Michael addition and cyclic
condensation reaction of heterocyclic ketene aminals (HKAs) with 2-(1H-indol-3
yl)cyclohexa-2,5-diene-1,4-dione derivatives in ethanol-based solvents at room
temperature. This strategy provides an efficient, environmentally friendly
approach for easy access to various novel 3,7'-bisindole derivatives in moderate
to good yields.
PMID- 27196886
TI - Ethyl Acetate Abatement on Copper Catalysts Supported on Ceria Doped with Rare
Earth Oxides.
AB - Different lanthanide (Ln)-doped cerium oxides (Ce0.5Ln0.5O1.75, where Ln: Gd, La,
Pr, Nd, Sm) were loaded with Cu (20 wt. %) and used as catalysts for the
oxidation of ethyl acetate (EtOAc), a common volatile organic compound (VOC). For
comparison, both Cu-free (Ce-Ln) and supported Cu (Cu/Ce-Ln) samples were
characterized by N2 adsorption at -196 degrees C, scanning/transmission electron
microscopy, energy-dispersive X-ray spectroscopy, X-ray diffraction, X-ray
photoelectron spectroscopy and temperature programmed reduction in H2. The
following activity sequence, in terms of EtOAc conversion, was found for bare
supports: CeO2 ~ Ce0.5Pr0.5O1.75 > Ce0.5Sm0.5O1.75 > Ce0.5Gd0.5O1.75 >
Ce0.5Nd0.5O1.75 > Ce0.5La0.5O1.75. Cu addition improved the catalytic
performance, without affecting the activity order. The best catalytic performance
was obtained for Cu/CeO2 and Cu/Ce0.5Pr0.5O1.75 samples, both achieving complete
EtOAc conversion below ca. 290 degrees C. A strong correlation was revealed
between the catalytic performance and the redox properties of the samples, in
terms of reducibility and lattice oxygen availability. Nuo particular correlation
between the VOC oxidation performance and textural characteristics was found. The
obtained results can be explained in terms of a Mars-van Krevelen type redox
mechanism involving the participation of weakly bound (easily reduced) lattice
oxygen and its consequent replenishment by gas phase oxygen.
PMID- 27196887
TI - Taxanes in the Treatment of Advanced Gastric Cancer.
AB - Although rapid advances in treatment options have improved the prognosis of
advanced gastric cancer (AGC), it remains a major public health problem and the
second leading cause of cancer-related deaths in the world. Taxanes (paclitaxel
and docetaxel) are microtubule stabilizing agents that inhibit the process of
cell division, and have shown antitumor activity in the treatment of AGC as a
single or combination chemotherapy. Accordingly, this review focuses on the
efficacy and tolerability of taxanes in the first- or second-line chemotherapy
setting for AGC.
PMID- 27196889
TI - De Novo Sequencing and Transcriptome Analysis of Pleurotus eryngii subsp.
tuoliensis (Bailinggu) Mycelia in Response to Cold Stimulation.
AB - Cold stimulation of Bailinggu's mycelia is the main factor that triggers
primordia initiation for successful production of fruiting bodies under
commercial cultivation. Yet, the molecular-level mechanisms involved in mycelia
response to cold stimulation are still unclear. Here, we performed comparative
transcriptomic analysis using RNA-Seq technology to better understand the gene
expression regulation during different temporal stages of cold stimulation in
Bailinggu. A total of 21,558 Bailinggu mycelia unigenes were de novo assembled
and annotated from four libraries (control at 25 degrees C, plus cold
stimulation treatments at -3 degrees C for a duration of 1-2 days, 5-6 days, and
9-10 days). GO and KEGG pathway analysis indicated that functional groups of
differentially expressed unigenes associated with cell wall and membrane
stabilization, calcium signaling and mitogen-activated protein kinases (MAPK)
pathways, and soluble sugars and protein biosynthesis and metabolism pathways
play a vital role in Bailinggu's response to cold stimulation. Six hundred and
seven potential EST-based SSRs loci were identified in these unigenes, and 100
EST-SSR primers were randomly selected for validation. The overall polymorphism
rate was 92% by using 10 wild strains of Bailinggu. Therefore, these results can
serve as a valuable resource for a better understanding of the molecular
mechanisms associated with Bailinggu's response to cold stimulation.
PMID- 27196888
TI - Regioselective Benzoylation of Diols and Carbohydrates by Catalytic Amounts of
Organobase.
AB - A novel metal-free organobase-catalyzed regioselective benzoylation of diols and
carbohydrates has been developed. Treatment of diol and carbohydrate substrates
with 1.1 equiv. of 1-benzoylimidazole and 0.2 equiv. of 1,8
diazabicyclo[5.4.0]undec-7-ene (DBU) in MeCN under mild conditions resulted in
highly regioselective benzoylation for the primary hydroxyl group. Importantly,
compared to most commonly used protecting bulky groups for primary hydroxyl
groups, the benzoyl protective group offers a new protection strategy.
PMID- 27196890
TI - Key Developments in Ionic Liquid Crystals.
AB - Ionic liquid crystals are materials that combine the classes of liquid crystals
and ionic liquids. The first one is based on the multi-billion-dollar flat panel
display industry, whilst the latter quickly developed in the past decades into a
family of highly-tunable non-volatile solvents. The combination yields materials
with a unique set of properties, but also with many challenges ahead. In this
review, we provide an overview of the key concepts in ionic liquid crystals,
particularly from a molecular perspective. What are the important molecular
parameters that determine the phase behavior? How should they be introduced into
the molecules? Finally, which other tools does one have to realize specific
properties in the material?
PMID- 27196892
TI - Correction: Chan, Y.-Y., et al. The Constituents of Michelia compressa var.
formosana and Their Bioactivities. Int. J. Mol. Sci. 2014, 15, 10926-10935.
AB - The authors wish to make two changes to their published paper [1]. [...].
PMID- 27196891
TI - Mechanisms of Cell Killing Response from Low Linear Energy Transfer (LET)
Radiation Originating from (177)Lu Radioimmunotherapy Targeting Disseminated
Intraperitoneal Tumor Xenografts.
AB - Radiolabeled antibodies (mAbs) provide efficient tools for cancer therapy. The
combination of low energy beta(-)-emissions (500 keVmax; 130 keVave) along with a
gamma-emission for imaging makes (177)Lu (T1/2 = 6.7 day) a suitable radionuclide
for radioimmunotherapy (RIT) of tumor burdens possibly too large to treat with
alpha-particle radiation. RIT with (177)Lu-trastuzumab has proven to be effective
for treatment of disseminated HER2 positive peritoneal disease in a pre-clinical
model. To elucidate mechanisms originating from this RIT therapy at the molecular
level, tumor bearing mice (LS-174T intraperitoneal xenografts) were treated with
(177)Lu-trastuzumab comparatively to animals treated with a non-specific control,
(177)Lu-HuIgG, and then to prior published results obtained using (212)Pb
trastuzumab, an alpha-particle RIT agent. (177)Lu-trastuzumab induced cell death
via DNA double strand breaks (DSB), caspase-3 apoptosis, and interfered with DNA
PK expression, which is associated with the repair of DNA non-homologous end
joining damage. This contrasts to prior results, wherein (212)Pb-trastuzumab was
found to down-regulate RAD51, which is involved with homologous recombination DNA
damage repair. (177)Lu-trastuzumab therapy was associated with significant
chromosomal disruption and up-regulation of genes in the apoptotic process. These
results suggest an inhibition of the repair mechanism specific to the type of
radiation damage being inflicted by either high or low linear energy transfer
radiation. Understanding the mechanisms of action of beta(-)- and alpha-particle
RIT comparatively through an in vivo tumor environment offers real information
suitable to enhance combination therapy regimens involving alpha- and beta(-)
particle RIT for the management of intraperitoneal disease.
PMID- 27196893
TI - Recent Progress in Treating Protein-Ligand Interactions with Quantum-Mechanical
Methods.
AB - We review the first successes and failures of a "new wave" of quantum chemistry
based approaches to the treatment of protein/ligand interactions. These
approaches share the use of "enhanced", dispersion (D), and/or hydrogen-bond (H)
corrected density functional theory (DFT) or semi-empirical quantum mechanical
(SQM) methods, in combination with ensemble weighting techniques of some form to
capture entropic effects. Benchmark and model system calculations in comparison
to high-level theoretical as well as experimental references have shown that both
DFT-D (dispersion-corrected density functional theory) and SQM-DH (dispersion and
hydrogen bond-corrected semi-empirical quantum mechanical) perform much more
accurately than older DFT and SQM approaches and also standard docking methods.
In addition, DFT-D might soon become and SQM-DH already is fast enough to compute
a large number of binding modes of comparably large protein/ligand complexes,
thus allowing for a more accurate assessment of entropic effects.
PMID- 27196896
TI - Postprandial C-Peptide to Glucose Ratio as a Marker of beta Cell Function:
Implication for the Management of Type 2 Diabetes.
AB - C-peptide is secreted from pancreatic beta cells at an equimolar ratio to
insulin. Since, in contrast to insulin, C-peptide is not extracted by the liver
and other organs, C-peptide reflects endogenous insulin secretion more accurately
than insulin. C-peptide is therefore used as a marker of beta cell function. C
peptide has been mainly used to assess the presence of an insulin-dependent state
for the diagnosis of type 1 diabetes. However, recent studies have revealed that
beta cell dysfunction is also a core deficit of type 2 diabetes, and residual
beta cell function is a key factor in achieving optimal glycemic control in
patients with type 2 diabetes. This review summarizes the role of C-peptide,
especially the postprandial C-peptide to glucose ratio which likely better
reflects maximum beta cell secretory capacity compared with the fasting ratio in
assessing beta cell function, and discusses perspectives on its clinical utility
for managing glycemic control in patients with type 2 diabetes.
PMID- 27196894
TI - A Novel Prostate-Specific Membrane-Antigen (PSMA) Targeted Micelle-Encapsulating
Wogonin Inhibits Prostate Cancer Cell Proliferation via Inducing Intrinsic
Apoptotic Pathway.
AB - Prostate cancer (PCa) is a malignant tumor for which there are no effective
treatment strategies. In this study, we developed a targeted strategy for
prostate-specific membrane-antigen (PSMA)-positive PCa in vitro based on 2-(3
((S)-5-amino-1-carboxypentyl)ureido) pentanedioic acid (ACUPA) modified
polyethylene glycol (PEG)-Cholesterol micelles containing wogonin (WOG), which
was named ACUPA-M-WOG. ACUPA-M-WOG was conventionally prepared using a self
assembling method, which produced stable particle size and zeta potential.
Moreover, ACUPA-M-WOG showed good drug encapsulating capacity and drug release
profiles. Fluorescence activated cell sorting (FACS) results suggested that ACUPA
modified PEG-Cholesterol micelles could effectively enhance the drug uptake on
PSMA(+) PCa cells, and the cytotoxicity of ACUPA-M-WOG was stronger than other
controls according to in vitro cellular proliferation and apoptosis assays,
separately through methyl thiazolyl tetrazolium (MTT) and Annexin V/Propidium
Iodide (PI) staining. Finally, the molecular mechanisms of ACUPA-M-WOG's effects
on human PSMA(+) PCa were investigated, and were mainly the intrinsic or
extrinsic apoptosis signaling pathways. The Western blot results suggested that
ACUPA-M-WOG could enhance the WOG-induced apoptosis, which was mainly via the
intrinsic signaling pathway rather than the extrinsic signaling pathway. In
conclusion, ACUPA-M-WOG was successfully developed for WOG-selective delivery to
PSMA(+) PCa cells and had stronger inhibition than free drugs, which might make
it an effective strategy for PSMA(+) PCa.
PMID- 27196895
TI - Passive Immune-Protection of Litopenaeus vannamei against Vibrio harveyi and
Vibrio parahaemolyticus Infections with Anti-Vibrio Egg Yolk (IgY)-Encapsulated
Feed.
AB - Vibrio spp. are major causes of mortality in white shrimp (Litopenaeus vannamei)
which is lacking adaptive immunity. Passive immunization with a specific egg yolk
antibody (IgY) is a potential method for the protection of shrimp against
vibriosis. In this study, immune effects of the specific egg yolk powders (IgY)
against both V. harveyi and V. parahaemolyticus on white shrimp were evaluated.
The egg yolk powders against V. harveyi and V. parahaemolyticus for passive
immunization of white shrimp were prepared, while a tube agglutination assay and
an indirect enzyme-linked immunosorbent assay (ELISA) were used for detection of
IgY titer. Anti-Vibrio egg yolk was encapsulated by beta-cyclodextrin, which
could keep the activity of the antibody in the gastrointestinal tract of shrimp.
The results showed that the anti-Vibrio egg powders had an inhibiting effect on
V. harveyi and V. parahaemolyticus in vitro. Lower mortality of infected zoeae,
mysis, and postlarva was observed in groups fed with anti-Vibrio egg powders,
compared with those fed with normal egg powders. The bacterial load in postlarva
fed with specific egg powders in seeding ponds was significantly lower than those
fed with normal egg powders in seeding ponds. These results show that passive
immunization by oral administration with specific egg yolk powders (IgY) may
provide a valuable protection of vibrio infections in white shrimp.
PMID- 27196898
TI - Clinical and Molecular Characterization of Patients with Mucopolysaccharidosis
Type I in an Algerian Series.
AB - Mucopolysaccharidoses (MPS's) represent a subgroup of lysosomal storage diseases
related to a deficiency of enzymes that catalyze glycosaminoglycans degradation.
Mucopolysaccharidosis type I (MPS I) is a rare autosomal recessive disorder
caused by a deficiency of alpha-l-iduronidase encoded by the IDUA gene. Partially
degraded heparan sulfate and dermatan sulfate accumulate progressively and lead
to multiorgan dysfunction and damage. The aim of this study is to describe the
clinical, biochemical, and molecular characteristics of 13 Algerian patients from
11 distinct families. MPS I diagnosis was confirmed by molecular study of the
patients' IDUA gene. Clinical features at the diagnosis and during the follow-up
are reported. Eighty-four percent of the studied patients presented with a mild
clinical phenotype. Molecular study of the IDUA gene allowed the characterization
of four pathological variations at the homozygous or compound heterozygote
status: IDUA NM_00203.4:c.1598C>G-p.(Pro533Arg) in 21/26 alleles, IDUA
NM_00203.4:c.532G>A-p.(Glu178Lys) in 2/26 alleles, IDUA NM_00203.4:c.501C>G
p.(Tyr167*) in 2/26 alleles, and IDUA NM_00203. 4: c.1743C>G-p.(Tyr581*) in 1/26
alleles. This molecular study unveils the predominance of p.(Pro533Arg) variation
in our MPS I patients. In this series, the occurrence of some clinical features
linked to the Scheie syndrome is consistent with the literature, such as
systematic valvulopathies, corneal opacity, and umbilical hernia; however,
storage signs, facial dysmorphic features, and hepatomegaly were more frequent in
our series. Screening measures for these debilitating diseases in highly
consanguineous at-risk populations must be considered a priority health problem.
PMID- 27196897
TI - Understanding the Functions of Long Non-Coding RNAs through Their Higher-Order
Structures.
AB - Although thousands of long non-coding RNAs (lncRNAs) have been discovered in
eukaryotes, very few molecular mechanisms have been characterized due to an
insufficient understanding of lncRNA structure. Therefore, investigations of
lncRNA structure and subsequent elucidation of the regulatory mechanisms are
urgently needed. However, since lncRNA are high molecular weight molecules, which
makes their crystallization difficult, obtaining information about their
structure is extremely challenging, and the structures of only several lncRNAs
have been determined so far. Here, we review the structure-function relationships
of the widely studied lncRNAs found in the animal and plant kingdoms, focusing on
the principles and applications of both in vitro and in vivo technologies for the
study of RNA structures, including dimethyl sulfate-sequencing (DMS-seq),
selective 2'-hydroxyl acylation analyzed by primer extension-sequencing (SHAPE
seq), parallel analysis of RNA structure (PARS), and fragmentation sequencing
(FragSeq). The aim of this review is to provide a better understanding of lncRNA
biological functions by studying them at the structural level.
PMID- 27196899
TI - Long-Term Follow-up of HPV Infection Using Urine and Cervical Quantitative HPV
DNA Testing.
AB - The link between infection with high-risk human papillomavirus (hrHPV) and
cervical cancer has been clearly demonstrated. Virological end-points showing the
absence of persistent HPV infection are now accepted as a way of monitoring the
impact of prophylactic vaccination programs and therapeutic vaccine trials. This
study investigated the use of urine samples, which can be collected by self
sampling at home, instead of cervical samples for follow-up of an HPV
intervention trial. Eighteen initially HPV DNA-positive women participating in an
HPV therapeutic vaccine trial were monitored during a three-year follow-up
period. A total of 172 urine samples and 85 cervical samples were collected. We
obtained a paired urine sample for each of the 85 cervical samples by recovering
urine samples from six monthly gynaecological examinations. We performed a small
pilot study in which the participating women used a urine collection device at
home and returned their urine sample to the laboratory by mail. All samples were
analyzed using quantitative real-time HPV DNA PCR. A good association (kappa
value of 0.65) was found between the presence of HPV DNA in urine and a
subsequent cervical sample. Comparisons of the number of HPV DNA copies in urine
and paired cervical samples revealed a significant Spearman rho of 0.676. This
correlation was superior in women with severe lesions. The HPV DNA results of the
small pilot study based on self-collected urine samples at home are consistent
with previous and subsequent urine and/or cervical results. We demonstrated that
urine sampling may be a valid alternative to cervical samples for the follow-up
of HPV intervention trials or programs. The potential clinical value of urine
viral load monitoring should be further investigated.
PMID- 27196900
TI - "Fifty Shades" of Black and Red or How Carboxyl Groups Fine Tune Eumelanin and
Pheomelanin Properties.
AB - Recent advances in the chemistry of melanins have begun to disclose a number of
important structure-property-function relationships of crucial relevance to the
biological role of human pigments, including skin (photo) protection and UV
susceptibility. Even slight variations in the monomer composition of black
eumelanins and red pheomelanins have been shown to determine significant
differences in light absorption, antioxidant, paramagnetic and redox behavior,
particle morphology, surface properties, metal chelation and resistance to photo
oxidative wear-and-tear. These variations are primarily governed by the extent of
decarboxylation at critical branching points of the eumelanin and pheomelanin
pathways, namely the rearrangement of dopachrome to 5,6-dihydroxyindole (DHI) and
5,6-dihydroxyindole-2-carboxylic acid (DHICA), and the rearrangement of 5-S
cysteinyldopa o-quinoneimine to 1,4-benzothiazine (BTZ) and its 3-carboxylic acid
(BTZCA). In eumelanins, the DHICA-to-DHI ratio markedly affects the overall
antioxidant and paramagnetic properties of the resulting pigments. In particular,
a higher content in DHICA decreases visible light absorption and paramagnetic
response relative to DHI-based melanins, but markedly enhances antioxidant
properties. In pheomelanins, likewise, BTZCA-related units, prevalently formed in
the presence of zinc ions, appear to confer pronounced visible and ultraviolet A
(UVA) absorption features, accounting for light-dependent reactive oxygen species
(ROS) production, whereas non-carboxylated benzothiazine intermediates seem to be
more effective in inducing ROS production by redox cycling mechanisms in the
dark. The possible biological and functional significance of carboxyl retention
in the eumelanin and pheomelanin pathways is discussed.
PMID- 27196902
TI - Anchoring of FRET Sensors-A Requirement for Spatiotemporal Resolution.
AB - FRET biosensors have become a routine tool for investigating mechanisms and
components of cell signaling. Strategies for improving them for particular
applications are continuously sought. One important aspect to consider when
designing FRET probes is the dynamic distribution and propagation of signals
within living cells. We have addressed this issue by directly comparing an
anchored (taFS) to a non-anchored (naFS) cleavable FRET sensor. We chose a
microtubule-associated protein tau as an anchor, as microtubules are abundant
throughout the cytosol of cells. We show that tau-anchored FRET sensors are
concentrated at the cytoskeleton and enriched in the neurite-like processes of
cells, providing high intensity of the total signal. In addition, anchoring
limits the diffusion of the sensor, enabling spatiotemporally resolved monitoring
of subcellular variations in enzyme activity. Thus, anchoring is an important
aspect to consider when designing FRET sensors for deeper understanding of cell
signaling.
PMID- 27196901
TI - In Vitro Activity of Copper(II) Complexes, Loaded or Unloaded into a
Nanostructured Lipid System, against Mycobacterium tuberculosis.
AB - Tuberculosis (TB) is an infectious disease caused mainly by the bacillus
Mycobacterium tuberculosis (Mtb), presenting 9.5 million new cases and 1.5
million deaths in 2014. The aim of this study was to evaluate a nanostructured
lipid system (NLS) composed of 10% phase oil (cholesterol), 10% surfactant (soy
phosphatidylcholine, sodium oleate), and Eumulgin((r)) HRE 40 ([castor oil
polyoxyl-40-hydrogenated] in a proportion of 3:6:8), and an 80% aqueous phase
(phosphate buffer pH = 7.4) as a tactic to enhance the in vitro anti-Mtb activity
of the copper(II) complexes [CuCl2(INH)2].H2O (1), [Cu(NCS)2(INH)2].5H2O (2) and
[Cu(NCO)2(INH)2].4H2O (3). The Cu(II) complex-loaded NLS displayed sizes ranging
from 169.5 +/- 0.7095 to 211.1 +/- 0.8963 nm, polydispersity index (PDI) varying
from 0.135 +/- 0.0130 to 0.236 +/- 0.00100, and zeta potential ranging from
0.00690 +/- 0.0896 to -8.43 +/- 1.63 mV. Rheological analysis showed that the
formulations behave as non-Newtonian fluids of the pseudoplastic and viscoelastic
type. Antimycobacterial activities of the free complexes and NLS-loaded complexes
against Mtb H37Rv ATCC 27294 were evaluated by the REMA methodology, and the
selectivity index (SI) was calculated using the cytotoxicity index (IC50) against
Vero (ATCC((r)) CCL-81), J774A.1 (ATCC((r)) TIB-67), and MRC-5 (ATCC((r)) CCL
171) cell lines. The data suggest that the incorporation of the complexes into
NLS improved the inhibitory action against Mtb by 52-, 27-, and 4.7-fold and the
SI values by 173-, 43-, and 7-fold for the compounds 1, 2 and 3, respectively.
The incorporation of the complexes 1, 2 and 3 into the NLS also resulted in a
significant decrease of toxicity towards an alternative model (Artemia salina
L.). These findings suggest that the NLS may be considered as a platform for
incorporation of metallic complexes aimed at the treatment of TB.
PMID- 27196903
TI - Kinect-Based Virtual Game for the Elderly that Detects Incorrect Body Postures in
Real Time.
AB - Poor posture can result in loss of physical function, which is necessary to
preserving independence in later life. Its decline is often the determining
factor for loss of independence in the elderly. To avoid this, a system to
correct poor posture in the elderly, designed for Kinect-based indoor
applications, is proposed in this paper. Due to the importance of maintaining a
healthy life style in senior citizens, the system has been integrated into a game
which focuses on their physical stimulation. The game encourages users to perform
physical activities while the posture correction system helps them to adopt
proper posture. The system captures limb node data received from the Kinect
sensor in order to detect posture variations in real time. The DTW algorithm
compares the original posture with the current one to detect any deviation from
the original correct position. The system was tested and achieved a successful
detection percentage of 95.20%. Experimental tests performed in a nursing home
with different users show the effectiveness of the proposed solution.
PMID- 27196904
TI - Xurography as a Rapid Fabrication Alternative for Point-of-Care Devices:
Assessment of Passive Micromixers.
AB - Despite the copious amount of research on the design and operation of
micromixers, there are few works regarding manufacture technology aimed at
implementation beyond academic environments. This work evaluates the viability of
xurography as a rapid fabrication tool for the development of ultra-low cost
microfluidic technology for extreme Point-of-Care (POC) micromixing devices. By
eschewing photolithographic processes and the bulkiness of pumping and enclosure
systems for rapid fabrication and passively driven operation, xurography is
introduced as a manufacturing alternative for asymmetric split and recombine
(ASAR) micromixers. A T-micromixer design was used as a reference to assess the
effects of different cutting conditions and materials on the geometric features
of the resulting microdevices. Inspection by stereographic and confocal
microscopy showed that it is possible to manufacture devices with less than 8%
absolute dimensional error. Implementation of the manufacturing methodology in
modified circular shape- based SAR microdevices (balanced and unbalanced
configurations) showed that, despite the precision limitations of the xurographic
process, it is possible to implement this methodology to produce functional
micromixing devices. Mixing efficiency was evaluated numerically and
experimentally at the outlet of the microdevices with performances up to 40%.
Overall, the assessment encourages further research of xurography for the
development of POC micromixers.
PMID- 27196905
TI - A Novel Method for Proximity Detection of Moving Targets Using a Large-Scale
Planar Capacitive Sensor System.
AB - A novel method for proximity detection of moving targets (with high dielectric
constants) using a large-scale (the size of each sensor is 31 cm * 19 cm) planar
capacitive sensor system (PCSS) is proposed. The capacitive variation with
distance is derived, and a pair of electrodes in a planar capacitive sensor unit
(PCSU) with a spiral shape is found to have better performance on sensitivity
distribution homogeneity and dynamic range than three other shapes (comb shape,
rectangular shape, and circular shape). A driving excitation circuit with a Clapp
oscillator is proposed, and a capacitance measuring circuit with sensitivity of
0.21 V p - p / pF is designed. The results of static experiments and dynamic
experiments demonstrate that the voltage curves of static experiments are similar
to those of dynamic experiments; therefore, the static data can be used to
simulate the dynamic curves. The dynamic range of proximity detection for three
projectiles is up to 60 cm, and the results of the following static experiments
show that the PCSU with four neighboring units has the highest sensitivity (the
sensitivities of other units are at least 4% lower); when the attack angle
decreases, the intensity of sensor signal increases. This proposed method leads
to the design of a feasible moving target detector with simple structure and low
cost, which can be applied in the interception system.
PMID- 27196906
TI - Ultra Wideband Indoor Positioning Technologies: Analysis and Recent Advances.
AB - In recent years, indoor positioning has emerged as a critical function in many
end-user applications; including military, civilian, disaster relief and
peacekeeping missions. In comparison with outdoor environments, sensing location
information in indoor environments requires a higher precision and is a more
challenging task in part because various objects reflect and disperse signals.
Ultra WideBand (UWB) is an emerging technology in the field of indoor positioning
that has shown better performance compared to others. In order to set the stage
for this work, we provide a survey of the state-of-the-art technologies in indoor
positioning, followed by a detailed comparative analysis of UWB positioning
technologies. We also provide an analysis of strengths, weaknesses,
opportunities, and threats (SWOT) to analyze the present state of UWB positioning
technologies. While SWOT is not a quantitative approach, it helps in assessing
the real status and in revealing the potential of UWB positioning to effectively
address the indoor positioning problem. Unlike previous studies, this paper
presents new taxonomies, reviews some major recent advances, and argues for
further exploration by the research community of this challenging problem space.
PMID- 27196907
TI - A Plasmonic Temperature-Sensing Structure Based on Dual Laterally Side-Coupled
Hexagonal Cavities.
AB - A plasmonic temperature-sensing structure, based on a metal-insulator-metal (MIM)
waveguide with dual side-coupled hexagonal cavities, is proposed and numerically
investigated by using the finite-difference time-domain (FDTD) method in this
paper. The numerical simulation results show that a resonance dip appears in the
transmission spectrum. Moreover, the full width of half maximum (FWHM) of the
resonance dip can be narrowed down, and the extinction ratio can reach a maximum
value by tuning the coupling distance between the waveguide and two cavities.
Based on a linear relationship between the resonance dip and environment
temperature, the temperature-sensing characteristics are discussed. The
temperature sensitivity is influenced by the side length and the coupling
distance. Furthermore, for the first time, two concepts-optical spectrum
interference (OSI) and misjudge rate (MR)-are introduced to study the temperature
sensing resolution based on spectral interrogation. This work has some
significance in the design of nanoscale optical sensors with high temperature
sensitivity and a high sensing resolution.
PMID- 27196908
TI - Methods and Best Practice to Intercompare Dissolved Oxygen Sensors and
Fluorometers/Turbidimeters for Oceanographic Applications.
AB - In European seas, ocean monitoring strategies in terms of key parameters, space
and time scale vary widely for a range of technical and economic reasons.
Nonetheless, the growing interest in the ocean interior promotes the
investigation of processes such as oxygen consumption, primary productivity and
ocean acidity requiring that close attention is paid to the instruments in terms
of measurement setup, configuration, calibration, maintenance procedures and
quality assessment. To this aim, two separate hardware and software tools were
developed in order to test and simultaneously intercompare several oxygen probes
and fluorometers/turbidimeters, respectively in the same environmental
conditions, with a configuration as close as possible to real in-situ deployment.
The chamber designed to perform chlorophyll-a and turbidity tests allowed for the
simultaneous acquisition of analogue and digital signals of several sensors at
the same time, so it was sufficiently compact to be used in both laboratory and
onboard vessels. Methodologies and best practice committed to the intercomparison
of dissolved oxygen sensors and fluorometers/turbidimeters have been used, which
aid in the promotion of interoperability to access key infrastructures, such as
ocean observatories and calibration facilities. Results from laboratory tests as
well as field tests in the Mediterranean Sea are presented.
PMID- 27196909
TI - State of the Art in LP-WAN Solutions for Industrial IoT Services.
AB - The emergence of low-cost connected devices is enabling a new wave of
sensorization services. These services can be highly leveraged in industrial
applications. However, the technologies employed so far for managing this kind of
system do not fully cover the strict requirements of industrial networks,
especially those regarding energy efficiency. In this article a novel paradigm,
called Low-Power Wide Area Networking (LP-WAN), is explored. By means of a
cellular-type architecture, LP-WAN-based solutions aim at fulfilling the
reliability and efficiency challenges posed by long-term industrial networks.
Thus, the most prominent LP-WAN solutions are reviewed, identifying and
discussing the pros and cons of each of them. The focus is also on examining the
current deployment state of these platforms in Spain. Although LP-WAN systems are
at early stages of development, they represent a promising alternative for
boosting future industrial IIoT (Industrial Internet of Things) networks and
services.
PMID- 27196910
TI - Reduction of Motion Artifacts and Improvement of R Peak Detecting Accuracy Using
Adjacent Non-Intrusive ECG Sensors.
AB - Non-intrusive electrocardiogram (ECG) monitoring has many advantages: easy to
measure and apply in daily life. However, motion noise in the measured signal is
the major problem of non-intrusive measurement. This paper proposes a method to
reduce the noise and to detect the R peaks of ECG in a stable manner in a sitting
arrangement using non-intrusive sensors. The method utilizes two capacitive ECG
sensors (cECGs) to measure ECG, and another two cECGs located adjacent to the
sensors for ECG are added to obtain the information on motion. Then, active noise
cancellation technique and the motion information are used to reduce motion
noise. To verify the proposed method, ECG was measured indoors and during
driving, and the accuracy of the detected R peaks was compared. After applying
the method, the sum of sensitivity and positive predictivity increased 8.39% on
average and 26.26% maximally in the data. Based on the results, it was confirmed
that the motion noise was reduced and that more reliable R peak positions could
be obtained by the proposed method. The robustness of the new ECG measurement
method will elicit benefits to various health care systems that require
noninvasive heart rate or heart rate variability measurements.
PMID- 27196911
TI - Field-Based Optimal Placement of Antennas for Body-Worn Wireless Sensors.
AB - We investigate a case of automated energy-budget-aware optimization of the
physical position of nodes (sensors) in a Wireless Body Area Network (WBAN). This
problem has not been presented in the literature yet, as opposed to antenna and
routing optimization, which are relatively well-addressed. In our research, which
was inspired by a safety-critical application for firefighters, the sensor
network consists of three nodes located on the human body. The nodes communicate
over a radio link operating in the 2.4 GHz or 5.8 GHz ISM frequency band. Two
sensors have a fixed location: one on the head (earlobe pulse oximetry) and one
on the arm (with accelerometers, temperature and humidity sensors, and a GPS
receiver), while the position of the third sensor can be adjusted within a
predefined region on the wearer's chest. The path loss between each node pair
strongly depends on the location of the nodes and is difficult to predict without
performing a full-wave electromagnetic simulation. Our optimization scheme
employs evolutionary computing. The novelty of our approach lies not only in the
formulation of the problem but also in linking a fully automated optimization
procedure with an electromagnetic simulator and a simplified human body model.
This combination turns out to be a computationally effective solution, which,
depending on the initial placement, has a potential to improve performance of our
example sensor network setup by up to about 20 dB with respect to the path loss
between selected nodes.
PMID- 27196912
TI - A Mobile and Low-Cost System for Environmental Monitoring: A Case Study.
AB - Northern Italy has one of the highest air pollution levels in the European Union.
This paper describes a mobile wireless sensor network system intended to
complement the already existing official air quality monitoring systems of the
metropolitan town of Torino. The system is characterized by a high portability
and low cost, in both acquisition and maintenance. The high portability of the
system aims to improve the spatial distribution and resolution of the
measurements from the official static monitoring stations. Commercial PM 10 and O
3 sensors were incorporated into the system and were subsequently tested in a
controlled environment and in the field. The test in the field, performed in
collaboration with the local environmental agency, revealed that the sensors can
provide accurate data if properly calibrated and maintained. Further tests were
carried out by mounting the system on bicycles in order to increase their
mobility.
PMID- 27196913
TI - Tools to Perform Local Dense 3D Reconstruction of Shallow Water Seabed.
AB - Tasks such as distinguishing or identifying individual objects of interest
require the production of dense local clouds at the scale of these individual
objects of interest. Due to the physical and dynamic properties of an underwater
environment, the usual dense matching algorithms must be rethought in order to be
adaptive. These properties also imply that the scene must be observed at close
range. Classic robotized acquisition systems are oversized for local studies in
shallow water while the systematic acquisition of data is not guaranteed with
divers. We address these two major issues through a multidisciplinary approach.
To efficiently acquire on-demand stereoscopic pairs using simple logistics in
small areas of shallow water, we devised an agile light-weight dedicated system
which is easy to reproduce. To densely match two views in a reliable way, we
devised a reconstruction algorithm that automatically accounts for the dynamics,
variability and light absorption of the underwater environment. Field experiments
in the Mediterranean Sea were used to assess the results.
PMID- 27196914
TI - How Efficient Is My (Medicinal) Chemistry?
AB - "Greening" a chemical transformation is not about only changing the nature of a
solvent or decreasing the reaction temperature. There are metrics enabling a
critical quantification of the efficiency of an experimental protocol. Some of
them are applied to different sequences for the preparation of paracetamol in
order to understand their performance parameters and elucidate pathways for
improvement.
PMID- 27196917
TI - Orange Is the New Green: Exploring the Restorative Capacity of Seasonal Foliage
in Schoolyard Trees.
AB - Urban schoolyard environments are increasingly characterized by a proliferation
of hard surfaces with little if any greenery. Schoolyard "greening" initiatives
are becoming increasingly popular; however, schoolyard designs often fail to
realize their restorative potential. In this quasi-experimental study, a proposed
schoolyard greening project was used to visualize alternative planting designs
and seasonal tree foliage; these design alternatives were subsequently used as
visual stimuli in a survey administered to children who will use the schoolyard
to assess the perceived restorative capacity of different design features. The
findings indicate that seasonal changes in tree foliage enhance the perceived
restorative quality of schoolyard environments. Specifically, fall foliage
colour, when compared to green foliage, is rated as being perceived to be equally
restorative for children. Additionally, seasonal planting, including evergreen
conifers, may enhance the restorative quality of the schoolyard especially when
deciduous trees are leafless. Landscape design professionals, community-based
organizations, and other decision-makers in schoolyard greening efforts should
strategically consider their tree choices to maximize year-round support for
healthy attention functioning in children through restoration.
PMID- 27196915
TI - Tiny Microbes with a Big Impact: The Role of Cyanobacteria and Their Metabolites
in Shaping Our Future.
AB - Cyanobacteria are among the first microorganisms to have inhabited the Earth.
Throughout the last few billion years, they have played a major role in shaping
the Earth as the planet we live in, and they continue to play a significant role
in our everyday lives. Besides being an essential source of atmospheric oxygen,
marine cyanobacteria are prolific secondary metabolite producers, often despite
the exceptionally small genomes. Secondary metabolites produced by these
organisms are diverse and complex; these include compounds, such as pigments and
fluorescent dyes, as well as biologically-active compounds with a particular
interest for the pharmaceutical industry. Cyanobacteria are currently regarded as
an important source of nutrients and biofuels and form an integral part of novel
innovative energy-efficient designs. Being autotrophic organisms, cyanobacteria
are well suited for large-scale biotechnological applications due to the low
requirements for organic nutrients. Recent advances in molecular biology
techniques have considerably enhanced the potential for industries to optimize
the production of cyanobacteria secondary metabolites with desired functions.
This manuscript reviews the environmental role of marine cyanobacteria with a
particular focus on their secondary metabolites and discusses current and future
developments in both the production of desired cyanobacterial metabolites and
their potential uses in future innovative projects.
PMID- 27196919
TI - Boiling over: A Descriptive Analysis of Drinking Water Advisories in First
Nations Communities in Ontario, Canada.
AB - Access to safe and reliable drinking water is commonplace for most Canadians.
However, the right to safe and reliable drinking water is denied to many First
Nations peoples across the country, highlighting a priority public health and
environmental justice issue in Canada. This paper describes trends and
characteristics of drinking water advisories, used as a proxy for reliable access
to safe drinking water, among First Nations communities in the province of
Ontario. Visual and statistical tools were used to summarize the advisory data in
general, temporal trends, and characteristics of the drinking water systems in
which advisories were issued. Overall, 402 advisories were issued during the
study period. The number of advisories increased from 25 in 2004 to 75 in 2013.
The average advisory duration was 294 days. Most advisories were reported in
summer months and equipment malfunction was the most commonly reported reason for
issuing an advisory. Nearly half of all advisories occurred in drinking water
systems where additional operator training was needed. These findings underscore
that the prevalence of drinking water advisories in First Nations communities is
a problem that must be addressed. Concerted and multi-faceted efforts are called
for to improve the provision of safe and reliable drinking water First Nations
communities.
PMID- 27196916
TI - "The Good, the Bad and the Ugly" of Chitosans.
AB - The objective of this paper is to emphasize the fact that while consistent
interest has been paid to the industrial use of chitosan, minor attention has
been devoted to spread the knowledge of a good characterization of its physico
chemical properties. Therefore, the paper attempts to critically comment on the
conflicting experimental results, highlighting the facts, the myths and the
controversies. The goal is to indicate how to take advantage of chitosan
versatility, to learn how to manage its variability and show how to properly
tackle some unexpected undesirable features. In the sections of the paper various
issues that relate chitosan properties to some basic features and to advanced
solutions and applications are presented. The introduction outlines some
historical pioneering works, where the chemistry of chitosan was originally
explored. Thereafter, particular reference is made to analytical purity,
characterization and chain modifications. The macromolecular characterization is
mostly related to molecular weight and to degree of acetylation, but also refers
to the conformational and rheological properties and solution stability. Then,
the antimicrobial activity of chitosan in relation with its solubility is
reviewed. A section is dedicated to the formulation of chitosan biomaterials,
from gel to nanobeads, exploring their innovative application as active carrier
nanoparticles. Finally, the toxicity issue of chitosan as a polymer and as a
constructed nanomaterial is briefly commented in the conclusions.
PMID- 27196918
TI - Prevalence and Factors Associated with Substance Use and Misuse among Kosovar
Adolescents; Cross Sectional Study of Scholastic, Familial-, and Sports-Related
Factors of Influence.
AB - Adolescence is considered to be the most important period for the prevention of
substance use and misuse (SUM). The aim of this study was to investigate the
problem of SUM and to establish potentially important factors associated with SUM
in Kosovar adolescents. Multi-stage simple random sampling was used to select
participants. At the end of their high school education, 980 adolescents (623
females) ages 17 to 19 years old were enrolled in the study. The prevalence of
smoking, alcohol consumption (measured by Alcohol Use Disorder Identification
Test-AUDIT), and illegal drug use (dependent variables), as well as socio
demographic, scholastic, familial, and sports-related factors (independent
variables), were assessed. Boys smoke cigarettes more often than girls with daily
smoking prevalence of 16% among boys and 9% among girls (OR = 1.85, 95% = CI 1.25
2.75). The prevalence of harmful drinking (i.e., AUDIT scores of >10) is found to
be alarming (41% and 37% for boys and girls, respectively; OR = 1.13, 95% CI =
0.87-1.48), while 17% of boys and 9% of girls used illegal drugs (OR = 2.01, 95%
CI = 1.35-2.95). The behavioral grade (observed as: excellent-average-poor) is
the factor that was most significantly correlated with SUM both in boys and
girls, with lower behavioral grades among those adolescents who consume
substances. In girls, lower maternal education levels were associated with a
decreased likelihood of SUM, whereas sports achievement was negatively associated
with risky drinking. In boys, sports achievement decreased the likelihood of
daily smoking. Information on the factors associated with SUM should be
disseminated among sports and school authorities.
PMID- 27196920
TI - Contributing Factors to Colorectal Cancer Screening among Chinese People: A
Review of Quantitative Studies.
AB - Colorectal cancer (CRC) is a major health problem in Asia. It has been reported
that the Chinese are more susceptible to CRC than many other ethnic groups.
Screening for CRC is a cost-effective prevention and control strategy; however,
the screening rates among the Chinese are sub-optimal. We conducted a review to
identify the factors associated with CRC screening participation among Chinese
people. Twenty-two studies that examined the factors related to CRC screening
behaviors among the Chinese were identified through five databases. Seven factors
were consistently reported to influence CRC screening behaviors in at least one
of the studies: socio-demographic characteristics (educational level, health
insurance, and knowledge about CRC and its screening); psychological factors
(perceived severity of CRC, susceptibility of having CRC, and barriers to
screening); and contact with medical provider (physician recommendation). The
evidence base for many of these relationships is quite limited. Furthermore, the
associations of many factors, including age, gender, income, cancer worry/fear,
and self-efficacy with CRC screening behaviors, were mixed or inconsistent across
these studies, thereby indicating that more studies are needed in this area.
PMID- 27196921
TI - Unequal Recovery? Federal Resource Distribution after a Midwest Flood Disaster.
AB - Following severe flooding in 2008, three Iowa communities acquired over 1000
damaged properties to support disaster recovery and mitigation. This research
applies a distributive justice framework to analyze the distribution of disaster
recovery funds for property acquisition. Two research questions drive the
analysis: (1) how does recovery vary by acquisition funding source; and (2) what
is the relationship between recovery and vulnerable populations? Through spatial
econometric modeling, relative recovery is compared between two federal programs
that funded the acquisitions, and across socially vulnerable populations. The
results indicate both distributive and temporal inequalities in the allocation of
federal recovery funds. In particular, Latino and elderly populations were
associated with lower recovery rates. Recommendations for future research in
flood recovery and acquisitions are provided.
PMID- 27196922
TI - Which Factors Determine Metal Accumulation in Agricultural Soils in the Severely
Human-Coupled Ecosystem?
AB - Agricultural soil is typically an important component of urban ecosystems,
contributing directly or indirectly to the general quality of human life. To
understand which factors influence metal accumulation in agricultural soils in
urban ecosystems is becoming increasingly important. Land use, soil type and
urbanization indicators all account for considerable differences in metal
accumulation in agricultural soils, and the interactions between these factors on
metal concentrations were also examined. Results showed that Zn, Cu, and Cd
concentrations varied significantly among different land use types.
Concentrations of all metals, except for Cd, were higher in calcareous cinnamon
soil than in fluvo-aquic soil. Expansion distance and road density were adopted
as urbanization indicators, and distance from the urban center was significantly
negatively correlated with concentrations of Hg, and negatively correlated with
concentrations of Zn, and road density was positively correlated with Cd
concentrations. Multivariate analysis of variance indicated that Hg concentration
was significantly influenced by the four-way interaction among all factors. The
results in this study provide basic data to support the management of
agricultural soils and to help policy makers to plan ahead in Beijing.
PMID- 27196923
TI - The Effect of MicroRNA bantam on Baculovirus AcMNPV Infection in Vitro and in
Vivo.
AB - The role of microRNA bantam, one of the most abundant microRNAs in Sf9 cells, was
studied for its role in baculovirus infection in vitro and in vivo. The
expression level of bantam was increased after AcMNPV infection in Sf9 cells and
in Spodoptera litura larvae. In Sf9 cells, application of bantam inhibitor or
mimic altered the expression of many virus genes, the most affected gene being
lef8, gp41 and p10, the expression level of which was increased by 8, 10 and 40
times, respectively, in the presence of bantam inhibitor. Virus DNA replication
was decreased in the presence of bantam mimic and increased in the presence of
bantam inhibitor in a dose dependent manner. However, the production of budded
virus did not change significantly. Feeding the larvae of S. litura and
Spodoptera exigua with bantam antagomiR, a more stable form of the inhibitor,
resulted in an abnormal larval growth and a decreased pupation rate. In S.
litura, larvae died 3.5 days sooner than the control when bantam antagomiR was
applied, together with AcMNPV. In infected S. exigua, larval mortality increased
from 47% without antagomiR to 80% with it. The results suggest that microRNA
bantam plays an important role in insect growth, as well as in baculovirus-insect
interaction.
PMID- 27196924
TI - Persistent Inequalities in Child Undernutrition in Cambodia from 2000 until
Today.
AB - The study assessed the trends of nutritional status of children under age five in
Cambodia over four DHS surveys from 2000 to 2014 and the contribution of
socioeconomic and demographic factors to its changes. Undernutrition was a public
health problem in all surveys. Despite consistent improvement over the years,
stunting still affected 32.5% of children in 2014. Wasting prevalence did not
improve since 2005 and affected 9.6% of children under five in 2014. Low wealth
and mother education; and rural residence contributed to undernutrition. In 2014;
wealth status was the main socioeconomic factor associated with undernutrition
and the nutritional status of children was strongly related to that of their
mothers. Anemia prevalence was high and after a decrease between 2000 and 2005
remained at 45%. The prevalence of overweight was less than 10% and did not
change over the years despite an increasing trend in the richest households of
urban areas. Persistent inequalities in child undernutrition call for action,
giving priority to the most vulnerable households to ensure availability and
access to nutrient-rich foods for women and children through nutrition-sensitive
and nutrition-specific programs. The recent increase of overweight in the richest
populations must also be considered in Cambodian health policies.
PMID- 27196925
TI - Adequate Iodine Status in New Zealand School Children Post-Fortification of Bread
with Iodised Salt.
AB - Iodine deficiency re-emerged in New Zealand in the 1990s, prompting the mandatory
fortification of bread with iodised salt from 2009. This study aimed to determine
the iodine status of New Zealand children when the fortification of bread was
well established. A cross-sectional survey of children aged 8-10 years was
conducted in the cities of Auckland and Christchurch, New Zealand, from March to
May 2015. Children provided a spot urine sample for the determination of urinary
iodine concentration (UIC), a fingerpick blood sample for Thyroglobulin (Tg)
concentration, and completed a questionnaire ascertaining socio-demographic
information that also included an iodine-specific food frequency questionnaire
(FFQ). The FFQ was used to estimate iodine intake from all main food sources
including bread and iodised salt. The median UIC for all children (n = 415) was
116 MUg/L (females 106 MUg/L, males 131 MUg/L) indicative of adequate iodine
status according to the World Health Organisation (WHO, i.e., median UIC of 100
199 MUg/L). The median Tg concentration was 8.7 MUg/L, which was <10 MUg/L
confirming adequate iodine status. There was a significant difference in UIC by
sex (p = 0.001) and ethnicity (p = 0.006). The mean iodine intake from the food
only model was 65 MUg/day. Bread contributed 51% of total iodine intake in the
food-only model, providing a mean iodine intake of 35 MUg/day. The mean iodine
intake from the food-plus-iodised salt model was 101 MUg/day. In conclusion, the
results of this study confirm that the iodine status in New Zealand school
children is now adequate.
PMID- 27196926
TI - Degradation of Swainsonine by the NADP-Dependent Alcohol Dehydrogenase A1R6C3 in
Arthrobacter sp. HW08.
AB - Swainsonine is an indolizidine alkaloid that has been found in locoweeds and some
fungi. Our previous study demonstrated that Arthrobacter sp. HW08 or its crude
enzyme extract could degrade swainsonie efficiently. However, the mechanism of
swainsonine degradation in bacteria remains unclear. In this study, we used label
free quantitative proteomics method based on liquid chromatography-electrospray
ionization-tandem mass spectrometry to dissect the mechanism of swainsonine
biodegradation by Arthrobacter sp. HW08. The results showed that 129
differentially expressed proteins were relevant to swainsonine degradation. These
differentially expressed proteins were mostly related to the biological process
of metabolism and the molecular function of catalytic activity. Among the 129
differentially expressed proteins, putative sugar phosphate isomerase/epimerase
A1R5X7, Acetyl-CoA acetyltransferase A0JZ95, and nicotinamide adenine
dinucleotide phosphate (NADP)-dependent alcohol dehydrogenase A1R6C3 were found
to contribute to the swainsonine degradation. Notably, NADP-dependent alcohol
dehyrodgenase A1R6C3 appeared to play a major role in degrading swainsonine, but
not as much as Arthrobacter sp. HW08 did. Collectively, our findings here provide
insights to understand the mechanism of swainsonine degradation in bacteria.
PMID- 27196927
TI - Botulinum Neurotoxin Serotype A Recognizes Its Protein Receptor SV2 by a
Different Mechanism than Botulinum Neurotoxin B Synaptotagmin.
AB - Botulinum neurotoxins (BoNTs) exhibit extraordinary potency due to their
exquisite neurospecificity, which is achieved by dual binding to complex
polysialo-gangliosides and synaptic vesicle proteins. The luminal domain 4 (LD4)
of the three synaptic vesicle glycoprotein 2 isoforms, SV2A-C, identified as
protein receptors for the most relevant serotype BoNT/A, binds within the 50 kDa
cell binding domain HC of BoNT/A. Here, we deciphered the BoNT/A-SV2 interactions
in more detail. In pull down assays, the binding of HCA to SV2-LD4 isoforms
decreases from SV2C >> SV2A > SV2B. A binding constant of 200 nM was determined
for BoNT/A to rat SV2C-LD4 in GST pull down assay. A similar binding constant was
determined by surface plasmon resonance for HCA to rat SV2C and to human SV2C,
the latter being slightly lower due to the substitution L563F in LD4. At pH 5, as
measured in acidic synaptic vesicles, the binding constant of HCA to hSV2C is
increased more than 10-fold. Circular dichroism spectroscopy reveals that the
quadrilateral helix of SV2C-LD4 already exists in solution prior to BoNT/A
binding. Hence, the BoNT/A-SV2C interaction is of different nature compared to
BoNT/B-Syt-II. In particular, the preexistence of the quadrilateral beta-sheet
helix of SV2 and its pH-dependent binding to BoNT/A via backbone-backbone
interactions constitute major differences. Knowledge of the molecular details of
BoNT/A-SV2 interactions drives the development of high affinity peptides to
counteract BoNT/A intoxications or to capture functional BoNT/A variants in
innovative detection systems for botulism diagnostic.
PMID- 27196931
TI - The Landscape of the Emergence of Life.
AB - Is it unrealistic to presuppose that all of the steps that could lead to the
formation of life could occur in one setting?[...].
PMID- 27196932
TI - Real-Time Monitoring and Evaluation of a Visual-Based Cervical Cancer Screening
Program Using a Decision Support Job Aid.
AB - In many developing nations, cervical cancer screening is done by visual
inspection with acetic acid (VIA). Monitoring and evaluation (M&E) of such
screening programs is challenging. An enhanced visual assessment (EVA) system was
developed to augment VIA procedures in low-resource settings. The EVA System
consists of a mobile colposcope built around a smartphone, and an online image
portal for storing and annotating images. A smartphone app is used to control the
mobile colposcope, and upload pictures to the image portal. In this paper, a new
app feature that documents clinical decisions using an integrated job aid was
deployed in a cervical cancer screening camp in Kenya. Six organizations
conducting VIA used the EVA System to screen 824 patients over the course of a
week, and providers recorded their diagnoses and treatments in the application.
Real-time aggregated statistics were broadcast on a public website. Screening
organizations were able to assess the number of patients screened, alongside
treatment rates, and the patients who tested positive and required treatment in
real time, which allowed them to make adjustments as needed. The real-time M&E
enabled by "smart" diagnostic medical devices holds promise for broader use in
screening programs in low-resource settings.
PMID- 27196930
TI - Transcriptome-Wide Survey and Expression Profile Analysis of Putative
Chrysanthemum HD-Zip I and II Genes.
AB - The homeodomain-leucine zipper (HD-Zip) transcription factor family is a key
transcription factor family and unique to the plant kingdom. It consists of a
homeodomain and a leucine zipper that serve in combination as a dimerization
motif. The family can be classified into four subfamilies, and these subfamilies
participate in the development of hormones and mediation of hormone action and
are involved in plant responses to environmental conditions. However, limited
information on this gene family is available for the important chrysanthemum
ornamental species (Chrysanthemum morifolium). Here, we characterized 17
chrysanthemum HD-Zip genes based on transcriptome sequences. Phylogenetic
analyses revealed that 17 CmHB genes were distributed in the HD-Zip subfamilies I
and II and identified two pairs of putative orthologous proteins in Arabidopsis
and chrysanthemum and four pairs of paralogous proteins in chrysanthemum. The
software MEME was used to identify 7 putative motifs with E values less than 1e-3
in the chrysanthemum HD-Zip factors, and they can be clearly classified into two
groups based on the composition of the motifs. A bioinformatics analysis
predicted that 8 CmHB genes could be targeted by 10 miRNA families, and the
expression of these 17 genes in response to phytohormone treatments and abiotic
stresses was characterized. The results presented here will promote research on
the various functions of the HD-Zip gene family members in plant hormones and
stress responses.
PMID- 27196928
TI - ADAM and ADAMTS Family Proteins and Snake Venom Metalloproteinases: A Structural
Overview.
AB - A disintegrin and metalloproteinase (ADAM) family proteins constitute a major
class of membrane-anchored multidomain proteinases that are responsible for the
shedding of cell-surface protein ectodomains, including the latent forms of
growth factors, cytokines, receptors and other molecules. Snake venom
metalloproteinases (SVMPs) are major components in most viper venoms. SVMPs are
primarily responsible for hemorrhagic activity and may also interfere with the
hemostatic system in envenomed animals. SVMPs are phylogenetically most closely
related to ADAMs and, together with ADAMs and related ADAM with thrombospondin
motifs (ADAMTS) family proteinases, constitute adamalysins/reprolysins or the
M12B clan (MEROPS database) of metalloproteinases. Although the catalytic domain
structure is topologically similar to that of other metalloproteinases such as
matrix metalloproteinases, the M12B proteinases have a modular structure with
multiple non-catalytic ancillary domains that are not found in other proteinases.
Notably, crystallographic studies revealed that, in addition to the conserved
metalloproteinase domain, M12B members share a hallmark cysteine-rich domain
designated as the "ADAM_CR" domain. Despite their name, ADAMTSs lack disintegrin
like structures and instead comprise two ADAM_CR domains. This review highlights
the current state of our knowledge on the three-dimensional structures of M12B
proteinases, focusing on their unique domains that may collaboratively
participate in directing these proteinases to specific substrates.
PMID- 27196929
TI - Frizzled7: A Promising Achilles' Heel for Targeting the Wnt Receptor Complex to
Treat Cancer.
AB - Frizzled7 is arguably the most studied member of the Frizzled family, which are
the cognate Wnt receptors. Frizzled7 is highly conserved through evolution, from
Hydra through to humans, and is expressed in diverse organisms, tissues and human
disease contexts. Frizzled receptors can homo- or hetero-polymerise and associate
with several co-receptors to transmit Wnt signalling. Notably, Frizzled7 can
transmit signalling via multiple Wnt transduction pathways and bind to several
different Wnt ligands, Frizzled receptors and co-receptors. These promiscuous
binding and functional properties are thought to underlie the pivotal role
Frizzled7 plays in embryonic developmental and stem cell function. Recent studies
have identified that Frizzled7 is upregulated in diverse human cancers, and
promotes proliferation, progression and invasion, and orchestrates cellular
transitions that underscore cancer metastasis. Importantly, Frizzled7 is able to
regulate Wnt signalling activity even in cancer cells which have mutations to
down-stream signal transducers. In this review we discuss the various aspects of
Frizzled7 signalling and function, and the implications these have for
therapeutic targeting of Frizzled7 in cancer.
PMID- 27196935
TI - Astrocytic IL-6 Influences the Clinical Symptoms of EAE in Mice.
AB - Interleukin-6 (IL-6) is a multifunctional cytokine that not only plays major
roles in the immune system, but also serves as a coordinator between the nervous
and endocrine systems. IL-6 is produced in multiple cell types in the CNS, and in
turn, many cells respond to it. It is therefore important to ascertain which cell
type is the key responder to IL-6 during both physiological and pathological
conditions. In order to test the role of astrocytic IL-6 in neuroinflammation, we
studied an extensively-used animal model of multiple sclerosis, experimental
autoimmune encephalomyelitis (EAE), in mice with an IL-6 deficiency in astrocytes
(Ast-IL-6 KO). Results indicate that lack of astrocytic IL-6 did not cause major
changes in EAE symptomatology. However, a delay in the onset of clinical signs
was observed in Ast-IL-6 KO females, with fewer inflammatory infiltrates and
decreased demyelination and some alterations in gliosis and vasogenesis, compared
to floxed mice. These results suggest that astrocyte-secreted IL-6 has some roles
in EAE pathogenesis, at least in females.
PMID- 27196933
TI - Improving the Sensitivity and Functionality of Mobile Webcam-Based Fluorescence
Detectors for Point-of-Care Diagnostics in Global Health.
AB - Resource-poor countries and regions require effective, low-cost diagnostic
devices for accurate identification and diagnosis of health conditions. Optical
detection technologies used for many types of biological and clinical analysis
can play a significant role in addressing this need, but must be sufficiently
affordable and portable for use in global health settings. Most current clinical
optical imaging technologies are accurate and sensitive, but also expensive and
difficult to adapt for use in these settings. These challenges can be mitigated
by taking advantage of affordable consumer electronics mobile devices such as
webcams, mobile phones, charge-coupled device (CCD) cameras, lasers, and LEDs.
Low-cost, portable multi-wavelength fluorescence plate readers have been
developed for many applications including detection of microbial toxins such as
C. Botulinum A neurotoxin, Shiga toxin, and S. aureus enterotoxin B (SEB), and
flow cytometry has been used to detect very low cell concentrations. However, the
relatively low sensitivities of these devices limit their clinical utility. We
have developed several approaches to improve their sensitivity presented here for
webcam based fluorescence detectors, including (1) image stacking to improve
signal-to-noise ratios; (2) lasers to enable fluorescence excitation for flow
cytometry; and (3) streak imaging to capture the trajectory of a single cell,
enabling imaging sensors with high noise levels to detect rare cell events. These
approaches can also help to overcome some of the limitations of other low-cost
optical detection technologies such as CCD or phone-based detectors (like high
noise levels or low sensitivities), and provide for their use in low-cost medical
diagnostics in resource-poor settings.
PMID- 27196937
TI - Mixed Matrix Membranes for O2/N2 Separation: The Influence of Temperature.
AB - In this work, mixed matrix membranes (MMMs) composed of small-pore zeolites with
various topologies (CHA (Si/Al = 5), LTA (Si/Al = 1 and 5), and Rho (Si/Al = 5))
as dispersed phase, and the hugely permeable poly(1-trimethylsilyl-1-propyne)
(PTMSP) as continuous phase, have been synthesized via solution casting, in order
to obtain membranes that could be attractive for oxygen-enriched air production.
The O2/N2 gas separation performance of the MMMs has been analyzed in terms of
permeability, diffusivity, and solubility in the temperature range of 298-333 K.
The higher the temperature of the oxygen-enriched stream, the lower the energy
required for the combustion process. The effect of temperature on the gas
permeability, diffusivity, and solubility of these MMMs is described in terms of
the Arrhenius and Van't Hoff relationships with acceptable accuracy. Moreover,
the O2/N2 permselectivity of the MMMs increases with temperature, the O2/N2
selectivities being considerably higher than those of the pure PTMSP. In
consequence, most of the MMMs prepared in this work exceeded the Robeson's upper
bound for the O2/N2 gas pair in the temperature range under study, with not much
decrease in the O2 permeabilities, reaching O2/N2 selectivities of up to 8.43 and
O2 permeabilities up to 4,800 Barrer at 333 K.
PMID- 27196934
TI - Comparison of Surface Proteomes of Adherence Variants of Listeria Monocytogenes
Using LC-MS/MS for Identification of Potential Surface Adhesins.
AB - The ability of Listeria monocytogenes to adhere and form biofilms leads to
persistence in food processing plants and food-associated listeriosis. The role
of specific surface proteins as adhesins to attach Listeria cells to various
contact surfaces has not been well characterized to date. In prior research
comparing different methods for surface protein extraction, the Ghost urea method
revealed cleaner protein content as verified by the least cytoplasmic protein
detected in surface extracts using LC-MS/MS. The same technique was utilized to
extract and detect surface proteins among two surface-adherent phenotypic strains
of L. monocytogenes (i.e., strongly and weakly adherent). Of 640 total proteins
detected among planktonic and sessile cells, 21 protein members were exclusively
detected in the sessile cells. Relative LC-MS/MS detection and quantification of
surface-extracted proteins from the planktonic weakly adherent (CW35) and
strongly adherent strains (99-38) were examined by protein mass normalization of
proteins. We found that L. monocytogenes 99-38 exhibited a total of 22 surface
proteins that were over-expressed: 11 proteins were detected in surface extracts
of both sessile and planktonic 99-38 that were >=5-fold over-expressed while
another 11 proteins were detected only in planktonic 99-38 cells that were >=10
fold over-expressed. Our results suggest that these protein members are worthy of
further investigation for their involvement as surface adhesins.
PMID- 27196936
TI - Antioxidant Cerium Oxide Nanoparticles in Biology and Medicine.
AB - Previously, catalytic cerium oxide nanoparticles (CNPs, nanoceria, CeO2-x NPs)
have been widely utilized for chemical mechanical planarization in the
semiconductor industry and for reducing harmful emissions and improving fuel
combustion efficiency in the automobile industry. Researchers are now harnessing
the catalytic repertoire of CNPs to develop potential new treatment modalities
for both oxidative- and nitrosative-stress induced disorders and diseases. In
order to reach the point where our experimental understanding of the antioxidant
activity of CNPs can be translated into useful therapeutics in the clinic, it is
necessary to evaluate the most current evidence that supports CNP antioxidant
activity in biological systems. Accordingly, the aims of this review are three
fold: (1) To describe the putative reaction mechanisms and physicochemical
surface properties that enable CNPs to both scavenge reactive oxygen species
(ROS) and to act as antioxidant enzyme-like mimetics in solution; (2) To provide
an overview, with commentary, regarding the most robust design and synthesis
pathways for preparing CNPs with catalytic antioxidant activity; (3) To provide
the reader with the most up-to-date in vitro and in vivo experimental evidence
supporting the ROS-scavenging potential of CNPs in biology and medicine.
PMID- 27196938
TI - Controlled Bulk Properties of Composite Polymeric Solutions for Extensive
Structural Order of Honeycomb Polysulfone Membranes.
AB - This work provides additional insights into the identification of operating
conditions necessary to overcome a current limitation to the scale-up of the
breath figure method, which is regarded as an outstanding manufacturing approach
for structurally ordered porous films. The major restriction concerns, indeed,
uncontrolled touching droplets at the boundary. Herein, the bulk of polymeric
solutions are properly managed to generate honeycomb membranes with a long-range
structurally ordered texture. Water uptake and dynamics are explored as chemical
environments are changed with the intent to modify the hydrophilic/hydrophobic
balance and local water floatation. In this context, a model surfactant such as
the polyoxyethylene sorbitan monolaurate is used in combination with alcohols at
different chain length extents and a traditional polymer such as the
polyethersufone. Changes in the interfacial tension and kinematic viscosity
taking place in the bulk of composite solutions are explored and examined in
relation to competitive droplet nucleation and growth rate. As a result,
extensive structurally ordered honeycomb textures are obtained with the rising
content of the surfactant while a broad range of well-sized pores is targeted as
a function of the hydrophilic-hydrophobic balance and viscosity of the composite
polymeric mixture. The experimental findings confirm the consistency of the
approach and are expected to give propulsion to the commercially production of
breath figures films shortly.
PMID- 27196941
TI - Announcement: Click It or Ticket Campaign - May 23-June 5, 2016.
AB - Click It or Ticket is a national campaign coordinated annually by the National
Highway Traffic Safety Administration to increase the proper use of seat belts.
In 2014, more than 21,000 passenger vehicle occupants died in motor vehicle
crashes in the United States; 49% were unrestrained at the time of the crash (1).
An additional 2.4 million occupants (restrained and unrestrained) were treated in
emergency departments for nonfatal crash-related injuries (2).
PMID- 27196939
TI - Genetic Approaches to Study Plant Responses to Environmental Stresses: An
Overview.
AB - The assessment of gene expression levels is an important step toward elucidating
gene functions temporally and spatially. Decades ago, typical studies were
focusing on a few genes individually, whereas now researchers are able to examine
whole genomes at once. The upgrade of throughput levels aided the introduction of
systems biology approaches whereby cell functional networks can be scrutinized in
their entireties to unravel potential functional interacting components. The
birth of systems biology goes hand-in-hand with huge technological advancements
and enables a fairly rapid detection of all transcripts in studied biological
samples. Even so, earlier technologies that were restricted to probing single
genes or a subset of genes still have their place in research laboratories. The
objective here is to highlight key approaches used in gene expression analysis in
plant responses to environmental stresses, or, more generally, any other
condition of interest. Northern blots, RNase protection assays, and qPCR are
described for their targeted detection of one or a few transcripts at a once.
Differential display and serial analysis of gene expression represent non
targeted methods to evaluate expression changes of a significant number of gene
transcripts. Finally, microarrays and RNA-seq (next-generation sequencing)
contribute to the ultimate goal of identifying and quantifying all transcripts in
a cell under conditions or stages of study. Recent examples of applications as
well as principles, advantages, and drawbacks of each method are contrasted. We
also suggest replacing the term "Next-Generation Sequencing (NGS)" with another
less confusing synonym such as "RNA-seq", "high throughput sequencing", or
"massively parallel sequencing" to avoid confusion with any future sequencing
technologies.
PMID- 27196942
TI - Regenerative therapy for vestibular disorders using human induced pluripotent
stem cells (iPSCs): neural differentiation of human iPSC-derived neural stem
cells after in vitro transplantation into mouse vestibular epithelia.
AB - OBJECTIVES: Vestibular ganglion cells, which convey sense of motion from
vestibular hair cells to the brainstem, are known to degenerate with aging and
after vestibular neuritis. Thus, regeneration of vestibular ganglion cells is
important to aid in the recovery of balance for associated disorders. METHODS:
The present study derived hNSCs from induced pluripotent stem cells (iPSCs) and
transplanted these cells into mouse utricle tissues. After a 7-day co-culture
period, histological and electrophysiological examinations of transplanted hNSCs
were performed. RESULTS: Injected hNSC-derived cells produced elongated axon-like
structures within the utricle tissue that made contact with vestibular hair
cells. A proportion of hNSC-derived cells showed spontaneous firing activities,
similar to those observed in cultured mouse vestibular ganglion cells. However,
hNSC-derived cells around the mouse utricle persisted as immature neurons or
occasionally differentiated into putative astrocytes. Moreover,
electrophysiological examination showed hNSC-derived cells around utricles did
not exhibit any obvious spontaneous firing activities. CONCLUSIONS: Injected
human neural stem cells (hNSCs) showed signs of morphological maturation
including reconnection to denervated hair cells and partial physiological
maturation, suggesting hNSC-derived cells possibly differentiated into neurons.
PMID- 27196940
TI - Chronic myelogenous leukaemia exosomes modulate bone marrow microenvironment
through activation of epidermal growth factor receptor.
AB - Chronic myelogenous leukaemia (CML) is a clonal myeloproliferative disorder.
Recent evidence indicates that altered crosstalk between CML and mesenchymal
stromal cells may affect leukaemia survival; moreover, vesicles released by both
tumour and non-tumour cells into the microenvironment provide a suitable niche
for cancer cell growth and survival. We previously demonstrated that leukaemic
and stromal cells establish an exosome-mediated bidirectional crosstalk leading
to the production of IL8 in stromal cells, thus sustaining the survival of CML
cells. Human cell lines used are LAMA84 (CML cells), HS5 (stromal cells) and bone
marrow primary stromal cells; gene expression and protein analysis were performed
by real-time PCR and Western blot. IL8 and MMP9 secretions were evaluated by
ELISA. Exosomes were isolated from CML cells and blood samples of CML patients.
Here, we show that LAMA84 and CML patients' exosomes contain amphiregulin (AREG),
thus activating epidermal growth factor receptor (EGFR) signalling in stromal
cells. EGFR signalling increases the expression of SNAIL and its targets, MMP9
and IL8. We also demonstrated that pre-treatment of HS5 with LAMA84 exosomes
increases the expression of annexin A2 that promotes the adhesion of leukaemic
cells to the stromal monolayer, finally supporting the growth and invasiveness of
leukaemic cells. Leukaemic and stromal cells establish a bidirectional crosstalk:
exosomes promote proliferation and survival of leukaemic cells, both in vitro and
in vivo, by inducing IL8 secretion from stromal cells. We propose that this
mechanism is activated by a ligand-receptor interaction between AREG, found in
CML exosomes, and EGFR in bone marrow stromal cells.
PMID- 27196943
TI - Identification of a novel HLA-C*03 variant, C*03:303, by sequence-based typing in
a Dai Chinese individual.
AB - HLA-C*03:303 has one nonsynonymous nucleotide change from HLA-C*03:04:01:01 in
codon 194, exon 4 (GTC>GCC).
PMID- 27196945
TI - Facile One-Step Strategy for Highly Boosted Microbial Extracellular Electron
Transfer of the Genus Shewanella.
AB - High performance of bacterial extracellular electron transfer (EET) is
essentially important for its practical applications in versatile bioelectric
fields. We developed a facile one-step approach to dramatically boost the
bacterial EET activity 75-fold by exogenous addition of ethylenediamine
tetraacetic acid disodium salt (EDTA-2Na, 1 mM) into the electrochemical cells,
where the anodic process of microbial EET was monitored. We propose that EDTA-2Na
enables both the alternation of the local environment around the c-type
cytochromes located on the outer membrane channels (OMCs), which therefore
changes the redox behavior of OMCs in mediating the EET process, and the
formation of densely packed biofilm that can further facilitate the EET process.
As a synergistic effect, the highly boosted bacterial EET activity was achieved.
The method shows good generality for versatile bioelectrical bacteria. We
envision that the method is also applicable for constructing various bioelectric
devices.
PMID- 27196947
TI - Synthesis of alpha-Amidoketones from Vinyl Esters via a Catalytic/Thermal Cascade
Reaction.
AB - A straightforward, modular, and atom-efficient method is reported for the
synthesis of alpha-amidoketones from vinyl esters via a cascade reaction
including hydroformylation, condensation with a primary amine, and a
rearrangement step giving water as the only byproduct. The reaction sequence can
be performed in one pot or as a three-step procedure. The synthetic applicability
is demonstrated by the preparation of different alpha-amidoketones in moderate to
good yields.
PMID- 27196944
TI - Crystal Structure of Hypusine-Containing Translation Factor eIF5A Bound to a
Rotated Eukaryotic Ribosome.
AB - Eukaryotic translation initiation factor eIF5A promotes protein synthesis by
resolving polyproline-induced ribosomal stalling. Here, we report a 3.25-A
resolution crystal structure of eIF5A bound to the yeast 80S ribosome. The
structure reveals a previously unseen conformation of an eIF5A-ribosome complex
and highlights a possible functional link between conformational changes of the
ribosome during protein synthesis and the eIF5A-ribosome association.
PMID- 27196948
TI - Multidrug-resistant bacteria in hematology patients: emerging threats.
AB - Multidrug-resistant (MDR) bacteria, particularly Gram negatives, such as
Enterobacteriaceae resistant to third-generation cephalosporins or carbapenems
and MDR Pseudomonas aeruginosa, are increasingly frequent in hematology patients.
The prevalence of different resistant species varies significantly between
centers. Thus, the knowledge of local epidemiology is mandatory for deciding the
most appr-opriate management protocols. In the era of increasing antibiotic
resistance, empirical therapy of febrile neutropenia should be individualized. A
de-escalation approach is recommended in case of severe clinical presentation in
patients who are at high risk for infection with a resistant strain. Targeted
therapy of an MDR Gram negative usually calls for a combination treatment,
although no large randomized trials exist in this setting. Infection control
measures are the cornerstone of limiting the spread of MDR pathogens in
hematology units.
PMID- 27196946
TI - Movement Assessment of Children (MAC): validity, reliability, stability and
sensitivity to change in typically developing children.
AB - AIM: The purpose of this study was to establish the validity, reliability,
stability and sensitivity to change of the family-centred Movement Assessment of
Children (MAC) in typically developing infants/toddlers from 2 months (1 month 16
days) to 2 years (24 months 15 days) of age. BACKGROUND: Assessment of
infant/toddler motor development is critical so that infants and toddlers who are
at-risk for developmental delay or whose functional motor development is delayed
can be monitored and receive therapy to improve their developmental outcomes.
Infants/toddlers are thought to be more responsive during the MAC assessment
because parents and siblings participate and elicit responses. METHODS: Two
hundred seventy six children and 405 assessments contributed to the establishment
of age-related parameters for typically developing infants and toddlers on the
MAC. The MAC assesses three core domains of functional movement (head control,
upper extremities and hands, pelvis and lower extremities), and generates a core
total score. Four explanatory domains serve to alert examiners to factors that
may impact atypical development (general observations, special senses, primitive
reflexes/reactions, muscle tone). Construct validity of functional motor
development was examined using the relationship between incremental increases in
scores and increases in participants' ages. Subsamples were used to establish
inter-rater reliability, test-retest reliability, stability and sensitivity to
change. RESULTS: Construct validity was established and inter-rater reliability
ICCs for the core items and core total ranged from 0.83 to 0.99. Percent
agreement for the explanatory items ranged from 0.72 to 0.96. Stability within
age grouping was consistent from baseline to 6 months post-baseline, and
sensitivity to change from baseline to 6 months was significant for all core
items and the total score. CONCLUSION: The MAC has proven to be a well
constructed assessment of infant and toddler functional motor development. It is
a family-centred and efficient tool that can be used to assess and follow-up of
infants and toddlers from 2 months to 2 years.
PMID- 27196949
TI - Efficacy and Safety of Radiofrequency Catheter Ablation of Tachyarrhythmias in
123 Children Under 3 Years of Age.
AB - BACKGROUND: The risk-benefit ratio of radiofrequency catheter ablation (RFCA) in
infants and toddlers remains controversial. Experience with RFCA in these
patients is limited. This work is intended to describe the efficacy and safety of
RFCA in children under 3 years of age with tachycardia complicated by drug
resistance, drug intolerance, or tachycardia-induced cardiomyopathy. METHODS: We
retrospectively reviewed data from 123 consecutive children under 3 years of age
(mean, 2.3 +/- 0.8 years; weight, 13.6 +/- 2.8 kg) with tachycardia complicated
by drug resistance, drug intolerance, or tachycardia-induced cardiomyopathy; the
children underwent an electrophysiology study between 1994 and 2014 at our
center. Fifteen children had congenital heart disease, and 27 children were under
1 year of age. Among the 109 children who underwent RFCA, acute success rate (no
inducible arrhythmia before procedure completion), 2-year rate of symptomatic
tachyarrhythmia recurrence, and complication rate were assessed. RESULTS: Among
the 123 children studied, 76.4% had atrioventricular reentrant tachycardia, 5.7%
had atrioventricular nodal reentrant tachycardia, 2.4% had focal atrial
tachycardia, 6.5% had atrial flutter, and 4.1% had idiopathic left ventricular
tachycardia. For RFCA, the acute success rate was 94.5%, and the 2-year
recurrence rate was 6.8%, without any major complications. CONCLUSION: RFCA
appears to be an effective and safe therapeutic option in selected small children
with tachycardia resistant to conventional medical management, tachycardia
complicated by drug intolerance, or tachycardia-induced cardiomyopathy.
PMID- 27196950
TI - Endometriosis, Ovarian Reserve and Live Birth Rate Following In Vitro
Fertilization/Intracytoplasmic Sperm Injection.
AB - Purpose To evaluate whether women with endometriosis have different ovarian
reserves and reproductive outcomes when compared with women without this
diagnosis undergoing in vitro fertilization/intracytoplasmic sperm injection
(IVF/ICSI), and to compare the reproductive outcomes between women with and
without the diagnosis considering the ovarian reserve assessed by antral follicle
count (AFC). Methods This retrospective cohort study evaluated all women who
underwent IVF/ICSI in a university hospital in Brazil between January 2011 and
December 2012. All patients were followed up until a negative pregnancy test or
until the end of the pregnancy. The primary outcomes assessed were number of
retrieved oocytes and live birth. Women were divided into two groups according to
the diagnosis of endometriosis, and each group was divided again into a group
that had AFC <= 6 (poor ovarian reserve) and another that had AFC >= 7 (normal
ovarian reserve). Continuous variables with normal distribution were compared
using unpaired t-test, and those without normal distribution, using Mann-Whitney
test. Binary data were compared using either Fisher's exact test or Chi-square
(chi(2)) test. The significance level was set as p < 0.05. Results 787 women
underwent IVF/ICSI (241 of which had endometriosis). Although the mean age has
been similar between women with and without the diagnosis of endometriosis (33.8
+/- 4 versus 33.7 +/- 4.4 years, respectively), poor ovarian reserves were much
more common in women with endometriosis (39.8 versus 22.7%). The chance of
achieving live birth was similar between women with the diagnosis of
endometriosis and those without it (19.1 versus 22.5%), and also when considering
only women with a poor ovarian reserve (9.4 versus 8.9%) and only those with a
normal ovarian reserve (25.5 versus 26.5%). Conclusions Women diagnosed with
endometriosis are more likely to have a poor ovarian reserve; however, their
chance of conceiving by IVF/ICSI is similar to the one observed in patients
without endometriosis and with a comparable ovarian reserve.
PMID- 27196951
TI - Correlation of Menopausal Symptoms and Quality of Life with Physical Performance
in Middle-Aged Women.
AB - Introduction Some studies have investigated the influence of hormonal deficits
and menopausal status in muscle disorders of women. However, it has not been
investigated the relationship of both climacteric symptoms and the perception of
quality of life with physical performance. Objective To evaluate the correlation
of menopausal symptoms and quality of life with physical performance in middle
aged women. Methods This cross-sectional study was performed from April to
November 2013 in the municipality of Parnamirim, in the Brazilian state, Rio
Grande do Norte. The sample was composed of 497 women aged 40-65 years. The
Menopause Rating Scale (MRS) and the Utian Quality of Life (UQOL) questionnaire
were used to evaluate menopausal symptoms and quality of life respectively.
Measures of physical performance included handgrip strength, knee extensor and
flexor strengths (using an isometric dynamometer), gait speed, and chair stand
test. The correlation between menopausal symptoms and quality of life with
physical performance was assessed by Pearson's correlation coefficient with
significance set at p < 0.05 and a confidence interval of 95%. Results There was
a significant negative correlation between handgrip strength and somatic MRS
score (p = 0.002) and total MRS score (p = 0.03). There was a significant
correlation between knee flexor strength and sit-to-stand time and all menopausal
symptom areas (p < 0.05), except psychological symptoms. There was a positive
correlation between physical performance of the knee flexors and quality of life
items including occupational (p = 0.001), emotional (p = 0.005), and total UQOL
(p = 0.01), but a negative correlation with sit-to-stand time and all quality of
life domains (p < 0.05). Conclusion A greater intensity of menopausal symptoms
and worse quality of life were related with worse physical performance. Thus,
preventive measures should be implemented to avoid adverse effects on physical
performance at more advanced ages.
PMID- 27196952
TI - Prevalence and Causes of Visual Impairment and Blindness in Chinese American
Adults: The Chinese American Eye Study.
AB - IMPORTANCE: Visual impairment (VI) and blindness continue to be major public
health problems worldwide. Despite previously published studies on VI in Chinese
and other racial/ethnic populations, there are no data specific to Chinese
American adults. OBJECTIVES: To determine the age- and sex-specific prevalence
and causes of VI and blindness in adult Chinese Americans and to compare the
prevalence to other racial/ethnic groups. DESIGN, SETTING, AND PARTICIPANTS: In
this population-based, cross-sectional study of 10 US Census tracts in the city
of Monterey Park, California, 4582 Chinese American adults 50 years and older
underwent complete ophthalmologic examinations, including measurement of
presenting and best-corrected visual acuity (BCVA) for distance using the Early
Treatment Diabetic Retinopathy Study protocol from February 1, 2010, through
October 31, 2013. MAIN OUTCOMES AND MEASURES: Age-specific prevalence and causes
of VI and blindness for presenting and BCVA. RESULTS: Of the 5782 eligible
adults, 4582 (79.2%) completed an in-clinic eye examination. Of the 4582
participants, most were born in China (3149 [68.7%]), female (2901 [63.3%]), and
married (3458 [75.5%]). The mean (SD) age was 61 (9) years. The prevalence of
presenting VI was 3.0% (95% CI, 2.5%-3.5%), with 60.0% of this prevalence being
attributed to uncorrected refractive error. The overall age-adjusted prevalence
for VI (BCVA of <=20/40 in the better eye) was 1.2% (95% CI, 0.9%-1.5%). The
overall age-adjusted prevalence of blindness (BCVA of <=20/200 in the better
seeing eye) was 0.07% (95% CI, 0%-0.2%). The prevalence of VI and blindness was
higher in older Chinese Americans compared with younger. The primary causes of VI
were cataracts and myopic retinopathy; the primary cause of blindness was myopic
retinopathy. CONCLUSIONS AND RELEVANCE: The prevalence of VI in Chinese Americans
is similar to that of non-Hispanic white and Latino individuals in the United
States and similar to or lower than the prevalence previously reported for
Chinese adults from non-US studies. The prevalence of blindness is lower than
that noted in other US or non-US studies. Myopic retinopathy is a frequent cause
of VI and blindness in Chinese Americans that has not been commonly observed in
other racial/ethnic groups. Because myopia frequently develops at a young age,
Chinese Americans should be educated regarding the importance of regular
screening of preschool and school-aged children to reduce the development and
progression of myopia.
PMID- 27196953
TI - The iron homeostasis network and hepatitis C virus - a new challenge in the era
of directly acting antivirals.
PMID- 27196954
TI - Determinant Factors of Long-Term Performance Development in Young Swimmers.
AB - PURPOSE: To develop a performance predictor model based on swimmers'
biomechanical profile, relate the partial contribution of the main predictors
with the training program, and analyze the time effect, sex effect, and time *
sex interaction. METHODS: 91 swimmers (44 boys, 12.04 +/- 0.81 y; 47 girls, 11.22
+/- 0.98 y) evaluated during a 3-y period. The decimal age and anthropometric,
kinematic, and efficiency features were collected 10 different times over 3
seasons (ie, longitudinal research). Hierarchical linear modeling was the
procedure used to estimate the performance predictors. RESULTS: Performance
improved between season 1 early and season 3 late for both sexes (boys 26.9%
[20.88;32.96], girls 16.1% [10.34;22.54]). Decimal age (estimate [EST] -2.05, P <
.001), arm span (EST -0.59, P < .001), stroke length (EST 3.82; P = .002), and
propelling efficiency (EST -0.17, P = .001) were entered in the final model.
CONCLUSION: Over 3 consecutive seasons young swimmers' performance improved.
Performance is a multifactorial phenomenon where anthropometrics, kinematics, and
efficiency were the main determinants. The change of these factors over time was
coupled with the training plans of this talent identification and development
program.
PMID- 27196955
TI - Computed tomography of the brain following out of hospital cardiac arrest: Neuro
prognostication or phrenology?
PMID- 27196956
TI - Off-Pump Coronary Artery Bypass Grafting and Transaortic Transcatheter Aortic
Valve Replacement.
AB - We sought to demonstrate the effectiveness of off-pump coronary artery bypass
grafting and transcatheter aortic valve replacement in two patients with
porcelain aortas and lesions that could not be optimally treated with
percutaneous coronary intervention. Patients with aortic stenosis and coronary
artery disease who are too high-risk for conventional surgical aortic valve
replacement and coronary artery bypass grafting due to comorbidities and
porcelain aorta, and who do not have the appropriate anatomy for percutaneous
coronary intervention should be considered for concomitant transcatheter aortic
valve replacement and off-pump coronary artery bypass grafting. doi:
10.1111/jocs.12762 (J Card Surg 2016;31:435-438).
PMID- 27196957
TI - Tsunami waves extensively resurfaced the shorelines of an early Martian ocean.
AB - It has been proposed that ~3.4 billion years ago an ocean fed by enormous
catastrophic floods covered most of the Martian northern lowlands. However, a
persistent problem with this hypothesis is the lack of definitive paleoshoreline
features. Here, based on geomorphic and thermal image mapping in the circum
Chryse and northwestern Arabia Terra regions of the northern plains, in
combination with numerical analyses, we show evidence for two enormous tsunami
events possibly triggered by bolide impacts, resulting in craters ~30 km in
diameter and occurring perhaps a few million years apart. The tsunamis produced
widespread littoral landforms, including run-up water-ice-rich and bouldery
lobes, which extended tens to hundreds of kilometers over gently sloping plains
and boundary cratered highlands, as well as backwash channels where wave retreat
occurred on highland-boundary surfaces. The ice-rich lobes formed in association
with the younger tsunami, showing that their emplacement took place following a
transition into a colder global climatic regime that occurred after the older
tsunami event. We conclude that, on early Mars, tsunamis played a major role in
generating and resurfacing coastal terrains.
PMID- 27196958
TI - Romantic Relationships and Relationship Satisfaction Among Adults With Asperger
Syndrome and High-Functioning Autism.
AB - OBJECTIVE: Individuals with autism spectrum disorder (ASD) often experience
difficulties in maintaining romantic relationships. In this study high
functioning adults with ASD were examined concerning their romantic relationship
interest and experience. METHOD: Participants, 31 recruited via an outpatient
clinic and 198 via an online survey, were asked to answer a number of self-report
questionnaires. The total sample comprised 229 high-functioning adults with ASD
(40% males, average age: 35 years). RESULTS: Of the total sample, 73% indicated
romantic relationship experience and only 7% had no desire to be in a romantic
relationship. ASD individuals whose partner was also on the autism spectrum were
significantly more satisfied with their relationship than those with neurotypical
partners. Severity of autism, schizoid symptoms, empathy skills, and need for
social support were not correlated with relationship status. CONCLUSION: Our
findings indicate that the vast majority of high-functioning adults with ASD are
interested in romantic relationships.
PMID- 27196959
TI - The perceived impact of interprofessional information sharing on young people
about their sexual healthcare.
AB - This article presents the results from an analysis of data from service providers
and young adults who were formerly in state care about how information about the
sexual health of young people in state care is managed. In particular, the
analysis focuses on the perceived impact of information sharing between
professionals on young people. Twenty-two service providers from a range of
professions including social work, nursing and psychology, and 19 young people
aged 18-22 years who were formerly in state care participated in the study. A
qualitative approach was employed in which participants were interviewed in depth
and data were analysed using modified analytical induction (Bogdan & Biklen,
2007). Findings suggest that within the care system in which service provider
participants worked it was standard practice that sensitive information about a
young person's sexual health would be shared across team members, even where
there appeared to be no child protection issues. However, the accounts of the
young people indicated that they experienced the sharing of information in this
way as an invasion of their privacy. An unintended outcome of a high level of
information sharing within teams is that the privacy of the young person in care
is compromised in a way that is not likely to arise in the case of young people
who are not in care. This may deter young people from availing themselves of the
sexual health services.
PMID- 27196960
TI - Fully Automated Islet Cell Counter (ICC) for the Assessment of Islet Mass,
Purity, and Size Distribution by Digital Image Analysis.
AB - For isolated pancreatic islet cell preparations, it is important to be able to
reliably assess their mass and quality, and for clinical applications, it is part
of the regulatory requirement. Accurate assessment, however, is difficult because
islets are spheroid-like cell aggregates of different sizes (<50 to 500 MUm)
resulting in possible thousandfold differences between the mass contribution of
individual particles. The current standard manual counting method that uses size
based group classification is known to be error prone and operator dependent.
Digital image analysis (DIA)-based methods can provide less subjective, more
reproducible, and better-documented islet cell mass (IEQ) estimates; however, so
far, none has become widely accepted or used. Here we present results obtained
using a compact, self-contained islet cell counter (ICC3) that includes both the
hardware and software needed for automated islet counting and requires minimal
operator training and input; hence, it can be easily adapted at any center and
could provide a convenient standardized cGMP-compliant IEQ assessment. Using
cross-validated sample counting, we found that for most human islet cell
preparations, ICC3 provides islet mass (IEQ) estimates that correlate well with
those obtained by trained operators using the current manual SOP method ( r2 =
0.78, slope = 1.02). Variability and reproducibility are also improved compared
to the manual method, and most of the remaining variability (CV = 8.9%) results
from the rearrangement of the islet particles due to movement of the sample
between counts. Characterization of the size distribution is also important, and
the present digitally collected data allow more detailed analysis and coverage of
a wider size range. We found again that for human islet cell preparations, a
Weibull distribution function provides good description of the particle size.
PMID- 27196961
TI - Treatment of EGFR-Mutant Lung Cancers After Progression in Patients Receiving
First-Line EGFR Tyrosine Kinase Inhibitors : A Review.
AB - IMPORTANCE: Patients with EGFR-mutant lung cancer benefit from treatment with
EGFR inhibitors such as erlotinib, gefitinib, and afatinib, but outcomes are
limited by the eventual development of resistance to these agents. Over half of
patients acquire a secondary T790M mutation in EGFR at the time of progression
and a substantial minority develops resistance through other mechanisms. Multiple
treatment strategies are now available to patients whose disease has progressed
on their initial EGFR inhibitor, including therapies targeting both T790M, and
non-T790M-mediated resistance. OBSERVATIONS: This review summarizes information
about and current treatment strategies for patients with EGFR-mutant lung cancer
whose disease progresses on their initial EGFR inhibitor, including those with
T790M and other types of acquired resistance. Postprogression therapy should be
tailored based on identified resistance mechanisms, sites, and pace of disease
progression, and patient preference. Biopsy and genotyping of resistant tissue or
plasma are essential to identifying resistance mechanisms and selecting the most
appropriate treatment when patients' disease progresses during treatment with an
initial EGFR inhibitor. Third-generation EGFR inhibitors are generally preferred
for patients with T790M-positive resistance, whereas standard chemotherapy and
clinical trials are preferred for those without T790M. For select patients,
treatment can be continued beyond progression and local ablative therapies can be
used to target sites of oligoprogression. CONCLUSIONS AND RELEVANCE: Treatment
for patients who progress on their initial EGFR inhibitor should be tailored to
identified resistance mechanisms and sites of progression. Emerging reports about
resistance to third-generation EGFR inhibitors will lay the groundwork for
overcoming the next generation of resistance, and further research is needed to
develop more effective therapies.
PMID- 27196963
TI - Vapor Pressure of Aqueous Solutions of Electrolytes Reproduced with Coarse
Grained Models without Electrostatics.
AB - The vapor pressure of water is a key property in a large class of applications
from the design of membranes for fuel cells and separations to the prediction of
the mixing state of atmospheric aerosols. Molecular simulations have been used to
compute vapor pressures, and a few studies on liquid mixtures and solutions have
been reported on the basis of the Gibbs Ensemble Monte Carlo method in
combination with atomistic force fields. These simulations are costly, making
them impractical for the prediction of the vapor pressure of complex materials.
The goal of the present work is twofold: (1) to demonstrate the use of the grand
canonical screening approach ( Factorovich , M. H. J. Chem. Phys. 2014 , 140 ,
064111 ) to compute the vapor pressure of solutions and to extend the methodology
for the treatment of systems without a liquid-vapor interface and (2) to
investigate the ability of computationally efficient high-resolution coarse
grained models based on the mW monatomic water potential and ions described
exclusively with short-range interactions to reproduce the relative vapor
pressure of aqueous solutions. We find that coarse-grained models of LiCl and
NaCl solutions faithfully reproduce the experimental relative pressures up to
high salt concentrations, despite the inability of these models to predict
cohesive energies of the solutions or the salts. A thermodynamic analysis reveals
that the coarse-grained models achieve the experimental activity coefficients of
water in solution through a compensation of severely underestimated hydration and
vaporization free energies of the salts. Our results suggest that coarse-grained
models developed to replicate the hydration structure and the effective ion-ion
attraction in solution may lead to this compensation. Moreover, they suggest an
avenue for the design of coarse-grained models that accurately reproduce the
activity coefficients of solutions.
PMID- 27196962
TI - Phytoremediation of groundwater contaminated with pesticides using short-rotation
willow crops: A case study of an apple orchard.
AB - The occurrence of pesticides in groundwater represents an important health issue,
notably for population whose drinking water supply source is located in
agricultural areas. However, few solutions have been considered with regard to
this issue. We tested the efficacy of a vegetal filtering system made of shrub
willows planted at a high density (16,000 plants ha(-1)) to filter or degrade
pesticides found in the groundwater flowing out of an apple orchard. Ethylene
urea (EU), ethylene thiourea (ETU), tetrahydrophthalimide (THPI), atrazine, and
desethylatrazine were monitored in the soil solution in willow and control plots
over one growing season. ETU and atrazine concentrations were lower in the willow
plots relative to the control plots, whereas desethylatrazine concentration was
higher in the willow plots. No significant difference was detected for EU and
THPI. Furthermore, pesticide concentrations displayed complex temporal patterns.
These results suggest that willow filter systems can filter or degrade
pesticides, notably ETU and atrazine, and could be used for phytoremediation
purposes. Yet, this potential remains to be quantified with further studies using
experimental settings allowing more estimation in time and space.
PMID- 27196965
TI - Accepted Abstracts from the International Brain Injury Association's Eleventh
World Congress on Brain Injury March 2-5, 2016 The Hague World Forum The
Netherlands.
PMID- 27196964
TI - Topical delivery of a Rho-kinase inhibitor to the cornea via mucoadhesive film.
AB - The application of inhibitors of the Rho kinase pathway (ROCK inhibitors) to the
surface of the eye in the form of eyedrops has beneficial effects which aid the
recovery of diseased or injured endothelial cells that line the inner surface of
the cornea. The aim of this study was to test the plausibility of delivering a
selective ROCK inhibitor, Y-27632, to the cornea using a thin polymeric film.
Mucoadhesive polymeric thin films were prepared incorporating Y-27632 and
diffusional release into PBS was determined. Topical ocular delivery from the
applied film was investigated using freshly excised porcine eyes and eyedrops of
equivalent concentration acted as comparators; after 24h the formulations were
removed and the corneas extracted. Drug-loaded thin polymeric films, with high
clarity and pliability were produced. ROCK inhibitor Y-27632 was weakly retained
within the film, with release attaining equilibrium after 1h. This in turn
facilitated its rapid ocular delivery, and an approximately three-fold greater
penetration of Y-27632 into cryoprobe-treated corneas was observed from the thin
film (p<0.01) compared to eyedrops. These findings support the further
development of ROCK inhibitor delivery to the cornea via release from thin
mucoadhesive films to treat vision loss cause by corneal endothelial dysfunction.
PMID- 27196966
TI - Integration of multiple biological features yields high confidence human protein
interactome.
AB - The biological function of a protein is usually determined by its physical
interaction with other proteins. Protein-protein interactions (PPIs) are
identified through various experimental methods and are stored in curated
databases. The noisiness of the existing PPI data is evident, and it is essential
that a more reliable data is generated. Furthermore, the selection of a set of
PPIs at different confidence levels might be necessary for many studies. Although
different methodologies were introduced to evaluate the confidence scores for
binary interactions, a highly reliable, almost complete PPI network of Homo
sapiens is not proposed yet. The quality and coverage of human protein
interactome need to be improved to be used in various disciplines, especially in
biomedicine. In the present work, we propose an unsupervised statistical approach
to assign confidence scores to PPIs of H. sapiens. To achieve this goal PPI data
from six different databases were collected and a total of 295,288 non-redundant
interactions between 15,950 proteins were acquired. The present scoring system
included the context information that was assigned to PPIs derived from eight
biological attributes. A high confidence network, which included 147,923 binary
interactions between 13,213 proteins, had scores greater than the cutoff value of
0.80, for which sensitivity, specificity, and coverage were 94.5%, 80.9%, and
82.8%, respectively. We compared the present scoring method with others for
evaluation. Reducing the noise inherent in experimental PPIs via our scoring
scheme increased the accuracy significantly. As it was demonstrated through the
assessment of process and cancer subnetworks, this study allows researchers to
construct and analyze context-specific networks via valid PPI sets and one can
easily achieve subnetworks around proteins of interest at a specified confidence
level.
PMID- 27196967
TI - Simulating the multicellular homeostasis with a cell-based discrete receptor
dynamics model: The non-mutational origin of cancer and aging.
AB - The purpose of the study is to investigate the multicellular homeostasis in
epithelial tissues over very large timescales. Inspired by the receptor dynamics
of IBCell model proposed by Rejniak et al. an on-grid agent-based model for
multicellular system is constructed. Instead of observing the multicellular
architectural morphologies, the diversity of homeostatic states is quantitatively
analyzed through a substantial number of simulations by measuring three new order
parameters, the phenotypic population structure, the average proliferation age
and the relaxation time to stable homeostasis. Nearby the interfaces of distinct
homeostatic phases in 3D phase diagrams of the three order parameters,
intermediate quasi-stable phases of slow dynamics that features quasi-stability
with a large spectrum of relaxation timescales are found. A further exploration
on the static and dynamic correlations among the three order parameters reveals
that the quasi-stable phases evolve towards two terminations, tumorigenesis and
degeneration, which are respectively accompanied by rejuvenation and aging. With
the exclusion of the environmental impact and the mutational strategies, the
results imply that cancer and aging may share the non-mutational origin in the
intrinsic slow dynamics of the multicellular systems.
PMID- 27196968
TI - Synaptic Menage a Trois.
AB - Regulation of neurotransmitter receptor localization is critical for synaptic
function and plasticity. In this issue of Neuron, Matsuda and colleagues (Matsuda
et al., 2016) uncover a transsynaptic complex consisting of neurexin-3, C1q-like
proteins, and kainate receptors that drives glutamate receptor clustering at
hippocampal synapses.
PMID- 27196969
TI - Quantum Disentanglement: Electrical Analysis of the Complex Roles of Ions in
Filling Vesicles with Glutamate.
AB - By expressing vesicular glutamate transporters at high levels in plasma membrane
and applying voltage clamp methods, Eriksen et al. (2016) have identified a Cl(-)
channel in the transporter that is coactivated by protons and Cl(-).
PMID- 27196970
TI - A Central Move for CB2 Receptors.
AB - The function of the CB2 cannabinoid receptor in the brain has long been a matter
of debate. In this issue of Neuron, Stempel et al. (2016) describe a mechanism
whereby endocannabinoid production leads to a cell-intrinsic hyperpolarization
that controls self activity.
PMID- 27196971
TI - Continuous Time Representations of Song in Zebra Finches.
AB - Neurons in the songbird nucleus HVC produce premotor bursts time locked to song
with millisecond precision. In this issue of Neuron, Lynch et al. (2016) and
Picardo et al. (2016) provide convincing evidence that the population of these
bursts contain a continuous representation of time throughout song.
PMID- 27196972
TI - Understanding Dopaminergic Cell Death Pathways in Parkinson Disease.
AB - Parkinson disease (PD) is a multifactorial neurodegenerative disorder, the
etiology of which remains largely unknown. Progressive impairment of voluntary
motor control, which represents the primary clinical feature of the disease, is
caused by a loss of midbrain substantia nigra dopamine (DA) neurons. We present
here a synthetic overview of cell-autonomous mechanisms that are likely to
participate in DA cell death in both sporadic and inherited forms of the disease.
In particular, we describe how damage to vulnerable DA neurons may arise from
cellular disturbances produced by protein misfolding and aggregation, disruption
of autophagic catabolism, endoplasmic reticulum (ER) stress, mitochondrial
dysfunction, or loss of calcium homeostasis. Where pertinent, we show how these
mechanisms may mutually cooperate to promote neuronal death.
PMID- 27196973
TI - The Anterior Cingulate Gyrus and Social Cognition: Tracking the Motivation of
Others.
AB - The anterior cingulate cortex (ACC) is implicated in a broad range of behaviors
and cognitive processes, but it has been unclear what contribution, if any, the
ACC makes to social behavior. We argue that anatomical and functional evidence
suggests that a specific sub-region of ACC-in the gyrus (ACCg)-plays a crucial
role in processing social information. We propose that the computational
properties of the ACCg support a contribution to social cognition by estimating
how motivated other individuals are and dynamically updating those estimates when
further evidence suggests they have been erroneous. Notably this model, based on
vicarious motivation and error processing, provides a unified account of
neurophysiological and neuroimaging evidence that the ACCg is sensitive to costs,
benefits, and errors during social interactions. Furthermore, it makes specific,
testable predictions about a key mechanism that may underpin variability in socio
cognitive abilities in health and disease.
PMID- 27196974
TI - TREM2 Haplodeficiency in Mice and Humans Impairs the Microglia Barrier Function
Leading to Decreased Amyloid Compaction and Severe Axonal Dystrophy.
AB - Haplodeficiency of the microglia gene TREM2 increases risk for late-onset
Alzheimer's disease (AD) but the mechanisms remain uncertain. To investigate
this, we used high-resolution confocal and super-resolution (STORM) microscopy in
AD-like mice and human AD tissue. We found that microglia processes, rich in
TREM2, tightly surround early amyloid fibrils and plaques promoting their
compaction and insulation. In Trem2- or DAP12-haplodeficient mice and in humans
with R47H TREM2 mutations, microglia had a markedly reduced ability to envelop
amyloid deposits. This led to an increase in less compact plaques with longer and
branched amyloid fibrils resulting in greater surface exposure to adjacent
neurites. This was associated with more severe neuritic tau hyperphosphorylation
and axonal dystrophy around amyloid deposits. Thus, TREM2 deficiency may disrupt
the formation of a neuroprotective microglia barrier that regulates amyloid
compaction and insulation. Pharmacological modulation of this barrier could be a
novel therapeutic strategy for AD.
PMID- 27196975
TI - Enhanced GABA Transmission Drives Bradykinesia Following Loss of Dopamine D2
Receptor Signaling.
AB - Bradykinesia is a prominent phenotype of Parkinson's disease, depression, and
other neurological conditions. Disruption of dopamine (DA) transmission plays an
important role, but progress in understanding the exact mechanisms driving
slowness of movement has been impeded due to the heterogeneity of DA receptor
distribution on multiple cell types within the striatum. Here we show that
selective deletion of DA D2 receptors (D2Rs) from indirect-pathway medium spiny
neurons (iMSNs) is sufficient to impair locomotor activity, phenocopying DA
depletion models of Parkinson's disease, despite this mouse model having intact
DA transmission. There was a robust enhancement of GABAergic transmission and a
reduction of in vivo firing in striatal and pallidal neurons. Mimicking D2R
signaling in iMSNs with Gi-DREADDs restored the level of tonic GABAergic
transmission and rescued the motor deficit. These findings indicate that DA,
through D2R activation in iMSNs, regulates motor output by constraining the
strength of GABAergic transmission.
PMID- 27196977
TI - Rhythmic Continuous-Time Coding in the Songbird Analog of Vocal Motor Cortex.
AB - Songbirds learn and produce complex sequences of vocal gestures. Adult birdsong
requires premotor nucleus HVC, in which projection neurons (PNs) burst sparsely
at stereotyped times in the song. It has been hypothesized that PN bursts, as a
population, form a continuous sequence, while a different model of HVC function
proposes that both HVC PN and interneuron activity is tightly organized around
motor gestures. Using a large dataset of PNs and interneurons recorded in singing
birds, we test several predictions of these models. We find that PN bursts in
adult birds are continuously and nearly uniformly distributed throughout song.
However, we also find that PN and interneuron firing rates exhibit significant 10
Hz rhythmicity locked to song syllables, peaking prior to syllable onsets and
suppressed prior to offsets-a pattern that predominates PN and interneuron
activity in HVC during early stages of vocal learning.
PMID- 27196976
TI - Population-Level Representation of a Temporal Sequence Underlying Song Production
in the Zebra Finch.
AB - The zebra finch brain features a set of clearly defined and hierarchically
arranged motor nuclei that are selectively responsible for producing singing
behavior. One of these regions, a critical forebrain structure called HVC,
contains premotor neurons that are active at precise time points during song
production. However, the neural representation of this behavior at a population
level remains elusive. We used two-photon microscopy to monitor ensemble activity
during singing, integrating across multiple trials by adopting a Bayesian
inference approach to more precisely estimate burst timing. Additionally, we
examined spiking and motor-related synaptic inputs using intracellular recordings
during singing. With both experimental approaches, we find that premotor events
do not occur preferentially at the onsets or offsets of song syllables or at
specific subsyllabic motor landmarks. These results strongly support the notion
that HVC projection neurons collectively exhibit a temporal sequence during
singing that is uncoupled from ongoing movements.
PMID- 27196979
TI - Bud break responds more strongly to daytime than night-time temperature under
asymmetric experimental warming.
AB - Global warming is diurnally asymmetric, leading to a less cold, rather than
warmer, climate. We investigated the effects of asymmetric experimental warming
on plant phenology by testing the hypothesis that daytime warming is more
effective in advancing bud break than night-time warming. Bud break was monitored
daily in Picea mariana seedlings belonging to 20 provenances from Eastern Canada
and subjected to daytime and night-time warming in growth chambers at
temperatures varying between 8 and 16 degrees C. The higher advancements of bud
break and shorter times required to complete the phenological phases occurred
with daytime warming. Seedlings responded to night-time warming, but still with
less advancement of bud break than under daytime warming. No advancement was
observed when night-time warming was associated with a daytime cooling. The
effect of the treatments was uniform across provenances. Our observations
realized under controlled conditions allowed to experimentally demonstrate that
bud break can advance under night-time warming, but to a lesser extent than under
daytime warming. Prediction models using daily timescales could neglect the
diverging influence of asymmetric warming and should be recalibrated for higher
temporal resolutions.
PMID- 27196978
TI - Neural Mechanisms of Hierarchical Planning in a Virtual Subway Network.
AB - Planning allows actions to be structured in pursuit of a future goal. However, in
natural environments, planning over multiple possible future states incurs
prohibitive computational costs. To represent plans efficiently, states can be
clustered hierarchically into "contexts". For example, representing a journey
through a subway network as a succession of individual states (stations) is more
costly than encoding a sequence of contexts (lines) and context switches (line
changes). Here, using functional brain imaging, we asked humans to perform a
planning task in a virtual subway network. Behavioral analyses revealed that
humans executed a hierarchically organized plan. Brain activity in the
dorsomedial prefrontal cortex and premotor cortex scaled with the cost of
hierarchical plan representation and unique neural signals in these regions
signaled contexts and context switches. These results suggest that humans
represent hierarchical plans using a network of caudal prefrontal structures.
VIDEO ABSTRACT.
PMID- 27196980
TI - Fractional electron number, temperature, and perturbations in chemical reactions.
AB - We provide a perspective on the role of non-integer electron number in the
density functional theory approach to chemical reactivity (conceptual DFT),
emphasizing that it is important to not only treat reagents as open systems, but
also as non-isolated systems, in contact with their surroundings. The special
case of well-separated reagents is treated in some detail, as is the case where
reagents interact strongly. The resulting expressions for the chemical potential
of an acid, MUacid = -(alphaI + A)/(1 + alpha), and a base, MUbase = -(I +
alphaA)/(1 + alpha), elucidate and generalize the assumptions inherent in the
chemical potential models of Mulliken (alpha = 1) and Gazquez, Cedillo, and Vela
(alpha = 3). In the strongly-interacting limit, it is appropriate to model the
effects of the environment as a state-specific effective temperature, thereby
providing a rigorous justification for the phenomenological effective-temperature
model one of the authors previously proposed. The framework for the strongly
interacting limit subsumes our model for weakly-interacting subsystems at nonzero
temperature, the case of open but otherwise noninteracting subsystems, and the
zero-temperature limit.
PMID- 27196981
TI - There is an "app" for that: Designing mobile phone technology to improve asthma
action plan use in adolescent patients.
AB - PURPOSE: Asthma burden affects mortality, morbidity, quality of life, and the
economy. Written asthma action plans are standard of care according to national
guidelines, but these plans are often not prescribed. The purpose of this project
was to develop an asthma action plan application for smartphones. DATA SOURCES: A
development studio was consulted for support in developing a smartphone
application to code the software for the asthma action plan and assist in the
design process. During development of the application, a survey was conducted to
assist in design of the application and functionality. CONCLUSIONS: All survey
participants agreed that the application was easy to use, could be used without
written instruction, and was designed for adolescents with asthma of any
severity. Patients and providers mostly agreed that the app would help provide
information about what to do in the event of an asthma exacerbation, and the
application would be used frequently. IMPLICATIONS FOR PRACTICE: There was
consensus from both patients and providers that this application is not only
functional but also helpful in the event of an asthma exacerbation. The project
met the goal of designing a mobile phone application that would improve patient
access to asthma action plans.
PMID- 27196982
TI - Francisella Infection in Cultured Tilapia in Thailand and the Inflammatory
Cytokine Response.
AB - Francisella infections developed in freshwater Nile Tilapia Oreochromis niloticus
and red tilapia Oreochromis spp. farms in Thailand during 2012-2014. The diseased
fish were lethargic and pale in color and showed numerous white nodules in their
enlarged spleens. Histopathological examination and electron microscopy suggested
that the white nodules were multifocal granulomas consisting of coccobacilli
within vacuolated cells. Isolation of Francisella-like bacteria was achieved from
42 of 100 samples, while polymerase chain reaction confirmed Francisella
infections in all samples. Analysis of the 16S rRNA gene from samples obtained
from three different geographical culture areas revealed more than 99% similarity
with F. noatunensis subsp. orientalis. The influence of Francisella infection on
inflammatory cytokines was determined on splenic cells of fish intraperitoneally
injected with the bacteria (0.8 * 10(5) colony-forming units per fish). Infected
tilapia showed significantly greater expression of the pro-inflammatory genes
interleukin-1beta (IL-1beta) and tumor necrotic factor-alpha (TNF-alpha) within
24 h postinjection (hpi) and for up to 96 hpi. However, down-regulation of an
anti-inflammatory gene, transforming growth factor-beta (TGF-beta) was observed
as early as 24 hpi. This investigation demonstrates that an imbalance between pro
and anti-inflammatory cytokines in response to the infection may account for the
substantial number of granulomas in fish hematopoietic tissues that was found in
the later stage of the disease. Received September 9, 2015; accepted December 13,
2015.
PMID- 27196983
TI - Multiplex component-based allergen microarray in recent clinical studies.
AB - During the last decades component-resolved diagnostics either as singleplex or
multiplex measurements has been introduced into the field of clinical
allergology, providing important information that cannot be obtained from extract
based tests. Here we review recent studies that demonstrate clinical applications
of the multiplex microarray technique in the diagnosis and risk assessment of
allergic patients, and its usefulness in studies of allergic diseases. The
usefulness of ImmunoCAP ISAC has been validated in a wide spectrum of allergic
diseases like asthma, allergic rhinoconjunctivitis, atopic dermatitis,
eosinophilic esophagitis, food allergy and anaphylaxis. ISAC provides a broad
picture of a patient's sensitization profile from a single test, and provides
information on specific and cross-reactive sensitizations that facilitate
diagnosis, risk assessment, and disease management. Furthermore, it can reveal
unexpected sensitizations which may explain anaphylaxis previously categorized as
idiopathic and also display for the moment clinically non-relevant
sensitizations. ISAC can facilitate a better selection of relevant allergens for
immunotherapy compared with extract testing. Microarray technique can visualize
the allergic march and molecular spreading in the preclinical stages of allergic
diseases, and may indicate that the likelihood of developing symptomatic allergy
is associated with specific profiles of sensitization to allergen components.
ISAC is shown to be a useful tool in routine allergy diagnostics due to its
ability to improve risk assessment, to better select relevant allergens for
immunotherapy as well as detecting unknown sensitization. Multiplex component
testing is especially suitable for patients with complex symptomatology.
PMID- 27196984
TI - Prevalence and Predictors of Prehospital Pain Assessment and Analgesic Use in
Military Trauma Patients, 2010-2013.
AB - INTRODUCTION: Pain is the most common symptom in patients presenting for
prehospital (PH) care. Research in civilian emergency medical systems has shown
wide variability in PH pain assessment and analgesic practices, yet a minimal
amount is known about pain assessment and analgesic intervention practices in the
military, particularly when PH care is delivered in a combat zone. OBJECTIVE: To
describe prehospital (PH) pain care practices for U.S. military personnel injured
in Iraq and Afghanistan 2010-2013 and explore potential relationships to explain
variation. METHODS: An exploratory retrospective, cross-sectional study of
Department of Defense Trauma Registry data from 2010 to 2013 was performed.
Demographic, clinical, or health system variables for associations with three
outcomes: 1) pain assessment documentation; 2) pain severity (0-10 scale); and 3)
analgesic administration (yes/no). Including only variables with significant
associations, backward stepwise regression was used to develop explanatory models
for each outcome. RESULTS: Patient records (n = 3,317) were evaluated for
documentation of PH pain assessment and analgesic administration. The prevalence
of PH pain score documentation was 37.8% (n = 1,253). Overall, the proportion of
records with PH pain scores increased over time: 19.8% (2010), 35.1% (2011),
58.2% (2012), and 62.2% (2013). Severity of pain scores ranged 0-10; mean = 5.5
(SD = 3.1); median = 6 (IQR = 3-8). Analgesics were reported for 50.8% (n =
1,684), of whom 38.3% had a pain severity score documented. The pain assessment
documentation model included any documented vital signs, injury year, and
mechanism of injury and explained 19.3% of the variance in documentation. The
pain severity model included vital signs and injury severity score (ISS) and
explained 5.0% of the variance in severity. The analgesic model included any
vital signs, pain severity, trauma type, mechanism of injury, ISS, and year.
CONCLUSIONS: Pain assessment and treatment documentation improved each year, but
remain suboptimal. Available data yielded poor prediction of the outcomes of
interest, emphasizing the importance of individual assessment. Analgesic
effectiveness could not be evaluated.
PMID- 27196985
TI - Capillary electrophoresis as a method to determine underivatized urinary
lipoarabinomannans, a biomarker of active tuberculosis caused by Mycobacterium
tuberculosis.
AB - Tuberculosis is a devastating contagious disease caused by Mycobacterium
tuberculosis. This is the first report describing the development of novel
capillary electrophoresis methods to detect lipoarabinomannans shed into the
blood circulation by replicating bacteria. The novelty of the methods is the
detection without derivatization. The lipoarabinomannan is detected owing to the
ionization of the diverse functional groups of the structure, such as the
multibranched mannan domain or the phosphatidyl group. Four alkaline solutions
were used; normal polarity in three of them and reversed polarity in one. Urinary
lipoarabinomannans by saccharide domains were identified with direct absorbance
detection. The accuracy and the analytical sensitivity were then validated with
cello-, manno- and xylooligosaccharides. Lipoarabinomannan detection was feasible
within 20 min (RSD 2.1%). This method worked at the dynamic range of 0.1-10
MUg/mL. With reversed polarity, indirect absorbance detection, and pH 9.0
electrolyte were used, the analytes migrated already within 5 min (RSD 0.01%).
Inorganic nonabsorbing ions were used for this method optimization. This
improvement resulted in the detection limit of 1 pg/mL in water and in the linear
dynamic range of 1 pg/mL to 10 ng/mL. In conclusion, the described method has
great potential as a point-of-care assay for clinical use.
PMID- 27196986
TI - Randomized Controlled Trial of Home-Based Hormonal Contraceptive Dispensing for
Women At Risk of Unintended Pregnancy.
AB - CONTEXT: Women frequently experience barriers to obtaining effective
contraceptives from clinic-based providers. Allowing nurses to dispense hormonal
methods during home visits may be a way to reduce barriers and improve -effective
contraceptive use. METHODS: Between 2009 and 2013, a sample of 337 low-income,
pregnant clients of a nurse home-visit program in Washington State were randomly
selected to receive either usual care or enhanced care in which nurses were
permitted to provide hormonal contraceptives postpartum. Participants were
surveyed at baseline and every three months postpartum for up to two years.
Longitudinal Poisson mixed-effects regression analysis was used to examine group
differences in gaps in effective contraceptive use, and survival analysis was
used to examine time until a subsequent pregnancy. RESULTS: Compared with usual
care participants, enhanced care participants had an average of 9.6 fewer days
not covered by effective contraceptive use during the 90 days following a first
birth (52.6 vs. 62.2). By six months postpartum, 50% of usual care participants
and 39% of enhanced care participants were using a long-acting reversible
contraceptive (LARC). In analyses excluding LARC use, enhanced care participants
had an average of 14.2 fewer days not covered by effective contraceptive use 0-3
months postpartum (65.0 vs. 79.2) and 15.7 fewer uncovered days 4-6 months
postpartum (39.2 vs. 54.9). CONCLUSION: Home dispensing of hormonal
contraceptives may improve women's postpartum contraceptive use and should be
explored as an intervention in communities where contraceptives are not easily
accessible.
PMID- 27196987
TI - Preventive effects of simvastatin nanoliposome on isoproterenol-induced cardiac
remodeling in mice.
AB - In this study, simvastatin (SMV) and SMV nanoliposome (SMV-Lipo) were given to
male BALB/c mice by either intragastric (i.g.) or intraperitoneal (i.p.)
administration, and their effects on isoproterenol (ISO)-induced cardiac
remodeling were compared. The results indicate that by i.p. administration, the
SMV-Lipo at an equal SMV dose exhibited more significant inhibitory effects than
the crude SMV on cardiac hypertrophy, fibrosis and inflammation. Comparing the
SMV-Lipo on different administration regimens, i.p. group showed more significant
inhibitory effects on cardiac remodeling than i.g. group. In addition,
pharmacokinetic studies revealed that SMV-Lipo administrated by either i.p. or
i.g. more significantly improved the plasma SMV concentration than the crude SMV.
Therefore, the SMV-Lipo significantly enhanced the inhibitory effects of SMV on
cardiac remodeling resulted from the enhanced absorption of SMV by nanoliposome
formulation, and i.p. was better than i.g. administration.
PMID- 27196988
TI - Systematic Review of Adverse Effects from Herbal Drugs Reported in Randomized
Controlled Trials.
AB - Herbal drugs have become a popular form of healthcare, raising concerns about
their safety. This study aimed to characterize the adverse effects of herbal
drugs through a systematic review of results reported in randomized controlled
trials (RCTs). Using eight electronic databases including PubMed, the Cochrane
library and six Korean medical databases, the frequency of reported toxicity was
recorded based on drug composition and indication. Among 4957 potentially
relevant articles, 242 papers comprised of 244 studies met our inclusion
criteria; these included 111 studies of a single herb and 133 of multiple herbs.
These studies accounted for a total 15 441 participants (male = 5590; female =
9851; 7383 for single and 8058 for multiple herb studies). There were 480 cases
(3.1%) of adverse events (344 for single, 136 for multiple herb studies; p <
0.01). A total of 259 cases reported blood test abnormalities, including five
cases of abnormality in hepatic functional enzymes. The most frequently reported
adverse event was digestive symptoms (44.3%), followed by nervous system symptoms
(17.3%) and behaviors such as loss of appetite (16.3%). This is the first
systematic review of adverse effects of herbal drugs among clinical studies, and
the results indicate that herbal drugs are relatively safe. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27196989
TI - Long-term trends of chemical characteristics and sources of fine particle in
Foshan City, Pearl River Delta: 2008-2014.
AB - Foshan is a major international ceramic center and the most polluted city in the
Pearl River Delta (PRD). Here we present the results of the first long-term PM2.5
(particles <2.5MUm) sampling and chemical characterization study of the city. A
total of 2774 samples were collected at six sites from 2008 to 2014, and analyzed
for water soluble species, elements and carbonaceous species. The major
constituents of PM2.5 were sulfate, OC (Organic Carbon), nitrate, ammonium and EC
(Elemental Carbon), which accounted for 50%-88% of PM2.5. PM2.5 and the most
abundant chemical species decreased from 2008 to 2011, but rebounded in 2012
2013. After 2008, the chemical composition of PM2.5 changed dramatically due to
the implementation of pollution control measures. From 2008 to 2011, SO4(2-) and
NO3(-) were the two largest components; subsequently, however, OC was the largest
component. The respective contributions of SO4(2-), NO3(-) and OC to the sum of
water soluble species and carbonaceous species were 30.5%, 22.9% and 19.9% in
2008; and 20.2%, 16.5% and 30.2% in 2014. Distinct differences in nitrate and
sulfate, and in mass ratio [NO3(-)]/[SO4(2-)] imply that mobile sources tended to
more important in Foshan during 2012-2014. The results indicate that pollution
control measures implemented during 2008-2014 had a large effect on anthropogenic
elements (Pb, As, Cd, Zn and Cu) and water soluble species, but little influence
on crustal elements (V, Mn, Ti, Ba and Fe) and carbonaceous species. The PMF
method was used for source apportionment of PM2.5. Industry (including the
ceramic industry and coal combustion), vehicles and dust were the three most
important sources and comprised 39.2%, 20.0% and 18.4% of PM2.5 in 2008,
respectively. However, secondary aerosols, vehicles and industry were the three
most important sources and comprised 29.5%, 22.4% and 20.4% of PM2.5 in 2014,
respectively. During the seven year study interval, the contributions of primary
sources (industry and dust) decreased significantly, but secondary sources
increased dramatically. Industry, dust and vehicles contributed 36.6MUgm(-3),
13.9MUgm(-3), and 9.2MUgm(-3) to the reduction of PM2.5, respectively.
PMID- 27196990
TI - "Is it still safe to eat traditional food?" Addressing traditional food safety
concerns in aboriginal communities.
AB - Food insecurity is a growing concern for indigenous communities worldwide. While
the risk of heavy metal contamination associated to wild food consumption has
been extensively studied in the Arctic, data are scarce for the Boreal zone. This
study addressed the concerns over possible heavy metal exposure through
consumption of traditional food in four Anishnaabeg communities living in the
Eastern North American boreal forest. Liver and meat samples were obtained from
196 snowshoe hares (Lepus americanus) trapped during winter 2012 across the
traditional lands of the participating communities and within 56-156km of a
copper smelter. Interviews were conducted with 78 household heads to assess
traditional food habits, focusing on snowshoe hare consumption. Concentrations in
most meat and liver samples were below the detection limit for As, Co, Cr, Ni and
Pb. Very few meat samples had detectable Cd and Hg concentrations, but liver
samples had mean dry weight concentrations of 3.79mg/kg and 0.15mg/kg
respectively. Distance and orientation from the smelter did not explain the
variability between samples, but percent deciduous and mixed forest cover had a
marginal negative effect on liver Cd, Cu and Zn concentrations. The estimated
exposition risk from snowshoe hare consumption was low, although heavy consumers
could slightly exceed recommended Hg doses. In accordance with the holistic
perspective commonly adopted by indigenous people, the nutritional and
sociocultural importance of traditional food must be considered in risk
assessment. Traditional food plays a significant role in reducing and preventing
serious health issues disproportionately affecting First Nations, such as
obesity, diabetes, and cardiovascular diseases.
PMID- 27196991
TI - Soil organic carbon of an intensively reclaimed region in China: Current status
and carbon sequestration potential.
AB - Land reclamation has been highly intensive in China, resulting in a large amount
of soil organic carbon (SOC) loss to the atmosphere. Evaluating the factors which
drive SOC dynamics and carbon sequestration potential in reclaimed land is
critical for improving soil fertility and mitigating global warming. This study
aims to determine the current status and factors important to the SOC density in
a typical reclaimed land located in Eastern China, where land reclamation has
been undergoing for centuries. A total of 4746 topsoil samples were collected
from 2007 to 2010. The SOC density of the reclaimed land (3.18+/-0.05kgCm(-2);
mean+/-standard error) is significantly lower than that of the adjacent non
reclaimed land (5.71+/-0.04kgCm(-2)) (p<0.05). A Random Forest model is developed
and it captures the relationships between the SOC density and the
environmental/anthropogenic factors (R(2)=0.59). The soil pH, land use, and
elevation are the most important factors for determining SOC dynamics. In
contrast, the effect of the reclamation age on the SOC density is negligible,
where SOC content in the land reclaimed during years 1047-1724 is as low as that
reclaimed during years 1945-2004. The scenario analysis results indicate that the
carbon sequestration potential of the reclaimed lands may achieve a maximum of
5.80+/-1.81kgCO2m(-2) (mean+/-SD) when dryland is converted to flooded land with
vegetable-rice cropping system and soil pH of ~5.9. Note that in some scenarios
the methane emission substantially offsets the carbon sequestration potential,
especially for continuous rice cropping system. With the optimal setting for
carbon sequestration, it is estimated that the dryland reclaimed in the last
50years in China is able to sequester 0.12milliontons CO2 equivalent per year.
PMID- 27196993
TI - Spiritual well-being and spiritual distress predict adjustment in adolescent and
young adult cancer survivors.
AB - OBJECTIVE: Spirituality is related to many aspects of cancer survivors' physical
and psychological adjustment. Given their unique developmental issues, spiritual
issues may be especially important to adolescent and young adult (AYA) survivors,
yet little research has been conducted on spirituality with AYA survivors. The
present study examines how two aspects of spirituality, spiritual well-being
(comprising faith and meaning/peace), and spiritual struggle relate to later post
cancer adjustment. METHODS: At Time 1 (T1), 120 AYA survivors completed
questionnaires on spirituality and adjustment (fear of recurrence, post-traumatic
stress symptoms, perceived post-traumatic growth, psychological distress, and
health-related quality of life). Eighty-three of these participants also
completed these questionnaires at Time 2 (T2), one year later. RESULTS: Our
sample reported fairly low spiritual well-being (meaning/peace, faith) and
spiritual struggle. As expected, T1 spiritual well-being was positively
correlated with some aspects of psychological adjustment at T2, whereas T1
spiritual struggle was inversely correlated with T2 psychological adjustment.
Both dimensions of T1 spiritual well-being, but not struggle, were positively
associated with perceived T2 posttraumatic growth. In general, T1 spiritual well
being and struggle correlated with T2 psychological adjustment even when
demographics and cancer-related variables were controlled. CONCLUSIONS: These
results suggest that while spirituality is not important to all AYA survivors,
both spiritual well-being and struggle have important associations with
adjustment and may warrant clinical attention. Future research is needed to more
fully understand the role of spirituality in AYA survivors' adjustment in more
depth. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27196992
TI - Bioaccessibility and arsenic speciation in carrots, beets and quinoa from a
contaminated area of Chile.
AB - Consumption of vegetables grown in arsenic (As)-contaminated soils is an
important exposure route to the element for humans. The present study is focused
on locally-grown, frequently-consumed vegetables, such as carrots (Daucus
carota), beets (Beta vulgaris) and quinoa (Chenopodium) from the As-polluted Chiu
Chiu area in Northern Chile. The latter region is affected both by As discharge
from copper mining activity and natural As contamination, leading to a high As
content in local food and water. For the selected vegetables, the following
aspects were investigated: i) Their total As, Cu, Pb, Cr, Cd and Mn content; ii)
Arsenic speciation in the edible part of the vegetables by liquid chromatography
inductively-coupled plasma mass spectrometry (LC-ICPMS) analysis; iii) Arsenic
bioaccessibility in the vegetables during in vitro gastrointestinal digestion;
iv) Arsenic species present in the extracts obtained from in vitro
gastrointestinal digestion; and v) Arsenic dietary exposure estimates for the
assessment of the risk posed by the vegetables consumption. A significant degree
of As contamination was found in the vegetables under study, their metal content
having been compared with that of similar Spanish uncontaminated products. In
vitro gastrointestinal digestion of the studied vegetables led to quantitative
extraction of As from carrots and beets, whereas efficiency was about 40% for
quinoa. For carrots, only As(III) and As(V) species were found, being their
concentration levels similar. In the case of quinoa, around 85% of the element
was present as As(V). For beets, inorganic As(V) and unknown overlapped As
species (probably arsenosugars) were found. No significant transformation of the
original As species was observed during in vitro gastrointestinal digestion.
Arsenic dietary exposure values obtained for the three vegetables (0.017-0.021MUg
As person(-1)day(-1)) were much lower than the JFCFA's safety limit of 50MUg As
person(-1)day(-1). Therefore, no toxicological risk would be expected from the
intake of these vegetables.
PMID- 27196995
TI - Evaluation of the Role of Umbilical Cord Serum and Autologous Serum Therapy in
Reepithelialization After Keratoplasty: A Randomized Controlled Clinical Trial.
AB - PURPOSE: To evaluate the role of umbilical cord serum (UCS) and autologous serum
(AS) therapy in reepithelialization of corneal graft after keratoplasty in a
randomized controlled trial. METHODS: A total of 105 eyes with epithelial defect
(ED) after keratoplasty (penetrating keratoplasty-67 and anterior lamellar
keratoplasty-38) on the first postoperative day were included in the study. The
eyes were randomized into three groups: UCS (n=35), AS (n=35), and artificial
tears (AT) (n=35). All patients received standard postoperative medical therapy.
The primary outcome measure was time to epithelialization, and secondary outcome
measures were best-corrected visual acuity and graft clarity. RESULTS: The ED
healed completely in 103 eyes. The mean time for complete reepithelialization was
2.5+/-2.1, 3.1+/-2.2, and 4.5+/-1.4 days in UCS, AS, and AT groups, respectively.
The mean percentage decrease in the size of the ED was significantly better in
the UCS and AS groups as compared with the AT group (P=0.001). The rate of
reepithelialization was comparable between the AS and UCS groups (P=0.3). On
bivariate analysis, significant correlation was found between the mean size of
postoperative ED, grade of the donor cornea (P=0.001), and the presence of
preoperative ED (P=0.001). No complications were associated with the use of serum
therapy. CONCLUSION: Most of the cases of postkeratoplasty corneal ED can be
managed with AT only. The serum therapy (AS/UCS) helps in the faster
reepithelialization of postkeratoplasty ED as compared with AT and may be
considered as a treatment option for early epithelial healing.
PMID- 27196994
TI - Corneal Thickness Profile Changes After Femtosecond LASIK for Hyperopia.
AB - PURPOSE: To compare changes in the corneal thickness profile before and 6 months
after femtosecond laser in situ keratomileusis (LASIK) for hyperopia. METHODS: In
a prospective noncomparative case series study, 24 eyes of 20 hyperopic patients
undergoing femtosecond LASIK were examined preoperatively and 6 months
postoperatively. Corneal profile was measured using Pentacam HR device. Paired t
test was used to compare preoperative and postoperative values. Spearman
correlation analysis was performed to evaluate the relationship between the
central corneal thickness changes and attempted spherical equivalent refraction
(SER). RESULTS: The mean uncorrected distance visual acuity significantly
improved after surgery (P<0.001). Significant differences in central,
midperipheral, ablative annular, and peripheral corneal thicknesses were observed
from preoperatively to 6 months postoperatively (all P<0.001). There was no
significant correlation between the changes in the central corneal thickness and
attempted SER (P=0.23). CONCLUSIONS: The corneal thicknesses across the whole
ablation zone including central corneal thickness, significantly decrease
postoperatively compared with preoperatively.
PMID- 27196996
TI - Cosmetic Cleansing Oil Absorption by Soft Contact Lenses in Dry and Wet
Conditions.
AB - OBJECTIVES: Previous reports showed that cosmetic cleansing oil for removing
makeup, which contains mineral oil and surfactant, can deform some silicone
hydrogel contact lenses (SHCLs) when applied directly to the lenses, although
plasma-coated SHCLs (lotrafilcon A and B) were not affected. In the present
study, we investigated hydrogel lenses and SHCLs in both wet and dry conditions.
METHODS: Several brands of hydrogel and SHCLs were immersed in a cleansing oil
solution containing Sudan Black B for 5 min under wet and dry conditions. The
lenses under the wet condition were simply picked up from the saline, whereas
those under the dry condition were blotted with paper wipes. After immersing, the
excess solution remaining on the lenses was removed by finger rubbing with a
multipurpose solution. The lenses were then examined using a stereomicroscope,
and their mean brightness was measured and compared. RESULTS: The cosmetic
cleansing oil was not absorbed by the hydrogel lenses under wet or dry
conditions. However, four of seven brands of SHCLs absorbed the cosmetic
cleansing oil under both conditions (dry and wet), whereas asmofilcon A absorbed
it only under the dry condition. Lotrafilcon B and delefilcon A did not absorb
cleansing oil even under the dry condition. CONCLUSIONS: Hydrogel lenses resist
cosmetic cleansing oil. However, SHCLs have different degrees of resistance
depending on the lens material. Some SHCLs absorbed cosmetic cleansing oil more
under dry conditions than under wet conditions.
PMID- 27196997
TI - Heparin-Based Coacervate of FGF2 Improves Dermal Regeneration by Asserting a
Synergistic Role with Cell Proliferation and Endogenous Facilitated VEGF for
Cutaneous Wound Healing.
AB - Effective wound healing requires complicated, coordinated interactions and
responses at protein, cellular, and tissue levels involving growth factor
expression, cell proliferation, wound closure, granulation tissue formation, and
vascularization. In this study, we develop a heparin-based coacervate consisting
of poly(ethylene argininylaspartate digylceride) (PEAD) as a storage matrix,
heparin as a bridge, and fibroblast growth factor-2 (FGF2) as a cargo (namely
heparin-FGF2@PEAD) for wound healing. First, in vitro characterization
demonstrates the loading efficiency and control release of FGF2 from the heparin
FGF2@PEAD coacervate. The following in vivo studies examine the wound healing
efficiency of the heparin-FGF2@PEAD coacervate upon delivering FGF2 to full
thickness excisional skin wounds in vivo, in comparison with the other three
control groups with saline, heparin@PEAD as vehicle, and free FGF2. Collective in
vivo data show that controlled release of FGF2 to the wounds by the coacervate
significantly accelerates the wound healing by promoting cell proliferation,
stimulating the secretion of vascular endothelial growth factor (VEGF) for re
epithelization, collagen deposition, and granulation tissue formation, and
enhancing the expression of platelet endothelial cell adhesion molecule (CD31)
and alpha-smooth muscle actin (alpha-SMA) for blood vessel maturation. In
parallel, no obvious wound healing effect is found for the control, vehicle, and
free FGF2 groups, indicating the important role of the coavervate in the wound
healing process. This work designs a suitable delivery system that can protect
and release FGF2 in a sustained and controlled manner, which provides a promising
therapeutic potential for topical treatment of wounds.
PMID- 27196998
TI - P2Y12 receptor inhibition and effect of morphine in patients undergoing primary
PCI for ST-segment elevation myocardial infarction. The PRIVATE-ATLANTIC study.
AB - PRIVATE-ATLANTIC (P2Y12 Receptor Inhibition with VASP Testing using Elisa kit
during the ATLANTIC study) is a pre-specified substudy of the randomised, double
blind ATLANTIC trial in patients with ST-segment elevation myocardial infarction,
designed to help interpret the main trial results. The primary objective of
ATLANTIC was to assess coronary reperfusion prior to percutaneous coronary
intervention (PCI) with pre- vs in-hospital ticagrelor 180 mg loading dose (LD).
PRIVATE-ATLANTIC assessed platelet inhibition in 37 patients by measurement of
vasodilator-associated stimulated phosphoprotein (VASP) platelet reactivity index
(PRI) and VerifyNow platelet reactivity units (PRU) before angiogram (T1),
immediately after PCI (T2), 1 (T3), and 6 (T4) hours (h) after PCI, and before
next study drug administration (T5). The median time difference between the two
ticagrelor LD was 41 minutes. Platelet reactivity was unaffected at T1 when
measured by VASP-PRI (89.8 vs 93.9 % for pre- and in-hospital ticagrelor,
respectively; p = 0.18) or PRU (239 vs 241; p = 0.82). Numerical differences were
apparent at T2 and maximal at T3. Morphine administration significantly delayed
onset of platelet inhibition at T3 (VASP-PRI 78.2 vs 23.4 % without morphine; p =
0.0116) and T4 (33.1 vs 11.0 %; p = 0.0057). In conclusion, platelet inhibition
in ATLANTIC was unaffected by pre-hospital ticagrelor administration at the time
of initial angiogram due to the short transfer delay. The maximum difference in
platelet inhibition was detected 1 h after PCI (T3). Morphine administration was
associated with delayed onset of action of ticagrelor and appeared more important
than timing of ticagrelor administration.
PMID- 27196999
TI - Medical Need, Equality, and Uncertainty.
AB - Many hold that distributing healthcare according to medical need is a requirement
of equality. Most egalitarians believe, however, that people ought to be equal on
the whole, by some overall measure of well-being or life-prospects; it would be a
massive coincidence if distributing healthcare according to medical need turned
out to be an effective way of promoting equality overall. I argue that
distributing healthcare according to medical need is important for reducing
individuals' uncertainty surrounding their future medical needs. In other words,
distributing healthcare according to medical need is a natural feature of
healthcare insurance; it is about indemnity, not equality.
PMID- 27197001
TI - Errata: Vol. 65, No. 18.
AB - In the report, "Progress Toward Polio Eradication - Worldwide, 2015-2016," on
page 471, in Table 2, the title should have read "Number of reported poliovirus
cases, by country - worldwide, January 1, 2015-May 4, 2016," and the heading for
the second column should have been "2015 (January-December)."
PMID- 27197000
TI - Clinical significance of pulse pressure in patients with heart failure with
preserved left ventricular ejection fraction.
AB - AIMS: Although pulse pressure (PP) is a recognized risk factor for various
cardiovascular diseases, its association with cardiovascular outcomes in patients
with heart failure with preserved ejection fraction (HFpEF) is uncertain. METHODS
AND RESULTS: We enrolled 512 of 951 consecutive HFpEF patients admitted to the
Kumamoto University Hospital between 2007 and 2013 and divided them into five
groups according to PP quintiles. Blood pressure and pulse wave velocity (PWV)
were measured by an ankle-brachial index device. The PP values in HFpEF were
significantly and positively correlated with PWV and LV stroke volume index, and
were negatively correlated with estimated glomerular filtration rate and
haemoglobin levels. Furthermore, plasma B-type natriuretic peptide levels in
HFpEF patients with the lowest (<45 mmHg) and highest PP (>=75 mmHg) were
significantly higher than those with other PP (45-74 mmHg). The percentage of
total cardiovascular and heart failure (HF)-related events by PP category
resulted in U- and J-shaped curves. The higher frequency of coronary-related
events was nearly linear. In the Kaplan-Meier analysis, HFpEF patients with the
lowest and highest PP quintiles had a significantly higher risk of cardiovascular
and HF-related events than those with other PPs (45-74 mmHg) (log-rank test, both
P < 0.01). Conversely, the frequency of coronary-related events in the highest PP
group, but not in the lowest PP group, was significantly higher than in other PP
groups. CONCLUSION: Pulse pressure lower than 45 mmHg and higher than 75 mmHg was
closely associated with HFpEF prognosis, indicating the clinical significance of
PP for risk stratification of HFpEF.
PMID- 27197002
TI - Safety and Efficacy of Different Catheter Ablations for Atrial Fibrillation: A
Systematic Review and Meta-Analysis.
AB - BACKGROUND: Previous studies suggested that cryoballoon ablation had clinical
benefits comparable to those of radiofrequency ablation. However, recently, some
new catheters have been invented, and no universal consensus exists on which
ablation is the optimal choice. The present systematic review and meta-analysis
aimed to assess and compare the safety and efficacy of cryoballoon and
radiofrequency ablation by synthesizing published trials. METHODS AND RESULTS: A
systematic literature review was conducted searching Medline, PubMed, Embase,
Cochrane Library, and so forth. All trials comparing cryoballoon and
radiofrequency ablation were screened and included if inclusion criteria were
met. A total of 40 eligible studies were identified, adding up to 11,395
patients. The follow-up period ranged from 3 months to 25 months. Overall
analyses indicated that cryoballoon ablation could bring more benefit in
procedural time (risk ratio [RR] = -0.39, 95% confidence interval [CI]: -0.62 to
0.15), atrial fibrillation (AF) recrudescence (RR = 0.82, 95% CI: 0.70-0.96), and
major complications (RR = 0.74, 95% CI: 0.58-0.95) for patients with AF. For the
subgroups, the first-generation cryoballoon significantly reduced procedural time
and major complications, but it increased ablation time. The patients referred
for the second-generation cryoballoon (CBA) seemed to receive more clinical
benefit (procedural time, fluoroscopic time, ablation time, AF recrudescence) and
fewer complications. Finally, multiparty catheter (MTCA) was found to
significantly reduce procedural and fluoroscopic times with a high rate of AF
recrudescence. CONCLUSIONS: The present systematic review and meta-analysis
demonstrated that cryoballoon ablation was associated with greater freedom from
AF, shorter procedural time, and lower rate of major complications, compared with
radiofrequency ablation. Especially, CBA was more advantageous. However, MTCA
seems promising for radiofrequency ablation.
PMID- 27197004
TI - Accuracy and Utility of Self-report of Refractive Error.
AB - IMPORTANCE: Large-scale generic studies offer detailed information on potential
risk factors for refractive error across the life course, but ophthalmic
examination in such cases to determine the refractive error phenotype is
challenging and costly. Thus, refractive status is commonly assigned using
questionnaires. In a population survey, often only a few condition-specific self
reported questions can be included, so the questions used must be effective in
ruling in those who have the trait of interest and ruling out those who do not.
OBJECTIVE: To determine the accuracy of identification of refractive status using
self-reported age at and/or reason for first use of glasses or contact lenses
(optical correction). DESIGN, SETTING, AND PARTICIPANTS: The UK Biobank study, a
cross-sectional epidemiologic study, included 117 278 participants aged 40 to 69
years in 6 regional centers in England and Wales. Data for the present study were
assessed from June 2009 to July 2010. Patients underwent autorefraction
measurement. Spherical equivalent in the more extreme eye was used to categorize
myopia (-1.00 diopter [D] or more extreme) and hypermetropia (+1.00 D or more
extreme). MAIN OUTCOMES AND MEASURES: Sensitivity and specificity of the reason
for optical correction were assessed using autorefraction as the gold standard.
Receiver operating characteristic curves assessed the accuracy of self-reported
age at first use of optical correction and incremental improvement with addition
of the reason. RESULTS: Of the 95 240 participants who reported using optical
correction (55.6% female; mean [SD] age, 57.7 [7.5] years), 92 121 (96.7%)
provided their age at first use and 93 156 (97.8%) provided the reason. For
myopia, sensitivity of the reason for optical correction was 89.1% (95% CI, 88.7%
89.4%), specificity was 83.7% (95% CI, 83.4%-84.0%), and positive and negative
predictive values were 72.7% (95% CI, 72.2%-73.1%) and 94.0% (95% CI, 93.8%
94.2%), respectively. The area under the curve was 0.829 (95% CI, 0.826-0.831)
and improved to 0.928 (95% CI, 0.926-0.930) with combined information. By
contrast, self-report of the reason for optical correction of hypermetropia had
low sensitivity (38.1%; 95% CI, 37.6%-38.6%), and the area under the curve with
combined information was 0.713 (95% CI, 0.709-0.716). CONCLUSIONS AND RELEVANCE:
In combination, self-report of the reason for and age at first use of optical
correction are accurate in identifying myopia. These findings indicate an agreed
set of questions could be implemented effectively in large-scale generic
population-based studies to increase opportunities for integrated research on
refractive error leading to development of novel prevention or treatment
strategies.
PMID- 27197005
TI - Enacting simulation: A sociomaterial perspective on students' interprofessional
collaboration.
AB - Full-scale simulation exercises are becoming more common as an educational
feature of the undergraduate training of health professionals. This study
explores how interprofessional collaboration is enacted by the participating
students. Practice theory is used as the theoretical framework for a field study
of two naturalistic educational settings, when medical and nursing students come
together to practice in a simulated emergency situation, where a manikin is
replacing the patient. Eighteen sessions of simulations were observed, and data
were collected through standardised video recordings that were analysed
collaboratively. To ensure transparency and scientific rigour, a stepwise
constant comparative analysis was conducted, in which individual observations
within and across single video recordings were compared, negotiated and
eventually merged. The findings show that the student teams relate to the manikin
as a technical, medical, and human body, and that interprofessional knowings and
enactments emerge as a fluid movement between bodily positioning in synchrony and
bodily positioning out of synchrony in relation to the sociomaterial
arrangements. The findings are related to contemporary theorisations of practice
comprising an integrated view of body and mind, and it is discussed how the
findings can be used in simulation exercises to support participants' learning in
new ways.
PMID- 27197007
TI - Management of plastic bronchitis after Fontan operation with resolution of
symptoms.
PMID- 27197006
TI - Clopidogrel allergy successfully treated with corticosteroids without clopidogrel
withdrawal.
PMID- 27197008
TI - Diagnostic challenges in a long-term follow-up of hypereosinophilic restrictive
cardiomyopathy.
PMID- 27197003
TI - Recommendations for genetic testing to reduce the incidence of anthracycline
induced cardiotoxicity.
AB - AIMS: Anthracycline-induced cardiotoxicity (ACT) occurs in 57% of treated
patients and remains an important limitation of anthracycline-based chemotherapy.
In various genetic association studies, potential genetic risk markers for ACT
have been identified. Therefore, we developed evidence-based clinical practice
recommendations for pharmacogenomic testing to further individualize therapy
based on ACT risk. METHODS: We followed a standard guideline development process,
including a systematic literature search, evidence synthesis and critical
appraisal, and the development of clinical practice recommendations with an
international expert group. RESULTS: RARG rs2229774, SLC28A3 rs7853758 and UGT1A6
rs17863783 variants currently have the strongest and the most consistent evidence
for association with ACT. Genetic variants in ABCC1, ABCC2, ABCC5, ABCB1, ABCB4,
CBR3, RAC2, NCF4, CYBA, GSTP1, CAT, SULT2B1, POR, HAS3, SLC22A7, SCL22A17, HFE
and NOS3 have also been associated with ACT, but require additional validation.
We recommend pharmacogenomic testing for the RARG rs2229774 (S427L), SLC28A3
rs7853758 (L461L) and UGT1A6*4 rs17863783 (V209V) variants in childhood cancer
patients with an indication for doxorubicin or daunorubicin therapy (Level B -
moderate). Based on an overall risk stratification, taking into account genetic
and clinical risk factors, we recommend a number of management options including
increased frequency of echocardiogram monitoring, follow-up, as well as
therapeutic options within the current standard of clinical practice.
CONCLUSIONS: Existing evidence demonstrates that genetic factors have the
potential to improve the discrimination between individuals at higher and lower
risk of ACT. Genetic testing may therefore support both patient care decisions
and evidence development for an improved prevention of ACT.
PMID- 27197009
TI - Abdominal pain: diagnostic issues in patient with coexisting Takayasu's arteritis
and ulcerative colitis.
PMID- 27197010
TI - [Compendium for performing and describing the resting electrocardiogram.
Diagnostic criteria describe rhythm, electrical axis of the heart, QRS voltage,
automaticity and conduction disorders. Experts' group statement of the Working
Group on Noninvasive Ele].
PMID- 27197011
TI - Is MIBG really of no use in the diagnosis of heart failure?
PMID- 27197012
TI - Commentary to the article: "Rivaroxaban in secondary cardiogenic stroke
prevention: two-year single-centre experience based on follow-up of 209
patients".
PMID- 27197013
TI - Response to the letter concerning the article "Rivaroxaban in secondary
cardiogenic stroke prevention: two-year single-centre experience based on follow
up of 209 patients".
PMID- 27197014
TI - Interrogating the Druggability of the 2-Oxoglutarate-Dependent Dioxygenase Target
Class by Chemical Proteomics.
AB - The 2-oxoglutarate-dependent dioxygenase target class comprises around 60 enzymes
including several subfamilies with relevance to human disease, such as the prolyl
hydroxylases and the Jumonji-type lysine demethylases. Current drug discovery
approaches are largely based on small molecule inhibitors targeting the iron/2
oxoglutarate cofactor binding site. We have devised a chemoproteomics approach
based on a combination of unselective active-site ligands tethered to beads,
enabling affinity capturing of around 40 different dioxygenase enzymes from human
cells. Mass-spectrometry-based quantification of bead-bound enzymes using a free
ligand competition-binding format enabled the comprehensive determination of
affinities for the cosubstrate 2-oxoglutarate and for oncometabolites such as 2
hydroxyglutarate. We also profiled a set of representative drug-like inhibitor
compounds. The results indicate that intracellular competition by endogenous
cofactors and high active site similarity present substantial challenges for drug
discovery for this target class.
PMID- 27197018
TI - Evidence of Mycobacterium tuberculosis complex bacteraemia in intradermal skin
test positive cattle detected using phage-RPA.
AB - Bovine tuberculosis is a zoonotic infectious disease caused by Mycobacterium
bovis that affects cattle and can cause tuberculosis in a range of wildlife
animals. A bacteriophage-based method combined with PCR (phage-PCR) has been
recently used to detect and identify viable pathogenic mycobacteria in the
peripheral blood mononuclear cells (PBMCs) of animals suffering from
paratuberculosis. To adapt this method for the detection of M. bovis in blood, a
new isothermal DNA amplification protocol using Recombinase Polymerase
Amplification (RPA) was developed and was found to be able to detect M. bovis BCG
within 48 h, with a limit of detection of approximately 10 cells per ml of blood
for artificially inoculated blood samples. When blood samples (2 ml) from a
Single Comparative Cervical Intradermal Tuberculin (SCCIT)- negative beef herd
were tested, Mycobacterium tuberculosis complex (MTC) cells were not detected
from any (45) of the blood samples. However when blood samples from SCCIT
positive animals were tested, viable MTC bacteria were detected in 66 % (27/41)
of samples. Of these 41 animals sampled, 32 % (13) had visible lesions. In the
visible lesion (VL) group, 85 % (11/13) had detectable levels of MTC whereas only
57 % (16/28) of animals which had no visible lesions (NVL) were found to have
detectable mycobacteraemia. These results indicated that this simple, rapid
method can be applied for the study of M. bovis infections. The frequency with
which viable mycobacteria were detected in the peripheral blood of SCCIT-positive
animals changes the paradigm of this disease.
PMID- 27197020
TI - Comparing Single Versus Double Screw-Rod Anterior Instrumentation for Treating
Thoracolumbar Burst Fractures with Incomplete Neurological Deficit: A
Prospective, Randomized Controlled Trial.
AB - BACKGROUND Following a thoracolumbar burst fracture (TCBF), anterior screw-rods
apply pressure upon the graft site. However, there is limited evidence comparing
single screw-rod anterior instrumentation (SSRAI) to double screw-rod anterior
instrumentation (DSRAI) for TCBFs. Our objective was to compare SSRAI versus
DSRAI for TCBFs with incomplete neurological deficit. MATERIAL AND METHODS A
total of 51 participants with T11-L2 TCBFs (AO classification: A3) were randomly
assigned to receive SSRAI or DSRAI. Key preoperative, perioperative, and
postoperative data were collected. Statistical analysis was conducted to
determine the independent factors associated with inferior clinical outcomes, as
well as the comparative efficacy of SSRAI and DSRAI. RESULTS There were no
significant differences in the key demographic and clinical characteristics
between the two groups (all p>0.05). Smoking status was significantly associated
with inferior three-month and six-month Denis pain scores (Wald statistic=4.246,
p=0.039). Both SSRAI and DSRAI were significantly effective in improving three
month and six-month postoperative degree of kyphosis, three-month and six-month
postoperative ASIA impairment scale scores, three-month and six-month
postoperative Denis pain score, and three-month and six-month postoperative Denis
work score (all p<0.001). Although there were no significant differences between
DSRAI and SSRAI with respect to all outcomes (all p>0.05), DSRAI displayed
significantly longer operating times, as well as significantly larger operative
blood losses (both p<0.001). CONCLUSIONS SSRAI may be preferable over DSRAI for
TCBFs with incomplete neurological deficit due to its lower operating time and
amount of operative blood loss.
PMID- 27197019
TI - High-resolution characterization of a PACAP-EGFP transgenic mouse model for
mapping PACAP-expressing neurons.
AB - Pituitary adenylate cyclase-activating polypeptide (PACAP, gene name Adcyap1)
regulates a wide variety of neurological and physiological functions, including
metabolism and cognition, and plays roles in of multiple forms of stress. Because
of its preferential expression in nerve fibers, it has often been difficult to
trace and identify the endogenous sources of the peptide in specific populations
of neurons. Here, we introduce a transgenic mouse line that harbors in its genome
a bacterial artificial chromosome containing an enhanced green fluorescent
protein (EGFP) expression cassette inserted upstream of the PACAP ATG translation
initiation codon. Analysis of expression in brain sections of these mice using a
GFP antibody reveals EGFP expression in distinct neuronal perikarya and dendritic
arbors in several major brain regions previously reported to express PACAP from
using a variety of approaches, including radioimmunoassay, in situ hybridization,
and immunohistochemistry with and without colchicine. EGFP expression in neuronal
perikarya was modulated in a manner similar to PACAP gene expression in motor
neurons after peripheral axotomy in the ipsilateral facial motor nucleus in the
brainstem, providing an example in which the transgene undergoes proper
regulation in vivo. These mice and the high-resolution map obtained are expected
to be useful in understanding the anatomical patterns of PACAP expression and its
plasticity in the mouse. J. Comp. Neurol. 524:3827-3848, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27197021
TI - Determinants of bird conservation-action implementation and associated population
trends of threatened species.
AB - Conservation actions, such as habitat protection, attempt to halt the loss of
threatened species and help their populations recover. The efficiency and the
effectiveness of actions have been examined individually. However, conservation
actions generally occur simultaneously, so the full suite of implemented
conservation actions should be assessed. We used the conservation actions
underway for all threatened and near-threatened birds of the world (International
Union for Conservation of Nature Red List of Threatened Species) to assess which
biological (related to taxonomy and ecology) and anthropogenic (related to
geoeconomics) factors were associated with the implementation of different
classes of conservation actions. We also assessed which conservation actions were
associated with population increases in the species targeted. Extinction-risk
category was the strongest single predictor of the type of conservation actions
implemented, followed by landmass type (continent, oceanic island, etc.) and
generation length. Species targeted by invasive nonnative species control or
eradication programs, ex situ conservation, international legislation,
reintroduction, or education, and awareness-raising activities were more likely
to have increasing populations. These results illustrate the importance of
developing a predictive science of conservation actions and the relative benefits
of each class of implemented conservation action for threatened and near
threatened birds worldwide.
PMID- 27197023
TI - Bowel Radiation Injury: Complexity of the Pathophysiology and Promises of Cell
and Tissue Engineering.
AB - Ionizing radiation is effective to treat malignant pelvic cancers, but the
toxicity to surrounding healthy tissue remains a substantial limitation. Early
and late side effects not only limit the escalation of the radiation dose to the
tumor but may also be life-threatening in some patients. Numerous preclinical
studies determined specific mechanisms induced after irradiation in different
compartments of the intestine. This review outlines the complexity of the
pathogenesis, highlighting the roles of the epithelial barrier in the vascular
network, and the inflammatory microenvironment, which together lead to chronic
fibrosis. Despite the large number of pharmacological molecules available, the
studies presented in this review provide encouraging proof of concept regarding
the use of mesenchymal stromal cell (MSC) therapy to treat radiation-induced
intestinal damage. The therapeutic efficacy of MSCs has been demonstrated in
animal models and in patients, but an enormous number of cells and multiple
injections are needed due to their poor engraftment capacity. Moreover, it has
been observed that although MSCs have pleiotropic effects, some intestinal
compartments are less restored after a high dose of irradiation. Future research
should seek to optimize the efficacy of the injected cells, particularly with
regard to extending their life span in the irradiated tissue. Moreover, improving
the host microenvironment, combining MSCs with other specific regenerative cells,
or introducing new tissue engineering strategies could be tested as methods to
treat the severe side effects of pelvic radiotherapy.
PMID- 27197022
TI - Axon degeneration: context defines distinct pathways.
AB - Axon degeneration is an essential part of development, plasticity, and injury
response and has been primarily studied in mammalian models in three contexts: 1)
Axotomy-induced Wallerian degeneration, 2) Apoptosis-induced axon degeneration
(axon apoptosis), and 3) Axon pruning. These three contexts dictate engagement of
distinct pathways for axon degeneration. Recent advances have identified the
importance of SARM1, NMNATs, NAD+ depletion, and MAPK signaling in axotomy
induced Wallerian degeneration. Interestingly, apoptosis-induced axon
degeneration and axon pruning have many shared mechanisms both in signaling (e.g.
DLK, JNKs, GSK3alpha/beta) and execution (e.g. Puma, Bax, caspase-9, caspase-3).
However, the specific mechanisms by which caspases are activated during apoptosis
versus pruning appear distinct, with apoptosis requiring Apaf-1 but not caspase-6
while pruning requires caspase-6 but not Apaf-1.
PMID- 27197024
TI - Historical Improvement in Speed Skating Economy.
AB - : Half the improvement in 1500-m speed-skating world records can be explained by
technological innovations and the other half by athletic improvement. It is
hypothesized that improved skating economy is accountable for much of the
athletic improvement. PURPOSE: To determine skating economy in contemporary
athletes and to evaluate the change in economy over the years. METHODS:
Contemporary skaters of the Dutch national junior team (n = 8) skated 3 bouts of
6 laps at submaximal velocity, from which skating economy was calculated (in mL
O2 ? kg-1 ? km-1). A literature search provided historic data on skating velocity
and submaximal VO2 (in mL ? kg-1 ? min-1), from which skating economy was
determined. The association between year and skating economy was determined using
linear-regression analysis. Correcting the change in economy for technological
innovations resulted in an estimate of the association between year and economy
due to athletic improvement. RESULTS: A mean (+/- SD) skating economy of 73.4 +/-
6.4 mL O2 ? kg-1 ? km-1 was found in contemporary athletes. Skating economy
improved significantly over the historical time frame (-0.57 mL O2 ? kg-1 ? km-1
? y-1, 95% confidence interval [-0.84, -0.31]). In the final regression model for
the klapskate era, with altitude as confounder, skating economy improved with a
nonsignificant -0.58 mL O2 ? kg-1 ? km-1 ? y-1 ([-1.19, 0.035]). CONCLUSIONS:
Skating economy was 73.4 +/- 6.4 mL O2 ? kg-1 ? km-1 in contemporary athletes and
improved over the past ~50 y. The association between year and skating economy
due to athletic improvement, for the klapskate era, approached significance,
suggesting a possible improvement in economy over these years.
PMID- 27197025
TI - Dry conditions disrupt terrestrial-aquatic linkages in northern catchments.
AB - Aquatic ecosystems depend on terrestrial organic matter (tOM) to regulate many
functions, such as food web production and water quality, but an increasing
frequency and intensity of drought across northern ecosystems is threatening to
disrupt this important connection. Dry conditions reduce tOM export and can also
oxidize wetland soils and release stored contaminants into stream flow after
rainfall. Here, we test whether these disruptions to terrestrial-aquatic linkages
occur during mild summer drought and whether this affects biota across 43
littoral zone sites in 11 lakes. We use copper (Cu) and nickel (Ni) as
representative contaminants, and measure abundances of Hyalella azteca, a
widespread indicator of ecosystem condition and food web production. We found
that tOM concentrations were reduced but correlations with organic soils
(wetlands and riparian forests) persisted during mild drought and were sufficient
to suppress labile Cu concentrations. Wetlands, however, also became a source of
labile Ni to littoral zones, which was linked to reduced abundances of the
amphipod H. azteca, on average by up to 70 times across the range of observed Ni
concentrations. This reveals a duality in the functional linkage of organic soils
to aquatic ecosystems whereby they can help buffer the effects of hydrologic
disconnection between catchments and lakes but at the cost of biogeochemical
changes that release stored contaminants. As evidence of the toxicity of trace
contaminant concentrations and their global dispersion grows, sustaining links
among forests, organic soils and aquatic ecosystems in a changing climate will
become increasingly important.
PMID- 27197026
TI - Association of Notch4 with metastasis in human oral squamous cell carcinoma.
AB - AIMS: Despite the development of several therapeutic strategies in the past
decades, clinicians have failed to improve the survival rate of oral squamous
cell carcinoma patients due to the highly metastatic nature of the disease and
its high recurrence rate. However, there is accumulating evidence that aberrant
Notch4 expression has a critical role in tumorigenesis but its prognostic value
and function in OSCC remains uncertain. This study therefore investigates (1) the
expression of Notch4 and its downstream target, myelin associated glycoprotein
(MAG) in tissue samples representative of different stages of OSCC with varied
clinicopathological features and (2) the possible involvement of Notch4 in the
proliferation and migration of OSCC cells. MAIN METHODS: Sixty patients reported
positive for OSCC were obtained along with the clinicopathological parameters and
we performed immunohistochemistry, western blotting and RT-PCR for Notch4 and MAG
expression. Further, the metastatic role of Notch4 was analyzed in the HSC-3 cell
line by cell proliferation and migration assays. KEY FINDINGS: Our findings
reveal that Notch4 and MAG expression are significantly upregulated in
specifically late stages of OSCC tumor sections and perineural invasion (PNI)
positive cases. In addition, depletion of Notch4 by siRNA inhibited the
proliferative and migratory ability of the highly metastatic HSC-3 OSCC cells.
SIGNIFICANCE: Our study indicates that the aberrant activation of Notch4 promotes
OSCC metastasis through perineural spread and ascertains its value as a
significant prognostic marker and potential therapeutic target to treat this
highly aggressive malignancy.
PMID- 27197027
TI - Time course investigation of intervertebral disc degeneration in a rat-tail
puncture model.
AB - AIMS: Intervertebral disc (IVD) degeneration was believed to contribute to lower
back pain. The aim of the study was to investigate the pathogenesis and
regulatory mechanism of puncture-induced IVD degeneration. MAIN METHODS: We
established a rat-tail puncture model using Kirschner wire and a homemade
stopper. The progress of disc degeneration was evaluated by histological
examination and the quantitative measurement of type I, type II collagen and
other factors expression at 0.5, 1, 2, 6, and 12weeks after puncture and was
compared with control rats of the same age. KEY FINDINGS: Histological
examination and Safranin-O staining revealed progressive degeneration of the
punctured disc. Matrix metalloproteinase 13 (MMP13) was increased at 1week after
puncture but did not change in the control group. The interleukin-1 beta (IL
1beta) mRNA expression level was elevated at the acute stage after puncture
compared with the control group. The hypoxia inducible factor 2 (HIF-2) increased
expression in punctured groups. Additionally, compare to adjacent non-punctured
segments, HIF-2alpha expression level transiently increased and then decreased in
the nucleus pulposus immediately following puncture, and it then increased
12weeks after puncture. SIGNIFICANCE: The degenerative changes observed in this
rat-tail puncture model are similar to human disc degeneration and that this
model may be valuable for elucidating the molecular mechanisms and pathways
underlying disc degeneration.
PMID- 27197028
TI - Lycopene ameliorates neuropathic pain by upregulating spinal astrocytic connexin
43 expression.
AB - AIM: Peripheral nerve injury upregulates tumor necrosis factor (TNF) expression.
In turn, connexin 43 (Cx43) expression in spinal astrocytes is downregulated by
TNF. Therefore, restoration of spinal astrocyte Cx43 expression to normal level
could lead to the reduction of nerve injury-induced pain. While the non
provitaminic carotenoid lycopene reverses thermal hyperalgesia in mice with
painful diabetic neuropathy, the antinociceptive mechanism is not entirely clear.
The current study evaluated whether the antinociceptive effect of lycopene is
mediated through the modulation of Cx43 expression in spinal astrocytes. MAIN
METHODS: The effect of lycopene on Cx43 expression was examined in cultured rat
spinal astrocytes. The effect of intrathecal lycopene on Cx43 expression and
neuropathic pain were evaluated in mice with partial sciatic nerve ligation
(PSNL). KEY FINDINGS: Treatment of cultured rat spinal astrocytes with lycopene
reversed TNF-induced downregulation of Cx43 protein expression through a
transcription-independent mechanism. By contrast, treatment of cultured spinal
astrocytes with either pro-vitamin A carotenoid beta-carotene or antioxidant N
acetyl cysteine had no effect on TNF-induced downregulation of Cx43 protein
expression. In addition, repeated, but not single, intrathecal treatment with
lycopene of mice with a partial sciatic nerve ligation significantly prevented
not only the downregulation of Cx43 expression in spinal dorsal horn but
mechanical hypersensitivity as well. SIGNIFICANCE: The current findings suggest a
significant spinal mechanism that mediates the analgesic effect of lycopene,
through the restoration of normal spinal Cx43 expression.
PMID- 27197029
TI - Updates to instrumentation and protocols for isotopic analysis of nitrate by the
denitrifier method.
AB - RATIONALE: The denitrifier method allows for highly sensitive measurement of the
(15) N/(14) N (delta(15) N value) and (18) O/(16) O (delta(18) O value) of
nitrate dissolved in natural waters and for highly sensitive delta(15) N
measurement of other N forms (e.g., organic N) that can be converted into
nitrate. Here, updates to instrumentation and protocols are described, and
improvements in data quality are demonstrated. METHODS: A 'heart cut' of the N2 O
was implemented in the extraction system to (1) minimize introduction of
contaminants into the mass spectrometer, reducing isotopic drift and (2) decrease
the fraction of sample lost at the open split to improve sensitivity. Referencing
protocols were updated, including a correction scheme for a weak dependence of
nitrate delta(18) O values on nitrate concentration. Analyses of samples from the
US GEOTRACES North Atlantic Program and of reference solutions from the same
analysis batches were used to characterize performance. RESULTS: The drift is
typically <0.10/00 for both delta(15) N and delta(18) O values. Within-batch and
inter-batch replication yields 1 standard deviation (SD) of <=0.060/00 for
delta(15) N values and <=0.140/00 for delta(18) O values down to 5 MUM nitrate
and <=0.080/00 and <=0.230/00 at 2 and 1 MUM. The blank is typically 0.06 nmol N,
0.3% of the N in a 20 nmol N sample. Differences between reference materials in
seawater are indistinguishable from reported differences for delta(15) N values,
with a contraction for delta(18) O values of <=5%. CONCLUSIONS: The new
instrumentation and protocols yield nitrate isotopic data with external precision
of <=0.10/00 for large sample sets such as those derived from oceanographic
sections. Further study should investigate the causes of (1) the weak dependence
of nitrate delta(18) O values on nitrate concentration and (2) the inter-batch
variation in the delta(18) O contraction (due mostly to oxygen atom exchange with
water). Nevertheless, comprehensive correction schemes are in place for the
measurement of both the delta(15) N and delta(18) O values of nitrate. Copyright
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27197030
TI - Mass spectrometric determination of Morse parameters for the fifty-four
superoxide states dissociating to the lowest limit.
AB - RATIONALE: Superoxide is the most significant homonuclear diatomic anion in
biochemistry. Theory predicts 12 doublet (X, A-K) and 12 quartet (a-l) electronic
states split by spin orbital coupling into 54 states dissociating to the (3) P(O)
+ (2) P(O(-) ) limit. Dissociation energies for the 27 bonding states with
positive electron affinities have been determined from mass spectrometric data.
However, the 27 antibonding states with negative electron affinities have not
been experimentally characterized. METHODS: The electron affinity of the hydrogen
atom per electron, the Hylleraas, is the fundamental measure of electron
correlation. It has been used to assign and evaluate experimental electron
affinities of atoms and diatomic molecules. The 27 negative electron affinities
of oxygen are estimated from the 27 positive values and the Hylleraas. These
values are used to determine frequencies and internuclear separations by fitting
theoretical electron impact distributions to the gas-phase mass spectrometric
atomic oxygen anion distribution peaking at about 6.5 eV. RESULTS: The
dissociation energies, internuclear distances and frequencies giving the first
complete set of Morse potential energy curves for the 54 superoxide states
dissociating to the lowest limit are reported from mass spectrometric data. The
potentials are compared to theoretical and empirical literature curves.
CONCLUSIONS: The existence of the 27 bonding and 27 antibonding spin orbital
coupling superoxide states dissociating to (3) P(O) + (2) P(O(-) ) is established
from mass analyzed thermal, photon, and electron ionization data. There are
electron affinities from 0 to 0.15 eV, and onsets and peaks for dissociative
electron attachment that cannot be explained by the 54 states. These support the
existence of the 36 superoxide spin states dissociating to [(1) D(O) + (2) P(O(-)
)] and [(1) S(O) + (2) P(O(-) )] predicted by quantum mechanics. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27197031
TI - Mass measurement accuracy of the Orbitrap in intact proteome analysis.
AB - RATIONALE: The mass measurement accuracy (MMA) of Orbitrap mass spectrometers is
1-5 ppm according to the manufacturer's specification; yet, up to 50 ppm has been
used as mass tolerance to interpret Orbitrap data in the literature. A systematic
evaluation of MMA is thus necessary to find the optimal mass tolerance to be
used. METHODS: Reversed-phase liquid chromatography/tandem mass spectrometry
(RPLC/MS/MS) analyses of the intact E. coli proteome were carried out on a Q
Exactive Orbitrap mass spectrometer coupled to a Dionex UltiMate 3000 RSLCnano
system. The analysis included three technical replicates each day and was
repeated for six continuous days right after a mass calibration. The obtained raw
datasets were searched using ProteinGoggle 2.0 under four different mass
tolerances of 5, 10, 15, and 20 ppm. RESULTS: With both forward and random
database searches and FDR <=1% at the spectrum level, the most protein spectrum
matches and protein IDs were obtained at a mass tolerance of 15 ppm. The average
mass accuracy of both precursor and product ions from three representative high,
medium, and low abundance proteins as well as the common proteins identified in
all the 18 replicate runs was found to be 0-4 ppm; and no significant drift of
measured mass accuracy was observed within the calibration period of 1 week.
CONCLUSIONS: Despite the mass measurement accuracy of 1-5 ppm of the Orbitrap
stated by the manufacturer, the optimal mass tolerance for protein identification
was found to be 15 ppm for both the precursor and product ions. Weekly mass
calibration is appropriate because no significant drift in MMA was found within
the 6-day period. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27197032
TI - Laser-assisted proteolysis for accelerating and enhancing protein N-termini
analysis.
AB - RATIONALE: Targeted analysis of protein N-termini contributes to elucidating the
starting sites and post-translational modifications of mature protein N-termini.
Tryptic digestion is important in protein N-termini analysis, as well as in
conventional bottom-up proteomics strategies. It is essential to explore a new
proteolysis method for the enhancement of protein N-termini analysis. METHODS:
Laser-assisted proteolysis was compared with conventional overnight proteolysis.
Four standard proteins were studied as models and analyzed by matrix-assisted
laser desorption/ionization time-of-flight mass spectrometry. 100 pg of
synthesized peptide was used as internal standard for comparison of N-terminus
intensity. Laser-assisted proteolysis was demonstrated to accelerate and enhance
N-termini analysis. A complex mouse liver proteome sample was used to validate
the effect of laser-assisted proteolysis. RESULTS: According to online database
search, the number of matched peptides of four model proteins and the sequence
coverage were comparable between the two proteolysis methods. Laser exposure time
(40 s) could enhance the release of the N-terminus in model proteins. The number
of identified N-termini in mouse liver was improved by 28.3% in the laser
assisted digest, compared to the conventional overnight digest. The time cost for
digestion was shortened from overnight to 40 s. CONCLUSIONS: Laser-assisted
proteolysis was demonstrated to accelerate proteolysis and enhance N-termini
analysis. If laser-assisted proteolysis was integrated into protein N-termini
targeted methods, the performance of those methods should be improved. Copyright
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27197033
TI - Effect of surface capping of quantum dots (CdTe) on proteomics.
AB - RATIONALE: Investigation of nanoparticles for laser desorption/ionization mass
spectrometry (LDI-MS) is routinely reported. However, the effect of surface
capping of nanomaterials for LDI-MS is not well studied. METHODS: Different
capping agents of quantum dots (CdTe) affect the spectra quality and sensitivity
of protein analysis and protein digestion using trypsin enzyme assisted by
microwave. Surface modification of CdTe quantum dots with different capping
agents, namely 3-mercaptopropionic acid (3-MPA), 4-aminothiophenol (4-ATP), 4
mercaptobenzoic acid (4-MBA), 11-mercaptoundecanoic acid (11-MUA), cysteine (Cys)
and thioglycolic acid (TG), were investigated for quantum dots (QDs)-assisted
trypsin protease followed by analysis using mass spectrometry. RESULTS: CdTe QDs
were used as a surface to assist trypsin protease and laser desorption/ionization
mass spectrometry (surface-assisted laser desorption/ionization mass
spectrometry, SALDI-MS). The MS profiles for the investigated analytes (bovine
serum albumin (BSA), lysozyme, cytochrome c, alpha-casein, transferrin and
myoglobin) revealed almost the absence of degradation that implies the softness
of the present technique. QDs-assisted LDI-MS offered high sensitivity and high
resolution. QDs showed significant enhancement of microwave-assisted trypsin
digestion of the investigated proteins and these improvements boosted the
identifications of fragments with a database. CONCLUSIONS: A capping agent of
quantum dots affects the analysis of proteins and peptides using LDI-MS. CdTe QDs
offer sensitive, high-resolution and simple analysis of proteins. QDs improved
the protein digestion using the microwave-assisted trypsin digestion. Copyright
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27197034
TI - Mass spectrometric evaluation of neurotransmitter levels in IMR 32 cell line in
response to Ayurvedic medicines.
AB - RATIONALE: Ayurvedic herbal medicines are administered as part of disease
management for many neurodevelopmental disorders like Autism Spectrum Disorder
(ASD) and linked comorbid challenges. The biochemistry of the behavioral
abnormalities as observed in comorbid conditions is already reported to involve
neurotransmitters like gamma-aminobutyric acid (GABA), serotonin (5-HT) and
dopamine (DA). The aim of our study is to evaluate the effect of ayurvedic
medicines on neurotransmitter levels in IMR 32. Such a study will give some
insight into the molecular mechanism of the action of these medicines and help us
to understand their contributions in neurotransmitter homeostasis. METHODS:
Solutions of Brahmi, Brahmi vati, Brahmi ghrita and Saraswata ghrita, each at 50
MUM, were added to differentiated IMR 32 cells and grown for 24 h. The cell
secretion was analysed by ultra-fast liquid chromatography/mass spectrometry
(UFLC/MS) in electrospray ionisation (ESI) mode for the neurotransmitters DA, 5
HT and GABA. The mobile phase selected was 0.1% formic acid with 15 MUg/mL Na2
EDTA (A) and 0.1% formic acid in acetonitrile (B) introduced in the ratio of
92:8. RESULTS: All neurotransmitters under study were eluted within 7 min with
GABA eluting at 3.82 min, 5-HT at 4.48 min and DA at 5.47 min, respectively.
Linearity was excellent with a correlation coefficient (R(2) ) of 0.999;
repeatability and accuracy were also within acceptable range. All herbal drugs
evaluated increased the neurotransmitter levels and Brahmi vati increased the
neurotransmitter levels to a larger extent. CONCLUSIONS: Decreased levels of
neurotransmitters were observed in behavioral abnormalities which were also
observed in children with ASD. Herbal medicines given as part of ayurvedic
medicine increased the neurotransmitter levels in IMR 32. Thus, these ayurvedic
medicines when prescribed to children with ASD might alleviate the abnormal
behavioral symptoms by maintaining neurotransmitter homeostasis. Copyright (c)
2016 John Wiley & Sons, Ltd.
PMID- 27197035
TI - Geographical variability in northern European sheep wool isotopic composition
(delta(13) C, delta(15) N, delta(2) H values).
AB - RATIONALE: Light stable isotopic analysis of herbivore proteinaceous tissues
(hair, muscle, milk) is critical for authenticating the point of origin of
finished agricultural or industrial products in both ancient and modern
economies. This study examined the distribution of light stable isotopes in
herbivores in northern Europe (Iceland to Finland), which is expected to depend
on regional-level environmental inputs (precipitation, temperature) and local
variables (vegetation type, fodder type, soil type). METHODS: Sheep wool was
obtained from animals managed using traditional methods and located across a
gradient of northern European environments. Defatted whole-year samples were
analysed by isotope ratio mass spectrometry (IRMS) for carbon (delta(13) C
values), nitrogen (delta(15) N values) and un-exchangeable hydrogen (delta(2) H
values) isotopic composition. RESULTS: Wool delta(13) C, delta(15) N and delta(2)
H values showed the same correlations to local mean annual precipitation and
temperature as were expected for graze plants. Wool delta(2) H values were
correlated with local modelled meteoric water delta(2) H values, mediated by
plant solid tissue and leaf water fractionations. Cluster analysis distinguished
wool from Sweden and the Baltic region from more western material. Local
variation in vegetation or soil type did not disrupt dependence on climatic
variables but did affect geospatial discrimination. CONCLUSIONS: Wool isotopic
composition in northern Europe is controlled by the effects of local
precipitation and temperature on graze plant inputs, and is only weakly affected
by pasture type. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27197036
TI - Gas-phase ion-molecule reactions for the identification of the sulfone
functionality in protonated analytes in a linear quadrupole ion trap mass
spectrometer.
AB - RATIONALE: The oxidation of sulfur atoms is an important biotransformation
pathway for many sulfur-containing drugs. In order to rapidly identify the
sulfone functionality in drug metabolites, a tandem mass spectrometric method
based on ion-molecule reactions was developed. METHODS: A phosphorus-containing
reagent, trimethyl phosphite (TMP), was allowed to react with protonated analytes
with various functionalities in a linear quadrupole ion trap mass spectrometer.
The reaction products and reaction efficiencies were measured. RESULTS: Only
protonated sulfone model compounds were found to react with TMP to form a
characteristic [TMP adduct-MeOH] product ion. All other protonated compounds
investigated, with functionalities such as sulfoxide, N-oxide, hydroxylamino,
keto, carboxylic acid, and aliphatic and aromatic amino, only react with TMP via
proton transfer and/or addition. The specificity of the reaction was further
demonstrated by using a sulfoxide-containing anti-inflammatory drug, sulindac, as
well as its metabolite sulindac sulfone. CONCLUSIONS: A method based on
functional group-selective ion-molecule reactions in a linear quadrupole ion trap
mass spectrometer has been demonstrated for the identification of the sulfone
functionality in protonated analytes. A characteristic [TMP adduct-MeOH] product
ion was only formed for the protonated sulfone analytes. The applicability of the
TMP reagent in identifying sulfone functionalities in drug metabolites was also
demonstrated. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27197037
TI - Intimacy after prostate cancer: A brief couples' workshop is associated with
improvements in relationship satisfaction.
AB - OBJECTIVE: Prostate cancer (PCa) treatments often leave men with erectile
dysfunction (ED). Even when ED treatments are effective in restoring men's
ability to have an erection sufficient for intercourse, couples continue to
struggle sexually. Effective treatments to help couples recover sexually are
needed. METHOD: PCa patients and partners (N = 59 couples) attending a one-time
couples' intimacy workshop, participated in an evaluation. The workshop, offered
eight times over a 2-year period, emphasized a couples-based approach to
treatment that enhances direct communication about sexuality and implementation
of sexual recovery strategies that are consistent with the couple's values.
Couples completed pre and post questionnaires (at baseline and 2 months later)
assessing the primary outcome of relationship adjustment (Revised Dyadic
Adjustment Scale) and secondary outcome of sexual function (Sexual Function
Questionnaire). T-tests were employed to examine pre-post changes in scores. A
small qualitative sub-study was conducted on the use of a Commitment to Change
goal-setting exercise, completed during the workshop. RESULTS: Results provide
insight into the specific nature of improvements. Patients and partners showed
improvements in relationship satisfaction. Improvements with small-to-medium
effect sizes were observed for patients and partners sexual function; however,
after adjusting for multiple comparisons, these changes were no longer
statistically significant. The specific goals set by couples, and their
achievement status, are presented. CONCLUSIONS: The workshop offers a
comprehensive, one-session intervention to help couples implement a treatment
plan to promote sexual recovery after PCa treatment. Given the observed
improvements, progression to a randomized control trial is warranted. Copyright
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27197038
TI - Right-Sided Laryngeal Mass With Hoarseness.
PMID- 27197044
TI - Do We Know Whether Researchers and Reviewers are Estimating Risk and Benefit
Accurately?
AB - Accurate estimation of risk and benefit is integral to good clinical research
planning, ethical review, and study implementation. Some commentators have argued
that various actors in clinical research systems are prone to biased or arbitrary
risk/benefit estimation. In this commentary, we suggest the evidence supporting
such claims is very limited. Most prior work has imputed risk/benefit beliefs
based on past behavior or goals, rather than directly measuring them. We describe
an approach - forecast analysis - that would enable direct and effective measure
of the quality of risk/benefit estimation. We then consider some objections and
limitations to the forecasting approach.
PMID- 27197039
TI - Volcanic air pollution over the Island of Hawai'i: Emissions, dispersal, and
composition. Association with respiratory symptoms and lung function in Hawai'i
Island school children.
AB - BACKGROUND: Kilauea Volcano on the Island of Hawai'i has erupted continuously
since 1983, releasing approximately 300-12000metrictons per day of sulfur dioxide
(SO2). SO2 interacts with water vapor to produce an acidic haze known locally as
"vog". The combination of wind speed and direction, inversion layer height, and
local terrain lead to heterogeneous and variable distribution of vog over the
island, allowing study of respiratory effects associated with chronic vog
exposure. OBJECTIVES: We characterized the distribution and composition of vog
over the Island of Hawai'i, and tested the hypotheses that chronic vog exposure
(SO2 and acid) is associated with increased asthma prevalence, respiratory
symptoms, and reduced pulmonary function in Hawai'i Island schoolchildren.
METHODS: We compiled data of volcanic emissions, wind speed, and wind direction
over Hawai'i Island since 1992. Community-based researchers then measured 2- to 4
week integrated concentrations of SO2 and fine particulate mass and acidity in 4
exposure zones, from 2002 to 2005, when volcanic SO2 emissions averaged
1600metrictons per day. Concurrently, community researchers recruited
schoolchildren in the 4th and 5th grades of 25 schools in the 4 vog exposure
zones, to assess determinants of lung health, respiratory symptoms, and asthma
prevalence. RESULTS: Environmental data suggested 4 different vog exposure zones
with SO2, PM2.5, and particulate acid concentrations (mean+/-s.d.) as follows: 1)
Low (0.3+/-0.2ppb, 2.5+/-1.2MUg/m(3), 0.6+/-1.1nmolH+/m(3)), 2) Intermittent
(1.6+/-1.8ppb, 2.8+/-1.5MUg/m(3), 4.0+/-6.6nmolH+/m(3)), 3) Frequent (10.1+/
5.2ppb, 4.8+/-1.9MUg/m(3), 4.3+/-6.7nmolH+/m(3)), and 4) Acid (1.2+/-0.4ppb,
7.2+/-2.3MUg/m(3), 25.3+/-17.9nmolH+/m(3)). Participants (1957) in the 4 zones
differed in race, prematurity, maternal smoking during pregnancy, environmental
tobacco smoke exposure, presence of mold in the home, and physician-diagnosed
asthma. Multivariable analysis showed an association between Acid vog exposure
and cough and strongly suggested an association with FEV1/FVC <0.8, but not with
diagnosis of asthma, or chronic persistent wheeze or bronchitis in the last
12months. CONCLUSIONS: Hawai'i Island's volcanic air pollution can be very
acidic, but contains few co-contaminants originating from anthropogenic sources
of air pollution. Chronic exposure to acid vog is associated with increased cough
and possibly with reduced FEV1/FVC, but not with asthma or bronchitis. Further
study is needed to better understand how volcanic air pollution interacts with
host and environmental factors to affect respiratory symptoms, lung function, and
lung growth, and to determine acute effects of episodes of increased emissions.
PMID- 27197046
TI - QuickStats: Age-Adjusted Suicide Rates* for Females and Males, by Method(?) -
National Vital Statistics System, United States, 2000 and 2014.
AB - From 2000 to 2014, the age-adjusted suicide rate increased from 4.0 to 5.8 per
100,000 for females and from 17.7 to 20.7 for males. Suicide rates by specific
method (firearm, poisoning, suffocation, or other methods) also increased, with
the greatest increase seen for suicides by suffocation. During the 15-year
period, the rate of suicide by suffocation more than doubled for females from 0.7
to 1.6 and increased from 3.4 to 5.6 for males. In 2014, among females, suicide
by poisoning had the highest rate (1.9), and among males, suicide by firearm had
the highest rate (11.4).
PMID- 27197047
TI - Influence of a 6-h interruption of agitation on in vitro properties of volume
reduced washed platelets in M-sol additive solution.
PMID- 27197045
TI - Surface modification of nanoparticles enables selective evasion of phagocytic
clearance by distinct macrophage phenotypes.
AB - Nanomedicine is a burgeoning industry but an understanding of the interaction of
nanomaterials with the immune system is critical for clinical translation.
Macrophages play a fundamental role in the immune system by engulfing foreign
particulates such as nanoparticles. When activated, macrophages form distinct
phenotypic populations with unique immune functions, however the mechanism by
which these polarized macrophages react to nanoparticles is unclear. Furthermore,
strategies to selectively evade activated macrophage subpopulations are lacking.
Here we demonstrate that stimulated macrophages possess higher phagocytic
activities and that classically activated (M1) macrophages exhibit greater
phagocytic capacity than alternatively activated (M2) macrophages. We show that
modification of nanoparticles with polyethylene-glycol results in decreased
clearance by all macrophage phenotypes, but importantly, coating nanoparticles
with CD47 preferentially lowers phagocytic activity by the M1 phenotype. These
results suggest that bio-inspired nanoparticle surface design may enable evasion
of specific components of the immune system and provide a rational approach for
developing immune tolerant nanomedicines.
PMID- 27197048
TI - Changes in Childhood Pneumonia Hospitalizations by Race and Sex Associated with
Pneumococcal Conjugate Vaccines.
AB - Introduction of pneumococcal conjugate vaccines in the childhood immunization
schedule was associated with decreases in all-cause pneumonia hospitalizations
among black and white children in Tennessee, USA. Although racial disparities
that existed before introduction of these vaccines have been substantially
reduced, rates remain higher in boys than in girls among young children.
PMID- 27197049
TI - Protonation induces base rotation of purine nucleotides pdGuo and pGuo.
AB - Infrared multiple photon dissociation (IRMPD) action spectra of the protonated
forms of 2'-deoxyguanosine-5'-monophosphate and guanosine-5'-monophosphate,
[pdGuo+H](+) and [pGuo+H](+), are measured over the IR fingerprint and hydrogen
stretching regions using the FELIX free electron laser and an OPO/OPA laser
system. Electronic structure calculations are performed to generate low-energy
conformations of [pdGuo+H](+) and [pGuo+H](+) and determine their relative
stabilities at the B3LYP/6-311+G(2d,2p)//B3LYP/6-311+G(d,p) and MP2(full)/6
311+G(2d,2p)//B3LYP/6-311+G(d,p) levels of theory. Comparative analyses of the
measured IRMPD action spectra and B3LYP/6-311+G(d,p) linear IR spectra computed
for the low-energy conformers are performed to determine the most favorable site
of protonation and the conformers present in the experiments. These comparisons
and the computed energetics find that N7 protonation is considerably preferred
over O6 and N3, and the N7 protonated ground-state conformers of [pdGuo+H](+) and
[pGuo+H](+) are populated in the experiments. The 2'-hydroxyl substituent does
not significantly impact the stable low-energy conformers of [pdGuo+H](+)vs.
those of [pGuo+H](+). The effect of the 2'-hydroxyl substituent is primarily
reflected in the relative intensities of the measured IRMPD bands, as the IRMPD
profiles of [pdGuo+H](+) and [pGuo+H](+) are quite similar. Comparisons to
previous IRMPD spectroscopy investigations of the protonated forms of the guanine
nucleosides, [dGuo+H](+) and [Guo+H](+), and deprotonated forms of the guanine
nucleotides, [pdGuo-H](-) and [pGuo-H](-), provide insight into the effects of
the phosphate moiety and protonation on the conformational features of the
nucleobase and sugar moieties. Protonation is found to induce base rotation of
the guanine residue to an anti orientation vs. the syn orientation found for the
deprotonated forms of the guanine nucleotides.
PMID- 27197050
TI - The Impact of Inappropriate Implantable Cardiac Defibrillator Shocks on
Cardiovascular Morbidity and Mortality.
AB - BACKGROUND: The impact of inappropriate implantable cardiac defibrillator (ICD)
shocks on cardiac outcomes is controversial. Shocks due to lead noise are unique
in that they are not an outcome of worsening rhythm status. In this study, we
compared the outcome of patients with and without inappropriate shocks who
underwent Sprint Fidelis lead (Medtronic Inc., Minneapolis, MN, USA) extraction.
METHODS: We retrospectively identified 147 patients who underwent Sprint Fidelis
lead extraction in our institution between May 2007 and August 2012. The patients
were separated into those with (Group 1) and without (Group 2) inappropriate
shocks due to lead noise. Pertinent data were obtained from chart review.
RESULTS: There were 57 and 90 patients in Groups 1 and 2, respectively. The mean
+/- standard deviation number of inappropriate shocks in Group 1 was 16 +/- 22.
There was no difference in the baseline demographics, risk factors, and cardiac
history between the groups. There were no extraction-related deaths and there was
no difference in the rate of periprocedural complications between the groups. The
mean total hospital length of stay (LOS) was longer for Group 1 versus 2;
however, the mean postprocedure LOS was the same between the groups. During
follow-up, there was no difference in the cardiac readmission rate over a 1-year
period (four vs seven patients in Group 1 vs 2, respectively; P = 0.8). Long-term
follow-up revealed similar mortality rates in both groups. (18 patients in Group
1, and 21 patients in Group 2; P = 0.8). CONCLUSIONS: Inappropriate shocks due to
lead noise do not seem to predispose to a worse clinical outcome after ICD lead
extraction.
PMID- 27197051
TI - Statin-induced liver injury in an area endemic for hepatitis B virus infection:
risk factors and outcome analysis.
AB - AIMS: Statin-induced liver injury (SILI) is quite rare, but may be severe. Little
is known about the impact of chronic hepatitis B infection (CHBI) on SILI. We
aimed to investigate the risk factors and outcome of SILI, with special reference
to its interaction with CHBI. METHODS: Patients with SILI were recruited from our
hospital, and three-to-one drug-matched controls were randomly selected. The
clinical data of the patients were then compared. RESULTS: A total of 108
patients with SILI and 324 controls were enrolled. The patients with SILI were
both older and had a higher statin dose than the controls. There was no
predilection of liver injury associated with the seven available statins. Among
the SILI patients, there was no statistical difference between the baseline and
peak liver enzyme tests, and latency and severity between hepatitis B carriers (n
= 16) and non-carriers (n = 92). High dose of statin and age were the two
independent risk factors of SILI (OR and 95% CI: 1.93, 1.08-3.35, P = 0.025, and
1.73, 1.07-2.80, P = 0.027, respectively). Permanent discontinuation of statin
was noted in 50 (46.3%) patients with SILI due to severe SILI or recurrent
hepatotoxicity after rechallenge of other statins. CONCLUSION: High dose of
statin and old age may increase patient susceptibility to SILI; however, CHBI and
abnormal baseline liver tests are not risk factors of SILI. Nonetheless, SILI is
still worthy of notice, because nearly half of the overt cases discontinued
statin treatment due to severe hepatotoxicity in this study.
PMID- 27197052
TI - Phylogenetic and functional analysis of sequence variation of human
papillomavirus type 31 E6 and E7 oncoproteins.
AB - High-risk human papillomaviruses (HPV) are the causative agents of cervical and
other anogenital cancers as well as a subset of head and neck cancers. The E6 and
E7 oncoproteins of HPV contribute to oncogenesis by associating with the tumour
suppressor protein p53 and pRb, respectively. For HPV types 16 and 18, intratypic
sequence variation was shown to have biological and clinical significance. The
functional significance of sequence variation among HPV 31 variants was studied
less intensively. HPV 31 variants belonging to different variant lineages were
found to have differences in persistence and in the ability to cause high grade
cervical intraepithelial neoplasia. In the present study, we started to explore
the functional effects of natural sequence variation of HPV 31 E6 and E7
oncoproteins. The E6 variants were tested for their effects on p53 protein
stability and transcriptional activity, while the E7 variants were tested for
their effects on pRb protein level and also on the transcriptional activity of
E2F transcription factors. HPV 31 E7 variants displayed uniform effects on pRb
stability and also on the activity of E2F transcription factors. HPV 31 E6
variants had remarkable differences in the ability to inhibit the trans
activation function of p53 but not in the ability to induce the in vivo
degradation of p53. Our results indicate that natural sequence variation of the
HPV 31 E6 protein may be involved in the observed differences in the oncogenic
potential between HPV 31 variants.
PMID- 27197054
TI - Predicting pupylation sites in prokaryotic proteins using semi-supervised self
training support vector machine algorithm.
AB - As one important post-translational modification of prokaryotic proteins,
pupylation plays a key role in regulating various biological processes. The
accurate identification of pupylation sites is crucial for understanding the
underlying mechanisms of pupylation. Although several computational methods have
been developed for the identification of pupylation sites, the prediction
accuracy of them is still unsatisfactory. Here, a novel bioinformatics tool named
IMP-PUP is proposed to improve the prediction of pupylation sites. IMP-PUP is
constructed on the composition of k-spaced amino acid pairs and trained with a
modified semi-supervised self-training support vector machine (SVM) algorithm.
The proposed algorithm iteratively trains a series of support vector machine
classifiers on both annotated and non-annotated pupylated proteins. Computational
results show that IMP-PUP achieves the area under receiver operating
characteristic curves of 0.91, 0.73, and 0.75 on our training set, Tung's testing
set, and our testing set, respectively, which are better than those of the
different error costs SVM algorithm and the original self-training SVM algorithm.
Independent tests also show that IMP-PUP significantly outperforms three other
existing pupylation site predictors: GPS-PUP, iPUP, and pbPUP. Therefore, IMP-PUP
can be a useful tool for accurate prediction of pupylation sites. A MATLAB
software package for IMP-PUP is available at https://juzhe1120.github.io/.
PMID- 27197055
TI - Higher Gemcitabine Dose Was Associated With Better Outcome of Osteosarcoma
Patients Receiving Gemcitabine-Docetaxel Chemotherapy.
AB - BACKGROUND: Efficacy of gemcitabine and docetaxel (GEM + DOC) chemotherapy in
patients with recurrent or refractory osteosarcoma was evaluated. METHODS: Data
of 53 patients from 9 institutions, who received GEM (675 or 900 mg/m(2) on days
1 and 8) and DOC (100 mg/m(2) on day 8), were retrospectively reviewed. RESULTS:
GEM + DOC was administered as adjuvant (n = 25) or palliative chemotherapy (n =
28). Patients received a median 3 courses (range, 1-10 courses). Objective
response rate (CR + PR, where CR is complete response and PR is partial response)
and disease control rate (CR+ PR + SD, where SD is stable disease) were 14.3% and
28.6%, respectively. Disease control rate was higher in patients receiving 900
mg/m(2) GEM than in patients receiving 675 mg/m(2) (50.0% vs. 12.5%, P = 0.03).
Higher GEM dose was associated with better survival, both in adjuvant (1-year
overall survival, 90.9 +/- 8.7% vs. 38.5 +/- 13.5%, P = 0.002) and palliative
settings (50.0 +/- 14.4% vs. 31.3 +/- 11.6%, P = 0.04). CONCLUSIONS: Further
studies are necessary to investigate the efficacy of more aggressive and higher
doses of GEM + DOC chemotherapy in osteosarcoma.
PMID- 27197056
TI - Capecitabine and lapatinib for the first-line treatment of metastatic/recurrent
head and neck squamous cell carcinoma.
AB - BACKGROUND: The combination of cisplatin, 5-fluorouracil, and cetuximab is a
standard treatment for patients with recurrent/metastatic head and neck cancer,
with a high rate of toxicity. Identifying less toxic, equally effective regimens
is imperative. Therefore, in the current study, the authors investigated first
line treatment with an all-oral regimen of capecitabine and lapatinib. METHODS:
Patients were required to have incurable head and neck cancer of any primary site
other than the nasopharynx, an Eastern Cooperative Oncology Group performance
status (ECOG PS) of 0 to 2, and no prior exposure to capecitabine or lapatinib.
Subjects were treated with capecitabine at a dose of 1000 mg/m(2) twice daily and
lapatinib at a dose of 1250 mg daily. Capecitabine was administered for 14 days
of each 21-day cycle for 4 cycles. Lapatinib was administered daily until disease
progression. The primary outcome was overall survival. RESULTS: A total of 44
subjects were accrued between November 13, 2009 and April 29, 2014. Approximately
38.6% of the sample had an ECOG PS of 0, 52.3% had an ECOG PS of 1, and 9.1% had
an ECOG PS of 2. Approximately 81.8% were male and the median age of the patients
was 62 years. Prior attempts at curative treatment with chemotherapy had been
used in 68.2% of patients (platinum was used in 55.8%). There was no grade 5
toxicity noted (toxicity was graded according to National Cancer Institute Common
Terminology Criteria for Adverse Events [version 3.0]). The most common adverse
events were diarrhea (18.2% of patients with grade 3) and rash (13.6% of patients
with grade 3). The primary objective was met; the median overall survival was
10.7 months (90% confidence interval [90% CI], 8.7-12.9 months). The overall
response rate was 25% (90% CI, 15%-38%). The median progression-free survival was
4.2 months (90% CI, 3.6-5.1 months). The results were not substantially different
when subdivided by p16 status. Only 2 patients were positive for human epidermal
growth factor receptor 2 by immunohistochemistry. CONCLUSIONS: The current study
met its primary objective of survival comparable to the combination of cisplatin,
5-FU and cetuximab regimen, and the toxicity of this all-oral regimen was
tolerable. Cancer 2016;122:2350-2355. (c) 2016 American Cancer Society.
PMID- 27197057
TI - Applying the Critical Speed Concept to Racing Strategy and Interval Training
Prescription.
AB - The use of personal records (PRs) for running different distances may be used to
derive critical speed (CS) and the finite capacity for running speeds exceeding
CS (D'). Using CS and D', individualized speed-time and distance-time
relationships can be modeled (ie, time limits associated with running at a given
speed or a given distance can be derived via linear regression with a high degree
of accuracy). The running 3-min all-out exercise test (3 MT) has emerged as a
method for estimating CS and D' on a large group of athletes in a single visit.
Such a procedure is useful when PRs are not readily available (eg, team-sport
athletes). This article reviews how to administer and interpret the running 3 MT,
how CS and D' can inform racing strategy, and how CS and D' can be used to
prescribe and evaluate high-intensity interval training (HIIT). Directions for
deriving HIIT bouts using either fixed distances or fixed speeds are provided
along with CS dose-responses to short-term HIIT programs.
PMID- 27197058
TI - Electromembrane extraction of tartrazine from food samples: Effects of nano
sorbents on membrane performance.
AB - In the present study, for the first time electromembrane extraction followed by
high-performance liquid chromatography coupled with ultraviolet detection was
developed and validated for the determination of tartrazine in some food samples.
The parameters influencing electromembrane extraction were evaluated and
optimized. The membrane consists of 1-octanol immobilized in the pores of a
hollow fiber. As a driving force, a 30 V electrical field was applied to make the
analyte migrate from sample solution with pH 3, through the supported liquid
membrane into an acceptor solution with pH 10. Best preconcentration (enrichment
factor >21) was obtained in extraction duration of 15 min. Effects of some solid
nano-sorbents like carbon nanotubes and molecularly imprinted polymers on
membrane performance and electromembrane extraction efficiency were evaluated.
The method provided the linearity in the range 25-1000 ng/mL for tartrazine (R(2)
> 0.9996) with repeatability range (RSD) between 3.8 and 8.5% (n = 3). The limits
of detection and quantitation were 7.5 and 25 ng/mL, respectively. Finally, the
method was applied to the determination and quantification of tartrazine from
some food samples with relative recoveries in the range between 90 and 98%.
PMID- 27197053
TI - The role of evolutionary biology in research and control of liver flukes in
Southeast Asia.
AB - Stimulated largely by the availability of new technology, biomedical research at
the molecular-level and chemical-based control approaches arguably dominate the
field of infectious diseases. Along with this, the proximate view of disease
etiology predominates to the exclusion of the ultimate, evolutionary biology
based, causation perspective. Yet, historically and up to today, research in
evolutionary biology has provided much of the foundation for understanding the
mechanisms underlying disease transmission dynamics, virulence, and the design of
effective integrated control strategies. Here we review the state of knowledge
regarding the biology of Asian liver Fluke-host relationship, parasitology,
phylodynamics, drug-based interventions and liver Fluke-related cancer etiology
from an evolutionary biology perspective. We consider how evolutionary
principles, mechanisms and research methods could help refine our understanding
of clinical disease associated with infection by Liver Flukes as well as their
transmission dynamics. We identify a series of questions for an evolutionary
biology research agenda for the liver Fluke that should contribute to an
increased understanding of liver Fluke-associated diseases. Finally, we describe
an integrative evolutionary medicine approach to liver Fluke prevention and
control highlighting the need to better contextualize interventions within a
broader human health and sustainable development framework.
PMID- 27197059
TI - Tumor reactive ringlet oxygen approach for Monte Carlo modeling of photodynamic
therapy dosimetry.
AB - Photodynamic therapy (PDT) is an emergent technique used for the treatment of
several diseases. It requires the interaction of three components: a
photosensitizer, a light source and tissue oxygen. Knowledge of the biophysical
aspects of PDT is important for improving dosimetry protocols and treatment
planning. In this paper we propose a model to simulate the spatial and temporal
distribution of ground state oxygen ((3)O2), cumulative singlet excited state
oxygen ((1)O2)rx and photosensitizer, in this case protoporphyrin IX (PpIX) in an
ALA mediated PDT treatment. The results are analyzed in order to improve the
treatment dosimetry. We compute the light fluence in the tissue using Monte Carlo
simulations running in a GPU system. The concentration of (3)O2, ((1)O2)rx and
the photosensitizer are calculated using this light fluence and a set of
differential equations describing the photochemical reactions involved in PDT. In
the model the initial photosensitizer concentration depends on tissue depth and
type, moreover we consider blood vessel damage and its effect in the ground state
oxygen concentration in the tissue. We introduce the tumor reactive single oxygen
(TRSO) as a new dosimetry metric. It represents the amount of singlet oxygen per
tumor volume that reacts, during the treatment, with the molecules in the tumor.
This quantity integrates the effect of the light irradiance, the optical
properties of the tumor and the normal tissue, the oxygen consumption and supply,
and the photosensitizer biodistribution on the skin.
PMID- 27197060
TI - Synthesis, characterization, molecular docking and biological studies of self
assembled transition metal dithiocarbamates of substituted pyrrole-2
carboxaldehyde.
AB - A series of self assembled 3d transition metal dithiocarbamate, M(pdtc) [where
M=Mn(II), Fe(II), Co(II), Ni(II) and Cu(II)] have been synthesized and
spectroscopically characterized. The bidentate dithiocarbamate ligand Na2pdtc
(Disodium-1,4-phenyldiaminobis (pyrrole-1-sulfino)dithioate) was prepared by
insertion reaction of carbondisulfide with Schiff base, N,N'-bis-(1H-pyrrol-2
ylmethylene)-benzene-1,4-diamine (L1) in basic medium. The simple substitution
reaction between the metal halide and Na2pdtc yielded the title complexes in
moderate yields. However, the in situ procedure gives high yield with the
formation of single product as evident by TLC. Elemental analysis, IR, (1)H and
(13)C NMR spectra, UV-vis., magnetic susceptibility and conductance measurements
were done to characterize the complexes, M(pdtc). All the evidences suggest that
the complexes have tetrahedral geometry excepting Cu(II) which is found to be
square planar. A symmetrical bidentate coordination of the dithiocarbamato moiety
has been observed in all the complexes. The conductivity data show that the
complexes are non-electrolyte in nature. The anti-oxidant activity of the ligand,
Na2pdtc and its transition metal complexes, M(pdtc) have been carried out using
DPPH and Cu(pdtc) was found to be most effective. The anti-microbial activity of
the Na2pdtc and M(pdtc) complexes have been carried out and on this basis the
molecular docking study of the most effective complex, Cu(pdtc) has also been
reported.
PMID- 27197061
TI - Pretreatment Immune Status Correlates with Progression-Free Survival in
Chemotherapy-Treated Metastatic Colorectal Cancer Patients.
AB - It remains unclear whether the immunologic status of cells in peripheral blood
can be used as a prognostic indicator of response to treatment for patients with
unresectable metastatic colorectal cancer (MCRC). We therefore investigated the
relationship between the pretreatment immunologic status of 40 patients with MCRC
who planned to receive the first-line chemotherapy and their progression-free
survival. Twenty-five immune cell subsets, including monocytic myeloid-derived
suppressor cells (M-MDSC) and effector memory T cells (TEM), were measured by
multicolor-flow cytometry. We divided patients into high and low (above and below
the median, respectively) groups based on the median value for each immune cell
subset and compared progression-free survival of the two groups. Patients with
high M-MDSC, low CD4(+) TEM, or low CD8(+) TEM quantities had significantly
shorter progression-free survival (P = 0.004, 0.005, and 0.002, respectively).
Patients were classified into two prognostic groups based on numbers of adverse
factors; having two or three adverse factors (n = 21, 52.5%) was correlated with
significantly shorter progression-free survival compared with none or one (n =
19, 47.5%; P < 0.001). The presence of two or three adverse factors was an
independent poor prognostic factor for progression-free survival (HR, 9.2; 95%
confidence interval, 2.5-34.2; P < 0.001). These results provide evidence that
pretreatment peripheral immune status can inform the outcome of patients with
MCRC treated with first-line chemotherapy. Cancer Immunol Res; 4(7); 592-9.
(c)2016 AACR.
PMID- 27197062
TI - Immune-Derived PD-L1 Gene Expression Defines a Subgroup of Stage II/III
Colorectal Cancer Patients with Favorable Prognosis Who May Be Harmed by Adjuvant
Chemotherapy.
AB - A recent phase II study of patients with metastatic colorectal carcinoma showed
that mismatch repair gene status was predictive of clinical response to PD-1
targeting immune checkpoint blockade. Further examination revealed strong
correlation between PD-L1 protein expression and microsatellite instability (MSI)
in stage IV colorectal carcinoma, suggesting that the amount of PD-L1 protein
expression could identify late-stage patients who might benefit from
immunotherapy. To assess whether the clinical associations between PD-L1 gene
expression and MSI identified in metastatic colorectal carcinoma are also present
in stage II/III colorectal carcinoma, we used in silico analysis to elucidate the
cell types expressing the PD-L1 gene. We found a statistically significant
association of PD-L1 gene expression with MSI in early-stage colorectal carcinoma
(P < 0.001) and show that, unlike in non-colorectal carcinoma tumors, PD-L1 is
derived predominantly from the immune infiltrate. We demonstrate that PD-L1 gene
expression has positive prognostic value in the adjuvant disease setting (PD
L1(low) vs. PD-L1(high) HR = 9.09; CI, 2.11-39.10). PD-L1 gene expression had
predictive value, as patients with high PD-L1 expression appear to be harmed by
standard-of-care treatment (HR = 4.95; CI, 1.10-22.35). Building on the promising
results from the metastatic colorectal carcinoma PD-1-targeting trial, we provide
compelling evidence that patients with PD-L1(high)/MSI/immune(high) stage II/III
colorectal carcinoma should not receive standard chemotherapy. This conclusion
supports the rationale to clinically evaluate this patient subgroup for PD-1
blockade treatment. Cancer Immunol Res; 4(7); 582-91. (c)2016 AACR.
PMID- 27197063
TI - Prolonged Benefit from Ipilimumab Correlates with Improved Outcomes from
Subsequent Pembrolizumab.
AB - Patients with metastatic melanoma whose disease progresses on ipilimumab can
clearly derive benefit from subsequent anti-programmed death-1 (PD-1). However,
patients experience heterogeneous outcomes with ipilimumab, including rapid or
delayed progression, and it is unclear whether patterns of ipilimumab progression
influence subsequent clinical responses to anti-PD-1. We retrospectively reviewed
data from 116 patients with metastatic melanoma who progressed on ipilimumab and
were subsequently treated with pembrolizumab. The study objectives were to
determine whether progression-free survival (PFS) with ipilimumab was associated
with PFS, objective response rate (ORR), and clinical benefit rate (CBR; ORR +
stable disease) with pembrolizumab. Patients with PFS >=90 days after treatment
with ipilimumab generally had superior outcomes with subsequent pembrolizumab
treatment compared with patients with PFS <90 days (ORR, 49% vs. 35%, P = 0.12;
CBR, 66% vs. 46%, P = 0.03). Patients with prolonged ipilimumab benefit (PFS >=
180 days) had excellent outcomes with pembrolizumab compared with rapid
progressors (PFS < 45 days; ORR, 55% vs. 25%; CBR, 80% vs. 25%; median PFS, 249
vs. 50 days). Using logistic regression models, PFS with ipilimumab was
independently correlated with response to pembrolizumab (odds ratio, 1.22; 95%
CI, 1.02-1.51). This study shows that prolonged PFS with ipilimumab predicts
excellent outcomes with subsequent pembrolizumab treatment, offering valuable
prognostic information for clinicians. Cancer Immunol Res; 4(7); 569-73. (c)2016
AACR.
PMID- 27197065
TI - Expanded and Activated Natural Killer Cells for Immunotherapy of Hepatocellular
Carcinoma.
AB - Viral infection of the liver is a major risk factor for hepatocellular carcinoma
(HCC). Natural killer (NK) cells recognize virally infected and oncogenically
transformed cells, suggesting a therapeutic role for NK-cell infusions in HCC.
Using the K562-mb15-41BBL cell line as a stimulus, we obtained large numbers of
activated NK cells from the peripheral blood of healthy donors. Expanded NK cells
exerted remarkably high cytotoxicity against HCC cell lines, which was generally
much higher than that of unstimulated or IL2-activated NK cells. In
immunodeficient NOD/scid IL2RGnull mice engrafted with Hep3B, treatment with
expanded NK cells markedly reduced tumor growth and improved overall survival.
HCC cells exposed for 48 hours to 5 MUmol/L of sorafenib, a kinase inhibitor
currently used for HCC treatment, remained highly sensitive to expanded NK cells.
HCC cell reductions of 39.2% to 53.8% caused by sorafenib in three cell lines
further increased to 80.5% to 87.6% after 4 hours of culture with NK cells at a
1:1 effector-to-target ratio. NK-cell cytotoxicity persisted even in the presence
of sorafenib. We found that NKG2D, an NK-cell-activating receptor, was an
important mediator of anti-HCC activity. We therefore enhanced its signaling
capacity with a chimeric NKG2D-CD3zeta-DAP10 receptor. This considerably
increased the anti-HCC cytotoxicity of expanded NK cells in vitro and in
immunodeficient mice. The NK expansion and activation method applied in this
study has been adapted to clinical-grade conditions. Hence, these results warrant
clinical testing of expanded NK-cell infusions in patients with HCC, possibly
after genetic modification with NKG2D-CD3zeta-DAP10. Cancer Immunol Res; 4(7);
574-81. (c)2016 AACR.
PMID- 27197064
TI - Antitumor Efficacy of Anti-GD2 IgG1 Is Enhanced by Fc Glyco-Engineering.
AB - The affinity of therapeutic antibodies for Fcgamma receptors (FcgammaRs) strongly
influences their antitumor potency. To generate antibodies with optimal binding
and immunologic efficacy, we compared the affinities of different versions of an
IgG1 Fc region that had an altered peptide backbone, altered glycans, or both. To
produce IgG1 with glycans that lacked alpha1,6-fucose, we used CHO cells that
were deficient in the enzyme UDP-N-acetylglucosamine: alpha-3-d-mannoside-beta
1,2-N-acetylglucosaminyltransferase I (GnT1), encoded by the MGAT1 gene. Mature N
linked glycans require this enzyme, and without it, CHO cells synthesize
antibodies carrying only Man5-GlcNAc2, which were more effective in antibody
dependent cell-mediated cytotoxicity (ADCC). Our engineered IgG1, hu3F8-IgG1, is
specific for GD2, a neuroendocrine tumor ganglioside. Its peptide mutant is IgG1
DEL (S239D/I332E/A330L), both produced in wild-type CHO cells. When produced in
GnT1-deficient CHO cells, we refer to them as IgG1n and IgG1n-DEL, respectively.
Affinities for human FcgammaRs were measured using Biacore T-100 (on CD16 and
CD32 polymorphic alleles), their immunologic properties compared for ADCC and
complement-mediated cytotoxicity (CMC) in vitro, and pharmacokinetics and
antitumor effects were compared in vivo in humanized mice. IgG1n and IgG1n-DEL
contained only mannose and acetylglucosamine and had preferential affinity for
activating CD16s, over inhibitory CD32B, receptors. In vivo, the antitumor
effects of IgG1, IgG1-DEL, and IgG1n-DEL were similar but modest, whereas IgG1n
was significantly more effective (P < 0.05). Thus, IgG1n antibodies produced in
GnT1-deficient CHO cells may have potential as improved anticancer therapeutics.
Cancer Immunol Res; 4(7); 631-8. (c)2016 AACR.
PMID- 27197067
TI - Molecular Drivers of the Non-T-cell-Inflamed Tumor Microenvironment in Urothelial
Bladder Cancer.
AB - Muscle-invasive urothelial bladder cancer is a common malignancy with poor
outcomes for which immune checkpoint blockade is now showing promise. Despite
clinical activity of PD-1/PD-L1-targeted therapy in this disease, most patients
do not benefit and resistance mechanisms remain unknown. The non-T-cell-inflamed
tumor microenvironment correlates with poor prognosis and resistance to
immunotherapies. In this study, we determined tumor-oncogenic pathways
correlating with T-cell exclusion. We first establish in this report that T-cell
inflamed bladder tumors can be identified by immune gene expression profiling
with concordance with CD8(+) T-cell infiltration. Upregulation of genes encoding
immune checkpoint proteins PD-L1, IDO, FOXP3, TIM3, and LAG3 was associated with
T-cell-inflamed tumors, suggesting potential for sensitivity to checkpoint
blockade. beta-Catenin, PPAR-gamma, and FGFR3 pathways were activated in non-T
cell-inflamed tumors. No difference was seen in overall somatic mutational
density between groups. The three pathways identified represent targetable
potential pathways of tumor-intrinsic immunotherapy resistance. Cancer Immunol
Res; 4(7); 563-8. (c)2016 AACR.
PMID- 27197066
TI - Immunogenic Subtypes of Breast Cancer Delineated by Gene Classifiers of Immune
Responsiveness.
AB - The abundance and functional orientation of tumor-infiltrating lymphocytes in
breast cancer is associated with distant metastasis-free survival, yet how this
association is influenced by tumor phenotypic heterogeneity is poorly understood.
Here, a bioinformatics approach defined tumor biologic attributes that influence
this association and delineated tumor subtypes that may differ in their ability
to sustain durable antitumor immune responses. A large database of breast tumor
expression profiles and associated clinical data was compiled, from which the
ability of phenotypic markers to significantly influence the prognostic
performance of a classification model that incorporates immune cell-specific gene
signatures was ascertained. Markers of cell proliferation and intrinsic molecular
subtype reproducibly distinguished two breast cancer subtypes that we refer to as
immune benefit-enabled (IBE) and immune benefit-disabled (IBD). The IBE tumors,
comprised mostly of highly proliferative tumors of the basal-like, HER2-enriched,
and luminal B subtypes, could be stratified by the immune classifier into
significantly different prognostic groups, while IBD tumors could not, indicating
the potential for productive engagement of metastasis-protective immunity in IBE
tumors, but not in IBD tumors. The prognostic stratification in IBE was
independent of conventional variables. Gene network analysis predicted the
activation of TNFalpha/IFNgamma signaling pathways in IBE tumors and the
activation of the transforming growth factor-beta pathway in IBD tumors. This
prediction supports a model in which breast tumors can be distinguished on the
basis of their potential for metastasis-protective immune responsiveness. Whether
IBE and IBD represent clinically relevant contexts for evaluating sensitivity to
immunotherapeutic agents warrants further investigation. Cancer Immunol Res;
4(7); 600-10. (c)2016 AACR.
PMID- 27197069
TI - Fasting for haemostasis in children with gastrointestinal bleeding.
AB - BACKGROUND: Gastrointestinal bleeding refers to loss of blood from any site of
the digestive tract. In paediatric clinical practice, it is usually a complaint
of children attending the emergency department as a symptom of diseases such as
ulcers, gastric or oesophageal varices, gastritis, Mallory-Weiss tears, anorectal
fissures, allergic colitis, infectious colitis, intussusception, Henoch-Schonlein
purpura, and Meckel's diverticulum; it also occurs with high incidence in
critically ill children hospitalised in intensive care units and is caused by
stress-induced gastropathy. No matter what the cause of gastrointestinal
bleeding, fasting is believed to be necessary due to the fear that eating may
affect haemostasis or aggravate bleeding. OBJECTIVES: To assess the effects and
safety of fasting for haemostasis in gastrointestinal bleeding in children.
SEARCH METHODS: We searched EBM Reviews - the Cochrane Central Register of
Controlled Trials (CENTRAL) (May 2016), Ovid MEDLINE(R) (1946 to 3 May 2016),
EMBASE (1980 to 2016 Week 18), Chinese Biomedical Database (CBM) (1978 to 3 May
2016), China National Knowledge Infrastructure (CNKI) (1979 to 3 May 2016), VIP
Database (1989 to 4 May 2016) and Wanfang Data (1990 to 4 May 2016). We used no
restrictions on language or study setting and limited searches in CNKI and
Wanfang Data to the medical field. SELECTION CRITERIA: Randomised controlled
trials (RCTs) or quasi-RCTs in children with gastrointestinal bleeding that
compared fasting with feeding. DATA COLLECTION AND ANALYSIS: Two review authors
independently screened the literature search results, and there were no
disagreements. MAIN RESULTS: We identified no RCTs or quasi-RCTs that compared
the effects and safety of fasting with feeding for haemostasis in children with
gastrointestinal bleeding. No study fulfilled the criteria for considering
studies for our review. AUTHORS' CONCLUSIONS: There is currently no information
available from RCTs or quasi-RCTs to support or refute the use of fasting for
haemostasis in children with gastrointestinal bleeding.
PMID- 27197068
TI - Preserved Activity of CD20-Specific Chimeric Antigen Receptor-Expressing T Cells
in the Presence of Rituximab.
AB - CD20 is an attractive immunotherapy target for B-cell non-Hodgkin lymphomas, and
adoptive transfer of T cells genetically modified to express a chimeric antigen
receptor (CAR) targeting CD20 is a promising strategy. A theoretical limitation
is that residual serum rituximab might block CAR binding to CD20 and thereby
impede T cell-mediated anti-lymphoma responses. The activity of CD20 CAR-modified
T cells in the presence of various concentrations of rituximab was tested in
vitro and in vivo CAR-binding sites on CD20(+) tumor cells were blocked by
rituximab in a dose-dependent fashion, although at 37 degrees C blockade was
incomplete at concentrations up to 200 MUg/mL. T cells with CD20 CARs also
exhibited modest dose-dependent reductions in cytokine secretion and
cytotoxicity, but not proliferation, against lymphoma cell lines. At rituximab
concentrations of 100 MUg/mL, CAR T cells retained >=50% of baseline activity
against targets with high CD20 expression, but were more strongly inhibited when
target cells expressed low CD20. In a murine xenograft model using a rituximab
refractory lymphoma cell line, rituximab did not impair CAR T-cell activity, and
tumors were eradicated in >85% of mice. Clinical residual rituximab serum
concentrations were measured in 103 lymphoma patients after rituximab therapy,
with the median level found to be only 38 MUg/mL (interquartile range, 19-72
MUg/mL). Thus, despite modest functional impairment in vitro, the in vivo
activity of CD20-targeted CAR T cells remains intact at clinically relevant
levels of rituximab, making use of these T cells clinically feasible. Cancer
Immunol Res; 4(6); 509-19. (c)2016 AACR
PMID- 27197070
TI - Impact of Genetic and Epigenetic Variations Within the FADS Cluster on the
Composition and Metabolism of Polyunsaturated Fatty Acids in Prostate Cancer.
AB - BACKGROUND: In vitro and experimental animal studies have demonstrated that high
levels of omega-6 (n-6) polyunsaturated fatty acids (PUFAs) and high ratios of n
6 to omega-3 (n-3) PUFAs are strongly associated with the development and
progression of prostate cancer (PCA). However, epidemiological studies in humans
have demonstrated inconsistent findings linking dietary PUFAs and PCA risk. We
hypothesize that genetic and epigenetic variations within the fatty acid
desaturase (FADS) gene cluster produce gene-diet interactions that may explain
these disparate findings. This study tested the relationship of the genotype of a
single nucleotide polymorphism, rs174537, and the methylation status of a CpG
site, cg27386326, with PUFA composition, and markers of PUFA biosynthesis in PCA
tissue. METHODS: Sixty PCA specimens from patients undergoing radical
prostatectomy were genotyped, pyrosequenced and quantitated for fatty acids
(FAs). RESULTS: Long-chain (LC)-PUFAs, such as arachidonic acid (ARA), were
abundant in these specimens, with ARA accounting for 15.8% of total FAs. In
addition, there was a positive association of the G allele at rs174537 with
concentrations of ARA and adrenic acid and ratios of products to precursors
within the n-6 PUFA pathway such that specimens from homozygous G individuals
exhibited increasingly higher values as compared to specimens from heterozygous
individuals and homozygous T individuals. Finally, the methylation status of
cg27386326 was inversely correlated with tissue concentrations of LC-PUFAs and
markers of LC-PUFA biosynthesis. CONCLUSIONS: These data reveal that genetic and
epigenetic variations within the FADS cluster are highly associated with LC-PUFA
concentrations and LC-PUFA biosynthetic capacity in PCA tissue. They also raise
the potential that gene-PUFA interactions play an important role in PCA risk and
severity. Prostate 76:1182-1191, 2016. (c) 2016 The Authors. The Prostate
published by Wiley Periodicals, Inc.
PMID- 27197072
TI - Visual Impairment and Blindness in Adults in the United States: Demographic and
Geographic Variations From 2015 to 2050.
AB - IMPORTANCE: The number of individuals with visual impairment (VI) and blindness
is increasing in the United States and around the globe as a result of shifting
demographics and aging populations. Tracking the number and characteristics of
individuals with VI and blindness is especially important given the negative
effect of these conditions on physical and mental health. OBJECTIVES: To
determine the demographic and geographic variations in VI and blindness in adults
in the US population in 2015 and to estimate the projected prevalence through
2050. DESIGN, SETTING, AND PARTICIPANTS: In this population-based, cross
sectional study, data were pooled from adults 40 years and older from 6 major
population-based studies on VI and blindness in the United States. Prevalence of
VI and blindness were reported by age, sex, race/ethnicity, and per capita
prevalence by state using the US Census projections (January 1, 2015, through
December 31, 2050). MAIN OUTCOMES AND MEASURES: Prevalence of VI and blindness.
RESULTS: In 2015, a total of 1.02 million people were blind, and approximately
3.22 million people in the United States had VI (best-corrected visual acuity in
the better-seeing eye), whereas up to 8.2 million people had VI due to
uncorrected refractive error. By 2050, the numbers of these conditions are
projected to double to approximately 2.01 million people with blindness, 6.95
million people with VI, and 16.4 million with VI due to uncorrected refractive
error. The highest numbers of these conditions in 2015 were among non-Hispanic
white individuals (2.28 million), women (1.84 million), and older adults (1.61
million), and these groups will remain the most affected through 2050. However,
African American individuals experience the highest prevalence of visual
impairment and blindness. By 2050, the highest prevalence of VI among minorities
will shift from African American individuals (15.2% in 2015 to 16.3% in 2050) to
Hispanic individuals (9.9% in 2015 to 20.3% in 2050). From 2015 to 2050, the
states projected to have the highest per capita prevalence of VI are Florida
(2.56% in 2015 to 3.98% in 2050) and Hawaii (2.35% in 2015 and 3.93% in 2050),
and the states projected to have the highest projected per capita prevalence of
blindness are Mississippi (0.83% in 2015 to 1.25% in 2050) and Louisiana (0.79%
in 2015 to 1.20% in 2050). CONCLUSIONS AND RELEVANCE: These data suggest that
vision screening for refractive error and early eye disease may reduce or prevent
a high proportion of individuals from experiencing unnecessary vision loss and
blindness, decrease associated costs to the US economy for medical services and
lost productivity, and contribute to better quality of life. Targeted education
and screening programs for non-Hispanic white women and minorities should become
increasingly important because of the projected growth of these populations and
their relative contribution to the overall numbers of these conditions.
PMID- 27197073
TI - Multisensory integration in hemianopia and unilateral spatial neglect: Evidence
from the sound induced flash illusion.
AB - Recent neuropsychological evidence suggests that acquired brain lesions can, in
some instances, abolish the ability to integrate inputs from different sensory
modalities, disrupting multisensory perception. We explored the ability to
perceive multisensory events, in particular the integrity of audio-visual
processing in the temporal domain, in brain-damaged patients with visual field
defects (VFD), or with unilateral spatial neglect (USN), by assessing their
sensitivity to the 'Sound-Induced Flash Illusion' (SIFI). The study yielded two
key findings. Firstly, the 'fission' illusion (namely, seeing multiple flashes
when a single flash is paired with multiple sounds) is reduced in both left- and
right-brain-damaged patients with VFD, but not in right-brain-damaged patients
with left USN. The disruption of the fission illusion is proportional to the
extent of the occipital damage. Secondly, a reliable 'fusion' illusion (namely,
seeing less flashes when a single sound is paired with multiple flashes) is
evoked in USN patients, but neither in VFD patients nor in healthy participants.
A control experiment showed that the fusion, but not the fission, illusion is
lost in older participants (>50 year-old), as compared with younger healthy
participants (<30 year-old). This evidence indicates that the fission and fusion
illusions are dissociable multisensory phenomena, altered differently by
impairments of visual perception (i.e. VFD) and spatial attention (i.e. USN). The
occipital cortex represents a key cortical site for binding auditory and visual
stimuli in the SIFI, while damage to right-hemisphere areas mediating spatial
attention and awareness does not prevent the integration of audio-visual inputs
in the temporal domain.
PMID- 27197074
TI - Diagnostic potential of plasma microRNA signatures in patients with deep-vein
thrombosis.
AB - For excluding deep-vein thrombosis (DVT), a negative D-dimer and low clinical
probability are used to rule out DVT. Circulating microRNAs (miRNAs) are stably
present in the plasma, serum and other body fluids. Their diagnostic function has
been investigated in many diseases but not in DVT. The aims of present study were
to assess the diagnostic ability of plasma miRNAs in DVT and to examine their
correlation with known markers of hypercoagulability, such as D-dimer and APC-PCI
complex. Plasma samples were obtained from 238 patients (aged 16-95 years) with
suspected DVT included in a prospective multicentre management study (SCORE). We
first performed miRNA screening of plasma samples from three plasma pools
containing plasma from 12 patients with DVT and three plasma pools containing
plasma from 12 patients without DVT using a microRNA Ready-to-use PCR Panel
comprising 742 miRNA primer sets. Thirteen miRNAs that differentially expressed
were further investigated by quantitative real-time (qRT)-PCR in the entire
cohort. The plasma level of miR-424-5p (p=0.01) were significantly higher,
whereas the levels of miR-136-5p (p=0.03) were significantly lower in DVT
patients compared to patients without DVT. Receiver-operating characteristic
curve analysis showed the area under the curve (AUC) values of 0.63 for miR-424
5p and 0.60 for miR-136-5p. The plasma level of miR-424-5p was associated with
both D-dimer and APC-PCI complex levels (p<0.0001 and p=0.001, respectively). In
conclusions, these findings indicate that certain miRNAs are associated with DVT
and markers of hypercoagulability, though their diagnostic abilities are probably
too low.
PMID- 27197075
TI - C1-inhibitor efficiently delays clot development in normal human whole blood and
inhibits Escherichia coli-induced coagulation measured by thromboelastometry.
AB - INTRODUCTION: C1-inhibitor (C1-INH), a serine protease inhibitor in plasma plays
a central role in the cross-talk among the complement, coagulation, fibrinolytic
and kallikrein-kinin systems. However, previous reports indicate thrombotic risks
in children following supraphysiological dosing with C1-INH. OBJECTIVE: To
investigate the role of supraphysiological C1-INH concentrations in clot
development with and without addition of Escherichia coli (E. coli) in fresh
human whole blood using thromboelastometry. MATERIALS AND METHODS: Blood was
collected in citrate tubes, and C1-INH (3.0 to 47.6MUM) or human serum albumin
(HSA) was added as a control. Activated partial thromboplastin time (aPTT) was
analysed in the plasma. The analyses non-activated thromboelastometry (NATEM),
extrinsic (EXTEM) or intrinsic thromboelastometry (INTEM) were performed using
rotational thromboelastometry. RESULTS: C1-INH increased aPTT 1.8-fold (p< 0.05),
whereas HSA had no effect. C1-INH increased NATEM clotting time (CT) from 789s to
2025 s (p< 0.05) in a dose-dependent manner. C1-INH reduced the NATEM alpha angle
from 47 to 28 degrees (p<0.05) and increased the NATEM clot formation time from
261s to 595s (p< 0.05). E. coli significantly reduced the NATEM CT after 120min
of incubation. C1-INH prevented E. coli-induced activation (p< 0.05). C1-INH
significantly increased the INTEM CT (p< 0.05), but had no effect on EXTEM CT. C1
INH (47.6MUM) significantly reduced fibrinolysis measured as NATEM and EXTEM
lysis indices LI60. CONCLUSIONS: Supraphysiological C1-INH concentrations have
dose-dependent anticoagulant effects in human whole blood in vitro. At very high
levels C1-INH also inhibits fibrinolysis.
PMID- 27197071
TI - Disorders of lysosomal acidification-The emerging role of v-ATPase in aging and
neurodegenerative disease.
AB - Autophagy and endocytosis deliver unneeded cellular materials to lysosomes for
degradation. Beyond processing cellular waste, lysosomes release metabolites and
ions that serve signaling and nutrient sensing roles, linking the functions of
the lysosome to various pathways for intracellular metabolism and nutrient
homeostasis. Each of these lysosomal behaviors is influenced by the intraluminal
pH of the lysosome, which is maintained in the low acidic range by a proton pump,
the vacuolar ATPase (v-ATPase). New reports implicate altered v-ATPase activity
and lysosomal pH dysregulation in cellular aging, longevity, and adult-onset
neurodegenerative diseases, including forms of Parkinson disease and Alzheimer
disease. Genetic defects of subunits composing the v-ATPase or v-ATPase-related
proteins occur in an increasingly recognized group of familial neurodegenerative
diseases. Here, we review the expanding roles of the v-ATPase complex as a
platform regulating lysosomal hydrolysis and cellular homeostasis. We discuss the
unique vulnerability of neurons to persistent low level lysosomal dysfunction and
review recent clinical and experimental studies that link dysfunction of the v
ATPase complex to neurodegenerative diseases across the age spectrum.
PMID- 27197078
TI - Erratum, Vol. 13, February 25 Release.
AB - [This corrects the article DOI: 10.5888/pcd13.150383.].
PMID- 27197076
TI - Zinc Fingers and Homeoboxes 2 (Zhx2) Regulates Sexually Dimorphic Cyp Gene
Expression in the Adult Mouse Liver.
AB - The mammalian cytochrome P450 (Cyp) gene family encodes a large number of
structurally related enzymes that catalyze a variety of metabolic and
detoxification reactions. The liver is the primary site of Cyp expression in
terms of expression levels and number of expressed genes, consistent with this
organ's essential role in metabolism of endogenous and xenobiotic compounds. Many
Cyp genes exhibit sexually dimorphic expression. For example, Cyp2a4 is expressed
significantly higher in the adult liver of female mice compared to male mice. An
exception to this pattern is seen in BALB/cJ mice, where male hepatic Cyp2a4 mRNA
levels are substantially elevated compared to male mice of other strains. The
Zinc fingers and homeoboxes 2 (Zhx2) protein governs the silencing of several
genes in the postnatal liver, including alpha-fetoprotein, H19, and glypican 3.
Zhx2 also regulates numerous hepatic genes that govern lipid homeostasis. We
previously showed that the Zhx2 gene is mutated in BALB/cJ mice, which led us to
consider whether elevated male hepatic Cyp2a4 levels in this strain are due to
this Zhx2 mutation. Using mice with a conditional Zhx2 deletion, we show here
that the absence of Zhx2 in hepatocytes results in increased Cyp2a4 expression in
adult male liver. We extend this finding to show that additional Cyp genes are
disregulated in the absence of Zhx2. We also show that mRNA levels of Cyp2a4 and
several other female-biased Cyp genes are increased, and male-biased Cyp4a12 is
decreased in mouse liver tumors. These data indicate that Zhx2 is a novel
regulator of sex-biased Cyp gene expression in the normal and diseased liver.
PMID- 27197077
TI - Refined modelling of the short-T2 signal component and ensuing detection of
glutamate and glutamine in short-TE, localised, (1) H MR spectra of human glioma
measured at 3 T.
AB - Short-TE (1) H MRS has great potential for brain cancer diagnostics. A major
difficulty in the analysis of the spectra is the contribution from short-T2
signal components, mainly coming from mobile lipids. This complicates the
accurate estimation of the spectral parameters of the resonance lines from
metabolites, so that a qualitative to semi-quantitative interpretation of the
spectra dominates in practice. One solution to overcome this difficulty is to
measure and estimate the short-T2 signal component and to subtract it from the
total signal, thus leaving only the metabolite signals. The technique works well
when applied to spectra obtained from healthy individuals, but requires some
optimisation during data acquisition. In the clinical setting, time constraints
hardly allow this. Here, we propose an iterative estimation of the short-T2
signal component, acquired in a single acquisition after measurement of the full
spectrum. The method is based on QUEST (quantitation based on quantum estimation)
and allows the refinement of the estimate of the short-T2 signal component after
measurement. Thus, acquisition protocols used on healthy volunteers can also be
used on patients without further optimisation. The aim is to improve metabolite
detection and, ultimately, to enable the estimation of the glutamine and
glutamate signals distinctly. These two metabolites are of great interest in the
characterisation of brain cancer, gliomas in particular. When applied to spectra
from healthy volunteers, the new algorithm yields similar results to QUEST and
direct subtraction of the short-T2 signal component. With patients, up to 12
metabolites and, at least, seven can be quantified in each individual brain
tumour spectrum, depending on the metabolic state of the tumour. The refinement
of the short-T2 signal component significantly improves the fitting procedure and
produces a separate short-T2 signal component that can be used for the analysis
of mobile lipid resonances. Thus, in brain tumour spectra, distinct estimates of
signals from glutamate and glutamine are possible. Copyright (c) 2016 John Wiley
& Sons, Ltd.
PMID- 27197079
TI - Snacking on Television: A Content Analysis of Adolescents' Favorite Shows.
AB - INTRODUCTION: Snacking is a complex behavior that may be influenced by
entertainment media. Research suggests that snacking and unhealthy foods are
commonly shown in programming that targets young audiences, but shows selected
for study have been limited. We conducted a content analysis on shows that were
named as favorites by adolescents to characterize portrayals of snacking on
popular television. METHODS: A diverse sample of 2,130 adolescents (mean age,
14.3 y) listed 3 favorite television shows in a 2010 school-based survey. Three
episodes each of the 25 most popular shows were coded for food-related content,
including healthfulness, portion size, screen time use, setting, and social
context. We also analyzed the characteristics of characters involved in eating
incidents, the show type, and the show rating. We used chi(2) tests, binomial
tests, and multilevel regression models to compare incidence of snacks versus
meals, the characteristics of those involved, and snacking across show
characteristics. RESULTS: Almost half of food incidents on television shows were
snacks. Snacks were significantly more likely than meals to be "mostly unhealthy"
(69.3% vs 22.6%, P < .001) and were more likely to include screen time use (25.0%
of snacking incidents vs 4.0% of meals, P < .001). Young characters and those
coded as being of low socioeconomic status or overweight were overrepresented in
snacking incidents. Sitcoms and shows rated for a youth audience were
significantly more likely to portray snacking than were shows for adult
audiences. CONCLUSION: Media awareness and literacy programs should include foods
and snacking behaviors among the issues they address. More healthful portrayals
of food and dietary intake in entertainment shows' content would create a
healthier media environment for youth.
PMID- 27197081
TI - A Qualitative Study of Vape Shop Operators' Perceptions of Risks and Benefits of
E-Cigarette Use and Attitude Toward Their Potential Regulation by the US Food and
Drug Administration, Florida, Georgia, South Carolina, or North Carolina, 2015.
AB - INTRODUCTION: Approximately 8,500 vape shops in the United States sell a variety
of electronic nicotine delivery systems (ENDS). This study examined vape shop
operators' perceptions of benefits and risk of ENDS use, what they perceive to be
the reasons for ENDS use, their source of product information, what information
they shared with customers, and the impact of existing and future regulation of
ENDS on its use and on their business. METHODS: We conducted qualitative
interviews with 20 vape shop operators located in Florida, Georgia, South
Carolina, and North Carolina in spring 2015. A semi-structured interview guide
was used, and interviews were audio-recorded and transcribed verbatim. The
transcripts were analyzed using NVIVO software. RESULT: Vape shop owners
perceived ENDS to be less harmful and more economical than conventional
cigarettes and indicated that most of their customers used ENDS as a smoking
cessation tool. Most owners were former smokers and used ENDS to quit. Shop
owners relied on their personal experiences and the Internet for information, and
shared information with customers at point of sale by using the shop's website
and social media. Most expressed concern that complying with potential
regulations, including banning flavors or tax increases, would jeopardize their
business. Some felt that ENDS should not be regulated as tobacco products and
felt that big tobacco was behind these proposed regulations. Most owners
supported age restrictions and quality controls for e-liquid. CONCLUSION: Vape
shop owners are in a unique position to serve as frontline consumer educators.
Interventions should focus on providing them with current information on benefits
and risks of ENDS and information on national, state, and local regulations and
compliance requirements.
PMID- 27197082
TI - HNA diversity in six subgroups of Orang Asli in Peninsular Malaysia.
PMID- 27197080
TI - Who Would Pay for State Alcohol Tax Increases in the United States?
AB - INTRODUCTION: Despite strong evidence that increasing alcohol taxes reduces
alcohol-related harm, state alcohol taxes have declined in real terms during the
past 3 decades. Opponents of tax increases argue that they are unfair to
"responsible" drinkers and those who are financially disadvantaged. The
objectives of this study were to assess the impact of hypothetical state alcohol
tax increases on the cost of alcohol for adults in the United States on the basis
of alcohol consumption and sociodemographic characteristics. METHODS: The
increased net cost of alcohol (ie, product plus tax) from a series of
hypothetical state alcohol tax increases was modeled for all 50 states using data
from the 2011 Behavioral Risk Factor Surveillance System, IMPACT Databank, and
the Alcohol Policy Information System. Costs were assessed by drinking pattern
(excessive vs nonexcessive) and by sociodemographic characteristics. RESULTS:
Among states, excessive drinkers would pay 4.8 to 6.8 times as much as
nonexcessive drinkers on a per capita basis and would pay at least 72% of
aggregate costs. For nonexcessive drinkers, the annual cost from even the largest
hypothetical tax increase ($0.25 per drink) would average less than $10.00.
Drinkers with higher household incomes and non-Hispanic white drinkers would pay
higher per capita costs than people with lower incomes and racial/ethnic
minorities. CONCLUSION: State-specific tax increases would cost more for
excessive drinkers, those with higher incomes, and non-Hispanic whites. Costs to
nonexcessive drinkers would be modest. Findings are relevant to developing
evidence-based public health practice for a leading preventable cause of death.
PMID- 27197083
TI - Cryoablation with an 8-mm-Tip Catheter for Right-Sided Accessory Pathways in
Children.
AB - BACKGROUND: Cryoablation is increasingly utilized in children because of its
safety profile. Recently, larger catheter tips have been more widely used to
improve long-term success rates. The aim of this study was to assess the safety
and efficacy of 8-mm-tip catheters for cryoablation of right-sided accessory
pathways (APs) in children. METHODS: Electrophysiological procedures were
performed using the EnSiteTM system (St. Jude Medical Inc., St. Paul, MN, USA).
RESULTS: Between July 2010 and July 2014, 54 patients (mean age: 13.1 +/- 3.7
years) underwent cryoablation using an 8-mm-tip catheter. In 18 of 54 (33%)
patients where an 8-mm-tip catheter was the first-choice catheter, the success
rate was 18 of 18 (100%). There was a history of previous failed attempts or
recurrence with radiofrequency ablation and/or 6-mm-tip cryoablation in 36 of 54
(67%) patients. The success rate in these patients was 24 of 36 (67%). No
fluoroscopy was used in 34 of 54 procedures. The recurrence rate was six of 42
(14%) during a mean follow-up period of 32 +/- 15 months. In one patient,
transient atrioventricular block occurred. CONCLUSIONS: Cryoablation with an 8-mm
tip catheter for right-sided APs in children who weigh over 40 kg appears to be
safe and acutely effective in cases where conventional ablation methods fail and
also as a first choice for ablation procedure. However, the recurrence rate still
seems to be high.
PMID- 27197084
TI - Enhanced summer warming reduces fungal decomposer diversity and litter mass loss
more strongly in dry than in wet tundra.
AB - Many Arctic regions are currently experiencing substantial summer and winter
climate changes. Litter decomposition is a fundamental component of ecosystem
carbon and nutrient cycles, with fungi being among the primary decomposers. To
assess the impacts of seasonal climatic changes on litter fungal communities and
their functioning, Betula glandulosa leaf litter was surface-incubated in two
adjacent low Arctic sites with contrasting soil moisture regimes: dry shrub heath
and wet sedge tundra at Disko Island, Greenland. At both sites, we investigated
the impacts of factorial combinations of enhanced summer warming (using open-top
chambers; OTCs) and deepened snow (using snow fences) on surface litter mass
loss, chemistry and fungal decomposer communities after approximately 1 year.
Enhanced summer warming significantly restricted litter mass loss by 32% in the
dry and 17% in the wet site. Litter moisture content was significantly reduced by
summer warming in the dry, but not in the wet site. Likewise, fungal total
abundance and diversity were reduced by OTC warming at the dry site, while
comparatively modest warming effects were observed in the wet site. These results
suggest that increased evapotranspiration in the OTC plots lowered litter
moisture content to the point where fungal decomposition activities became
inhibited. In contrast, snow addition enhanced fungal abundance in both sites but
did not significantly affect litter mass loss rates. Across sites, control plots
only shared 15% of their fungal phylotypes, suggesting strong local controls on
fungal decomposer community composition. Nevertheless, fungal community
functioning (litter decomposition) was negatively affected by warming in both
sites. We conclude that although buried soil organic matter decomposition is
widely expected to increase with future summer warming, surface litter decay and
nutrient turnover rates in both xeric and relatively moist tundra are likely to
be significantly restricted by the evaporative drying associated with warmer air
temperatures.
PMID- 27197085
TI - Comparative study of disability-free life expectancy across six low- and middle
income countries.
AB - AIM: There is a knowledge gap about the disability-free life expectancy (DFLE) in
low- and middle-income countries. The present study aimed to compute and compare
DFLE in six such countries, and examine sex differences in DFLE in each country.
METHODS: Based on data from the World Health Organization Study on Global Aging
and Adult Health wave 1 survey, we used the Sullivan method to estimate DFLE
among persons aged years 50 years and older. Disability was divided into moderate
disability and severe disability during the calculation. RESULTS: Of the six
countries, China had the highest DFLE and lowest expected average lifetime with
disability. India had the lowest DFLE and highest life years with moderate and
severe disability. In each country, women live longer than men, but with more
disabilities in both absolute and proportional terms. The huge sex difference in
Russia requires special attention. In addition, most of the life expectancy lived
with disability was spent with severe disability, rather than moderate
disability. CONCLUSIONS: The study has shed some light on the disparities across
the six countries with regard to DFLE at old ages. The low percentage of DFLE in
life expectancy in some countries, such as India, calls for effective policies on
healthy aging. The "sex disability-survival paradox" in DFLE is supported by our
results. To differentiate the severity of disability should be routine in
calculating DFLE. Geriatr Gerontol Int 2017; 17: 637-644.
PMID- 27197086
TI - Nanoscopic voltage distribution of operating cascade laser devices in cryogenic
temperature.
AB - A nanoscopic exploratory measurement technique to measure voltage distribution
across an operating semiconductor device in cryogenic temperature has been
developed and established. The cross-section surface of the terahertz (THz)
quantum cascade laser (QCL) has been measured that resolves the voltage
distribution at nanometer scales. The electric field dissemination across the
active region of the device has been attained under the device's lasing
conditions at cryogenic temperature of 77 K.
PMID- 27197088
TI - A simple approach for bioactive surface calibration using evanescent waves.
AB - When investigating the interaction of cells with surfaces, it is becoming
increasingly important to perform quantitative measurements of surface protein
density to understand reaction kinetics. Previously, to calibrate a surface for
an experiment one would have to use a radiometric assay or strip the surface with
acid and perform a mass quantification. Although both of these methodologies have
been proven to be effective measurement techniques for surface quantification,
they can be time consuming and require substantial amounts of material. The
latter is particularly problematic when working with specialized molecules or
constructs that may be expensive to produce and/or only available in small
quantities. Here we present a simple method to measure the intensity and
penetration depth of an evanescent wave, and use this information to quantify the
density of surface molecules in a microscopic region of a transparent surface.
PMID- 27197087
TI - Enamel alteration following tooth bleaching and remineralization.
AB - The purpose of this study was to compare the effects of professional tooth
whitening agents containing highly concentrated hydrogen peroxide (with and
without laser activation), on the enamel surface; and the potential of four
different toothpastes to remineralize any alterations. The study was performed on
50 human molars, divided in two groups: treated with Opalescence((r)) Boost and
Mirawhite((r)) Laser Bleaching. Furthermore, each group was divided into five
subgroups, a control one and 4 subgroups remineralized with: Mirasensitive((r))
hap+, Mirawhite((r)) Gellee, GC Tooth MousseTM and Mirafluor((r)) C. The samples
were analysed by SEM/3D-SEM-micrographs, SEM/EDX-qualitative analysis and SEM/EDX
semiquantitative analysis. The microphotographs show that both types of bleaching
cause alterations: emphasized perikymata, erosions, loss of interprizmatic
substance; the laser treatment is more aggressive and loss of integrity of the
enamel is determined by shearing off the enamel rods. In all samples undergoing
remineralization deposits were observed, those of toothpastes based on calcium
phosphate technologies seem to merge with each other and cover almost the entire
surface of the enamel. Loss of integrity and minerals were detected only in the
line-scans of the sample remineralized with GC Tooth MousseTM. The
semiquantitative EDX analysis of individual elements in the surface layer of the
enamel indicates that during tooth-bleaching with HP statistically significant
loss of Na and Mg occurs, whereas the bleaching in combination with a laser leads
to statistically significant loss of Ca and P. The results undoubtedly confirm
that teeth whitening procedures lead to enamel alterations. In this context, it
must be noted that laser bleaching is more aggressive for dental substances.
However, these changes are reversible and can be repaired by application of
remineralization toothpastes.
PMID- 27197089
TI - Non-injection synthesis of monodisperse Cu-Fe-S nanocrystals and their size
dependent properties.
AB - It is demonstrated that ternary Cu-Fe-S nanocrystals differing in composition
(from Cu-rich to Fe-rich), structure (chalcopyrite or high bornite) and size can
be obtained from a mixture of CuCl, FeCl3, thiourea and oleic acid (OA) in
oleylamine (OLA) using the heating up procedure. This new preparation method
yields the smallest Cu-Fe-S nanocrystals ever reported to date (1.5 nm for the
high bornite structure and 2.7 nm for the chalcopyrite structure). A comparative
study of nanocrystals of the same composition (Cu1.6Fe1.0S2.0) but different in
size (2.7 nm and 9.3 nm) revealed a pronounced quantum confinement effect,
confirmed by three different techniques: UV-vis spectroscopy, cyclic voltammetry
and Mossbauer spectroscopy. The optical band gap increased from 0.60 eV in the
bulk material to 0.69 eV in the nanocrystals of 9.3 nm size and to 1.39 eV in
nanocrystals of 2.7 nm size. The same trend was observed in the electrochemical
band gaps, derived from cyclic voltammetry studies (band gaps of 0.74 eV and 1.54
eV). The quantum effect was also manifested in Mossbauer spectroscopy by an
abrupt change in the spectrum from a quadrupole doublet to a Zeeman sextet below
10 K, which could be interpreted in terms of the well defined energy states in
these nanoparticles, resulting from quantum confinement. The Mossbauer
spectroscopic data confirmed, in addition to the results of XPS spectroscopy, the
co-existence of Fe(iii) and Fe(ii) in the synthesized nanocrystals. The organic
shell composition was investigated by NMR (after dissolution of the inorganic
core) and IR spectroscopy. Both methods identified oleylamine (OLA) and 1
octadecene (ODE) as surfacial ligands, the latter being formed in situ via an
elimination-hydrogenation reaction occurring between OLA and the nanocrystal
surface.
PMID- 27197090
TI - Cumulative Training Dose's Effects on Interrelationships Between Common Training
Load Models During Basketball Activity.
AB - PURPOSE: The influence of various factors on training-load (TL) responses in
basketball has received limited attention. This study aimed to examine the
temporal changes and influence of cumulative training dose on TL responses and
interrelationships during basketball activity. METHODS: Ten state-level
Australian male junior basketball players completed 4 * 10-min standardized bouts
of simulated basketball activity using a circuit-based protocol. Internal TL was
quantified using the session rating of perceived exertion (sRPE), summated heart
rate zones (SHRZ), Banister training impulse (TRIMP), and Lucia TRIMP models.
External TL was assessed via measurement of mean sprint and circuit speeds.
Temporal TL comparisons were performed between 10-min bouts, while Pearson
correlation analyses were conducted across cumulative training doses (0-10, 0-20,
0-30, and 0-40 min). RESULTS: sRPE TL increased (P < .05) after the first 10-min
bout of basketball activity. sRPE TL was only significantly related to Lucia
TRIMP (r = .66-.69; P < .05) across 0-10 and 0-20 min. Similarly, mean sprint and
circuit speed were significantly correlated across 0-20 min (r = .67; P < .05).
In contrast, SHRZ and Banister TRIMP were significantly related across all
training doses (r = .84-.89; P < .05). CONCLUSIONS: Limited convergence exists
between common TL approaches across basketball training doses lasting beyond 20
min. Thus, the interchangeability of commonly used internal and external TL
approaches appears dose-dependent during basketball activity, with various
psychophysiological mediators likely underpinning temporal changes.
PMID- 27197091
TI - A Budget Proposal for China's Public Long-Term Care Policy.
AB - Long-term care (LTC) policy is at an experimental stage in China, characterized
by various regional pilot programs. The public cost of LTC is difficult to
estimate due to a lack of clarity about policy detail from the central
government. This article analyzes the current disabled status for vulnerable
older people without sufficient financial resources and family supports. It
focuses on estimating a safety net public subsidy policy for LTC services in
China, both for today and into the future, using China Health and Retirement
Longitudinal Survey (CHARLS) data, 2011 wave, with the methods of multinomial
logistic regression and simulation. The key contribution is to estimate the
future disability trend and LTC public cost based on changes in education,
population ageing, and urbanization. Disability prevalence might be decreasing
partly due to higher education, urbanization, and better health care, and the
overall public LTC costs might be growing by the results of projection.
PMID- 27197092
TI - Examining local processes when applying a cumulative impact policy to address
harms of alcohol outlet density.
AB - One approach to addressing the negative health and social harms of excessive
drinking has been to attempt to limit alcohol availability in areas of high
outlet density. The Licensing Act (2003) enables English local authorities the
power to implement a Cumulative Impact Policy (CIP) in order to tackle alcohol
challenges. More than 100 English local authorities have implemented a CIP in one
or more designated areas. We examined local licence decision-making in the
context of implementing CIPs. Specifically, we explored the activities involved
in alcohol licensing in one London local authority in order to explicate how
local decision-making processes regarding alcohol outlet density occur.
Institutional ethnographic research revealed that CIPs were contested on multiple
grounds within the statutory licensing process of a local authority with this
policy in place. CIPs are an example of multi-level governance in which national
and local interests, legal powers and alcohol licensing priorities interface.
Public health priorities can be advanced in the delivery of CIPs, but those
priorities can at times be diluted by those of other stakeholders, both public
sector and commercial.
PMID- 27197093
TI - Hepatocellular carcinoma: How can we move from racial/ethnic disparities to a
model of health equity?
PMID- 27197094
TI - Cutting-Edge Techniques for Mycotoxin Analysis.
PMID- 27197095
TI - [Where internists work?].
AB - For health institutions, knowing the fate of human resources within their
classrooms is an extremely complicated task. Within the Instituto Mexicano del
Seguro Social, where most specialists are trained in our country, with a clear
orientation towards the pursuit of excellence and satisfaction of their needs
human resources for health in Mexico is provided, hence the need to identify the
professional profile and career destination of its graduates, which will be
useful in the training of human resources for health.
PMID- 27197096
TI - [Threshold value of f/Vt index for predicting successful weaning from mechanical
ventilation in active smokers].
AB - BACKGROUND: Mechanical ventilation (MV) is used in 60-70 % of patients admitted
to the intensive care unit (ICU). An f/Vt threshold value of 105 is a predictor
of successful weaning from mechanical ventilation (WMV) in patients with asthma,
cardiac surgery, pneumonia, sepsis, neurocritical, etc. However, there are no
reports about the usefulness of the f/Vt value to predict successful WMV in
patients with active smoking (AS). The purpose of this paper is to identify a
threshold value for f/Vt to predict successful WMV in patients with AS. METHODS:
Prospectively, 85 patients with AS and MV >24 hours admitted to de ICU were
included. Demographic and clinical data were registered. The f/Vt value was
measured with a Wright's spirometer, and the sensibility, specificity, positive
predictive value (PPV) and negative predictive value (NPV) were calculated to
predict a successful WMV. A p value <0.05 was considered statistically
significant. RESULTS: The average of f/Vt was 68.69. Successful WMV was of 75.3
%. An f/Vt threshold value of 79.5 had sensibility of 76 %, specificity of 61 %,
PPV of 85 %, and NPV of 46 % to predict successful WMV in this group of patients.
CONCLUSIONS: An f/Vt threshold value of 79.5 is useful to predict successful WMV
in patients with AS.
PMID- 27197097
TI - [Chronic low back pain and associated risk factors, in patients with social
security medical attention: A case-control study].
AB - BACKGROUND: Chronic low back pain (CLBP) is frequently seen in the orthopedic
outpatient consultation. The aim of this paper is to identify risk factors
associated with CLBP in patients cared for during the year 2012, at a General
Hospital belonging to Instituto Mexicano del Seguro Social, in Yucatan, Mexico.
METHODS: Data of 95 patients with CLBP (cases) was compared with data of 190
patients without CLBP (controls) using a binary logistic model (BLM), from which
odd ratios (OR) and 95 % confidence intervals (95 % CI) were obtained. RESULTS:
School level, body mass index (BMI) as a continuous variable, story of heavy
weight lifting, some types of comorbidities and dyslipidemia, were identified as
statistically significant in the bivariate analysis (p <= 0.05 each). In a second
step, secondary school level (OR 0.25, 95 % CI: 0.08-0.81), dyslipidemia (OR
0.26, 95 % CI: 0.12-0.56), heavy weights lifting (OR 0.22, 95 % CI: 0.12-0.42),
and BMI (OR 1.22, 95 % CI: 1.12-1.32) were all identified by the BLM as
statistically significant. CONCLUSIONS: In this sample, secondary school level,
dislipidemia and heavy weights lifting reduced the risk of CLBP, while the BMI
increased the risk.
PMID- 27197098
TI - [Depression prevalence among end stage renal disease patients in maintenance
hemodialysis].
AB - BACKGROUND: Depression is a common morbidity in end- stage kidney disease (ESKD)
patients and impacts negatively on treatment outcomes. This study aimed to assess
the prevalence of depression among Mexican ESKD patients in maintenance
hemodialysis (MHD) and to evaluate the relationship with elapsed time (< 1 year
or >= 1 year) since the beginning of therapy. METHODS: A cross-sectional study
took place in a urban hospital from the Mexican Institute of Social Security and
81 subjects aged 30 - 69 years old were enrolled. Beck depression inventory (BDI)
was applied and a stratified analysis was made. RESULTS: The overall prevalence
of depression (>= 16 points, BDI) was 42.0 % and 35.6 % and 50.0 % in the group
with < 1 and >= 1 year on treatment respectively (p = 0.191). CONCLUSIONS: Our
findings suggest that depression prevalence is high among adult patients
undergoing MHD and it seems to be independent from elapsed time since the
beginning of therapy.
PMID- 27197099
TI - [Infective endocarditis. 5-years experience in a third-level reference center in
Yucatan, Mexico].
AB - BACKGROUND: Infective endocarditis is a disease with high morbidity and
mortality. The clinical characteristics differ among populations. Therefore it is
important to know the characteristics of the disease in our region. METHODS: This
is an observational study that included all patients diagnosed with infective
endocarditis from 1 January 2009 until 31 December 2014. The data are showed as
frequencies and percentages altogether with medians with interquartile range.
RESULTS: 10 cases were included. The median age was 34 years (IQR 26-41). Several
risk factors were identified and included: previous valvular heart disease,
patients with chronic kidney disease who have had a vascular access and previous
history of immunological disease. The native mitral valve was the most affected.
The size of vegetations had a median length of 14 mm (IQR 9.3-16). Streptococcus
alpha hemolytic was the most common organism. In-hospital mortality rate was 10
%. CONCLUSIONS: The behavior of the disease is similar to other national series.
We identify risk factors that could be related to the type of morbidities in the
region.
PMID- 27197100
TI - [Diet and polymer standard vs. standard in the nutritional status of elderly
patients with fragility].
AB - BACKGROUND: The elderly patients with fragility show different physiological
changes, so they are given polymeric diets to maintain and/or alter their
nutritional status. The aim of this paper is to demonstrate the effect of
changing a standard polymeric diet and a standard diet on nutritional status in
elderly patients with fragility. METHODS: Clinical randomized controlled trial in
23 elderly patients, 70 years of age or older, with fragility, hospitalized in a
Internal Medicine Unity in a period from July to December 2014. Nutritional
status was determined through Minimum Nutritional Consulting (MNA) and body mass
index (BMI). Descriptive statistics, Chi square, Student t test and McNemar.
RESULTS: In the G1: 12 patients were in the G2 and 11, a positive change was
observed in the nutritional status G1 initially being 14.00 (malnutrition) and
end 22.75 (risk of malnutrition). According to BMI (kg/m2) was evident at the
beginning 25 % of patients (3) with mild malnutrition, but the final results show
figures with a zero percentage of malnourished patients. CONCLUSIONS: The use of
standard polymeric diet increases body weight, BMI and nutritional status in
elderly patients with fragility.
PMID- 27197101
TI - [End stage renal disease lymphopenia; characterization and clinical correlation].
AB - BACKGROUND: Patients with End Stage Renal Disease (ESRD), defined as those in
Stage 5 of the Kidney Disease Outcome Quality Initiative (KDOQI) classification,
have a number of acquired immune deficiencies secondary to the uremic stage,
among them lymphopenia. In the present report, we retrospectively characterized
the peripheral blood lymphocyte counts in a group of patients with ESRD and we
related lymphopenia to their biochemical parameters and to the presence or
absence of infections. METHODS: Medical records from 190 patients in ESRD were
selected from 282 medical records of patients being treated between February 2008
and November 2012 for kidney failure at different stages. A number of variables,
including lymphocyte counts, biochemical parameters and infections, were analyzed
at two different time points: Before and during dialysis. RESULTS: ESRD patients
analyzed had a well defined peripheral blood hematological pattern, characterized
by severe chronic anemia, normal or elevated leukocyte count and normal or below
normal lymphocyte count. The degree of hematological changes correlated with the
depth of renal dysfunction and improved with dialysis along with the improvement
of urea and creatinine values. CONCLUSIONS: Lymphopenia was present in around
half ESRD patients and was associated with increased infections, but they were of
the same type as those present in ESRD patients without lymphopenia. Infections
were different as those commonly associated with other immune deficiency
lymphopenias. The implications of these findings are discussed.
PMID- 27197102
TI - [Verapamil in conjunction with pressure therapy in the treatment of pathologic
scar due burn injury].
AB - BACKGROUND: Keloids and hypertrophic scars are dermal fibro-proliferative
disorders unique to humans. Their treatment is a true challenge with multiple
options, but not all the time with good results. Unfortunately this problem is
not uncommon in patients with history of burn injury. The aim of this article is
to evaluate the use of verapamil and pressure garments in patients with
hypertrophic or keloid scar caused by burn injury. METHODS: We included patients
with a hypertrophic or keloid scar caused by burn injury candidate to treatment
with pressure garment. The pathologic scars were evaluated by serial photographic
records, Vancouver and Posas scales. The scales of Vancouver and Posas were
compared with t Student. RESULTS: We included 13 scars in 11 patients. Four scars
were located in the legs, 4 in the arms, 4 in the face-neck and 1 in the abdomen.
The dose of verapamil was calculated .03mg per kg. Injections were scheduled
every 7 to 10 days until complete 6 sessions. Taking in count Posas scale,
patients referred improvement in pigmentation (.01), thickness (.005), pliability
(.01) and surface area (.004). In the Vancouver scale the observers mentioned
improvement in elevation (.008), pigmentation (.014), vascularity (.022),
flexibility (.014) and pruritus (.003). No adverse effects were found in
verapamil injection. CONCLUSIONS: Verapamil was useful in conjunction with
pressure garment to improve the condition of the keloid and hypertrophic scar
caused by burn.
PMID- 27197103
TI - [Treatment of interdigital foot Erythrasma with ozonated olive oil].
AB - BACKGROUND: Erythrasma is caused by Corinebacterium minutissimum producing a
porphyrin that with Wood's light emits a coral-red fluorescence. It is the most
common bacterial infection of the feet. Ozonated olive oil decreases the
cytoplasm and damages bacterial proteins and lipids. Treatment is with oral
erythromycin and there is no consensus regarding the topical therapy of choice.
The aim of this paper is to evaluate the therapeutic efficacy of ozonated olive
oil in a pilot trial for Erythrasma. METHODS: Experimental, open, observational,
descriptive, longitudinal clinical trial at the section of Mycology, of the
General Hospital "Dr. Manuel Gea Gonzalez". PATIENTS: 10 individuals with
interdigital feet Erythrasma. INTERVENTION: ozonated olive oil every 12 hours for
10 days was given. RESULTS: All patients had disappearance of coral-red
fluorescence, erythema, fissures, pruritus, and maceration; two patients
persisted with scaling. A cure was obtained in 100 % of patients, similar to oral
erythromycin response. CONCLUSIONS: Ozonated olive oil is a good topical
treatment option for interdigital Erythrasma avoiding oral medications. Larger
studies are required.
PMID- 27197104
TI - [Antibibiotic resistance by nosocomial infections' causal agents].
AB - BACKGROUND: The antibibiotic resistance by nosocomial infections (NI) causal
agents constitutes a seriously global problematic that involves the Mexican
Institute of Social Security's Regional General Hospital 1 in Chihuahua, Mexico;
although with special features that required to be specified and evaluated, in
order to concrete an effective therapy. METHODS: Observational, descriptive and
prospective study; by means of active vigilance all along 2014 in order to detect
the nosocomial infections, for epidemiologic study, culture and antibiogram to
identify its causal agents and antibiotics resistance and sensitivity. RESULTS:
Among 13527 hospital discharges, 1079 displayed NI (8 %), standed out: the
related on vascular lines, of surgical site, pneumonia and urinal track; they
added up two thirds of the total. We carried out culture and antibiogram about
300 of them (27.8 %); identifying 31 bacterian species, mainly seven of those
(77.9 %): Escherichia coli, Staphylococcus aureus and epidermidis, Pseudomonas
aeruginosa, Acinetobacter baumannii, Klebsiella pneumoniae and Enterobacter
cloacae; showing multiresistance to 34 tested antibiotics, except in seven with
low or without resistance at all: vancomycin, teicoplanin, linezolid,
quinupristin-dalfopristin, piperacilin-tazobactam, amikacin and carbapenems.
CONCLUSIONS: When we contrasted those results with the recommendations in the
clinical practice guides, it aroused several contradictions; so they must be
taken with reserves and has to be tested in each hospital, by means of cultures
and antibiograms in practically every case of nosocomial infection.
PMID- 27197105
TI - [Lower extremity amputation rates in diabetic patients].
AB - BACKGROUND: The lower extremity amputations diminish the quality of life of
patients with Diabetes Mellitus (DM). The aim of this study was to describe the
lower extremity amputation rates in subjects with DM in the Mexican Social
Security Institute (IMSS), comparing 2004 and 2013. METHODS: A comparative cross
sectional study was done. Amputations were identified from the hospital records
of System of Medical Statistics (DataMart). The DM patient census was obtained
from the System of Integral Attention to Health. Major and minor amputations
rates were expressed per 100,000 DM patients. RESULTS: We observed 2 334 340 and
3 416 643 DM patients during 2004 and 2013, respectively. The average age at the
time of the amputation was similar in 2004 and 2013 (61.7 and 65.6 years old for
minor and major amputations respectively). The major amputations rates were 100.9
and 111.1 per 100 000 subjects with DM in during 2004 and 2013 (p = 0.001); while
minor amputations rates were 168.8 and 162.5 per 100 000 subjects with DM in
during 2004 and 2013 respectively (p = 0.069). CONCLUSIONS: The lower extremity
amputations rates at IMSS are very high compared with that reported in developed
countries. The major amputations rate increased in 2013 compared with 2004.
PMID- 27197107
TI - [Evolution of the type 2 diabetes mellitus epidemia in insured population at the
IMSS].
AB - BACKGROUND: The global increase in type 2 diabetes mellitus (T2DM) is requiering
higher demands in health institutions; It is a global emergency because of
overspending that threaten social security, and kidney complications and
cardiovascular damage, which affects millions enfermos.1 The aim of this work is
to characterize the epidemic of T2DM with metabolic syndrome and define the
institutional response containment-control universal educational interventions
healthy lifestyles to prevent premature death and disability from ischemic heart
disease (IHD), cerebrovascular disease (CVD), end stage renal disease ( IRT),
blindness and amputations. METHODS: A review of the years 1990-2013:
Epidemiological Bulletin; consultation services: Family Medicine, Specialties,
Emergency, Hospitalization, and prevention studies estimate of expenditure and
institutional savings. RESULTS: 48 % increase incidence, prevalence increased
from 7 to 10.6 %, and mortality from 35.6 to 77.2 per 100 000 inhabitants.
Hypertension, and deaths, and EVC CI, increased 58, 197, 142.5 % respectively.
Consultations in 2013 were 9113 082. The estimate of expenditure for prediabetes
screening and medical care to prevent complications saves near of 60 % of current
expenditure. CONCLUSIONS: Control the epidemic by training patients, families and
staff health healthy lifestyle is the treatment to revert to normal BMI, glucose,
triglycerides, HDL cholesterol, LDL cholesterol, blood pressure and addictions to
snuff / alcohol, to decelerate complications of the metabolic syndrome.
PMID- 27197106
TI - [Biofeedback treatment for acute whiplash patients].
AB - BACKGROUND: The aim of this study is to evaluate the physiological and
psychological effect after an electromyographic biofeedback treatment in
combination with progressive muscular relaxation training in patients with acute
whiplash. METHODS: Twelve patients with acute whiplash volunteered to participate
in a quasi-experimental design and a control group. INCLUSION CRITERIA: Two
months maximum after car accident, severity levels II and I. EXCLUSION CRITERIA:
previous history of persistent pain or serious previous injury. The groups were
randomly divided in two (treatment and waiting list groups). We used
electromyographic measures of the trapezius muscles with psychometric tests: Beck
Anxiety and Depression Inventory; Oswestry Pain Disability Questionnaire; Visual
Analog Scale of Pain; TAMPA Scale for Kinesiophobia. The treatment consisted in
electromyographic biofeedback after progressive muscular relaxation training.
RESULTS: There were significant intra-group differences before and after
treatment in muscular symmetry and subjective pain perception in the treatment
group. CONCLUSIONS: We achieved a significant change (clinical and statistical)
in subjective pain perception and muscular symmetry. This study highlights the
importance of multidisciplinary work in acute pain patients and the effectiveness
of clinical psychophysiological strategies with acute whiplash patients.
PMID- 27197108
TI - [Genetic isolates and inbreeding customs in three rural municipalities from
Honduras].
AB - BACKGROUND: The isonymic method has been amply used to assess the approximate
genetic structure of human communities. The objective of the study was to
evaluate the magnitude of genetic isolation and inbreeding customs in 57
communities from three rural municipalities of Honduras using isonymy techniques.
METHODS: The list of 408 different surnames from 20712 voters registered in the
national electoral organism, residing in the 57 Honduran communities, was used
for this study. For each community, random (IR), non-random (IN), and total (IT)
isonymy values were calculated in order to assess inbreeding coefficients FST,
FIS and FIT. RESULTS: High consanguinity due to isolation and to endogamous
customs was unveiled in many communities. Significant deviation from the
exogamous behavior typical of many human populations was observed in the three
studied municipalities, when compared to other Honduran populations. CONCLUSIONS:
The studied communities present high consanguinity due to isolation, ethnic
segregation and/or endogamous customs.
PMID- 27197109
TI - [Obesity in children and its relationship with chronic kidney disease].
AB - In the last decades, obesity and chronic kidney disease (CKD) have increased
worldwide, in parallel. This article focuses on the current issues of obesity on
renal damage, with special emphasis on what happens at pediatric ages. While
obesity has been linked closely with type 2 diabetes mellitus and hypertension,
reduced insulin sensitivity is a direct mechanism for renal damage. The
pathophysiologic mechanisms on renal damage include glomerular hyperfiltration
and hypertrophy, hypercellularity and broadening of the mesangial regions, while
the lack of sensitivity to insulin increases the effects of angiotensin II,
exacerbates proteinuria and induces the production of inflammatory cytokines.
Many epidemiological studies have documented the relationship of increased BMI
with the development of ERC, but most of these studies have been conducted in
adults. In children, the information is scarce, but is consistent with findings
in adults. In contrast, there are studies which show that interventions aimed to
improve weight loss and limit renal damage and proteinuria is reduced, the blood
pressure and glomerular filtration rate. Allthe above make us think on the need
to improve efforts to reduce the prevalence of obesity from the early stages of
life, which could reduce the number of patients with CKD in the future.
PMID- 27197110
TI - [Estrogen receptor alpha in obesity and diabetes].
AB - Estradiol (E2) is an important hormone in reproductive physiology,
cardiovascular, skeletal and in the central nervous system (CNS). In human and
rodents, E2 and its receptors are involved in the control of energy and glucose
metabolism in health and metabolic diseases. The estrogen receptor (ER) belongs
to the superfamily of nuclear receptors (NR), which are transcription factors
that regulate gene expression. Three ER, ER-alpha, ER-beta and the G protein
coupled ER (GPER; also called GPR30) in tissues are involved in glucose and lipid
homeostasis. Also, it may have important implications for risk factors associated
with metabolic syndrome (MS), insulin resistance (IR), obesity and type 2
diabetes (T2D).
PMID- 27197111
TI - [Bacterial meningitis as first manifestation of a patient with multiple myeloma.
A case report].
AB - BACKGROUND: Multiple myeloma (MM) is a plasma cell neoplasm characterized by
clonal proliferation of plasma cells in the bone marrow and monoclonal protein in
serum and/or urine in association to target organ damage. Infections are a
frequent finding and they are multifactorial and presented mainly in the first
months of diagnosis. CLINICAL CASE: We reported the case of a 62 years old woman,
with impaired alertness, drowsiness, fever, incoherent speech and meningeal
signs, cerebrospinal fluid showed polymorphonuclear pleocytosis,
hypoglycorrhachia, hyperproteinorrhachia, and Gram positive cocci, she received
antibiotic treatment and improved until resolution of symptoms, however when
conscious she referred paraplegia and back pain, anemia, lymphopenia, elevation
of serum globulins, calcium and CRP. The bone marrow aspiration showed
infiltration by plasma cells and the serum protein electrophoresis and
immunofixation showed the presence of monoclonal gamma IgA lambda spike. Smears
of cerebrospinal fluid was absent of plasma cells. The diagnosis of multiple
myeloma stage III-A is established. CONCLUSIONS: Association between (MM) and
infection is high and although rare as first manifestation of MM, should take
focus and high suspicion in patients with suggestive manifestations not explained
by the infection itself.
PMID- 27197113
TI - [Zika, a neurotropic virus?].
AB - In this paper, the neurotropism potential Zika virus is discussed, by comparison
with viruses both RNA and DNA are neurotropic known, also it is said that
compared with the new viruses that have affected the Americas, as the
chikungunya, Zika has shown great affinity by brain tissue, manifested by a high
incidence of acute neurological conditions, such as Guillain-Barre syndrome,
among others, as well as the reported incidence of microcephaly that is
abnormally high compared with the previous incidence, which, in a stillborn
subject necropsied significant alterations demonstrated in brain tissue,
identifying viral material and live virus in the fetoplacental complex, and
demonstrating the impact both white matter and gray matter as well as basal
ganglia, corpus callosum, ventricles and spinal cord, which could explain the
microcephaly that concerns him. Although not a direct cause-effect relationship
is demonstrated, however current evidence supports that relationship, hoping to
be supported scientifically.
PMID- 27197114
TI - Cathodic processes of neodymium(iii) in LiF-NdF3-Nd2O3 melts.
AB - In this paper, cyclic voltammetry and square wave voltammetry are applied to
characterize the cathode processes of neodymium ions on a W electrode in LiF-NdF3
melts with or without the metal Nd. The results indicate that neodymium ions in
the LiF-NdF3 (2 wt%) melt are reduced in two steps, i.e. Nd(3+) -> Nd(2+) and
Nd(2+) -> Nd(0), corresponding to starting reduction potentials of 0.35 V vs.
Li(+)/Li and 0.1 V vs. Li(+)/Li, respectively. The Nd(3+) -> Nd(2+) process is
controlled by mass transfer and the Nd(2+) -> Nd(0) process is controlled by both
an interfacial step and mass transfer. But in the LiF-NdF3 melt with excess metal
Nd equilibrium, the kinetics of the above two processes are controlled by mass
transfer. After potentiostatic electrolysis at 0.35 V in the LiF-NdF3-Nd2O3 melt
NdF2 is formed on the Mo cathode, and metallic Nd is obtained by potentiostatic
electrolysis at 0.1 V in the LiF-NdF3-Nd2O3-Nd melt, which validates the above
electrochemical reduction results.
PMID- 27197112
TI - [Bouveret's syndrome: A rare presentation of gallstone ileus].
AB - BACKGROUND: Bouveret's syndrome is defined as gastric outlet obstruction caused
by duodenal impaction of a gallstone which passes into the duodenal bulb through
a cholecystoduodenal fistula. CLINICAL CASE: We reported the case of a 46-year
old woman who presented intermittent epigastric pain, nausea, vomiting, and
weight loss of 2-months duration. The patient admitted alcohol and
methamphetamine abuse. She had not fever, dehydration or jaundice. Amylase,
electrolytes, enzymes, and creatinine level were within normal limits. Seven
months previously she was seen in the emergency department for acute
cholecystitis. In that occasion, an abdominal ultrasound was reported with
cholelithiasis without dilatation of the intra/extra-hepatic bile duct. Abdominal
plain radiographs showed no relevant findings. A laparoscopic surgery was
performed. During the procedure a sub-hepatic plastron with firm adhesions was
found. The gallbladder was found attached to the duodenal bulb and an impacted
calculus in the duodenum. The procedure was converted to surgery. Surgeon decided
to perform a Bilroth 1 as the best choice. Seven days later, she was discharged.
CONCLUSIONS: The clinical manifestations of the Bouveret's syndrome are
nonspecific. Preoperative diagnosis is a challenge for clinicians because of the
rarity of this condition. Treatment must be individualized.
PMID- 27197115
TI - The Activity Profile of Elite Low-Kick Kickboxing Competition.
AB - PURPOSE: To determine the performance aspects (time-motion and technical-tactical
analysis) of top-level low-kick kickboxers according to gender, weight category,
combat round, and match outcome. METHODS: Seventy-two kickboxers (44 male, 28
female) were studied. Thirty-six bouts (male = 61, female = 41 rounds) were
analyzed using a time-motion system. Time structure was classified into 3 phases:
preparatory-activity time (PT), fighting time (FT), and stoppage time (ST).
RESULTS: Referee decisions caused an overall effort:pause ratio (E:P) of ~1:1.5,
with a significant difference between weight categories (light and middleweights
= 1:1.5, heavyweight = 1:1). This ratio was ~1:6 when high-intensity actions-to
pause activities were considered. Significant differences were also observed
between rounds (all P < .001), with 1st-, 2nd-, and 3rd-round E:Ps of 1:1, 1:1.5,
and ~1:2, respectively. The relative times of FT and PT, total attacking actions,
upper-limb actions, number of technical actions performed on the head, and the
number of high-intensity actions were higher in males than females (all P = .05).
Males performed more jab-cross actions and fewer low kicks than females (P <
.001). Males used upper-limb (63.4%) more than lower-limb techniques (36.6%),
targeting the head (56.9%) more than the body/leg (43.1%), with no significant
difference from females (P > .05). E:P was similar between winners and losers.
However, the numbers of technical actions performed on the head, counterattack
actions, jab-cross technique, and total punches were higher in winners than
losers (all P < .05). CONCLUSIONS: Training programs need to be adapted to the
specific requirements of kickboxers' weight categories and gender to develop the
technical-tactical abilities that improve athletes' chances of winning.
PMID- 27197116
TI - Facial Nerve Reconstruction With Concurrent Masseteric Nerve Transfer and Cable
Grafting.
AB - IMPORTANCE: Reconstruction of the facial nerve after radical parotidectomy is
commonly performed with cable grafting, which is associated with slow recovery of
nerve function and synkinesis. OBJECTIVE: To describe facial nerve reconstruction
after radical parotidectomy using concurrent masseteric nerve transfer and cable
grafting. DESIGN, SETTING, AND PARTICIPANTS: This retrospective medical record
review at a tertiary referral hospital included 9 patients who underwent
concurrent masseteric nerve transfer and cable grafting for facial nerve
reconstruction performed by a single surgeon from January 1, 2014, to October 31,
2015. Final follow-up was completed on March 14, 2016. MAIN OUTCOMES AND
MEASURES: Improvement in resting facial symmetry and oral commissure excursion
and synkinesis. RESULTS: Nine patients (6 women; mean age, 62.6 years; age range,
51-73 years) underwent immediate facial nerve reconstruction after radical
parotidectomy using concurrent cable grafting and masseteric nerve transposition.
All patients had return of oral commissure motion within 2 to 7 months after
surgery with good excursion and minimal synkinesis. CONCLUSIONS AND RELEVANCE:
Masseteric nerve transposition can be combined with cable grafting to improve
outcomes in facial rehabilitation after radical parotidectomy. LEVEL OF EVIDENCE:
4.
PMID- 27197117
TI - Omics approaches to probe markers of disease resistance in animal sciences.
AB - Omics technologies have been developed in recent decades and used in different
thematics. More advancements were done in human and plant thematics. Omics is the
conjugation of different techniques, studying all biological molecules (DNA, RNA,
proteins, metabolites, etc.). Omics is then able to study entire pathways,
elucidating phenotypes and their control. Thus, thanks to Omics, it is possible
to have a broad overview of the linkage between genotypes and phenotypes. Disease
phenotypes (tolerance or resistance) are important to understand in both
production and health. Nowadays a plethora of research articles are presenting
results in the field of natural disease resistance of animals using Omics
technologies. Moreover, thanks to advanced high throughput technologies novel
modes of infections (infection pathways) are coming to the surface. Such pathways
are complex (hundreds to thousands of molecules implied, with complicated control
mechanisms), and Omics can generate useful knowledge to understand those
pathways. Here we aim to review several angles of Omics used to probe markers of
disease resistance with recent publications and data on the field, and present
perspectives and its utilization for a better understanding of diseases.
PMID- 27197118
TI - Repeated Sprints: An Independent Not Dependent Variable.
AB - The ability to repeatedly perform sprints has traditionally been viewed as a key
performance measure in team sports, and the relationship between repeated-sprint
ability (RSA) and performance has been explored extensively. However, when
reviewing the repeated-sprint profile of team-sports match play it appears that
the occurrence of repeated-sprint bouts is sparse, indicating that RSA is not as
important to performance as commonly believed. Repeated sprints are, however, a
potent and time-efficient training strategy, effective in developing
acceleration, speed, explosive leg power, aerobic power, and high-intensity
running performance--all of which are crucial to team-sport performance. As such,
we propose that repeated-sprint exercise in team sports should be viewed as an
independent variable (eg, a means of developing fitness) as opposed to a
dependent variable (eg, a means of assessing fitness/performance).
PMID- 27197119
TI - Not All Mice Are the Same: Standardization of Animal Research Data Presentation.
PMID- 27197120
TI - The Effect of Caffeine on Repeat-High-Intensity-Effort Performance in Rugby
League Players.
AB - CONTEXT: Repeat-high-intensity efforts (RHIEs) have recently been shown to occur
at critical periods of rugby league matches. PURPOSE: To examine the effect that
caffeine has on RHIE performance in rugby league players. METHODS: Using a double
blind, placebo-controlled, crossover design, 11 semiprofessional rugby league
players (age 19.0 +/- 0.5 y, body mass 87.4 +/- 12.9 kg, height 178.9 +/- 2.6 cm)
completed 2 experimental trials that involved completing an RHIE test after
either caffeine (300 mg caffeine) or placebo (vitamin H) ingestion. Each trial
consisted of 3 sets of 20-m sprints interspersed with bouts of tackling. During
the RHIE test, 20-m-sprint time, heart rate (HR), rating of perceived exertion
(RPE), and blood lactate were measured. RESULTS: Total time to complete the nine
20-m sprints during the caffeine condition was 1.0% faster (28.46 +/- 1.4 s) than
during the placebo condition (28.77 +/- 1.7 s) (ES = 0.18, 90%CI -0.7 to 0.1 s).
This resulted in a very likely chance of caffeine being of benefit to RHIE
performance (99% likely to be beneficial). These improvements were more
pronounced in the early stages of the test, with a 1.3%, 1.0%, and 0.9%
improvement in sprint performance during sets 1, 2, and 3 respectively. There was
no significant difference in RPE across the 3 sets (P = .47, 0.48, 1.00) or mean
HR (P = .36), maximal HR (P = .74), or blood lactate (P = .50) between treatment
conditions. CONCLUSIONS: Preexercise ingestion of 300 mg caffeine produced
practically meaningful improvements in RHIE performance in rugby league players.
PMID- 27197121
TI - Endonasal (Closed) Rhinoplasty Technique: Securing Spreader Grafts With Barbed
Suture.
PMID- 27197122
TI - [Fundamental changes are needed in Icelandic healthcare[Editorial]].
PMID- 27197123
TI - [Can we reverse the ever increasing morbidity of food-allergy?[Editorial]].
PMID- 27197124
TI - [Chronic lymphocytic leukemia in Iceland from 2003 to 2013: Incidence,
presentation and diagnosis].
AB - INTRODUCTION: Chronic lymphocytic leukemia (CLL) is characterized by the
proliferation of monoclonal B-lymphocytes. MBL (monoclonal B-cell lymphocytosis)
is considered a precursor state of the disease. Although CLL is incurable it is
an indolent disorder and often detected incidentally on routine blood counts.
Until now little information has been available on CLL in Iceland, including the
incidence, diagnosis, symptoms or MBL precursor state. MATERIAL AND METHODS: This
is a retrospective, descriptive study including CLL patients diagnosed in Iceland
over the years 2003-2013. Registries of patients with a CLL diagnosis were
obtained from the Icelandic Cancer Registry, Landspitali National University
Hospital and the Medical Center in Mjodd. Medical records were reviewed for
information on symptoms, diagnosis and treatment. Survival data and causes of
death were obtained from national registries. RESULTS: The number of patients
diagnosed with CLL over the study period was 161 (109 males, 52 females). The
calculated incidence was 4.55/100,000, and the age-standardized incidence was
3.00/100,000. Mean age at diagnosis was 70.9 years (range 35-96 years). The
Icelandic Cancer Registry lacked information on 28 patients (17.4%). The initial
diagnosis of CLL was obtained exclusively with flow cytometry in 47.2% of cases.
Symptoms were present at diagnosis in 67 of 151 patients (44.4%). One third of
the group received chemotherapy and the average time to treatment was 1.3 years.
Five-year survival was 70% and median survival was 9.4 years. Elevated lymphocyte
counts (>=4,0x109/L) in peripheral blood prior (0.1 to 13.4 years) to diagnosis
of CLL was identified in 85 of 99 CLL patients (85.9%). CONCLUSION: The incidence
of CLL in Iceland is similar to other Western countries. The registration of CLL
cases in the Icelandic Cancer Registry must be improved, especially in cases
where diagnosis is based solely on flow cytometry. Elevated lymphocyte counts
were present in a large proportion of cases prior to the diagnosis of CLL. KEY
WORDS: Chronic lymphocytic leukemia, CLL, monoclonal B-cell lymphocytosis, MBL,
incidence, diagnosis. Correspondence: Anna Margret Halldorsdottir,
annamha@landspitali.is.
PMID- 27197125
TI - [A Review and Recommendations of Evidence-Based Treatments for Pediatric
Obsessive-Compulsive Disorder].
AB - Pediatric obsessive-compulsive disorder (OCD) is characterized by recurrent
obsessions and compulsions. In this review we depict evidence-based treatments
for pediatric OCD patients. We searched PubMed for relevant publications
including randomized controlled trials, reviews, and expert guidelines.
Substantial evidence for cognitive behavior therapy (CBT) and specific serotonin
reuptake inhibitors (SSRIs) among treatment-naive patients shows that both
treatments are effective. CBT is significantly more effective than SSRI based on
head-to-head trials. The evidence for CBT- or SSRI-resistant patients is limited
but indicates that CBT and SSRI are effective treatments for CBT non-responders
while a combination of CBT and SSRI is the most effective treatment for SSRI non
responders with no prior exposure to CBT. The current data support clinical
guidelines indicating that when CBT expertise is present, one can successfully
treat patients with CBT. CBT is also as effective as SSRI in non-responders after
14 weeks of CBT. The results did not indicate that combined treatment of CBT and
SSRI is more effective than CBT delivered by experts. However, combined treatment
is more effective than SSRI in SSRI non-responders. KEY WORDS: Obsessive
compulsive disorder, children and adolescents, treatment, Cognitive behavior
therapy, selective serotonin reuptake inhibitors, atypical antipsychotics,
treatment-resistant, treatment-refractory. Correspondence: Gudmundur
Skarphedinsson, gudmundur.skarphedinsson@r-bup.no.
PMID- 27197127
TI - [Organ transplantation - a complex process[Editorial]].
PMID- 27197126
TI - [Superior canal dehiscence - Case report].
AB - Superior canal dehiscence is a rare syndrome. The symptoms consist of hearing
loss, dizziness and autophonia. The patient can be cured with surgery. A 28 year
old woman went to several doctors for several months due to diminished hearing,
dizziness and autophonia. The symptoms got worse. A work-up led to the diagnosis
of superior canal dehiscence in the left ear. She underwent surgery and her
symptoms improved. A latency in diagnosis is expected as the syndrome is rare.
It's important to think of superior canal dehiscence when patients complain of
these symptoms. KEY WORDS: superior canal dehiscence, autophonia, dizziness,
hearing deficit. Correspondence: Bryndis Baldvinsdottir,
bryndisbaldvins@gmail.com.
PMID- 27197128
TI - [Intoxication of power[Editorial]].
PMID- 27197129
TI - [Postnatal corticosteroids in preterm infants with immature lung disease].
AB - INTRODUCTION: Corticosteroids have been used in preterm infants with immature
lungs to decrease their need for supplemental oxygen and mechanical ventilation.
Whether the benefits of the treatment outweigh possible adverse effects remains
controversial. The main objective of the study was to evaluate the effects of
intravenous and inhalation corticosteroids on preterm infants' need for
supplemental oxygen and mechanical ventilation and potential adverse effects.
MATERIAL AND METHODS: This was a retrospective cohort study on preterm infants at
the Neonatal Intensive Care Unit of Children's Hospital Iceland, born between
2000-2014 and treated with intravenous (n=28) or inhalation (n=30)
corticosteroids for immature lung disease. For each infant receiving steriods one
infant who did not receive steriods was selected as control, matched on
gestational age. RESULTS: There was a significant decrease in the need for
supplemental oxygen following intravenous and inhalation corticosteroids
administration, and a significant decrease in the need for mechanical ventilation
following intravenous corticosteroids administration, but not in controls.
Infants receiving intravenous corticosteroids gained significantly less weight
than controls during treatment, but no significant difference in weight between
groups was found at 35 weeks postmenstrual age, or in other possible adverse
effects such as the prevalence of cerebral palsy. CONCLUSION: Intravenous and
inhalation corticosteroids decrease the need for supplemental oxygen in preterm
infants with immature lung disease and intravenous steriods facilitate earlier
weaning from mechanical ventilation, without significant adverse effects.
Therefore, it seems justifiable in selected cases to use corticosteroids in
treatment of preterm infants with severe immature lung disease. KEY WORDS:
Corticosteroids, preterm infants, chronic lung disease, mechanical ventilation.
Correspondence: Thordur Thorkelsson, thordth@landspitali.is.
PMID- 27197130
TI - [Lung Transplantation in Icelanders].
AB - INTRODUCTION: Lung transplantation is a treatment option for end-stage lung
diseases, excluding lung cancer, when life expectancy is short and quality of
life is poor. In most instances pulmonary function and quality of life improves
after lung transplantation. Infections and rejection are the most common
complications and limit the feasibility of lung transplantation. MATERIALS AND
METHODS: Retrospective analysis of lung transplantations performed on Icelanders
from February 1988 to January 2015. Clinical information was obtained from
medical records and a database was created. Information on demographics,
underlying lung disease, type of transplantation, immunosuppression, rejection
and other complications was collected. RESULTS: A total of 21 lung
transplantations were performed, one of which was a retransplantation. There were
9 females and 11 males and the mean age was 45 years (20-61 years). Most of the
operations were done at the Sahlgrenska hospital in Gothenburg. Bilateral lung
transplantion was the most common operation. COPD was the most common indication.
Rejection and infections were the most common complications. Eight of 20 patients
have had acute rejection and half of the patients chronic rejection. Six of 20
patients are deceased, three died from chronic rejection. Median survival is 8,5
years. Five-year survival is 74%. CONCLUSIONS: Lung transplantations are
currently performed at the Sahlgrenska hospital in Gothenburg but follow-up is in
the hands of specialized pulmonologists in Iceland. Complications and survival
for Icelandic patients is similar to larger centers. Close cooperation with the
transplanting center is essential. KEY WORDS: lung transplantation, Icelanders,
indications, survival, complications.
PMID- 27197131
TI - [Demodex folliculorum a hidden cause of blepharitis].
AB - Meibomian gland dysfunction (MGD) is a common cause of dry eye disease. Demodex
mites can cause MGD with symptoms like itching, dryness and general ocular
discomfort. It is important to consider infestation with Demodex mites in
individuals who are non responsive to traditional MGD treatment but also equally
important when cylindrical dandruff is seen at the base of the eye lashes.. We
report two individuals who had not responded to ocular and systemic treatment for
MGD and were then diagnosed with Demodex mites. Treatment with BlephEx and Tea
tree oil was successful. This is the first report on Demodex folliculorum in
Iceland where a genetic analysis was done. KEY WORDS: Demodex, blepharitis,
debris, tea tree oil, dry eye. Correspondence: Sigurlaug Gudrun Gunnarsdottir
sigurlaug@sjonlag.is.
PMID- 27197133
TI - Quality performance of laboratory testing in pharmacies: a collaborative
evaluation.
AB - BACKGROUND: The quality performance and the comparability between results of
pharmacies point-of-care-testing (POCT) and institutional laboratories have been
evaluated. METHODS: Eight pharmacies participated in the project: a capillary
specimen collected by the pharmacist and, simultaneously, a lithium-heparin
sample drawn by a physician of laboratory medicine for the pharmacy customers
(n=106) were analyzed in the pharmacy and in the laboratory, respectively.
Glucose, cholesterol, HDL-cholesterol, triglycerides, creatinine, uric acid,
aspartate aminotransferase, alanine aminotransferase, were measured using:
Reflotron, n=5; Samsung, n=1; Cardiocheck PA, n=1; Cholestech LDX, n=1 and Cobas
8000. The POCT analytical performance only (phase 2) were evaluated testing, in
pharmacies and in the laboratory, the lithium heparin samples from a female drawn
fasting daily in a week, and a control sample containing high concentrations of
glucose, cholesterol and triglycerides. RESULTS: For all parameters, except
triglycerides, the slopes showed a satisfactory correlation. For triglycerides, a
median value higher in POCT in comparison to the laboratory (1.627 mmol/L vs.
0.950 mmol/L) has been observed. The agreement in the subjects classification,
demonstrates that for glucose, 70% of the subjects show concentrations below the
POCT recommended level (5.8-6.1 mmol/L), while 56% are according to the
laboratory limit (<5.6 mmol/L). Total cholesterol exhibits a similar trend while
POCT triglycerides show a greater percentage of increased values (21% vs. 9%).
The reduction in triglycerides bias (phase 2) suggests that differences between
POCT and central laboratory is attributable to a pre-analytical problem.
CONCLUSIONS: The results confirm the acceptable analytical performance of POCT
pharmacies and specific criticisms in the pre- and post-analytical phases.
PMID- 27197132
TI - LC/ESI-MS/MS profiling of Ulmus parvifolia extracts and evaluation of its anti
inflammatory, cytotoxic, and antioxidant activities.
AB - In this study, a comparative liquid chromatography/mass spectroscopy (LC/ESI
MS/MS) profiling of different fractions of Ulmus parvifolia leaves and stems was
performed. Identification of compounds was based on comparing the mass
spectrometric information obtained including m/z values and individual compound
fragmentation pattern to tandem mass spectral library search and literature data.
Eleven compounds were tentatively identified in the different analyzed fractions.
One of the major constituents of this plant was isolated and identified as
Icariside E4 [dihydro-dehydro-diconiferyl alcohol-4-O-alpha-L-rhamnopyranoside]
(5). The evaluation of anti-inflammatory activity of the total methanolic extract
using nitric oxide inhibition on LPS-stimulated RAW 264.7 cells model strong anti
inflammatory activity with 17.5% inhibition of nitric oxide production versus 10%
inhibition for dexamethasone. The cytotoxic activity of the methanolic extract
and Icariside E4 was evaluated against four types of human cell lines using MTT
assay. Icariside E4 showed cytotoxic effect against Hep-G2, MCF-7, and CACO-2
cell lines compared to a negligible activity for the total extract. The same
extract showed a moderate antioxidant activity with SC50=362.5 MUg/mL.
PMID- 27197135
TI - Measurement uncertainty - a revised understanding of its calculation and use.
PMID- 27197134
TI - Optimal collection tubes for plasma glucose determination: confusion reigns
supreme.
PMID- 27197137
TI - The Effects of Residential Segregation and Neighborhood Characteristics on
Surgery and Survival in Patients with Early-Stage Non-Small Cell Lung Cancer.
AB - BACKGROUND: Although the negative effects of lower socioeconomic status on non
small cell lung cancer (NSCLC) treatment and survival have been widely studied,
the impact of residential segregation on prognosis and the receipt of treatment
has yet to be determined. METHODS: This is a retrospective, cohort study of NSCLC
patients in Georgia (2000-2009; n = 8,322) using data from the Georgia
Comprehensive Cancer Registry. The effects of segregation, economic deprivation,
and combined segregation/deprivation on the odds of receiving surgery were
examined in separate multilevel models. To determine the association for the
exposures of interest on the risk of death for different racial groups, separate
multilevel survival models were conducted for black and white patients. RESULTS:
Living in areas with the highest [AOR = 0.35, 95% confidence interval (CI), 0.19
0.64] and second highest (AOR = 0.37, 95% CI, 0.20-0.68) levels of segregation
was associated with decreased odds of receipt of surgery. Black patients living
in areas with high residential segregation and high economic deprivation were 31%
(95% CI, 1.04-1.66) more likely to die, even after surgery was controlled for.
For white patients, economic deprivation was associated with decreased odds of
surgery but not survival. Segregation had no effect. CONCLUSION: Our findings
suggest how black and white individuals experience segregation and area-level
poverty is likely different leading to differences in adverse health outcomes.
IMPACT: Identifying neighborhood characteristics impacting health outcomes within
different racial groups could help reduce health disparities across racial groups
by implementing targeted policies and interventions. Cancer Epidemiol Biomarkers
Prev; 25(5); 750-8. (c)2016 AACR.
PMID- 27197139
TI - Periodontal Disease and Breast Cancer-Letter.
PMID- 27197138
TI - Cancer Mortality Risks from Long-term Exposure to Ambient Fine Particle.
AB - BACKGROUND: Few studies have assessed long-term effects of particulate matter
(PM) with aerodynamic diameter < 2.5 MUm (PM2.5) on mortality for causes of
cancer other than the lung; we assessed the effects on multiple causes. In Hong
Kong, most people live and work in urban or suburban areas with high-rise
buildings. This facilitates the estimation of PM2.5 exposure of individuals,
taking into account the height of residence above ground level for assessment of
the long-term health effects with sufficient statistical power. METHODS: We
recruited 66,820 persons who were >=65 in 1998 to 2001 and followed up for
mortality outcomes until 2011. Annual concentrations of PM at their residential
addresses were estimated using PM2.5 concentrations measured at fixed-site
monitors, horizontal-vertical locations, and satellite data. We used Cox
regression model to assess the HR of mortality for cancer per 10 MUg/m(3)
increase of PM2.5 RESULTS: PM2.5 was associated with increased risk of mortality
for all causes of cancer [HR, 1.22 (95% CI, 1.11-1.34)] and for specific cause of
cancer in upper digestive tract [1.42 (1.06-1.89)], digestive accessory organs
[1.35 (1.06-1.71)] in all subjects; breast [1.80 (1.26-2.55)] in females; and
lung [1.36 (1.05-1.77)] in males. CONCLUSIONS: Long-term exposures to PM2.5 are
associated with elevated risks of cancer in various organs. IMPACT: This study is
particularly timely in China, where compelling evidence is needed to support the
pollution control policy to ameliorate the health damages associated with
economic growth. Cancer Epidemiol Biomarkers Prev; 25(5); 839-45. (c)2016 AACR.
PMID- 27197140
TI - Periodontal Disease and Breast Cancer-Response.
PMID- 27197141
TI - Periodontal Disease and Breast Cancer-Letter.
PMID- 27197142
TI - Periodontal Disease and Breast Cancer-Response.
PMID- 27197143
TI - Quality of Physician Communication about HPV Vaccine-Letter.
PMID- 27197145
TI - Impact of Screening on Breast Cancer Mortality-Letter.
PMID- 27197144
TI - Quality of Physician Communication about HPV Vaccine-Response.
PMID- 27197146
TI - Impact of Screening on Breast Cancer Mortality-Response.
PMID- 27197147
TI - Comparative Cistromics Reveals Genomic Cross-talk between FOXA1 and ERalpha in
Tamoxifen-Associated Endometrial Carcinomas.
AB - Tamoxifen, a small-molecule antagonist of the transcription factor estrogen
receptor alpha (ERalpha) used to treat breast cancer, increases risks of
endometrial cancer. However, no parallels of ERalpha transcriptional action in
breast and endometrial tumors have been found that might explain this effect. In
this study, we addressed this issue with a genome-wide assessment of ERalpha
chromatin interactions in surgical specimens obtained from patients with
tamoxifen-associated endometrial cancer. ERalpha was found at active enhancers in
endometrial cancer cells as marked by the presence of RNA polymerase II and the
histone marker H3K27Ac. These ERalpha binding sites were highly conserved between
breast and endometrial cancer and enriched in binding motifs for the
transcription factor FOXA1, which displayed substantial overlap with ERalpha
binding sites proximal to genes involved in classical ERalpha target genes.
Multifactorial ChIP-seq data integration from the endometrial cancer cell line
Ishikawa illustrated a functional genomic network involving ERalpha and FOXA1
together with the enhancer-enriched transcriptional regulators p300, FOXM1,
TEAD4, FNFIC, CEBP8, and TCF12. Immunohistochemical analysis of 230 primary
endometrial tumor specimens showed that lack of FOXA1 and ERalpha expression was
associated with a longer interval between breast cancer and the emergence of
endometrial cancer, exclusively in tamoxifen-treated patients. Our results define
conserved sites for a genomic interplay between FOXA1 and ERalpha in breast
cancer and tamoxifen-associated endometrial cancer. In addition, FOXA1 and
ERalpha are associated with the interval time between breast cancer and
endometrial cancer only in tamoxifen-treated breast cancer patients. Cancer Res;
76(13); 3773-84. (c)2016 AACR.
PMID- 27197148
TI - Cell Cycle-Dependent Mechanisms Underlie Vincristine-Induced Death of Primary
Acute Lymphoblastic Leukemia Cells.
AB - Microtubule-targeting agents (MTA), such as the taxanes and vinca alkaloids, are
used to treat a variety of cancers due to their ability to perturb microtubule
dynamics. In cell culture, MTAs exert their anticancer effects primarily by
causing mitotic arrest and cell death. However, accumulating indirect evidence
suggests that MTAs may exert their cytotoxicity in human tumors by interfering
with interphase microtubules. In this study, we sought to develop and
characterize an experimental system in which to test the hypothesis that MTAs
induce cell death during interphase. Primary adult acute lymphoblastic leukemia
(ALL) cells treated with vincristine only weakly exhibited colocalization between
mitotic and apoptotic markers and major characteristics of mitotic death, such as
an increase in cells with 4N DNA content before the appearance of cells with <2N
DNA content, suggesting a mixed response. Therefore, we separated ALL cells into
distinct phases of the cell cycle by centrifugal elutriation, labeled cells with
5-ethynyl-2'-deoxyuridine (EdU), and then treated each population with
vincristine. Cells isolated during G1 underwent cell death without evidence of
EdU uptake, indicating that the cytotoxic effects of vincristine took place
during G1 Conversely, cells isolated during S or G2-M phases underwent death
following mitotic arrest. Thus, vincristine induces distinct death programs in
primary ALL cells depending on cell-cycle phase, and cells in G1 are particularly
susceptible to perturbation of interphase microtubules. Primary ALL cells may
therefore provide a powerful model system in which to study the multimodal
mechanisms underlying MTA-induced cell death. Cancer Res; 76(12); 3553-61.
(c)2016 AACR.
PMID- 27197149
TI - In Situ Tumor Vaccination by Combining Local Radiation and Tumor-Specific
Antibody or Immunocytokine Treatments.
AB - Interest in combining radiotherapy and immune checkpoint therapy is growing
rapidly. In this study, we explored a novel combination of this type to augment
antitumor immune responses in preclinical murine models of melanoma,
neuroblastoma, and head and neck squamous cell carcinoma. Cooperative effects
were observed with local radiotherapy and intratumoral injection of tumor
specific antibodies, arising in part from enhanced antibody-dependent cell
mediated cytotoxicity (ADCC). We could improve this response by combining
radiation with intratumoral injection of an IL2-linked tumor-specific antibody
(termed here an immunocytokine), resulting in complete regression of established
tumors in most animals associated with a tumor-specific memory T-cell response.
Given the T-cell response elicited by combined local radiation and intratumoral
immunocytokine, we tested the potential benefit of adding this treatment to
immune checkpoint blockade. In mice bearing large primary tumors or disseminated
metastases, the triple-combination of intratumoral immunocytokine, radiation, and
systemic anti-CTLA-4 improved primary tumor response and animal survival compared
with combinations of any two of these three interventions. Taken together, our
results show how combining radiation and intratumoral immunocytokine in murine
tumor models can eradicate large tumors and metastases, eliciting an in situ
vaccination effect that can be leveraged further by T-cell checkpoint blockade,
with immediate implications for clinical evaluation. Cancer Res; 76(13); 3929-41.
(c)2016 AACR.
PMID- 27197150
TI - Novel Protein Disulfide Isomerase Inhibitor with Anticancer Activity in Multiple
Myeloma.
AB - Multiple myeloma cells secrete more disulfide bond-rich proteins than any other
mammalian cell. Thus, inhibition of protein disulfide isomerases (PDI) required
for protein folding in the endoplasmic reticulum (ER) should increase ER stress
beyond repair in this incurable cancer. Here, we report the mechanistically
unbiased discovery of a novel PDI-inhibiting compound with antimyeloma activity.
We screened a 30,355 small-molecule library using a multilayered multiple myeloma
cell-based cytotoxicity assay that modeled disease niche, normal liver, kidney,
and bone marrow. CCF642, a bone marrow-sparing compound, exhibited a
submicromolar IC50 in 10 of 10 multiple myeloma cell lines. An active
biotinylated analog of CCF642 defined binding to the PDI isoenzymes A1, A3, and
A4 in MM cells. In vitro, CCF642 inhibited PDI reductase activity about 100-fold
more potently than the structurally distinct established inhibitors PACMA 31 and
LOC14. Computational modeling suggested a novel covalent binding mode in active
site CGHCK motifs. Remarkably, without any further chemistry optimization, CCF642
displayed potent efficacy in an aggressive syngeneic mouse model of multiple
myeloma and prolonged the lifespan of C57BL/KaLwRij mice engrafted with 5TGM1-luc
myeloma, an effect comparable to the first-line multiple myeloma therapeutic
bortezomib. Consistent with PDI inhibition, CCF642 caused acute ER stress in
multiple myeloma cells accompanied by apoptosis-inducing calcium release.
Overall, our results provide an illustration of the utility of simple in vivo
simulations as part of a drug discovery effort, along with a sound preclinical
rationale to develop a new small-molecule therapeutic to treat multiple myeloma.
Cancer Res; 76(11); 3340-50. (c)2016 AACR.
PMID- 27197151
TI - Decreased Expression of Fructose-1,6-bisphosphatase Associates with Glucose
Metabolism and Tumor Progression in Hepatocellular Carcinoma.
AB - Fructose-1,6-bisphosphatase (FBP1), the rate-limiting enzyme in gluconeogenesis,
is reduced in expression in certain cancers where it has been hypothesized to act
as a tumor suppressor, including in hepatocellular carcinoma (HCC). Here, we
report functional evidence supporting this hypothesis, providing a preclinical
rationale to develop FBP1 as a therapeutic target for HCC treatment. Three
independent cohorts totaling 594 cases of HCC were analyzed to address clinical
significance. Lower FBP1 expression associated with advanced tumor stage, poor
overall survival, and higher tumor recurrence rates. In HCC cell lines, where
endogenous FBP1 expression is low, engineering its ectopic overexpression
inhibited tumor growth and intracellular glucose uptake by reducing aerobic
glycolysis. In patient specimens, promoter methylation and copy-number loss of
FBP1 were independently associated with decreased FBP1 expression. Similarly,
FBP1 downregulation in HCC cell lines was also associated with copy-number loss.
HCC specimens exhibiting low expression of FBP1 had a highly malignant phenotype,
including large tumor size, poor differentiation, impaired gluconeogenesis, and
enhanced aerobic glycolysis. The effects of FBP1 expression on prognosis and
glucose metabolism were confirmed by gene set enrichment analysis. Overall, our
findings established that FBP1 downregulation in HCC contributed to tumor
progression and poor prognosis by altering glucose metabolism, and they
rationalize further study of FBP1 as a prognostic biomarker and therapeutic
target in HCC patients. Cancer Res; 76(11); 3265-76. (c)2016 AACR.
PMID- 27197152
TI - Myeloid-Derived Suppressor Cells Endow Stem-like Qualities to Breast Cancer Cells
through IL6/STAT3 and NO/NOTCH Cross-talk Signaling.
AB - Myeloid-derived suppressor cells (MDSC) contribute to immune suppression in
cancer, but the mechanisms through which they drive metastatic progression are
not fully understood. In this study, we show how MDSC convey stem-like qualities
to breast cancer cells that coordinately help enable immune suppression and
escape. We found that MDSC promoted tumor formation by enhancing breast cancer
cell stem-like properties as well as by suppressing T-cell activation.
Mechanistic investigations indicated that these effects relied upon cross-talk
between the STAT3 and NOTCH pathways in cancer cells, with MDSC inducing IL6
dependent phosphorylation of STAT3 and activating NOTCH through nitric oxide
leading to prolonged STAT3 activation. In clinical specimens of breast cancer,
the presence of MDSC correlated with the presence of cancer stem-like cells (CSC)
and independently predicted poor survival outcomes. Collectively, our work
revealed an immune-associated mechanism that extrinsically confers cancer cell
stemness properties and affects patient outcome. We suggest that targeting STAT3
NOTCH cross-talk between MDSC and CSC could offer a unique locus to improve
cancer treatment, by coordinately targeting a coupled mechanism that enables
cancer stemness and immune escape. Cancer Res; 76(11); 3156-65. (c)2016 AACR.
PMID- 27197153
TI - Guidance Molecule SEMA3A Restricts Tumor Growth by Differentially Regulating the
Proliferation of Tumor-Associated Macrophages.
AB - Accumulation of tumor-associated macrophages (TAM) correlates with malignant
progression, immune suppression, and poor prognosis. In this study, we defined a
critical role for the cell-surface guidance molecule SEMA3A in differential
proliferative control of TAMs. Tumor cell-derived SEMA3A restricted the
proliferation of protumoral M2 macrophages but increased the proliferation of
antitumoral M1, acting through the SEMA3A receptor neuropilin 1. Expansion of M1
macrophages in vivo enhanced the recruitment and activation of natural killer
(NK) cells and cytotoxic CD8(+) T cells to tumors, inhibiting their growth. In
human breast cancer specimens, we found that immunohistochemical levels of SEMA3A
correlated with the expression of genes characteristic of M1 macrophages, CD8(+)
T cells, and NK cells, while inversely correlating with established characters of
malignancy. In summary, our results illuminate a mechanism whereby the TAM
phenotype is controlled and identify the cell-surface molecule SEMA3A as a
candidate for therapeutic targeting. Cancer Res; 76(11); 3166-78. (c)2016 AACR.
PMID- 27197155
TI - Oncogenic Mutation of AIMP2/p38 Inhibits Its Tumor-Suppressive Interaction with
Smurf2.
AB - AIMP2/p38 is a multifunctional tumor suppressor that normally resides in the
cytosol as a scaffold protein of the multi-tRNA synthetase complex (MSC). One of
the tumor-suppressive functions of AIMP2 is to facilitate ubiquitin-mediated
degradation of FUSE-binding protein (FBP, FUBP1), a transcriptional activator of
c-Myc. However, the mechanism by which AIMP2 functions within this pathway and
its significance in tumorigenesis are uncertain. Here, we report that Smurf2 is
responsible for AIMP2-mediated ubiquitination of FBP, and a mutation in AIMP2
that inhibited its nuclear interaction with Smurf2 enhanced cellular
transformation and tumorigenesis in vivo Treatment of HeLa cells with TGFbeta
resulted in the phosphorylation of AIMP2 on S156, a residue that is exposed on
the embedded GST domain of AIMP2. We further found that phospho-AIMP2 dissociated
from the MSC and translocated to the nucleus, where it bound to Smurf2, enhancing
ubiquitination of FBP. AIMP2 also inhibited nuclear export of Smurf2 to sustain
TGFbeta signaling. Collectively, these findings present a novel tumor-suppressive
interaction between AIMP2 and Smurf2 and suggest that the disruption of this
interaction can lead to oncogenic transformation. Cancer Res; 76(11); 3422-36.
(c)2016 AACR.
PMID- 27197157
TI - Molecular Insights of Pathways Resulting from Two Common PIK3CA Mutations in
Breast Cancer.
AB - The PI3K pathway is activated in approximately 70% of breast cancers. PIK3CA gene
mutations or amplifications that affect the PI3K p110alpha subunit account for
activation of this pathway in 20% to 40% of cases, particularly in estrogen
receptor alpha (ERalpha)-positive breast cancers. AKT family of kinases, AKT1-3,
are the downstream targets of PI3K and these kinases activate ERalpha. Although
several inhibitors of PI3K have been developed, none has proven effective in the
clinic, partly due to an incomplete understanding of the selective routing of
PI3K signaling to specific AKT isoforms. Accordingly, we investigated in this
study the contribution of specific AKT isoforms in connecting PI3K activation to
ERalpha signaling, and we also assessed the utility of using the components of
PI3K-AKT isoform-ERalpha signaling axis as predictive biomarkers of response to
PI3K inhibitors. Using a variety of physiologically relevant model systems with
defined natural or knock-in PIK3CA mutations and/or PI3K hyperactivation, we show
that PIK3CA-E545K mutations (found in ~20% of PIK3CA-mutant breast cancers), but
not PIK3CA-H1047R mutations (found in 55% of PIK3CA-mutant breast cancers),
preferentially activate AKT1. Our findings argue that AKT1 signaling is needed to
respond to estrogen and PI3K inhibitors in breast cancer cells with PIK3CA-E545K
mutation, but not in breast cancer cells with other PIK3CA mutations. This study
offers evidence that personalizing treatment of ER-positive breast cancers to
PI3K inhibitor therapy may benefit from an analysis of PIK3CA-E545K-AKT1-estrogen
signaling pathways. Cancer Res; 76(13); 3989-4001. (c)2016 AACR.
PMID- 27197156
TI - Exome-Scale Discovery of Hotspot Mutation Regions in Human Cancer Using 3D
Protein Structure.
AB - The impact of somatic missense mutation on cancer etiology and progression is
often difficult to interpret. One common approach for assessing the contribution
of missense mutations in carcinogenesis is to identify genes mutated with
statistically nonrandom frequencies. Even given the large number of sequenced
cancer samples currently available, this approach remains underpowered to detect
drivers, particularly in less studied cancer types. Alternative statistical and
bioinformatic approaches are needed. One approach to increase power is to focus
on localized regions of increased missense mutation density or hotspot regions,
rather than a whole gene or protein domain. Detecting missense mutation hotspot
regions in three-dimensional (3D) protein structure may also be beneficial
because linear sequence alone does not fully describe the biologically relevant
organization of codons. Here, we present a novel and statistically rigorous
algorithm for detecting missense mutation hotspot regions in 3D protein
structures. We analyzed approximately 3 * 10(5) mutations from The Cancer Genome
Atlas (TCGA) and identified 216 tumor-type-specific hotspot regions. In addition
to experimentally determined protein structures, we considered high-quality
structural models, which increase genomic coverage from approximately 5,000 to
more than 15,000 genes. We provide new evidence that 3D mutation analysis has
unique advantages. It enables discovery of hotspot regions in many more genes
than previously shown and increases sensitivity to hotspot regions in tumor
suppressor genes (TSG). Although hotspot regions have long been known to exist in
both TSGs and oncogenes, we provide the first report that they have different
characteristic properties in the two types of driver genes. We show how cancer
researchers can use our results to link 3D protein structure and the biologic
functions of missense mutations in cancer, and to generate testable hypotheses
about driver mechanisms. Our results are included in a new interactive website
for visualizing protein structures with TCGA mutations and associated hotspot
regions. Users can submit new sequence data, facilitating the visualization of
mutations in a biologically relevant context. Cancer Res; 76(13); 3719-31.
(c)2016 AACR.
PMID- 27197154
TI - Lenalidomide Stabilizes the Erythropoietin Receptor by Inhibiting the E3
Ubiquitin Ligase RNF41.
AB - In a subset of patients with non-del(5q) myelodysplastic syndrome (MDS),
lenalidomide promotes erythroid lineage competence and effective erythropoiesis.
To determine the mechanism by which lenalidomide promotes erythropoiesis, we
investigated its action on erythropoietin receptor (EpoR) cellular dynamics.
Lenalidomide upregulated expression and stability of JAK2-associated EpoR in UT7
erythroid cells and primary CD71+ erythroid progenitors. The effects of
lenalidomide on receptor turnover were Type I cytokine receptor specific, as
evidenced by coregulation of the IL3-Ralpha receptor but not c-Kit. To elucidate
this mechanism, we investigated the effects of lenalidomide on the E3 ubiquitin
ligase RNF41. Lenalidomide promoted EpoR/RNF41 association and inhibited RNF41
auto-ubiquitination, accompanied by a reduction in EpoR ubiquitination. To
confirm that RNF41 is the principal target responsible for EpoR stabilization,
HEK293T cells were transfected with EpoR and/or RNF41 gene expression vectors.
Steady-state EpoR expression was reduced in EpoR/RNF41 cells, whereas EpoR
upregulation by lenalidomide was abrogated, indicating that cellular RNF41 is a
critical determinant of drug-induced receptor modulation. Notably, shRNA
suppression of CRBN gene expression failed to alter EpoR upregulation, indicating
that drug-induced receptor modulation is independent of cereblon.
Immunohistochemical staining showed that RNF41 expression decreased in primary
erythroid cells of lenalidomide-responding patients, suggesting that cellular
RNF41 expression merits investigation as a biomarker for lenalidomide response.
Our findings indicate that lenalidomide has E3 ubiquitin ligase inhibitory
effects that extend to RNF41 and that inhibition of RNF41 auto-ubiquitination
promotes membrane accumulation of signaling competent JAK2/EpoR complexes that
augment Epo responsiveness. Cancer Res; 76(12); 3531-40. (c)2016 AACR.
PMID- 27197158
TI - Rictor/mTORC2 Drives Progression and Therapeutic Resistance of HER2-Amplified
Breast Cancers.
AB - HER2 overexpression drives Akt signaling and cell survival and HER2-enriched
breast tumors have a poor outcome when Akt is upregulated. Akt is activated by
phosphorylation at T308 via PI3K and S473 via mTORC2. The importance of PI3K
activated Akt signaling is well documented in HER2-amplified breast cancer
models, but the significance of mTORC2-activated Akt signaling in this setting
remains uncertain. We report here that the mTORC2 obligate cofactor Rictor is
enriched in HER2-amplified samples, correlating with increased phosphorylation at
S473 on Akt. In invasive breast cancer specimens, Rictor expression was
upregulated significantly compared with nonmalignant tissues. In a HER2/Neu mouse
model of breast cancer, genetic ablation of Rictor decreased cell survival and
phosphorylation at S473 on Akt, delaying tumor latency, penetrance, and burden.
In HER2-amplified cells, exposure to an mTORC1/2 dual kinase inhibitor decreased
Akt-dependent cell survival, including in cells resistant to lapatinib, where
cytotoxicity could be restored. We replicated these findings by silencing Rictor
in breast cancer cell lines, but not silencing the mTORC1 cofactor Raptor
(RPTOR). Taken together, our findings establish that Rictor/mTORC2 signaling
drives Akt-dependent tumor progression in HER2-amplified breast cancers,
rationalizing clinical investigation of dual mTORC1/2 kinase inhibitors and
developing mTORC2-specific inhibitors for use in this setting. Cancer Res;
76(16); 4752-64. (c)2016 AACR.
PMID- 27197159
TI - Decreased Mitochondrial Mutagenesis during Transformation of Human Breast Stem
Cells into Tumorigenic Cells.
AB - Rare stochastic mutations may accumulate during dormancy of stem-like cells, but
technical limitations in DNA sequencing have limited exploring this possibility.
In this study, we employed a recently established deep-sequencing method termed
Duplex Sequencing to conduct a genome-wide analysis of mitochondrial (mt) DNA
mutations in a human breast stem cell model that recapitulates the sequential
stages of breast carcinogenesis. Using this method, we found significant
differences in mtDNA among normal stem cells, immortal/preneoplastic cells, and
tumorigenic cells. Putative cancer stem-like cell (CSC) populations and mtDNA
copy numbers increased as normal stem cells become tumorigenic cells. Transformed
cells exhibited lower rare mutation frequencies of whole mtDNA than did normal
stem cells. The predicted mtDNA rare mutation pathogenicity was significantly
lower in tumorigenic cells than normal stem cells. Major rare mutation types in
normal stem cells are C>T/G>A and T>C/A>G transitions, while only C>T/G>A are
major types in transformed cells. We detected a total of 1,220 rare point
mutations, 678 of which were unreported previously. With only one possible
exception (m10342T>C), we did not find specific mutations characterizing mtDNA in
human breast CSCs; rather, the mitochondrial genome of CSCs displayed an overall
decrease in rare mutations. On the basis of our work, we suggest that this
decrease (in particular T>C/A>G transitions), rather than the presence of
specific mitochondrial mutations, may constitute an early biomarker for breast
cancer detection. Our findings support the hypothesis that the mitochondrial
genome is altered greatly as a result of the transformation of normal stem cells
to CSCs, and that mtDNA mutation signatures may aid in delineating normal stem
cells from CSCs. Cancer Res; 76(15); 4569-78. (c)2016 AACR.
PMID- 27197160
TI - Disrupting Hypoxia-Induced Bicarbonate Transport Acidifies Tumor Cells and
Suppresses Tumor Growth.
AB - Tumor hypoxia is associated clinically with therapeutic resistance and poor
patient outcomes. One feature of tumor hypoxia is activated expression of
carbonic anhydrase IX (CA9), a regulator of pH and tumor growth. In this study,
we investigated the hypothesis that impeding the reuptake of bicarbonate produced
extracellularly by CA9 could exacerbate the intracellular acidity produced by
hypoxic conditions, perhaps compromising cell growth and viability as a result.
In 8 of 10 cancer cell lines, we found that hypoxia induced the expression of at
least one bicarbonate transporter. The most robust and frequent inductions were
of the sodium-driven bicarbonate transporters SLC4A4 and SLC4A9, which rely upon
both HIF1alpha and HIF2alpha activity for their expression. In cancer cell
spheroids, SLC4A4 or SLC4A9 disruption by either genetic or pharmaceutical
approaches acidified intracellular pH and reduced cell growth. Furthermore,
treatment of spheroids with S0859, a small-molecule inhibitor of sodium-driven
bicarbonate transporters, increased apoptosis in the cell lines tested. Finally,
RNAi-mediated attenuation of SLC4A9 increased apoptosis in MDA-MB-231 breast
cancer spheroids and dramatically reduced growth of MDA-MB-231 breast tumors or
U87 gliomas in murine xenografts. Our findings suggest that disrupting pH
homeostasis by blocking bicarbonate import might broadly relieve the common
resistance of hypoxic tumors to anticancer therapy. Cancer Res; 76(13); 3744-55.
(c)2016 AACR.
PMID- 27197161
TI - Downregulation of the TGFbeta Pseudoreceptor BAMBI in Non-Small Cell Lung Cancer
Enhances TGFbeta Signaling and Invasion.
AB - Non-small cell lung cancer (NSCLC) is characterized by early metastasis and has
the highest mortality rate among all solid tumors, with the majority of patients
diagnosed at an advanced stage where curative therapeutic options are lacking. In
this study, we identify a targetable mechanism involving TGFbeta elevation that
orchestrates tumor progression in this disease. Substantial activation of this
pathway was detected in human lung cancer tissues with concomitant downregulation
of BAMBI, a negative regulator of the TGFbeta signaling pathway. Alterations of
epithelial-to-mesenchymal transition (EMT) marker expression were observed in
lung cancer samples compared with tumor-free tissues. Distinct alterations in the
DNA methylation of the gene regions encoding TGFbeta pathway components were
detected in NSCLC samples compared with tumor-free lung tissues. In particular,
epigenetic silencing of BAMBI was identified as a hallmark of NSCLC.
Reconstitution of BAMBI expression in NSCLC cells resulted in a marked reduction
of TGFbeta-induced EMT, migration, and invasion in vitro, along with reduced
tumor burden and tumor growth in vivo In conclusion, our results demonstrate how
BAMBI downregulation drives the invasiveness of NSCLC, highlighting TGFbeta
signaling as a candidate therapeutic target in this setting. Cancer Res; 76(13);
3785-801. (c)2016 AACR.
PMID- 27197162
TI - Endothelial Side Population Cells Contribute to Tumor Angiogenesis and
Antiangiogenic Drug Resistance.
AB - Angiogenesis plays a crucial role in tumor growth, with an undisputed
contribution of resident endothelial cells (EC) to new blood vessels in the
tumor. Here, we report the definition of a small population of vascular-resident
stem/progenitor-like EC that contributes predominantly to new blood vessel
formation in the tumor. Although the surface markers of this population are
similar to other ECs, those from the lung vasculature possess colony-forming
ability in vitro and contribute to angiogenesis in vivo These specific ECs
actively proliferate in lung tumors, and the percentage of this population
significantly increases in the tumor vasculature relative to normal lung tissue.
Using genetic recombination and bone marrow transplant models, we show that these
cells are phenotypically true ECs and do not originate from hematopoietic cells.
After treatment of tumors with antiangiogenic drugs, these specific ECs
selectively survived and remained in the tumor. Together, our results established
that ECs in the peripheral vasculature are heterogeneous and that stem/progenitor
like ECs play an indispensable role in tumor angiogenesis as EC-supplying cells.
The lack of susceptibility of these ECs to antiangiogenic drugs may account for
resistance of the tumor to this drug type. Thus, inhibiting these ECs might
provide a promising strategy to overcome antiangiogenic drug resistance. Cancer
Res; 76(11); 3200-10. (c)2016 AACR.
PMID- 27197163
TI - Impact of Pattern Recognition Receptors on the Prognosis of Breast Cancer
Patients Undergoing Adjuvant Chemotherapy.
AB - Pattern recognition receptors allow the innate immune system to perceive the
presence of microbial products and to launch the first steps of the defense
response. Some pattern recognition receptors also sense endogenous ligands that
are released from uninfected dying cells, thereby activating immune responses
against dead-cell antigens. This applies to toll-like receptors 3 and 4 (TLR3,
TLR4), which sense double-stranded RNA and high-mobility group protein B1
(HMGB1), respectively, as well as to formyl peptide receptor-1 (FPR1), which
interacts with Annexin A1 (ANXA1) from dead cells. Breast cancer patients who
bear loss-of-function alleles in TLR3, TLR4, and FPR1 exhibit a reduced
metastasis-free and overall survival after treatment with anthracycline-based
adjuvant chemotherapy. These genetic defects are epistatic with respect to each
other, suggesting that they act on the same pathway, linking chemotherapy to a
therapeutically relevant anticancer immune response. Loss-of-function alleles in
TLR4 and FPR1 also affect the prognosis of colorectal cancer patients treated
with oxaliplatin-based chemotherapy. Altogether, these results support the idea
that conventional anticancer treatments rely on stimulation of anticancer immune
responses to become fully efficient. Cancer Res; 76(11); 3122-6. (c)2016 AACR.
PMID- 27197164
TI - Toward Value-Based Pricing to Boost Cancer Research and Innovation.
AB - The high market price of new anticancer agents has stimulated debate about the
long-term sustainability of healthcare systems and whether these new agents can
continue to be supported by public healthcare or by private insurers. In
addition, some drugs have been approved with limited clinical benefit, raising
concerns about setting a minimum requirement for medical benefit. Options to
resolve these problems include raising the bar for approval of new drugs and/or
pricing of new agents based on the medical benefit that they offer to patients.
In this commentary, we suggest that new agents should be marketed in a two-step
process that would include first the approval of the new drug by the regulatory
agencies and second the introduction of a market price based on the medical
benefit that the new intervention offers to patients. Introduction of value-based
pricing would maintain the sustainability of health care systems and would
improve drug development, as it would pressure pharmaceutical companies to become
more innovative and avoid the development of compounds with limited benefit.
Value-based pricing could also stimulate the funding of research directed to
development of new anticancer drugs with novel mechanisms of action. Cancer Res;
76(11); 3127-9. (c)2016 AACR.
PMID- 27197165
TI - Identification of MYC-Dependent Transcriptional Programs in Oncogene-Addicted
Liver Tumors.
AB - Tumors driven by activation of the transcription factor MYC generally show
oncogene addiction. However, the gene expression programs that depend upon
sustained MYC activity remain unknown. In this study, we employed a mouse model
of liver carcinoma driven by a reversible tet-MYC transgene, combined with
chromatin immunoprecipitation and gene expression profiling to identify MYC
dependent regulatory events. As previously reported, MYC-expressing mice
exhibited hepatoblastoma- and hepatocellular carcinoma-like tumors, which
regressed when MYC expression was suppressed. We further show that cellular
transformation, and thus initiation of liver tumorigenesis, were impaired in mice
harboring a MYC mutant unable to associate with the corepressor protein MIZ1
(ZBTB17). Notably, switching off the oncogene in advanced carcinomas revealed
that MYC was required for the continuous activation and repression of distinct
sets of genes, constituting no more than half of all genes deregulated during
tumor progression and an even smaller subset of all MYC-bound genes. Altogether,
our data provide the first detailed analysis of a MYC-dependent transcriptional
program in a fully developed carcinoma and offer a guide to identifying the
critical effectors contributing to MYC-driven tumor maintenance. Cancer Res;
76(12); 3463-72. (c)2016 AACR.
PMID- 27197166
TI - Radiation Sensitivity in a Preclinical Mouse Model of Medulloblastoma Relies on
the Function of the Intrinsic Apoptotic Pathway.
AB - While treatments that induce DNA damage are commonly used as anticancer
therapies, the mechanisms through which DNA damage produces a therapeutic
response are incompletely understood. Here we have tested whether
medulloblastomas must be competent for apoptosis to be sensitive to radiotherapy.
Whether apoptosis is required for radiation sensitivity has been controversial.
Medulloblastoma, the most common malignant brain tumor in children, is a
biologically heterogeneous set of tumors typically sensitive to radiation and
chemotherapy; 80% of medulloblastoma patients survive long-term after treatment.
We used functional genetic studies to determine whether the intrinsic apoptotic
pathway is required for radiation to produce a therapeutic response in mice with
primary, Shh-driven medulloblastoma. We found that cranial radiation extended the
survival of medulloblastoma-bearing mice and induced widespread apoptosis.
Expression analysis and conditional deletion studies showed that Trp53 (p53) was
the predominant transcriptional regulator activated by radiation and was strictly
required for treatment response. Deletion of Bax, which blocked apoptosis
downstream of p53, was sufficient to render tumors radiation resistant. In
apoptosis-incompetent, Bax-deleted tumors, radiation activated p53-dependent
transcription without provoking cell death and caused two discrete populations to
emerge. Most radiated tumor cells underwent terminal differentiation.
Perivascular cells, however, quickly resumed proliferation despite p53
activation, behaved as stem cells, and rapidly drove recurrence. These data show
that radiation must induce apoptosis in tumor stem cells to be effective.
Mutations that disable the intrinsic apoptotic pathways are sufficient to impart
radiation resistance. We suggest that medulloblastomas are typically sensitive to
DNA-damaging therapies, because they retain apoptosis competence. Cancer Res;
76(11); 3211-23. (c)2016 AACR.
PMID- 27197167
TI - MYC Is a Crucial Mediator of TGFbeta-Induced Invasion in Basal Breast Cancer.
AB - Basal subtype breast cancers have a particularly poor prognosis, with high
invasiveness and resistance to most targeted therapies. TGFbeta and MYC drive
central features of basal breast cancer: TGFbeta is an autocrine and paracrine
signaling factor that drives cell invasion and metastasis, and MYC is a central
regulator of cellular proliferation that is upregulated in many cancer types. We
show here that genetic or pharmacologic inhibition of MYC in MCF10A basal breast
cells results in increased sensitivity to TGFbeta-stimulated invasion and
metastasis and also show that this signaling loop is dependent on activation of
SRC. Analysis of human breast cancer datasets and additional experiments with
breast cancer cell lines further suggest the relevance of this signaling loop in
basal, but not luminal, breast cancers. Our results imply precaution should be
taken when utilizing therapeutic inhibitors of MYC with basal breast cancer
patients as this could lead to increased metastasis; however, simultaneous
pharmacologic inhibition of SRC and MYC for these patients could facilitate the
antiproliferative effects of MYC inhibition while blocking the consequent
promotion of metastasis. Cancer Res; 76(12); 3520-30. (c)2016 AACR.
PMID- 27197168
TI - mda-7/IL-24 Induces Cell Death in Neuroblastoma through a Novel Mechanism
Involving AIF and ATM.
AB - Advanced stages of neuroblastoma, the most common extracranial malignant solid
tumor of the central nervous system in infants and children, are refractive to
therapy. Ectopic expression of melanoma differentiation-associated gene
7/interleukin-24 (mda-7/IL-24) promotes broad-spectrum antitumor activity in
vitro, in vivo in preclinical animal models, and in a phase I clinical trial in
patients with advanced cancers without harming normal cells. mda-7/IL-24 exerts
cancer-specific toxicity (apoptosis or toxic autophagy) by promoting endoplasmic
reticulum stress and modulating multiple signal transduction pathways regulating
cancer cell growth, invasion, metastasis, survival, and angiogenesis. To enhance
cancer-selective expression and targeted anticancer activity of mda-7/IL-24, we
created a tropism-modified cancer terminator virus (Ad.5/3-CTV), which
selectively replicates in cancer cells producing robust expression of mda-7/IL-24
We now show that Ad.5/3-CTV induces profound neuroblastoma antiproliferative
activity and apoptosis in a caspase-3/9-independent manner, both in vitro and in
vivo in a tumor xenograft model. Ad.5/3-CTV promotes these effects through a
unique pathway involving apoptosis-inducing factor (AIF) translocation into the
nucleus. Inhibiting AIF rescued neuroblastoma cells from Ad.5/3-CTV-induced cell
death, whereas pan-caspase inhibition failed to promote survival. Ad.5/3-CTV
infection of neuroblastoma cells increased ATM phosphorylation instigating
nuclear translocation and increased gamma-H2AX, triggering nuclear translocation
and intensified expression of AIF. These results were validated further using two
ATM small-molecule inhibitors that attenuated PARP cleavage by inhibiting gamma
H2AX, which in turn inhibited AIF changes in Ad.5/3-CTV-infected neuroblastoma
cells. Taken together, we elucidate a novel pathway for mda-7/IL-24-induced
caspase-independent apoptosis in neuroblastoma cells mediated through modulation
of AIF, ATM, and gamma-H2AX. Cancer Res; 76(12); 3572-82. (c)2016 AACR.
PMID- 27197169
TI - The Small Molecule IMR-1 Inhibits the Notch Transcriptional Activation Complex to
Suppress Tumorigenesis.
AB - In many cancers, aberrant Notch activity has been demonstrated to play a role in
the initiation and maintenance of the neoplastic phenotype and in cancer stem
cells, which may allude to its additional involvement in metastasis and
resistance to therapy. Therefore, Notch is an exceedingly attractive therapeutic
target in cancer, but the full range of potential targets within the pathway has
been underexplored. To date, there are no small-molecule inhibitors that directly
target the intracellular Notch pathway or the assembly of the transcriptional
activation complex. Here, we describe an in vitro assay that quantitatively
measures the assembly of the Notch transcriptional complex on DNA. Integrating
this approach with computer-aided drug design, we explored potential ligand
binding sites and screened for compounds that could disrupt the assembly of the
Notch transcriptional activation complex. We identified a small-molecule
inhibitor, termed Inhibitor of Mastermind Recruitment-1 (IMR-1), that disrupted
the recruitment of Mastermind-like 1 to the Notch transcriptional activation
complex on chromatin, thereby attenuating Notch target gene transcription.
Furthermore, IMR-1 inhibited the growth of Notch-dependent cell lines and
significantly abrogated the growth of patient-derived tumor xenografts. Taken
together, our findings suggest that a novel class of Notch inhibitors targeting
the transcriptional activation complex may represent a new paradigm for Notch
based anticancer therapeutics, warranting further preclinical characterization.
Cancer Res; 76(12); 3593-603. (c)2016 AACR.
PMID- 27197170
TI - Inhibition of PAI-1 Limits Tumor Angiogenesis Regardless of Angiogenic Stimuli in
Malignant Pleural Mesothelioma.
AB - Malignant pleural mesothelioma (MPM) is an aggressive malignant tumor that
secretes various angiogenic factors. The main inhibitor of plasminogen
activators, PAI-1 (SERPINE1), has been implicated in tumor progression and
angiogenesis, and high PAI-1 expression has been associated with poor prognosis
in MPM patients. In this study, we examined the antiangiogenic effects of PAI-1
inhibition in MPM. We administered the PAI-1 inhibitor, SK-216, to orthotopic
mouse models in which MPM cells expressing high levels of VEGF (VEGFA) or bFGF
(FGF2) were intrapleurally transplanted. SK-216 administration reduced tumor
weights and the degree of angiogenesis in intrapleural tumors, irrespective of
their angiogenic expression profiles. In addition, a combination of SK-216 and
the chemotherapeutic agent cisplatin significantly reduced tumor weights compared
with monotherapy, prolonging the survival of animals compared with cisplatin
treatment alone. Furthermore, SK-216 inhibited migration and tube formation of
cultured human umbilical vein endothelial cells induced by various angiogenic
factors known to be secreted by MPM. These findings suggest that PAI-1
inactivation by SK-216 may represent a general strategy for inhibiting
angiogenesis, including for the treatment of MPM. Cancer Res; 76(11); 3285-94.
(c)2016 AACR.
PMID- 27197171
TI - MYC-Driven Neuroblastomas Are Addicted to a Telomerase-Independent Function of
Dyskerin.
AB - The RNA-binding protein dyskerin, encoded by the DKC1 gene, functions as a core
component of the telomerase holoenzyme as well as ribonuclear protein complexes
involved in RNA processing and ribosome biogenesis. The diverse roles of dyskerin
across many facets of RNA biology implicate its potential contribution to
malignancy. In this study, we examined the expression and function of dyskerin in
neuroblastoma. We show that DKC1 mRNA levels were elevated relative to normal
cells across a panel of 15 neuroblastoma cell lines, where both N-Myc and c-Myc
directly targeted the DKC1 promoter. Upregulation of MYCN was shown to
dramatically increase DKC1 expression. In two independent neuroblastoma patient
cohorts, high DKC1 expression correlated strongly with poor event-free and
overall survival (P < 0.0001), independently of established prognostic factors.
RNAi-mediated depletion of dyskerin inhibited neuroblastoma cell proliferation,
including cells immortalized via the telomerase-independent ALT mechanism.
Furthermore, dyskerin attenuation impaired anchorage-independent proliferation
and tumor growth. Overexpression of the telomerase RNA component, hTR,
demonstrated that this proliferative impairment was not a consequence of
telomerase suppression. Instead, ribosomal stress, evidenced by depletion of
small nucleolar RNAs and nuclear dispersal of ribosomal proteins, was the likely
cause of the proliferative impairment in dyskerin-depleted cells. Accordingly,
dyskerin suppression caused p53-dependent G1 cell-cycle arrest in p53 wild-type
cells, and a p53-independent pathway impaired proliferation in cells with p53
dysfunction. Together, our findings highlight dyskerin as a new therapeutic
target in neuroblastoma with crucial telomerase-independent functions and broader
implications for the spectrum of malignancies driven by MYC family oncogenes.
Cancer Res; 76(12); 3604-17. (c)2016 AACR.
PMID- 27197172
TI - Autophagy Differentially Regulates Distinct Breast Cancer Stem-like Cells in
Murine Models via EGFR/Stat3 and Tgfbeta/Smad Signaling.
AB - Cancer stem-like cells contribute to tumor heterogeneity and have been implicated
in disease relapse and drug resistance. Here we show the coexistence of distinct
breast cancer stem-like cells (BCSC) as identified by ALDH(+) and CD29(hi)CD61(+)
markers, respectively, in murine models of breast cancer. While both BCSC exhibit
enhanced tumor-initiating potential, CD29(hi)CD61(+) BCSC displayed increased
invasive abilities and higher expression of epithelial-to-mesenchymal transition
and mammary stem cell-associated genes, whereas ALDH(+) BCSC were more closely
associated with luminal progenitors. Attenuating the autophagy regulator FIP200
diminished the tumor-initiating properties of both ALDH(+) and CD29(hi)CD61(+)
BCSC, as achieved by impairing either the Stat3 or TGFbeta/Smad pathways,
respectively. Furthermore, combining the Stat3 inhibitor Stattic and the Tgfbeta
R1 inhibitor LY-2157299 inhibited the formation of both epithelial and
mesenchymal BCSC colonies. In vivo, this combination treatment was sufficient to
limit tumor growth and reduce BCSC number. Overall, our findings reveal a
differential dependence of heterogeneous BCSC populations on divergent signaling
pathways, with implications on how to tailor drug combinations to improve
therapeutic efficacy. Cancer Res; 76(11); 3397-410. (c)2016 AACR.
PMID- 27197173
TI - Sustained Accumulation of Microtubule-Binding Chemotherapy Drugs in the
Peripheral Nervous System: Correlations with Time Course and Neurotoxic Severity.
AB - Chemotherapy-induced peripheral neuropathy is a dose-limiting side effect of many
antineoplastic agents, but the mechanisms underlying the toxicities are unclear.
At their MTDs, the microtubule-binding drugs paclitaxel and ixabepilone induce
more severe neuropathy in mice relative to eribulin mesylate, paralleling their
toxicity profiles in clinic. We hypothesized that the severity of their
neurotoxic effects might be explained by the levels at which they accumulate in
the peripheral nervous system. To test this hypothesis, we compared their
pharmacokinetics and distribution in peripheral nerve tissue. After
administration of a single intravenous dose, each drug was rapidly cleared from
plasma but all persisted in the dorsal root ganglia (DRG) and sciatic nerve (SN)
for up to 72 hours. Focusing on paclitaxel and eribulin, we performed a 2-week
MTD-dosing regimen, followed by a determination of drug pharmacokinetics, tissue
distribution, and multiple functional measures of peripheral nerve toxicity for 4
weeks. Consistent with the acute dosing study, both drugs persisted in peripheral
nervous tissues for weeks, in contrast to their rapid clearance from plasma.
Notably, although eribulin exhibited greater DRG and SN penetration than
paclitaxel, the neurotoxicity observed functionally was consistently more severe
with paclitaxel. Overall, our results argue that sustained exposure of
microtubule-binding chemotherapeutic agents in peripheral nerve tissues cannot by
itself account for their associated neurotoxicity. Cancer Res; 76(11); 3332-9.
(c)2016 AACR.
PMID- 27197175
TI - Activation of the Lin28/let-7 Axis by Loss of ESE3/EHF Promotes a Tumorigenic and
Stem-like Phenotype in Prostate Cancer.
AB - Although cancer stem-like cells (CSC) are thought to be the most tumorigenic,
metastatic, and therapy-resistant cell subpopulation within human tumors, current
therapies target bulk tumor cells while tending to spare CSC. In seeking to
understand mechanisms needed to acquire and maintain a CSC phenotype in prostate
cancer, we investigated connections between the ETS transcription factor
ESE3/EHF, the Lin28/let-7 microRNA axis, and the CSC subpopulation in this
malignancy. In normal cells, we found that ESE3/EHF bound and repressed promoters
for the Lin28A and Lin28B genes while activating transcription and maturation of
the let-7 microRNAs. In cancer cells, reduced expression of ESE3/EHF upregulated
Lin28A and Lin28B and downregulated the let-7 microRNAs. Notably, we found that
deregulation of the Lin28/let-7 axis with reduced production of let-7 microRNAs
was critical for cell transformation and expansion of prostate CSC. Moreover,
targeting Lin28A/Lin28B in cell lines and tumor xenografts mimicked the effects
of ESE3/EHF and restrained tumor-initiating and self-renewal properties of
prostate CSC both in vitro and in vivo These results establish that tight control
by ESE3/EHF over the Lin28/let-7 axis is a critical barrier to malignant
transformation, and they also suggest new strategies to antagonize CSC in human
prostate cancer for therapeutic purposes. Cancer Res; 76(12); 3629-43. (c)2016
AACR.
PMID- 27197174
TI - SIRT2-Mediated Deacetylation and Tetramerization of Pyruvate Kinase Directs
Glycolysis and Tumor Growth.
AB - Sirtuins participate in sensing nutrient availability and directing metabolic
activity to match energy needs with energy production and consumption. However,
the pivotal targets for sirtuins in cancer are mainly unknown. In this study, we
identify the M2 isoform of pyruvate kinase (PKM2) as a critical target of the
sirtuin SIRT2 implicated in cancer. PKM2 directs the synthesis of pyruvate and
acetyl-CoA, the latter of which is transported to mitochondria for use in the
Krebs cycle to generate ATP. Enabled by a shotgun mass spectrometry analysis
founded on tissue culture models, we identified a candidate SIRT2 deacetylation
target at PKM2 lysine 305 (K305). Biochemical experiments including site-directed
mutants that mimicked constitutive acetylation suggested that acetylation reduced
PKM2 activity by preventing tetramerization to the active enzymatic form.
Notably, ectopic overexpression of a deacetylated PKM2 mutant in Sirt2-deficient
mammary tumor cells altered glucose metabolism and inhibited malignant growth.
Taken together, our results argued that loss of SIRT2 function in cancer cells
reprograms their glycolytic metabolism via PKM2 regulation, partially explaining
the tumor-permissive phenotype of mice lacking Sirt2 Cancer Res; 76(13); 3802-12.
(c)2016 AACR.
PMID- 27197176
TI - Autocrine Secretion of Progastrin Promotes the Survival and Self-Renewal of Colon
Cancer Stem-like Cells.
AB - Subpopulations of cancer stem-like cells (CSC) are thought to drive tumor
progression and posttreatment recurrence in multiple solid tumors. However, the
mechanisms that maintain stable proportions of self-renewing CSC within
heterogeneous tumors under homeostatic conditions remain poorly understood.
Progastrin is a secreted peptide that exhibits tumor-forming potential in
colorectal cancer, where it regulates pathways known to modulate colon CSC
behaviors. In this study, we investigated the role of progastrin in regulating
CSC phenotype in advanced colorectal cancer. Progastrin expression and secretion
were highly enriched in colon CSC isolated from human colorectal cancer cell
lines and colon tumor biopsies. Progastrin expression promoted CSC self-renewal
and survival, whereas its depletion by RNA interference-mediated or antibody
mediated strategies altered the homeostatic proportions of CSC cells within
heterogeneous colorectal cancer tumors. Progastrin downregulation also decreased
the frequency of ALDH(high) cells, impairing their tumor-initiating potential,
and inhibited the high glycolytic activity of ALDH(high) CSC to limit their self
renewal capability. Taken together, our results show how colorectal CSC maintain
their tumor-initiating and self-renewal capabilities by secreting progastrin,
thereby contributing to the tumor microenvironment to support malignancy. Cancer
Res; 76(12); 3618-28. (c)2016 AACR.
PMID- 27197177
TI - Cancer Therapy Directed by Comprehensive Genomic Profiling: A Single Center
Study.
AB - Innovative molecular diagnostics deployed in the clinic enable new ways to
stratify patients into appropriate treatment regimens. These approaches may
resolve a major challenge for early-phase clinical trials, which is to recruit
patients who, while having failed previous treatments, may nevertheless respond
to molecularly targeted drugs. We report the findings of a prospective, single
center study conducted in patients with diverse refractory cancers who underwent
comprehensive genomic profiling (CGP; next-generation sequencing, 236 genes). Of
the 500 patients enrolled, 188 (37.6%) received either matched (N = 122/188, 65%)
or unmatched therapy (N = 66/188, 35%). The most common reasons that patients
were not evaluable for treatment included insufficient tissue, death, or hospice
transfer. The median number of molecular alterations per patient was five (range,
1-14); median number of prior therapies, four. The most common diagnoses were
ovarian cancer (18%), breast cancer (16%), sarcoma (13%), and renal cancer (7%).
Of the 339 successfully profiled patients, 317 (93.5%) had at least one
potentially actionable alteration. By calculating matching scores, based on the
number of drug matches and genomic aberrations per patient, we found that high
scores were independently associated with a greater frequency of stable disease
>=6 months/partial/complete remission [22% (high scores) vs. 9% (low scores), P =
0.024], longer time-to-treatment failure [hazard ratio (HR) = 0.52; 95%
confidence interval (CI) = 0.36-0.74; P = 0.0003], and survival (HR = 0.65; 95%
CI = 0.43-1.0; P = 0.05). Collectively, this study offers a clinical proof of
concept for the utility of CGP in assigning therapy to patients with refractory
malignancies, especially in those patients with multiple genomic aberrations for
whom combination therapies could be implemented. Cancer Res; 76(13); 3690-701.
(c)2016 AACR.
PMID- 27197179
TI - T-cell Landscape in a Primary Melanoma Predicts the Survival of Patients with
Metastatic Disease after Their Treatment with Dendritic Cell Vaccines.
AB - Tumor-infiltrating lymphocytes appear to be a predictor of survival in many
cancers, including cutaneous melanoma. We applied automated multispectral imaging
to determine whether density and distribution of T cells within primary cutaneous
melanoma tissue correlate with survival of metastatic melanoma patients after
dendritic cell (DC) vaccination. CD3(+) T cell infiltration in primary tumors
from 77 metastatic melanoma patients was quantified using the ratio of
intratumoral versus peritumoral T-cell densities (I/P ratio). Patients with
longer survival after DC vaccination had stronger T-cell infiltration than
patients with shorter survival in a discovery cohort of 19 patients (P =
0.000026) and a validation cohort of 39 patients (P = 0.000016). I/P ratio was
the strongest predictor of survival in a multivariate analysis including M
substage and serum lactate dehydrogenase level. To evaluate I/P ratio as a
predictive biomarker, we analyzed 19 chemotherapy-treated patients. Longer
survival times of DC-vaccinated compared with chemotherapy-treated patients was
observed for high (P = 0.000566), but not low (P = 0.154) I/P ratios. In
conclusion, T-cell infiltration into primary melanoma is a strong predictor of
survival after DC vaccination in metastatic melanoma patients who, on average,
started this therapy several years after primary tumor resection. The
infiltration remains predictive even after adjustment for late-stage prognostic
markers. Our findings suggest that the I/P ratio is a potential predictive
biomarker for treatment selection. Cancer Res; 76(12); 3496-506. (c)2016 AACR.
PMID- 27197178
TI - Burden of Nonsynonymous Mutations among TCGA Cancers and Candidate Immune
Checkpoint Inhibitor Responses.
AB - Immune checkpoint inhibitor treatment represents a promising approach toward
treating cancer and has been shown to be effective in a subset of melanoma, non
small cell lung cancer (NSCLC), and kidney cancers. Recent studies have suggested
that the number of nonsynonymous mutations (NsM) can be used to select melanoma
and NSCLC patients most likely to benefit from checkpoint inhibitor treatment. It
is hypothesized that a higher burden of NsM generates novel epitopes and gene
products, detected by the immune system as foreign. We conducted an assessment of
NsM across 7,757 tumor samples drawn from 26 cancers sequenced in the Cancer
Genome Atlas (TCGA) Project to estimate the subset of cancers (both types and
fractions thereof) that fit the profile suggested for melanoma and NSCLC. An
additional independent set of 613 tumors drawn from 5 cancers were analyzed for
replication. An analysis of the receiver operating characteristic curves of
published data on checkpoint inhibitor response in melanoma and NSCLC data
estimates a cutoff of 192 NsM with 74% sensitivity and 59.3% specificity to
discriminate potential clinical benefit. Across the 7,757 samples of TCGA, 16.2%
displayed an NsM count that exceeded the threshold of 192. It is notable that
more than 30% of bladder, colon, gastric, and endometrial cancers have NsM counts
above 192, which was also confirmed in melanoma and NSCLC. Our data could inform
the prioritization of tumor types (and subtypes) for possible clinical trials to
investigate further indications for effective use of immune checkpoint
inhibitors, particularly in adult cancers. Cancer Res; 76(13); 3767-72. (c)2016
AACR.
PMID- 27197180
TI - NOTCH Signaling Regulates Asymmetric Cell Fate of Fast- and Slow-Cycling Colon
Cancer-Initiating Cells.
AB - Colorectal cancer cells with stem-like properties, referred to as colon cancer
initiating cells (CCIC), have high tumorigenic potential. While CCIC can
differentiate to promote cellular heterogeneity, it remains unclear whether CCIC
within a tumor contain distinct subpopulations. Here, we describe the co
existence of fast- and slow-cycling CCIC, which can undergo asymmetric division
to generate each other, highlighting CCIC plasticity and interconvertibility.
Fast-cycling CCIC express markers, such as LGR5 and CD133, rely on MYC for their
proliferation, whereas slow-cycling CCIC express markers, such as BMI1 and hTERT,
are independent of MYC. NOTCH signaling promotes asymmetric cell fate, regulating
the balance between these two populations. Overall, our results illuminate the
basis for CCIC heterogeneity and plasticity by defining a direct interconversion
mechanism between slow- and fast-cycling CCIC. Cancer Res; 76(11); 3411-21.
(c)2016 AACR.
PMID- 27197181
TI - Ly6E/K Signaling to TGFbeta Promotes Breast Cancer Progression, Immune Escape,
and Drug Resistance.
AB - Stem cell antigen Sca-1 is implicated in murine cancer stem cell biology and
breast cancer models, but the role of its human homologs Ly6K and Ly6E in breast
cancer are not established. Here we report increased expression of Ly6K/E in
human breast cancer specimens correlates with poor overall survival, with an
additional specific role for Ly6E in poor therapeutic outcomes. Increased
expression of Ly6K/E also correlated with increased expression of the immune
checkpoint molecules PDL1 and CTLA4, increased tumor-infiltrating T regulatory
cells, and decreased natural killer (NK) cell activation. Mechanistically, Ly6K/E
was required for TGFbeta signaling and proliferation in breast cancer cells,
where they contributed to phosphorylation of Smad1/5 and Smad2/3. Furthermore,
Ly6K/E promoted cytokine-induced PDL1 expression and activation and binding of NK
cells to cancer cells. Finally, we found that Ly6K/E promoted drug resistance and
facilitated immune escape in this setting. Overall, our results establish a
pivotal role for a Ly6K/E signaling axis involving TGFbeta in breast cancer
pathophysiology and drug response, and highlight this signaling axis as a
compelling realm for therapeutic invention. Cancer Res; 76(11); 3376-86. (c)2016
AACR.
PMID- 27197183
TI - miR-17-92/p38alpha Dysregulation Enhances Wnt Signaling and Selects Lgr6+ Cancer
Stem-like Cells during Lung Adenocarcinoma Progression.
AB - Defining the molecular and cellular roots of lung cancer relapse after initial
treatment remains an imperative to improve survival. Here we report that the lung
stem cell marker Lgr6 becomes enriched in non-small cell lung cancer (NSCLC)
cells during malignant progression. Lgr6(+) NSCLC cells displayed self-renewal
and differentiation properties along with a higher tumorigenic potential.
Mechanistic investigations suggested that a defective repression of the miR-17-92
gene cluster was responsible for evolution of a selection for outgrowth of
Lgr6(+) NSCLC cells. High levels of expression of miR-19 family members were
found to target and downregulate levels of p38alpha kinase, providing a specific
survival signal for Lgr6(+) cells as mediated by increased Wnt/beta-catenin
activity. Our results identify a specific stem-like cell population in NSCLC with
increased malignant potential, the elucidation of which may enable earlier
prognosis and possibly the development of more effective targeted treatments.
Cancer Res; 76(13); 4012-22. (c)2016 AACR.
PMID- 27197182
TI - ICOS Promotes the Function of CD4+ Effector T Cells during Anti-OX40-Mediated
Tumor Rejection.
AB - ICOS is a T-cell coregulatory receptor that provides a costimulatory signal to T
cells during antigen-mediated activation. Antitumor immunity can be improved by
ICOS-targeting therapies, but their mechanism of action remains unclear. Here, we
define the role of ICOS signaling in antitumor immunity using a blocking,
nondepleting antibody against ICOS ligand (ICOS-L). ICOS signaling provided
critical support for the effector function of CD4(+) Foxp3(-) T cells during anti
OX40-driven tumor immune responses. By itself, ICOS-L blockade reduced
accumulation of intratumoral T regulatory cells (Treg), but it was insufficient
to substantially inhibit tumor growth. Furthermore, it did not impede antitumor
responses mediated by anti-4-1BB-driven CD8(+) T cells. We found that anti-OX40
efficacy, which is based on Treg depletion and to a large degree on CD4(+)
effector T cell (Teff) responses, was impaired with ICOS-L blockade. In contrast,
the provision of additional ICOS signaling through direct ICOS-L expression by
tumor cells enhanced tumor rejection and survival when administered along with
anti-OX40 therapy. Taken together, our results showed that ICOS signaling during
antitumor responses acts on both Teff and Treg cells, which have opposing roles
in promoting immune activation. Thus, effective therapies targeting the ICOS
pathway should seek to promote ICOS signaling specifically in effector CD4(+) T
cells by combining ICOS agonism and Treg depletion. Cancer Res; 76(13); 3684-9.
(c)2016 AACR.
PMID- 27197184
TI - M-COPA, a Golgi Disruptor, Inhibits Cell Surface Expression of MET Protein and
Exhibits Antitumor Activity against MET-Addicted Gastric Cancers.
AB - The Golgi apparatus is responsible for transporting, processing, and sorting
numerous proteins in the cell, including cell surface-expressed receptor tyrosine
kinases (RTK). The small-molecule compound M-COPA [2-methylcoprophilinamide (AMF
26)] disrupts the Golgi apparatus by inhibiting the activation of Arf1, resulting
in suppression of tumor growth. Here, we report an evaluation of M-COPA activity
against RTK-addicted cancers, focusing specifically on human gastric cancer (GC)
cells with or without MET amplification. As expected, the MET-addicted cell line
MKN45 exhibited a better response to M-COPA than cell lines without MET
amplification. Upon M-COPA treatment, cell surface expression of MET was
downregulated with a concurrent accumulation of its precursor form. M-COPA also
reduced levels of the phosphorylated form of MET along with the downstream
signaling molecules Akt and S6. Similar results were obtained in additional GC
cell lines with amplification of MET or the FGF receptor FGFR2 MKN45 murine
xenograft experiments demonstrated the antitumor activity of M-COPA in vivo Taken
together, our results offer an initial preclinical proof of concept for the use
of M-COPA as a candidate treatment option for MET-addicted GC, with broader
implications for targeting the Golgi apparatus as a novel cancer therapeutic
approach. Cancer Res; 76(13); 3895-903. (c)2016 AACR.
PMID- 27197185
TI - miR-339-3p Is a Tumor Suppressor in Melanoma.
AB - Determinants of invasion and metastasis in cancer remain of great interest to
define. Here, we report the definition of miR-339-3p as a novel tumor suppressive
microRNA that blocks melanoma cell invasion without affecting cell survival. miR
339-3p was identified by a comprehensive functional screen of a human miRNA
mimetic library in a cell-based assay for invasion by the melanoma cell line
A375. miR-339-3p was determined as a strong inhibitor of invasion differentially
expressed in melanoma cells and healthy melanocytes. MCL1 was defined as a target
for downregulation by miR-339-3p, functioning through direct interaction with the
3' untranslated region of MCL1 mRNA. Blocking miR-339-3p by an antagomiR was
sufficient to increase melanoma cell invasion, an effect that could be
phenocopied by RNAi-mediated silencing of MCL1. In vivo studies established that
miR-339-3p overexpression was sufficient to decrease lung colonization by A375
melanoma cells in NSG mice, relative to control cells. Overall, our results
defined miR-339-3p as a melanoma tumor suppressor, the levels of which
contributes to invasive aggressiveness. Cancer Res; 76(12); 3562-71. (c)2016
AACR.
PMID- 27197186
TI - Antibody-Targeted Chemotherapy for the Treatment of Melanoma.
AB - Antibody-directed chemotherapy (ADC) offers an advantage over conventional
chemotherapy because it provides antibody-directed targeting, with resultant
improvement in therapeutic efficacy and reduced toxicity. Despite extensive
research, with notable exceptions, broad clinical application of ADC remains
elusive; major hurdles include the instability of antibody-chemotherapy linkers
and reduced tumor toxicity of the chemotherapy when bound to the antibody. To
address these challenges, we have developed a platform technology that utilizes
the nab-paclitaxel formulation of paclitaxel, Abraxane, in which hydrophobic
paclitaxel is suspended in 130-nm albumin nanoparticles and thus made water
soluble. We have developed a method to noncovalently coat the Abraxane
nanoparticle with recombinant mAbs (anti-VEGF, bevacizumab) and guide Abraxane
delivery into tumors in a preclinical model of human A375 melanoma. Here, we
define the binding characteristics of bevacizumab and Abraxane, demonstrate that
the chemotherapy agent retains its cytotoxic effect, while the antibody maintains
the ability to bind its ligand when the two are present in a single nanoparticle
(AB160), and show that the nanoparticle yields improved antitumor efficacy in a
preclinical human melanoma xenograft model. Further data suggest that numerous
therapeutic monoclonal IgG1 antibodies may be utilized in this platform, which
has implications for many solid and hematologic malignancies. Cancer Res; 76(13);
3954-64. (c)2016 AACR.
PMID- 27197187
TI - IL6 Blockade Reprograms the Lung Tumor Microenvironment to Limit the Development
and Progression of K-ras-Mutant Lung Cancer.
AB - Activating mutations of K-ras are the most common oncogenic alterations found in
lung cancer. Unfortunately, attempts to target K-ras-mutant lung tumors have thus
far failed, clearly indicating the need for new approaches in patients with this
molecular profile. We have previously shown NF-kappaB activation, release of IL6,
and activation of its responsive transcription factor STAT3 in K-ras-mutant lung
tumors, which was further amplified by the tumor-enhancing effect of chronic
obstructive pulmonary disease (COPD)-type airway inflammation. These findings
suggest an essential role for this inflammatory pathway in K-ras-mutant lung
tumorigenesis and its enhancement by COPD. Therefore, here we blocked IL6 using a
monoclonal anti-IL6 antibody in a K-ras-mutant mouse model of lung cancer in the
absence or presence of COPD-type airway inflammation. IL6 blockade significantly
inhibited lung cancer promotion, tumor cell-intrinsic STAT3 activation, tumor
cell proliferation, and angiogenesis markers. Moreover, IL6 inhibition reduced
expression of protumor type 2 molecules (arginase 1, Fizz 1, Mgl, and IDO),
number of M2-type macrophages and granulocytic myeloid-derived suppressor cells,
and protumor T-regulatory/Th17 cell responses. This was accompanied by increased
expression of antitumor type 1 molecule (Nos2), and antitumor Th1/CD8 T-cell
responses. Our study demonstrates that IL6 blockade not only has direct intrinsic
inhibitory effect on tumor cells, but also reeducates the lung microenvironment
toward an antitumor phenotype by altering the relative proportion between
protumor and antitumor immune cells. This information introduces IL6 as a
potential druggable target for prevention and treatment of K-ras-mutant lung
tumors. Cancer Res; 76(11); 3189-99. (c)2016 AACR.
PMID- 27197189
TI - Ribosomal Protein Rpl22 Controls the Dissemination of T-cell Lymphoma.
AB - Mutations in ribosomal proteins cause bone marrow failure syndromes associated
with increased cancer risk, but the basis by which they do so remains unclear. We
reported previously that the ribosomal protein Rpl22 is a tumor suppressor in T
cell acute lymphoblastic leukemia/lymphoma (T-ALL), and that loss of just one
Rpl22 allele accelerates T-cell lymphomagenesis by activating NF-kappaB and
inducing the stem cell factor Lin28B. Here, we show that, paradoxically, loss of
both alleles of Rpl22 restricts lymphoma progression through a distinct effect on
migration of malignant cells out of the thymus. Lymphoma-prone AKT2-transgenic or
PTEN-deficient mice on an Rpl22(-/-) background developed significantly larger
and markedly more vascularized thymic tumors than those observed in Rpl22(+/+)
control mice. But, unlike Rpl22(+/+) or Rpl22(+/-) tumors, Rpl22(-/-) lymphomas
did not disseminate to the periphery and were retained in the thymus. We traced
the defect in the Rpl22(-/-) lymphoma migratory capacity to downregulation of the
KLF2 transcription factor and its targets, including the key migratory factor
sphingosine 1-phosphate receptor 1 (S1PR1). Indeed, reexpression of S1PR1 in
Rpl22-deficient tumor cells restores their migratory capacity in vitro The
regulation of KLF2 and S1PR1 by Rpl22 appears to be proximal as Rpl22
reexpression in Rpl22-deficient lymphoma cells restores expression of KLF2 and
S1P1R, while Rpl22 knockdown in Rpl22-sufficient lymphomas attenuates their
expression. Collectively, these data reveal that, while loss of one copy of Rpl22
promotes lymphomagenesis and disseminated disease, loss of both copies impairs
responsiveness to migratory cues and restricts malignant cells to the thymus.
Cancer Res; 76(11); 3387-96. (c)2016 AACR.
PMID- 27197188
TI - Renalase Expression by Melanoma and Tumor-Associated Macrophages Promotes Tumor
Growth through a STAT3-Mediated Mechanism.
AB - To sustain their proliferation, cancer cells overcome negative-acting signals
that restrain their growth and promote senescence and cell death. Renalase (RNLS)
is a secreted flavoprotein that functions as a survival factor after ischemic and
toxic injury, signaling through the plasma calcium channel PMCA4b to activate the
PI3K/AKT and MAPK pathways. We show that RNLS expression is increased markedly in
primary melanomas and CD163(+) tumor-associated macrophages (TAM). In clinical
specimens, RNLS expression in the tumor correlated inversely with disease
specific survival, suggesting a pathogenic role for RNLS. Attenuation of RNLS by
RNAi, blocking antibodies, or an RNLS-derived inhibitory peptide decreased
melanoma cell survival, and anti-RNLS therapy blocked tumor growth in vivo in
murine xenograft assays. Mechanistic investigations showed that increased
apoptosis in tumor cells was temporally related to p38 MAPK-mediated Bax
activation and that increased cell growth arrest was associated with elevated
expression of the cell-cycle inhibitor p21. Overall, our results established a
role for the secreted flavoprotein RNLS in promoting melanoma cell growth and
CD163(+) TAM in the tumor microenvironment, with potential therapeutic
implications for the management of melanoma. Cancer Res; 76(13); 3884-94. (c)2016
AACR.
PMID- 27197190
TI - A Novel MIF Signaling Pathway Drives the Malignant Character of Pancreatic Cancer
by Targeting NR3C2.
AB - Pancreatic cancers with aberrant expression of macrophage migration inhibitory
factor (MIF) are particularly aggressive. To identify key signaling pathways that
drive disease aggressiveness in tumors with high MIF expression, we analyzed the
expression of coding and noncoding genes in high and low MIF-expressing tumors in
multiple cohorts of pancreatic ductal adenocarcinoma (PDAC) patients. The key
genes and pathways identified were linked to patient survival and were
mechanistically, functionally, and clinically characterized using cell lines, a
genetically engineered mouse model, and PDAC patient cohorts. Here, we report
evidence of a novel MIF-driven signaling pathway that inhibits the orphan nuclear
receptor NR3C2, a previously undescribed tumor suppressor that impacts
aggressiveness and survival in PDAC. Mechanistically, MIF upregulated miR-301b
that targeted NR3C2 and suppressed its expression. PDAC tumors expressing high
levels of MIF displayed elevated levels of miR-301b and reduced levels of NR3C2.
In addition, reduced levels of NR3C2 expression correlated with poorer survival
in multiple independent cohorts of PDAC patients. Functional analysis showed that
NR3C2 inhibited epithelial-to-mesenchymal transition and enhanced sensitivity to
the gemcitabine, a chemotherapeutic drug used in PDAC standard of care.
Furthermore, genetic deletion of MIF disrupted a MIF-mir-301b-NR3C2 signaling
axis, reducing metastasis and prolonging survival in a genetically engineered
mouse model of PDAC. Taken together, our results offer a preclinical proof of
principle for candidate therapies to target a newly described MIF-miR-301b-NR3C2
signaling axis for PDAC management. Cancer Res; 76(13); 3838-50. (c)2016 AACR.
PMID- 27197193
TI - Systematic Analysis of AU-Rich Element Expression in Cancer Reveals Common
Functional Clusters Regulated by Key RNA-Binding Proteins.
AB - Defects in AU-rich elements (ARE)-mediated posttranscriptional control can lead
to several abnormal processes that underlie carcinogenesis. Here, we performed a
systematic analysis of ARE-mRNA expression across multiple cancer types. First,
the ARE database (ARED) was intersected with The Cancer Genome Atlas databases
and others. A large set of ARE-mRNAs was over-represented in cancer and, unlike
non-ARE-mRNAs, correlated with the reversed balance in the expression of the RNA
binding proteins tristetraprolin (TTP, ZFP36) and HuR (ELAVL1). Serial
statistical and functional enrichment clustering identified a cluster of 11
overexpressed ARE-mRNAs (CDC6, KIF11, PRC1, NEK2, NCAPG, CENPA, NUF2, KIF18A,
CENPE, PBK, TOP2A) that negatively correlated with TTP/HuR mRNA ratios and was
involved in the mitotic cell cycle. This cluster was upregulated in a number of
solid cancers. Experimentally, we demonstrated that the ARE-mRNA cluster is
upregulated in a number of tumor breast cell lines when compared with noninvasive
and normal-like breast cancer cells. RNA-IP demonstrated the association of the
ARE-mRNAs with TTP and HuR. Experimental modulation of TTP or HuR expression led
to changes in the mitosis ARE-mRNAs. Posttranscriptional reporter assays
confirmed the functionality of AREs. Moreover, TTP augmented mitotic cell-cycle
arrest as demonstrated by flow cytometry and histone H3 phosphorylation. We found
that poor breast cancer patient survival was significantly associated with low
TTP/HuR mRNA ratios and correlated with high levels of the mitotic ARE-mRNA
signature. These results significantly broaden the role of AREs and their binding
proteins in cancer, and demonstrate that TTP induces an antimitotic pathway that
is diminished in cancer. Cancer Res; 76(14); 4068-80. (c)2016 AACR.
PMID- 27197192
TI - Improved Survival of HER2+ Breast Cancer Patients Treated with Trastuzumab and
Chemotherapy Is Associated with Host Antibody Immunity against the HER2
Intracellular Domain.
AB - The addition of trastuzumab to chemotherapy extends survival among patients with
HER2(+) breast cancer. Prior work showed that trastuzumab and chemotherapy
augments HER2 extracellular domain (ECD)-specific antibodies. The current study
investigated whether combination therapy induced immune responses beyond HER2-ECD
and, importantly, whether those immune responses were associated with survival.
Pretreatment and posttreatment sera were obtained from 48 women with metastatic
HER2(+) breast cancer on NCCTG (now Alliance for Clinical Trials in Oncology)
studies, N0337 and N983252. IgG to HER2 intracellular domain (ICD), HER2-ECD,
p53, IGFBP2, CEA, and tetanus toxoid were examined. Sera from 25 age-matched
controls and 26 surgically resected HER2(+) patients were also examined. Prior to
therapy, some patients with metastatic disease had elevated antibodies to IGFBP2,
p53, HER2-ICD, HER2-ECD, and CEA, but not to tetanus toxin, relative to controls
and surgically resected patients. Treatment augmented antibody responses to HER2
ICD in 69% of metastatic patients, which was highly associated with improved
progression-free survival (PFS; HR = 0.5, P = 0.0042) and overall survival (OS;
HR = 0.7, P = 0.038). Augmented antibody responses to HER2-ICD also correlated (P
= 0.03) with increased antibody responses to CEA, IGFBP2, and p53, indicating
that treatment induces epitope spreading. Paradoxically, patients who already had
high preexisting immunity to HER2-ICD did not respond to therapy with increased
antibodies to HER2-ICD and demonstrated poorer PFS (HR = 1.6, P < 0.0001) and OS
(HR = 1.4, P = 0.0006). Overall, the findings further demonstrate the importance
of the adaptive immune system in the efficacy of trastuzumab-containing regimens.
Cancer Res; 76(13); 3702-10. (c)2016 AACR.
PMID- 27197194
TI - Rapalogs Efficacy Relies on the Modulation of Antitumor T-cell Immunity.
AB - The rapalogs everolimus and temsirolimus that inhibit mTOR signaling are used as
antiproliferative drugs in several cancers. Here we investigated the influence of
rapalogs-mediated immune modulation on their antitumor efficacy. Studies in
metastatic renal cell carcinoma patients showed that everolimus promoted high
expansion of FoxP3 (+)Helios(+)Ki67(+) regulatory CD4 T cells (Tregs). In these
patients, rapalogs strongly enhanced the suppressive functions of Tregs, mainly
in a contact-dependent manner. Paradoxically, a concurrent activation of
spontaneous tumor-specific Th1 immunity also occurred. Furthermore, a high rate
of Eomes(+)CD8(+) T cells was detected in patients after a long-term mTOR
inhibition. We found that early changes in the Tregs/antitumor Th1 balance can
differentially shape the treatment efficacy. Patients presenting a shift toward
decreased Tregs levels and high expansion of antitumor Th1 cells showed better
clinical responses. Studies conducted in tumor-bearing mice confirmed the
deleterious effect of rapalogs-induced Tregs via a mechanism involving the
inhibition of antitumor T-cell immunity. Consequently, the combination of
temsirolimus plus CCR4 antagonist, a receptor highly expressed on rapalogs
exposed Tregs, was more effective than monotherapy. Altogether, our results
describe for the first time a dual impact of host adaptive antitumor T-cell
immunity on the clinical effectiveness of rapalogs and prompt their association
with immunotherapies. Cancer Res; 76(14); 4100-12. (c)2016 AACR.
PMID- 27197195
TI - mTORC1-Driven Tumor Cells Are Highly Sensitive to Therapeutic Targeting by
Antagonists of Oxidative Stress.
AB - mTORC1 is a central signaling node in controlling cell growth, proliferation, and
metabolism that is aberrantly activated in cancers and certain cancer-associated
genetic disorders, such as tuberous sclerosis complex (TSC) and sporadic
lymphangioleiomyomatosis. However, while mTORC1-inhibitory compounds (rapamycin
and rapalogs) attracted interest as candidate therapeutics, clinical trials have
not replicated the promising findings in preclinical models, perhaps because
these compounds tend to limit cell proliferation without inducing cell death. In
seeking to address this issue, we performed a high-throughput screen for small
molecules that could heighten the cytotoxicity of mTORC1 inhibitors. Here we
report the discovery that combining inhibitors of mTORC1 and glutamate cysteine
ligase (GCLC) can selectively and efficiently trigger apoptosis in Tsc2-deficient
cells but not wild-type cells. Mechanistic investigations revealed that
coinhibition of mTORC1 and GCLC decreased the level of the intracellular thiol
antioxidant glutathione (GSH), thereby increasing levels of reactive oxygen
species, which we determined to mediate cell death in Tsc2-deficient cells. Our
findings offer preclinical proof of concept for a strategy to selectively
increase the cytotoxicity of mTORC1 inhibitors as a therapy to eradicate tumor
cells marked by high mTORC1 signaling, based on cotargeting a GSH-controlled
oxidative stress pathway. Cancer Res; 76(16); 4816-27. (c)2016 AACR.
PMID- 27197191
TI - Cross-Cancer Genome-Wide Analysis of Lung, Ovary, Breast, Prostate, and
Colorectal Cancer Reveals Novel Pleiotropic Associations.
AB - Identifying genetic variants with pleiotropic associations can uncover common
pathways influencing multiple cancers. We took a two-stage approach to conduct
genome-wide association studies for lung, ovary, breast, prostate, and colorectal
cancer from the GAME-ON/GECCO Network (61,851 cases, 61,820 controls) to identify
pleiotropic loci. Findings were replicated in independent association studies
(55,789 cases, 330,490 controls). We identified a novel pleiotropic association
at 1q22 involving breast and lung squamous cell carcinoma, with eQTL analysis
showing an association with ADAM15/THBS3 gene expression in lung. We also
identified a known breast cancer locus CASP8/ALS2CR12 associated with prostate
cancer, a known cancer locus at CDKN2B-AS1 with different variants associated
with lung adenocarcinoma and prostate cancer, and confirmed the associations of a
breast BRCA2 locus with lung and serous ovarian cancer. This is the largest study
to date examining pleiotropy across multiple cancer-associated loci, identifying
common mechanisms of cancer development and progression. Cancer Res; 76(17); 5103
14. (c)2016 AACR.
PMID- 27197197
TI - MFG-E8 Drives Melanoma Growth by Stimulating Mesenchymal Stromal Cell-Induced
Angiogenesis and M2 Polarization of Tumor-Associated Macrophages.
AB - Secretion of the powerful angiogenic factor MFG-E8 by pericytes can bypass the
therapeutic effects of anti-VEGF therapy, but the mechanisms by which MFG-E8 acts
are not fully understood. In this study, we investigated how this factor acts to
promote the growth of melanomas that express it. We found that mouse bone marrow
derived mesenchymal stromal cells (MSC) expressed a substantial amount of MFG-E8.
To assess its expression from this cell type, we implanted melanoma cells and MSC
derived from wild type (WT) or MFG-E8 deficient [knockout (KO)] into mice and
monitored tumor growth. Tumor growth and M2 macrophages were each attenuated in
subjects coimplanted with KO-MSC compared with WT-MSC. In both xenograft tumors
and clinical specimens of melanoma, we found that MFG-E8 expression was
heightened near blood vessels where MSC could be found. Through in vitro assays,
we confirmed that WT-MSC-conditioned medium was more potent at inducing M2
macrophage polarization, compared with KO-MSC-conditioned medium. VEGF and ET-1
expression in KO-MSC was significantly lower than in WT-MSC, correlating in vivo
with reduced tumor growth and numbers of pericytes and M2 macrophages within
tumors. Overall, our results suggested that MFG-E8 acts at two levels, by
increasing VEGF and ET-1 expression in MSC and by enhancing M2 polarization of
macrophages, to increase tumor angiogenesis. Cancer Res; 76(14); 4283-92. (c)2016
AACR.
PMID- 27197198
TI - Label-Free Neurosurgical Pathology with Stimulated Raman Imaging.
AB - The goal of brain tumor surgery is to maximize tumor removal without injuring
critical brain structures. Achieving this goal is challenging as it can be
difficult to distinguish tumor from nontumor tissue. While standard
histopathology provides information that could assist tumor delineation, it
cannot be performed iteratively during surgery as freezing, sectioning, and
staining of the tissue require too much time. Stimulated Raman scattering (SRS)
microscopy is a powerful label-free chemical imaging technology that enables
rapid mapping of lipids and proteins within a fresh specimen. This information
can be rendered into pathology-like images. Although this approach has been used
to assess the density of glioma cells in murine orthotopic xenografts models and
human brain tumors, tissue heterogeneity in clinical brain tumors has not yet
been fully evaluated with SRS imaging. Here we profile 41 specimens resected from
12 patients with a range of brain tumors. By evaluating large-scale stimulated
Raman imaging data and correlating this data with current clinical gold standard
of histopathology for 4,422 fields of view, we capture many essential diagnostic
hallmarks for glioma classification. Notably, in fresh tumor samples, we observe
additional features, not seen by conventional methods, including extensive lipid
droplets within glioma cells, collagen deposition in gliosarcoma, and
irregularity and disruption of myelinated fibers in areas infiltrated by
oligodendroglioma cells. The data are freely available in a public resource to
foster diagnostic training and to permit additional interrogation. Our work
establishes the methodology and provides a significant collection of reference
images for label-free neurosurgical pathology. Cancer Res; 76(12); 3451-62.
(c)2016 AACR.
PMID- 27197196
TI - Constitutive Activation of PI3K in Oocyte Induces Ovarian Granulosa Cell Tumors.
AB - Cell-cell interactions play crucial roles in the maintenance of tissue
homeostasis, a loss of which often leads to varying diseases, including cancer.
Here, we report that uncontrolled PI3K activity within oocytes irreversibly
transforms granulosa cells (GC), causing GC tumors (GCT) through perturbed local
cell communication. Previously, we reported reproductive phenotypes of transgenic
mice, in which expression of constitutively active mutant PI3K was induced in
primordial oocytes by Gdf9-iCre. The transgenic mice (Cre(+)) demonstrated severe
ovarian phenotypes, including the overgrowth of excess ovarian follicles and
anovulation. Surprisingly, the Cre(+) mice became cachectic by postnatal day 80
due to bilateral GCT. Although GCT cells proliferated independently of oocytes,
local interactions with mutant PI3K-positive oocytes during early
folliculogenesis were essential for the GC transformation. Growing GCT cells
expressed high levels of inhibin betaA and nuclear SMAD3, and the proliferation
rate was positively correlated with a high activin A to inhibin A ratio. These
results suggested that the tumor cells stimulated their growth through an activin
A autocrine signaling pathway, a hypothesis confirmed by activin A secretion in
cultured GCT cells, which proliferated in response. Although communication
between the oocyte and surrounding somatic cells is critical for the normal
development of ovarian follicles, perturbations in oocyte-GC communication during
early folliculogenesis can induce GCT by activating an autocrine growth circuit
program in GC. Cancer Res; 76(13); 3851-61. (c)2016 AACR.
PMID- 27197199
TI - Adoptive Transfer of CD8+ T Cells Generated from Induced Pluripotent Stem Cells
Triggers Regressions of Large Tumors Along with Immunological Memory.
AB - Current approaches to adoptive T-cell therapy are limited by the difficulty of
obtaining sufficient numbers of T cells against targeted antigens with useful in
vivo characteristics. Theoretically, this limitation could be overcome by using
induced pluripotent stem cells (iPSC) that could provide an unlimited source of
autologous T cells. However, the therapeutic efficacy of iPSC-derived regenerated
T cells remains to be demonstrated. Here, we report the first successful
reprogramming of T-cell receptor (TCR) transgenic CD8(+) T cells into
pluripotency. As part of the work, we established a syngeneic mouse model for
evaluating in vitro and in vivo antitumor reactivity of regenerated T cells from
iPSCs bearing a rearranged TCR of known antigen specificity. Stably TCR retained
T-cell-derived iPSCs differentiated into CD4(+)CD8(+) T cells that expressed CD3
and the desired TCR in vitro Stimulation of iPSC-derived CD4(+)CD8(+) T cells
with the cognate antigen in the presence of IL7 and IL15 followed by expansion
with IL2, IL7, and IL15 generated large numbers of less-differentiated CD8(+) T
cells with antigen-specific potent cytokine production and cytolytic capacity.
Furthermore, adoptively transferred iPSC-derived CD8(+) T cells escaped immune
rejection, mediated effective regression of large tumors, improved survival, and
established antigen-specific immunological memory. Our findings illustrate the
translational potential of iPSCs to provide an unlimited number of phenotypically
defined, functional, and expandable autologous antigen-specific T cells with the
characteristics needed to enable in vivo effectiveness. Cancer Res; 76(12); 3473
83. (c)2016 AACR.
PMID- 27197200
TI - Increased Expression of miR-23a Mediates a Loss of Expression in the RAF Kinase
Inhibitor Protein RKIP.
AB - RAF kinase inhibitor protein (RKIP) is a seminal regulator of intracellular
signaling and exhibits both antimetastatic and antitumorigenic properties.
Decreased expression of RKIP has been described in several human malignancies,
including acute myelogenous leukemia (AML). As the mechanisms leading to RKIP
loss in AML are still unclear, we aimed to analyze the potential involvement of
miRNAs within this study. miRNA microarray and qPCR data of more than 400 AML
patient specimens revealed correlation between decreased expression of RKIP and
increased expression of miR-23a, a member of the miR-23a/27a/24-2 cluster. In
functional experiments, overexpression of miR-23a decreased RKIP mRNA and protein
expression, whereas miR-23a inhibition caused the opposite effect. By using an
RKIP 3'-untranslated region luciferase reporter construct with and without
mutation or deletion of the putative miR-23a-binding site, we could show that
RKIP modulation by miR-23a is mediated via direct binding to this region.
Importantly, miR-23a overexpression induced a significant increase of
proliferation in hematopoietic cells. Simultaneous transfection of an RKIP
expression construct lacking the miR-23a-binding sites reversed this phenotype,
indicating that this effect is truly mediated via downregulation of RKIP.
Finally, by analyzing more than 4,300 primary patient specimens via database
retrieval from The Cancer Genome Atlas, we could highlight the importance of the
miR-23a/RKIP axis in a broad range of human cancer entities. In conclusion, we
have identified miR-23a as a negative regulator of RKIP expression in AML and
have provided data that suggest the importance of our observation beyond this
tumor entity. Cancer Res; 76(12); 3644-54. (c)2016 AACR.
PMID- 27197202
TI - c-Myb Enhances Breast Cancer Invasion and Metastasis through the Wnt/beta
Catenin/Axin2 Pathway.
AB - The molecular underpinnings of aggressive breast cancers remain mainly obscure.
Here we demonstrate that activation of the transcription factor c-Myb is required
for the prometastatic character of basal breast cancers. An analysis of breast
cancer patients led us to identify c-Myb as an activator of Wnt/beta-catenin
signaling. c-Myb interacted with the intracellular Wnt effector beta-catenin and
coactivated the Wnt/beta-catenin target genes Cyclin D1 and Axin2 Moreover, c-Myb
controlled metastasis in an Axin2-dependent manner. Expression microarray
analyses revealed a positive association between Axin2 and c-Myb, a target of the
proinflammatory cytokine IL1beta that was found to be required for IL1beta
induced breast cancer cell invasion. Overall, our results identified c-Myb as a
promoter of breast cancer invasion and metastasis through its ability to activate
Wnt/beta-catenin/Axin2 signaling. Cancer Res; 76(11); 3364-75. (c)2016 AACR.
PMID- 27197201
TI - p27 Is a Candidate Prognostic Biomarker and Metastatic Promoter in Osteosarcoma.
AB - Metastatic progression is the major cause of death in osteosarcoma, the most
common bone malignancy in children and young adults. However, prognostic
biomarkers and efficacious targeted treatments for metastatic disease remain
lacking. Using an immunoproteomic approach, we discovered that autoantibodies
against the cell-cycle kinase inhibitor p27 (KIP1, CDKN1B) were elevated in
plasma of high-risk osteosarcoma patients. Using a large cohort of serum samples
from osteosarcoma patients (n = 233), we validated that a higher level of the p27
autoantibody significantly correlated with poor overall and event-free survival
(P < 0.05). Immunohistochemical analysis also showed that p27 was mislocalized to
the cytoplasm in the majority of osteosarcoma cases and in highly metastatic
osteosarcoma cell lines. We demonstrated that ectopic expression of cytoplasmic
p27 promoted migration and invasion of osteosarcoma cells, whereas shRNA-mediated
gene silencing suppressed these effects. In addition, mutations at the p27
phosphorylation sites S10 or T198, but not T157, abolished the migratory and
invasive phenotypes. Furthermore, the development of pulmonary metastases
increased in mice injected with cells expressing cytoplasmic p27 compared with an
empty vector control. Collectively, our findings support further investigation of
p27 as a potential prognostic biomarker and therapeutic target in osteosarcoma
cases exhibiting aberrant p27 subcellular localization. Cancer Res; 76(13); 4002
11. (c)2016 AACR.
PMID- 27197204
TI - Mediator, TATA-binding protein, and RNA polymerase II contribute to low histone
occupancy at active gene promoters in yeast.
PMID- 27197203
TI - Combined Inhibition of DNMT and HDAC Blocks the Tumorigenicity of Cancer Stem
like Cells and Attenuates Mammary Tumor Growth.
AB - Recently, impressive technical advancements have been made in the isolation and
validation of mammary stem cells and cancer stem cells (CSC), but the signaling
pathways that regulate stem cell self-renewal are largely unknown. Furthermore,
CSCs are believed to contribute to chemo- and radioresistance. In this study, we
used the MMTV-Neu-Tg mouse mammary tumor model to identify potential new
strategies for eliminating CSCs. We found that both luminal progenitor and basal
stem cells are susceptible to genetic and epigenetic modifications, which
facilitate oncogenic transformation and tumorigenic potential. A combination of
the DNMT inhibitor 5-azacytidine and the HDAC inhibitor butyrate markedly reduced
CSC abundance and increased the overall survival in this mouse model. RNA-seq
analysis of CSCs treated with 5-azacytidine plus butyrate provided evidence that
inhibition of chromatin modifiers blocks growth-promoting signaling molecules
such as RAD51AP1 and SPC25, which play key roles in DNA damage repair and
kinetochore assembly. Moreover, RAD51AP1 and SPC25 were significantly
overexpressed in human breast tumor tissues and were associated with reduced
overall patient survival. In conclusion, our studies suggest that breast CSCs are
intrinsically sensitive to genetic and epigenetic modifications and can therefore
be significantly affected by epigenetic-based therapies, warranting further
investigation of combined DNMT and HDAC inhibition in refractory or drug
resistant breast cancer. Cancer Res; 76(11); 3224-35. (c)2016 AACR.
PMID- 27197205
TI - Multiplex enhancer-reporter assays uncover unsophisticated TP53 enhancer logic.
AB - Transcription factors regulate their target genes by binding to regulatory
regions in the genome. Although the binding preferences of TP53 are known, it
remains unclear what distinguishes functional enhancers from nonfunctional
binding. In addition, the genome is scattered with recognition sequences that
remain unoccupied. Using two complementary techniques of multiplex enhancer
reporter assays, we discovered that functional enhancers could be discriminated
from nonfunctional binding events by the occurrence of a single TP53 canonical
motif. By combining machine learning with a meta-analysis of TP53 ChIP-seq data
sets, we identified a core set of more than 1000 responsive enhancers in the
human genome. This TP53 cistrome is invariably used between cell types and
experimental conditions, whereas differences among experiments can be attributed
to indirect nonfunctional binding events. Our data suggest that TP53 enhancers
represent a class of unsophisticated cell-autonomous enhancers containing a
single TP53 binding site, distinct from complex developmental enhancers that
integrate signals from multiple transcription factors.
PMID- 27197206
TI - Selection and explosive growth alter genetic architecture and hamper the
detection of causal rare variants.
AB - The role of rare alleles in complex phenotypes has been hotly debated, but most
rare variant association tests (RVATs) do not account for the evolutionary forces
that affect genetic architecture. Here, we use simulation and numerical
algorithms to show that explosive population growth, as experienced by human
populations, can dramatically increase the impact of very rare alleles on trait
variance. We then assess the ability of RVATs to detect causal loci using
simulations and human RNA-seq data. Surprisingly, we find that statistical
performance is worst for phenotypes in which genetic variance is due mainly to
rare alleles, and explosive population growth decreases power. Although many
studies have attempted to identify causal rare variants, few have reported novel
associations. This has sometimes been interpreted to mean that rare variants make
negligible contributions to complex trait heritability. Our work shows that RVATs
are not robust to realistic human evolutionary forces, so general conclusions
about the impact of rare variants on complex traits may be premature.
PMID- 27197207
TI - Identification of the long, edited dsRNAome of LPS-stimulated immune cells.
AB - Endogenous double-stranded RNA (dsRNA) must be intricately regulated in mammals
to prevent aberrant activation of host inflammatory pathways by cytosolic dsRNA
binding proteins. Here, we define the long, endogenous dsRNA repertoire in
mammalian macrophages and monocytes during the inflammatory response to bacterial
lipopolysaccharide. Hyperediting by adenosine deaminases that act on RNA (ADAR)
enzymes was quantified over time using RNA-seq data from activated mouse
macrophages to identify 342 Editing Enriched Regions (EERs), indicative of highly
structured dsRNA. Analysis of publicly available data sets for samples of human
peripheral blood monocytes resulted in discovery of 3438 EERs in the human
transcriptome. Human EERs had predicted secondary structures that were
significantly more stable than those of mouse EERs and were located primarily in
introns, whereas nearly all mouse EERs were in 3' UTRs. Seventy-four mouse EER
associated genes contained an EER in the orthologous human gene, although
nucleotide sequence and position were only rarely conserved. Among these
conserved EER-associated genes were several TNF alpha-signaling genes, including
Sppl2a and Tnfrsf1b, important for processing and recognition of TNF alpha,
respectively. Using publicly available data and experimental validation, we found
that a significant proportion of EERs accumulated in the nucleus, a strategy that
may prevent aberrant activation of proinflammatory cascades in the cytoplasm. The
observation of many ADAR-edited dsRNAs in mammalian immune cells, a subset of
which are in orthologous genes of mouse and human, suggests a conserved role for
these structured regions.
PMID- 27197208
TI - Interactions between pluripotency factors specify cis-regulation in embryonic
stem cells.
AB - We investigated how interactions between pluripotency transcription factors (TFs)
affect cis-regulation. We created hundreds of synthetic cis-regulatory elements
(CREs) comprised of combinations of binding sites for pluripotency TFs and
measured their expression in mouse embryonic stem (ES) cells. A thermodynamic
model that incorporates interactions between TFs explains a large portion (72%)
of the variance in expression of these CREs. These interactions include three
favorable heterotypic interactions between TFs. The model also predicts an
unfavorable homotypic interaction between TFs, helping to explain the observation
that homotypic chains of binding sites express at low levels. We further
investigated the expression driven by CREs comprised of homotypic chains of KLF4
binding sites. Our results suggest that KLF homologs make unique contributions to
regulation by these CREs. We conclude that a specific set of interactions between
pluripotency TFs plays a large role in setting the levels of expression driven by
CREs in ES cells.
PMID- 27197209
TI - Evidence for the fixation of gene duplications by positive selection in
Drosophila.
AB - Gene duplications play a key role in the emergence of novel traits and in
adaptation. But despite their centrality to evolutionary processes, it is still
largely unknown how new gene duplicates are initially fixed within populations
and later maintained in genomes. Long-standing debates on the evolution of gene
duplications could be settled by determining the relative importance of genetic
drift vs. positive selection in the fixation of new gene duplicates. Using the
Drosophila Global Diversity Lines (GDL), we have combined genome-wide SNP
polymorphism data with a novel set of copy number variant calls and gene
expression profiles to characterize the polymorphic phase of new genes. We found
that approximately half of the roughly 500 new complete gene duplications
segregating in the GDL lead to significant increases in the expression levels of
the duplicated genes and that these duplications are more likely to be found at
lower frequencies, suggesting a negative impact on fitness. However, we also
found that six of the nine gene duplications that are fixed or close to fixation
in at least one of the five populations in our study show signs of being under
positive selection, and that these duplications are likely beneficial because of
dosage effects, with a possible role for additional mutations in two
duplications. Our work suggests that in Drosophila, theoretical models that posit
that gene duplications are immediately beneficial and fixed by positive selection
are most relevant to explain the long-term evolution of gene duplications in this
species.
PMID- 27197210
TI - The mRNA-bound proteome of the early fly embryo.
AB - Early embryogenesis is characterized by the maternal to zygotic transition (MZT),
in which maternally deposited messenger RNAs are degraded while zygotic
transcription begins. Before the MZT, post-transcriptional gene regulation by RNA
binding proteins (RBPs) is the dominant force in embryo patterning. We used two
mRNA interactome capture methods to identify RBPs bound to polyadenylated
transcripts within the first 2 h of Drosophila melanogaster embryogenesis. We
identified a high-confidence set of 476 putative RBPs and confirmed RNA-binding
activities for most of 24 tested candidates. Most proteins in the interactome are
known RBPs or harbor canonical RBP features, but 99 exhibited previously
uncharacterized RNA-binding activity. mRNA-bound RBPs and TFs exhibit distinct
expression dynamics, in which the newly identified RBPs dominate the first 2 h of
embryonic development. Integrating our resource with in situ hybridization data
from existing databases showed that mRNAs encoding RBPs are enriched in posterior
regions of the early embryo, suggesting their general importance in posterior
patterning and germ cell maturation.
PMID- 27197212
TI - Evolutionary assembly patterns of prokaryotic genomes.
AB - Evolutionary innovation must occur in the context of some genomic background,
which limits available evolutionary paths. For example, protein evolution by
sequence substitution is constrained by epistasis between residues. In
prokaryotes, evolutionary innovation frequently happens by macrogenomic events
such as horizontal gene transfer (HGT). Previous work has suggested that HGT can
be influenced by ancestral genomic content, yet the extent of such gene-level
constraints has not yet been systematically characterized. Here, we evaluated the
evolutionary impact of such constraints in prokaryotes, using probabilistic
ancestral reconstructions from 634 extant prokaryotic genomes and a novel
framework for detecting evolutionary constraints on HGT events. We identified
8228 directional dependencies between genes and demonstrated that many such
dependencies reflect known functional relationships, including for example,
evolutionary dependencies of the photosynthetic enzyme RuBisCO. Modeling all
dependencies as a network, we adapted an approach from graph theory to establish
chronological precedence in the acquisition of different genomic functions.
Specifically, we demonstrated that specific functions tend to be gained
sequentially, suggesting that evolution in prokaryotes is governed by functional
assembly patterns. Finally, we showed that these dependencies are universal
rather than clade-specific and are often sufficient for predicting whether or not
a given ancestral genome will acquire specific genes. Combined, our results
indicate that evolutionary innovation via HGT is profoundly constrained by
epistasis and historical contingency, similar to the evolution of proteins and
phenotypic characters, and suggest that the emergence of specific metabolic and
pathological phenotypes in prokaryotes can be predictable from current genomes.
PMID- 27197211
TI - Divergence of a conserved elongation factor and transcription regulation in
budding and fission yeast.
AB - Complex regulation of gene expression in mammals has evolved from simpler
eukaryotic systems, yet the mechanistic features of this evolution remain
elusive. Here, we compared the transcriptional landscapes of the distantly
related budding and fission yeast. We adapted the Precision Run-On sequencing
(PRO-seq) approach to map the positions of RNA polymerase active sites genome
wide in Schizosaccharomyces pombe and Saccharomyces cerevisiae. Additionally, we
mapped preferred sites of transcription initiation in each organism using PRO
cap. Unexpectedly, we identify a pause in early elongation, specific to S. pombe,
that requires the conserved elongation factor subunit Spt4 and resembles promoter
proximal pausing in metazoans. PRO-seq profiles in strains lacking Spt4 reveal
globally elevated levels of transcribing RNA Polymerase II (Pol II) within genes
in both species. Messenger RNA abundance, however, does not reflect the increases
in Pol II density, indicating a global reduction in elongation rate. Together,
our results provide the first base-pair resolution map of transcription
elongation in S. pombe and identify divergent roles for Spt4 in controlling
elongation in budding and fission yeast.
PMID- 27197213
TI - SMASH, a fragmentation and sequencing method for genomic copy number analysis.
AB - Copy number variants (CNVs) underlie a significant amount of genetic diversity
and disease. CNVs can be detected by a number of means, including chromosomal
microarray analysis (CMA) and whole-genome sequencing (WGS), but these approaches
suffer from either limited resolution (CMA) or are highly expensive for routine
screening (both CMA and WGS). As an alternative, we have developed a next
generation sequencing-based method for CNV analysis termed SMASH, for short
multiply aggregated sequence homologies. SMASH utilizes random fragmentation of
input genomic DNA to create chimeric sequence reads, from which multiple mappable
tags can be parsed using maximal almost-unique matches (MAMs). The SMASH tags are
then binned and segmented, generating a profile of genomic copy number at the
desired resolution. Because fewer reads are necessary relative to WGS to give
accurate CNV data, SMASH libraries can be highly multiplexed, allowing large
numbers of individuals to be analyzed at low cost. Increased genomic resolution
can be achieved by sequencing to higher depth.
PMID- 27197214
TI - Impact of the X Chromosome and sex on regulatory variation.
AB - The X Chromosome, with its unique mode of inheritance, contributes to differences
between the sexes at a molecular level, including sex-specific gene expression
and sex-specific impact of genetic variation. Improving our understanding of
these differences offers to elucidate the molecular mechanisms underlying sex
specific traits and diseases. However, to date, most studies have either ignored
the X Chromosome or had insufficient power to test for the sex-specific impact of
genetic variation. By analyzing whole blood transcriptomes of 922 individuals, we
have conducted the first large-scale, genome-wide analysis of the impact of both
sex and genetic variation on patterns of gene expression, including comparison
between the X Chromosome and autosomes. We identified a depletion of expression
quantitative trait loci (eQTL) on the X Chromosome, especially among genes under
high selective constraint. In contrast, we discovered an enrichment of sex
specific regulatory variants on the X Chromosome. To resolve the molecular
mechanisms underlying such effects, we generated chromatin accessibility data
through ATAC-sequencing to connect sex-specific chromatin accessibility to sex
specific patterns of expression and regulatory variation. As sex-specific
regulatory variants discovered in our study can inform sex differences in
heritable disease prevalence, we integrated our data with genome-wide association
study data for multiple immune traits identifying several traits with significant
sex biases in genetic susceptibilities. Together, our study provides genome-wide
insight into how genetic variation, the X Chromosome, and sex shape human gene
regulation and disease.
PMID- 27197215
TI - Large-scale analysis of genome and transcriptome alterations in multiple tumors
unveils novel cancer-relevant splicing networks.
AB - Alternative splicing is regulated by multiple RNA-binding proteins and influences
the expression of most eukaryotic genes. However, the role of this process in
human disease, and particularly in cancer, is only starting to be unveiled. We
systematically analyzed mutation, copy number, and gene expression patterns of
1348 RNA-binding protein (RBP) genes in 11 solid tumor types, together with
alternative splicing changes in these tumors and the enrichment of binding motifs
in the alternatively spliced sequences. Our comprehensive study reveals
widespread alterations in the expression of RBP genes, as well as novel mutations
and copy number variations in association with multiple alternative splicing
changes in cancer drivers and oncogenic pathways. Remarkably, the altered
splicing patterns in several tumor types recapitulate those of undifferentiated
cells. These patterns are predicted to be mainly controlled by MBNL1 and involve
multiple cancer drivers, including the mitotic gene NUMA1 We show that NUMA1
alternative splicing induces enhanced cell proliferation and centrosome
amplification in nontumorigenic mammary epithelial cells. Our study uncovers
novel splicing networks that potentially contribute to cancer development and
progression.
PMID- 27197216
TI - Venus flytrap carnivorous lifestyle builds on herbivore defense strategies.
AB - Although the concept of botanical carnivory has been known since Darwin's time,
the molecular mechanisms that allow animal feeding remain unknown, primarily due
to a complete lack of genomic information. Here, we show that the transcriptomic
landscape of the Dionaea trap is dramatically shifted toward signal transduction
and nutrient transport upon insect feeding, with touch hormone signaling and
protein secretion prevailing. At the same time, a massive induction of general
defense responses is accompanied by the repression of cell death-related
genes/processes. We hypothesize that the carnivory syndrome of Dionaea evolved by
exaptation of ancient defense pathways, replacing cell death with nutrient
acquisition.
PMID- 27197217
TI - A hot L1 retrotransposon evades somatic repression and initiates human colorectal
cancer.
AB - Although human LINE-1 (L1) elements are actively mobilized in many cancers, a
role for somatic L1 retrotransposition in tumor initiation has not been
conclusively demonstrated. Here, we identify a novel somatic L1 insertion in the
APC tumor suppressor gene that provided us with a unique opportunity to determine
whether such insertions can actually initiate colorectal cancer (CRC), and if so,
how this might occur. Our data support a model whereby a hot L1 source element on
Chromosome 17 of the patient's genome evaded somatic repression in normal colon
tissues and thereby initiated CRC by mutating the APC gene. This insertion worked
together with a point mutation in the second APC allele to initiate tumorigenesis
through the classic two-hit CRC pathway. We also show that L1 source profiles
vary considerably depending on the ancestry of an individual, and that population
specific hot L1 elements represent a novel form of cancer risk.
PMID- 27197218
TI - Control of fluxes in metabolic networks.
AB - Understanding the control of large-scale metabolic networks is central to biology
and medicine. However, existing approaches either require specifying a cellular
objective or can only be used for small networks. We introduce new coupling types
describing the relations between reaction activities, and develop an efficient
computational framework, which does not require any cellular objective for
systematic studies of large-scale metabolism. We identify the driver reactions
facilitating control of 23 metabolic networks from all kingdoms of life. We find
that unicellular organisms require a smaller degree of control than multicellular
organisms. Driver reactions are under complex cellular regulation in Escherichia
coli, indicating their preeminent role in facilitating cellular control. In human
cancer cells, driver reactions play pivotal roles in malignancy and represent
potential therapeutic targets. The developed framework helps us gain insights
into regulatory principles of diseases and facilitates design of engineering
strategies at the interface of gene regulation, signaling, and metabolism.
PMID- 27197220
TI - Distinct transcription factor complexes act on a permissive chromatin landscape
to establish regionalized gene expression in CNS stem cells.
AB - Spatially distinct gene expression profiles in neural stem cells (NSCs) are a
prerequisite to the formation of neuronal diversity, but how these arise from the
regulatory interactions between chromatin accessibility and transcription factor
activity has remained unclear. Here, we demonstrate that, despite their distinct
gene expression profiles, NSCs of the mouse cortex and spinal cord share the
majority of their DNase I hypersensitive sites (DHSs). Regardless of this
similarity, domain-specific gene expression is highly correlated with the
relative accessibility of associated DHSs, as determined by sequence read
density. Notably, the binding pattern of the general NSC transcription factor
SOX2 is also largely cell type specific and coincides with an enrichment of LHX2
motifs in the cortex and HOXA9 motifs in the spinal cord. Interestingly, in a
zebrafish reporter gene system, these motifs were critical determinants of
patterned gene expression along the rostral-caudal axis. Our findings establish a
predictive model for patterned NSC gene expression, whereby domain-specific
expression of LHX2 and HOX proteins act on their target motifs within commonly
accessible cis-regulatory regions to specify SOX2 binding. In turn, this binding
correlates strongly with these DHSs relative accessibility-a robust predictor of
neighboring gene expression.
PMID- 27197219
TI - The interaction of PRC2 with RNA or chromatin is mutually antagonistic.
AB - Polycomb repressive complex 2 (PRC2) modifies chromatin to maintain genes in a
repressed state during development. PRC2 is primarily associated with CpG islands
at repressed genes and also possesses RNA binding activity. However, the RNAs
that bind PRC2 in cells, the subunits that mediate these interactions, and the
role of RNA in PRC2 recruitment to chromatin all remain unclear. By performing
iCLIP for PRC2 in comparison with other RNA binding proteins, we show here that
PRC2 binds nascent RNA at essentially all active genes. Although interacting with
RNA promiscuously, PRC2 binding is enriched at specific locations within RNAs,
primarily exon-intron boundaries and the 3' UTR. Deletion of other PRC2 subunits
reveals that SUZ12 is sufficient to establish this RNA binding profile. Contrary
to prevailing models, we also demonstrate that the interaction of PRC2 with RNA
or chromatin is mutually antagonistic in cells and in vitro. RNA degradation in
cells triggers PRC2 recruitment to CpG islands at active genes. Correspondingly,
the release of PRC2 from chromatin in cells increases RNA binding. Consistent
with this, RNA and nucleosomes compete for PRC2 binding in vitro. We propose that
RNA prevents PRC2 recruitment to chromatin at active genes and that mutual
antagonism between RNA and chromatin underlies the pattern of PRC2 chromatin
association across the genome.
PMID- 27197221
TI - A novel nuclear genetic code alteration in yeasts and the evolution of codon
reassignment in eukaryotes.
AB - The genetic code is the cellular translation table for the conversion of
nucleotide sequences into amino acid sequences. Changes to the meaning of sense
codons would introduce errors into almost every translated message and are
expected to be highly detrimental. However, reassignment of single or multiple
codons in mitochondria and nuclear genomes, although extremely rare, demonstrates
that the code can evolve. Several models for the mechanism of alteration of
nuclear genetic codes have been proposed (including "codon capture," "genome
streamlining," and "ambiguous intermediate" theories), but with little
resolution. Here, we report a novel sense codon reassignment in Pachysolen
tannophilus, a yeast related to the Pichiaceae. By generating proteomics data and
using tRNA sequence comparisons, we show that Pachysolen translates CUG codons as
alanine and not as the more usual leucine. The Pachysolen tRNACAG is an anticodon
mutated tRNA(Ala) containing all major alanine tRNA recognition sites. The
polyphyly of the CUG-decoding tRNAs in yeasts is best explained by a tRNA loss
driven codon reassignment mechanism. Loss of the CUG-tRNA in the ancient yeast is
followed by gradual decrease of respective codons and subsequent codon capture by
tRNAs whose anticodon is not part of the aminoacyl-tRNA synthetase recognition
region. Our hypothesis applies to all nuclear genetic code alterations and
provides several testable predictions. We anticipate more codon reassignments to
be uncovered in existing and upcoming genome projects.
PMID- 27197222
TI - A flexible method for estimating the fraction of fitness influencing mutations
from large sequencing data sets.
AB - A continuing challenge in the analysis of massively large sequencing data sets is
quantifying and interpreting non-neutrally evolving mutations. Here, we describe
a flexible and robust approach based on the site frequency spectrum to estimate
the fraction of deleterious and adaptive variants from large-scale sequencing
data sets. We applied our method to approximately 1 million single nucleotide
variants (SNVs) identified in high-coverage exome sequences of 6515 individuals.
We estimate that the fraction of deleterious nonsynonymous SNVs is higher than
previously reported; quantify the effects of genomic context, codon bias,
chromatin accessibility, and number of protein-protein interactions on
deleterious protein-coding SNVs; and identify pathways and networks that have
likely been influenced by positive selection. Furthermore, we show that the
fraction of deleterious nonsynonymous SNVs is significantly higher for Mendelian
versus complex disease loci and in exons harboring dominant versus recessive
Mendelian mutations. In summary, as genome-scale sequencing data accumulate in
progressively larger sample sizes, our method will enable increasingly high
resolution inferences into the characteristics and determinants of non-neutral
variation.
PMID- 27197223
TI - Quantitative insertion-site sequencing (QIseq) for high throughput phenotyping of
transposon mutants.
AB - Genetic screening using random transposon insertions has been a powerful tool for
uncovering biology in prokaryotes, where whole-genome saturating screens have
been performed in multiple organisms. In eukaryotes, such screens have proven
more problematic, in part because of the lack of a sensitive and robust system
for identifying transposon insertion sites. We here describe quantitative
insertion-site sequencing, or QIseq, which uses custom library preparation and
Illumina sequencing technology and is able to identify insertion sites from both
the 5' and 3' ends of the transposon, providing an inbuilt level of validation.
The approach was developed using piggyBac mutants in the human malaria parasite
Plasmodium falciparum but should be applicable to many other eukaryotic genomes.
QIseq proved accurate, confirming known sites in >100 mutants, and sensitive,
identifying and monitoring sites over a >10,000-fold dynamic range of sequence
counts. Applying QIseq to uncloned parasites shortly after transfections revealed
multiple insertions in mixed populations and suggests that >4000 independent
mutants could be generated from relatively modest scales of transfection,
providing a clear pathway to genome-scale screens in P. falciparum QIseq was also
used to monitor the growth of pools of previously cloned mutants and reproducibly
differentiated between deleterious and neutral mutations in competitive growth.
Among the mutants with fitness defects was a mutant with a piggyBac insertion
immediately upstream of the kelch protein K13 gene associated with artemisinin
resistance, implying mutants in this gene may have competitive fitness costs.
QIseq has the potential to enable the scale-up of piggyBac-mediated genetics
across multiple eukaryotic systems.
PMID- 27197224
TI - Basset: learning the regulatory code of the accessible genome with deep
convolutional neural networks.
AB - The complex language of eukaryotic gene expression remains incompletely
understood. Despite the importance suggested by many noncoding variants
statistically associated with human disease, nearly all such variants have
unknown mechanisms. Here, we address this challenge using an approach based on a
recent machine learning advance-deep convolutional neural networks (CNNs). We
introduce the open source package Basset to apply CNNs to learn the functional
activity of DNA sequences from genomics data. We trained Basset on a compendium
of accessible genomic sites mapped in 164 cell types by DNase-seq, and
demonstrate greater predictive accuracy than previous methods. Basset predictions
for the change in accessibility between variant alleles were far greater for
Genome-wide association study (GWAS) SNPs that are likely to be causal relative
to nearby SNPs in linkage disequilibrium with them. With Basset, a researcher can
perform a single sequencing assay in their cell type of interest and
simultaneously learn that cell's chromatin accessibility code and annotate every
mutation in the genome with its influence on present accessibility and latent
potential for accessibility. Thus, Basset offers a powerful computational
approach to annotate and interpret the noncoding genome.
PMID- 27197227
TI - Commentary on Alfred G. Knudson, Jr.: "Hereditary Cancer, Oncogenes, and
Antioncogenes".
PMID- 27197228
TI - Commentary on Folkman: "How Is Blood Vessel Growth Regulated in Normal and
Neoplastic Tissue?".
AB - Angiogenesis is a prerequisite for tumor growth and metastatic progression. The
discovery of the first specific angiogenic cytokine, VEGF, in 1989 paved the way
for the clinical approval of the first antiangiogenic tumor drug 15 years later.
This brief commentary highlights and puts into historical perspective the 1985
G.H.A. Clowes Memorial Award Lecture delivered by Dr. Judah Folkman. Thirty years
later, this award lecture is a lively testimony of the visionary mind of Dr.
Folkman, whose legacy continues to inspire contemporary angiogenesis research.
Cancer Res; 76(10); 2854-6. (c)2016 AACRSee related article by Folkman J, Cancer
Res 1986;46:467-73.
PMID- 27197225
TI - Specific down-regulation of spermatogenesis genes targeted by 22G RNAs in hybrid
sterile males associated with an X-Chromosome introgression.
AB - Hybrid incompatibility (HI) prevents gene flow between species, thus lying at the
heart of speciation genetics. One of the most common HIs is male sterility. Two
superficially contradictory observations exist for hybrid male sterility. First,
an introgression on the X Chromosome is more likely to produce male sterility
than on autosome (so-called large-X theory); second, spermatogenesis genes are
enriched on the autosomes but depleted on the X Chromosome (demasculinization of
X Chromosome). Analysis of gene expression in Drosophila hybrids suggests a
genetic interaction between the X Chromosome and autosomes that is essential for
male fertility. However, the prevalence of such an interaction and its underlying
mechanism remain largely unknown. Here we examine the interaction in nematode
species by contrasting the expression of both coding genes and transposable
elements (TEs) between hybrid sterile males and its parental nematode males. We
use two lines of hybrid sterile males, each carrying an independent introgression
fragment from Caenorhabditis briggsae X Chromosome in an otherwise Caenorhabditis
nigoni background, which demonstrate similar defects in spermatogenesis. We
observe a similar pattern of down-regulated genes that are specific for
spermatogenesis between the two hybrids. Importantly, the down-regulated genes
caused by the X Chromosome introgressions show a significant enrichment on the
autosomes, supporting an epistatic interaction between the X Chromosome and
autosomes. We investigate the underlying mechanism of the interaction by
measuring small RNAs and find that a subset of 22G RNAs specifically targeting
the down-regulated spermatogenesis genes is significantly up-regulated in
hybrids, suggesting that perturbation of small RNA-mediated regulation may
contribute to the X-autosome interaction.
PMID- 27197229
TI - The Widening Sphere of Influence of HOXB7 in Solid Tumors.
AB - Strong lines of evidence have established a critical role for the homeodomain
protein HOXB7 in cancer. Specifically, molecular and cellular studies have
demonstrated that HOXB7 is a master regulatory gene, capable of orchestrating a
variety of target molecules, resulting in the activation of several oncogenic
pathways. HOXB7 overexpression correlates with clinical progression and poor
outcome of cancer patients. Specific inhibition of HOXB7 is particularly relevant
in cancers still lacking effective therapies, such as tamoxifen-resistant breast
cancer and melanoma. Mechanistic studies are providing additional targets of
therapy, and biomarker studies are further establishing its importance in early
diagnosis and prognosis. Cancer Res; 76(10); 2857-62. (c)2016 AACR.
PMID- 27197230
TI - Hematopoietic Age at Onset of Triple-Negative Breast Cancer Dictates Disease
Aggressiveness and Progression.
AB - Triple-negative breast cancer (TNBC) is considered an early onset subtype of
breast cancer that carries with it a poorer prognosis in young rather than older
women for reasons that remain poorly understood. Hematopoiesis in the bone marrow
becomes altered with age and may therefore affect the composition of tumor
infiltrating hematopoietic cells and subsequent tumor progression. In this study,
we investigated how age- and tumor-dependent changes to bone marrow-derived
hematopoietic cells impact TNBC progression. Using multiple mouse models of TNBC
tumorigenesis and metastasis, we found that a specific population of bone marrow
cells (BMC) upregulated CSF-1R and secreted the growth factor granulin to support
stromal activation and robust tumor growth in young mice. However, the same cell
population in old mice expressed low levels of CSF1R and granulin and failed to
promote tumor outgrowth, suggesting that age influences the tumorigenic capacity
of BMCs in response to tumor-associated signals. Importantly, BMCs from young
mice were sufficient to activate a tumor-supportive microenvironment and induce
tumor progression in old mice. These results indicate that hematopoietic age is
an important determinant of TNBC aggressiveness and provide rationale for
investigating age-stratified therapies designed to prevent the protumorigenic
effects of activated BMCs. Cancer Res; 76(10); 2932-43. (c)2016 AACR.
PMID- 27197232
TI - p53 Loss in MYC-Driven Neuroblastoma Leads to Metabolic Adaptations Supporting
Radioresistance.
AB - Neuroblastoma is the most common childhood extracranial solid tumor. In high-risk
cases, many of which are characterized by amplification of MYCN, outcome remains
poor. Mutations in the p53 (TP53) tumor suppressor are rare at diagnosis, but
evidence suggests that p53 function is often impaired in relapsed, treatment
resistant disease. To address the role of p53 loss of function in the development
and pathogenesis of high-risk neuroblastoma, we generated a MYCN-driven
genetically engineered mouse model in which the tamoxifen-inducible p53ER(TAM)
fusion protein was expressed from a knock-in allele (Th-MYCN/Trp53(KI)). We
observed no significant differences in tumor-free survival between Th-MYCN mice
heterozygous for Trp53(KI) (n = 188) and Th-MYCN mice with wild-type p53 (n =
101). Conversely, the survival of Th-MYCN/Trp53(KI/KI) mice lacking functional
p53 (n = 60) was greatly reduced. We found that Th-MYCN/Trp53(KI/KI) tumors were
resistant to ionizing radiation (IR), as expected. However, restoration of
functional p53ER(TAM) reinstated sensitivity to IR in only 50% of Th
MYCN/Trp53(KI/KI) tumors, indicating the acquisition of additional resistance
mechanisms. Gene expression and metabolic analyses indicated that the principal
acquired mechanism of resistance to IR in the absence of functional p53 was
metabolic adaptation in response to chronic oxidative stress. Tumors exhibited
increased antioxidant metabolites and upregulation of glutathione S-transferase
pathway genes, including Gstp1 and Gstz1, which are associated with poor outcome
in human neuroblastoma. Accordingly, glutathione depletion by buthionine
sulfoximine together with restoration of p53 activity resensitized tumors to IR.
Our findings highlight the complex pathways operating in relapsed neuroblastomas
and the need for combination therapies that target the diverse resistance
mechanisms at play. Cancer Res; 76(10); 3025-35. (c)2016 AACR.
PMID- 27197234
TI - Heterogeneity in Tumors and Resistance to EGFR TKI Therapy-Letter.
PMID- 27197231
TI - Caspase-9b Interacts Directly with cIAP1 to Drive Agonist-Independent Activation
of NF-kappaB and Lung Tumorigenesis.
AB - Alternate RNA processing of caspase-9 generates the splice variants caspase 9a
(C9a) and caspase 9b (C9b). C9b lacks a domain present in C9a, revealing a
tumorigenic function that drives the phenotype of non-small cell lung cancer
(NSCLC) cells. In this study, we elucidated the mechanistic underpinnings of the
malignant character of this splice isoform. In NSCLC cells, C9b expression
correlated with activation of the canonical arm of the NF-kappaB pathway, a major
pathway linked to the NSCLC tumorigenesis. Mechanistic investigations revealed
that C9b activates this pathway via direct interaction with cellular inhibitor of
apoptosis 1 (cIAP1) and subsequent induction of the E3 ligase activity of this
IAP family member. The C9b:cIAP1 interaction occurred via the BIR3 domain of
cIAP1 and the IAP-binding motif of C9b, but did not require proteolytic cleavage
of C9b. This protein:protein interaction was essential for C9b to promote
viability and malignant growth of NSCLC cells in vitro and in vivo, broadly
translating to diverse NSCLC oncogenotypes. Overall, our findings identified a
novel point for therapeutic invention in NSCLC that may be tractable to small
molecule inhibitors, as a new point to broadly address this widespread deadly
disease. Cancer Res; 76(10); 2977-89. (c)2016 AACR.
PMID- 27197233
TI - Loss of Tet1-Associated 5-Hydroxymethylcytosine Is Concomitant with Aberrant
Promoter Hypermethylation in Liver Cancer.
AB - Aberrant hypermethylation of CpG islands (CGI) in human tumors occurs
predominantly at repressed genes in the host tissue, but the preceding events
driving this phenomenon are poorly understood. In this study, we temporally
tracked epigenetic and transcriptomic perturbations that occur in a mouse model
of liver carcinogenesis. Hypermethylated CGI events in the model were predicted
by enrichment of the DNA modification 5-hydroxymethylcytosine (5hmC) and the
histone H3 modification H3K27me3 at silenced promoters in the host tissue. During
cancer progression, selected CGIs underwent hypo-hydroxymethylation prior to
hypermethylation, while retaining H3K27me3. In livers from mice deficient in
Tet1, a tumor suppressor involved in cytosine demethylation, we observed a
similar loss of promoter core 5hmC, suggesting that reduced Tet1 activity at CGI
may contribute to epigenetic dysregulation during hepatocarcinogenesis.
Consistent with this possibility, mouse liver tumors exhibited reduced Tet1
protein levels. Similar to humans, DNA methylation changes at CGI in mice did not
appear to be direct drivers of hepatocellular carcinoma progression, rather,
dynamic changes in H3K27me3 promoter deposition correlated strongly with tumor
specific activation and repression of transcription. Overall, our results suggest
that loss of promoter-associated 5hmC in liver tumors licenses reprograming of
DNA methylation at silent CGI during progression. Cancer Res; 76(10); 3097-108.
(c)2016 AACR.
PMID- 27197235
TI - Heterogeneity in Tumors and Resistance to EGFR TKI Therapy-Response.
PMID- 27197236
TI - The tumor-suppressive small GTPase DiRas1 binds the noncanonical guanine
nucleotide exchange factor SmgGDS and antagonizes SmgGDS interactions with
oncogenic small GTPases.
PMID- 27197239
TI - Commentary on Folkman: "Tumor Angiogenesis Factor".
PMID- 27197237
TI - Pattern recognition protein binds to lipopolysaccharide and beta-1,3-glucan and
activates shrimp prophenoloxidase system.
PMID- 27197240
TI - Tumor Cell Invasion-Not All Barriers Are Created Equal.
AB - The importance of invasion in the complex process of metastasis, although now
well established, has been studied with increasing molecular detail due to the
development of robust in vitro experimental assays. In this issue of Cancer
Research, we highlight a paper published by George Poste and colleagues that
compared and contrasted several different invasion assays. The authors concluded
that various barriers impose different selective pressures and that simply
enriching for invasive ability did not necessarily translate into greater
metastasis efficiency. Although perhaps obvious now, these findings were
surprising when they were published. Certainly, the data highlight the importance
of tumor cell-microenvironment interactions and the necessity to interpret
experiments taking the context into consideration. Cancer Res; 76(7); 1675-6.
(c)2016 AACRSee related article by Poste et al., Cancer Res 1980;40:1636-44.
PMID- 27197241
TI - Correction: Lupeol Suppresses Cisplatin-Induced Nuclear Factor-kappaB Activation
in Head and Neck Squamous Cell Carcinoma and Inhibits Local Invasion and Nodal
Metastasis in an Orthotopic Nude Mouse Model.
PMID- 27197242
TI - Zygotes segregate entire parental genomes in distinct blastomere lineages causing
cleavage-stage chimerism and mixoploidy.
AB - Dramatic genome dynamics, such as chromosome instability, contribute to the
remarkable genomic heterogeneity among the blastomeres comprising a single embryo
during human preimplantation development. This heterogeneity, when compatible
with life, manifests as constitutional mosaicism, chimerism, and mixoploidy in
live-born individuals. Chimerism and mixoploidy are defined by the presence of
cell lineages with different parental genomes or different ploidy states in a
single individual, respectively. Our knowledge of their mechanistic origin
results from indirect observations, often when the cell lineages have been
subject to rigorous selective pressure during development. Here, we applied
haplarithmisis to infer the haplotypes and the copy number of parental genomes in
116 single blastomeres comprising entire preimplantation bovine embryos (n = 23)
following in vitro fertilization. We not only demonstrate that chromosome
instability is conserved between bovine and human cleavage embryos, but we also
discovered that zygotes can spontaneously segregate entire parental genomes into
different cell lineages during the first post-zygotic cleavage division. Parental
genome segregation was not exclusively triggered by abnormal fertilizations
leading to triploid zygotes, but also normally fertilized zygotes can
spontaneously segregate entire parental genomes into different cell lineages
during cleavage of the zygote. We coin the term "heterogoneic division" to
indicate the events leading to noncanonical zygotic cytokinesis, segregating the
parental genomes into distinct cell lineages. Persistence of those cell lines
during development is a likely cause of chimerism and mixoploidy in mammals.
PMID- 27197244
TI - Corrigendum: Model-based analyses of whole-genome data reveal a complex
evolutionary history involving archaic introgression in Central African Pygmies.
PMID- 27197243
TI - Improved definition of the mouse transcriptome via targeted RNA sequencing.
AB - Targeted RNA sequencing (CaptureSeq) uses oligonucleotide probes to capture RNAs
for sequencing, providing enriched read coverage, accurate measurement of gene
expression, and quantitative expression data. We applied CaptureSeq to refine
transcript annotations in the current murine GRCm38 assembly. More than 23,000
regions corresponding to putative or annotated long noncoding RNAs (lncRNAs) and
154,281 known splicing junction sites were selected for targeted sequencing
across five mouse tissues and three brain subregions. The results illustrate that
the mouse transcriptome is considerably more complex than previously thought. We
assemble more complete transcript isoforms than GENCODE, expand transcript
boundaries, and connect interspersed islands of mapped reads. We describe a novel
filtering pipeline that identifies previously unannotated but high-quality
transcript isoforms. In this set, 911 GENCODE neighboring genes are condensed
into 400 expanded gene models. Additionally, 594 GENCODE lncRNAs acquire an open
reading frame (ORF) when their structure is extended with CaptureSeq. Finally, we
validate our observations using current FANTOM and Mouse ENCODE resources.
PMID- 27197245
TI - Corrigendum: Frequent somatic transfer of mitochondrial DNA into the nuclear
genome of human cancer cells.
PMID- 27197248
TI - Human Cancers Express a Mutator Phenotype: Hypothesis, Origin, and Consequences.
AB - The mutator phenotype hypothesis was postulated more than 40 years ago. It was
based on the multiple enzymatic steps required to precisely replicate the 6
billion bases in the human genome each time a normal cell divides. A reduction in
this accuracy during tumor progression could be responsible for the striking
heterogeneity of malignant cells within a tumor and for the rapidity by which
cancers become resistant to therapy. Cancer Res; 76(8); 2057-9. (c)2016 AACRSee
related article by Loeb et al. Cancer Res. 1974;34:2311-21.
PMID- 27197249
TI - Jacob, Monod, the Lac Operon, and the PaJaMa Experiment-Gene Expression Circuitry
Changing the Face of Cancer Research.
PMID- 27197250
TI - The Role of Cholesterol in Cancer.
AB - The roles played by cholesterol in cancer development and the potential of
therapeutically targeting cholesterol homeostasis is a controversial area in the
cancer community. Several epidemiologic studies report an association between
cancer and serum cholesterol levels or statin use, while others suggest that
there is not one. Furthermore, the Cancer Genome Atlas (TCGA) project using next
generation sequencing has profiled the mutational status and expression levels of
all the genes in diverse cancers, including those involved in cholesterol
metabolism, providing correlative support for a role of the cholesterol pathway
in cancer development. Finally, preclinical studies tend to more consistently
support the role of cholesterol in cancer, with several demonstrating that
cholesterol homeostasis genes can modulate development. Because of space
limitations, this review provides selected examples of the epidemiologic, TCGA,
and preclinical data, focusing on alterations in cholesterol homeostasis and its
consequent effect on patient survival. In melanoma, this focused analysis
demonstrated that enhanced expression of cholesterol synthesis genes was
associated with decreased patient survival. Collectively, the studies in melanoma
and other cancer types suggested a potential role of disrupted cholesterol
homeostasis in cancer development but additional studies are needed to link
population-based epidemiological data, the TCGA database results, and preclinical
mechanistic evidence to concretely resolve this controversy. Cancer Res; 76(8);
2063-70. (c)2016 AACR.
PMID- 27197251
TI - TALEN-Mediated Inactivation of PD-1 in Tumor-Reactive Lymphocytes Promotes
Intratumoral T-cell Persistence and Rejection of Established Tumors.
AB - Despite the promising efficacy of adoptive cell therapies (ACT) in melanoma,
complete response rates remain relatively low and outcomes in other cancers are
less impressive. The immunosuppressive nature of the tumor microenvironment and
the expression of immune-inhibitory ligands, such as PD-L1/CD274 by the tumor and
stroma are considered key factors limiting efficacy. The addition of checkpoint
inhibitors (CPI) to ACT protocols bypasses some mechanisms of immunosuppression,
but associated toxicities remain a significant concern. To overcome PD-L1
mediated immunosuppression and reduce CPI-associated toxicities, we used TALEN
technology to render tumor-reactive T cells resistant to PD-1 signaling. Here, we
demonstrate that inactivation of the PD-1 gene in melanoma-reactive CD8(+) T
cells and in fibrosarcoma-reactive polyclonal T cells enhanced the persistence of
PD-1 gene-modified T cells at the tumor site and increased tumor control. These
results illustrate the feasibility and potency of approaches incorporating
advanced gene-editing technologies into ACT protocols to silence immune
checkpoints as a strategy to overcome locally active immune escape pathways.
Cancer Res; 76(8); 2087-93. (c)2016 AACR.
PMID- 27197252
TI - Inherent and Tumor-Driven Immune Tolerance in the Prostate Microenvironment
Impairs Natural Killer Cell Antitumor Activity.
AB - The field of immunotherapy for solid tumors, such as prostate cancer, has been
recently focusing on therapies that can counter tumor-mediated immunosuppression.
Precise quantification and characterization of the immune infiltrates in tumors
is crucial to improve treatment efficacy. Natural killer (NK) cells, major
components of the antitumor immune system, have never been isolated from prostate
tumors, despite their suspected role in disease progression. Here, we examined
the frequency, phenotype, and functions of NK cells infiltrating control and
tumor prostate tissues. NK cell infiltrates in prostate tissues were mainly CD56
(NCAM1)-positive and displayed an unexpected immature, but activated, phenotype
with low or no cytotoxic potential. Furthermore, we show that TGFbeta1 (TGFB1) is
highly secreted into the prostate environment and partly mediates the
immunosuppressive effects on NK cells. In addition to this basal level of
immunotolerance to NK cells, the prostate environment became further resistant to
NK cell-mediated immunity upon cancer cell infiltration. Coculture experiments
revealed that prostate cancer cells induced the expression of inhibitory receptor
(ILT2/LILRB1) and downregulated the expression of activating receptors NKp46
(NCR1), NKG2D (KLRK1), and CD16 (FCGR3) by NK cells, thus preventing their
recognition of tumor cells. Notably, blood levels of NKp46 were also decreased in
prostate cancer patients and were inversely correlated with levels of prostate
specific antigen, the main prognostic factor in prostate cancer. Our study shows
that a strong immunosuppressive environment impairs NK cell function at multiple
levels in prostate cancer and provides a rationale for the design of therapies
that restore NK cell efficiency in the prostate tumor microenvironment. Cancer
Res; 76(8); 2153-65. (c)2016 AACR.
PMID- 27197254
TI - Correction: Lens Epithelium-Derived Growth Factor Is an Hsp70-2 Regulated
Guardian of Lysosomal Stability in Human Cancer.
PMID- 27197253
TI - Immunoregulatory Protein B7-H3 Reprograms Glucose Metabolism in Cancer Cells by
ROS-Mediated Stabilization of HIF1alpha.
AB - B7-H3 is a member of B7 family of immunoregulatory transmembrane glycoproteins
expressed by T cells. While B7-H3 overexpression is associated with poor outcomes
in multiple cancers, it also has immune-independent roles outside T cells and its
precise mechanistic contributions to cancer are unclear. In this study, we
investigated the role of B7-H3 in metabolic reprogramming of cancer cells in
vitro and in vivo We found that B7-H3 promoted the Warburg effect, evidenced by
increased glucose uptake and lactate production in B7-H3-expressing cells. B7-H3
also increased the protein levels of HIF1alpha and its downstream targets, LDHA
and PDK1, key enzymes in the glycolytic pathway. Furthermore, B7-H3 promoted
reactive oxygen species-dependent stabilization of HIF1alpha by suppressing the
activity of the stress-activated transcription factor Nrf2 and its target genes,
including the antioxidants SOD1, SOD2, and PRX3. Metabolic imaging of human
breast cancer xenografts in mice confirmed that B7-H3 enhanced tumor glucose
uptake and tumor growth. Together, our results illuminate the critical immune
independent contributions of B7-H3 to cancer metabolism, presenting a radically
new perspective on B7 family immunoregulatory proteins in malignant progression.
Cancer Res; 76(8); 2231-42. (c)2016 AACR.
PMID- 27197256
TI - Strategic Combinations: The Future of Oncolytic Virotherapy with Reovirus.
AB - The dominant cancer treatment modalities such as chemotherapy, radiotherapy, and
even targeted kinase inhibitors and mAbs are limited by low efficacy, toxicity,
and treatment-resistant tumor subclones. Oncolytic viral therapy offers a novel
therapeutic strategy that has the potential to dramatically improve clinical
outcomes. Reovirus, a double-stranded benign human RNA virus, is a leading
candidate for therapeutic development and currently in phase III trials. Reovirus
selectively targets transformed cells with activated Ras signaling pathways; Ras
genes are some of the most frequently mutated oncogenes in human cancer and it is
estimated that at least 30% of all human tumors exhibit aberrant Ras signaling.
By targeting Ras-activated cells, reovirus can directly lyse cancer cells,
disrupt tumor immunosuppressive mechanisms, reestablish multicellular immune
surveillance, and generate robust antitumor responses. Reovirus therapy is
currently being tested in combination with radiotherapy, chemotherapy,
immunotherapy, and surgery. In this review, we discuss the current successes of
these combinatorial therapeutic strategies and emphasize the importance of
prioritizing combination oncolytic viral therapy as reovirus-based treatments
progress in clinical development. Mol Cancer Ther; 15(5); 767-73. (c)2016 AACR.
PMID- 27197257
TI - The Role of PGC1alpha in Cancer Metabolism and its Therapeutic Implications.
AB - PGC1alpha is a transcription factor coactivator that influences a majority of
cellular metabolic pathways. Abnormal expression of PGC1alpha is associated with
several chronic diseases and, in recent years, it has been shown to be a critical
controller of cancer development. PGC1alpha acts as a stress sensor in cancer
cells and can be activated by nutrient deprivation, oxidative damage, and
chemotherapy. It influences mitochondria respiration, reactive oxygen species
defense system, and fatty acid metabolism by interacting with specific
transcription factors. The characteristic traits of PGC1alpha in maintaining
metabolic homeostasis promote cancer cell survival and tumor metastasis in harsh
microenvironments. Not only does PGC1alpha act as a coactivator, but is also
itself controlled by oncogenes and transcription factors. PGC1alpha and these
molecules can form signaling axes that include PML/PGC1alpha/PPARalpha,
MITF/PGC1alpha, and PGC1alpha/ERRalpha, which are important in regulating
metabolic adaptation in specific cancer types. Some of these PGC1alpha-associated
pathways are inherently activated in cancer cells, and others are induced by
stress, which enable cancer cells to acquire resistance against therapy. Notably,
certain therapeutic-resistant cancer cells are addicted to PGC1alpha-dependent
metabolic activities. Suppression of PGC1alpha expression resensitizes these
cells to therapeutic treatments, which implicates PGC1alpha as a promising target
in cancer molecular classification and therapy. Mol Cancer Ther; 15(5); 774-82.
(c)2016 AACR.
PMID- 27197259
TI - The Impact of Nathan Mantel's "The Detection of Disease Clustering and a
Generalized Regression Approach".
PMID- 27197260
TI - PDT: What's Past Is Prologue.
AB - Despite descriptions of light-mediated therapy in ancient texts and the discovery
of photodynamic therapy (PDT) in the early 1900s, the landmark article in 1978 in
Cancer Research by Dougherty and his colleagues at the Roswell Park Cancer
Institute remains rightly viewed as the starting point for clinical PDT in modern
medicine. As a large clinical series that explored many of the factors now viewed
as critical determinates of PDT dose, efficacy, and toxicity, that study showed
remarkable foresight, yet it also served to raise as many questions as it
answered. Since its publication, PDT has been increasingly utilized in clinical
practice for the treatment of both benign and malignant conditions, and many of
their questions have yielded new technologies and areas of investigation, thus
remaining highly relevant nearly 40 years after their initial asking. Moreover,
continuing advances in our ability to measure physical properties such as
absorbed light dose, photosensitizer concentration, tissue oxygen concentration,
and singlet oxygen production in real-time may allow for adaptive modification of
light delivery during PDT on a fine scale to optimize treatment response.
Finally, combining molecularly targeted drugs and novel photosensitizers has the
potential to improve further the therapeutic index and extend the spectrum of
clinical PDT far beyond what was imagined when that sentinel manuscript was
written. Cancer Res; 76(9); 2497-9. (c)2016 AACRSee related article by Dougherty
et al., Cancer Res 1978;38:2628-35Visit the Cancer Research 75(th) Anniversary
timeline.
PMID- 27197262
TI - Carcinoma Cell Hyaluronan as a "Portable" Cancerized Prometastatic
Microenvironment.
AB - Hyaluronan (HA) is a structurally simple polysaccharide, but its ability to act
as a template for organizing pericellular matrices and its regulated synthesis
and degradation are key to initiating repair responses. Importantly, these HA
functions are usurped by tumor cells to facilitate progression and metastasis.
Recent advances have identified the functional complexities associated with the
synthesis and degradation of HA-rich matrices. Three enzymes synthesize large HA
polymers while multiple hyaluronidases or tissue free radicals degrade these into
smaller bioactive fragments. A family of extracellular and cell-associated HA
binding proteins/receptors translates the bioinformation encrypted in this
complex polymer mixture to activate signaling networks required for cell
survival, proliferation, and migration in an actively remodeling
microenvironment. Changes in HA metabolism within both the peritumor stroma and
parenchyma are linked to tumor initiation, progression, and poor clinical
outcome. We review evidence that metastatic tumor cells must acquire the
capability to autonomously synthesize, assemble, and process their own "portable"
HA-rich microenvironments to survive in the circulation, metastasize to ectopic
sites, and escape therapeutic intervention. Strategies to disrupt the HA
machinery of primary tumor and circulating tumor cells may enhance the
effectiveness of current conventional and targeted therapies. Cancer Res; 76(9);
2507-12. (c)2016 AACR.
PMID- 27197261
TI - Mitochondrial Sirtuins in Cancer: Emerging Roles and Therapeutic Potential.
AB - The past few decades have witnessed a furious attention of scientific community
toward identifying novel molecular factors and targets that could be exploited
for drug development for cancer management. One such factor is the sirtuin (SIRT)
family of nicotinamide adenine dinucleotide (NAD(+))-dependent deacetylases. The
role of SIRTs in cancer is extremely complex, with dichotomous functions
depending on cell contexts. Mammalian SIRTs (SIRT1-7) differ in their cellular
localization and biologic functions. Among these, SIRT -3, -4, and -5 are located
in the mitochondria and are being carefully investigated. These mitochondrial
SIRTs (mtSIRT) regulate multiple cellular and physiologic processes, including
cell cycle, gene expression, cell viability, stress response, metabolism, and
energy homeostasis. Recent research suggests that mtSIRTs influence tumors by
regulating the metabolic state of the cell. Although the research on the role of
mtSIRTs in cancer is still in its infancy, studies have suggested tumor
suppressor as well as tumor promoter roles for them. This review is focused on
discussing up-to-date information about the roles and functional relevance of
mtSIRTs (SIRT -3, -4, -5) in cancers. We have also provided a critical discussion
and our perspective on their dual roles, as tumor promoter versus tumor
suppressor, in cancer. Cancer Res; 76(9); 2500-6. (c)2016 AACR.
PMID- 27197263
TI - Feasibility of Telomerase-Specific Adoptive T-cell Therapy for B-cell Chronic
Lymphocytic Leukemia and Solid Malignancies.
AB - Telomerase (TERT) is overexpressed in 80% to 90% of primary tumors and
contributes to sustaining the transformed phenotype. The identification of
several TERT epitopes in tumor cells has elevated the status of TERT as a
potential universal target for selective and broad adoptive immunotherapy. TERT
specific cytotoxic T lymphocytes (CTL) have been detected in the peripheral blood
of B-cell chronic lymphocytic leukemia (B-CLL) patients, but display low
functional avidity, which limits their clinical utility in adoptive cell transfer
approaches. To overcome this key obstacle hindering effective immunotherapy, we
isolated an HLA-A2-restricted T-cell receptor (TCR) with high avidity for human
TERT from vaccinated HLA-A*0201 transgenic mice. Using several relevant humanized
mouse models, we demonstrate that TCR-transduced T cells were able to control
human B-CLL progression in vivo and limited tumor growth in several human, solid
transplantable cancers. TERT-based adoptive immunotherapy selectively eliminated
tumor cells, failed to trigger a self-MHC-restricted fratricide of T cells, and
was associated with toxicity against mature granulocytes, but not toward human
hematopoietic progenitors in humanized immune reconstituted mice. These data
support the feasibility of TERT-based adoptive immunotherapy in clinical
oncology, highlighting, for the first time, the possibility of utilizing a high
avidity TCR specific for human TERT. Cancer Res; 76(9); 2540-51. (c)2016 AACR.
PMID- 27197264
TI - Stromal-Based Signatures for the Classification of Gastric Cancer.
AB - Treatment of metastatic gastric cancer typically involves chemotherapy and
monoclonal antibodies targeting HER2 (ERBB2) and VEGFR2 (KDR). However, reliable
methods to identify patients who would benefit most from a combination of
treatment modalities targeting the tumor stroma, including new immunotherapy
approaches, are still lacking. Therefore, we integrated a mouse model of stromal
activation and gastric cancer genomic information to identify gene expression
signatures that may inform treatment strategies. We generated a mouse model in
which VEGF-A is expressed via adenovirus, enabling a stromal response marked by
immune infiltration and angiogenesis at the injection site, and identified
distinct stromal gene expression signatures. With these data, we designed
multiplexed IHC assays that were applied to human primary gastric tumors and
classified each tumor to a dominant stromal phenotype representative of the
vascular and immune diversity found in gastric cancer. We also refined the
stromal gene signatures and explored their relation to the dominant patient
phenotypes identified by recent large-scale studies of gastric cancer genomics
(The Cancer Genome Atlas and Asian Cancer Research Group), revealing four
distinct stromal phenotypes. Collectively, these findings suggest that a genomics
based systems approach focused on the tumor stroma can be used to discover
putative predictive biomarkers of treatment response, especially to
antiangiogenesis agents and immunotherapy, thus offering an opportunity to
improve patient stratification. Cancer Res; 76(9); 2573-86. (c)2016 AACR.
PMID- 27197265
TI - The Ribonucleic Complex HuR-MALAT1 Represses CD133 Expression and Suppresses
Epithelial-Mesenchymal Transition in Breast Cancer.
AB - Epithelial-to-mesenchymal transition (EMT) is a core process underlying cell
movement during embryonic development and morphogenesis. Cancer cells hijack this
developmental program to execute a multi-step cascade, leading to tumorigenesis
and metastasis. CD133 (PROM1), a marker of cancer stem cells, has been shown to
facilitate EMT in various cancers, but the regulatory networks controlling CD133
gene expression and function in cancer remain incompletely delineated. In this
study, we show that a ribonucleoprotein complex including the long noncoding RNA
MALAT1 and the RNA-binding protein HuR (ELAVL1) binds the CD133 promoter region
to regulate its expression. In luminal nonmetastatic MCF-7 breast cancer cells,
HuR silencing was sufficient to upregulate N-cadherin (CDH2) and CD133 along with
a migratory and mesenchymal-like phenotype. Furthermore, we found that in the
basal-like metastatic cell line MDA-MB-231 and primary triple-negative breast
cancer tumor cells, the repressor complex was absent from the CD133-regulatory
region, but was present in the MCF-7 and primary ER+ tumor cells. The absence of
the complex from basal-like cells was attributed to diminished expression of
MALAT1, which, when overexpressed, dampened CD133 levels. In conclusion, our
findings suggest that the failure of a repressive complex to form or stabilize in
breast cancer promotes CD133 upregulation and an EMT-like program, providing new
mechanistic insights underlying the control of prometastatic processes. Cancer
Res; 76(9); 2626-36. (c)2016 AACR.
PMID- 27197268
TI - Correction: Grapefruit-Derived Nanovectors Use an Activated Leukocyte Trafficking
Pathway to Deliver Therapeutic Agents to Inflammatory Tumor Sites.
PMID- 27197269
TI - Correction: Radiation-Induced Loss of Salivary Gland Function Is Driven by
Cellular Senescence and Prevented by IL6 Modulation.
PMID- 27197266
TI - Second-Generation HSP90 Inhibitor Onalespib Blocks mRNA Splicing of Androgen
Receptor Variant 7 in Prostate Cancer Cells.
AB - Resistance to available hormone therapies in prostate cancer has been associated
with alternative splicing of androgen receptor (AR) and specifically, the
expression of truncated and constitutively active AR variant 7 (AR-V7). The
transcriptional activity of steroid receptors, including AR, is dependent on
interactions with the HSP90 chaperone machinery, but it is unclear whether HSP90
modulates the activity or expression of AR variants. Here, we investigated the
effects of HSP90 inhibition on AR-V7 in prostate cancer cell lines endogenously
expressing this variant. We demonstrate that AR-V7 and full-length AR (AR-FL)
were depleted upon inhibition of HSP90. However, the mechanisms underlying AR-V7
depletion differed from those for AR-FL. Whereas HSP90 inhibition destabilized AR
FL and induced its proteasomal degradation, AR-V7 protein exhibited higher
stability than AR-FL and did not require HSP90 chaperone activity. Instead, HSP90
inhibition resulted in the reduction of AR-V7 mRNA levels but did not affect
total AR transcript levels, indicating that HSP90 inhibition disrupted AR-V7
splicing. Bioinformatic analyses of transcriptome-wide RNA sequencing data
confirmed that the second-generation HSP90 inhibitor onalespib altered the
splicing of at least 557 genes in prostate cancer cells, including AR. These
findings indicate that the effects of HSP90 inhibition on mRNA splicing may prove
beneficial in prostate cancers expressing AR-V7, supporting further clinical
investigation of HSP90 inhibitors in malignancies no longer responsive to
androgen deprivation. Cancer Res; 76(9); 2731-42. (c)2016 AACR.
PMID- 27197270
TI - Correction: Aberrant Notch Signaling in the Bone Marrow Microenvironment of Acute
Lymphoid Leukemia Suppresses Osteoblast-Mediated Support of Hematopoietic Niche
Function.
PMID- 27197267
TI - The BRCA1-Delta11q Alternative Splice Isoform Bypasses Germline Mutations and
Promotes Therapeutic Resistance to PARP Inhibition and Cisplatin.
AB - Breast and ovarian cancer patients harboring BRCA1/2 germline mutations have
clinically benefitted from therapy with PARP inhibitor (PARPi) or platinum
compounds, but acquired resistance limits clinical impact. In this study, we
investigated the impact of mutations on BRCA1 isoform expression and therapeutic
response. Cancer cell lines and tumors harboring mutations in exon 11 of BRCA1
express a BRCA1-Delta11q splice variant lacking the majority of exon 11. The
introduction of frameshift mutations to exon 11 resulted in nonsense-mediated
mRNA decay of full-length, but not the BRCA1-Delta11q isoform. CRISPR/Cas9 gene
editing as well as overexpression experiments revealed that the BRCA1-Delta11q
protein was capable of promoting partial PARPi and cisplatin resistance relative
to full-length BRCA1, both in vitro and in vivo Furthermore, spliceosome
inhibitors reduced BRCA1-Delta11q levels and sensitized cells carrying exon 11
mutations to PARPi treatment. Taken together, our results provided evidence that
cancer cells employ a strategy to remove deleterious germline BRCA1 mutations
through alternative mRNA splicing, giving rise to isoforms that retain residual
activity and contribute to therapeutic resistance. Cancer Res; 76(9); 2778-90.
(c)2016 AACR.
PMID- 27197272
TI - Changes in Epidermal Growth Factor Receptor Gene Copy Number during Oral
Carcinogenesis.
AB - BACKGROUND: Oral squamous cell carcinoma (OSCC) is a global healthcare problem
associated with poor clinical outcomes. Early detection is key to improving
patient survival. OSCC may be preceded by clinically recognizable lesions, termed
oral potentially malignant disorders (OPMD). As histologic assessment of OPMD
does not accurately predict their clinical behavior, biomarkers are required to
detect cases at risk of malignant transformation. Epidermal growth factor
receptor gene copy number (EGFR GCN) is a validated biomarker in lung non-small
cell carcinoma. We examined EGFR GCN in OPMD and OSCC to determine its potential
as a biomarker in oral carcinogenesis. METHODS: EGFR GCN was examined by in situ
hybridization (ISH) in biopsies from 78 patients with OPMD and 92 patients with
early-stage (stages I and II) OSCC. EGFR ISH signals were scored by two
pathologists and a category assigned by consensus. The data were correlated with
patient demographics and clinical outcomes. RESULTS: OPMD with abnormal EGFR GCN
were more likely to undergo malignant transformation than diploid cases. EGFR
genomic gain was detected in a quarter of early-stage OSCC, but did not correlate
with clinical outcomes. CONCLUSION: These data suggest that abnormal EGFR GCN has
clinical utility as a biomarker for the detection of OPMD destined to undergo
malignant transformation. Prospective studies are required to verify this
finding. It remains to be determined if EGFR GCN could be used to select patients
for EGFR-targeted therapies. IMPACT: Abnormal EGFR GCN is a potential biomarker
for identifying OPMD that are at risk of malignant transformation. Cancer
Epidemiol Biomarkers Prev; 25(6); 927-35. (c)2016 AACR.
PMID- 27197271
TI - Effects of a Structured Exercise Program on Physical Activity and Fitness in
Colon Cancer Survivors: One Year Feasibility Results from the CHALLENGE Trial.
AB - BACKGROUND: There is strong interest in testing lifestyle interventions to
improve cancer outcomes; however, the optimal methods for achieving behavior
change in large-scale pragmatic trials are unknown. Here, we report the 1-year
feasibility results for exercise behavior change in the Canadian Cancer Trials
Group CO.21 (CHALLENGE) Trial. METHODS: Between 2009 and 2014, 273 high-risk
stage II and III colon cancer survivors from 42 centers in Canada and Australia
were randomized to a structured exercise program (SEP; n = 136) or health
education materials (HEM; n = 137). The primary feasibility outcome in a
prespecified interim analysis was a difference between randomized groups of >=5
metabolic equivalent task (MET)-hours/week in self-reported recreational physical
activity (PA) after at least 250 participants reached the 1-year follow-up.
Secondary outcomes included health-related fitness. RESULTS: The SEP group
reported an increase in recreational PA of 15.6 MET-hours/week compared with 5.1
MET-hours/week in the HEM group [mean difference = +10.5; 95% confidence interval
(CI) = +3.1-+17.9; P = 0.002]. The SEP group also improved relative to the HEM
group in predicted VO2max (P = 0.068), 6-minute walk (P < 0.001), 30-second chair
stand (P < 0.001), 8-foot up-and-go (P = 0.004), and sit-and-reach (P = 0.08).
CONCLUSIONS: The behavior change intervention in the CHALLENGE Trial produced a
substantial increase in self-reported recreational PA that met the feasibility
criterion for trial continuation, resulted in objective fitness improvements, and
is consistent with the amount of PA associated with improved colon cancer
outcomes in observational studies. IMPACT: The CHALLENGE Trial is poised to
determine the causal effects of PA on colon cancer outcomes. Cancer Epidemiol
Biomarkers Prev; 25(6); 969-77. (c)2016 AACR.
PMID- 27197273
TI - Temporal Trends in Colorectal Cancer Screening among Asian Americans.
AB - Asian Americans (AA) are less likely to be screened for colorectal cancer
compared with non-Hispanic Whites (NHW), with a widening disparity for some AA
subgroups in the early 2000s. Whether these patterns have continued in more
recent years is unknown. We examined temporal trends in colorectal cancer
screening among AA overall compared with NHWs and by AA subgroup (Chinese,
Japanese, Korean, Filipino, South Asian, Vietnamese) using data from the 2003,
2005, 2007, and 2009 California Health Interview Surveys. Unadjusted (PR) and
adjusted (aPR) prevalence ratios for colorectal cancer screening, accounting for
sociodemographic, health care, and acculturation factors, were calculated for
respondents ages 50 to 75 years (NHW n = 60,125; AA n = 6,630). Between 2003 and
2009, colorectal cancer screening prevalence increased from 43.3% to 64.6% in AA
(P <= 0.001) and from 58.1% to 71.4% in NHW (P <= 0.001). Unadjusted colorectal
cancer screening was significantly lower among AA compared with NHW in 2003 [PR =
0.74; 95% confidence interval (CI), 0.68-0.82], 2005 (PR = 0.78; 95% CI, 0.72
0.84), 2007 (PR = 0.91; 95% CI, 0.85-0.96), and 2009 (PR = 0.90; 95% CI, 0.84
0.97), though disparities narrowed over time. After adjustment, there were no
significant differences in colorectal cancer screening between the two groups,
except in 2003. In subgroup analyses, between 2003 and 2009, colorectal cancer
screening significantly increased by 22% in Japanese, 56% in Chinese, 47% in
Filipino, and 94% in Koreans. In our study of California residents, colorectal
cancer screening disparities between AA and NHW narrowed, but were not eliminated
and screening prevalence among AA remains below nationwide goals, including the
Healthy People 2020 goal of increasing colorectal cancer screening prevalence to
70.5%. Cancer Epidemiol Biomarkers Prev; 25(6); 995-1000. (c)2016 AACR.
PMID- 27197274
TI - Parity and Oral Contraceptive Use in Relation to Ovarian Cancer Risk in Older
Women.
AB - BACKGROUND: Several studies have suggested that the ovarian cancer risk
reductions associated with parity and oral contraceptive use are weaker in
postmenopausal than premenopausal women, yet little is known about the
persistence of these reductions as women age. This question gains importance with
the increasing numbers of older women in the population. METHODS: We addressed
the question using data from three large U.S. cohort studies involving 310,290
white women aged 50+ years at recruitment, of whom 1,815 developed subsequent
incident invasive epithelial ovarian cancer. We used Cox regression, stratified
by cohort, to examine age-related trends in the HRs per full-term pregnancy and
per year of oral contraceptive use. RESULTS: The parity-associated risk
reductions waned with age (Ptrend < 0.001 in HR with increasing age),
particularly among women aged 75 years or more, for whom we observed no
association with parity. However, we observed no such attenuation in the oral
contraceptive-associated risk reductions (P = 0.79 for trend in HR with
increasing age). CONCLUSION: These findings suggest that prior oral contraceptive
use is important for ovarian cancer risk assessment among women of all ages,
while the benefits of parity wane as women age. IMPACT: This information, if
duplicated in other studies, will be useful to preventive counseling and risk
prediction, particularly for women at increased ovarian cancer risk due to a
personal history of breast cancer or a family history of ovarian cancer. Cancer
Epidemiol Biomarkers Prev; 25(7); 1059-63. (c)2016 AACR.
PMID- 27197276
TI - The Effect of Exercise Training on Mediators of Inflammation in Breast Cancer
Survivors: A Systematic Review with Meta-analysis.
AB - Several sources of evidence indicate that exercise during and after breast cancer
could positively modulate the tumor microenvironment. This meta-analysis aimed to
determine the effects of exercise training on mediators of inflammation in breast
cancer survivors. We searched for randomized controlled trials published from
January 1990 to March 2014. An inverse variance method of meta-analysis was
performed using a random effects model in the presence of statistical
heterogeneity. Eight high-quality trials (n = 478) were included. Exercise
improved the serum concentrations of IL6 [weighted mean difference (WMD) = -0.55
pg/mL; 95% confidence interval (CI), -1.02 to -0.09], TNFalpha (WMD = -0.64
pg/mL; 95% CI, -1.21 to -0.06), IL8 (MD = -0.49 pg/mL; 95% CI, -0.89 to -0.09),
and IL2 (WMD = 1.03 pg/mL; 95% CI, 0.40 to 1.67). No significant differences were
found in the serum concentrations of C-reactive protein (WMD = -0.15; 95% CI,
0.56 to 0.25) or IL10 (WMD = 0.41; 95% CI, -0.18 to 1.02). Exercise training
positively modulates chronic low-grade inflammation in women with breast cancer,
which may impact upon carcinogenic mechanisms and the tumor microenvironment.
These findings align with the other positive effects of exercise for breast
cancer survivors, reinforcing the appropriateness of exercise prescription in
this population. Cancer Epidemiol Biomarkers Prev; 25(7); 1009-17. (c)2016 AACR.
PMID- 27197277
TI - Systematic Review and Meta-study Synthesis of Qualitative Studies Evaluating
Facilitators and Barriers to Participation in Colorectal Cancer Screening.
AB - Screening reduces the incidence, morbidity, and mortality of colorectal cancer,
yet participation tends to be low. We undertook a systematic review and meta
study synthesis of qualitative studies to identify facilitators and barriers to
colorectal cancer screening participation. We searched major bibliographic
databases for records published in all languages from inception to February 2015.
Included primary studies that elicited views and perceptions towards colorectal
cancer screening were appraised for relevance and quality. We used a two-stage
synthesis to create an interpretation of colorectal cancer screening decisions
grounded in primary studies; a thematic analysis to group themes and
systematically compare studies and a meta-synthesis to generate an expanded
theory of colorectal cancer screening participation. Ninety-four studies were
included. The decision to participate in colorectal cancer screening depended on
an individual's awareness of colorectal cancer screening. Awareness affected
views of cancer, attitudes towards colorectal cancer screening modalities, and
motivation for screening. Factors mediating awareness included public education
to address misconceptions, primary care physician efforts to recommend screening,
and the influence of friends and family. Specific barriers to participation in
populations with lower participation rates included language barriers, logistical
challenges to attending screening tests, and cultural beliefs. This study
identifies key barriers, facilitators, and mediators to colorectal cancer
screening participation. Cancer Epidemiol Biomarkers Prev; 25(6); 907-17. (c)2016
AACR.
PMID- 27197275
TI - Serum Estrogens and Estrogen Metabolites and Endometrial Cancer Risk among
Postmenopausal Women.
AB - BACKGROUND: Although endometrial cancer is clearly influenced by hormonal
factors, few epidemiologic studies have investigated the role of endogenous
estrogens or especially estrogen metabolites. METHODS: We conducted a nested case
control study within the Women's Health Initiative Observational Study (WHI-OS),
a cohort of 93,676 postmenopausal women recruited between 1993 and 1998. Using
baseline serum samples from women who were non-current hormone users with intact
uteri, we measured 15 estrogens/estrogen metabolites via HPLC/MS-MS among 313
incident endometrial cancer cases (271 type I, 42 type II) and 354 matched
controls, deriving adjusted ORs and 95% confidence intervals (CI) for overall and
subtype-specific endometrial cancer risk. RESULTS: Parent estrogens (estrone and
estradiol) were positively related to endometrial cancer risk, with the highest
risk observed for unconjugated estradiol (OR 5th vs. 1st quintile = 6.19; 95% CI,
2.95-13.03, Ptrend = 0.0001). Nearly all metabolites were significantly
associated with elevated risks, with some attenuation after adjustment for
unconjugated estradiol (residual risks of 2- to 3-fold). Body mass index
(kg/m(2), BMI) relations were somewhat reduced after adjustment for estrogen
levels. The association with unconjugated estradiol was stronger for type I than
type II tumors (Phet = 0.01). CONCLUSIONS: Parent estrogens as well as individual
metabolites appeared to exert generalized uterotropic activity, particularly for
type I tumors. The effects of obesity on risk were only partially explained by
estrogens. IMPACT: These findings enhance our understanding of estrogen
mechanisms involved in endometrial carcinogenesis but also highlight the need for
studying additional markers that may underlie the effects on risk of certain risk
factors, for example, obesity. Cancer Epidemiol Biomarkers Prev; 25(7); 1081-9.
(c)2016 AACR.
PMID- 27197279
TI - Oral Hygiene and Risk of Nasopharyngeal Carcinoma-A Population-Based Case-Control
Study in China.
AB - BACKGROUND: The association between oral health and risk of nasopharyngeal
carcinoma (NPC) is largely unknown. Further understanding could shed light on
potential pathogenic mechanisms and preventive measures. METHODS: We conducted a
population-based case-control study in southern China between 2010 and 2014. We
enrolled 2,528 incident NPC cases, aged 20-74 years, and 2,596 controls, randomly
selected from the total population registers, with frequency matching to the 5
year age and sex distribution of the cases by geographic region. We interviewed
subjects using a structured questionnaire inquiring about oral health indicators
and potential confounding factors. We used unconditional logistic regression to
estimate multivariate-adjusted ORs with 95% confidence intervals (CI). RESULTS: A
higher number of filled teeth was associated with an elevated risk of NPC.
Individuals with 1 to 3 and more than 3 teeth filled versus none had adjusted ORs
of 1.25 (95% CI, 1.06-1.49) and 1.55 (95% CI, 1.13-2.12), respectively (Ptrend =
0.002). Conversely, the adjusted OR for those who brushed teeth twice or more per
day versus once or less per day was 0.62 (95% CI, 0.55-0.70). We detected a
borderline significant positive association with earlier age at first adult tooth
loss. CONCLUSION: Our study suggested a positive association between some
indicators of poor oral health and risk of NPC. Further studies are needed to
confirm whether the findings are causal and, if so, to further explain the
underlying mechanisms. IMPACT: Improvement of oral hygiene might contribute to
reducing NPC risk. Cancer Epidemiol Biomarkers Prev; 25(8); 1201-7. (c)2016 AACR.
PMID- 27197278
TI - Metabolomic Biomarkers of Prostate Cancer: Prediction, Diagnosis, Progression,
Prognosis, and Recurrence.
AB - Metabolite profiling is being increasing employed in the study of prostate cancer
as a means of identifying predictive, diagnostic, and prognostic biomarkers. This
review provides a summary and critique of the current literature. Thirty-three
human case-control studies of prostate cancer exploring disease prediction,
diagnosis, progression, or treatment response were identified. All but one
demonstrated the ability of metabolite profiling to distinguish cancer from
benign, tumor aggressiveness, cases who recurred, and those who responded well to
therapy. In the subset of studies where biomarker discriminatory ability was
quantified, high AUCs were reported that would potentially outperform the current
gold standards in diagnosis, prognosis, and disease recurrence, including PSA
testing. There were substantial similarities between the metabolites and the
associated pathways reported as significant by independent studies, and important
roles for abnormal cell growth, intensive cell proliferation, and dysregulation
of lipid metabolism were highlighted. The weight of the evidence therefore
suggests metabolic alterations specific to prostate carcinogenesis and
progression that may represent potential metabolic biomarkers. However,
replication and validation of the most promising biomarkers is currently lacking
and a number of outstanding methodologic issues remain to be addressed to
maximize the utility of metabolomics in the study of prostate cancer. Cancer
Epidemiol Biomarkers Prev; 25(6); 887-906. (c)2016 AACR.
PMID- 27197280
TI - Alcohol Use and Breast Cancer Survival among Participants in the Women's Health
Initiative.
AB - BACKGROUND: Alcohol increases the risk of breast cancer even at moderate levels
of intake. However, the relationship between alcohol consumption and mortality
among breast cancer patients is less clear. METHODS: This study included women
from the Women's Health Initiative observational study and randomized trial
diagnosed with breast cancer (n = 7,835). Cox proportional hazards regression was
used to estimate adjusted HRs and 95% confidence intervals (CI) for overall and
breast cancer-specific (BCS) mortality associated with drinking alcohol before or
after a breast cancer diagnosis. We also assessed whether changes in drinking
habits after diagnosis are related to mortality. RESULTS: Women who were
consuming alcohol prior to their breast cancer diagnosis had a nonstatistically
significant 24% (95% CI, 0.56-1.04) reduced risk of BCS mortality and a 26% (95%
CI, 0.61-0.89) reduced risk of all-cause mortality. Some variation was observed
by estrogen receptor (ER) status as alcohol consumption was associated with a 49%
(95% CI, 0.31-0.83) reduced risk of BCS mortality among ER(-) patients with no
change in risk observed among ER(+) patients (HR = 0.97; 95% CI, 0.31-1.54),
though the difference between these risks was not statistically significant (P
for interaction = 0.39). Postdiagnosis alcohol consumption, and change in
consumption patterns after diagnosis, did not appear to be associated with all
cause or BCS mortality. CONCLUSION: In this large study, consumption of alcohol
before or after breast cancer diagnosis did not increase risks of overall or
cause-specific mortality. IMPACT: Coupled with existing evidence, alcohol
consumption is unlikely to have a substantial impact on mortality among breast
cancer patients. Cancer Epidemiol Biomarkers Prev; 25(8); 1268-73. (c)2016 AACR.
PMID- 27197281
TI - Lower Pectoralis Muscle Area Is Associated with a Worse Overall Survival in Non
Small Cell Lung Cancer.
AB - BACKGROUND: Muscle wasting is a component of the diagnosis of cancer cachexia and
has been associated with poor prognosis. However, recommended tools to measure
sarcopenia are limited by poor sensitivity or the need to perform additional
scans. We hypothesized that pectoralis muscle area (PMA) measured objectively on
chest CT scan may be associated with overall survival (OS) in non-small cell lung
cancer (NSCLC). METHODS: We evaluated 252 cases from a prospectively enrolling
lung cancer cohort. Eligible cases had CT scans performed prior to the initiation
of surgery, radiation, or chemotherapy. PMA was measured in a semi-automated
fashion while blinded to characteristics of the tumor, lung, and patient
outcomes. RESULTS: Men had a significantly greater PMA than women (37.59 vs.
26.19 cm2, P < 0.0001). In univariate analysis, PMA was associated with age and
body mass index (BMI). A Cox proportional hazards model was constructed to
account for confounders associated with survival. Lower pectoralis area (per cm2)
at diagnosis was associated with an increased hazard of death of 2% (HRadj, 0.98;
confidence interval, 0.96-0.99; P = 0.044) while adjusting for age, sex, smoking,
chronic bronchitis, emphysema, histology, stage, chemotherapy, radiation,
surgery, BMI, and ECOG performance status. CONCLUSIONS: Lower PMA measured from
chest CT scans obtained at the time of diagnosis of NSCLC is associated with a
worse OS. IMPACT: PMA may be a valuable CT biomarker for sarcopenia-associated
lung cancer survival. Cancer Epidemiol Biomarkers Prev; 26(1); 38-43. (c)2016
AACR SEE ALL THE ARTICLES IN THIS CEBP FOCUS SECTION, "THE OBESITY PARADOX IN
CANCER EVIDENCE AND NEW DIRECTIONS".
PMID- 27197282
TI - Association between Body Powder Use and Ovarian Cancer: The African American
Cancer Epidemiology Study (AACES).
AB - BACKGROUND: Epidemiologic studies indicate increased ovarian cancer risk among
women who use genital powder, but this has not been thoroughly investigated in
African American (AA) women, a group with a high prevalence of use. We evaluate
the relationship between use of genital powder and nongenital powder in invasive
epithelial ovarian cancer (EOC). METHODS: Subjects are 584 cases and 745 controls
enrolled in the African American Cancer Epidemiology Study (AACES), an ongoing,
population-based case-control study of EOC in AA women in 11 geographic locations
in the United States. AA controls were frequency matched to cases on residence
and age. Logistic regression was used to calculate ORs and 95% confidence
intervals (CI) for associations between genital and nongenital powder exposure
and EOC risk, controlling for potential confounders. RESULTS: Powder use was
common (62.8% of cases and 52.9% of controls). Genital powder was associated with
an increased risk of EOC (OR = 1.44; 95% CI, 1.11-1.86) and a dose-response
relationship was found for duration of use and number of lifetime applications (P
< 0.05). Nongenital use was also associated with EOC risk, particularly among
nonserous EOC cases (OR = 2.28; 95% CI, 1.39-3.74). An association between powder
use and upper respiratory conditions suggests an enhanced inflammatory response
may explain the association between body powder and EOC. CONCLUSIONS: In a study
of AA women, body powder use was significantly associated with EOC risk. IMPACT:
The results support that body powder is a modifiable risk factor for EOC among AA
women. Cancer Epidemiol Biomarkers Prev; 25(10); 1411-7. (c)2016 AACRSee related
commentary by Trabert, p. 1369.
PMID- 27197283
TI - Dietary Fat Intake During Adolescence and Breast Density Among Young Women.
AB - BACKGROUND: Lack of association between fat intake and breast cancer risk in
cohort studies might be attributed to the disregard of temporal effects during
adolescence when breasts develop and are particularly sensitive to stimuli. We
prospectively examined associations between adolescent fat intakes and breast
density. METHOD: Among 177 women who participated in the Dietary Intervention
Study in Children, dietary intakes at ages 10-18 years were assessed on five
occasions by 24-hour recalls and averaged. We calculated geometric mean and 95%
confidence intervals for MRI-measured breast density at ages 25-29 years across
quartiles of fat intake using linear mixed-effect regression. RESULTS: Comparing
women in the extreme quartiles of adolescent fat intakes, percent dense breast
volume (%DBV) was positively associated with saturated fat (mean = 16.4% vs.
21.5%; Ptrend < 0.001). Conversely, %DBV was inversely associated with
monounsaturated fat (25.0% vs. 15.8%; Ptrend < 0.001) and the ratio of
polyunsaturated fat to saturated fat (P/S ratio; 19.1% vs. 14.3%; Ptrend <
0.001). When examining intake by pubertal stages, %DBV was inversely associated
with intake of polyunsaturated fat (20.8% vs. 16.4%; Ptrend = 0.04), long-chain
omega-3 fat (17.8% vs. 15.8%; Ptrend < 0.001), and P/S ratio (22.5% vs. 16.1%;
Ptrend < 0.001) before menarche, but not after. These associations observed with
%DBV were consistently observed with absolute dense breast volume but not with
absolute nondense breast volume. CONCLUSIONS: In our study, adolescent intakes of
higher saturated fat and lower mono- and polyunsaturated fat are associated with
higher breast density measured approximately 15 years later. IMPACT: The fat
subtype composition in adolescent diet may be important in early breast cancer
prevention. Cancer Epidemiol Biomarkers Prev; 25(6); 918-26. (c)2016 AACR.
PMID- 27197284
TI - Association of Common Susceptibility Variants of Pancreatic Cancer in Higher-Risk
Patients: A PACGENE Study.
AB - Individuals from pancreatic cancer families are at increased risk, not only of
pancreatic cancer, but also of melanoma, breast, ovarian, and colon cancers.
While some of the increased risk may be due to mutations in high-penetrance genes
(i.e., BRCA2, PALB2, ATM, p16/CDKN2A or DNA mismatch repair genes), common
genetic variants may also be involved. In a high-risk population of cases with
either a family history of pancreatic cancer or early-onset pancreatic cancer
(diagnosis before the age of 50 years), we examined the role of genetic variants
previously associated with risk of pancreatic, breast, ovarian, or prostate
cancer. We genotyped 985 cases (79 early-onset cases, 906 cases with a family
history of pancreatic cancer) and 877 controls for 215,389 SNPs using the iSelect
Collaborative Oncological Gene-Environment Study (iCOGS) array with custom
content. Logistic regression was performed using a log-linear additive model. We
replicated several previously reported pancreatic cancer susceptibility loci,
including recently identified variants on 2p13.3 and 7p13 (2p13.3, rs1486134: OR
= 1.36; 95% CI, 1.13-1.63; P = 9.29 * 10(-4); 7p13, rs17688601: OR = 0.76; 95%
CI, 0.63-0.93; P = 6.59 * 10(-3)). For the replicated loci, the magnitude of
association observed in these high-risk patients was similar to that observed in
studies of unselected patients. In addition to the established pancreatic cancer
loci, we also found suggestive evidence of association (P < 5 * 10(-5)) to
pancreatic cancer for SNPs at HDAC9 (7p21.1) and COL6A2 (21q22.3). Even in high
risk populations, common variants influence pancreatic cancer susceptibility.
Cancer Epidemiol Biomarkers Prev; 25(7); 1185-91. (c)2016 AACR.
PMID- 27197286
TI - Inflammatory-Related Genetic Variants in Non-Muscle-Invasive Bladder Cancer
Prognosis: A Multimarker Bayesian Assessment.
AB - BACKGROUND: Increasing evidence points to the role of tumor immunologic
environment on urothelial bladder cancer prognosis. This effect might be partly
dependent on the host genetic context. We evaluated the association of SNPs in
inflammation-related genes with non-muscle-invasive bladder cancer (NMIBC) risk
of-recurrence and risk-of-progression. METHODS: We considered 822 NMIBC included
in the SBC/EPICURO Study followed-up >10 years. We selected 1,679 SNPs belonging
to 251 inflammatory genes. The association of SNPs with risk-of-recurrence and
risk-of-progression was assessed using Cox regression single-marker (SMM) and
multimarker methods (MMM) Bayes A and Bayesian LASSO. Discriminative abilities of
the models were calculated using the c index and validated with bootstrap cross
validation procedures. RESULTS: While no SNP was found to be associated with risk
of-recurrence using SMM, three SNPs in TNIP1, CD5, and JAK3 showed very strong
association with posterior probabilities >90% using MMM. Regarding risk-of
progression, one SNP in CD3G was significantly associated using SMM (HR, 2.69; P
= 1.55 * 10(-5)) and two SNPs in MASP1 and AIRE, showed a posterior probability
>=80% with MMM. Validated discriminative abilities of the models without and with
the SNPs were 58.4% versus 60.5% and 72.1% versus 72.8% for risk-of-recurrence
and risk-of-progression, respectively. CONCLUSIONS: Using innovative analytic
approaches, we demonstrated that SNPs in inflammatory-related genes were
associated with NMIBC prognosis and that they improve the discriminative ability
of prognostic clinical models for NMIBC. IMPACT: This study provides proof of
concept for the joint effect of genetic variants in improving the discriminative
ability of clinical prognostic models. The approach may be extended to other
diseases. Cancer Epidemiol Biomarkers Prev; 25(7); 1144-50. (c)2016 AACR.
PMID- 27197285
TI - Chronic Recreational Physical Inactivity and Epithelial Ovarian Cancer Risk:
Evidence from the Ovarian Cancer Association Consortium.
AB - BACKGROUND: Despite a large body of literature evaluating the association between
recreational physical activity and epithelial ovarian cancer (EOC) risk, the
extant evidence is inconclusive, and little is known about the independent
association between recreational physical inactivity and EOC risk. We conducted a
pooled analysis of nine studies from the Ovarian Cancer Association Consortium to
investigate the association between chronic recreational physical inactivity and
EOC risk. METHODS: In accordance with the 2008 Physical Activity Guidelines for
Americans, women reporting no regular, weekly recreational physical activity were
classified as inactive. Multivariable logistic regression was utilized to
estimate the ORs and 95% confidence intervals (CI) for the association between
inactivity and EOC risk overall and by subgroups based upon histotype, menopausal
status, race, and body mass index. RESULTS: The current analysis included data
from 8,309 EOC patients and 12,612 controls. We observed a significant positive
association between inactivity and EOC risk (OR = 1.34; 95% CI, 1.14-1.57), and
similar associations were observed for each histotype. CONCLUSIONS: In this large
pooled analysis examining the association between recreational physical
inactivity and EOC risk, we observed consistent evidence of an association
between chronic inactivity and all EOC histotypes. IMPACT: These data add to the
growing body of evidence suggesting that inactivity is an independent risk factor
for cancer. If the apparent association between inactivity and EOC risk is
substantiated, additional work via targeted interventions should be pursued to
characterize the dose of activity required to mitigate the risk of this highly
fatal disease. Cancer Epidemiol Biomarkers Prev; 25(7); 1114-24. (c)2016 AACR.
PMID- 27197288
TI - A Randomized Controlled Trial of Progressively Reduced Nicotine Content
Cigarettes on Smoking Behaviors, Biomarkers of Exposure, and Subjective Ratings.
AB - BACKGROUND: The U.S. FDA has the authority to reduce cigarette nicotine content
if found to benefit public health. Reduced nicotine content (RNC) cigarette use
does not appear to increase harm exposure, but studies have not rigorously
assessed smoking behavior or used a comprehensive panel of biomarkers. This study
examined the effects of progressively decreasing RNC cigarettes on smoking
behaviors, biomarkers of exposure, and subjective ratings. METHODS: One hundred
and fifty-eight daily, non-treatment-seeking smokers participated in a 35-day
randomized, unblinded, parallel study. After a 5-day baseline period,
participants were randomly assigned to an experimental group (n = 80) that smoked
progressively decreasing RNC cigarettes during three 10-day periods, or control
group (n = 78) that smoked their own brand throughout the study. RESULTS: Daily
cigarette consumption significantly increased for the intermediate RNCs (P's <
0.001) but approached baseline rate for the lowest RNC (P = 0.686); in contrast,
puffing behavior significantly decreased at intermediate levels and increased for
the lowest RNC (P's < 0.001). Cotinine and NNAL significantly decreased by RNC
period (P's <= 0.001-0.02), whereas CO boost initially increased (P's = 0.001
0.005). 1-HOP did not change by period (P = 0.109). CONCLUSIONS: Smoking
behaviors changed by RNC period via CPD and puffing behavior. Biomarkers of
exposure generally decreased with nicotine content. IMPACT: Findings suggest that
RNC use does not ubiquitously reduce smoking behaviors or biomarkers, yet the
lowest RNC level tested may reduce harm exposure. This emphasizes the importance
of using multiple behavioral and biologic measures to address the impact of RNC
cigarette smoking. Cancer Epidemiol Biomarkers Prev; 25(7); 1125-33. (c)2016
AACR.
PMID- 27197289
TI - A Composite Cytology-Histology Endpoint Allows a More Accurate Estimate of Anal
High-Grade Squamous Intraepithelial Lesion Prevalence.
AB - BACKGROUND: There is debate about the accuracy of anal cytology and high
resolution anoscopy (HRA), in the diagnosis of anal human papillomavirus (HPV)
related squamous intraepithelial lesions (SIL). Few studies have performed both
simultaneously in a large sample of high-risk individuals. METHODS: At baseline
in a community-based cohort of HIV-infected and uninfected homosexual men ages
>=35 years in Sydney, Australia, all men underwent anal swabbing for cytology and
HPV genotyping, and HRA-guided biopsy. We evaluated the separate and combined
diagnostic accuracy of cytology and histology, based on a comparison with the
prevalence of HPV16 and other high-risk (HR) HPV. We examined trends in HPV
prevalence across cytology-histology combinations. RESULTS: Anal swab, HRA, and
HPV genotyping results were available for 605 of 617 participants. The prevalence
of cytologically predicted high-grade SIL (HSIL, 17.9%) was lower than
histologically diagnosed HSIL (31.7%, P < 0.001). The prevalence of composite
HSIL (detected by either method) was 37.7%. HPV16 prevalence was similar in men
with HSIL by cytology (59.3%), HSIL by histology (51.0%), and composite-HSIL
(50.0%). HPV16 prevalence was 31.1% in men with composite-atypical squamous cells
suggestive of HSIL, to 18.5% in men with composite-low-grade SIL, to 12.1% in men
with composite-negative results (Ptrend < 0.001). CONCLUSIONS: Significantly more
HSIL was detected when a composite cytology-histology endpoint was used.
Increasing grade of composite endpoint was associated with increasing HPV16
prevalence. IMPACT: These data suggest that a composite cytology-histology
endpoint reflects meaningful disease categories and is likely to be an important
biomarker in anal cancer prevention. Cancer Epidemiol Biomarkers Prev; 25(7);
1134-43. (c)2016 AACR.
PMID- 27197287
TI - Selenium- or Vitamin E-Related Gene Variants, Interaction with Supplementation,
and Risk of High-Grade Prostate Cancer in SELECT.
AB - BACKGROUND: Epidemiologic studies and secondary analyses of randomized trials
supported the hypothesis that selenium and vitamin E lower prostate cancer risk.
However, the Selenium and Vitamin E Cancer Prevention Trial (SELECT) showed no
benefit of either supplement. Genetic variants involved in selenium or vitamin E
metabolism or transport may underlie the complex associations of selenium and
vitamin E. METHODS: We undertook a case-cohort study of SELECT participants
randomized to placebo, selenium, or vitamin E. The subcohort included 1,434 men;
our primary outcome was high-grade prostate cancer (N = 278 cases, Gleason 7 or
higher cancer). We used weighted Cox regression to examine the association
between SNPs and high-grade prostate cancer risk. To assess effect modification,
we created interaction terms between randomization arm and genotype and
calculated log likelihood statistics. RESULTS: We noted statistically significant
(P < 0.05) interactions between selenium assignment, SNPs in CAT, SOD2, PRDX6,
SOD3, and TXNRD2, and high-grade prostate cancer risk. Statistically significant
SNPs that modified the association of vitamin E assignment and high-grade
prostate cancer included SEC14L2, SOD1, and TTPA In the placebo arm, several
SNPs, hypothesized to interact with supplement assignment and risk of high-grade
prostate cancer, were also directly associated with outcome. CONCLUSION: Variants
in selenium and vitamin E metabolism/transport genes may influence risk of
overall and high-grade prostate cancer, and may modify an individual man's
response to vitamin E or selenium supplementation with regards to these risks.
IMPACT: The effect of selenium or vitamin E supplementation on high-grade
prostate cancer risk may vary by genotype. Cancer Epidemiol Biomarkers Prev;
25(7); 1050-8. (c)2016 AACR.
PMID- 27197291
TI - Genetic Variation Associated with Longer Telomere Length Increases Risk of
Chronic Lymphocytic Leukemia.
AB - BACKGROUND: Chronic lymphocytic leukemia (CLL) is the most common leukemia in the
Western world. Shorter mean telomere length in leukemic cells has been associated
with more aggressive disease. Germline polymorphisms in telomere maintenance
genes affect telomere length and may contribute to CLL susceptibility. METHODS:
We collected genome-wide data from two groups of patients with CLL (N = 273) and
two control populations (N = 5,725). In ancestry-adjusted case-control
comparisons, we analyzed eight SNPs in genes definitively associated with inter
individual variation in leukocyte telomere length (LTL) in prior genome-wide
association studies: ACYP2, TERC, NAF1, TERT, OBFC1, CTC1, ZNF208, and RTEL1
RESULTS: Three of the eight LTL-associated SNPs were associated with CLL risk at
P < 0.05, including those near: TERC [OR, 1.46; 95% confidence interval (CI),
1.15-1.86; P = 1.8 * 10(-3)], TERT (OR = 1.23; 95% CI, 1.02-1.48; P = 0.030), and
OBFC1 (OR, 1.36; 95% CI, 1.08-1.71; P = 9.6 * 10(-3)). Using a weighted linear
combination of the eight LTL-associated SNPs, we observed that CLL patients were
predisposed to longer LTL than controls in both case-control sets (P = 9.4 * 10(
4) and 0.032, respectively). CLL risk increased monotonically with increasing
quintiles of the weighted linear combination. CONCLUSIONS: Genetic variants in
TERC, TERT, and OBFC1 are associated with both longer LTL and increased CLL risk.
Because the human CST complex competes with shelterin for telomeric DNA, future
work should explore the role of OBFC1 and other CST complex genes in
leukemogenesis. IMPACT: A genetic predisposition to longer telomere length is
associated with an increased risk of CLL, suggesting that the role of telomere
length in CLL etiology may be distinct from its role in disease progression.
Cancer Epidemiol Biomarkers Prev; 25(7); 1043-9. (c)2016 AACR.
PMID- 27197290
TI - A Tissue Systems Pathology Assay for High-Risk Barrett's Esophagus.
AB - BACKGROUND: Better methods are needed to predict risk of progression for
Barrett's esophagus. We aimed to determine whether a tissue systems pathology
approach could predict progression in patients with nondysplastic Barrett's
esophagus, indefinite for dysplasia, or low-grade dysplasia. METHODS: We
performed a nested case-control study to develop and validate a test that
predicts progression of Barrett's esophagus to high-grade dysplasia (HGD) or
esophageal adenocarcinoma (EAC), based upon quantification of epithelial and
stromal variables in baseline biopsies. Data were collected from Barrett's
esophagus patients at four institutions. Patients who progressed to HGD or EAC in
>=1 year (n = 79) were matched with patients who did not progress (n = 287).
Biopsies were assigned randomly to training or validation sets.
Immunofluorescence analyses were performed for 14 biomarkers and quantitative
biomarker and morphometric features were analyzed. Prognostic features were
selected in the training set and combined into classifiers. The top-performing
classifier was assessed in the validation set. RESULTS: A 3-tier, 15-feature
classifier was selected in the training set and tested in the validation set. The
classifier stratified patients into low-, intermediate-, and high-risk classes
[HR, 9.42; 95% confidence interval, 4.6-19.24 (high-risk vs. low-risk); P <
0.0001]. It also provided independent prognostic information that outperformed
predictions based on pathology analysis, segment length, age, sex, or p53
overexpression. CONCLUSION: We developed a tissue systems pathology test that
better predicts risk of progression in Barrett's esophagus than clinicopathologic
variables. IMPACT: The test has the potential to improve upon histologic analysis
as an objective method to risk stratify Barrett's esophagus patients. Cancer
Epidemiol Biomarkers Prev; 25(6); 958-68. (c)2016 AACR.
PMID- 27197292
TI - PIWI-Interacting RNAs in Gliomagenesis: Evidence from Post-GWAS and Functional
Analyses.
AB - BACKGROUND: PIWI-interacting RNAs (piRNAs), the largest class of noncoding RNAs
in mammals, cooperate with PIWI proteins to safeguard the genome from insertional
mutations during germline development. Although a growing number of studies have
linked the PIWI-piRNA pathway to carcinogenesis, the role of piRNAs in glioma has
not been explored. METHODS: Utilizing directly measured and imputed genotypes
from the GliomaScan genome-wide association study (1,840 cases and 2,401
controls), genetic variants in 1,428 piRNAs were analyzed for association with
glioma risk. In vitro assays were performed to interrogate the functional impact
of a top identified piRNA and its variant allele. RESULTS: Variants in five
piRNAs were considered to be associations of interest and four of these showed
narrow clusters of enhanced association signals surrounding the index variant.
Functional analyses of one of these piRNAs, piR-598, revealed that transfection
of the wild-type piRNA impacted expression of genes involved in cell
death/survival and reduced glioma cell viability and colony formation. However,
upon delivery of piR-598 containing the variant allele at rs147061479 [OR, 1.80;
95% confidence interval (CI), 1.33-2.46; P = 1.69 * 10(-4)], cell proliferation
was sharply increased. CONCLUSIONS: The genetic association analysis identifies
several piRNAs associated with glioma risk, and follow-up functional analyses
suggest that variant rs147061479 in piR-598 increases glioma risk by abolishing
the tumor-suppressive function of piR-598, instead conferring growth-promoting
properties. IMPACT: This transdisciplinary study demonstrates a role of piRNAs in
gliomagenesis by evidence from both post-GWAS and in vitro functional analyses
and supports expanded investigation into the link between the PIWI-piRNA pathway
and cancer. Cancer Epidemiol Biomarkers Prev; 25(7); 1073-80. (c)2016 AACR.
PMID- 27197294
TI - Clinicopathologic Features of Colorectal Carcinoma in HIV-Positive Patients.
AB - BACKGROUND: Emerging evidence suggests differences in colorectal cancer in HIV
infected patients (HIV(+)) compared with HIV(-) patients. Microsatellite
instability (MSI), occurring in a subset of colorectal cancer, is present at a
higher rate in certain cancers in HIV(+) patients. Colorectal cancer with MSI
share some characteristics with those reported for HIV(+) colorectal cancer. On
this premise, we studied clinical and pathologic features of HIV(+) colorectal
cancer and evaluated for MSI using matched HIV(-) colorectal cancer controls.
METHODS: Two nested, matched cohorts were identified from a hospital-based cohort
of colorectal cancer patients. HIV(+) colorectal cancers were identified and
random control patients were matched for selected characteristics. Mismatch
repair protein (MMR) IHC was performed as the detection method for MSI. Variables
were compared between cases and controls using fixed-effects logit modeling to
account for matching. RESULTS: We included 184 colorectal cancer samples (38
HIV(+), 146 HIV(-) control). Median patient age at colorectal cancer onset was
55. When compared with HIV(-) colorectal cancer, HIV(+) patients were more likely
to have smoked (P = 0.001), have right-sided colorectal cancer (37% vs. 14%; P =
0.003), and tumor-infiltrating lymphocytes (TIL) above 50/10 high-power fields
(21% vs. 7%). There was no difference in MMR protein expression (P = 0.6). HIV(+)
colorectal cancer patients had reduced overall survival (P = 0.02) but no
difference in progression-free survival. CONCLUSIONS: HIV(+) patients developed
colorectal cancer at a lower median age than population estimates, had a higher
frequency of right-sided disease, and increased TILs, suggesting potential
biologic differences compared with uninfected patients. IMPACT: Clinicopathologic
differences in colorectal cancer of HIV(+) persons may have implications for
tumor pathogenesis. Cancer Epidemiol Biomarkers Prev; 25(7); 1098-104. (c)2016
AACR.
PMID- 27197293
TI - Exercise-Induced Dose-Response Alterations in Adiponectin and Leptin Levels Are
Dependent on Body Fat Changes in Women at Risk for Breast Cancer.
AB - BACKGROUND: Dysregulation of adipokines, such as adiponectin and leptin, is
associated with a variety of chronic diseases, including cancer. Physical
activity protects against breast cancer and one of the mechanisms which may
underlie this association is exercise-induced changes in adipokine levels. The
WISER Sister Trial was a three-armed randomized controlled trial in premenopausal
women (n = 137) with an elevated risk for breast cancer. METHODS: A 5-menstrual
cycle-long dosed aerobic exercise intervention compared low-dose exercise (150
min/wk; n = 44) or high-dose exercise (300 min/wk; n = 48) with a control group
asked to maintain usual activity levels (n = 45). Exercise intensity progressed
to and was maintained at 70% to 80% of age predicted heart rate max. Body
composition and adipokine levels were measured at baseline and follow-up.
RESULTS: We observed significant linear trends for increased fitness capacity
(Delta%: -2.0% control, 10.1% low dose, 13.1% high dose), decreased fat tissue-to
total tissue mass (Delta%: 0.7% control, -2.9% low dose, -3.7% high dose),
increased body fat adjusted adiponectin (Delta%: -0.6% control, 0.6% low dose,
0.9% high dose), and decreased body fat adjusted leptin (Delta%: 0.7% control,
8.2% low dose, -10.2% high dose). CONCLUSIONS: In this randomized clinical trial
of premenopausal women at risk for breast cancer, we demonstrate a dose-response
effect of exercise on adiponectin and leptin and that dose response is dependent
on changes in body fat. IMPACT: Improved adipokine levels, achieved by aerobic
exercise training-induced decreases in body fat, may decrease breast cancer risk
for high-risk premenopausal women. Cancer Epidemiol Biomarkers Prev; 25(8); 1195
200. (c)2016 AACR.
PMID- 27197295
TI - Elevated Expression of Human Papillomavirus-16/18 E6 Oncoprotein Associates with
Persistence of Viral Infection: A 3-Year Prospective Study in China.
AB - BACKGROUND: An association between high-risk human papillomavirus (HR-HPV)
oncoprotein expression and viral persistence has been suggested by the outcome of
etiology studies, but there are no epidemiologic studies evaluating that link.
METHODS: We performed a 3-year prospective study in which 2,498 Chinese women
ages 25 to 65 years were screened by six screening tests, including the Onco E6:
Cervical Test (Arbor Vita Corporation) in 2011 (baseline). Six-hundred and ninety
women who were positive for any of the tests and a random sample of 164 women
with all negative results received colposcopy, and cervical specimens for the
cobas 4800 HPV test ("cobas," Roche Molecular Systems) were collected before
colposcopy; of this group, 737 cervical specimens were collected to perform cobas
and Onco E6: Cervical Test in 2014 (follow-up). Twenty-four cases of HPV16/18 E6
positives and 204 selected controls at baseline, 13 cases of HPV16/18 E6 positive
and another 204 selected controls at follow-up were analyzed separately using
unconditional logistical regression models to estimate ORs and 95% confidence
intervals (CI). RESULTS: Compared with women who were HPV16 E6 oncoprotein
negative at baseline, women in the E6-positive group had a much higher risk of
HPV persistence (adjusted OR, 54.64; 95% CI, 7.19-415.09) at 3-year follow-up; a
statistically strong association was also found between HPV16/18 HPV persistence
and E6 oncoprotein expression detected at follow-up (adjusted OR, 360.57; 95% CI,
28.30-4,593.55). CONCLUSIONS: A single detection of HPV16/18 E6 oncoprotein
expression was strongly associated with viral persistence. IMPACT: HPV16/18 E6
oncoprotein constitutes a marker for risk of HPV persistence. Cancer Epidemiol
Biomarkers Prev; 25(7); 1167-74. (c)2016 AACR.
PMID- 27197296
TI - Comprehensive Evaluation of Medical Conditions Associated with Risk of Non
Hodgkin Lymphoma using Medicare Claims ("MedWAS").
AB - BACKGROUND: Certain medical conditions affect risk of non-Hodgkin lymphoma (NHL),
but the full range of associations is unknown. We implemented a novel method
("medical condition-wide association study," MedWAS) to comprehensively evaluate
medical risk factors for NHL documented in administrative health claims. METHODS:
Using Surveillance, Epidemiology, and End Results (SEER)-Medicare data, we
conducted a case-control study comparing NHL cases [N = 52,691, age 66+ years,
with five subtypes: chronic lymphocytic leukemia/small lymphocytic lymphoma,
diffuse large B-cell lymphoma (DLBCL), follicular lymphoma, marginal zone
lymphoma (MZL), T-cell lymphoma (TCL)] to controls (N = 200,000). We
systematically screened for associations with 5,926 medical conditions documented
in Medicare claims more than 1 year before selection. RESULTS: Fifty-five
conditions were variously associated with NHL. Examples include well-established
associations of human immunodeficiency virus, solid organ transplantation, and
hepatitis C virus with increased DLBCL risk (ORs 3.83, 4.27, and 1.74,
respectively), and autoimmune conditions with DLBCL and MZL (e.g., ORs of 2.10
and 4.74, respectively, for Sjogren syndrome). Risks for all NHL subtypes were
increased after diagnoses of nonmelanoma skin cancer (ORs 1.19-1.55), actinic
keratosis (1.12-1.25), or hemolytic anemia (1.64-4.07). Nine additional skin
conditions increased only TCL risk (ORs 2.20-4.12). Diabetes mellitus was
associated with increased DLBCL risk (OR 1.09). Associations varied significantly
across NHL subtypes for 49 conditions (89%). CONCLUSION: Using an exploratory
method, we found numerous medical conditions associated with NHL risk, and many
associations varied across NHL subtypes. IMPACT: These results point to etiologic
heterogeneity among NHL subtypes. MedWAS is a new method for assessing the
etiology of cancer and other diseases. Cancer Epidemiol Biomarkers Prev; 25(7);
1105-13. (c)2016 AACR.
PMID- 27197297
TI - The Effect of Patient and Contextual Characteristics on Racial/Ethnic Disparity
in Breast Cancer Mortality.
AB - BACKGROUND: Racial/ethnic disparity in breast cancer-specific mortality in the
United States is well documented. We examined whether accounting for
racial/ethnic differences in the prevalence of clinical, patient, and lifestyle
and contextual factors that are associated with breast cancer-specific mortality
can explain this disparity. METHODS: The California Breast Cancer Survivorship
Consortium combined interview data from six California-based breast cancer
studies with cancer registry data to create a large, racially diverse cohort of
women with primary invasive breast cancer. We examined the contribution of
variables in a previously reported Cox regression baseline model plus additional
contextual, physical activity, body size, and comorbidity variables to the
racial/ethnic disparity in breast cancer-specific mortality. RESULTS: The cohort
comprised 12,098 women. Fifty-four percent were non-Latina Whites, 17% African
Americans, 17% Latinas, and 12% Asian Americans. In a model adjusting only for
age and study, breast cancer-specific HRs relative to Whites were 1.69 (95% CI,
1.46-1.96), 1.00 (0.84-1.19), and 0.52 (0.33-0.85) for African Americans,
Latinas, and Asian Americans, respectively. Adjusting for baseline-model
variables decreased disparity primarily by reducing the HR for African Americans
to 1.13 (0.96-1.33). The most influential variables were related to disease
characteristics, neighborhood socioeconomic status, and smoking status at
diagnosis. Other variables had negligible impact on disparity. CONCLUSIONS:
Although contextual, physical activity, body size, and comorbidity variables may
influence breast cancer-specific mortality, they do not explain racial/ethnic
mortality disparity. IMPACT: Other factors besides those investigated here may
explain the existing racial/ethnic disparity in mortality. Cancer Epidemiol
Biomarkers Prev; 25(7); 1064-72. (c)2016 AACR.
PMID- 27197298
TI - Association between Glucuronidation Genotypes and Urinary NNAL Metabolic
Phenotypes in Smokers.
AB - BACKGROUND: The most abundant and potent carcinogenic tobacco-specific
nitrosamine in tobacco and tobacco smoke is 4-(methylnitrosamino)-1-(3-pyridyl)-1
butanone (NNK). In vivo, NNK is rapidly metabolized to both the (R)- and (S)
enantiomers of 4-(methylnitrosamino)-1-(3-pyridyl)-1-butanol (NNAL), which
possesses similar carcinogenic properties as NNK. The major detoxification
pathway for both NNAL enantiomers is glucuronidation by UDP
glucuronosyltransferase (UGT) enzymes including UGT2B10 and UGT2B17. The goal of
the present study was to directly examine the role of UGT genotypes on urinary
levels of NNAL glucuronides in smokers. METHODS: NNAL-N-Gluc, (R)-NNAL-O-Gluc,
(S)-NNAL-O-Gluc, and free NNAL were simultaneously and directly quantified in the
urine of smokers by LC/MS analysis. Genotypes were determined by TaqMan assay
using genomic DNA. RESULTS: The functional knockout polymorphism in the UGT2B10
gene at codon 67 (Asp>Tyr) was significantly (P < 0.0001) associated with a 93%
decrease in creatinine-adjusted NNAL-N-Gluc. The polymorphic whole-gene deletion
of the UGT2B17 gene was associated with significant (P = 0.0048) decreases in the
levels of creatinine-adjusted (R)-NNAL-O-Gluc, with a 32% decrease in the levels
of urinary (R)-NNAL-O-Gluc/(S)-NNAL-O-Gluc among subjects with the UGT2B17
(*2/*2) genotype as compared to subjects with the UGT2B17 (*1/*1) genotype.
CONCLUSIONS: These results suggest that functional polymorphisms in UGT2B10 and
UGT2B17 are associated with a reduced detoxification capacity against NNAL and
may therefore affect individual cancer risk upon exposure to tobacco. IMPACT:
This is the first report to clearly demonstrate strong genotype-phenotype
associations between both the UGT2B10 codon 67 Asp=200
nucleotides) compared with miRNAs. In blood, long RNAs may be protected by serum
extracellular vesicles, such as apoptotic bodies (AB), microvesicles (MV), and
exosomes (EXO). They are potential biomarkers for identifying cancer. METHODS:
Sera from 76 preoperative colorectal cancer patients, 76 age- and sex-matched
healthy subjects, and 20 colorectal adenoma patients without colorectal cancer
were collected. We investigated the distribution of long RNAs into the three
vesicles. Seventy-nine cancer-related long RNAs were chosen and detected using
qPCR. RESULTS: The quantity of long RNA has varying distribution among three
subtypes of extracellular vesicles in serum. Most mRNA and lncRNA genes had
higher quantity in EXOs than that in ABs and MVs, whereas MVs contain lowest
quantity. We investigated 79 long RNAs chosen from The Cancer Genome Atlas and
the LncRNADisease database in the sera of healthy patients, and those with
colorectal cancer. In the training and test sets, the AUCs were 0.936 and 0.877,
respectively. The AUC of total serum RNA was lower (0.857) than that of exosomal
RNA in the same samples (0.936). CONCLUSION: The present study shows that
exosomal mRNAs and lncRNAs in serum could be used as biomarkers to detect
colorectal cancer. IMPACT: Among three types of vesicles in sera, EXOs were the
richest reservoir for almost all measured long RNAs. The combination of two
mRNAs, KRTAP5-4 and MAGEA3, and one lncRNA, BCAR4, could be potential candidates
to detect colorectal cancer. Cancer Epidemiol Biomarkers Prev; 25(7); 1158-66.
(c)2016 AACR.
PMID- 27197302
TI - Work Loss Duration and Predictors Following Rectal Cancer Treatment among
Patients with and without Prediagnostic Work Loss.
AB - BACKGROUND: The number of working-age rectal cancer survivors is increasing due
to early detection and improved treatment. However, work loss duration and
predictors among them have not been studied thoroughly. METHODS: We identified
3,438 patients with stage I-III rectal cancer, 18 to 61 years of age in the
Swedish Colorectal Cancer Register 1996-2009. Information on work loss due to
sick leave or disability pension was collected from 2 years before diagnosis to 5
years after (until December 31st, 2013). Incidence rate ratios (IRR) of work loss
were estimated in a negative binominal model by clinical characteristics for the
1st and 2nd-5th years after diagnosis. Patients were stratified by prediagnostic
work loss. RESULTS: Patients without prediagnostic work loss (74%) experienced
median 147 days (25th and 75th percentile: 55 and 281) of work loss during the
1st year after diagnosis. Work loss rates (2nd-5th years) were significantly
increased among relapse-free patients diagnosed in stage III [IRR = 1.92; 95%
confidence interval (CI), 1.52-2.43], operated with abdominoperineal resection
(IRR = 1.26; 95% CI, 1.03-1.56), and treated with neoadjuvant (chemo)radiotherapy
(IRR = 1.46; 95% CI, 1.06-2.02). Patients with prediagnostic work loss (26%)
experienced median 336 days (25th and 75th percentile: 183 and 365) of work loss
during the 1st year, and rates did not vary clinically till 5 years. CONCLUSION:
Without prediagnostic work loss, disease- and treatment-related factors could
help identify rectal cancer patients in need of early interventions to facilitate
return to work. IMPACT: Clinical awareness around prediagnostic and
postdiagnostic work loss and individualized cancer rehabilitation programs should
be emphasized among cancer survivors. Cancer Epidemiol Biomarkers Prev; 25(6);
987-94. (c)2016 AACR.
PMID- 27197303
TI - Trastuzumab, but Not Pertuzumab, Dysregulates HER2 Signaling to Mediate
Inhibition of Autophagy and Increase in Reactive Oxygen Species Production in
Human Cardiomyocytes.
AB - Dysregulation of autophagy has been implicated in various cardiovascular
diseases. Trastuzumab, a humanized monoclonal antibody, binds to HER2 domain IV
and is approved for the treatment of HER2-positive breast cancer. Trastuzumab
therapy is associated with considerable cardiotoxicity, the mechanism of which
remains unclear. HER2 signaling plays a pivotal role in cardiomyocyte development
and survival and is essential for the prevention of cardiomyopathy. However, a
direct link has not been confirmed between trastuzumab-induced cardiomyopathy and
impaired HER2 signaling. Our data reveal a novel mechanism by which trastuzumab
dysregulates HER2 signaling and impairs basal autophagic process in human primary
cardiomyocytes. Specifically, trastuzumab treatment leads to the phosphorylation
of HER1-Y845 and HER2-Y1248 and the activation of Erk. This in turn results in
upregulation of mTOR signaling pathway and subsequently inhibition of autophagy
in primary cardiomyocytes and C57BL/6 mice. Trastuzumab-induced downregulation of
autophagy is further supported by the fact that trastuzumab treatment reduces
protein levels of autophagosome-associated signaling molecules such as Atg 5-12,
Atg 7, Atg 14, and Beclin 1. We further demonstrated that trastuzumab-mediated
inhibition of autophagy resulted in the increased production of reactive oxygen
species (ROS) in cardiomyocytes. Pertuzumab, another anti-HER2 therapeutic mAb
binding to HER2 domain II, fails to modulate HER2 signaling and is unable to
inhibit autophagy and to increase ROS production in cardiomyocytes. This study
provides novel mechanistic insights into trastuzumab-induced cardiotoxicity,
which may assist in formulating novel approaches for clinical management of
trastuzumab-induced cardiomyopathy. Mol Cancer Ther; 15(6); 1321-31. (c)2016
AACR.
PMID- 27197304
TI - Triptolide Induces Cell Killing in Multidrug-Resistant Tumor Cells via CDK7/RPB1
Rather than XPB or p44.
AB - Multidrug resistance (MDR) is a major cause of tumor treatment failure;
therefore, drugs that can avoid this outcome are urgently needed. We studied
triptolide, which directly kills MDR tumor cells with a high potency and a broad
spectrum of cell death. Triptolide did not inhibit P-glycoprotein (P-gp) drug
efflux and reduced P-gp and MDR1 mRNA resulting from transcription inhibition.
Transcription factors including c-MYC, SOX-2, OCT-4, and NANOG were not
correlated with triptolide-induced cell killing, but RPB1, the largest subunit of
RNA polymerase II, was critical in mediating triptolide's inhibition of MDR
cells. Triptolide elicited antitumor and anti-MDR activity through a universal
mechanism: by activating CDK7 by phosphorylating Thr170 in both parental and MDR
cell lines and in SK-OV-3 cells. The CDK7-selective inhibitor BS-181 partially
rescued cell killing induced by 72-hour treatment of triptolide, which may be due
to partial rescue of RPB1 degradation. We suggest that a precise phosphorylation
site on RPB1 (Ser1878) was phosphorylated by CDK7 in response to triptolide. In
addition, XPB and p44, two transcription factor TFIIH subunits, did not
contribute to triptolide-driven RPB1 degradation and cell killing, although XPB
was reported to covalently bind to triptolide. Several clinical trials are
underway to test triptolide and its analogues for treating cancer and other
diseases, so our data may help expand potential clinical uses of triptolide, as
well as offer a compound that overcomes tumor MDR. Future investigations into the
primary molecular target(s) of triptolide responsible for RPB1 degradation may
suggest novel anti-MDR target(s) for therapeutic development. Mol Cancer Ther;
15(7); 1495-503. (c)2016 AACR.
PMID- 27197305
TI - Targeted Drug Delivery with an Integrin-Binding Knottin-Fc-MMAF Conjugate
Produced by Cell-Free Protein Synthesis.
AB - Antibody-drug conjugates (ADC) have generated significant interest as targeted
therapeutics for cancer treatment, demonstrating improved clinical efficacy and
safety compared with systemic chemotherapy. To extend this concept to other tumor
targeting proteins, we conjugated the tubulin inhibitor monomethyl-auristatin-F
(MMAF) to 2.5F-Fc, a fusion protein composed of a human Fc domain and a cystine
knot (knottin) miniprotein engineered to bind with high affinity to tumor
associated integrin receptors. The broad expression of integrins (including
alphavbeta3, alphavbeta5, and alpha5beta1) on tumor cells and their vasculature
makes 2.5F-Fc an attractive tumor-targeting protein for drug delivery. We show
that 2.5F-Fc can be expressed by cell-free protein synthesis, during which a non
natural amino acid was introduced into the Fc domain and subsequently used for
site-specific conjugation of MMAF through a noncleavable linker. The resulting
knottin-Fc-drug conjugate (KFDC), termed 2.5F-Fc-MMAF, had approximately 2 drugs
attached per KFDC. 2.5F-Fc-MMAF inhibited proliferation in human glioblastoma
(U87MG), ovarian (A2780), and breast (MB-468) cancer cells to a greater extent
than 2.5F-Fc or MMAF alone or added in combination. As a single agent, 2.5F-Fc
MMAF was effective at inducing regression and prolonged survival in U87MG tumor
xenograft models when administered at 10 mg/kg two times per week. In comparison,
tumors treated with 2.5F-Fc or MMAF were nonresponsive, and treatment with a
nontargeted control, CTRL-Fc-MMAF, showed a modest but not significant
therapeutic effect. These studies provide proof-of-concept for further
development of KFDCs as alternatives to ADCs for tumor targeting and drug
delivery applications. Mol Cancer Ther; 15(6); 1291-300. (c)2016 AACR.
PMID- 27197306
TI - Inhibition of PI3K/BMX Cell Survival Pathway Sensitizes to BH3 Mimetics in SCLC.
AB - Most small cell lung cancer (SCLC) patients are initially responsive to cytotoxic
chemotherapy, but almost all undergo fatal relapse with progressive disease,
highlighting an urgent need for improved therapies and better patient outcomes in
this disease. The proapoptotic BH3 mimetic ABT-737 that targets BCL-2 family
proteins demonstrated good single-agent efficacy in preclinical SCLC models.
However, so far clinical trials of the BH3 mimetic Navitoclax have been
disappointing. We previously demonstrated that inhibition of a PI3K/BMX cell
survival signaling pathway sensitized colorectal cancer cells to ABT-737. Here,
we show that SCLC cell lines, which express high levels of BMX, become sensitized
to ABT-737 upon inhibition of PI3K in vitro, and this is dependent on inhibition
of the PI3K-BMX-AKT/mTOR signaling pathway. Consistent with these cell line data,
when combined with Navitoclax, PI3K inhibition suppressed tumor growth in both an
established SCLC xenograft model and in a newly established circulating tumor
cell-derived explant (CDX) model generated from a blood sample obtained at
presentation from a chemorefractory SCLC patient. These data show for the first
time that a PI3K/BMX signaling pathway plays a role in SCLC cell survival and
that a BH3 mimetic plus PI3K inhibition causes prolonged tumor regression in a
chemorefractory SCLC patient-derived model in vivo These data add to a body of
evidence that this combination should move toward the clinic. Mol Cancer Ther;
15(6); 1248-60. (c)2016 AACR.
PMID- 27197307
TI - Irinotecan-Induced Gastrointestinal Dysfunction and Pain Are Mediated by Common
TLR4-Dependent Mechanisms.
AB - Strong epidemiological data indicate that chemotherapy-induced gut toxicity and
pain occur in parallel, indicating common underlying mechanisms. We have recently
outlined evidence suggesting that TLR4 signaling may contribute to both side
effects. We therefore aimed to determine if genetic deletion of TLR4 improves
chemotherapy-induced gut toxicity and pain. Forty-two female wild-type (WT) and
42 Tlr4 null (-/-) BALB/c mice weighing between 18 and 25 g (10-13 weeks)
received a single 270 mg/kg (i.p.) dose of irinotecan hydrochloride or vehicle
control and were killed at 6, 24, 48, 72, and 96 hours. Bacterial sequencing was
conducted on cecal samples of control animals to determine the gut microbiome
profile. Gut toxicity was assessed using validated clinical and histopathologic
markers, permeability assays, and inflammatory markers. Chemotherapy-induced pain
was assessed using the validated rodent facial grimace criteria, as well as
immunologic markers of glial activation in the lumbar spinal cord. TLR4 deletion
attenuated irinotecan-induced gut toxicity, with improvements in weight loss (P =
0.0003) and diarrhea (P < 0.0001). Crypt apoptosis was significantly decreased in
BALB/c-Tlr4(-/-billy) mice (P < 0.0001), correlating with lower mucosal injury
scores (P < 0.005). Intestinal permeability to FITC-dextran (4 kDa) and LPS
translocation was greater in WT mice than in BALB/c-Tlr4(-/-billy) (P = 0.01 and
P < 0.0001, respectively). GFAP staining in the lumbar spinal cord, indicative of
astrocytic activation, was increased at 6 and 72 hours in WT mice compared with
BALB/c-Tlr4(-/-billy) mice (P = 0.008, P = 0.01). These data indicate that TLR4
is uniquely positioned to mediate irinotecan-induced gut toxicity and pain,
highlighting the possibility of a targetable gut/CNS axis for improved toxicity
outcomes. Mol Cancer Ther; 15(6); 1376-86. (c)2016 AACR.
PMID- 27197309
TI - Prediabetes in California: Nearly Half of California Adults on Path to Diabetes.
AB - In California, more than 13 million adults (46 percent of all adults in the
state) are estimated to have prediabetes or undiagnosed diabetes. An additional
2.5 million adults have diagnosed diabetes. Altogether, 15.5 million adults (55
percent of all California adults) have prediabetes or diabetes. Although rates of
prediabetes increase with age, rates are also high among young adults, with one
third of those ages 18-39 having prediabetes. In addition, rates of prediabetes
are disproportionately high among young adults of color, with more than one-third
of Latino, Pacific Islander, American Indian, African-American, and multiracial
Californians ages 18-39 estimated to have prediabetes. Policy efforts should
focus on reducing the burden of prediabetes and diabetes through support for
prevention and treatment.
PMID- 27197311
TI - Taking the Bite out of Zika.
PMID- 27197308
TI - A New Triglycyl Peptide Linker for Antibody-Drug Conjugates (ADCs) with Improved
Targeted Killing of Cancer Cells.
AB - A triglycyl peptide linker (CX) was designed for use in antibody -: drug
conjugates (ADC), aiming to provide efficient release and lysosomal efflux of
cytotoxic catabolites within targeted cancer cells. ADCs comprising anti
epithelial cell adhesion molecule (anti-EpCAM) and anti-EGFR antibodies with
maytansinoid payloads were prepared using CX or a noncleavable SMCC linker (CX
and SMCC ADCs). The in vitro cytotoxic activities of CX and SMCC ADCs were
similar for several cancer cell lines; however, the CX ADC was more active (5-100
fold lower IC50) than the SMCC ADC in other cell lines, including a multidrug
resistant line. Both CX and SMCC ADCs showed comparable MTDs and pharmacokinetics
in CD-1 mice. In Calu-3 tumor xenografts, antitumor efficacy was observed with
the anti-EpCAM CX ADC at a 5-fold lower dose than the corresponding SMCC ADC in
vivo Similarly, the anti-EGFR CX ADC showed improved antitumor activity over the
respective SMCC conjugate in HSC-2 and H1975 tumor models; however, both
exhibited similar activity against FaDu xenografts. Mechanistically, in contrast
with the charged lysine-linked catabolite of SMCC ADC, a significant fraction of
the carboxylic acid catabolite of CX ADC could be uncharged in the acidic
lysosomes, and thus diffuse out readily into the cytosol. Upon release from tumor
cells, CX catabolites are charged at extracellular pH and do not penetrate and
kill neighboring cells, similar to the SMCC catabolite. Overall, these data
suggest that CX represents a promising linker option for the development of ADCs
with improved therapeutic properties. Mol Cancer Ther; 15(6); 1311-20. (c)2016
AACR.
PMID- 27197310
TI - Unequal Protection: Secondhand Smoke Threatens Health of Tenants in Multi-Unit
Housing in Los Angeles.
AB - Secondhand smoke is dangerous to a person's health at any level of exposure. Yet
policies that prevent smoking are not in place for a majority of market-rate
multi-unit housing complexes, according to a new survey of nearly 1,000 apartment
dwellers in the city of Los Angeles. Approximately 37 percent of respondents
reported that secondhand smoke had drifted into their apartments in the past
year. Households with members of vulnerable populations, such as children or
individuals with chronic conditions, are more likely to report smoke drifting
from adjacent units. Four out of five respondents--including more than half of
those who self-reported currently smoking--supported a smoke-free policy in
common areas and/or individual units.
PMID- 27197312
TI - Protecting Patients.
PMID- 27197313
TI - Medical Alerts Get a Makeover.
PMID- 27197314
TI - What You Don't Know About Your Doctor Could Hurt You.
PMID- 27197316
TI - Taking Drugs Off the Menu.
PMID- 27197315
TI - A Safer Food Future, Now.
PMID- 27197317
TI - A Safer Chicken in Every Pot.
PMID- 27197318
TI - My allergies are awful. Apart from medication, what can I do in my house to ease
the misery?
PMID- 27197319
TI - Pain Relief Now!
PMID- 27197321
TI - [Not Available].
PMID- 27197320
TI - Defining the Role of a Pediatric Surgery Fellowship Program Director: Results of
a National Cross-sectional Survey.
PMID- 27197322
TI - [Food allergy in children: a diagnostic challenge].
AB - Food allergy in children has increased unexpectedly during the last decades and
is now the leading cause of anaphylaxis in Europe. The impact on quality of life
is significant; a reliable diagnosis is therefore of critical importance. The
diagnostic approach includes an initial clinical evaluation followed by allergy
testing (in vivo and/or in vitro). Determination of molecular allergens
(recombinants) has emerged as a complementary tool in the diagnosis of food
allergy allowing a better prediction of systemic reactions and identifying
markers of persistence or resolution. With recent developments, a more proactive
approach is being adopted, which includes oral food challenges in order to avoid
unnecessary exclusions.
PMID- 27197323
TI - [Drug reaction with eosinophilia and systemic symptoms].
AB - Cutaneous drug eruptions are delayed type hypersensitivity reactions that can be
potentially life threatening. Severe cutaneous adverse reactions encompass the
acute generalized exanthematous pustulosis (AGEP), the drug reaction with
eosinophilia and systemic symptoms (DRESS), the Stevens-Johnson syndrome (SJS)
and the toxic epidermal necrolysis (TEN, synonym: Lyell syndrome). In this
article, we focus on the DRESS syndrome, which associates skin eruption and
systemic symptoms. Its mortality rate is estimated at 10%. Therefore early
diagnosis and the interruption of the culprit drug are crucial. In this review,
we discuss the physiopathology, the most common eliciting drugs, the diagnostic
criteria and the proposed treatments of DRESS.
PMID- 27197324
TI - [If you go looking for trouble you'll find it. Urticarial reactions to insects of
our local areas].
AB - Itchy skin rashes are a frequent reason to seek medical advice. The symptoms may
be caused by hypersensitivity reactions to arthropod bites, waterborne parasites
or setae from moth caterpillars and are sometimes mistaken for spontaneous
urticaria or eczema. Some of these pests are resurging in Switzerland and
elsewhere and increasingly responsible for emergency consultation. In this
article we review itchy skin rashes caused by bed bugs, scabies, lice, cercariae,
Pyemotes spp, caterpillars and harvest mites, which may be confounded with
urticaria and allergic contact dermatitis. We detail here clinical
manifestations, topographical distribution of skin lesions, epidemiology,
treatment and preventive measures.
PMID- 27197325
TI - [Sjogren's syndrome: when to suspect and how to confirm?].
AB - Sjogren's syndrome (SS) is an autoimmune disease leading to mucosal dryness. It
may also involve joints, nerves, kidneys and lungs. Patients with SS are also at
increased risk for lymphoma. Diagnosis of SS relies on clinical, biological,
histological and radiological criteria, after exclusion of other causes. Initial
work-up may be performed in general practice, by serology (antinuclear and anti
SSA/SSB antibodies, rheumatoid factor) and by measuring lacrimal and salivary
flow. Antibodies may be within normal range in up to one third of patients and
when present are not specific for SS. Histological proof of lymphocytic
sialadenitis is precious but invasive. Major salivary glands sonography may help
select candidates for labial biopsy. This article elaborates the steps to be
taken in case of suspected SS, in order to facilitate early diagnosis.
PMID- 27197326
TI - [Whipple disease: a differential diagnosis of polyarthritis to keep in mind].
AB - Whipple disease is a rare and potentially fatal bacterial infection induced by a
Gram-positive bacillus, Tropheryma whipplei. It is responsible for articular,
digestive, neurological, ophthalmological and cardiological symptoms that occur
either concomitant or isolated. Thus, the diagnosis is difficult to make and
therefore often delayed. A paradoxal exacerbation of the symptoms under
immunosuppressive drugs such as glucocorticoids and anti-TNF mAb, or inexpected
improvements under antibiotic treatment applied for other reasons should raise a
clinicial suspicion of Whipple's disease. Detection of the germ on stool and
saliva samples by molecular biology methods has become straightforward with very
good positive and negative predictive values. Long-term antibiotic treatment
close follow-up are required for the induction of remission and to recognize
relapse.
PMID- 27197328
TI - [Not Available].
PMID- 27197327
TI - [Understanding primary immunodeficiencies: usefulness of a register].
AB - Primary Immunodeficiency Diseases (PID) comprise inborn defects of the immune
system which are and therefore difficult to study For this reason, the European
Society for ImmunoDeficiencies (ESID) has set up an internet-based international
patient and research database which integrates research data with more detailed
clinical information. These disorders are not only found in children, but also in
adults resulting in a wide range of clinical manifestations. Primary
immunodeficiency adults are much less known and may remain undiagnosed.
PMID- 27197329
TI - [Not Available].
PMID- 27197330
TI - [Not Available].
PMID- 27197331
TI - [Not Available].
PMID- 27197332
TI - [Not Available].
PMID- 27197333
TI - [Not Available].
PMID- 27197334
TI - [Not Available].
PMID- 27197335
TI - [Not Available].
PMID- 27197336
TI - Water--Our Most Precious Resource.
PMID- 27197337
TI - Geographic Isolation and Social Support in Rural Wisconsin.
PMID- 27197338
TI - The Effects of Geographic Isolation and Social Support on the Health of Wisconsin
Women.
AB - BACKGROUND: Rural residents are less likely to receive preventive health
screening, more likely to be uninsured, and more likely to report fair to poor
health than urban residents. Social disconnectedness and perceived isolation are
known to be negative predictors of self-rated physical health; however, the
direct effects of geographic isolation and social support on overall health have
not been well elucidated. METHODS: A cross-sectional survey of women (n = 113)
participating in Wisconsin Rural Women's initiative programming was conducted,
which included measures of geographic isolation, an assessment of overall health,
and social support using the validated Interpersonal Support Evaluation List with
3 subscales, including belonging support, tangible support, and appraisal
support. RESULTS: Geographic isolation was shown to be a negative predictor of
belonging support (P = .0064) and tangible support (P = .0349); however,
geographic isolation was not a statistically significant predictor of appraisal
support. A strong and direct relationship was observed between social support and
self-perceived health status among this population of Wisconsin women, and
hospital access based on geographic proximity was positively correlated (P =
.028) with overall health status. CONCLUSIONS: The direct relationship between
social support and overall health demonstrated here stresses the importance of
developing and maintaining strong social support networks, which can be improved
through rural support groups that have the unique ability to assist rural
residents in fostering social support systems, advocating stress management
techniques, and achieving a greater sense of well-being.
PMID- 27197339
TI - Cystectomy and Urinary Diversion for the Management of a Devastated Lower Urinary
Tract Following Prostatic Cryotherapy and/or Radiotherapy.
AB - INTRODUCTION: We investigated the outcomes and quality of life measures in men
who underwent cystectomy and urinary diversion for devastating lower urinary
tract toxicity after prostatic radiotherapy and/or cryotherapy for the treatment
of prostate cancer. METHODS: Records of patients who underwent cystectomy and
urinary diversion for the management of a devastated lower urinary tract
following prostatic radiotherapy or cryotherapy were reviewed retrospectively. A
postoperative, retrospective quality of life (QOL) survey was designed specific
to this patient subset and obtained by telephone interview. RESULTS: Extirpative
surgery with urinary diversion for management of a devastated lower urinary tract
was performed on 15 patients with a mean age of 72 years (range 63-82).
Toxicities leading to bladder removal included bladder neck contractures,
prostatic necrosis, incontinence, osteomyelitis, bladder calculi, fistulae,
urethral strictures, abscesses, necrotizing fasciitis, and radiation/hemorrhagic
cystitis. The mean number of failed conservative, minimally invasive
interventions per patients prior to cystectomy was 3.7 (range 1-12). The average
time period from major complication following radiotherapy/cryotherapy to
cystectomy was 29.1 months (range 5-65). The QOL survey showed all of the
patients who completed the survey (n = 13) would undergo the procedure again and
11 (85%) would have undergone the procedure an average of 13.2 months sooner
(range 5-36). CONCLUSION: Toxicities secondary to prostatic radiotherapy or
cryotherapy may be debilitating. Our results demonstrate that cystectomy with
urinary diversion can improve QOL in patients with a devastated lower urinary
tract.
PMID- 27197341
TI - Students' Critical Incidents Point the Way to Safer Patient Care Transitions.
AB - INTRODUCTION: Patient care transitions are prevalent in health care, and faulty
transition-related communications are associated with 80% of serious medical
errors. While medical student curricula on care transitions are increasing, there
are limited evaluation reports and little guidance on primary care transition
training. METHODS: The Medical College of Wisconsin initiated an annual 2-hour
patient care transition intersession for third-year medical students. The
intersession used a critical incident report, where students wrote about a
recent, de-identified patient transition they witnessed that evoked in them "a
strong emotional reaction." Next, intersession training included a novel,
structured communication handoff mnemonic. At the intersession conclusion,
students wrote what they would do differently if their critical incident
transition occured in the future. Evaluations (2010-2014) consisted of students'
post-session reactions and learning. Authors completed a detailed, qualitative
analysis of students' critical incident reports from the 2010 intersession.
RESULTS: Students reacted positively to all intersession elements, especially
clinician-led, small-group discussions. Student reports revealed that over 90% of
their critical incident evoked negative emotional reactions (eg, frustrated,
disappointed, helpless). Post-intersession, 86% of students reported intentions
to adopt new strategies to improve future care transitions, and 38% referenced
components of the learned mnemonic. CONCLUSION: Medical students reacted
positively to this intersession, especially small-group discussions. Students
revealed mostly negative emotions from their critical incident on patient
handoffs, but they gained effective strategies for future handoff communications.
Authors recommend continued use of the handoff mnemonic, with greater attention
to training environments that emphasize patient and learner safety.
PMID- 27197340
TI - Prevention of Perinatal Transmission of Hepatitis B Virus: Assessment Among
Wisconsin Maternity Hospitals.
AB - PURPOSE: To evaluate the completeness of identification of pregnant women testing
positive for hepatitis B surface antigen (HBsAg) and birth dose hepatitis B
vaccine administration, and the extent of appropriate prophylaxis of infants born
to women with and without maternal HBsAg status documented in the infant medical
record. METHODS: We conducted medical record reviews of 3058 maternal and infant
pairs at 58 Wisconsin maternity hospitals that cumulatively delivered 90% of
Wisconsin's 2010 birth cohort. RESULTS: A documented HBsAg test result for the
current pregnancy was included in 2928 (95.7%) of maternal records, and in 2676
(87.5%) infant records. Four infants (15%) were born to HBsAg-positive women; all
4 infants received appropriate prophylaxis: hepatitis B immunoglobulin (HBIG) and
a dose of hepatitis B vaccine within 12 hours of birth. However, among 382
infants without a documented maternal HBsAg test result in the infant medical
record, only 135 (35%) received appropriate prophylaxis: a dose of hepatitis B
vaccine within 12 hours of birth or a dose of hepatitis B vaccine and HBIG within
12 hours of birth for infants weighing < 2000 g. Among all infants, 81.6%
received hepatitis B vaccine prior to hospital discharge. CONCLUSIONS: Hospitals
must ensure that infants without a documented maternal HBsAg test result receive
appropriate prophylaxis to prevent hepatitis B vaccine infection. All infants,
regardless of maternal HBsAg test result, should receive a dose of hepatitis B
vaccine before hospital discharge to serve as a "safety net" to prevent infection
among infants born to HBsAg-positive women who are not identified prenatally. A
written hospital policy for universal hepatitis B vaccine birth dose
administration should be developed to reinforce admission orders.
PMID- 27197342
TI - Medical Student Mock Interviews to Improve Residency Interviewing and Match
Success.
AB - PURPOSE: Using a quasi-experimental approach, we examined student and faculty
satisfaction with a mock residency interview program. We also examined whether
self-selected participants had match rates that differed from nonparticipants.
METHODS: Interviews were arranged on a specified evening between students and a
physician in the specialty to which the student wished to apply. Interviews were
structured as similarly to residency interviews as possible, but included 10
minutes of verbal feedback and subsequent written feedback to all students.
Students completed surveys indicating their satisfaction with the mock interview
immediately following the interview and 5 months later (after their actual
resident interviews). Faculty feedback to students and their satisfaction with
the program also was collected. Out of 189 (55%) students in the senior class,
104 volunteered to participate. RESULTS: Immediately following the mock
interview, over 90% of students who participated either strongly agreed or agreed
that the interview feedback was helpful, seemed realistic, and helped them
identify strengths and weaknesses. Responses collected 5 months later were still
favorable, but less positive. Faculty identified 7 students who they believed had
poor interview techniques and an additional 13 who interviewers believed would be
unlikely to match in their specialty. Final match results for the group
participating in the mock interview showed a primary match rate of 99%, which was
higher than students who did not participate (94%, P < .001). CONCLUSION: In a
self-selected group of students who chose to participate, mock interviews were
useful in improving student match success compared to students who did not
participate in the mock interview program. Because all students were not required
to participate, it is unclear whether this tactic would be successful for all
students.
PMID- 27197343
TI - Bowel Perforation Associated With Infliximab Use in a Pediatrics Patient.
AB - Crohn's disease (CD) is an idiopathic inflammatory disease of the
gastrointestinal tract and typically causes inflammation with granuloma
formation. Biologic agents like infliximab (IFX) that target tumor necrosis
factor alpha (TNF-alpha), have emerged as important medications for treating
refractory CD. With increasing use, there also are reports of rare but
potentially fatal complications associated with exposure to TNF-alpha, such as
bowel perforation. We present a case report of spontaneous bowel perforation in a
child with Crohn's while on IFX therapy, and a review of the current literature.
The purpose of this report is to alert physicians to this rare association,
especially in pediatric patients with intestinal strictures.
PMID- 27197344
TI - Removal of Endobronchially Placed Vascular Self-Expandable Metallic Stent Using
Flexible Bronchoscopy.
AB - Self-expanding metallic stents (SEMS) are commonly placed in malignant airway
obstruction and sometimes in benign obstruction. Complications from SEMS
placement are common, especially after 30 days from deployment. SEMS removal can
be complicated and often involves significant resources. We report a case of a 78
year-old man with small cell carcinoma who underwent placement of a Luminexx
endovascular stent in his right main stem bronchus, complicated by stent
migration after initiation of chemotherapy. Stent removal was performed by
flexible bronchoscopy, utilizing forceps inserted via a working channel, as well
as a goose neck snare operated parallel to the bronchoscope. The patient was
discharged the same day with no complications.
PMID- 27197345
TI - Small Cell Carcinoma of the Gall Bladder.
AB - Small cell carcinoma of the gall bladder (SCCGB) is a rare condition, with only
53 prior cases reported in the world literature when our case was first
diagnosed. Our patient was found to have limited stage disease and was treated
with sequential laparoscopic cholecystectomy, etoposide/carboplatin chemotherapy
followed by consolidating loco-regional radiation therapy. She is alive and well
without evidence of disease more than 132 months since diagnosis. We describe
here our experience in the diagnosis, staging workup, treatment, and surveillance
of a case of SCCGB and review the published literature. Treated aggressively with
currently available methods, patients with limited stage SCCGB can have an
excellent prognosis. The authors' intent is to provide a reasonable plan of
treatment for other physicians facing such an unusual patient.
PMID- 27197346
TI - One UW Health.
PMID- 27197347
TI - Statewide Blood Pressure Improvement Challenge.
PMID- 27197348
TI - Making Environmental Health Indispensable.
PMID- 27197350
TI - The Investigation of Groundwater Contamination in Wicomico County's Morris Mill
Community.
AB - In 2012, the Wicomico County Health Department began investigating groundwater
contamination in the Morris Mill community. The contamination was due to high
levels of trichloroethylene (TCE). TCE is a colorless nonflammable liquid that
has a sweet odor and a burning taste. Exposures can lead to acute effects as well
as more chronic conditions such as cancer. A total of 300 wells were sampled
during the course of the investigation. Fifty wells showed levels of TCE above
the maximum contaminant level of 5 parts per billion. Timely communication with
the residents and risk management played integral parts in assisting the
community towards a long-term solution. In December 2013, the Wicomico County
Urban Services Commission created an urban service district to provide public
water from the city of Fruitland to the entire affected area. Completion of the
water tower and distribution system for the 273 affected homes was expected in
early 2016.
PMID- 27197349
TI - Formaldehyde Levels in Traditional and Portable Classrooms: A Pilot
Investigation.
AB - The pilot study discussed in this article assessed formaldehyde levels in
portable classrooms (PCs) and traditional classrooms the authors evaluated
formaldehyde levels in day and overnight indoor air (TCs) and explored factors
influencing indoor air quality (e.g., carbon dioxide, temperature, and relative
humidity). In a cross-sectional design, samples from nine PCs renovated within
three years previously and three TCs in a school district in metropolitan
Atlanta, Georgia. Formaldehyde levels ranged from 0.0068 to 0.038 parts per
million (ppm). In both types of classroom, overnight formaldehyde median levels
(PCs = 0.018 ppm; TCs = 0.019 ppm) were higher than day formaldehyde median
levels (PCs = 0.011 ppm; TCs = 0.016 ppm). Carbon dioxide levels measured 470-790
ppm at 7:00 a.m. and 470-1800 ppm at 4:00 p.m. Afternoon medians were higher in
TCs (1,400 ppm) than in PCs (780 ppm). Consistent with previous studies,
formaldehyde levels were similar among PCs and TCs. Reducing carbon dioxide
levels by improving ventilation is recommended for classrooms.
PMID- 27197351
TI - Poor Indoor Air Quality, Mold Exposure, and Upper Respiratory Tract Infections-
Are We Placing Our Children at Risk?
AB - Understanding how respiratory health risks are associated with poor housing is
essential to designing effective strategies to improve children's quality of
life. The objective of the study described in this article was to determine the
relationship between respiratory health and housing conditions. A survey was
completed by 3,424 parents of children in third and fourth grade in Winnipeg,
Manitoba, Canada. An engineering audit and air samples were also taken in the
homes of a subset of 715 homes. Results showed that a child's respiratory health
is significantly associated with self-reported visible mold in the home and that
a significant association existed between occupant-reported visible mold and
tested airborne mold. Findings highlight the need for clearer standards of
acceptable CFU/m3 limits for mold genera that are applicable to homes. In the
absence of such guidelines, problems associated with indoor mold will continue to
impact the health of residents, despite growing evidence of the adverse effects
from mold exposure.
PMID- 27197353
TI - Collaborating to Solve Complex Environmental Health Issues in Our Communities.
PMID- 27197352
TI - NEHA/UL Sabbatical Exchange Program Report: To Glove or Not to Glove?
PMID- 27197354
TI - CDC's Drought Guidance: Your Public Health Resource for Understanding and
Preparing for Drought in Your Community.
PMID- 27197355
TI - Environmental Health Tracking Rides the Open Data Wave.
PMID- 27197356
TI - Environmental Health 2.0.
PMID- 27197357
TI - Transitions.
PMID- 27197358
TI - The Bernie Sanders Health Care Plan--Viable?
PMID- 27197359
TI - Instructions and Explanations--Do Your Patients Understand Yours?
PMID- 27197360
TI - Eye Safety in Dentistry and Associated Liability.
AB - The first objective of this article is to expressan experimental-work-supported
opinion ofits authors regarding the inadequacy of thepresent dental mask and
regular eyewearcombination for protecting dental care practitioners. Its second
objective is to suggestamending OSHA Standard 1910.133(a)(1) tomandate effective
eye protection for dentalcare practitioners by requiring the use ofeffective
means for closing the bottom gapsbetween the lower rims of the lenses of
theprotective eyewear and the upper edge ofthe mask worn by the practitioner.The
various types and sources of dentalpractice eye occupational hazards and
thepossible entry routes of dental debris towarddental practitioners'eyes are
discussed.Experimental work, confirming theinadequacy of the present dental mask
andeyewear combination for protecting dentalcare practitioners, is presented.
PMID- 27197361
TI - Substance Abuse and Tooth Destruction.
PMID- 27197362
TI - Dental Practice as the Population Demographics Change in Massachusetts.
AB - General population demographics in the United States, individual states, and
counties are undergoing dramatic changes. Long-term customary populations, which
provided the bulwark for many successful dental practices, are being replaced by
the many minority populations (in particular, the Hispanic population), foreign
born residents, and many for whom English may not be their first language. These
developments are reviewed for the Commonwealth of Massachusetts and its counties
in an effort to challenge practitioners by the extent of these developments.
PMID- 27197363
TI - A New Integrated Oral Health and Primary Care Education Program in the Dental
Student Clinic.
AB - OBJECTIVES: The purpose of the study was to describe the implementation of a new
program incorporating primary care education into a predoctoral dental curriculum
in the StudentTeaching Clinic at Harvard School of Dental Medicine (HSDM) using
the primary care rotations for students in a dental setting as a platform for
change in our approach to patient care. METHODS: A survey of perspectives on the
need for primary care medicine in dental education was distributed to all the
deans of Commission on Dental Accreditation (CODA)-accredited dental schools in
the continental United States for a total of 65 eligible schools. RESULTS: Of the
27 responses from the dental school deans, a majority of dental schools already
had interprofessional collaborative practices at their schools, with
collaborations with physicians and nurse practitioners being most common. Ninety
six percent of responders were supportive of integrating oral health and primary
care to improve patient care and regarded primary care training for dental
students as a potential method of improving patient care in dental education.
CONCLUSION: As patient care involves multidisciplinary and interprofessional
environments with a wide array of health care providers, curricular directions
for dental school should explore an education model that incorporates the
concepts of primary care medicine.
PMID- 27197364
TI - A Clinico-Pathologic Correlation. Clinician's corner. Florid cement-osseous
dysplasia.
PMID- 27197366
TI - Articulating our views.
PMID- 27197365
TI - Enlargement of the Inferior Alveolar Canal.
PMID- 27197367
TI - A casualty of the Rising.
PMID- 27197368
TI - Science of the times.
PMID- 27197369
TI - There's no such thing as a quick fix.
PMID- 27197371
TI - Three case reports demonstrating treatment of relatively complex orthodontic
cases using a completely customised lingual appliance.
AB - It is a commonly held misconception among Irish dentists that only minor
malocclusions can be treated with lingual appliances. This article demonstrates
the use of contemporary completely customised lingual orthodontic appliances to
treat a diverse range of malocclusions, to a satisfactory level, and thereby may
disabuse clinicians of the belief that only minor malocclusions can be treated
with lingual appliances.
PMID- 27197370
TI - Articulators, face bows and interocclusal records.
PMID- 27197372
TI - The path of least resistance in oral surgery.
AB - STATEMENT OF THE PROBLEM: Antibiotic resistance is an imminent threat to
worldwide public health. Dental professionals must demonstrate judicious use of
antibiotics and educate their patients about the risks associated with their
overuse. PURPOSE OF THE PAPER: To encourage the dental profession to prescribe
responsibly in order to optimise the use of antibiotics in oral surgery.
Antibiotic stewardship programmes are recommended to help reduce the emergence of
infections that are multidrug-resistant. Clinical practice audits are encouraged
to help dentists ensure conservative prescribing patterns. CONCLUSIONS: The
dental profession has a duty of care to prescribe antibiotics in adherence with
current best practice oral surgery guidelines. The dental profession must show
leadership in slowing antibiotic resistance by pledgina to safeguard their
appropriate use.
PMID- 27197373
TI - A passionate advocate.
PMID- 27197374
TI - Opinion overlooks profit motive for taking X-rays.
PMID- 27197375
TI - We need to embrace change to thrive.
PMID- 27197376
TI - Brush up on your business skills.
PMID- 27197378
TI - Mentors show us the way.
PMID- 27197377
TI - Be wary hiring a management company.
PMID- 27197379
TI - Updated Dental Practice Act expands auxiliary duties.
PMID- 27197380
TI - Janov Barry treasures the golden era of radio.
PMID- 27197381
TI - Your patients and profession need you.
PMID- 27197382
TI - Temporal variability in aboveground plant biomass decreases as spatial
variability increases.
AB - Ecological theory predicts that diversity decreases variability in ecosystem
function. We predict that, at the landscape scale, spatial variability created by
a mosaic of contrasting patches that differ in time since disturbance will
decrease temporal variability in aboveground plant biomass. Using data from a
multi-year study of seven grazed tallgrass prairie landscapes, each
experimentally managed for one to eight patches, we show that increased spatial
variability driven by spatially patchy fire and herbivory reduces temporal
variability in aboveground plant biomass. This pattern is associated with
statistical evidence for the portfolio effect and a positive relationship between
temporal variability and functional group synchrony as predicted by metacommunity
variability theory. As disturbance from fire and grazing interact to create a
shifting mosaic of spatially heterogeneous patches within a landscape, temporal
variability in aboveground plant biomass can be dampened. These results suggest
that spatially heterogeneous disturbance regimes contribute to a portfolio of
ecosystem functions provided by biodiversity, including wildlife habitat, fuel,
and forage. We discuss how spatial patterns of disturbance drive variability
within and among patches.
PMID- 27197383
TI - Does ecosystem sensitivity to precipitation at the site-level conform to regional
scale predictions?.
AB - Central to understanding global C cycle dynamics is the functional relationship
between precipitation and net primary production (NPP). At large spatial
(regional) scales, the responsiveness of aboveground NPP (ANPP) to interannual
variation in annual precipitation (AP; ANPPsens) is inversely related to site
level ANPP, coinciding with turnover of plant communities along precipitation
gradients. Within ecosystems experiencing chronic alterations in water
availability, plant community change will also occur with unknown consequences
for ANPPsens. To examine the role plant community shifts may play in determining
alterations in site-level ANPPPsens, we experimentally increased precipitation by
approximately 35% for two decades in a native Central U.S. grassland. Consistent
with regional models, ANPPsens decreased initially as water availability and ANPP
increased. However, ANPPsens shifted back to ambient levels when mesic species
increased in abundance in the plant community. Similarly, in grassland sites with
distinct mesic and xeric plant communities and corresponding 50% differences in
ANPP, ANPPsens did not differ over almost three decades. We conclude that
responses in ANPPsens to chronic alterations in water availability within an
ecosystem may not conform to regional AP-ANPP patterns, despite expected changes
in ANPP and plant communities. The result is unanticipated functional resistance
to climate change at the site scale.
PMID- 27197384
TI - Propagule size and dispersal costs mediate establishment success of an invasive
species.
AB - Bio-invasions depend on the number and frequency of invaders arriving in new
habitats. Yet, as is often the case, it is not only quantity that counts, but
also quality. The process of dispersal can change disperser quality and
establishment success. Invasions are a form of extra-range dispersal, so that
invaders often experience changes in quality through dispersal. To study effects
of dispersal on invader quality, and its interactions with quantity on invasion
success, we manipulated both in a field experiment using an invasive marine
invertebrate. Establishment success increased with the number of individuals
arriving in a new habitat. Prolonged larval durations--our manipulation of
prolonged dispersal--decreased individual quality and establishment success.
Groups of invaders with prolonged larval durations contributed only a third of
the offspring relative to invaders that settled immediately. We also found an
interaction between the quality and quantity of invaders on individual growth:
only within high-quality cohorts did individuals experience density-dependent
effects on growth. Our findings highlight that dispersal not only affects the
quantity of invaders arriving in a new habitat but also their quality, and both
mediate establishment success.
PMID- 27197385
TI - Estimating where and how animals travel: an optimal framework for path
reconstruction from autocorrelated tracking data.
AB - An animal's trajectory is a fundamental object of interest in movement ecology,
as it directly informs a range of topics from resource selection to energy
expenditure and behavioral states. Optimally inferring the mostly unobserved
movement path and its dynamics from a limited sample of telemetry observations is
a key unsolved problem, however. The field of geostatistics has focused
significant attention on a mathematically analogous problem that has a
statistically optimal solution coined after its inventor, Krige. Kriging
revolutionized geostatistics and is now the gold standard for interpolating
between a limited number of autocorrelated spatial point observations. Here we
translate Kriging for use with animal movement data. Our Kriging formalism
encompasses previous methods to estimate animal's trajectories--the Brownian
bridge and continuous-time correlated random walk library--as special cases,
informs users as to when these previous methods are appropriate, and provides a
more general method when they are not. We demonstrate the capabilities of Kriging
on a case study with Mongolian gazelles where, compared to the Brownian bridge,
Kriging with a more optimal model was 10% more precise in interpolating locations
and 500% more precise in estimating occurrence areas.
PMID- 27197386
TI - Linking multidimensional functional diversity to quantitative methods: a
graphical hypothesis--evaluation framework.
AB - Functional trait analysis is an appealing approach to study differences among
biological communities because traits determine species' responses to the
environment and their impacts on ecosystem functioning. Despite a rapidly
expanding quantitative literature, it remains challenging to conceptualize
concurrent changes in multiple trait dimensions ("trait space") and select
quantitative functional diversity methods to test hypotheses prior to analysis.
To address this need, we present a widely applicable framework for visualizing
ecological phenomena in trait space to guide the selection, application, and
interpretation of quantitative functional diversity methods. We describe five
hypotheses that represent general patterns of responses to disturbance in
functional community ecology and then apply a formal decision process to
determine appropriate quantitative methods to test ecological hypotheses. As a
part of this process, we devise a new statistical approach to test for functional
turnover among communities. Our combination of hypotheses and metrics can be
applied broadly to address ecological questions across a range of systems and
study designs. We illustrate the framework with a case study of disturbance in
freshwater communities. This hypothesis-driven approach will increase the rigor
and transparency of applied functional trait studies.
PMID- 27197387
TI - Synthesis: comparing effects of resource and consumer fluxes into recipient food
webs using meta-analysis.
AB - Here we synthesize empirical research using meta-analysis to compare how consumer
and resource fluxes affect recipient food webs. We tested the following
hypotheses: (H1) The direct effects of resource fluxes (bottom-up) should be
stronger than the direct effects of consumer fluxes (top-down), because resource
fluxes are permanent (do not return to the food web in which they were produced)
but consumer fluxes may not be (consumers can leave). (H2) Following H1, the
indirect effects should attenuate (weaken) more quickly for consumer fluxes than
for resource fluxes due to their direct effects being weaker, (H3) The effects of
resource fluxes should be stronger when recipient food webs are in different
ecosystems than donor food webs due to differences in elevation that accompany
cross-ecosystem food web interfaces, often increasing flux quantity due to
gravity, while the effects of consumer fluxes should be stronger when donor and
recipient food webs are in the same ecosystem as they should more easily
assimilate into the recipient food web. We found no differences in the magnitude
of bottom-up and top-down direct effects for resource and consumer fluxes, but
top-down direct effects were 122% stronger than top-down indirect effects.
Indirect effects of prey and predator fluxes quickly attenuated while indirect
effects of non-prey resource and herbivore fluxes did not, as the overall direct
effects of prey and predator fluxes were 123% and 163% stronger than their
indirect effects, respectively. This result suggests that the magnitude of
indirect effects decrease as the trophic level of resource and consumer fluxes
increases, and also contrasts with results from studies showing in situ top-down
indirect effects are stronger than in situ bottom-up indirect effects. We found
that resource and consumer flux effect sizes were similar when they occurred
between ecosystems, but when they occurred within ecosystems predator flux
effects were 107% stronger than nutrient flux effects. Finally, we found that
observational studies had higher effect sizes than manipulative studies. Future
research should focus on how resource and consumer fluxes might interact and
generate feedbacks in empirical studies of natural food webs, and what ecological
factors might affect their relative strength.
PMID- 27197388
TI - Belowground interactions with aboveground consequences: Invasive earthworms and
arbuscular mycorrhizal fungi.
AB - A mounting body of research suggests that invasive nonnative earthworms
substantially alter microbial communities, including arbuscular mycorrhizal fungi
(AMF). These changes to AMF can cascade to affect plant communities and
vertebrate populations. Despite these research advances, relatively little is
known about (1) the mechanisms behind earthworms' effects on AMF and (2) the
factors that determine the outcomes of earthworm-AMF interactions (i.e., whether
AMF abundance is increased or decreased and subsequent effects on plants). We
predict that AMF-mediated effects of nonnative earthworms on ecosystems are
nearly universal because (1) AMF are important components of most terrestrial
ecosystems, (2) nonnative earthworms have become established in nearly every type
of terrestrial ecosystem, and (3) nonnative earthworms, due to their burrowing
and feeding behavior, greatly affect AMF with potentially profound concomitant
effects on plant communities. We highlight the multiple direct and indirect
effects of nonnative earthworms on plants and review what is currently known
about the interaction between earthworms and AMF. We also illustrate how the
effects of nonnative earthworms on plant-AMF mutualisms can alter the structure
and stability of aboveground plant communities, as well as the vertebrate
communities relying on these habitats. Integrative studies that assess the
interactive effects of earthworms and AMF can provide new insights into the role
that belowground ecosystem engineers play in altering aboveground ecological
processes. Understanding these processes may improve our ability to predict the
structure of plant and animal communities in earthworm-invaded regions and to
develop management strategies that limit the numerous undesired impacts of
earthworms.
PMID- 27197389
TI - An allometric approach to quantify the extinction vulnerability of birds and
mammals.
AB - Methods to quantify the vulnerability of species to extinction are typically
limited by the availability of species-specific input data pertaining to life
history characteristics and population dynamics. This lack of data hampers global
biodiversity assessments and conservation planning. Here, we developed a new
framework that systematically quantifies extinction risk based on allometric
relationships between various wildlife demographic parameters and body size.
These allometric relationships have a solid theoretical and ecological
foundation. Extinction risk indicators included are (1) the probability of
extinction, (2) the mean time to extinction, and (3) the critical patch size. We
applied our framework to assess the global extinction vulnerability of
terrestrial carnivorous and non-carnivorous birds and mammals. Irrespective of
the indicator used, large-bodied species were found to be more vulnerable to
extinction than their smaller counterparts. The patterns with body size were
confirmed for all species groups by a comparison with IUCN data on the proportion
of extant threatened species: the models correctly predicted a multimodal
distribution with body size for carnivorous birds and a monotonic distribution
for mammals and non-carnivorous birds. Carnivorous mammals were found to have
higher extinction risks than non-carnivores, while birds were more prone to
extinction than mammals. These results are explained by the allometric
relationships, predicting the vulnerable species groups to have lower intrinsic
population growth rates, smaller population sizes, lower carrying capacities, or
larger dispersal distances, which, in turn, increase the importance of losses due
to environmental stochastic effects and dispersal activities. Our study is the
first to integrate population viability analysis and allometry into a novel,
process-based framework that is able to quantify extinction risk of a large
number of species without requiring data-intensive, species-specific information.
The framework facilitates the estimation of extinction vulnerabilities of data
deficient species. It may be applied to forecast extinction vulnerability in
response to a changing environment, by incorporating quantitative relationships
between wildlife demographic parameters and environmental drivers like habitat
alteration, climate change, or hunting.
PMID- 27197390
TI - Genetic diversity affects the strength of population regulation in a marine fish.
AB - Variation is an essential feature of biological populations, yet much of
ecological theory treats individuals as though they are identical. This
simplifying assumption is often justified by the perception that variation among
individuals does not have significant effects on the dynamics of whole
populations. However, this perception may be skewed by a historic focus on
studying single populations. A true evaluation of the extent to which among
individual variation affects the dynamics of populations requires the study of
multiple populations. In this study, we examined variation in the dynamics of
populations of a live-bearing, marine fish (black surfperch; Embiotoca jacksoni).
In collaboration with an organization of citizen scientists (Reef Check
California), we were able to examine the dynamics of eight populations that were
distributed throughout approximately 700 km of coastline, a distance that
encompasses much of this species' range. We hypothesized that genetic variation
within a local population would be related to the intensity of competition and to
the strength of population regulation. To test this hypothesis, we examined
whether genetic diversity (measured by the diversity of mitochondrial DNA
haplotypes) was related to the strength of population regulation. Low-diversity
populations experienced strong density dependence in population growth rates and
population sizes were regulated much more tightly than they were in high
diversity populations. Mechanisms that contributed to this pattern include links
between genetic diversity, habitat use, and spatial crowding. On average, low
diversity populations used less of the available habitat and exhibited greater
spatial clustering (and more intense competition) for a given level of density
(measured at the scale of the reef). Although the populations we studied also
varied with respect to exogenous characteristics (habitat complexity, densities
of predators, and interspecific competitors), none of these characteristics was
significantly related to the strength of population regulation. In contrast, an
endogenous characteristic of the population (genetic diversity) explained 77% of
the variation in the strength of population regulation (95% CI: 27-94%). Our
results suggest that the genetic and phenotypic composition of populations can
play a major role in their dynamics.
PMID- 27197392
TI - Effects of individual, community, and landscape drivers on the dynamics of a
wildland forest epidemic.
AB - The challenges posed by observing host-pathogen-environment interactions across
large geographic extents and over meaningful time scales limit our ability to
understand and manage wildland epidemics. We conducted a landscape-scale,
longitudinal study designed to analyze the dynamics of sudden oak death (an
emerging forest disease caused by Phytophthora ramorum) across hierarchical
levels of ecological interactions, from individual hosts up to the community and
across the broader landscape. From 2004 to 2011, we annually assessed disease
status of 732 coast live oak, 271 black oak, and 122 canyon live oak trees in 202
plots across a 275-km2 landscape in central California. The number of infected
oak stems steadily increased during the eight-year study period. A survival
analysis modeling framework was used to examine which level of ecological
heterogeneity best predicted infection risk of susceptible oak species,
considering variability at the level of individuals (species identity, stem
size), the community (host density, inoculum load, and species richness), and the
landscape (seasonal climate variability, habitat connectivity, and topographic
gradients). After accounting for unobserved risk shared among oaks in the same
plot, survival models incorporating heterogeneity across all three levels better
predicted oak infection than did models focusing on only one level. We show that
larger oak trees (especially coast live oak) were more susceptible, and that
interannual variability in inoculum production by the highly infectious reservoir
host, California bay laurel, more strongly influenced disease risk than simply
the density of this important host. Concurrently, warmer and wetter rainy-season
conditions in consecutive years intensified infection risk, presumably by
creating a longer period of inoculum build-up and increased probability of
pathogen spillover from bay laurel to oaks. Despite the presence of many
alternate host species, we found evidence of pathogen dilution, where less
competent hosts in species-rich communities reduce pathogen transmission and
overall risk of oak infection. These results identify key parameters driving the
dynamics of emerging infectious disease in California woodlands, while
demonstrating how multiple levels of ecological heterogeneity jointly determine
epidemic trajectories in wildland settings.
PMID- 27197391
TI - Bottom-up and top-down human impacts interact to affect a protected coastal
Chilean marsh.
AB - Many ecosystems, even in protected areas, experience multiple anthropogenic
impacts. While anthropogenic modification of bottom-up (e.g., eutrophication) and
top-down (e.g., livestock grazing) forcing often co-occurs, whether these factors
counteract or have additive or synergistic effects on ecosystems is poorly
understood. In a Chilean bio-reserve, we examined the interactive impacts of
eutrophication and illegal livestock grazing on plant growth with a 4-yr
fertilization by cattle exclusion experiment. Cattle grazing generally decreased
plant biomass, but had synergistic, additive, and antagonistic interactions with
fertilization in the low, middle, and high marsh zones, respectively. In the low
marsh, fertilization increased plant biomass by 112%, cattle grazing decreased it
by 96%, and together they decreased plant biomass by 77%. In the middle marsh,
fertilization increased plant biomass by 47%, cattle grazing decreased it by 37%,
and together they did not affect plant biomass. In the high marsh, fertilization
and cattle grazing decreased plant biomass by 81% and 92%, respectively, but
together they increased plant biomass by 42%. These interactions were also found
to be species specific. Different responses of plants to fertilization and cattle
grazing were likely responsible for these variable interactions. Thus, common
bottom-up and top-down human impacts can interact in different ways to affect
communities even within a single ecosystem. Incorporating this knowledge into
conservation actions will improve ecosystem management in a time when ecosystems
are increasingly challenged by multiple interacting human impacts.
PMID- 27197393
TI - Competition-mediated feedbacks in experimental multispecies epizootics.
AB - Competition structures ecological communities and alters host-pathogen
interactions. In environmentally transmitted pathogens, an infection-resistant
competitor may influence infection dynamics in a susceptible species through the
negative impacts of competition (e.g., by reducing host density or causing
nutritional stress that increases susceptibility to infection) and/or the
positive impacts of reducing transmission efficiency (e.g., by removing
environmental pathogen stages). Thus, a non-susceptible competitor may enhance,
reduce, or have no net effect on susceptible host density and infection
prevalence. Here, we couple an epidemiological model with experimental epidemics
to test how resource competition with a non-susceptible competitor (Daphnia
pulicaria) influences fungal microparasite (Metschnikowia bicuspidata) infection
dynamics in a susceptible host species (D. dentifera). Our model and experiments
suggest that competitor density can mediate the direction and magnitude of the
effect of competition on infection dynamics, with a peak in infection prevalence
occurring at intermediate competitor densities. At low densities, the non
susceptible competitor D. pulicaria may reduce infection prevalence in the
susceptible host by removing fungal spores from the environment through feeding.
However, when competitor density is increased and resources become limiting, D.
pulicaria negatively impacts the susceptible host by increasing susceptible host
feeding rates, and therefore fungal spore intake, and further by reducing
susceptible host population size as it is driven toward competitive exclusion. In
conclusion, these results show that a tradeoff between the competitor as a
consumer of pathogen, which serves to reduce epidemic size, and as a modifier of
susceptible host foraging ecology, which influences infection rates, may
alternately enhance or dampen the magnitude of local epidemics.
PMID- 27197394
TI - Seasonality and nitrogen supply modify carbon partitioning in understory
vegetation of a boreal coniferous forest.
AB - Given the strong coupling between the carbon (C) and nitrogen (N) cycles, there
is substantial interest in understanding how N availability affects C cycling in
terrestrial ecosystems, especially in ecosystems limited by N. However, most
studies in temperate and boreal forests have focused on the effects of N addition
on tree growth. By comparison, less is known about the effects of N availability
on the cycling of C in understory vegetation despite some evidence that dwarf
shrubs, mosses, and lichens play an important role in the forest C balance. In
this study, we used an in situ 13CO2 pulse-labeling technique to examine the
short-term dynamics of C partitioning in understory vegetation in three boreal
Pinus sylvestris forest stands exposed to different rates of N addition: a low
and high N addition that receive annual additions of NH4NO3 of 20 and 100 kg
N/ha, respectively, and this is a typo. It should be an unfertilized control.
Labeling was conducted at two distinct periods (early vs. late growing season),
which provided a seasonal picture of how N addition affects C dynamics in
understory vegetation. In contrast to what has been found in trees, there was no
obvious trend in belowground C partitioning in ericaceous plants in response to N
additions or seasonality. Increasing N addition led to a greater percentage of
13C being incorporated into ericaceous leaves with a high turnover, whereas high
rates of N addition strongly reduced the incorporation of 13C into less
degradable moss tissues. Addition of N also resulted in a greater percentage of
the 13C label being respired back to the atmosphere and an overall reduction in
total understory carbon use efficiency. Taken together, our results suggest a
faster cycling of C in understory vegetation with increasing N additions; yet the
magnitude of this general response was strongly dependent on the amount of N
added and varied seasonally. These results provide some of the first in situ C
and N partitioning estimates for plants growing under the complex web of resource
limitations in the boreal understory.
PMID- 27197395
TI - Bacterial community response to changes in a tri-trophic cascade during a whole
lake fish manipulation.
AB - Microbial communities play a key role in biogeochemical processes by degrading
organic material and recycling nutrients, but can also be important food sources
for upper trophic levels. Trophic cascades might modify microbial communities
either directly via grazing or indirectly by inducing changes.in other biotic or
in abiotic factors (e.g., nutrients). We studied the effects of a tri-trophic
cascade on microbial communities during a whole-lake manipulation in which
European perch (Perca fluviatilis) were added to a naturally fishless lake
divided experimentally into two basins. We measured environmental parameters
(oxygen, temperature, and nutrients) and zooplankton biomass and studied the
changes in the bacterial community using next generation sequencing of 16S rRNA
genes and cell counting. Introduction of fish reduced the biomass of zooplankton,
mainly Daphnia, which partly altered the bacterial community composition and
affected the bacterial cell abundances. However, the microbial community
composition was mainly governed by stratification patterns and associated
vertical oxygen concentration. Slowly growing green sulfur bacteria (Chlorobium)
dominated the anoxic water layers together with bacteria of the candidate
division ODI. We conclude that alterations in trophic interactions can affect
microbial abundance, but that abiotic factors seem to be more significant
controls of microbial community composition in sheltered boreal lakes.
PMID- 27197396
TI - The energetic contributions of aquatic primary producers to terrestrial food webs
in a mid-size river system.
AB - Rivers are increasingly recognized as providing nutritional subsidies (i.e.,
energy and nutrients) to adjacent terrestrial food webs via depredation of
aquatic organisms (e.g., emergent aquatic insects, crayfish, fish) by terrestrial
consumers. However, because these prey organisms assimilate energy from both
aquatic (e.g., benthic algae, phytoplankton, aquatic macrophytes) and terrestrial
(e.g., riparian leaf detritus) primary producers, river subsidies to terrestrial
consumers represent a combination of aquatically and terrestrially derived
energy. To date, the explicit contribution of energy derived from aquatic primary
producers to terrestrial consumers has not been fully explored yet might be
expected to be quantitatively important to terrestrial food webs. At 12 reaches
along a 185-km segment of the sixth-order Scioto River system (Ohio, USA), we
quantified the relative contribution of energy derived from aquatic primary
producers to a suite of terrestrial riparian consumers that integrate the
adjacent landscape across multiple spatial scales through their foraging
activities (tetragnathid spiders, rove beetles, adult coenagrionid damselflies,
riparian swallows, and raccoons). We used naturally abundant stable isotopes (13C
and 15N) of periphyton, phytoplankton, macrophytes, and terrestrial vegetation to
evaluate the energetic contribution of aquatic primary producers to terrestrial
food webs. Shoreline tetragnathid spiders were most reliant on aquatic primary
producers (50%), followed by wider-ranging raccoons (48%), damselflies (44%), and
riparian swallows (41%). Of the primary producers, phytoplankton (19%)
provisioned the greatest nutritional contribution to terrestrial consumers
(considered collectively), followed by periphyton (14%) and macrophytes (11%).
Our findings provide empirical evidence that aquatic primary producers of large
streams and rivers can be a critical nutritional resource for terrestrial food
webs. We also show that aquatically derived nutrition contributes to both
shoreline and broader-ranging terrestrial consumers and thus may be an important
landscape-scale energetic linkage between rivers and upland habitats.
PMID- 27197397
TI - Dynamics of an upland stream fish community over 40 years: trajectories and
support for the loose equilibrium concept.
AB - Previous theoretical models and empirical studies suggested that communities can
exist in a "stochastic" or "loose" equilibrium, diverging transiently but
eventually returning toward earlier or average structure, in what we call here
the "loose equilibrium concept" (LEC). We sampled the fish communities at 12
local stream reaches spaced broadly throughout a relatively undisturbed watershed
in the Ozark Mountains of northern Arkansas, USA, in 11 surveys from 1972 to 2012
at a scale of decades, and at a subset of five of these local sites in a total of
16 surveys, allowing tests of the LEC at different spatial and temporal scales.
Multivariate analyses of the dynamics of communities over the 40-year period
provided support for the LEC at both "global" and "local" scales within the
watershed. At the broadest spatial scale, core species numerically dominated the
community, and most common species remained so across all decades. In spite of
two extraordinary floods, and interannual variation in abundance of some species,
the 12-site and five-site global communities and eight of 12 local communities
repeatedly returned toward average positions in multivariate space. Trajectories
of the global and local fish communities varied relative to model hypothetical
trajectories that were based on gradual vs. saltatory changes, and prevalence of
returns toward average community structure. Beta diversity among sites was
variable across time, but beta partitioning consistently showed that pure spatial
turnover dominated over nestedness, because many common species were consistently
distributed either upstream or downstream. This study suggests that vertebrate
communities in relatively undisturbed environments may display dynamics
consistent with the LEC. The LEC, combined with quantification of community
trajectory patterns, can help to clarify whether systems are moving about within
ranges of conditions that reflect expected noise, or, conversely, have moved so
far out of previous bounds, as a result of climate change or human intervention,
that they are permanently changed or "novel."
PMID- 27197398
TI - From individuals to population cycles: the role of extrinsic and intrinsic
factors in rodent populations.
AB - Rodent population cycles have fascinated scientists for a long time. Among
various hypotheses, an interaction of an extrinsic factor (predation) with
intrinsic factors (e.g., sociality and dispersal) was suggested to lead to the
generation of population cycles. Here, we tested this hypothesis with an
individual-based model fully parameterized with an exceptionally rich empirical
database on vole life histories. We employed a full factorial design that
included models with the following factors: predation only, predation and
sociality, predation and dispersal, and predation and both sociality and
dispersal. A comprehensive set of metrics was used to compare results of these
four models with the long-term population dynamics of natural vole populations.
Only the full model, which included both intrinsic factors and predation, yielded
cycle periods, amplitudes, and autumn population sizes closest to those observed
in nature. Our approach allows to model, as emergent properties of individual
life histories, the sort of nonlinear density- and phase-dependence that is
expected to destabilize population dynamics. We suggest that the individual-based
approach is useful for addressing the effects of other mechanisms on rodent
populations that operate at finer temporal and spatial scales than have been
explored with models so far.
PMID- 27197399
TI - Genotypic variation in foundation species generates network structure that may
drive community dynamics and evolution.
AB - Although genetics in a single species is known to impact whole communities,
little is known about how genetic variation influences species interaction
networks in complex ecosystems. Here, we examine the interactions in a community
of arthropod species on replicated genotypes (clones) of a foundation tree
species, Populus angustifolia James (narrowleaf cottonwood), in a long-term,
common garden experiment using a bipartite "genotype-species" network
perspective. We combine this empirical work with a simulation experiment designed
to further investigate how variation among individual tree genotypes can impact
network structure. Three findings emerged: (1) the empirical "genotype-species
network" exhibited significant network structure with modularity being greater
than the highly conservative null model; (2) as would be expected given a modular
network structure, the empirical network displayed significant positive arthropod
co-occurrence patterns; and (3) furthermore, the simulations of "genotype
species" networks displayed variation in network structure, with modularity in
particular clearly increasing, as genotypic variation increased. These results
support the conclusion that genetic variation in a single species contributes to
the structure of ecological interaction networks, which could influence eco
ogical dynamics (e.g., assembly and stability) and evolution in a community
context.
PMID- 27197401
TI - Multi-taxa approach shows consistent shifts in arthropod functional traits along
grassland land-use intensity gradient.
AB - Intensification of land use reduces biodiversity but may also shift the trait
composition of communities. Understanding how land use affects single traits and
community trait composition, helps to understand why some species are more
affected by land use than others. Trait-based analyses are common for plants, but
rare for arthropods. We collected literature-based traits for nearly 1000 insect
and spider species to test how land- use intensity (including mowing,
fertilization, and grazing) across 124 grasslands in three regions of Germany
affects community-weighted mean traits across taxa and in single taxa. We
additionally measured morphometric traits for more than 150 Heteroptera species
and tested whether the weighted mean morphometric traits change with increasing
land-use intensity. Community average body size decreased and community average
dispersal ability increased from low to high land-use intensity. Furthermore, the
relative abundance of herbivores and of specialists among herbivores decreased
and the relative abundance of species using the herb layer increased with
increasing land-use intensity. Community-weighted means of the morphometric
traits in Heteroptera also changed from low to high land-use intensity toward
longer and thinner shapes as well as longer appendices (legs, wings, and
antenna). While changes in traits with increasing mowing and fertilization
intensity were consistent with the combined land-use intensity, community average
traits did often not change or with opposite direction under increasing grazing
intensity. We conclude that high land-use intensity acts as an environmental
filter selecting for on average smaller, more mobile, and less specialized
species across taxa. Although trait collection across multiple arthropod taxa is
laborious and needs clear trait definitions, it is essential for understanding
the functional consequences of biodiversity loss due to land-use intensification.
PMID- 27197400
TI - Multi-trophic consequences of plant genetic variation in sex and growth.
AB - There is growing evidence for the influence of plant intraspecific variation on
associated multi-trophic communities, but the traits driving such effects are
largely unknown. We conducted a field experiment with selected genetic lines of
the dioecious shrub Baceharis salicifolia to investigate the effects of plant
growth rate (two-fold variation) and gender (males vs. females of the same growth
rate) on above- and belowground insect and fungal associates. We documented
variation in associate density to test for effects occurring through plant-based
habitat quality (controlling for effects of plant size) as well as variation in
associate abundance to test for effects occurring through both habitat quality
and abundance (including effects of plant size). Whereas the dietary specialist
aphid Uroleucon macaolai was unaffected by plant sex and growth rate, the
generalist aphid Aphis gossypii and its tending ants (Linepithema humile) had
higher abundances and densities on male (vs. female) plants, suggesting males
provide greater habitat quality. In contrast, Aphis and ant abundance and density
were unaffected by plant growth rate, while Aphis parasitoids were unaffected by
either plant sex or growth rate. Arbuscular mycorrhizal fungi had higher
abundance and density (both marginally significant) on females (vs. males),
suggesting females provide greater habitat quality, but lower abundances
(marginally significant) and higher densities on slow- (vs. fast-) growing
genotypes, suggesting slow-growing genotypes provided lower resource abundance
but greater habitat quality. Overall, plant sex and growth rate effects on
associates acted independently (i.e., no interactive effects), and these effects
were of a greater magnitude than those coming from other axes of plant genetic
variation. These findings thus demonstrate that plant genetic effects on
associated communities may be driven by a small number of trait-specific
mechanisms.
PMID- 27197402
TI - Integrating occupancy models and structural equation models to understand species
occurrence.
AB - Understanding the drivers of species occrrece s a fundamenal goal in basic and
applied ecology. Occupancy models have emerged as a popular approach for
inferring species occurrence because they account for problems associated with
imperfect detection in field surveys. Current models, however, are limited
because they assume covariates are independent (i.e., indirect effects do not
occur). Here, we combined structural equation and occupancy models to investigate
complex influences on species occurrence while accounting for imperfect
detection. These two methods are inherently compatible because they both provide
means to make inference on latent or unobserved quantities based on observed
data. Our models evaluated the direct and indirect roles of cattle grazing, water
chemistry, vegetation, nonnative fishes, and pond permanence on the occurrence of
six pond-breeding amphibians, two of which are threatened: the California tiger
salamander (Ambysloma californiense) and the California red-legged frog (Rana
draytonil). While cattle had strong effects on pond vegetation and water
chemistry, their overall effects on amphibian occurrence were small compared to
the consistently negative effects of nonnative fish. Fish strongly reduced
occurrence probabilities for four of five native amphibians, including both
species of conservation concern. These results could help to identify drivers of
amphibian declines and to prioritize strategies for amphibian conservation. More
generally, this approach facilitates a more mechanistic representation of ideas
about the causes of species distributions in space and time. As shown here,
occupancy modeling and structural equation modeling are readily combined, and
bring rich sets of techniques that may provide unique theoretical and applied
insights into basic ecological questions.
PMID- 27197403
TI - Positive effects of neighborhood complementarity on tree growth in a Neotropical
forest.
AB - Numerous grassland experiments have found evidence for a complementarity effect,
an increase in productivity with higher plant species richness due to niche
partitioning. However, empirical tests of complementarity in natural forests are
rare. We conducted a spatially explicit analysis of 518 433 growth records for
274 species from a 50-ha tropical forest plot to test neighborhood
complementarity, the idea that a tree grows faster when it is surrounded by more
dissimilar neighbors. We found evidence for complementarity: focal tree growth
rates increased by 39.8% and 34.2% with a doubling of neighborhood multi-trait
dissimilarity and phylogenetic dissimilarity, respectively. Dissimilarity from
neighbors in maximum height had the most important effect on tree growth among
the six traits examined, and indeed, its effect trended much larger than that of
the multitrait dissimilarity index. Neighborhood complementarity effects were
strongest for light-demanding species, and decreased in importance with
increasing shade tolerance of the focal individuals. Simulations demonstrated
that the observed neighborhood complementarities were sufficient to produce
positive stand-level biodiversity-productivity relationships. We conclude that
neighborhood complementarity is important for productivity in this tropical
forest, and that scaling down to individual-level processes can advance our
understanding of the mechanisms underlying stand-level biodiversity-productivity
relationships.
PMID- 27197405
TI - A simple Bayesian method of inferring extinction: comment.
PMID- 27197404
TI - Individual and demographic consequences of reduced body condition following
repeated exposure to high temperatures.
AB - Although the lethal consequences of extreme heat are increasingly reported in the
literature, the fitness costs of exposure to sublethal high air temperatures,
typically identified in the 30-40 degrees C range, are poorly understood. We
examine the effect of high (> or = 35 degrees C) daily maxima on body condition
of a semiarid population of White-plumed Honeyeaters, Ptilotula penicillatus,
monitored between 1986 and 2012. During this 26-yr period, temperature has risen,
on average, by 0.06 degrees C each year at the site, the frequency of days with
thermal maxima > or = 35 degrees C has increased and rainfall has declined.
Exposure to high temperatures affected body condition of White-plumed
Honeyeaters, but only in low-rainfall conditions. There was no effect of a single
day of exposure to temperatures > or = 35 degrees C but repeated exposure was
associated with reduced body condition: 3.0% reduction in body mass per day of
exposure. Rainfall in the previous 30 d ameliorated these effects, with reduced
condition evident only in dry conditions. Heat-exposed males with reduced body
condition were less likely to be recaptured at the start of the following spring;
they presumably died. Heat-exposed females, regardless of body condition, showed
lower survival than exposed males, possibly due to their smaller body mass. The
higher mortality of females and smaller males exposed to temperatures > or = 35
degrees C may have contributed to the increase in mean body size of this
population over 23 years. Annual survival declined across time concomitant with
increasing frequency of days > or = 35 degrees C and decreasing rainfall. Our
study is one of few to identify a proximate cause of climate change related
mortality, and associated long-term demographic consequence. Our results have
broad implications for avian communities living in arid and semiarid regions of
Australia, and other mid-latitudes regions where daily maximum temperatures
already approach physiological limits in regions affected by both decreased
precipitation and warming.
PMID- 27197406
TI - A simple Bayesian method of inferring extinction: reply.
PMID- 27197407
TI - Evidence of soil nutrient availability as the proximate constraint on growth of
treeline trees in northwest Alaska: comment.
PMID- 27197408
TI - Evidence of soil nutrient availability as the proximate constraint on growth of
treeline trees in northwest Alaska: reply.
PMID- 27197410
TI - Protestants, Catholics, and Masonic Conspiracies: The British Association in
Montreal (1884).
AB - The British Association for the Advancement of Science (BAAS), like many
nineteenth-century institutions, sought to avoid controversy by excluding the
discussion of political and religious topics from its proceedings.
Nonpartisanship was a veneer it could hide behind. Yet during the Montreal
meeting of 1884-the first time the association ventured beyond the comfortable
confines of the British Isles-this "middle way" was tested. While local and
visiting Anglophones, many of them BAAS members, viewed the proceedings and
character of the association as "decidedly friendly" to religion and as promoting
the broad interests of Protestants, Montreal's episcopacy and French-speaking
press rallied against the anti-Catholic BAAS, accusing it of harboring a
dangerous Masonic clique. In different material and social spaces, the
relationship between science, religion, and the association was conceived in
distinct ways. In examining this case, this essay seeks to augment the growing
body of scholarship within science studies that recognizes the importance of
writing both the history and the geography of encounters between science and
religion.
PMID- 27197409
TI - Fusang: The Enlightenment Story of the Chinese Discovery of America.
AB - In 1761 the French scholar and Sinologue Joseph de Guignes announced that
"Chinese vessels made the voyage to America many centuries before Christopher
Columbus." From the Chinese books in the Bibliotheque du Roi and new missionary
reports from Beijing, he concluded that the mythical land of Fusang described by
a medieval Buddhist monk could be nowhere other than the west coast of North
America, only recently charted by European navigators. Philippe Buache, premier
geographe du roi, agreed. At the height of the French Enlightenment, the
indigenous geographical tradition of China could be used to further the progress
of universal science, providing evidence about issues from the mapping of the
Pacific Rim to the organization of the continents. Furthermore, the story of
Fusang explained the origins of American peoples, showing that the inhabitants of
the New World had arrived there from the Old and contributing to a diffusionist
account of the development of civilization in space and time.
PMID- 27197411
TI - The Unmaking of a Modern Synthesis: Noam Chomsky, Charles Hockett, and the
Politics of Behaviorism, 1955-1965.
AB - A familiar story about mid-twentieth-century American psychology tells of the
abandonment of behaviorism for cognitive science. Between these two, however, lay
a scientific borderland, muddy and much traveled. This essay relocates the
origins of the Chomskyan program in linguistics there. Following his introduction
of transformational generative grammar, Noam Chomsky (b. 1928) mounted a highly
publicized attack on behaviorist psychology. Yet when he first developed that
approach to grammar, he was a defender of behaviorism. His antibehaviorism
emerged only in the course of what became a systematic repudiation of the work of
the Cornell linguist C. F. Hockett (1916-2000). In the name of the positivist
Unity of Science movement, Hockett had synthesized an approach to grammar based
on statistical communication theory; a behaviorist view of language acquisition
in children as a process of association and analogy; and an interest in
uncovering the Darwinian origins of language. In criticizing Hockett on grammar,
Chomsky came to engage gradually and critically with the whole Hockettian
synthesis. Situating Chomsky thus within his own disciplinary matrix suggests
lessons for students of disciplinary politics generally and--famously with
Chomsky--the place of political discipline within a scientific life.
PMID- 27197412
TI - Introduction: Consider the Archive.
AB - In recent years, historians of archives have paid increasingly careful attention
to the development of state, colonial, religious, and corporate archives in the
early modern period, arguing that power (of various kinds) was mediated and
extended through material writing practices in and around archives. The history
of early modern science, likewise, has tracked the production of scientific
knowledge through the inscription and circulation of written records within and
between laboratories, libraries, homes, and public spaces, such as coffeehouses
and bookshops. This Focus section interrogates these two bodies of scholarship
against each other. The contributors ask how archival digitization is
transforming historical practice; how awareness of archival histories can help us
to reconceptualize our work as historians of science; how an archive's layered
purposes, built up over centuries of record keeping, can shape the historical
narratives we write; and how scientific knowledge emerging from archives gained
authority and authenticity.
PMID- 27197413
TI - Scientific Archives in the Age of Digitization.
AB - Historians are increasingly working with material that is not only digital but
has been digitized. Early digitization projects aimed to encode data for
systematic analysis; more recent projects have sought to reproduce unique
archival material in a manner that allows for open-ended historical inquiry
without the need to travel to archives and manipulate physical objects. Such
projects have undeniable benefits for the preservation of documents and access to
them. Yet historians must be aware of the scope of digitization, the reasons why
material is chosen to be digitized, and limitations on the dissemination of
digitized sources. Furthermore, some physical aspects of sources, and of
collections of sources, are lost in their digital simulacra. Nonetheless,
digitization and the standardization of metadata offer significant possibilities
for future archival research and documentation.
PMID- 27197414
TI - Archives and the Boundaries of Early Modern Science.
AB - This contribution argues that the study of early modern archives suggests a new
agenda for historians of early modern science. While in recent years historians
of science have begun to direct increased attention toward the collections
amassed by figures and institutions traditionally portrayed as proto-scientific,
archives proliferated across early modern Europe, emerging as powerful tools for
creating knowledge in politics, history, and law as well as natural philosophy,
botany, and more. The essay investigates the methods of production, collection,
organization, and manipulation used by English statesmen and Crown officers such
as Keeper of the State Papers Thomas Wilson and Secretary of State Joseph
Williamson to govern their disorderly collections. Their methods, it is shown,
were shared with contemporaries seeking to generate and manage other troves of
evidence and in fact reflect a complex ecosystem of imitation and exchange across
fields of inquiry. These commonalities suggest that historians of science should
look beyond the ancestors of modern scientific disciplines to examine how
practices of producing knowledge emerged and migrated throughout cultures of
learning in Europe and beyond. Creating such a map of knowledge production and
exchange, the essay concludes, would provide a renewed and expansive ambition for
the field.
PMID- 27197415
TI - Finding "Science" in the Archives of the Spanish Monarchy.
AB - This essay explores the history of several archives that house the early modern
records of Spanish imperial science. The modern "archival turn" urges us to think
critically about archives and to recognize in the history of these collections an
embedded, often implicit, history that--unless properly recognized, acknowledged,
and understood--can distort the histories we are trying to tell. This essay uses
a curious episode in the history of science to illustrate how Spanish archives
relate to each other and shape the collections they house. During the late
eighteenth century a young navy officer, Martin Fernandez de Navarrete, was
dispatched to all the principal archives of the Spanish monarchy with a peculiar
mission: he was to search for evidence that the Spanish in fact had a scientific
tradition. This essay uses his mission to explain how the original purpose of an
archive--the archive's telos--may persist as a strong and potentially
deterministic force in the work of historians of science. In the case of the
archives discussed, this telos was shaped by issues as wide ranging as defending
a nation's reputation against claims of colonial neglect and as idiosyncratic as
an archivist's selection criteria.
PMID- 27197416
TI - The Book and the Archive in the History of Science.
AB - In recent years, the history of archives has opened up rich possibilities for
understanding early modern science and medicine in material terms. Yet two
strands of inquiry, vital to understanding the development of science and
medicine as "paper knowledge," have been left largely unpursued: the archiving of
personal papers, as distinct from the formation of institutional archives; and
the ways in which printed books and archival papers functioned in relation to
each other. This essay brings these two strands to the forefront, considering in
particular books published posthumously from the notes and correspondence left
behind by Nicholas Culpeper, a popular mid-seventeenth-century English vernacular
medical author, and John Ray, naturalist and Fellow of the Royal Society.
Culpeper's and Ray's cases illustrate, in particular, the central role of women
in preserving, circulating, and certifying the authenticity of medical and
scientific papers and of any books published posthumously from them.
PMID- 27197417
TI - The History of Archives and the History of Science: Comment.
AB - Drawing on Terry Cook's famous challenge to the relationship of historians to the
archive, this comment responds to the four preceding Focus essays, offering an
examination of the roles, in particular, of acquisition and appraisal, canon
formation, and place or location in the relationship that historians of science
have with the archive.
PMID- 27197418
TI - CHARLES COULSTON GILLISPIE (1918-2015).
PMID- 27197419
TI - JOAN LISA BROMBERG (1929-2015).
PMID- 27197420
TI - TO THE EDITOR.
PMID- 27197421
TI - IN REPLY.
PMID- 27197424
TI - Paraoxonase-1 activity and pleiotropic properties of simvastatin in obese and non
obese patients with stable coronary artery disease (CAD).
AB - OBJECTIVES: The study was aimed to assess paraoxonase-1 (PON1) activity,
pleiotropic effects of simvastatin, and its relationship to Q192R and M55L
polymorphisms in obese and non-obese subjects with stable coronary artery disease
(CAD). PATIENTS AND METHODS: The study included 53 subjects (22 obese) aged from
35 to 65 years with CAD. The control group consisted of 53 (18 obese) police
officers without CAD. Patients with CAD were treated with simvastatin 40 mg/day
for 12 months. The lipid profile, flow mediated dilation (FMD), intima media
thickness (IMT), fibrinogen, hs-CRP, TNF-alpha, urine 8-iso-PGF2alpha, and PON1
activity were evaluated in definite time points. PON1 polymorphisms were assessed
at baseline in all observed individuals. RESULTS: The patients with CAD and
obesity presented at baseline significantly increased hs-CRP level,
insignificantly decreased FMD and lower PON1 activity compared to non-obese
individuals. There was no association of obesity with 8-iso-PGF2alpha in the CAD
and control group. The PON1 activity was significantly higher in 192R carriers in
patients and controls, irrespective of obesity. Obesity was not associated with
the effects of simvastatin on PON1 activity, urine 8-iso-PGF2alpha, and TNF
alpha, whereas it blunted its effect on the FMD improvement. The Q192R
polymorphism was associated with simvastatin effectiveness on hs-CRP and FMD.
CONCLUSION: Obesity and Q192R PON1 polymorphism are significantly associated with
pleiotropic effects of simvastatin therapy in patients with stable CAD.
PMID- 27197423
TI - PTTG and Ki-67 expression in pituitary adenomas.
AB - INTRODUCTION: The unpredictable biology of pituitary adenomas makes it a
therapeutic challenge. Moreover ,histopathology of pituitary carcinomas and
locally invasive adenomas are indistinguishable from benign tumors and a new
marker which would enable to differentiate those lesions is vital. The aim of the
study was to evaluate Ki-67 and PTTG (pituitary tumour--transforming gene)
expression in pituitary adenomas and their applicationas markers of tumour
aggressiveness. MATERIAL AND METHODS: A retrospective analysis of 55 patients: 32
females(58%) and 23 males (42%), mean age 50 +/- 16 years who underwent pituitary
tumor surgery between 2003-2012. Ki-67 and PTTG indices were determined by
immunohistochemical staining. Magnetic resonance imaging or computed tomography
was performed beforehand and one year after surgery to figure a potential tumour
progression, tumour size and correlation to adjacent tissues. RESULTS: The
expression of Ki-67and PTTG was revealed in cell nucleiin 88% and 85% of
adenomas, respectively. The median Ki-67 and PTTG indices were 1.4 and 1.0,
respectively(p = 0.006). In the group with macroadenoma as compared with the
group with microadenoma, median Ki-67 index was higher (1.4% vs. 1.03%; p =
0.02). We did not find correlation between both Ki-67 and PTTG indices and tumour
progression. Tumours with positive immunostaining towards FSH revealed lower Ki
67 and PTTG indices than the rest with a negative one (0.6% vs.1.84%, p = 0.0004
and 0.67% vs 1.23%,p = 0.047; respectively). However, PTTG index was higher in
the group with acromegaly as compared to the group with clinically non
functioning pituitary adenoma (NFPA) (1.28% vs.0.35%; p = 0.02). CONCLUSIONS:
Positive nuclear expression of Ki-67 and PTTG was observed in the majority of
pituitary adenomas. Only higher Ki-67 expression was related to the tumour
invasiveness found on MRI/CT. Tumour progressionwas not related to both Ki-67 and
PTTG expression.
PMID- 27197425
TI - Validation of the Polish version of the EORTC Head and Neck module (QLQ-H&N35).
AB - AIM: The aim of this study was to psychometrically validate the EORTC translated,
Polish version of the EORTC QLQ-H&N35 questionnaire to support using it in the
Polish clinical setting in patients with head and neck malignancies. MATERIALS
AND METHODS: Patients with histologically confirmed head and neck malignancies
were included in the study. All patients filled in the Polish version of the
EORTC QLQ-C30, the EORTC QLQ-H&N35 module, and a demographic questionnaire.
Standardized validity and reliability analyses were performed. RESULTS: During
the recruitment period a total of 176 patients (82 females - 46.6%) were enrolled
into the study, with a mean age of 54.3 +/- 11.2 years. Cronbach alpha values
ranged from 0.71 to 0.87. Satisfactory convergent and discriminant validity in
multi-trait scaling analyses was seen. CONCLUSIONS: The Polish version of the
EORTC QLQ-H&N35 module is a reliable and valid tool for measuring HRQoL in Polish
patients with head and neck malignancies. It can be fully recommended for use in
the Polish clinical setting.
PMID- 27197426
TI - The effect of exercise on the secretion of B-type natriuretic peptide in the
groups of patients with diabetes and myocardial infarction with preserved left
ventricular systolic function.
AB - OBJECTIVE: 1. Evaluation of the impact of physical exercise on the secretion of
type B natriuretic peptide (BNP) in patients with preserved left ventricular
function, in the group after myocardial infarction and in the group with
diabetes. 2. Evaluation of the effect of hemodynamic parameters and exercise
tolerance on BNP secretion in the study groups. 3. Comparison of
echocardiographic image, biochemical changes and exercise tolerance in both
groups. MATERIAL AND METHODS: The study included patients with type 2 diabetes
without a history of myocardial infarction and patients after myocardial
infarction treated with primary angioplasty, with preserved left ventricular
systolic function (LV EF >= 40%). The study included 99 patients, aged 40-75.
Patients had an echocardiographic test performed for systolic and diastolic left
ventricle function evaluation, an electrocardiographic exercise test and blood
collection for BNP determination before and immediately after the exercise test.
RESULTS: The increase of BNP release after exercise was observed in both groups:
in the group with a history of myocardial infarction, the BNP increase was 37.8
+/- 45.9 pg/ml, whereas in the group with diabetes 18.1 +/- 26.8 pg/ml. BNP after
exercise and increase in BNP during exercise was significantly higher in subjects
with a history of myocardial infarction (p = 0.008). There was no association
between exercise-induced increase in BNP and the duration of exercise or exercise
tolerance. Exercise tolerance was higher in subjects with a history of myocardial
infarction, but the difference did not reach a statistical significance (METS 8.7
+/- 3.3 vs 7.92 +/- 2.3; p = 0.08). CONCLUSIONS: 1. During the exercise test an
increase in BNP secretion was observed in subjects with diabetes and with the
history of myocardial infarction, with preserved LV systolic function. 2. BNP
growth during exercise test was significantly higher in patients after myocardial
infarction. 3. The exercise-induced BNP growth did not significantly correlate
with exercise duration or exercise tolerance measured with the metabolic
equivalent - METS.
PMID- 27197427
TI - [Risk factors of death occurrence in patients with acute kidney injury in the
course of severe cardio-vascular complications].
AB - INTRODUCTION: Acute kidney injury (AKI) is a frequent and serious complication in
patients with cardiovascular disease. The aim of the study was to evaluate the
risk of death in patients with AKI complicating severe cardiovascular diseases.
MATERIAL AND METHODS: A retrospective analysis of 246 patients surveys (157 men
and 89 women aged 67.9 +/- 14.8 years) with AKI in the course of severe
cardiovascular diseases, hospitalized in intensive care units and words of
nephrological profile in years 2000-2011 were performed. RESULTS: The majority of
patients (95.9%) with AKI required hemodialysis treatment. The mortality rate in
the study group was high and amounted to 69.5% and the recovery of renal function
was observed in 39 patients (27.3%). Kidney disease before the onset of AKI was
observed in 116 patients (47.2%). Patients with arterial hypertension have more
frequently oligoanuria (p = 0.001), needs more hemodialysis sessions (p = 0.029)
and stay longer in hospital (p = 0.012). In multivariate analysis, the occurrence
of death depend on such factors as: the lack of recovery of renal function,
bleeding from gastrointestinal tract, urinary tract infections, and other
infectious and noninfectious complications. CONCLUSIONS: No recovery of renal
function and comorbidity while AKI in the course of severe cardiovascular
diseases increase the risk of death.
PMID- 27197428
TI - [Evaluation of influence of Dupuytryen contracture's operative treatment on
increase of quality of hand's function basing on research in use PEM (Patient
Evaluation Measure) questionnaire].
AB - INTRODUCTION: Dupuytren's contracture is a progressive fibrosis of facial
structures of the palmar surface of the hand, gradually leading to a reduction of
its functions by impaired range of motion of fingers. MATERIALS AND METHODS:
Clinical material consisted of 95 patients treated surgically in the Second
Department of Surgery of the Jagiellonian University in 2006 - 2011 because of
Dupuytren's contracture. Based on a study using PEM questionnaire (Patient
Evaluation Measure) an assessment of the quality of life before and after surgery
was made. RESULTS: The average numeric value obtained in the PEM questionnaire
before surgery was 30 points (SD +/- 14.35). The postoperative controls were
found of gradual decrease in the value and so after three months it was 22.39
points (SD +/- 14.23), and after a year it reached the value of 18.12 points (SD
+/- 12.43). Statistically significant correlations (p < 0.001) were demonstrated
between test results of PEM taken before and after 3 and 12 months after surgery.
CONCLUSIONS: It has been shown that Dupuytren's contracture has negative impact
on quality of life but the quality of life improves after surgical treatment.
PMID- 27197429
TI - [Urinalysis in patients at the early stage of acute pancreatitis].
AB - Urinalysis is a routine and cheap laboratory test that provides clinically useful
information in patients with acute abdominal conditions, including acute
pancreatitis. The aim of the study was to assess the relationships between the
results of urinalysis and the course of the disease among 65 patients with acute
pancreatitis (34 men and 31 women, mean age 61 +/- 19 years) at the early phase
of the disease, i.e. during the first 72 hours from the onset of symptoms. Mild
acute pancreatitis was diagnosed in 47 patients, moderately severe in 13 and
severe in 5. The most prevalent abnormalities were proteinuria (43% of patients),
high urinary bilirubin (20%), erythrocytes (18%), glucose (18%) and leukocytes
(17%). High urinary protein and low specific gravity were associated with more
severe acute disease and with acute kidney injury. The severity of bilirubinuria
and proteinuria were positively correlated with urine concentrations of
neutrophil gelatinase associated lipocalin (NGAL). Urinalysis should be routinely
performed in patients with acute pancreatitis.
PMID- 27197430
TI - [Induced sputum supernatant prostaglandin E2 during oral aspirin challenge of
asthmatic patients with and without aspirin hypersensitivity and healthy controls
-pilot study].
AB - The aim of this pilot study was to evaluate changes in the concentration of
prostaglandin E2 (PGE2) in induced sputum supernatant in 3 groups: sub- jects
with NSAID-exacerbated respira- tory disease (NERD), aspirin tolerant asthma
(ATA) and healthy controls (HC), before and after oral aspirin chal- lenge test.
The study was conducted in the years 2014-2015 at the Clinical Department of the
Pulmonology Clinic at the University Hospital in Cracow. 43 patients were
enrolled in the study (NERD - n = 15, ATA - n = 15 and HC - n = 13). All of them
underwent a placebo-controlled oral aspirin challenge. Sputum was induced 24
hours before the challenge and immediately after the test. Induced sputum was
processed in order to obtain cystospin slides to depict inflammatory cell
patterns and supernatants, in which PGE2 was measured. The concentration of PGE2
was determined using mass spectrometry coupled with gas chromatography (gas
chromatography/mass spectrometry - GC/MS). After aspirin challenge, the
concentration of PGE2 in induced sputum supernatant decreased in both asthmatics
hypersensitive to aspirin (p = 0.01) and those who tolerated aspirin well (p =
0.17). The change in the healthy control group was not statistically significant.
These results support the cyclooxygenase theory of PGE2 inhibition by aspirin.
However, the mechanism of bronchoconstriction after aspirin administration alone
in patients with NSAID-exacerbated respiratory disease remains unclear.
PMID- 27197431
TI - [Others physical methods in psychiatric treatment based on electromagnetic
stimulation].
AB - In the last decades a few new physical methods based on the electromagnetic head
stimulation were subjected to the clinical research. To them belong:--vagus nerve
stimulation (VNS),--magnetic seizure therapy/magnetoconvulsive therapy (MST/MCT),
-deep stimulation of the brain (DBS) and--transcranial direct current stimulation
(tDCS). The paper presents a description of mentioned techniques (nature,
advantages, defects, restrictions), which were compared to the applied
electroconvulsive treatment ECT, earlier described transcranial magnetic
stimulation TMS and the pharmacotherapy (the basis of the psychiatric treatment).
PMID- 27197432
TI - [Coronary artery disease as cause of non-odontogenic toothache. A review of
literature].
AB - AIMS: The aim of the work is to present, based on the latest available
literature, the clinical picture of non-odontogenic toothache as a symptom of
coronary artery disease. MATERIAL AND METHODS: Analysis of full text scientific
studies, including research and casuistic works, which present the
characteristics of the most frequently reported pain symptoms of the
viscerocranium area, including toothache, in patients in the course of coronary
artery disease. RESULTS: The pain of the viscerocranium region turned out to be
the most frequent symptom of ischaemic heart disease when chest pain is absent.
The most frequently reported pain symptoms of the viscerocranium area in the
course of ischaemic heart disease involve: pain of the upper part of the thorax,
left side of the mandible, right side of the mandible, the region of the left
temporomandibular joint and the left ear, toothache. The patients most frequently
described the pain as pressing and burning. The pain also intensified during
physical exercise. CONCLUSION: The aetiology of toothache may be very diverse. An
accurate and quick differential diagnosis of odontogenic and non-odontogenic
toothache is not an easy task even for an expert clinician. Nonetheless, it is
crucial for patients' life and health. A dentist may play a significant role in
an early diagnosis of ischaemic heart disease.
PMID- 27197433
TI - [Kartagener syndrome--case report].
AB - INTRODUCTION: Kartagener syndrome is a very rare, congenital, cilia-related
disease comprising a triad of bronchiectasis, sinusitis and situs inversus. It is
diagnosed in about 50% patients with primary ciliary dyskinesia (PCD). CASE
REPORT: The authors report a case of a 72-year old female patient with a history
of chronic cough and expectoration of muco-purulent secretion. An X-Ray
examination in Posterior-Anterior projection revealed an abnormal configuration
of chest's organs. Diagnosis was complemented with Computed Tomography (CT) of
chest and paranasal sinuses and laboratory tests as well. Compilation of clinical
symptoms and test results led to the diagnosis of Kartagener syndrome.
CONCLUSION: This case report shows the usefulness of diagnostic imaging in the
diagnostic process of Kartagener syndrome, as well as during subsequent
monitoring of the disease.
PMID- 27197434
TI - [In the 100 birth anniversary of the pioneer of stereotactic brain surgery in
Poland professor Oskar Liszka. Functional neurosurgery in Poland and in Krakow].
AB - This article is the report from the meeting of the Medical Society of Cracow,
that has been devoted to the 100 birth anniversary of Oskar Liszka, Assoc. Prof.,
MD. In the course of the meeting Professor Oskar Liszka's figure has been
reminded and his work as a pioneer of stereotactic surgery in Poland has been
discussed. In the next two sections, the development of functional neurosurgery
in Poland and achievements in this field in the Department of Neurosurgery and
Neurotraumatology of Jagiellonian University Collegium Medicum in Krakow have
been presented.
PMID- 27197435
TI - [An Epidemiological Study on an Influenza Outbreak at Day Nurseries and Nursing
Institutions in Gunma].
AB - OBJECTIVE: The features of influenza outbreaks (IOs) at day nurseries (DN),
facilities for the handicapped (FH), and care homes for the elderly (CHE) are
unclear. The aim of this study was to clarify these features at each institution.
MATERIALS AND METHODS: The authors examined IOs (n = 258) that occurred at DN,
FH, or CHE in Gunma Prefecture between 2012 and 2014, and the characteristics of
such IOs were investigated epidemiologically. Results : (1) DN had a higher
number of users, a higher user-to-staff member ratio, and a lower number of staff
members compared to those at FH. and CHE. (2) The rate of IOs was highest at DN.
(3) At DN and CHE, the occurrence of IOs during the early period of the influenza
season in the community was higher than that during the late period of the
influenza season in the community. (4) IOs persisted for longer at DN. (5) The
IOs attack rates were highest at FH during the early, late, and total periods,
although those at FH and CHE decreased slightly during the late period. (6) The
attack rates of staff members at CHE during the early period and at FH during the
late period were high. The attack rate of staff members at CHE significantly
decreased during the late period. CONCLUSIONS: The occurrence of IOs at DN and
CHE will be influenced by influenza epidemicity seen outside of the institutions.
IOs often occurred and were prolonged at DN, which can be explained by the high
rate and frequency of secondary infection resulting from the very close contact
among users. At CHE, the attack rate increased due to the very close contact
between users and staff members, especially during the early period; however, the
attack rate at CHE during the late period decreased due to the prevention of
secondary infection. At FH, the attack rates were higher compared to those at DN
and FH, which was influenced by the high degree of contact among users and
between users and staff members. The spread and prolongation of IOs will be
influenced by the users',high degree of behavior, the high degree of contact
between users, and the high degree of contact among users and between users and
staff members. Prevention of IOs and their prolongation and spread should be
conducted according to factors that affect the duration and spread of IOs, as
described above.
PMID- 27197436
TI - [Epidemiological Survey of the Extended-spectrum beta-lactamase-producing
Bacteria in Hospitals and Nursing Homes in Morioka Secondary Medical Area Zone of
Iwate Prefecture].
AB - The spread of ESBL-producing bacteria (ESBLs) in local communities is a crucially
important issue related to infection control. We investigated the relevance of
isolation of ESBLs and the risk factors influencing the isolation rates of these
organisms at medical facilities (4 rural hospitals, A-D; 4 nursing homes, a-d)
located in the Morioka medical area. The isolation rates of ESBLs at 4 hospitals
were estimated from the patient medical records from April 2013 to March 2014.
Also, ESBLs were isolated from stool samples from residents in 4 nursing homes
during almost the same period, and were analyzed to ascertain their genotypes.
Furthermore, we compared the isolation rates of ESBLs among four hospitals to
determine the influence of use of third-generation cephalosporins and alcohol
based hand rubs, and also among four nursing homes to identify the clinical
backgrounds of the nursing home residents influencing the isolation rates. The
isolation rates of ESBLs in hospitals and nursing homes were 13.3% (3.6-25.0%)
and 9.3% (3.4-21.0%), respectively. Hospital B, which had the highest isolation
rate of ESBLs, showed the highest rate of use of third-generation cephalosporins.
On the other hand, Hospital A, with a lower isolation rate of ESBLs, showed the
highest frequency of use of alcohol rubs. The rate of use of enteral nutrition
was significantly higher in the nursing homes with higher isolation rate of ESBLs
than those with lower isolation rates (odds ratio 2.71, p < 0.05). Nursing home
c, with a significantly higher isolation rate of ESBLs, showed higher usage of
adult diapers as well as higher rates of residents with recent hospitalization
and high-level care. All ESBLs (13 Escherichia coli) isolated from nursing home c
showed the same genotype: CTX-M-3. Although numerous ESBLs were isolated from the
hospitals and nursing homes investigated in this study, the isolation rates of
ESBLs and the clinical backgrounds of the patients differed greatly among the
medical facilities in the same area. Furthermore, as patients and residents were
transferred reciprocally among the hospitals and nursing homes, it was suggested
that infection control for ESBLs at any individual facility alone was not
sufficient and also that cooperative education and information sharing on ESBLs
among facilities in the same area might be important.
PMID- 27197437
TI - [The Abdominal Ultrasonographic Appearance of Acute Phlegmonous Gastritis].
AB - Phlegmonous gastritis (PG) is a nonspecific suppurative inflammation disease
arising from the submucosal layer, and extending to the full thickness of the
stomach. We herein report on a case of acute PG which was diagnosed with
abdominal ultrasonography. A 64-year-old man presented at a hospital after having
recently undergone pacemaker implantation for the treatment of complete
atrioventricular block. He was admitted as an emergency due to a fever of 39
degrees C. He showed anorexia, epigastralgia, vomiting of coffee-ground emesis on
the second hospital day, and abdominal ultrasonography (AUS) performed on the
third hospital day showed the disappearance of the normal laminated structure and
hypoechoic thickening of the stomach walls. Upper gastrointestinal endoscopy
revealed significant hyperplasia of the stomach walls, an erythrogenic mucosa,
and poor extension. On the fourth hospital day, computed tomography revealed
concentric thickening of the stomach walls. Streptococcus pyogenes was cultured
from his blood sample. Based on those findings, the patient was diagnosed as
having acute phlegmonous gastritis. His clinical symptoms improved and the
abnormal ultrasonographic examination findings thereafter returned to normal
following the administration of antibiotics. PG should therefore be included in
the differential diagnosis when encountering patients with acute abdomen. We
experienced a rare case of acute phlegmonous gastritis and AUS was useful for
making an early diagnosis.
PMID- 27197438
TI - [Severe Japanese Spotted Fever Complicated by Acute Respiratory Failure in Kobe
City].
AB - We report herein on a case of severe Japanese spotted fever complicated by acute
respiratory failure in Kobe City. A 70-year-old female presenting with general
malaise and systematic erythema was admitted to our hospital in June, 2013. From
her history and physical examination, she was found to be suffering from
scleroderma and mild interstitial pneumonia. From admission, the patient was
noted to have a fever of 39 degrees C accompanied by relative bradycardia.
Physical examination revealed a black eschar on her right leg, making us suspect
rickettsial infection since Kobe City is not an area predisposed to Japanese
spotted fever. Three days after admission, her condition worsened and treatment
with minocycline and levofloxacin was initiated in accordance with the treatment
protocol for Japanese spotted fever. The following day, the patient developed
acute respiratory distress syndrome (ARDS) and was put on a respirator. She
gradually recovered with the antibiotic treatment and was discharged from the
hospital 23 days after admission. The diagnosis of Japanese spotted fever was
confirmed by conducting a polymerase chain reaction test on the eschar. Japanese
spotted fever is noted to occur in any place other than Kobe City. Late diagnoses
may result in aggravated cases of Japanese spotted fever, with the possibility of
developing ARDS as a complication.
PMID- 27197439
TI - [Unexpected Diseases in Two Patients with False-Positive Dengue Immunoglobulin M
Antibody Test Results].
AB - In 2014, an outbreak of 162 domestic dengue fever infections occurred in Tokyo,
Japan; the first outbreak of its kind in 70 years. Nineteen of these cases were
confirmed in our center. Advancements in diagnostic methods have enabled an
earlier diagnosis of dengue fever; however, unfamiliarity with the clinical
course and characteristics of diagnostic tests for dengue fever can lead to
misdiagnosis. We herein describe 2 cases of Japanese patients with false-positive
dengue immunoglobulin M antibody test results, who were finally diagnosed as
having dermatomyositis and acute hepatitis A infection, respectively.
PMID- 27197440
TI - [A Case of Bacteremia Caused by Ochrobacterium intermedium].
AB - We report herein on a case of bacteremia caused by Ochrobactrum intermedium (O.
intermedium) identified with biotyper matrix-assisted laser desorption ionization
time of flight mass spectrometry (MALDI-TOF MS). An 86-year-old man was admitted
to our hospital with paralysis of the right side of the body and dysphagia. He
was diagnosed as having a pontine infarction based on the brain MRI findings and
was admitted to hospital to have anti-platelet therapy. Three days after
admission, he had a fever. Although he had redness and swelling at the peripheral
venous catheter insertion site, he was diagnosed as having aspiration pneumonia,
since he had fine crackles on auscultation. Soon after taking two sets of blood
cultures and removal of the peripheral venous catheter, sulbactam/ampicillin
(SBT/ABPC) was administrated. Fifty three hours after incubation, gram-negative
bacilli was detected from an aerobic bottle and identified as O. intermedium with
MALDI-TOF MS (Bruker MS). Antimicrobial chemotherapy was changed to meropenem
(MEPM). He was treated for a total of seven days, and recovered without relapse.
Infection caused by O. intermedium has been very uncommon, however, O.
intermedium has been recognized as an emerging pathogen in immunodeficient and
immunocompetent patients. Since identification of Ochrobactrum species by
biochemical methods could be difficult, MALDI-TOF MS might be helpful to clarify
Ochrobactrum species just as in the present case.
PMID- 27197441
TI - [Acute Pyelonephritis and Candidemia Due to Candida lusitaniae: A Case Report].
AB - Although candiduria is becoming increasingly common among hospitalized patients,
Candida lusitaniae is a rare pathogen that account for less than 1% of Candida
species isolated from urine. Ascending pyelonephritis and candidemia due to
Candida species are uncommon complications. We report herein on a case of acute
pyelonephritis and candidemia due to C. lusitaniae. A 66-year-old man presented
with a high fever during hospitalization at our hospital following septic shock
due to ischial osteomyelitis treated with tazobactam/piperacillin for 29 days. We
suspected acute pyelonephritis, and urinary Gram staining showed only yeasts and
leucocytes. The next day, blood culture and urine culture tested positive and
showed yeast-like fungi. We diagnosed acute pyelonephritis and candidemia due to
Candida species and started treatment with fluconazole. C. lusitaniae was
identified on the hospital day 34 and treated with fluconazole for 14 days.
Candida albicans was the most prevalent species isolated from the urinary tract,
however non-albicans Candida species have emerged and are now dominant because of
the advent and increasing use of fluconazole. C. lusitaniae is a rare but
important pathogen, that is generally susceptible to fluconazole and resistant to
amphotericin B. It is necessary to choose an appropriately effective antifungal
drug based on identification of the fungal species.
PMID- 27197442
TI - [A Case of Brucellosis with Intermittent Fever in a Patient Returning from
France].
AB - We herein report on a 62-year-old man who presented with symptoms of intermittent
fever that persisted after returning from a trip to France. During his trip, he
had eaten natural cheese. Although no bacteria could be isolated from blood
culture, the serum agglutination test showed a positive antibody titer of 1 : 160
for Brucella canis. The patient responded well to combination antibiotic therapy
consisting of gentamicin, rifampicin, and doxycycline, and his symptoms improved.
He became antibody-negative after antibiotic therapy. Although the present case
may have been a case of B. canis infection, considering the epidemiology of
brucellosis in France, serological cross-reactivity with Brucella melitensis
infection is also a possibility. Concerns regarding the reemergence of
brucellosis have recently been reported in France, and most cases are caused by
B. melitensis. Clinicians should be aware of the fact that blood cultures must be
incubated for >= 21 days for isolation of Brucella and that in Japan, antibody
measurement of B. melitensis cannot be performed on a commercial basis.
PMID- 27197444
TI - [Surgical procedures of Meniere disease].
PMID- 27197443
TI - [Precise medicine of hereditary hearing loss].
PMID- 27197446
TI - [Efficacy comparison between endolymphatic sac surgery and semicircular canal
occlusion in the treatment of stage 4 Meniere disease].
AB - OBJECTIVE: To compare the vertigo controlling situation between the endolymphatic
sac decompression(ELSD) and semicircular canal occlusion (SCO) in stage 4 Meniere
disease. METHOD: Fourteen patients who underwent endolymphatic sac decompression
and 9 patients who underwent semicircular canal occlusion from 2009 to 2013 were
followed. All patients has complete preoperative examination and postoperative
follow-up. RESULT: The vetigo control of the patients underwent endolymphatic sac
decompression: completely control 35.7%; basic control 28.6%; partly control
14.3%; the vetigo control of the patients underwent semicircular canal occlusion:
completely control 88.9%; basic control 11.1%. CONCLUSION: ELSD and SCO are
alternative methods for the patients of stage 4. SCO has a much highter complete
vertigo control rate. For the patients without practical listening, SCO is a
better choice.
PMID- 27197445
TI - [Difference of cervical vestibular evoked myogenic potentials in different
audition stage of Meniere disease].
AB - OBJECTIVE: Our goal was to find whether inreversible function damage of saccule
was exist and correlated in Meniere's patients with different audition. METHOD:
Senenty-nine patients with unilateral Meniere's disease, who visited the
Dizziness Diagnosis and Treatment Centre of the Second Military Medical
University from January to July in 2015 were included. They were grouped as
slightly audition damage group (41 cases), mildly-severely audition damage
group(38 cases). Meanwhile 20 normal controls underwent the research. The
cervical vestibular evoked myogenic potentials were conducted in symptom free
period. RESULT: There was no significant difference of the C-VEMP inducing rate
between slightly audition damage group and normal control group. While a
statistically difference showed between mildly-severely audition damage group and
normal control group or slightly audition damage group. P1, N1 latency had no
statistically difference between three groups. The P1-N1 amplitude and asymmetric
ratio had no difference between slightly audition damage group and normal control
group, but had a statistically difference between mildly-severely audition damage
group and slightly audition damage group, normal control group. CONCLUSION: The
function of saccule in Meniere's patients decreased with the aggravation of
audition damage.
PMID- 27197447
TI - [Comparison of caloric responses between vestibular migraine and Meniere disease
patients].
AB - OBJECTIVE: To compare the features of caloric tests in vestibular migraine (VM)
and Meniere's disease (MD) patients, and provide objective evidence for
differentiating the 2 groups of patients. METHOD: This case-control study
included 11 MD patients with left ear involved and mild to moderate impaired
hearing, and 18 matched cases with VM. All participants received caloric tests.
Maximum slow phase velocities (SPVmax) were used to describe horizontal and
vertical nystagmus respectively and were compared between the 2 groups.
Horizontal and vertical canal parasis(CP) were calculated according to respective
SPVmax. Unilateral (UW-VR) or bilateral (BW-VR) weakness of vestibular response,
and positive unilateral (UVR) or bilateral (BVR) vertical response or negative
bilateral vertical response (NBVR) were judged by the boundary point of SPVmax of
5 degrees /s respectively. Total left (LV) or right (RV) Vertical reactions were
calculated accoeding to vertical SPVmax,and inter ears difference of vertical
responses (IED-VR) calculated from LV minus RV. RESULT: There were no significant
differences in age and gender between the 2 groups. Horizontal SPVmax of all of
caloric tests of VM group,except the left cold (LC), were statistically larger
than that of MD group (P < 0.05) and the maximum responses of right warm (RW)
caloric test in VM group (34.50 +/- 17.77) were significantly greater than that
in MD group (12.82 +/- 6.69) (P < 0.01). Only the vertical SPVmax of RW of all
caloric tests has statistically difference between the 2 groups (P < 0.01), and
the maximum responses of RW caloric test in VM group (6.00 +/- 4.45) were
significantly stronger than that of LC in MD group (1.27 +/- 2.00) (P < 0.01).
Horizontal CP >= 25% of the 2 groups(VM: 72.22%, MD: 54.55%) were not
statistically different, while the proportions of UW-VR and BW-VR in VM group
(5.56%, 0) were significantly lower than that in MD group (27.27%, 18.18%) (P <
0.05). Vertical CP >= 25% of the 2 groups (VM: 94.44%, MD: 27.27%) were
significantly different (P < 0.01), and the proportions of positive UVR (left:
5.56%, right: 77.78%) and BVR (0) and NBVR (16.67%) in VM group were
significantly different from that in MD group (UVR (left: 9.09%, right: 0), BVR
(0), NBVR (90.91%)) (P < 0.01). There was statistically difference between LV and
RV in VM group (P < 0.01, LV < RV), while no difference were showed in MD group.
IED-VR in VM group (left intenser: 16.67%, right intenser: 83.33%) was
statistically different from that in MD group (left intenser: 36.36%, right
intenser: 9.09%, both no difference: 54.55%) (P < 0.01). CONCLUSION: Vestibular
responses of caloric test are more sensitive, and vertical reactions are more
easily induced in VM patients than in MD. Caloric test can be used to
differentiate the 2 groups of diseases.
PMID- 27197448
TI - [The false-negative reasons of positioning test in benign paroxysmal positional
vertigo].
AB - OBJECTIVE: To analyze the false-negative proportion and reasons of positioning
test in benign paroxysmal positional vertigo (BPPV). METHOD: Sixty-eight cases
were reviewed and analyzed during February to April 2009. All patients had
typical clinical history of BPPV and diagnosed by positioning test,and all were
treated with PRM successfully. If positioning test at the first time were
negative, examinations repeated after either head shaking or return visits.
RESULT: Thirteen patients (19.12%) appeared false-negative in positoning test,
and 11 cases showed typical nystagmus after head shaking,while 2 cases were
diagnosed by return visitis the next day. There were no statistically difference
between false-negative group and positive group in age (P > 0.05), gender (P >
0.05), course of disease (P > 0.05) and types of semi-circular canal (P > 0.05).
CONCLUSION: Re-examinations after head shaking or return visits are useful for
the diagnosis in the suspected BPPV patients who have negative positioning test.
PMID- 27197449
TI - [Analysis of relation between the development of study and literatures about
benign positional paroxysmal vertigo published international and domestic].
AB - OBJECTIVE: Benign paroxysmal positional vertigo (BPPV) is a common vestibular
disorder that causes vertigo. Study of BPPV has dramatically rapid progress in
recent years. We analyze the BPPV growth METHOD: We searched the international
data quantity year by year in database of PubMed, ScienceDirect and WILEY before
2014 respectively, then we searched the domestic data quantity year by year in
database of CNKI, VIP and Wanfang Data before 2015 by selecting "Benign
paroxysmal positional vertigo" as the keywords. Then we carried out regression
analysis with the gathered results in above databases to determine data growth
regularity and main factors that affect future development of BPPV. Also, we
analyzes published BPPV papers in domestic and international journals. RESULT:
PubMed database contains 808 literatures, ScienceDirect contains 177 database and
WILEY contains 46 literatures, All together we collected 1 038 international
articles. CNKI contains 440 literatures, VIP contains 580 literatures and WanFang
data contains 449 literatures. All together we collected 1 469 domestic
literatures. It shows the rising trend of the literature accumulation amount of
BPPV. The scattered point diagram of BPPV shows an exponential growing trend,
which was growing slowly in the early time but rapidly in recent years.
CONCLUSION: It shows that the development of BPPV has three stages from
international arical: exploration period (before 1985), breakthrough period (1986
1998). The deepening stage (after 1998), Chinese literature also has three stages
from domestic BPPV precess. Blank period (before the year of 1982), the
enlightenment period (1982-2004), the deepening stage (after the year of 2004).
In the pregress of BPPV, many outsantding sccholars played an important role in
domestic scitifction of researching, which has produced a certain influence in
the worldwide.
PMID- 27197450
TI - [Analysis and evaluation of the balance function in patients with type 2
diabetes].
AB - OBJECTIVE: Evaluation and analyze the characteristics of balance function in
patients with type 2 diabetes, and to find out the importance of proprioception,
vision and vestibular in postural control. METHOD: All subjects were divided into
two groups, 37 normal individuals, 33 patients with type 2 diabetes mellitus. All
were assessed by computerized posturography under six upright stance.conditions:
including standing on the firm surface and foam with eyes open and closed.
RESULT: (1) On anteroposterior,the scores of proprioception, vision and
vestibular were 93.96 +/- 7.95, 80.22 +/- 16.24, 70.87 +/- 20.99, the normal were
98.00 +/- 2.18, 91.44 +/- 6.01, 80.44 +/- 7.81. There were significances between
diabetes mellitus group and normal control group (P < 0.05) respectively. (2) On
lateral, the scores of vision and vestibular were 80.39 +/- 12.60, 73.96 +/-
16.04, and the normal were 92.11 +/- 4.50, 83.18 +/- 9.45. There were
significances with P < 0.05 between diabetes mellitus group and normal control
group. However, there was no obvious difference in proprioception scores between
the two groups. (3) The limit of stability of normal group were (176.47 +/-
44.13) mm2; diabetic group was (143.13 +/- 62.30) mm2. There was statistical
significance between the group with P < 0.05. (In diabetic patients, there was no
significant difference between the no dizziness group and the dizziness group of
the scores of proprioceptive, visual, vestibular as well as stable limits, P >
0.05. CONCLUSION: The balance function of patients with type 2 diabetes
decreased. It is the main characteristic that the vision and vestibular decreased
more significantly in the postural control.
PMID- 27197451
TI - [Effects of IAA/HRP on the proliferation and apoptosis of SACC-83 cells].
AB - OBJECTIVE: To study the effect of IAA/HRP on the proliferation and apoptosis of
human SACC-83 cells in vitro,and its molecular mechanism. METHOD: The rate of
proliferation inhibition was determined by CCK-8 assay, the apoptosis-related
gene Caspase-3 and Livinalpha mRNA and protein expression levels were measured by
real-time PCR and Western blot. RESULT: IAA/HRP could inhibit proliferation of
SACC-83, which depending on the time and dosage (P < 0.05); can-up-regulate the
Caspase-3 mRNA and protein expression levels and reduction of the mRNA and
protein of the Livina expression, (both P < 0.05). CONCLUSION: IAA/HRP can
inhibit the proliferation and induce the apoptosis of SACC-83 cells, which may
due to its regulation of the expression of Caspase-3 and Livinalpha mRNA
expression.
PMID- 27197452
TI - [Application of the anxiety and depression scale of patients with nasal septum
deviation].
AB - OBJECTIVE: To evaluate the anxiety and depression status of patients with nasal
septum deviation before and after surgery, and explore the relationship between
anxiety and depression and nasal symptoms. METHOD: By means of visual analogue
scale (VAS) as the evaluation index of quality of life, self-rating anxiety scale
(SAS) and self-rating depression scale (SDS) were used to analyze statuses before
and after surgery. The 98 patients with nasal septum deviation were collected.
RESULT: The preoperative SAS/SDS scores of the nasal septum deviation patients
were higher than those of the national standards. The level of anxiety and
depression were lower than the national norm after treatment. The patients of the
normal and moderate SAS/SDS scores felt better after surgery. The patients of the
severe SAS/SDS scores felt the symptoms improve poor. CONCLUSION: Doctors should
pay attention to the application of the SAS/SDS in patients of nasal septum
deviation. Surgeon should actively communicate with severe patients in
psychological problems, and strictly control the surgical indication of such
patients.
PMID- 27197453
TI - [The application value of parathyroid hormone level in predicting post-operative
hypocalcemia after total thyroidectomy].
AB - OBJECTIVE: To investigate the application value of parathyroid hormone (PTH)
within 24 hours in predicting post-operative hypocalcemia after total
thyroidectomy. METHOD: In this study, we selected 207 consecutive patients,
performed total thyroidectomy in our hospital, measured the serum PTH and
electrolyte, divided them into subgroups according to the definition of post
operative hypocalcemia, and made the ROC curves analysis combining with clinical
data. RESULT: The mean value of pre-operative PTHs between groups. show no
significant difference (P > 0.05); in the first day after surgery, the post
operative hypocalcemia group have a significant lower average PTHs (P < 0.01).
ROC area under the curve (AUC) of day-1 is 0.886, with the PTH cutoff value 16. 8
pg/ml. CONCLUSION: The PTH within 24 hours is an important indicator to judging
post-operative hypocalcermia after total thyroidectomy.
PMID- 27197454
TI - [Expression and clinical pathological implications of carbonic anhydrase 9 and P
glycoprotein in laryngeal squamous cell carcinoma].
AB - OBJECTIVE: To identify the difference of CA IX and P-gp expression level between
laryngeal squamous cell carcinoma (LSCC) and benign tissues, evaluate the
relationship of these two proteins in LSCC, and their correlation with clinical
and pathological features. METHOD: Immunohistochemical detection of CA IX and P
gp were performed in 47 cases of LSCC and 20 cases of vocal cord polyps. RESULT:
Overexpression of CA IX and P-gp both in LSCC and in vocal cord polyp (P < 0.05)
were confirmed, with a correlation between the two proteins in LSCC (r = 0.324, P
< 0.05). The expression of CA IX was related to clinical staging and lymph node
metastasis in LSCC (P < 0.05). While P-gp was related to clinical staging and
histological grading in LSCC (P < 0.05). CONCLUSION: The overexpression of CA IX
and P-gp may play a role in LSCC progression.
PMID- 27197455
TI - [Effectiveness of cisplatin on the expressions of Bcl-2 and Bax in cochlea and
spiral ganglion cells of guinea pigs].
AB - OBJECTIVE: To investigate the effectiveness of cisplatin on the expressions of
Bcl-2 and Bax in cochlea and spiral ganglion cells (SGC) of guinea pigs. METHOD:
Twenty guinea pigs were randomly divided into cisplatin (n = 10) and control
groups (n = 10). Cisplatin group were administrated with a dose of
intraperitoneal injection of 16 mg/kg, while the control group were received
intraperitoneal injection of normal saline as placebo. Before and 7 days
following injections, the ototoxic effect was measured with distortion product
otoacoustic emission (DPOAE). Bcl-2, Bax in cochlea were detected by Western
Blot. Immunohistochemical staining was used to detect the protein levels of Bcl-2
and Bax in spiral ganglion cells. RESULT: In control and cisplatin group, Bcl-2
protein levels were 0.727 8 +/- 0.016 9 and 0.467 6 +/- 0.020 1, Bax protein
levels were 0.384 8 +/- 0. 0217 and 0.735 6 +/- 0.022 3 in cochlea respectively,
both P < 0.01. In Control and cisplatin group, the grey values of Bcl-2 in SGC
were 99.00 +/- 2.42 and 149.80 +/- 2.37 respectively, the grey values of Bax were
154.50 +/- 2.80 and 104.50 +/- 3.09 respectively, both P < 0.05. CONCLUSION:
Decreased expression of Bcl-2 and increased expression of Bax may be involved in
cisplatin-induced apoptosis in cochlea and SGC of guinea pigs.
PMID- 27197457
TI - [Analysis of allergens characteristic in 1172 patients with allergic rhinitis in
Changzhou area].
AB - OBJECTIVE: To determine the distribution of allergens in patients with allergic
rhinitis during from 2008 to 2015 in Changzhou area. METHOD: Allergy Screen
method was used to detect the specifical-allergen IgE levels of 1172 patients
with allergic rhinitis. Among the patients, the distribution of all allergens was
analyzed. The positive rate was compared with age, gender, season and so on.
RESULT: The most common allergens in allergic rhinitis patients in Changzhou were
dust mite, fungus, house dust, milk, dander of dog, weed mixture, farina and
dander of cat. The more higher positive rates of dust mite, fungus, house dust,
milk, dander of dog, dander of cat, eggs were found in teenager group than adult
group (P < 0.05). There were more higer positive rates of weed mixture, farina,
cashew, cockroaches and crab in teenager group than adult group (P < 0.05). There
was no significant difference of positive rate between female group and male
group. There was significant difference of variation with seasons. CONCLUSION:
Dust mite, fungus and house dust were the most common allergens among patiens
with allergic rhinitis in Changzhou area. The positive rates of allergens varied
with ages and seasons.
PMID- 27197458
TI - [The evaluation of nasal ventilatioan in patients with obstructive sleep apnea
hypopnea syndrome after nasal cavity ventilation expansion techniques by using
acoustic rhinometry].
AB - OBJECTIVE: To evaluate nasal ventilation in patients with obstructive sleep apnea
hypopnea syndrome (OSAHS) after nasal cavity ventilation expansion techniques by
using acoustic rhinometry. METHOD: Thirty-eight patients with mild OSAHS and 17
patients with moderate OSAHS who were diagnosis by PSG were selected. The
acoustic rhinometry and rhinomanometry were used to assess the nasal cavity
volumes (NCV), nasal airway resistance (NR), nasal minimal cross-section area
(NMCA) and distance of nasal minimal cross-section area from nostril (DCAN)
before and after the surgery (6 month later). RESULT: The state of mild OSAHS
group in NCV, NMCA and NR: before surgery (2.41 +/- 1.33) cm3, (0.37 +/- 0.39)
cm2, (2.07 +/- 1.48) cmH2O/(L . min), after surgery (2.53 +/- 1.54) cm3, (0.45 +/
0.34) cm2, (1.69 +/- 1.03) cmH2O/(L . min), has significant difference (P <
0.05). The state of moderate OSAHS group in NCV, NMCA and NR: before surgery
(2.03 +/- 1.54)cm3, (0.29 +/- 0.39) cm2, (3.47 +/- 2.56) cmH2O/(L . min), after
surgery (2.31 +/- 1.47) cm3, (0.39 +/- 0.33) cm2, (1.89 +/- 1.03)cmH2O/(L . min),
also has significant difference (P < 0.05), while DCAN in two group had no
difference (P > 0.05). CONCLUSION: There was an objective evaluation of nasal
ventilation in OSAHS patients after surgery by using acoustic rhinometry.
PMID- 27197456
TI - [Experimental studies for botulinum toxin type A to antagonist the VIP/PACAP
expression on nasal mucosa in allergic rhinitis rat].
AB - OBJECTIVE: To explore the expression and significance of vasoactive intestinal
peptide and Pituitary adenylate cyclase activiting polypeptide (VIP/PACAP) of
nasal mucosa in rats with allergic rhinitis (AR), and the function of botulinum
toxin-A(BTX-A) to inhibit the expression of VIP/PACAP in AR. METHOD: Thirty
Sprague-Dawley rats were randomly divided into 3 groups, which were the AR group,
the intervention group, and the control group. In the AR group, ovalbumin was
used to sensitize healthy rats. In the intervention group, BTX-A was dripped into
the nasal cavity of AR rats 7 times. In the control group, only physiological
saline was used to drip into the nasal cavity of AR rats. Changes of the rats'
behavior were observed. ELISA were used to detected the concentration variation
of serum IFN-gamma and IL-4. Histopathology and immunohistochemistry were
employed to observe morphology in the rats' nasal mucosal and the expression of
VIP/PACAP. Statistical analysis was also made. RESULT: (1)The typical symptoms
marks of nasal scratching, sneezing, nasal blockage and rhinorrhea of AR group
(7.5 +/- 0.50) were higher than intervention group (1 +/- 0.27) and control group
(0.8 +/- 0.31). (2) Comparing to intervention group and control group, the serm
IFN-gamma of the AR group obvious reduced (P < 0.05), the serm IL-4 of the AR
group obvious rose (P < 0.01), and the serm Th1/Th2 (IFN-gamma/IL-4) of the AR
group obvious reduced (P < 0.01). (3) Comparing to intervention group and control
group, the cilium loss, inflammatory cells infiltration, and inflammatory cells
exudation of nasal mucosa in AR group were more obviously (P < 0.01), and the
intervention group of the 3 indexes was obviously than control group. (4) The
expression of VIP in the rats' nasal mucosa of the AR group (13.27 +/- 2.74) were
more intense than intervention group (5.21 +/- 2.18) and control group (3.56 +/-
5.30) (P < 0.01), and the expression of PACAP in the rats' nasal mucosa of the AR
group (20.97 +/- 2.14) were more intense than intervention group (6.33 +/- 3.04)
and control group (4.63 +/- 1.25) (P < 0.01). (5) In all the 3 groups, there was
positive correlation between expression of negative in VIP/PACAP and Thl/Th2 cell
infiltration(r were respectively -0.340 and -0.223, P < 0.05). CONCLUSION: The
VIP/PACAP in the rats' nasal mucosa may play an important role in pathogenesis of
AR, and BTX-A could improve the symptoms of AR through inhibition of the
expression of VIP/ PACAP.
PMID- 27197460
TI - [3.0 T MRI observe the ears and sinus damage degree of patients with acute carbon
monoxide poisoning].
AB - OBJECTIVE: Through 3.0 T MRI study the ear and sinus lesions of patients with
acute carbon monoxide poisoning. METHOD: From 2012 to 2015 collected the MRI
images of the 45 patients with acute carbon monoxide poisoning, observe their
changes of middle ear and mastoid and sinus imaging. RESULT: The middle ear
injury of mastoid 41 cases (91.1%), 22 cases (48.9%) of maxillary sinus injury,
ethmoid sinus injury in 20 cases (44.4%), sphenoid sinus 9 cases (20.0%), 5 cases
(11.1%) of frontal sinus injury. Carbon monoxide poisoning patients according to
clinical symptoms can be divided into light, medium and heavy 3 groups, observing
the ear sinus damage degree for comparison between groups, found to have
significant differences (P < 0.05). CONCLUSION: The patients with acute carbon
monoxide poisoning ear and sinus injury should cause the attention of the medical
staff, MRI can reflect people's ears from the details and the damage degree of
the sinuses.
PMID- 27197459
TI - [Expression and significance of MTDH and MMP-9 in laryngeal squamous cell
carcinoma].
AB - OBJECTIVE: To observe the expression changes of metadherin (MTDH) and matrix
metalloproteinase-9 (MMP-9) in the laryngeal squamous cell carcinoma tissues and
to investigate the significance. METHOD: The expression of MTDH and MMP-9 in 54
cases of laryngeal squamous cell carcinoma tissues(observation group) and 30
cases of para-carcinoma tissues (control group) was examined by
immunohistochemical method, the correlation between them and their correlations
with the clinicopathological parameters were analyzed. RESULT: The positive
expression rates of MTDH in the observation group and control group were 64.8%
(35/54) and 6.7% (2/30), respectively; the positive expression rates of MMP-9 in
the observation group and control group were 70.4% (38/ 54) and 13.3% (4/30),
respectively; and there was a statistically significant difference between two
groups (all P < 0.01). In the laryngeal squamous cell carcinoma tissues, the
expression of MTDH protein was related with degree of differentiation, lymph-node
metastasis and TNM stage (all P < 0.05); and the expression of MMP-9 protein was
related lymph-node metastasis and TNM stage (all P < 0.05). The expression of
MTDH was positively correlated with MMP-9 in the laryngeal squamous cell
carcinoma tissues (r = 0.371, P < 0.01). CONCLUSION: The high expression of MTDH
and MMP-9 was closely related to the occurrence, development and metastasis of
laryngeal squamous cell carcinoma, joint detection of the two proteins was
valuable for early diagnosis and prognosis of laryngeal squamous cell carcinoma.
PMID- 27197461
TI - [Diagnosis and treatment of laryngeal carcinosarcoma (attached one case report)].
PMID- 27197462
TI - [Hypofibrinogenemia caused by hemocoagulase after endoscopic sinus surgery: a
case report].
AB - A 61 year-old male patient, plasma fibrinogen level was 2.98 g/L, endoscopic
sinus surgery was performed under general anesthesia for polypoid of uncinate
process with mycotic maxillary sinusitis. Hemocoagulase were given in pre- and
post-operative for treatment. The patient was found postoperative drain blood
continuously since 3 days after surgery, when the dose of hemocoagulase reach 26
KU, and fibrinogen determined in Plasma was 0.48 g/L. Coagulation returned to
normal and nasal bleeding stopped after discontinuation of the hemocoagulase and
supplement with fibrinogen.
PMID- 27197463
TI - [Extramedullary relapse of acute lymphoblastic leukemia in the mastoid after
allogeneic hematopoietic stem cell transplantation: a case report].
AB - Extramedullary relapse of acute lymphoblastic leukemia (ALL) in the mastoid after
allogeneic hematopoietic stem cell transplantation (allo-HSCT) is rare. In this
paper, we describe such an uncommon case of extramedullary relapse of ALL in the
mastoid. The patient, who had been diagnosed as having ALL and underwent an allo
HSCT from his matched sibling donor. Eight months after allo-HSCT, he presented
with ear-ache, tinnitus. The middle ear mastoid CT revealed otomastoiditis. The
patient underwent operation. After pathological examination, the definited
diagnosis was made that the patient was extramedullary relapse of ALL in the
mastoid. We should pay more attention to the patients with hemopathy, which can
help to improve early diagnosis.
PMID- 27197464
TI - [Distant metastases to maxillary sinus from an unknown lung adenocarcinoma: a
cases report].
AB - A 59-year-old man was admitted to the Department of Ear, Nose and Throat with a
complaint of six-month history of left facial numbness and toothache. There was
no special previous medical history in addition to smoking. No obvious
abnormality in routine electrocardiogram, chest X-ray, abdominal B ultrasound
were found. (1) CT scans showed heterogeneous shadows in maxillary sinus with the
lesions on the left max- illary bone and evidently destruction of alveolar bone.
The histopathological examination revealed bone tissue which was partly covered
by an intact adenocarcinoma cell. (2) Immunohistochemical staining foe CK7,
CD117, thyroid transcription factor-1, and novel aspartic proteinase A were
positive and thus compatible with metastatic lung adenocarcinoma. (3) Chest CT
scans showed a 1 cm x 2 cm mass on the superior lobe of the left lung, with
destruction of sternum and rib, confirming that the lesions in the paranasal
sinuses were lung cancer metastases. Therefore, this patient conclusively
diagnosed as lung adenocarcinoma with multiple bone metastases.
PMID- 27197465
TI - [Pleomorphic adenoma of the nasal septum: a case report].
AB - We report a rare case of pleomorphic adenoma arising from the nasal septum. A 37
year-old woman presented with a 1-year-history of right-sided occasional
epistaxis. Computed tomographic scans revealed an oval mass in the right nasal
cavity. The tumor was removed endoscopically with endonasal approach. The
microscopic finding showed numbers of myoepithelial cells and duct-like
structures consisting of loose myxoid stroma. This lesion had histological
characteristics of a pleomorphic adenoma, and this was confirmed by
immunohistochemical expression of cytokeratin, S-100 protein and SMA. Her post
operative course was uneventful, and she is currently free from the disease 1.5
years after surgery.
PMID- 27197466
TI - [Supernumerary nasal tooth a case report].
AB - This case report describes a male patient, 5 years old, with recurrent nose
congestion and fetid discharge from the right nasal cavity. The suspected
clinical diagnosis of a supernumerary nasal tooth was confirmed by computed
tomography (CT). Laboratory examination showed normal. After endoscopic removal
in general anesthesia, the tooth was examined by pathological examination. A
literature search identified 22 supernumerary nasal teeth in 21 patients.
PMID- 27197467
TI - [Research progress on the management of no packing after septoplasty].
AB - Packing the nose after septoplasty is common practice. The use of postoperative
packing has been proposed to reduce the dead space between the subperichondrial
flaps and minimize postoperative complications such as hemorrhage, septal
hematoma, and formation of synechiae. Additionally, postoperative packing is
thought to stabilize the remaining cartilaginous septum and minimize persistence
or recurrence of septal deviation. Despite these theoretic advantages, evidence
to support the use of postoperative packing is lacking. Additionally, nasal
packing is not an innocuous procedure. The use of nasal packing actually cause
these complications such as postop- erative pain, mucosal injury, bleeding,
worsening of breathing due to sleep disorders, and postoperative infections.
Routine use of anterior nasal packing after septoplasty should be challenged for
not presenting proven benefit. As alternatives to traditional packing, septal
suturing, septal stapler and fibrin glue have been used recently. The purpose of
this article is to summarize the progress of traditional packing to no packing
after septoplasty.
PMID- 27197468
TI - [The review on voice disorders and rehabilitation in teachers of primary and
secondary school].
AB - Nowadays, various voice disorders are sprung out towards teachers of primary and
secondary school, affecting their personal life and social work. Through
literature review, the author aims at clearing up series of assessments and
methods of voice training which are suitable for the teachers of primary and
secondary school, such as establishing a right way of respiratory, phonation and
resonance, avoiding occupational risk factors such as vocal abuse or misuse to
regain healthy voice. Review of the several relevant literature and commentary
about voice disorders of the teachers in primary and secondary school. Although
various methods of voice training were reported from time to time to guide us SLP
to carry out voice therapy, however, deviations of the clinical data from
scholars' different points of view are waiting for our further support through
case-control study.
PMID- 27197469
TI - [The progress of inner ear malformation in radiological research].
AB - Inner ear malformations are anomalies linking to development insults at different
periods of embryogenesis,which are common causes of congenital sensorineural
hearing loss. The evaluation of pediatric sensorineural hearing loss mostly
depends on high-resolution computed tomography and magnetic resonance imaging,
which can excellently depict the temporal bones and inner ear malformations.
PMID- 27197470
TI - [Proposal and discussion on the concept of traumatic plastic surgery].
PMID- 27197471
TI - [Classification and operation in the treatment of maxillary retrusion of adult
patients with cleft lip and palate].
AB - OBJECTIVE: To classify the patients with cleft lip and palate who need
orthognathic surgery and to propose the corresponding operations. METHODS: From
January 2005 to May 2015, 121 patients with cleft lip and palate diagnosed as
maxillary retrusion were treated by orthognathic surgery. Inclusion criteriar:
(1) male aged over 16, female aged over 14; (2) diagnosed as non-syndromic cleft
lip and palate without systemic disease and other genetic diseases; (3) without
previous orthodontic and orthognathic treatment; (4) having no other craniofacial
malformation. Maxillary features and repaired types were recorded. RESULTS: 93
patients were included and divided into two categories depended on the dental
crowding. Class I: the teeth quantity and bone quantity is coordinated, space
analysis <= 4 mm (mild dental crowding). The forward distance of maxillary less
than 6 mm was defined as Class I a (36 cases) more than 6 mm as Class I b (28
cases). Class II: the teeth quantity and bone quantity is not coordinated, space
analysis > 4 mm ( moderate or severe dental crowding). After the simulation of
distraction osteogenesis, the anterior crossbite was corrected defined as Class
II a (23 cases), not corrected defined as Class II b (6 cases). Class I a were
corrected by conventional orthognathic surgery. While Class I b were corrected by
Le Fort I maxillary advancement using distraction osteogenesis. Class II a were
repaired just by anterior maxillary distraction. While Class II b need to combine
conventional orthognathic surgery with anterior maxillary distraction. All the
patients were satisfied with the treatment effect. CONCLUSIONS: The patients of
cleft lip and palate with maxillary retrusion who need orthognathic surgery can
be classified as the method mentioned above, and then choose the appropriate
operations.
PMID- 27197472
TI - [Extensive cranioplasty for sagittal synostosis in young children by preserving
multiple cranial bone flaps adhered to the dura mater: experience with 63 cases].
AB - OBJECTIVE: This study aimed to evaluate the effort of applying frontal and
occipital bones in extensive cranioplasty and preserving multiple cranial bone
flaps adhered to the dura mater in the treatment of sagittal synostosis. METHODS:
From April 2008 to June 2013, sixty-three children with sagittal synostosis, aged
5 months to 3 years, were included in the study. The frontal bone flap was
removed using an air drill. The occipital and bilateral temporal bone flaps were
cut open but not detached from the dura mater or fixed to produce floating bone
flaps. The skull bone was cut into palisade-like structures. Brain compression
from both sides and the base of the skull was released and the brain expanded
bilaterally through the enlarged space. Only a long strip-shaped bone bridge
remained in the central parietal bone. Subsequently, the frontal bone flaps and
occipital bone flap were pushed towards the midline and fixed with the parietal
bone bridge to shorten the anteroposterior diameter of the cranial cavity and
allow the brain to expand bilaterally to correct scaphocephaly. The CT images
showed that both sides of the parietal bone of artificial sagittal groove
gradually merged postoperative 1 year, and skull almost completely normal healing
after operation 2 or 3 years, without deformity recurrence within 5 years. Among
them all, 61 children's intelligence is normal and 2 children's lagged behind
normal level, no further improvement. RESULTS: Patients were followed up 1 - 5
years (an average of 43 months). Skull growth was excellent in all patients, the
anteroposterior diameter was shortened by 14.6 mm averagely, the transverse
diameter was increased by 12.3 mm averagely, the prominent forehead was
corrected, and scaphocephaly improved significantly. There were no complications
such as death and skull necrosis. CONCLUSIONS: The application of frontal and
occipital bones in extensive cranioplasty and preserving multiple cranial bone
flaps adhered to the dura mater can be used in the treatment of sagittal
synostosis. Surgery without removing bone flaps is less traumatic and results in
no massive bleeding. It can effectively relieve brain compression and promotes
transversal expansion of the brain during surgery and subsequent normal brain
development.
PMID- 27197473
TI - [Combined surgery and sclerotherapy for massive venous malformations of the
tongue].
AB - OBJECTIVE: To explore the curative effect of surgery and selerotherapy for
massive venous malformations of the tongue. METHODS: From January 2005 to
December 2014, subtotal resection or debulking for 15 cases of massive venous
malformation in the tongue was undertaken with multiple sessions of pre- and post
operative injection therapy of pingyangmycin, lauromacrogol and absolute ethanol.
RESULTS: All signs associated with the lesions including eating, sleep and speech
disorders disappeared after treatment. Complete or near complete resolution was
achieved in 9 cases, and a significant reduction in size in a further 6 cases
after surgical excision and peri-operative sclerotherapy. CONCLUSIONS: For
massive venous malformations of the tongue, surgical excision combined with
multiple sessions of sclerotherapy is a good treatment option.
PMID- 27197474
TI - [Advanced orbicularis oculi muscle flap for eyelid defect].
AB - OBJECTIVE: To investigate the application and therapeutic effect of advanced
orbicularis oculi muscle (OOM ) flap for eyelid defect. METHODS: Uni-pedicle or
bi-pedicle advanced OOM flaps were designed according to the location, depth and
size of the eyelid defects. The resulted wounds in the donor sites were closed
directly. The flap size ranged from 1.5 cm x 0.5 cm - 6.0 cm x 3.5 cm. RESULTS:
120 cases were treated. All the flaps survived except for 3 flaps with epidermis
necrosis at the end of flaps, which healed after dressing. The patients were
followed up for 3 -36 months with inconspicious scar in donor sites. The flap
color, texture had a good match with surrounding skin. CONCLUSIONS: The OOM flap
is ideal for eyelid defect with reliable blood supply, satisfied color and
texture. The wound at donor site can be closed directly with less morbidity.
PMID- 27197475
TI - [Clinical analysis of epicanthus correction with two methods: Z-plasty and Y-V
plasty].
AB - OBJECTIVE: To compare the clinical effects of different surgical methods for the
epicanthus corrective surgery. METHODS: Canthoplasty with asymmetric Z-flap is
applied in 46 cases, Y-V advancement procedure for epicanthoplasty is applied in
42 cases. The epicanthus aesthetic measurement were measured and calculated by
software before and after surgery, the diameter of the iris was measured as a
reference value,and the postoperative scar was assessed by VSS scale. At the same
time, by measuring the lateral canthal distance, the accuracy of the measurement
method can be verified. RESULTS: All patients were satisfied with the long-term
results and there were no recurrences of the epicanthal fold. The shortening
ratio of the ICD is 8.36% in the group with the Z-plasty, and 5.88% in Y-V
plasty. the lateral canthal distance have no diffrence before and after surgery.
CONCLUSIONS: Two surgical methods can get good results. The magnitude of
correction in Z-plasty may larger than Y-V plasty, but postoperative retraction
may existed in Z plasty.
PMID- 27197476
TI - [Costal cartilage for rhinoplasty].
AB - OBJECTIVE: Augmentation rhinoplasty is a commonly procedure in clinical work for
a plastic surgeon. Autologous costal cartilage is widely used in aesthetic
rhinoplasy because of the abundant in quality. However, the cartilage may warp,
and it is not easy-handling for inexperienced plastic surgeons. We-used diced
cartilage combined with thin strips as columellar struts, which can be easily
shaped, and reduce the warping incidence. METHODS: From July 2012 to March 2014,
61 patients were performed diced costal cartilage for nasal augmentation via
endonasal approach. Standardized photographs are obtained before and after
surgery. Postoperative outcome is graded by patient's self-evaluation of the
nasal appearance with a satisfaction scale. RESULTS: Among the 61 cases, 25 were
revision cases. The follow-up time was no less than 6 months, with an average
time of 10.9 months. 28 patients reported improved or better nasal appearance.
One patient required revision surgery because of overcorrection. Supratip step
off was observed in one patient and corrected by external reshaping. No warping,
infection, irregularity, absorption, airway obstruction, or donor-site morbidity
were observed. All patients were satisfied with the final appearance.
CONCLUSIONS: Diced costal cartilage is a reliable option for nasal augmentation
and revision rhinoplasty. Good outcomes can be achieved postoperatively, with
aesthetically pleasing appearance and simple procedure.
PMID- 27197477
TI - [Anthropometric analysis of facial aging in 1200 Han adult people in Dalian].
AB - OBJECTIVE: To investigate the facial aging analysis of 1200 Han adult people in
Dalian with iris diameter, so as to provide reference for facial rejuvenation in
Han people. METHODS: 1200 facial digital photographs from the second-generation
ID card of China were stratified randomly selected as the research sample,
excluding facial deformity, tattooing eyebrow, tattooing lid margin, ptosis, lip
grain and eyebrow deformity cases, as well as the cases whose join point of upper
eyelid and lower eyelid not exposed at the nasal side. These photos were divided
into six cohorts: female aged 18, 38, and 68 years old; and male aged 18, 38, and
68 years old, with 200 cases in each cohort. The individual iris diameter was
divided into 20 equal parts in each photograph, with each part marked one unit as
individual iris diameter ruler. Ten values were made from a horizontal plane
between the medial canthus to ten points at one face ( the line between two
medial canthus is used as the horizontal line, circumocular and facial soft
tissue were measured with individual iris diameter ruler on the photographs),
then the results were analyzed with Adobe Photoshop software. RESULTS: There are
statistically significant differences in eyebrow height (36.42 +/- 4.22 unit in
18 years old male group, 40.22 +/- 6.90 unit in 38 years old male group, 34.83 +/
9.39 unit in 68 years old male group; 37.59 +/- 6.72 unit in 18 years old female
group, 41.09 +/- 5.15 unit in 38 years old female group, 36.84 +/- 9.45 unit in
68 years old female group), palpebral fissure height, physiognomic external
canthus height, palpebral fissure width, pupil height and other items (P < 0.05).
CONCLUSIONS: (1) The brow position rises to the peak level at middle age (38
years old group), then drops down gradually with aging. (2) The physiognomic
external canthus moves towards the nasal side and caudal side with aging. (3)
Eyeball moves towards caudal side with aging. (4) The soft tissue around
bilateral angle of mouth, nasal tip and submaxilla moves towards caudal side with
aging. (5) The measurement of individual iris diameter ruler can apply to analyze
the aging changes of facial soft tissue, and is more suitable for the case when
facial photographs are taken at different distances.
PMID- 27197478
TI - [Reconstruction of soft tissue defects at nose, lip, and cheek with facial artery
perforator flaps].
AB - OBJECTIVE: To investigate the therapeutic effect of facial artery perforator flap
for the soft tissue defects at nose, lip and cheek. METHODS: The facial artery
perforator adjacent to the defect was identified by Doppler ultrasonography. The
perforator flap was designed according to the defect location, size and shape.
The subcutaneous tissue around the perforator was kept as much as possible to
protect the venous drainage. RESULTS: From Oct. 2012 to Oct.2013, 26 cases were
treated with facial artery perforator flaps, with 9 cases of nasal defects, 10
cases of lip defects and 7 cases of buccal defects. The defects size ranged from
1.5 cm x 2.0 cm to 3.0 cm x 3.0 cm and the flaps size ranged from 2.0 cm x 2.5 cm
to 3.5 cm x 3. 5 cm. Superficial necrosis(3mm in width) happened at the end of
one flap. All the other 25 flaps survived completely. 16 cases were followed up
for 3 months to 2 years with no relapse and satisfactory cosmetic and functional
results were achieved. CONCLUSIONS: Both cosmetic and functional effect can be
achieved with facial artery perforator flap for defects at nose, lip and cheek.
PMID- 27197479
TI - [Large facial defect reconstruction with partition pre-expanded cervico-scapulo
dorsal flaps based on the superficial cervical artery].
AB - OBJECTIVE: To assess the outcome of large facial defect reconstruction with
"partition" pre-expanded cervico-scapulo-dorsal flaps (CSDF) based on the
superficial cervical artery (SCA). METHODS: Surgical course consisted of 3
stages. In stage I, a skin flap was designed along the axis of SCA according to
the facial defect and an expander was implanted in the cervico-scapulo-dorsal
region by means of "partition" expansion. The expanders were implanted beside the
flap axis and beneath the posterior half of flaps so as to expand only half area
of the flap. During the stage II, expanders were injected with saline regularly
for continuous expansion. In stage III, the pre-expanded CSDFs were transferred
to cover the facial defect of which the CSDFs included about half of non-expanded
area. RESULTS: From November of 2008 to December of 2013, 15 patients with facial
hypertrophic scar or scar contracture were reconstructed with pre-expanded CSDF
based on the SCA. The expansion lasted for 3 to 4 months, and the expanded volume
varied from 680 to 960 ml. One case of 4.0 cm x 1.5 cm epidermal flap necrosis
occurred and healed subsequently with superficial scar; and another case of
blister formation in the distal part of flap was found, which recovered without
scar; the other 13 flaps survived without complications. After a follow-up for 12
to 38 months( average 26. 2 months), patients regained satisfactory appearance of
face, with no obvious hypertrophic scar in the donor site. CONCLUSIONS: Partition
preexpanded CSDF based on the SCA is a good choice for large facial defect
reconstruction, and the partition expansion is an effective strategy for
prevention of venous congestion.
PMID- 27197480
TI - [Correction of cryptotia and cartilage malformation with Z-plasty without skin
grafting].
AB - OBJECTIVE: To explore the curative effect of Z-plasty without skin grafting for
correction of cryptotia. METHODS: Twenty-five cases (29 ears) with cryototia were
corrected by Z-plasty without skin grafting in our department from June 2009 to
January 2014. A Z-shaped incision with one arm on the back of antihelix and the
other arm on the edge of hair was made on the back of ear and scalp. The adhesion
on the back of antihelix cartilage was dissected and the cartilage framework was
lifted and re-positioned. The postauricular flap was transferred to cover the
wound on the back of antihelix. The other flap was transferred to cover the
remnant wound and correct the malformation of cryptotia. RESULTS: All the
patients were followed up from 6 months to 1 year with stable and symmetric
appearance. CONCLUSIONS: The shape of auricle is natural after operation without
skin grafting. It is a simple and ideal method for the treatment of cryptotia.
PMID- 27197481
TI - [Application of trans-areola approach for costicartilage harvesting].
AB - OBJECTIVE: To investigate the trans-areola approach for costicartilage harvesting
in order to avoid the obvious scar resulted by traditional approach through chest
incision. METHODS: From 2013, 7 cases who underwent rhinoplasty received
costicartilage harvesting through trans-areola approach. The incision was
designed along the lower interior edge of right areola. Then the dissection was
performed to expose the 5th costicartilage. Then a costicartilage, 2 - 5 cm in
length, was harvested. The incision was closed delicately. The suture was removed
7 days after operation. RESULTS: The patients were followed up for 3 months to 2
years without hypertrophic scar and breast deformity. The scar was located in
conceal location. The satisfactory rate was higher than that in patients with
chest incision. CONCLUSIONS: The scar resulted from trans-areola approach is
comparatively conceal, compared with that at chest.
PMID- 27197482
TI - [Application of V shape flap for the urethral external meatus and glanuloplasty].
AB - OBJECTIVE: To discuss the methods for urethral external meatus and glanuloplasty
after correction of hypospadias. METHODS: The V shape flap on the dorsal side of
glan, combined with the bilateral glan flaps were moved to the ventral side. The
flap at the ventral side of urethral external meatus was turned over. Then the
urethral external meatus was repositioned to the top end of glan with the ventral
defects covered by the dorsal flaps. Then the coniform glan was reconstructed.
RESULTS: From January 2008 to December 2013, 28 cases were treated, including
glandular hypospadias, postoperative retraction of external urethral meatus and
meatal stenosis. 21 patients were followed up for 1 -12 months (average, 1 month)
with marked improvement of glan appearance and retraction of external meatus. No
meatal stenosis happened. CONCLUSIONS: Postoperative retraction of urethral
external meatus and meatal stenosis can be corrected by V shape flap on the
dorsal side of glan combined with the bilateral glan flaps. The flat appearance
of glan can be improved. It is an ideal method for glandular hypospadias.
PMID- 27197483
TI - [Whole-genome sequencing on one case of Han familial keloids].
AB - OBJECTIVE: To screen SNP information of keloid pedigrees through whole genome
sequencing. METHODS: We Collected information and clinical data of the keloid
pedigree and constructed charts of the pedigree. The DNA was extracted from
peripheral venous blood samples of the pedigree to sequence the whole genome.
RESULTS: 27 SNP and 8 disease-associated genes were screened out. CONCLUSIONS:
Whole genome sequencing technology can select new genetic mutations associated
with keloid, and provide a new way for the research of keloid.
PMID- 27197484
TI - [Establishment of an in vitro culture model of single human hair follicle].
AB - OBJECTIVE: To establish an in vitro culture model of single human hair follicle,
and observe their morphological and histological changes. METHODS: Human hair
follicles were isolated from the volunteer patients. After dissecting follicles
into single, follicles in growth phase were cultured in Williams E without any
serum. This experiment included 3 groups: single follicle without sebaceous gland
and other surrounding tissue (control group); single follicle with sebaceous
gland and without the other surrounding tissue( experiment group A); single
follicles with sebaceous gland and the other surrounding tissue (experiment group
B). The survival rate, survival time, growth rate, multiplication capacity and
apoptosis of cultured follicles and their morphological and histological changes
were observed sequentially. RESULTS: The hair follicles in experiment groups
showed a better viability and a higher growth rate than those in control group.
And the follicles in group B could keep growing for more than 25 days, which was
longer than those in group A. Moreover, the sebaceous gland and the other
surrounding tissue in group B showed great induction effect on follicle-cell
proliferation and anti-apoptosis. CONCLUSION: The in vitro culture model of
signal human hair follicles (single follicles including epidermis, sebaceous
gland and the other surrounding tissue) had optimized internal environment which
is similar to in vivo internal environment.
PMID- 27197485
TI - [Effects of AQP1 overexpression on morphology and water transport in mouse
Schwann cells].
AB - OBJECTIVE: To determine the effect of AQP1 gene on facial nerve edema following
injury through investigation of the relationship between the expression of AQP1
gene and Schwann cells swelling. METHODS: The AQP1 expression in Schwann cells of
mouse facial nerve tissues was detected by immunofluorescent staining. The
transgenic protocol by lentivirus transduction was used to specifically
upregulate AQP1 expression in Schwann cells. Lenti-AQP1 and CTRL (empty vector)
transduced cells were observed during gene overexpression every 24 h for 6 days
by using phase contrast microscopy. Cell volume of CTRL and Lenti-AQP1 treated
cells was measured daily from the day of treatment, through day 6. RESULTS:
Schwann cell primary cultures maintained a high level of AQP1 water channels,
representing an ideal cell model to study the role of AQP1 in the facial nerve.
The expression of AQP1 mRNA and protein in Schwann cells infected with the Lenti
AQP1 was increased significantly compared with CTRL lentivirus (P < 0.05). Lenti
AQP1 caused cell swelling in cultured Schwann cells, as validated by cell volume
determinations (P < 0.01). CONCLUSIONS: AQP1 is an important factor responsible
for the fast water transport of cultured Schwann cells. It plays an important
role in facial nerve edema.
PMID- 27197486
TI - [Research on Shielding of Emboli with the Phase-Controlled Ultrasound].
AB - The postoperative neurological complications is associated with intraoperative
cerebral emboli, which results from extracorporeal circulation and operation. It
can effectively reduce the incidence of neurological complications with
ultrasonic radiation. In fluids, a particle will change it's motion trail when it
is acted by the radiation force generated by the ultrasound. This article mainly
discuss how to shielding emboli with ultrasound. The equipment can transmit
phased ultrasonic signals, which is designed on a FPGA development board. The
board can generate a square wave, which is converted into a sine wave through a
power amplifier. In addition, the control software has been developed on Qt
development environment. The result indicates it's feasible to shielding emboli
with ultrasonic radiation force. This article builds a strong foundation for the
future research.
PMID- 27197487
TI - [Research on PPG Signal Reconstruction Based on Compressed Sensing].
AB - In order to improve the storage and transmission efficiency of dynamic
photoplethysmography (PPG) signals in the detection process and reduce the
redundancy of signals, the modified adaptive matching pursuit (MAMP) algorithm
was proposed according to the sparsity of the PPG signal. The proposed algorithm
which is based on reconstruction method of sparse adaptive matching pursuit
(SAMP), could improve the accuracy of the sparsity estimation of signals by using
both variable step size and the double threshold conditions. After experiments on
the simulated and the actual PPG signals, the results show that the modified
algorithm could estimate the sparsity of signals accurately and quickly, and had
good anti-noise performance. Contrasting with SAMP and orthogonal matching
pursuit (OMP), the reconstruction speed of the algorithm was faster and the
accuracy was high.
PMID- 27197488
TI - [Influence of Width and Thickness of DCI's Curved Section on Its Performance].
AB - By establishing a series of Dynamic Cervical Implants (DCI) within C5-C6 cervical
spinal segments, the biomechanical finite element analysis for DCI with different
width and thickness were carried out to investigate the influence of the width
and thickness of DCI's curved section on its equivalent stress and range of
motion (ROM), so as to provide some theoretical basis for the optimization of
DCI's design. The results show that the width of DCI's curved section has more
obvious influence on the ROM of lateral bending and torsion, in comparison with
the thickness of DCI's curved section. By appropriate reduction in width, the
ROMs of lateral bending and torsion increase obviously, i.e. the overall movement
function of patients is improved. Furthermore, the increase of equivalent stress
could be counteracted by corresponding increase of thickness.
PMID- 27197489
TI - [Discussion on Quality Evaluation Method of Medical Device During Life-Cycle in
Operation Based on the Analytic Hierarchy Process].
AB - The content related to the quality during life-cycle in operation of medical
device includes daily use, repair volume, preventive maintenance, quality control
and adverse event monitoring. In view of this, the article aims at discussion on
the quality evaluation method of medical devices during their life cycle in
operation based on the Analytic Hierarchy Process (AHP). The presented method is
proved to be effective by evaluating patient monitors as example. The method
presented in can promote and guide the device quality control work, and it can
provide valuable inputs to decisions about purchase of new device.
PMID- 27197490
TI - [Study on Accurately Controlling Discharge Energy Method Used in External
Defibrillator].
AB - This paper introduces a new method which controls discharge energy accurately. It
is achieved by calculating target voltage based on transthoracic impedance and
accurately controlling charging voltage and discharge pulse width. A new
defibrillator is designed and programmed using this method. The test results show
that this method is valid and applicable to all kinds of external defibrillators.
PMID- 27197491
TI - [Detection of Heart Rate of Fetal ECG Based on STFT and BSS].
AB - Changes in heart rate of fetal is function regulating performance of the
circulatory system and the central nervous system, it is significant to detect
heart rate of fetus in perinatal fetal. This paper puts forward the fetal heart
rate detection method based on short time Fourier transform and blind source
separation. First of all, the mixed ECG signal was preprocessed, and then the
wavelet transform technique was used to separate the fetal ECG signal with noise
from mixed ECG signal, after that, the short-time Fourier transform and the blind
separation were carried on it, and then calculated the correlation coefficient of
it, Finally, An independent component that it has strongest correlation with the
original signal was selected to make FECG peak detection and calculated the fetal
instantaneous heart rate. The experimental results show that the method can
improve the detection rate of the FECG peak (R), and it has high accuracy in
fixing peak(R) location in the case of low signal-noise ratio.
PMID- 27197492
TI - [Improvement of Digital Capsule Endoscopy System and Image Interpolation].
AB - Traditional capsule image collects and transmits analog image, with weak anti
interference ability, low frame rate, low resolution. This paper presents a new
digital image capsule, which collects and transmits digital image, with frame
rate up to 30 frames/sec and pixels resolution of 400 x 400. The image is
compressed in the capsule, and is transmitted to the outside of the capsule for
decompression and interpolation. A new type of interpolation algorithm is
proposed, which is based on the relationship between the image planes, to obtain
higher quality colour images. capsule endoscopy, digital image, SCCB protocol,
image interpolation
PMID- 27197493
TI - [Design and Implementation of a Programmable Wireless Neural Stimulation System].
AB - The paper proposes and realizes a programmable wireless neural stimulation system
which can be used as a solution of functional electrical stimulation to treat
neural diseases. The system is composed of two parts: controller and neural
stimulator. The controller can transmit pulse parameters to the stimulator
wirelessly, and the stimulator can generate bidirectional pulses with charge
balance. The simulator takes use of ADCs to sample on the bidirectional pulse
output, which compared with preset amplitude to the DAC output voltage to realize
the voltage calibration. Through the test, the whole system works stably and the
output of the biphasic charge balanced circuit is definite. The stimulator output
ranges from 0 to 5 V ajustably, and the frequency ranges from 1 Hz to 200 Hz
ajustably, while the pulse width ranges from 500 MUs to 1500 MUs ajustably. The
duration of the stimulation can be set from 10 s to 10 min.
PMID- 27197494
TI - [Development of a New Type Elastic Syndesmosis Hook Plate].
AB - OBJECTIVE: To design a kind of internal fixation device to treat the syndesmosis
injury. METHODS: The elastic syndesmosis hook plate is made of medical stainless
steel alloy, which is consisted of locking or common screw fixing hole of the
tibial side for the head, tridentate fork like arc anatomical fibula side plate
for the tail and serpentine elastic connecting body. By reduction of the joint of
the lower tibia and fibula, the steel plate tail is fixed at the side of the
fibula and the head is fixed at the side of the tibia for fixing the symphysis.
RESULTS: The design of elastic syndesmosis hook plate is reasonable, and the
operation is convenient. There is no need to penetrate the substantia ossea and
the plate has elastic micro-movement feature, the problem of internal fixation
breakage and loosening is avoid in huge degree, so it is safe and reliable.
CONCLUSION: The elastic syndesmosis hook plate is a new type medical apparatus of
internal fixation of the symphysis, which is worthy of clinical popularization
and application.
PMID- 27197495
TI - [Research of the Thrombus Protection Device During the Cerebral Vascular
Interventional Therapy].
AB - This article introduces a cerebral thrombus protection device for the cerebral
interventional treatment, also introduces the principle, design and manufacturing
process of the device, and confirmes the effectiveness in vitro experiment.
PMID- 27197496
TI - [Design of Huge Data Repository Platform Based on Children Infectious Diseases].
AB - Taking children respiratory infectious diseases as the research object, CDSS
transform from isolated clinical data to an integration Model. With integrating
pharmacy and laboratory knowledge, the system establishes children typical
characterization data of common infectious diseases and medical efficacy data
repository to providing treatment recommendations for doctor. Based on the
clinical characteristics of children infectious diseases, this paper proposes
knowledge inference engine and an information integration model. decision-making
inference engine, medical information integration model.
PMID- 27197497
TI - [A USB-Based Digital ECG Sensor].
AB - Based on the ECG-specific BMD 101 integrated circun chip, this study designed a
digital ECG sensor. In practical application, users just need to connect the ECG
sensor 'o upper computer (such as PC or mobile phone) through USB interface, to
realize the functions including display, alarm, saving, transfer etc. After
tests, They demonstrate that the sensor can be applied to the detection of
arrhythmia, such as bigeminy coupled rhythm, proiosystole etc. Besides, the
sensor has various advantages in monitoring an managing the heart health of
people out of hospital, including low cost, small volume, usableness, simplicity
of operation etc.
PMID- 27197498
TI - [Development of an Independent Heater for Ventilator Tube].
AB - Nowadays, normal humidifier is used to heat and humidify the gas before sending
to ventilator tube. A new type of ventilator which offers both breathing tube
with heater and humidifier is incorporate. In the light of this, patients already
bought ventilator still confront this problem. Therefore, this paper mainly
introduces a new manufactural method which is controlling the temperature and
humidity of gas sent by breathing machine online by a temperature controller
which consist of Silica gel hotline and microcomputer. As a matter of fact, the
controller is adaptable in various types of breathing tube and can accurately
control the humidity and temperature of gas sent into bodies.
PMID- 27197499
TI - [Multifunctional Nursing Beds Based on Intelligent Detection and Recovery].
AB - With the advent of the aging society, there will be a wide range of applications
if novel intelligent multifunctional nursing beds can be developed for hospitals,
bead houses and families at the same time. By listing and analyzing existing
products, this paper summarized four function categories for multifunctional
nursing beds, including security assurance, treatment aid, comfortability
optimization, and human-machine interaction and communication. Finally, by
comparing existing functions and potential user requirements, this paper proposed
four function development trends, including physiological parameter monitoring,
sleep aid, intelligent temperature control, and video communication.
PMID- 27197500
TI - [The Clinical Application Status and Development Trends of Hydrogen Peroxide Low
Temperature Plasma Sterilizers].
AB - The hydrogen peroxide low temperature plasma sterilization technology solved the
problems of thermo-sensitive materials' disinfection and sterilization based on
its development and unique characteristics. This paper introduced the researches
of clinical application quality control, and showed the hydrogen peroxide low
temperature plasma sterilizers were being widely used in hospitals and highly
recognized. According to the clinical data and the literatures of the domestic
equipment in preliminary application, it could be concluded that the technology
maturity of domestic hydrogen peroxide low temperature plasma sterilizers was in
a high level. The advantages of using domestic hydrogen peroxide low temperature
plasma sterilizers to do disinfection and sterilization included lower cost,
safer, faster and non-toxic, etc. Also the management system should be improved
and the clinical staff should master the technical essentials, obey the
procedures strictly, verify periodically and offer full monitoring to upgrade the
quality of sterilization.
PMID- 27197501
TI - [Study on the Detection Rate of Cell and Linearity Performance Detection Method
in the CellSearch System].
AB - Research on CellSearch system's detection rate of cells and linearity performance
detection method, so as to analyze the accurate and reasonable detection method
to meet the CellSearch characteristics of the system.
PMID- 27197502
TI - [The Requirements of Medical Device Market Access in India].
AB - This paper introduces the premarket registration procedures and the post market
regulatory requirements in India. According to Indian medical device act and
related medical regulations on medical device, this is a preliminary discussion
on the registration management system to provide referance for foreign medical
device to enter India market.
PMID- 27197503
TI - [Research on How to Extend the Testing Capacities of the Provincial Institutes
for Medical Devices].
AB - This article introduces the current status of Chinese institutes for medical
devices testing, and analyses the misunderstanding in extending the testing
capacities for the provincial institutes for medical devices. Then, some
suggestions are given to orientate themselves in extending capacities. Finally,
these specific procedures on extending the testing capacities are presented.
PMID- 27197504
TI - [Preliminary Study on Error Control of Medical Devices Test Reports Based on the
Analytic Hierarchy Process].
AB - In this paper, the common errors in medical devices test reports are classified
and analyzed. And then the main 11 influence factors for these inspection report
errors are summarized. The hierarchy model was also developed and verified by
presentation data using MATLAB. The feasibility of comprehensive weights
quantitative comparison has been analyzed by using the analytic hierarchy
process. In the end, this paper porspects the further research direction.
PMID- 27197505
TI - [The Traceability Management for Qualification Documents of Medical Instruments].
AB - The management for qualification documents of medical instruments is very
important work to management department of medical instruments. Because the
number of qualification documents of medical instruments is very large and they
have an expiry date, it is difficult to manage them. This article discussed how
to manage qualification documents of medical instruments, and an information
management system that has a function of traceability management has been
developed. This information management system standardizes management for
qualification documents of medical instruments, and ensures that qualification
documents of medical instruments are available and can be traced. Besides, it can
reduce the amount of work for medical instruments management.
PMID- 27197506
TI - [Development and Application of Triple Cannula Dual-Lumen Vacuum Extractor].
AB - OBJECTIVE: Design and make triple cannula dual-lumen vacuum extractor to improve
effect of decompression in operation of intestinal obstruction. METHODS: Triple
cannula dual-lumen vacuum extractor was applied in 32 patients who underwent
operation of intestinal obstruction from 2012 to 2015 in our hospital. The effect
of intestinal decompression and patient prognosis were observed. RESULTS: 32
patients that used triple cannula dual-lumen vacuum extractor had good recovery
except for one patient abdominal incision with fatty liquefaction. The other
patients had one-stage healed without any complication such as intestinal
fistula, abdominal infection etc. CONCLUSION: Application of triple cannula dual
lumen vacuum extractor in operation for intestinal decompression could avoid
contamination of abdomen and injury of intestinal mucosa, which had satisfied
effect of intestinal decompression and promoted the effect of operation for
intestinal obstruction.
PMID- 27197507
TI - [Evaluation of Medical Instruments Cleaning Effect of Fluorescence Detection
Technique].
AB - OBJECTIVE: To compare the cleaning effect of automatic cleaning machine and
manual cleaning on coupling type surgical instruments. METHODS: A total of 32
cleaned medical instruments were randomly sampled from medical institutions in
Putuo District medical institutions disinfection supply center. Hygiena System
SUREII ATP was used to monitor the ATP value, and the cleaning effect was
evaluated. RESULTS: The surface ATP values of the medical instrument of manual
cleaning were higher than that of the automatic cleaning machine. CONCLUSION:
Coupling type surgical instruments has better cleaning effect of automatic
cleaning machine before disinfection, the application is recommended.
PMID- 27197508
TI - [Observation and Repairment of Tidal Volume Abnormal Fault of Anesthesia
Machine].
AB - Anesthesia machine is an important equipment of clinical surgery. This paper
introduces several abnormal conditions of the anesthesia machine, especially the
judgment and the common fault check of the tidal volume for reference.
PMID- 27197509
TI - Ibrutinib is a safe and effective therapy for systemic mantle cell lymphoma with
central nervous system involvement - a multi-centre case series from the United
Kingdom.
PMID- 27197510
TI - Training of breast surgical oncologists.
AB - Breast surgical oncology is a defined sub-specialty of general surgery with focus
on the surgical management of breast disease and malignancy within a
multidisciplinary context. Much of the training of breast surgical oncologists in
the United States exists within a fellowship training structure with oversight
and approval by the Society of Surgical Oncology (SSO). Rapid continuous changes
in breast oncology practice have further substantiated dedicated expertise in
breast surgical oncology. Training programs are structured to develop proficiency
in fellows for advanced surgical techniques and clinical decision-making as well
as exposure to the multidisciplinary aspects of breast cancer management.
Components of a successful program include an intense multidisciplinary
curriculum, engagement in clinical research and attention to strong mentorship.
National curriculum and training requirements as well as supplemental resources
assist in standardizing the fellowship experience. As surgical training and the
field of breast oncology continues to evolve, so do fellowship training programs
to ensure high quality breast surgical oncologists equipped to deliver high
quality evidence based patient care while continuing to drive future research and
trainee education.
PMID- 27197511
TI - Organizing a breast cancer database: data management.
AB - Developing and organizing a breast cancer database can provide data and serve as
valuable research tools for those interested in the etiology, diagnosis, and
treatment of cancer. Depending on the research setting, the quality of the data
can be a major issue. Assuring that the data collection process does not
contribute inaccuracies can help to assure the overall quality of subsequent
analyses. Data management is work that involves the planning, development,
implementation, and administration of systems for the acquisition, storage, and
retrieval of data while protecting it by implementing high security levels. A
properly designed database provides you with access to up-to-date, accurate
information. Database design is an important component of application design. If
you take the time to design your databases properly, you'll be rewarded with a
solid application foundation on which you can build the rest of your application.
PMID- 27197512
TI - Contemporary management of ductal carcinoma in situ and lobular carcinoma in
situ.
AB - The management of in situ lesions ductal carcinoma in situ (DCIS) and lobular
carcinoma in situ (LCIS) continues to evolve. These diagnoses now comprise a
large burden of mammographically diagnosed cancers, and with a global trend
towards more population-based screening, the incidence of these lesions will
continue to rise. Because outcomes following treatment for DCIS and LCIS are
excellent, there is emerging controversy about what extent of treatment is
optimal for both diseases. Here we review the current approaches to the diagnosis
and treatment of both DCIS and LCIS. In addition, we will consider potential
directions for future management of these lesions.
PMID- 27197513
TI - Ultrasound image-guided core biopsy of the breast.
AB - Results of partial mastectomy or lumpectomy including margin negativity are
improved when preoperative diagnosis is obtained. This article describes the
various techniques, instruments, utility and complications of the techniques.
Emphasis is given to ultrasound (US) usefulness and effectiveness.
PMID- 27197514
TI - The role of Advanced Practice Providers in interdisciplinary oncology care in the
United States.
AB - Advanced Practice Registered Nurses (APRNs) and Physician Assistants (PAs),
generally referred to as Advanced Practice Providers (APPs), are fundamental to
interdisciplinary oncology care. As the projected demand for oncology services is
anticipated to outpace the supply of oncologists, APPs will become increasingly
vital in the delivery of oncology care and services. The training, education, and
scope of practice for APPs gives the interdisciplinary care team professionals
that deliver high-quality clinical services and provide valuable contributions
and leadership to health care quality improvement initiatives. Optimizing the
integration of APPs in oncology care offers immense advantages towards
improvement of clinical outcomes.
PMID- 27197515
TI - The way to precision medicine of our team.
AB - In the past few years, the treatment model of breast cancer (BC) has undergone a
variety of changes and the change of eras. Whether the classification treatment
which is currently dominant, or the precision medicine which is extremely
promising in the future are both carrying out the concept of individual
treatment. Through retrospect the research and clinical achievement of our team
in the past three decades, we share our experience and exertion on the
exploration of a road to BC precision medicine in this paper and make a prospect
of the future development direction of research and treatment.
PMID- 27197516
TI - A Thematic Analysis of Stigma and Disclosure for Perinatal Depression on an
Online Forum.
AB - BACKGROUND: Perinatal mental illness is a global health concern; however, many
women do not get the treatment they need to recover. Some women choose not to
seek professional help and get no treatment because they feel stigmatized. Online
forums for various health conditions, including perinatal mental health, can be
beneficial for members. Little is known about the role that online forums for
perinatal mental illness play in reducing stigma and subsequent disclosure of
symptoms to health care providers and treatment uptake. OBJECTIVE: This study
aimed to examine stigma and disclosure in forums and describe any potential
disadvantages of forum use. METHODS: An online forum for mothers was examined and
1546 messages extracted from 102 threads from the antenatal and postnatal
depression section. These messages were subjected to deductive systematic
thematic analysis to identify common themes regarding stigma and disclosure of
symptoms and potential disadvantages of forum use. RESULTS: Two major themes were
identified: stigma and negative experiences of disclosure. Stigma had 3
subthemes: internal stigma, external stigma, and treatment stigma. Many women
were concerned about feeling like a "bad" or "failed" mother and worried that if
they disclosed their symptoms to a health care provider they would be
stigmatized. Posts in response to this frequently encouraged women to disclose
their symptoms to health care providers and accept professional treatment. Forum
discourse reconstructed the ideology of motherhood as compatible with perinatal
mental illness, especially if the woman sought help and adhered to treatment.
Many women overcame stigma and replied that they had taken advice and disclosed
to a health care provider and/or taken treatment. CONCLUSIONS: Forum use may
increase women's disclosure to health care providers by challenging their
internal and external stigma and this may strengthen professional treatment
uptake and adherence. However, a few posts described negative experiences when
disclosing to health care providers.
PMID- 27197518
TI - The Second International Conference on Nutrition, as Seen by a Member State.
AB - For years now, providing people with food and balanced diets has been a problem
unsolved by the world community. Despite numerous initiatives, the great
breakthrough has still not happened, not least because the issue is so complex.
Hunger and undernourishment must be fought as much as hidden hunger, overweight
and obesity. Increasingly, several forms of malnutrition have been occurring
simultaneously. The so-called double burden of malnutrition has become the new
normal. The follow-up process of the Second International Conference on Nutrition
assumes a vital role in that context. The Rome Declaration and the Framework for
Action commit the international community, international organizations and civil
society to undertake joint efforts across and beyond sectorial policies. Both
documents also indicate numerous starting points for actions that consider
individual national examples. Germany has been actively engaged at both the
national and the international levels. Since its inception in 2008, the National
Action Plan 'IN FORM' has taken up a number of suggestions made by the Second
International Conference on Nutrition. While IN FORM is further developed, the
ideas given in the Framework for Action shall provide a fresh impetus. Within its
development cooperation, Germany is increasingly focusing on improving the
nutritional situation, such as through its One World, No Hunger initiative. In
the follow-up process, all stakeholders, including the Food and Agriculture
Organization and the World Health Organization, will have to offer platforms to
promote exchange among all parties involved and to initiate coherent actions that
also reach beyond national borders. Only then can the improvement of the
nutritional situation really be seen as a truly global challenge. Given the
issue's complexity, it is equally important to establish priorities in each case
in order for progress not to be thwarted by lengthy decision-making processes.
Improving the situation of particularly vulnerable groups, such as young women
and small children, shall be as prominent as the support and promotion of
diversified agriculture. Building networks among partners is of equal importance
for joint action and exchange between stakeholders at the national and
international levels to generate added value on the way toward solving the
nutrition problem. Science will also have to be more closely involved for that
matter and purpose. Research is required to supply scientific evidence for
certain measures to be taken, and it assumes a prominent role where political
consultation, knowledge management and the implementation of measures are
concerned.
PMID- 27197517
TI - Shrinking the malaria map in China: measuring the progress of the National
Malaria Elimination Programme.
AB - BACKGROUND: Remarkable progress has been made towards the elimination of malaria
in China since the National Malaria Elimination Programme (NMEP) was launched in
2010. The incidence of locally-acquired malaria cases has declined rapidly and
endemic areas have also dramatically shrunk. In total, 3 078 malaria cases were
reported in 2014, but only 56 cases were indigenous. In order to further promote
the elimination programme, we reviewed the progress of and experiences associated
with malaria elimination in China, and identified the challenges and priorities
for the next stage of the programme. METHODS: Data were collected from the web
based China Information System for Disease Control and Prevention, and the China
Annual Report of Malaria Elimination. The progress towards the elimination of
malaria from 2010 to 2014 was measured. RESULTS: During the implementation of the
NMEP from 2010 to 2014, local malaria incidence has declined continuously, only
remaining in the Yunnan Province and Tibet Autonomous Region in 2014. By the end
of 2015, 75.6 % (1 636/2 163) of the malaria-endemic counties passed the sub
national elimination assessment. The main challenges are cases of border malaria
and imported malaria from other countries. Sustainable support and investment
from the government, the establishment of an effective surveillance and response
system, and risk assessments for the potential reintroduction of malaria are
priorities for the next stage of the elimination programme. CONCLUSIONS: The NMEP
in China has been successfully implemented thus far and the malaria map has
shrunk dramatically. The priorities for malaria elimination are interventions to
block transmission at border areas, management of imported malaria cases,
preventing malaria reintroduction, capacity building, and sustainability of
malaria surveillance and response.
PMID- 27197519
TI - Long-Term Outcomes of the Implant of a Periurethral Constrictor for Stress
Urinary Incontinence Following Radical Prostatectomy.
AB - OBJECTIVES: To assess morbidity, effectiveness and quality of life (QoL) of
implant of Silimed periurethral constrictor (PC) in a consecutive series of
patients who had stress urinary incontinence following radical prostatectomy.
MATERIAL AND METHODS: A prospective non-randomized study designed on patients who
underwent implant of Silimed PC. Primary end point was postoperative morbidity
and secondary end points were effectiveness of implant and QoL. We performed a
sub-analysis of men who received previous radiation and we compared the
subpopulation with radiation-naive patients. RESULTS: Nineteen patients (31.6%)
received pelvic radiation therapy prior implant. All procedures were completed
successfully with median operative time of 55 (IQR 50-62.5) min. We recorded 47
(78.3%) postoperative complications in 30 men. Twenty-three men (38.3%) developed
urethral erosion at median follow-up of 27.5 (IQR 21-35) months, and 1 man (1.9%)
had rectourethral fistula at 2 months. Risk of urethral erosion increased
significantly among patients who received radiation (63.1 vs. 26.8%; p < 0.001).
In 12 cases (20%), we recorded malfunctioning of the reservoir requiring
replacement. CONCLUSION: The implant of Silimed device is not safe due to a high
risk of urethral erosion. Careful patient selection and detailed counseling are
mandatory when considering the implant of PC in adult patients.
PMID- 27197520
TI - Patient positioning during percutaneous tracheostomy using an air-inflated
pressure bag.
PMID- 27197521
TI - The venous-arterial CO2 to arterial-venous O2 content difference ratio: Easy to
monitor?
AB - Blood sampling for venous-arterial CO2 to arterial-venous O2 content difference
ratio starts to be widely used as a hemodynamic monitoring tool, despite that
this calculation remains cumbersome. We propose using indirect calorimetry and
respiratory quotient for this purpose, with the same physiological concept.
PMID- 27197522
TI - Determinants of Child Malnutrition and Infant and Young Child Feeding Approaches
in Cambodia.
AB - Women's diets often decrease with regard to amounts per meal and day as well as
diversity if a household's access to food is limited. The result is a monotonous
diet that, in particular, negatively affects women's nutritional status during
pregnancy and lactation and, thus, the infant. The infant's diet is of utmost
importance, as it needs to meet the nutrient requirements especially during the
first 2 years of life, a critical window for the child's healthy development. In
Cambodia, infant and young child feeding (IYCF) practices are poor. Preparation
of a special complementary meal in addition to breast milk feeds for children
aged 6-23 months is often not a common habit. Instead, children eat watery, plain
rice porridges that do not meet the nutrient requirements at this young age. A
lack of adequate caring practices such as responsive feeding exacerbates the risk
of malnutrition. Caregivers are often unaware of the importance of nutrition
during the first 2 years of life regarding its effects on children's growth. In
2012, a randomized controlled trial (RCT) was started in two provinces of
northern Cambodia: Oddar Meanchey and Preah Vihear. To contribute to reducing
child mortality by addressing malnutrition among children 6-23 months of age, the
Food and Agriculture Organization of the United Nations (FAO) implemented a
nutrition-sensitive agriculture project with nutrition-specific actions, i.e. a
nutrition education intervention was embedded in a food security project. Wealth,
a child's age, and maternal education were identified as determinants of a
child's dietary diversity. The older the child and/or the wealthier the
household, the more diverse the child's diet. Maternal education was positively
associated with the child's dietary diversity. Household dietary diversity was
significantly associated with child dietary diversity in a model including group,
child's age, maternal education, and wealth as confounders. The RCT also showed
that a 2- to 3-month nutrition education programme carried out by government and
community health volunteers as well as local NGOs addressing caregivers with a
child between 5 and 18 months of age has great potential to improve IYCF
practices. Since no impact on average height-for-age Z-scores could be
demonstrated in this RCT, we suggest for Cambodia that (1) more emphasis be put
on animal-source food and other protein sources in nutrition education, (2)
nutrition education be implemented in the community through trained government
and community members including peers as trainers, (3) sessions on family
nutrition be included in the curriculum and the continuation of breastfeeding be
emphasized, and (4) nutrition education be institutionalized, including
continuous in-service training for sustainability.
PMID- 27197524
TI - Diagnostic Accuracy of BRAF Immunohistochemistry in Colorectal Cancer: a Meta
Analysis and Diagnostic Test Accuracy Review.
AB - The aim of this study was to evaluate the concordance between the BRAF (V600E)
mutation test and immunohistochemistry (IHC) and to evaluate the diagnostic
accuracy of BRAF IHC for colorectal cancer (CRC) through a systematic review,
meta-analysis, and diagnostic test accuracy review. The current study included
1021 CRCs from eight eligible studies. The concordance rates were investigated
between BRAF IHC and the mutation test. In addition, diagnostic test accuracy
review was conducted and calculated using the value of area under curve (AUC) on
the summary receiver operating characteristic (SROC) curve. The positive rate of
BRAF IHC was 30.5 % (range; 13.2-66.2 %), and the BRAF mutation was found in 30.2
% (range; 11.7-66.2 %). The overall concordance rate between BRAF IHC and the
mutation test was 0.944 (95 % confidence interval (CI) 0.873-0.977). In the BRAF
IHC-positive and -negative groups, the concordance rates between BRAF IHC and the
mutation test were 0.895 (95 % CI 0.800-0.945) and 0.956 (95 % CI 0.878-0.985),
respectively. The pooled sensitivity and specificity were 0.94 (95 % CI 0.91
0.96) and 0.96 (95 % CI 0.95-0.98), respectively. The diagnostic odds ratio was
272.86 (95 % CI 46.11-1614.88), and the value of AUC on SROC curve was 0.9846.
Taken together, our results suggest that BRAF IHC is strongly concordant with the
BRAF mutation test and has high diagnostic accuracy in BRAF mutation analysis of
CRCs. Further cumulative studies on detailed evaluation criteria are needed
before application in daily practice.
PMID- 27197523
TI - The role of the addition of ovarian suppression to tamoxifen in young women with
hormone-sensitive breast cancer who remain premenopausal or regain menstruation
after chemotherapy (ASTRRA): study protocol for a randomized controlled trial and
progress.
AB - BACKGROUND: Ovarian function suppression (OFS) has been shown to be effective as
adjuvant endocrine therapy in premenopausal women with hormone receptor-positive
breast cancer. However, it is currently unclear if addition of OFS to standard
tamoxifen therapy after completion of adjuvant chemotherapy results in a survival
benefit. In 2008, the Korean Breast Cancer Society Study Group initiated the
ASTRRA randomized phase III trial to evaluate the efficacy of OFS in addition to
standard tamoxifen treatment in hormone receptor-positive breast cancer patients
who remain or regain premenopausal status after chemotherapy. METHODS:
Premenopausal women with estrogen receptor-positive breast cancer treated with
definitive surgery were enrolled after completion of neoadjuvant or adjuvant
chemotherapy. Ovarian function was assessed at the time of enrollment and every 6
months for 2 years by follicular-stimulating hormone levels and bleeding history.
If ovarian function was confirmed as premenopausal status, the patient was
randomized to receive 2 years of goserelin plus 5 years of tamoxifen treatment or
5 years of tamoxifen alone. The primary end point will be the comparison of the 5
year disease-free survival rates between the OFS and tamoxifen alone groups.
Patient recruitment was finished on March 2014 with the inclusion of a total of
1483 patients. The interim analysis will be performed at the time of the
observation of the 187th event. DISCUSSION: This study will provide evidence of
the benefit of OFS plus tamoxifen compared with tamoxifen only in premenopausal
patients with estrogen receptor-positive breast cancer treated with chemotherapy.
TRIAL REGISTRATION: ClinicalTrials.gov Identifier NCT00912548 . Registered May 31
2009. Korean Breast Cancer Society Study Group Register KBCSG005 . Registered
October 26 2009.
PMID- 27197526
TI - Is the Risk of Ovarian Malignancy Algorithm Better Than Other Tests for
Predicting Ovarian Malignancy in Women with Pelvic Masses?
AB - AIM: The study aimed to compare the risk of ovarian malignancy algorithm (ROMA)
with risk of malignancy index (RMI), cancer antigen 125 (CA125), human epididymis
protein 4 (HE4) and Sassone ultrasonography (US) score in predicting ovarian
cancer (OC) in women who present with pelvic or adnexal masses. METHODS: Pelvic
US, serum CA125 and HE4 levels were investigated preoperatively in consecutively
enrolled Thai women over 18 years with clinically diagnosed pelvic or adnexal
masses who were undergoing elective surgery at a super tertiary hospital in
Thailand in 2012. ROMA, RMI and Sassone US score were calculated. RESULTS: Of 260
women who were evaluated, 74 had OC. Areas under the receiver operating
characteristic curve (AUC) in predicting OC were ROMA, 86.2%; RMI, 87.6%; CA125,
80.6%; HE4, 82.4%; and Sassone score, 77.1%. ROMA and RMI performed similarly
well (AUCs 84.4 and 85.6%) in premenopausal women but RMI outperformed ROMA in
postmenopausal women (AUCs 87.9 and 84.0%, respectively). At the conventional
cutoff value, ROMA and CA125 showed the highest sensitivity (83.8%) but HE4 had
the highest specificity (86.0%). CONCLUSIONS: ROMA was comparable to RMI in
predicting OC. Either ROMA or RMI can be applied to women with pelvic masses,
stratified into low- and high-risk groups for OCs.
PMID- 27197525
TI - Attention deficit-hyperactivity disorder is associated with reduced blood
pressure and serum vitamin D levels: results from the nationwide German Health
Interview and Examination Survey for Children and Adolescents (KiGGS).
AB - Alterations in blood pressure in attention-deficit/hyperactivity disorder (ADHD),
specifically during dopaminergic stimulant intake, are not fully understood. It
has been reported that vitamin D deficiency might modify dopaminergic pathways
and thus influence ADHD symptoms. Using data from the nationwide German Health
Interview and Examination Survey for Children and Adolescents (KiGGS) study, we
compared blood pressure and vitamin D levels in healthy controls to both
diagnosed ADHD patients and suspected ADHD subjects, as defined by a value of >=7
on the hyperactivity-inattention subscale of the Strengths and Difficulties
Questionnaire. In a total cohort of n = 6922 study participants aged 11-17 years,
mean arterial blood pressure was significantly higher in controls (86.7 +/- 8.2
mmHg) than in the two groups of confirmed (85.5 +/- 7.8 mmHg, p = 0.004, n = 430)
and suspected ADHD patients (84.6 +/- 8.2, p < 0.001, n = 399). In addition, we
found an inverse association between vitamin D and blood pressure in both ADHD
groups (p < 0.003). Regression analyses adjusted for age, sex, body-mass index,
psychotropic medication use, and serum vitamin D levels confirmed that low blood
pressure was a significant and independent predictor of ADHD. Furthermore, we
observed that vitamin D mediated the effect of systolic blood pressure on ADHD
diagnosis (b = 0.007, 95 % confidence interval [CI] 0.001-0.013, p = 0.021, R 2 =
0.050). In a large and representative national sample of German adolescents, we
found a significant association between low blood pressure and ADHD symptoms. In
addition, we observed that circulating vitamin D mediated the inverse relation
between blood pressure and ADHD, although the effect size was very low. These
findings highlight the role of dysregulated pathways of the autonomic nervous
system in ADHD.
PMID- 27197527
TI - Masked repetition priming hinders subsequent recollection but not familiarity: A
behavioral and event-related potential study.
AB - The present study used the masked repetition priming paradigm in the study phase
and the R/K paradigm in the test phase to investigate whether repetition priming
can hinder recognition memory and which recognition process (familiarity or
recollection) is hindered. Event-related potentials (ERPs) in the study and test
phase were recorded to explore the temporal course of how repetition priming
hinders subsequent recognition memory and which old/new effect (FN400 or LPC) is
affected. Converging behavioral and ERP results indicated that masked repetition
priming hindered subsequent recollection but not familiarity. The analysis of ERP
priming effects in the study phase indicated that primed words were associated
with less negative N400 and less positive LPC compared to unprimed words. The
analysis of the priming effect as a function of subsequent memory revealed that
only the LPC priming effect was predictive of priming effect on subsequent
memory, which suggested that the "prediction-error" account might be a possible
explanation of how repetition priming affects subsequent recognition memory.
PMID- 27197528
TI - Government is starting to recognise pressures on general practice, GPs told.
PMID- 27197529
TI - Patient-tailored analysis of minimal residual disease in acute myeloid leukemia
using next-generation sequencing.
AB - Next-generation sequencing techniques have revealed that leukemic cells in acute
myeloid leukemia often are characterized by a limited number of somatic
mutations. These mutations can be the basis for the detection of leukemic cells
in follow-up samples. The aim of this study was to identify leukemia-specific
mutations in cells from patients with acute myeloid leukemia and to use these
mutations as markers for minimal residual disease. Leukemic cells and normal
lymphocytes were simultaneously isolated at diagnosis from 17 patients with acute
myeloid leukemia using fluorescence-activated cell sorting. Exome sequencing of
these cells identified 240 leukemia-specific single nucleotide variations and 22
small insertions and deletions. Based on estimated allele frequencies and their
accuracies, 191 of these mutations qualified as candidates for minimal residual
disease analysis. Targeted deep sequencing with a significance threshold of
0.027% for single nucleotide variations and 0.006% for NPM1 type A mutation was
developed for quantification of minimal residual disease. When tested on follow
up samples from a patient with acute myeloid leukemia, targeted deep sequencing
of single nucleotide variations as well as NPM1 was more sensitive than minimal
residual disease quantification with multiparameter flow cytometry. In
conclusion, we here describe how exome sequencing can be used for identification
of leukemia-specific mutations in samples already at diagnosis of acute myeloid
leukemia. We also show that targeted deep sequencing of such mutations, including
single nucleotide variations, can be used for high-sensitivity quantification of
minimal residual disease in a patient-tailored manner.
PMID- 27197532
TI - Anidulafungin-Induced Suicidal Erythrocyte Death.
AB - BACKGROUND/AIMS: The novel antifungal drug Anidulafungin is used for the
treatment of diverse fungal infections including candidiasis and aspergillosis.
The traditional antifungal drug amphotericin B has previously been shown to
trigger eryptosis, the suicidal death of erythrocytes characterized by cell
shrinkage and cell membrane scrambling with phosphatidylserine translocation to
the erythrocyte surface. Triggers of eryptosis include increase of cytosolic Ca2+
activity ([Ca2+]i), oxidative stress, ceramide, activated protein kinase C (PKC),
casein kinase 1alpha or p38 kinase and activated caspases. Inhibitors of
eryptosis include nitric oxide (NO). The present study explored, whether
Anidulafungin induces eryptosis. METHODS: Flow cytometry was employed to estimate
phosphatidylserine abundance at the erythrocyte surface from annexin-V-binding,
cell volume from forward scatter, [Ca2+]i from Fluo3-fluorescence, abundance of
reactive oxygen species (ROS) from DCFDA dependent fluorescence, and ceramide
abundance at the erythrocyte surface utilizing specific antibodies. Hemolysis was
quantified by measuring haemoglobin concentration in the supernatant. RESULTS: A
48 hours exposure of human erythrocytes to Anidulafungin (1.5 - 6 ug/ml)
significantly increased hemolysis and the percentage of annexin-V-binding cells,
and significantly decreased forward scatter. Anidulafungin (6 ug/ml) slightly,
but significantly inceased Fluo3-fluorescence and the effect of Anidulafungin on
annexin-V-binding was slightly, but significantly blunted by removal of
extracellular Ca2+. The effect of Anidulafungin on annexin-V-binding was further
significantly blunted by the p38 kinase inhibitor SB203580 (2 uM) and NO donor
nitroprusside (1 uM). An increase of extracellular K+ concentration significantly
blunted the effect of Anidulafungin on cell volume but not on annexin-V-binding.
Anidulafungin rather decreased DCFDA fluorescence and the effect of Anidulafungin
on annexin-V-binding was not significantly blunted by the antioxidant N
acetylcysteine (1 mM). Moreover, the effect of Anidulafungin on annexin-V-binding
was not paralleled by significant increase of ceramide abundance and was not
significantly blunted by PKC inhibitor staurosporine (1 uM), casein kinase 1alpha
inhibitor D4476 (10 uM) or pancaspase inhibitor zVAD (10 uM). CONCLUSIONS:
Anidulafungin triggers hemolysis and eryptosis with cell shrinkage and
phospholipid scrambling of the erythrocyte cell membrane, an effect in part due
to Ca2+ entry and activation of p38 kinase.
PMID- 27197533
TI - Gender Transformative Approaches to Engaging Men in Gender-Based Violence
Prevention: A Review and Conceptual Model.
AB - Engaging men and boys as participants and stakeholders in gender-based violence
(GBV) prevention initiatives is an increasingly institutionalized component of
global efforts to end GBV. Accordingly, evidence of the impact of men's
engagement endeavors is beginning to emerge, particularly regarding interventions
aimed at fostering gender equitable and nonviolent attitudes and behaviors among
men. This developing evidence base suggests that prevention programs with a
"gender transformative" approach, or an explicit focus on questioning gender
norms and expectations, show particular promise in achieving GBV prevention
outcomes. Interventions targeting attitude and behavior change, however,
represent just one kind of approach within a heterogeneous collection of
prevention efforts around the globe, which can also include community
mobilization, policy change, and social activism. The degree to which gender
transformative principles inform this broader spectrum of men's engagement work
is unclear. The goals of this article are twofold. First, we offer a conceptual
model that captures and organizes a broader array of men's antiviolence
activities in three distinct but interrelated domains: (1) initial outreach and
recruitment of previously unengaged males, (2) interventions intended to promote
gender-equitable attitudes and behavior among men, and (3) gender equity-related
social action aimed at eradicating GBV, inclusive of all genders' contributions.
Second, we review empirical literature in each of these domains. Across these two
goals, we critically assess the degree to which gender transformative principles
inform efforts within each domain, and we offer implications for the continuing
conceptualization and assessment of efforts to increase men's participation in
ending GBV.
PMID- 27197531
TI - Extracellular ATP protects pancreatic duct epithelial cells from alcohol-induced
damage through P2Y1 receptor-cAMP signal pathway.
AB - Extracellular adenosine-5'-triphosphate (ATP) regulates cell death and survival
of neighboring cells. The detailed effects are diverse depending on cell types
and extracellular ATP concentration. We addressed the effect of ATP on ethanol
induced cytotoxicity in epithelial cells, the cell type that experiences the
highest concentrations of alcohol. Using pancreatic duct epithelial cells (PDEC),
we found that a micromolar range of ATP reverses all intracellular toxicity
mechanisms triggered by exceptionally high doses of ethanol and, thus, improves
cell viability dramatically. Out of the many purinergic receptors expressed in
PDEC, the P2Y1 receptor was identified to mediate the protective effect, based on
pharmacological and siRNA assays. Activation of P2Y1 receptors increased
intracellular cyclic adenosine monophosphate (cAMP). The protective effect of ATP
was mimicked by forskolin and 8-Br-cAMP but inhibited by a protein kinase A (PKA)
inhibitor, H-89. Finally, ATP reverted leakiness of PDEC monolayers induced by
ethanol and helped to maintain epithelial integrity. We suggest that purinergic
receptors reduce extreme alcohol-induced cell damage via the cAMP signal pathway
in PDEC and some other types of cells.
PMID- 27197530
TI - Combined effects of smoking and HPV16 in oropharyngeal cancer.
AB - BACKGROUND: Although smoking and HPV infection are recognized as important risk
factors for oropharyngeal cancer, how their joint exposure impacts on
oropharyngeal cancer risk is unclear. Specifically, whether smoking confers any
additional risk to HPV-positive oropharyngeal cancer is not understood. METHODS:
Using HPV serology as a marker of HPV-related cancer, we examined the interaction
between smoking and HPV16 in 459 oropharyngeal (and 1445 oral cavity and
laryngeal) cancer patients and 3024 control participants from two large European
multi-centre studies. Odds ratios and credible intervals [CrI], adjusted for
potential confounders, were estimated using Bayesian logistic regression.
RESULTS: Both smoking [odds ratio (OR [CrI]: 6.82 [4.52, 10.29]) and HPV
seropositivity (OR [CrI]: 235.69 [99.95, 555.74]) were independently associated
with oropharyngeal cancer. The joint association of smoking and HPV
seropositivity was consistent with that expected on the additive scale (synergy
index [CrI]: 1.32 [0.51, 3.45]), suggesting they act as independent risk factors
for oropharyngeal cancer. CONCLUSIONS: Smoking was consistently associated with
increase in oropharyngeal cancer risk in models stratified by HPV16
seropositivity. In addition, we report that the prevalence of oropharyngeal
cancer increases with smoking for both HPV16-positive and HPV16-negative persons.
The impact of smoking on HPV16-positive oropharyngeal cancer highlights the
continued need for smoking cessation programmes for primary prevention of head
and neck cancer.
PMID- 27197535
TI - Part I: Checkpoint inhibitors in cancer therapy.
PMID- 27197534
TI - Using Dual Fluorescence Reporting Genes to Establish an In Vivo Imaging Model of
Orthotopic Lung Adenocarcinoma in Mice.
AB - PURPOSE: Lung adenocarcinoma is characterized by a poor prognosis and high
mortality worldwide. In this study, we purposed to use the live imaging
techniques and a reporter gene that generates highly penetrative near-infrared
(NIR) fluorescence to establish a preclinical animal model that allows in vivo
monitoring of lung cancer development and provides a non-invasive tool for the
research on lung cancer pathogenesis and therapeutic efficacy. PROCEDURES: A
human lung adenocarcinoma cell line (A549), which stably expressed the dual
fluorescence reporting gene (pCAG-iRFP-2A-Venus), was used to generate
subcutaneous or orthotopic lung cancer in nude mice. Cancer development was
evaluated by live imaging via the NIR fluorescent signals from iRFP, and the
signals were verified ex vivo by the green fluorescence of Venus from the gross
lung. The tumor-bearing mice received miR-16 nucleic acid therapy by intranasal
administration to demonstrate therapeutic efficacy in this live imaging system.
RESULTS: For the subcutaneous xenografts, the detection of iRFP fluorescent
signals revealed delicate changes occurring during tumor growth that are not
distinguishable by conventional methods of tumor measurement. For the orthotopic
xenografts, the positive correlation between the in vivo iRFP signal from mice
chests and the ex vivo green fluorescent signal from gross lung tumors and the
results of the suppressed tumorigenesis by miR-16 treatment indicated that lung
tumor size can be accurately quantified by the emission of NIR fluorescence. In
addition, orthotopic lung tumor localization can be accurately visualized using
iRFP fluorescence tomography in vivo, thus revealing the trafficking of lung
tumor cells. CONCLUSIONS: We introduced a novel dual fluorescence lung cancer
model that provides a non-invasive option for preclinical research via the use of
NIR fluorescence in live imaging of lung.
PMID- 27197536
TI - Weighing up the pros and cons of immune checkpoint inhibitors in the treatment of
melanoma.
PMID- 27197537
TI - Are there, or shall we discover, biomarkers to guide PD-1 inhibition?
AB - Paolo A Ascierto and Ramon A de Mello speak to Ellen Clarke, Commissioning Editor
Despite the recent success of PD-1/PD-L1-directed immunotherapy in a number of
different malignancies, there are currently no effective biomarkers available to
predict patient response to treatment. This question is particularly important
because these immunotherapy agents are expensive and have significant toxicity
profiles. Early data are emerging on biomarkers such as PD-L1 expression;
however, it is clear that further studies are needed to identify alternative
biomarkers and to improve understanding of the host immune system and tumor
microenvironment. In a panel interview Paolo Ascierto and Ramon de Mello discuss
this important clinical question.
PMID- 27197538
TI - Checkpoint inhibition for colorectal cancer: progress and possibilities.
AB - Colorectal cancer (CRC) remains the third most common cause of cancer death in
the USA. Despite an increase in the repertoire of treatment options available for
CRC, median overall survival has plateaued at approximately 2.5 years. Strategies
that engage the patient's native immune system to overcome checkpoint inhibition
have proven to be promising in subsets of CRCs, specifically those with mismatch
repair deficiency. Further studies are required to determine combinations of
standard therapies with immunotherapy drugs and to discover the best biomarkers
to predict response. This review provides insight into the progress made in
treating patients with advanced CRC with immunotherapeutics and the areas that
demand further research to make these drugs more effective in this patient
population.
PMID- 27197540
TI - Checkpoint inhibition in meningiomas.
AB - Meningiomas are increasingly appreciated to share similar features with other
intra-axial central nervous system neoplasms as well as systemic cancers. Immune
checkpoint inhibition has emerged as a promising therapy in a number of cancers,
with durable responses of years in a subset of patients. Several lines of
evidence support a role for immune-based therapeutic strategies in the management
of meningiomas, especially high-grade subtypes. Meningiomas frequently originate
juxtaposed to venous sinuses, where an anatomic conduit for lymphatic drainage
resides. Multiple populations of immune cells have been observed in meningiomas.
PD-1/PD-L1 mediated immunosuppression has been implicated in high-grade
meningiomas, with association between PD-L1 expression with negative prognostic
outcome. These data point to the promise of future combinatorial therapeutic
strategies in meningioma.
PMID- 27197541
TI - PD-1 and PD-L1 inhibitors in melanoma treatment: past success, present
application and future challenges.
AB - Anti-programmed death (PD)-1 antibodies have now become the standard of care for
advanced melanoma, with two drugs gaining US FDA approval in recent years:
nivolumab and pembrolizumab. Both have demonstrated significant activity and
durable response with a manageable toxicity profile. Despite initial success,
ongoing challenges include patient selection and predictors of response, innate
resistance and optimizing combination strategies. In this overview, we take a
closer look at the history and development of therapeutic targets to the PD-1/PD
ligand (L)1 pathway, clinical evidence, availability of biomarkers and their
limitations in clinical practice and future strategies to improve treatment
outcomes.
PMID- 27197542
TI - Targeting PD-L1 for non-small-cell lung cancer.
AB - Lung cancer is the leading cause of cancer-related death worldwide. For decades,
cytotoxic chemotherapy has been the mainstay of treatment for the majority of
patients, yet median survival remains poor and side effects from chemotherapy are
not trivial. Immune checkpoint inhibitors, which exert antitumor effects by
inhibiting negative T-cell regulators, are changing the landscape of treatment
options for patients with non-small-cell lung cancer (NSCLC). The anti-PD-1
antibodies nivolumab and pembrolizumab are approved by the US FDA for treatment
of patients with NSCLC and other tumor types. Additional agents are in clinical
development. This review provides an update on the PD-1 and PD-L1 immune
checkpoint inhibitors currently being evaluated in NSCLC patients.
PMID- 27197539
TI - Epigenetic modifiers in immunotherapy: a focus on checkpoint inhibitors.
AB - Immune surveillance should be directed to suppress tumor development and
progression, involving a balance of coinhibitory and costimulatory signals that
amplify immune response without overwhelming the host. Immunotherapy confers
durable clinical benefit in 'immunogenic tumors', whereas in other tumors the
responses are modest. Thus, immune checkpoint inhibitors may need to be combined
with strategies to boost immune response or increase the tumor immune profile.
Epigenetic aberrations contribute significantly to carcinogenesis. Recent
findings suggest that epigenetic drugs prime the immune response by increasing
expression of tumor-associated antigens and immune-related genes, as well as
modulating chemokines and cytokines involved in immune system activation. This
review describes our current understanding regarding epigenetic and immunotherapy
combination, focusing on immune response priming to checkpoint blockade.
PMID- 27197543
TI - The Centrality of Events Scale in Portuguese Adolescents: Validity Evidence Based
on Internal Structure and on Relations to Other Variables.
AB - We explored the measurement model of the adolescent version of the Centrality of
Event Scale and its invariance across community ( n = 1,079; 42.8% male),
referred for foster care ( n = 205; 58.0% male), and detained ( n = 206 male)
adolescent participants. Results indicated a three-factor measurement model,
including all three functions that memories of significant life events may have,
as a good fit to our data, particularly for male participants. This measurement
model was invariant across boys taken from those different samples but not across
gender. As for the short version of the instrument, a one-factor solution was the
best fit to our data. It was invariant across boys taken from those different
samples and across gender. Boys and girls expressed similar experiences, whereas
community male adolescents reported the lowest impact of a meaningful event, in
comparison with referred and with detained boys. These findings provide evidence
on the validity of the scale for use with diverse adolescent samples, which may
contribute for a better understanding of the impact that significant life events
may have on the development of gender-specific and group-specific
vulnerabilities.
PMID- 27197544
TI - Real-Time Bioluminescence Imaging of Nitroreductase in Mouse Model.
AB - Nitroreductase (NTR) is an endogenous reductase overexpressed in hypoxic tumors;
however, its precise detection in living cells and animals remains a considerable
challenge. Herein, we developed three reaction-based probes and a related
bioluminescence assay for the real-time NTR detection. The high sensitivity and
selectivity of probe 3, combined with its remarkable potential of bioluminescence
imaging, affords a valuable approach for in vivo imaging of NTR in a tumor model
mouse.
PMID- 27197545
TI - Exploring phenotype patterns of breast cancer within somatic mutations: a modicum
in the intrinsic code.
AB - Triple-negative (TN) breast cancer (BC) patients have limited treatment options
and poor prognosis even after extant treatments and standard chemotherapeutic
regimens. Linking TN patients to clinically known phenotypes with appropriate
treatments is vital. Location-specific sequence variants are expected to be
useful for this purpose by identifying subgroups within a disease population.
Single gene mutational signatures have been widely reported, with related
phenotypes in literature. We thoroughly survey currently available mutations (and
mutated genes), linked to BC phenotypes, to demonstrate their limited performance
as sole predictors/biomarkers to assign phenotypes to patients. We then explore
mutational combinations, as a pilot study, using The Cancer Genome Atlas Research
Network mutational data of BC and three machine learning methods: association
rules (limitless arity multiple procedure), decision tree and hierarchical
disjoint clustering. The study results in a patient classification scheme through
combinatorial mutations in Phosphatidylinositol-4,5-Bisphosphate 3-Kinase and
tumor protein 53, being consistent with all three methods, implying its validity
from a diverse viewpoint. However, it would warrant further research to select
multi-gene signatures to identify phenotypes specifically and be clinically used
routinely.
PMID- 27197546
TI - Metal Doping Effect of the M-Co2P/Nitrogen-Doped Carbon Nanotubes (M = Fe, Ni,
Cu) Hydrogen Evolution Hybrid Catalysts.
AB - The enhancement of catalytic performance of cobalt phosphide-based catalysts for
the hydrogen evolution reaction (HER) is still challenging. In this work, the
doping effect of some transition metal (M = Fe, Ni, Cu) on the electrocatalytic
performance of the M-Co2P/NCNTs (NCNTs, nitrogen-doped carbon nanotubes) hybrid
catalysts for the HER was studied systematically. The M-Co2P/NCNTs hybrid
catalysts were synthesized via a simple in situ thermal decomposition process. A
series of techniques, including X-ray diffraction, X-ray photoelectron
spectroscopy, inductively coupled plasma-optical emission spectrometry,
transmission electron microscopy, and N2 sorption were used to characterize the
as-synthesized M-Co2P/NCNTs hybrid catalysts. Electrochemical measurements showed
the catalytic performance according to the following order of Fe-Co2P/NCNTs > Ni
Co2P/NCNTs > Cu-Co2P/NCNTs, which can be ascribed to the difference of structure,
morphology, and electronic property after doping. The doping of Fe atoms promote
the growth of the [111] crystal plane, resulting in a large specific area and
exposing more catalytic active sites. Meanwhile, the Fe(delta+) has the highest
positive charge among all the M-Co2P/NCNTs hybrid catalysts after doping. All
these changes can be used to contribute the highest electrocatalytic activity of
the Fe-Co2P/NCNTs hybrid catalyst for HER. Furthermore, an optimal HER
electrocatalytic activity was obtained by adjusting the doping ratio of Fe atoms.
Our current research indicates that the doping of metal is also an important
strategy to improve the electrocatalytic activity for the HER.
PMID- 27197547
TI - Inpatient Psychiatric Care: Families' Expectations and Perceptions of Support
Received From Health Professionals.
AB - PURPOSE: The purpose of this paper was to identify challenges of families caring
for loved ones prior to inpatient psychiatric care, understand families'
perceptions of support received from psychiatric health professionals, and
identify ways for professionals to support families. CONCLUSIONS: Families
experienced many challenges prior to hospital admission. There was a large gap
between families' expectations and perceptions of actual support received by
psychiatric health professionals. PRACTICE IMPLICATIONS: Nurses are well
positioned to educate, advocate, and support overburdened families. Greater
knowledge of families' challenges would enable nurses to anticipate and meet
their needs.
PMID- 27197549
TI - The crucial importance of long-term follow-up for Ebola virus survivors.
PMID- 27197548
TI - Novel Vector Design and Hexosaminidase Variant Enabling Self-Complementary Adeno
Associated Virus for the Treatment of Tay-Sachs Disease.
AB - GM2 gangliosidosis is a family of three genetic neurodegenerative disorders
caused by the accumulation of GM2 ganglioside (GM2) in neuronal tissue. Two of
these are due to the deficiency of the heterodimeric (alpha-beta), "A" isoenzyme
of lysosomal beta-hexosaminidase (HexA). Mutations in the alpha-subunit (encoded
by HEXA) lead to Tay-Sachs disease (TSD), whereas mutations in the beta-subunit
(encoded by HEXB) lead to Sandhoff disease (SD). The third form results from a
deficiency of the GM2 activator protein (GM2AP), a substrate-specific cofactor
for HexA. In their infantile, acute forms, these diseases rapidly progress with
mental and psychomotor deterioration resulting in death by approximately 4 years
of age. After gene transfer that overexpresses one of the deficient subunits, the
amount of HexA heterodimer formed would empirically be limited by the
availability of the other endogenous Hex subunit. The present study used a new
variant of the human HexA alpha-subunit, MU, incorporating critical sequences
from the beta-subunit that produce a stable homodimer (HexM) and promote
functional interactions with the GM2AP- GM2 complex. We report the design of a
compact adeno-associated viral (AAV) genome using a synthetic promoter-intron
combination to allow self-complementary (sc) packaging of the HEXM gene. Also, a
previously published capsid mutant, AAV9.47, was used to deliver the gene to
brain and spinal cord while having restricted biodistribution to the liver. The
novel capsid and cassette design combination was characterized in vivo in TSD
mice for its ability to efficiently transduce cells in the central nervous system
when delivered intravenously in both adult and neonatal mice. This study
demonstrates that the modified HexM is capable of degrading long-standing GM2
storage in mice, and it further demonstrates the potential of this novel scAAV
vector design to facilitate widespread distribution of the HEXM gene or
potentially other similar-sized genes to the nervous system.
PMID- 27197550
TI - Schistosomiasis transmission in Europe.
PMID- 27197551
TI - Outbreak of urogenital schistosomiasis in Corsica (France): an epidemiological
case study.
AB - BACKGROUND: Schistosomiasis is a snail-borne parasitic disease endemic in several
tropical and subtropical countries. However, in the summer of 2013, an unexpected
outbreak of urogenital schistosomiasis occurred in Corsica, with more than 120
local people or tourists infected. We used a multidisciplinary approach to
investigate the epidemiology of urogenital schistosomiasis in Corsica, aiming to
elucidate the origin of the outbreak. METHODS: We did parasitological and
malacological surveys at nine potential sites of infection. With the snails
found, we carried out snail-parasite compatibility experiments by exposing snails
to schistosome larvae recovered from the urine of a locally infected Corsican
patient. Genetic analysis of both mitochondrial (cox1) and nuclear (internal
transcribed spacer) DNA data from the Schistosoma eggs or miracidia recovered
from the infected patients was conducted to elucidate the epidemiology of this
outbreak. FINDINGS: We identified two main infection foci along the Cavu River,
with many Bulinus truncatus snails found in both locations. Of the 3544 snails
recovered across all sites, none were naturally infected, but laboratory-based
experimental infections confirmed their compatibility with the schistosomes
isolated from patients. Molecular characterisation of 73 eggs or miracidia
isolated from 12 patients showed infection with Schistosoma haematobium, S
haematobium-Schistosoma bovis hybrids, and S bovis. Further sequence data
analysis also showed that the Corsican schistosomes were closely related to those
from Senegal in west Africa. INTERPRETATION: The freshwater swimming pools of the
Cavu River harbour many B truncatus snails, which are capable of transmitting S
haematobium-group schistosomes. Our molecular data suggest that the parasites
were imported into Corsica by individuals infected in west Africa, specifically
Senegal. Hybridisation between S haematobium and the cattle schistosome S bovis
had a putative role in this outbreak, showing how easily and rapidly urogenital
schistosomiasis can be introduced and spread into novel areas where Bulinus
snails are endemic, and how hybridisation could increase the colonisation
potential of schistosomes. Furthermore our results show the potential risk of
schistosomiasis outbreaks in other European areas, warranting close monitoring
and surveillance of all potential transmission foci. FUNDING: WHO, ANSES, RICET,
and the Ministry of Health and Consumption.
PMID- 27197553
TI - The development of the MRI linac system for online MRI-guided radiotherapy: a
clinical update.
PMID- 27197552
TI - Viraemia and Ebola virus secretion in survivors of Ebola virus disease in Sierra
Leone: a cross-sectional cohort study.
AB - BACKGROUND: In survivors of Ebola virus disease, clinical sequelae including
uveitis, arthralgia, and fatigue are common and necessitate systematic follow-up.
However, the infection risk to health-care providers is poorly defined. Here we
report Ebola virus RT-PCR data for body site and fluid samples from a large
cohort of Ebola virus survivors at clinic follow-up. METHODS: In this cross
sectional cohort study, consecutive survivors of Ebola virus disease attending
Kerry Town survivor clinic (Freetown, Sierra Leone), who had been discharged from
the Kerry Town Ebola treatment unit, were invited to participate. We collected
and tested axillary, blood, conjunctival, forehead, mouth, rectal, semen, urine,
and vaginal specimens for presence of Ebola virus using RT-PCR. We regarded
samples to be positive for Ebola virus disease if the cycle threshold was 40 or
lower. We collected demographic data from survivors of their age, sex, time since
discharge from the treatment unit, and length of acute admission in the Ebola
treatment unit using anonymised standard forms. FINDINGS: Between April 2, and
June 16, 2015, of 151 survivors of Ebola virus disease invited to participate,
112 (74%) provided consent. The median age of participants was 21.5 years (IQR 14
31.5) with 34 (30%) participants younger than 16 years. 50 (45%) of 112
participants were male. We tested a total of 555 specimens: 103 from the axilla,
93 from blood, 92 from conjunctiva, 54 from forehead, 105 from mouth, 17 from the
rectum, one from semen, 69 from urine, and 21 from the vagina. The median time
from Ebola treatment unit discharge to specimen collection was 142 days (IQR 127
159). 15 participants had a total of 74 swabs taken less than 100 days from
discharge. The semen sample from one participant tested positive for Ebola virus
at 114 days after discharge from the treatment unit; specimens taken from the
axilla, blood, conjunctiva, forehead, mouth, rectum, and urine of the same
participant tested negative. All specimens from the other 111 participants tested
negative. INTERPRETATION: Patients recovering from Ebola virus disease who do not
meet the case definition for acute disease pose a low infection risk to health
care providers 6 weeks after clearance of viraemia. Personal protective equipment
after this time might be limited to standard barrier precautions, unless contact
with fluids from sanctuary sites is envisaged. FUNDING: Save the Children
International, Public Health England.
PMID- 27197555
TI - Comparing three stochastic search algorithms for computational protein design:
Monte Carlo, replica exchange Monte Carlo, and a multistart, steepest-descent
heuristic.
AB - Computational protein design depends on an energy function and an algorithm to
search the sequence/conformation space. We compare three stochastic search
algorithms: a heuristic, Monte Carlo (MC), and a Replica Exchange Monte Carlo
method (REMC). The heuristic performs a steepest-descent minimization starting
from thousands of random starting points. The methods are applied to nine test
proteins from three structural families, with a fixed backbone structure, a
molecular mechanics energy function, and with 1, 5, 10, 20, 30, or all amino
acids allowed to mutate. Results are compared to an exact, "Cost Function
Network" method that identifies the global minimum energy conformation (GMEC) in
favorable cases. The designed sequences accurately reproduce experimental
sequences in the hydrophobic core. The heuristic and REMC agree closely and
reproduce the GMEC when it is known, with a few exceptions. Plain MC performs
well for most cases, occasionally departing from the GMEC by 3-4 kcal/mol. With
REMC, the diversity of the sequences sampled agrees with exact enumeration where
the latter is possible: up to 2 kcal/mol above the GMEC. Beyond, room temperature
replicas sample sequences up to 10 kcal/mol above the GMEC, providing thermal
averages and a solution to the inverse protein folding problem. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27197556
TI - Enhancing the field emission properties of Se-doped GaN nanowires.
AB - Pure and Se-doped GaN nanowires (NWs) are synthesized on Pt-coated Si(111)
substrates via chemical vapor deposition. The GaN NWs exhibit a uniform density
with an average diameter of 20-120 nm. The structure of the NWs is wurtzite
hexagonal, and the growth direction is along [0001]. Field emission measurements
show that the Se-doped GaN NWs possess a low turn-on field (2.9 V MUm(-1))
compared with the pure GaN NWs (7.0 V MUm(-1)). In addition, density functional
theory calculations indicate that the donor states near the Fermi level are
mainly formed through the hybridization between Se 4p and N 2p orbitals and that
the Fermi level move towards the vacuum level. Consequently, the work functions
of Se-doped GaN NWs are lower than those of pure GaN NWs.
PMID- 27197557
TI - Legitimate intermediates of oxygen evolution on iridium oxide revealed by in situ
electrochemical evanescent wave spectroscopy.
AB - Understanding how the four-electron oxidation of water to dioxygen proceeds in
different materials is critical to the rational design of efficient catalysts
towards artificial photosynthetic systems. Here, using in situ electrochemical
evanescent wave spectroscopy under oxygen-evolving conditions, we report two
intermediates of iridium oxide (IrOx), which is the most active and stable
catalyst characterized to date in acidic medium. The observed potential
dependence of the two intermediates indicated that they were associated with
different surface sites, and intermediate scavenging experiments using H2O2
provided insight into their role during catalysis. Notably, an Ir(V) species with
an absorption maximum at 450 nm was found to mediate the initial two-electron
oxidation of water. Inhibition of the Ir(V) species by H2O2, combined with
computational modeling, indicates that the accumulation and concurrent spin-state
change of the Ir(V) species is a prerequisite for efficient water oxidation by
IrOx electrodes.
PMID- 27197554
TI - Possible mechanisms of host resistance to Haemonchus contortus infection in sheep
breeds native to the Canary Islands.
AB - Haemonchus contortus appears to be the most economically important helminth
parasite for small ruminant production in many regions of the world. The two
sheep breeds native to the Canary Islands display distinctly different resistant
phenotypes under both natural and experimental infections. Canaria Hair Breed
(CHB) tends to have significantly lower worm burden and delayed and reduced egg
production than the susceptible Canaria Sheep (CS). To understand molecular
mechanisms underlying host resistance, we compared the abomasal mucosal
transcriptome of the two breeds in response to Haemonchus infection using RNAseq
technology. The transcript abundance of 711 and 50 genes were significantly
impacted by infection in CHB and CS, respectively (false discovery rate <0.05)
while 27 of these genes were significantly affected in both breeds. Likewise, 477
and 16 Gene Ontology (GO) terms were significantly enriched in CHB and CS,
respectively (P < 1.0 * 10(-4)). A broad range of mechanisms have evolved in
resistant CHB to provide protection against the parasite. Our findings suggest
that readily inducible acute inflammatory responses, complement activation,
accelerated cell proliferation and subsequent tissue repair, and immunity
directed against parasite fecundity all contributed to the development of host
resistance to parasitic infection in the resistant breed.
PMID- 27197558
TI - The autophagy-related genes BbATG1 and BbATG8 have different functions in
differentiation, stress resistance and virulence of mycopathogen Beauveria
bassiana.
AB - Autophagy-related proteins play significantly different roles in eukaryotes. In
the entomopathogenic fungus Beauveria bassiana, autophagy is associated with
fungal growth and development. BbATG1 (a serine/threonine protein kinase) and
BbATG8 (a ubiquitin-like protein) have similar roles in autophagy, but different
roles in other processes. Disruption mutants of BbATG1 and BbATG8 had impaired
conidial germination under starvation stress. The mutant DeltaBbATG8 exhibited
enhanced sensitivity to oxidative stress, while a DeltaBbATG1 mutant did not.
BbATG1 and BbATG8 showed different roles in spore differentiation. The
blastospore yield was reduced by 70% and 92% in DeltaBbATG1 and DeltaBbATG8
mutants, respectively, and the double mutant had a reduction of 95%. Conidial
yield was reduced by approximately 90% and 50% in DeltaBbATG1 and DeltaBbATG8
mutants, respectively. A double mutant had a reduction similar to DeltaBbATG1.
Additionally, both BbATG1 and BbATG8 affected the levels of conidial protein
BbCP15p required for conidiation. The virulence of each autophagy-deficient
mutant was considerably weakened as indicated in topical and intrahemocoel
injection assays, and showed a greater reduction in topical infection. However,
BbATG1 and BbATG8 had different effects on fungal virulence. Our data indicate
that these autophagy-related proteins have different functions in fungal stress
response, asexual development and virulence.
PMID- 27197559
TI - Cyp2aa9 regulates haematopoietic stem cell development in zebrafish.
AB - Definitive haematopoiesis occurs during the lifetime of an individual, which
continuously replenishes all blood and immune cells. During embryonic
development, haematopoietic stem cell (HSC) formation is tightly controlled by
growth factors, signalling molecules and transcription factors. But little is
known about roles of the cytochrome P450 (CYP) 2 family member in the
haematopoiesis. Here we report characterization and functional studies of
Cyp2aa9, a novel zebrafish Cyp2 family member. And demonstrate that the cyp2aa9
is required for the HSC formation and homeostasis. Knockdown of cyp2aa9 by
antisense morpholino oligos resulted the definitive HSC development is defective
and the Wnt/beta-catenin activity becomes reduced. The impaired HSC formation
caused by cyp2aa9 morpholino can be rescued by administration of PGE2 through the
cAMP/PKA pathway. Furthermore, the in vivo PGE2 level decreases in the cyp2aa9
morphants, and none of the PGE2 precursors is able to rescue phenotypes in the
Cyp2aa9-deficient embryos. Taken together, these data indicate that Cyp2aa9 is
functional in the step of PGE2 synthesis from PGH2, thus promoting Wnt activation
and definitive HSC development.
PMID- 27197560
TI - Reduction of dietary lysine increases free glutamate content in chicken meat and
improves its taste.
AB - Taste is a crucial factor of meat quality, and amino acids are important taste
active components in meat. Here, the effects of dietary lysine (Lys) content on
taste-active components in meat, especially free glutamate (Glu), were
investigated. Twenty-eight-day-old broilers (Gallus gallus) were fed diets with
graded Lys content of 90% or 100% of the recommended Lys requirement, (according
to the National Research Council, ) for 10 days. Free amino acid content in meat
and sensory scores of meat soup were estimated. Free Glu content, the main taste
active component of meat, was significantly increased by a reduction of dietary
Lys. Compared with the Lys 100% group (control), free Glu concentrations of meat
were increased by 35.7% in the Lys 90% group (P < 0.05). In addition, free
glycine, valine, isoleucine, leucine, histidine and threonine concentrations of
meat were significantly increased in the Lys 90% group (P < 0.05). Sensory
evaluation of meat soup made from the Lys 100% and 90% groups indicated different
meat tastes. Sensory scores of taste intensity, umami and kokumi tastes were
significantly higher in the Lys 90% group. These results suggest that a reduction
of dietary lysine increased free glutamate content in meat and improved its
taste.
PMID- 27197562
TI - Equating spatial summation in visual field testing reveals greater loss in optic
nerve disease.
AB - PURPOSE: To test the hypothesis that visual field assessment in ocular disease
measured with target stimuli within or close to complete spatial summation
results in larger threshold elevation compared to when measured with the standard
Goldmann III target size. The hypothesis predicts a greater loss will be
identified in ocular disease. Additionally, we sought to develop a theoretical
framework that would allow comparisons of thresholds with disease progression
when using different Goldmann targets. METHODS: The Humphrey Field Analyser (HFA)
30-2 grid was used in 13 patients with early/established optic nerve disease
using the current Goldmann III target size or a combination of the three smallest
stimuli (target size I, II and III). We used data from control subjects at each
of the visual field locations for the different target sizes to establish the
number of failed points (events) for the patients with optic nerve disease, as
well as global indices for mean deviation (MD) and pattern standard deviation
(PSD). RESULTS: The 30-2 visual field testing using alternate target size stimuli
showed that all 13 patients displayed more defects (events) compared to the
standard Goldmann III target size. The median increase for events was seven
additional failed points: (range 1-26). The global indices also increased when
the new testing approach was used (MD -3.47 to -6.25 dB and PSD 4.32 to 6.63 dB).
Spatial summation mapping showed an increase in critical area (Ac) in disease and
overall increase in thresholds when smaller target stimuli were used.
CONCLUSIONS: When compared to the current Goldmann III paradigm, the use of
alternate sized targets within the 30-2 testing protocol revealed a greater loss
in patients with optic nerve disease for both event analysis and global indices
(MD and PSD). We therefore provide evidence in a clinical setting that target
size is important in visual field testing.
PMID- 27197561
TI - Expression of an Oncogenic BARD1 Splice Variant Impairs Homologous Recombination
and Predicts Response to PARP-1 Inhibitor Therapy in Colon Cancer.
AB - BRCA1-associated RING domain protein 1 (BARD1) stabilizes BRCA1 protein by
forming a heterodimeric RING-RING complex, and impacts function of BRCA1,
including homologous recombination (HR) repair. Although colon cancer cells
usually express wild type BRCA1, presence of an oncogenic BARD1 splice variant
(SV) in select cancers may render BRCA1 dysfunctional and allow cells to become
sensitive to HR targeting therapies. We previously reported association of loss
of full-length (FL) BARD1 with poor prognosis in colon cancer as well as
expression of various BARD1 SVs with unknown function. Here we show that loss of
BARD1 function through the expression of a BARD1 SV, BARD1beta, results in a more
malignant phenotype with decreased RAD51 foci formation, reduced BRCA1 E3
ubiquitin ligase activity, and decreased nuclear BRCA1 protein localization.
BARD1beta sensitizes colon cancer cells to poly ADP ribose polymerase 1 (PARP-1)
inhibition even in a FL BRCA1 background. These results suggest that expression
of BARD1beta may serve as a future biomarker to assess suitability of colon
cancers for HR targeting with PARP-1 inhibitors in treatment of advanced colon
cancer.
PMID- 27197563
TI - Positive community responses to an arts-health program designed to tackle
diabetes and kidney disease in remote Aboriginal communities in Australia: a
qualitative study.
AB - OBJECTIVE: The Western Desert Kidney Health Project (WDKHP) is an innovative
clinical screening, arts-health and community development program, staffed by
Aboriginal health workers. The WDKHP is aimed at prevention and early detection,
improving the chance of better management of kidney disease among people in 10
predominantly Aboriginal communities in rural Western Australia. This paper aimed
to understand community responses to the WDKHP in three of these communities.
METHODS: Interviews were undertaken with 26 Aboriginal people living in three
remote communities. Community responses were analysed with attention to the
social organisation of participants in each community and a focus on the
perspectives of key groups, identified here as 'Community Leaders',
'Homelanders', 'Refuge Seekers' and 'Dislocated'. RESULTS: Participants from all
groups reported that the WDKHP was highly acceptable, and an effective means of
drawing attention to the need for prevention, early detection and management of
diabetes and kidney disease. The integration of Aboriginal health workers to
explain the project contributed to the high rates of participation in clinical
screening. CONCLUSIONS: Outreach clinical services can be an appropriate method
of engaging people in remote communities in addressing diabetes and kidney
disease. IMPLICATIONS: The remote community setting can act as an 'enabler' of
healthy lifestyle for Aboriginal people, particularly when augmented by well
designed outreach programs.
PMID- 27197565
TI - Dual-emitting quantum dot/carbon nanodot-based nanoprobe for selective and
sensitive detection of Fe(3+) in cells.
AB - A novel dual-emitting fluorescence probe is developed for rapid and
ultrasensitive detection of Fe(3+). The nanoprobe is prepared by coating CdSe
semiconductor quantum dots (SQDs) onto the surface of carbon nanodot (CND) doped
TiO2 microspheres. The as-prepared nanoprobe exhibits the corresponding dual
emissions at 436 and 596 nm for CNDs and CdSe, respectively, under a single
excitation wavelength. The blue fluorescence of the CNDs is insensitive to
Fe(3+), whereas the orange emission of the CdSe SQDs is functionalized to be
selectively quenched by Fe(3+). The intensity ratio of I436/I596 shows a good
linear relationship with the concentration of Fe(3+) in the range of 10(-9) to
10(-5) M. The nanoprobe provides an effective platform for the reliable detection
of Fe(3+) with a detection limit as low as 10 nM. Besides, this ratiometric
nanosensor exhibits good selectivity for Fe(3+) over other metal ions. The
results reveal that the nanoprobe could provide a sensitive sensor for rapid
detection of Fe(3+) with high selectivity and sensitivity. Moreover, 293T cells
are used as models to achieve a potential application as a probe for monitoring
Fe(3+) in cells. Thus, these dual-emitting nanoprobes could work as an
alternative to conventional fluorescence probes for biolabeling, sensing and
other applications.
PMID- 27197564
TI - Prevalence of reported physical health problems in people with severe or profound
intellectual and motor disabilities: a cross-sectional study of medical records
and care plans.
AB - BACKGROUND: People with severe or profound intellectual and motor disabilities
(SPIMD) experience numerous serious physical health problems and comorbidities.
Knowledge regarding the prevalence of these problems is needed in order to detect
and treat them at an early stage. Data concerning these problems in individuals
with SPIMD are limited. Therefore, the aim of this study was to determine the
prevalence of reported physical health problems in adults with SPIMD through a
review of medical records and care plans. METHOD: We conducted a cross-sectional
study employing data obtained from medical and support records. A sample of
adults with SPIMD was recruited in eight residential care settings. Physical
health problems that had occurred during the previous 12 months or were chronic
were recorded. RESULTS: The records of 99 participants were included. A wide
range of physical health problems were found with a mean of 12 problems per
person. Very high prevalence rates (>50%) were found for constipation, visual
impairment, epilepsy, spasticity, deformations, incontinence and reflux.
CONCLUSIONS: The results suggest that people with SPIMD simultaneously experience
numerous, serious physical health problems. The reliance on reported problems may
cause an underestimation of the prevalence of health problems with less visible
signs and symptoms such as osteoporosis and thyroid dysfunction.
PMID- 27197566
TI - Sugar concentration in nectar: a quantitative metric of crop attractiveness for
refined pollinator risk assessments.
AB - Those involved with pollinator risk assessment know that agricultural crops vary
in attractiveness to bees. Intuitively, this means that exposure to agricultural
pesticides is likely greatest for attractive plants and lowest for unattractive
plants. While crop attractiveness in the risk assessment process has been
qualitatively remarked on by some authorities, absent is direction on how to
refine the process with quantitative metrics of attractiveness. At a high level,
attractiveness of crops to bees appears to depend on several key variables,
including but not limited to: floral, olfactory, visual and tactile cues;
seasonal availability; physical and behavioral characteristics of the bee; plant
and nectar rewards. Notwithstanding the complexities and interactions among these
variables, sugar content in nectar stands out as a suitable quantitative metric
by which to refine pollinator risk assessments for attractiveness. Provided
herein is a proposed way to use sugar nectar concentration to adjust the exposure
parameter (with what is called a crop attractiveness factor) in the calculation
of risk quotients in order to derive crop-specific tier I assessments. This
Perspective is meant to invite discussion on incorporating such changes in the
risk assessment process. (c) 2016 The Authors. Pest Management Science published
by John Wiley & Sons Ltd on behalf of Society of Chemical Industry.
PMID- 27197567
TI - Developing Services for Patients with Depression or Anxiety in the Context of
Long-term Physical Health Conditions and Medically Unexplained Symptoms:
Evaluation of an IAPT Pathfinder Site.
AB - BACKGROUND: There are national policy drivers for mental health services to
demonstrate that they are effectively meeting the psychological needs of people
with long-term health conditions/medically unexplained symptoms (LTC/MUS). AIMS:
To evaluate the implementation of a stepped-care service delivery model within an
Improving Access to Psychological Therapies (IAPT) service for patients with
depression or anxiety in the context of their LTC/MUS. METHOD: A stepped-care
model was designed and implemented. Clinical and organizational impacts were
evaluated via analyses of LTC/MUS patient profiles, throughputs and outcomes.
RESULTS: The IAPT service treated N = 844 LTC and N = 172 MUS patients, with the
majority (81.81%) receiving a low intensity intervention. Dropout across the
service steps was low. There were few differences between LTC and MUS outcome
rates regardless of step of service, but outcomes were suppressed when compared
to generic IAPT patients. CONCLUSIONS: The potential contribution of IAPT stepped
care service delivery models in meeting the psychological needs of LTC/MUS
patients is debated.
PMID- 27197568
TI - Metabolic control of asyMYCtric division.
AB - Asymmetric division in CD8 T cells produces two daughter cells expressing
different levels of c-Myc with distinct metabolic profiles. Manipulating this
asymmetric partitioning of c-Myc skews T cell responses and potentially allows
the development of more effective vaccines and cancer immunotherapies.
PMID- 27197569
TI - Adenovirus infection among allogeneic stem cell transplant recipients.
AB - The human adenovirus (HAdV) infection can cause severe disease in
immunocompromised patients, such as those undergoing allogeneic hematopoietic
stem cell transplant (ASCT). The main objective of this study was to
prospectively monitor ASCT recipients for HAdV occurrence in a reference center
in Brazil, and also to correlate viral positivity, viral load, molecular variant,
clinical symptoms, and patients' prognosis. From October/2012 to October/2014,
blood and feces of 21 ASCT recipients were screened for HAdV by Nested-PCR. Viral
loads were determined by real-time PCR. In total, 57% of the patients had at
least one positive sample (serum or feces) for HAdV. Patients presented
significantly higher viral load in feces when compared to serum. Positive samples
were characterized as HAdVs of species HAdV-C, -D, and -F. The main clinical
symptom presented by infected patients was diarrhea, and Graft-versus-host
disease (GVHD) was the main intercurrence. An association was observed between
HAdV-positivity and diarrhea and also between HAdV-positivity and GVHD. Results
from this study may contribute to a better understanding of the HAdV infection
pattern in patients submitted to ASCT. Data therein highlight the importance of
including HAdV testing during all routine laboratory exams performed on ASCT
patients. J. Med. Virol. 89:298-303, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27197570
TI - Halofuginone reduces the inflammatory responses of DSS-induced colitis through
metabolic reprogramming.
AB - Hypoxia and inflammation have been identified as the hallmarks of colitis,
intertwined with metabolism. Here, we report that halofuginone (HF), an
antiparasitic drug, attenuates dextran sulfate sodium (DSS)-induced colitis in
mice, as represented by attenuating the disease activity index, inhibiting
colonic shortening, ameliorating colonic lesions and histological signs of
damage, reducing colonic myeloperoxidase activity, and suppressing the production
of pro-inflammatory cytokines in colon tissue. Intriguingly, the hypoxia
inducible factor 1alpha (HIF-1alpha) and tumor necrosis factor alpha were also
suppressed by HF treatment in colon tissues, exhibiting a tissue-specific effect.
To further reveal the metabolic signatures upon HF treatment, mass spectrometry
based metabolomic analysis of the small molecular metabolites in liver, spleen
and colon tissues was performed. As a result, we found that HF treatment
counteracted the levels of acylcarnitines, including palmitoyl-l-carnitine,
isobutyrylcarnitine, vaccenylcarnitine, and myristoylcarnitine, in colon tissues
with DSS induction, but no significant change in the levels of acylcarnitines was
observed in liver or spleen tissues. The metabolic signatures may indicate that
incomplete fatty acid oxidation (FAO) in the colon could be restored upon HF
treatment as the tissue-specific metabolic characterization. Taken together, our
findings uncovered that the HF potentiated anti-inflammatory effect in DSS
induced colitis in mice and its underlying mechanisms could be associated with
the inhibition of HIF-1alpha and reduced levels of acylcarnitines, suggesting
that both the inhibition of HIF-1alpha and the counteraction of incomplete FAO
might be useful in the prevention and treatment of inflammatory bowel disease.
PMID- 27197571
TI - Binding of Chromium(III) to Transferrin Could Be Involved in Detoxification of
Dietary Chromium(III) Rather than Transport of an Essential Trace Element.
AB - Cr(III) binding to transferrin (Tf; the main Fe(III) transport protein) has been
postulated to mediate cellular uptake of Cr(III) to facilitate a purported
essential role for this element. Experiments using HepG2 (human hepatoma) cells,
which were chosen because of high levels of the transferrin receptor, showed that
Cr(III) binding to vacant Fe(III) -binding sites of human Tf effectively blocks
cellular Cr(III) uptake. Through bio-layer interferometry studies of the Tf
cycle, it was found that both exclusion and efflux of Cr2 (III) Tf from cells was
caused by 1) relatively low Cr2 Tf affinity to cell-surface Tf receptors compared
to Fe2 Tf, and 2) disruption of metal release under endosomal conditions and post
endosomal Tf dissociation from the receptor. These data support mounting evidence
that Cr(III) is not essential and that Tf binding is likely to be a natural
protective mechanism against the toxicity and potential genotoxicity of dietary
Cr through blocking Cr(III) cellular accumulation.
PMID- 27197572
TI - Prenatal diagnosis of congenital myopathies and muscular dystrophies.
AB - Congenital myopathies and muscular dystrophies constitute a genetically and
phenotypically heterogeneous group of rare inherited diseases characterized by
muscle weakness and atrophy, motor delay and respiratory insufficiency. To date,
curative care is not available for these diseases, which may severely affect both
life-span and quality of life. We discuss prenatal diagnosis and genetic
counseling for families at risk, as well as diagnostic possibilities in sporadic
cases.
PMID- 27197573
TI - Low WT1 transcript levels at diagnosis predicted poor outcomes of acute myeloid
leukemia patients with t(8;21) who received chemotherapy or allogeneic
hematopoietic stem cell transplantation.
AB - BACKGROUND: Acute myeloid leukemia (AML) with t(8;21) is a heterogeneous disease.
Identifying AML patients with t(8;21) who have a poor prognosis despite achieving
remission is important for determining the best subsequent therapy. This study
aimed to evaluate the impact of Wilm tumor gene-1 (WT1) transcript levels and
cellular homolog of the viral oncogene v-KIT receptor tyrosine kinase (C-KIT)
mutations at diagnosis, and RUNX1-RUNX1T1 transcript levels after the second
consolidation chemotherapy cycle on outcomes. METHODS: Eighty-eight AML patients
with t(8;21) who received chemotherapy only or allogeneic hematopoietic stem cell
transplantation (allo-HSCT) were included. Patients who achieved remission,
received two or more cycles of consolidation chemotherapy, and had a positive
measureable residual disease (MRD) test result (defined as <3-log reduction in
RUNX1-RUNX1T1 transcript levels compared to baseline) after 2-8 cycles of
consolidation chemotherapy were recommended to receive allo-HSCT. Patients who
had a negative MRD test result were recommended to receive further chemotherapy
up to only 8 cycles. WT1 transcript levels and C-KIT mutations at diagnosis, and
RUNX1-RUNX1T1 transcript levels after the second consolidation chemotherapy cycle
were tested. RESULTS: Patients who had a C-KIT mutation had significantly lower
WT1 transcript levels than patients who did not have a C-KIT mutation (6.7% +/-
10.6% vs. 19.5% +/- 19.9%, P < 0.001). Low WT1 transcript levels (<=5.0%) but not
C-KIT mutation at diagnosis, a positive MRD test result after the second cycle of
consolidation chemotherapy, and receiving only chemotherapy were independently
associated with high cumulative incidence of relapse in all patients (hazard
ratio [HR] = 3.53, 2.30, and 11.49; 95% confidence interval [CI] 1.64-7.62, 1.82
7.56, and 4.43-29.82; P = 0.002, 0.034, and <0.001, respectively); these
conditions were also independently associated with low leukemia-free survival (HR
= 3.71, 2.33, and 5.85; 95% CI 1.82-7.56, 1.17-4.64, and 2.75-12.44; P < 0.001,
0.016, and <0.001, respectively) and overall survival (HR = 3.50, 2.32, and 4.34;
95% CI 1.56-7.82, 1.09-4.97, and 1.98-9.53; P = 0.002, 0.030, and <0.001,
respectively) in all patients. CONCLUSIONS: Testing for WT1 transcript levels at
diagnosis in patients with AML and t(8;21) may predict outcomes in those who
achieve remission. A randomized study is warranted to determine whether allo-HSCT
can improve prognosis in these patients.
PMID- 27197575
TI - Lactating Adenoma of the Breast.
AB - Lactating adenoma is an uncommon breast palpable lesion occurring in pregnancy or
lactation. Although it is a benign condition, it often requires core biopsy or
even surgery to exclude malignancy. As with other solid lesions in pregnancy and
lactation, lactating adenoma needs an accurate evaluation in order to ensure its
benign nature. Work-up must include both imaging and histologic findings.
Ultrasound evaluation remains the first step in assessing the features of the
lesion. Some authors consider magnetic resonance imaging as a useful tool in
cases of inconclusive evaluation after ultrasound and histologic exam in an
attempt to avoid surgery. Most lactating adenomas resolve spontaneously, whereas
others persist or even increase in size and must be removed. The authors present
a case of a 35-year-old woman at 6 months postpartum with a lactating adenoma in
her right breast. After surgical removal, breastfeeding was perfectly continued
within the next 24 hours, which highlights the fact that breast surgery is most
often compatible with breastfeeding.
PMID- 27197576
TI - Breast Milk and Hair Testing to Detect Illegal Drugs, Nicotine, and Caffeine in
Donors to a Human Milk Bank.
AB - BACKGROUND: The use of illegal drugs and tobacco is an exclusion criteria for
accepting a nursing mother as a milk donor. The detection window for human milk
testing is typically a few hours. Hair testing has been considered the gold
standard to assess chronic exposure to these toxic substances. OBJECTIVE: The aim
of this study was to determine the levels of illegal drugs, nicotine, and
caffeine in breast milk and hair samples from donors to assess whether these
substances were being used during the donation period and the months leading up
to it. METHODS: Thirty-six samples of hair and breast milk were obtained from 36
donors. The tests performed identified nicotine, caffeine, morphine, cocaine,
cannabis, amphetamines, codeine, methadone, and other substances derived
therefrom. RESULTS: No illegal drugs were found in any of the samples analyzed.
Nicotine and cotinine were found in 33.3% (12/36) of all hair samples. Among
these 12 samples, 10 had cotinine concentrations consistent with cutoff values
for unexposed nonsmokers, 1 had concentrations consistent with cutoff values for
passive smokers, and 1 had concentrations consistent with cutoff values for
active smokers. Caffeine was found in 77.7% of the hair samples and in 50% of the
donor milk samples. The correlation for caffeine between donor milk and hair
samples was r = 0.288, P = .0881. CONCLUSION: Donors do not use illegal drugs
during either the donation period or the months leading up to it. They are
occasionally exposed to tobacco smoke and almost all of them consume caffeine.
PMID- 27197577
TI - Parkinson disease: Progression markers for early PD - finding the right tools for
the job.
PMID- 27197574
TI - The effect of acid-base clustering and ions on the growth of atmospheric nano
particles.
AB - The growth of freshly formed aerosol particles can be the bottleneck in their
survival to cloud condensation nuclei. It is therefore crucial to understand how
particles grow in the atmosphere. Insufficient experimental data has impeded a
profound understanding of nano-particle growth under atmospheric conditions. Here
we study nano-particle growth in the CLOUD (Cosmics Leaving OUtdoors Droplets)
chamber, starting from the formation of molecular clusters. We present measured
growth rates at sub-3 nm sizes with different atmospherically relevant
concentrations of sulphuric acid, water, ammonia and dimethylamine. We find that
atmospheric ions and small acid-base clusters, which are not generally accounted
for in the measurement of sulphuric acid vapour, can participate in the growth
process, leading to enhanced growth rates. The availability of compounds capable
of stabilizing sulphuric acid clusters governs the magnitude of these effects and
thus the exact growth mechanism. We bring these observations into a coherent
framework and discuss their significance in the atmosphere.
PMID- 27197579
TI - Multiple sclerosis: Real-world long-term benefits of disease-modifying MS
therapy.
PMID- 27197578
TI - Proton therapy for paediatric CNS tumours - improving treatment-related outcomes.
AB - Radiotherapy is an integral and highly effective aspect of the management of many
paediatric CNS tumours, including embryonal tumours, astrocytic tumours and
ependymal tumours. Nevertheless, continued improvements in long-term survivorship
of such tumours means that radiotherapy-related toxicities that affect quality of
life and overall functional status for survivors are increasingly problematic,
and strategies that mitigate these adverse effects are needed. One such strategy
is proton therapy, which has distinct advantages over conventional photon therapy
and enables greater precision in the delivery of tumoricidal radiation doses with
reduced irradiation of healthy tissues. These dose distribution advantages can
translate into clinical benefits by reducing the risk of long-term adverse
effects of radiotherapy, such as secondary malignancy, cognitive toxicity,
endocrinopathy, hearing loss and vasculopathic effects. As the availability of
proton therapy increases with the development of new proton centres, this
treatment modality is increasingly being used in the management of paediatric CNS
tumours. In this Review, we provide an introduction to the types of paediatric
CNS tumours for which proton therapy can be considered, and discuss the available
evidence that proton therapy limits toxicities and improves quality of life for
patients. We will also consider uncertainties surrounding the use of proton
therapy, evidence for its cost-effectiveness, and its future role in the
management of paediatric CNS tumours.
PMID- 27197580
TI - Effectiveness of percutaneous tibial nerve stimulation in managing refractory
constipation.
AB - AIM: Chronic constipation can be aetiopathogenically classified into slow transit
constipation (STC), rectal evacuation difficulty (RED) or a combination (BOTH).
Although the efficacy of percutaneous tibial nerve stimulation (PTNS) in faecal
incontinence has been well proved, a current literature search identifies only
one study which assessed its effect on constipation. We aimed to evaluate the
effectiveness of PTNS in patients with different causes of constipation. METHOD:
Thirty-four patients [30 women, median age 50 (20-79) years] with constipation
who had previously failed maximal laxative and biofeedback therapy participated
in the study. All patients underwent a baseline radio-opaque marker transit study
and anorectal physiology examination. All had 12 sessions of PTNS of 30 min per
session. A fall in the Wexner constipation score to <=15 or by >=5 points was
taken as the primary outcome. Secondary outcomes included the results of pre- and
post- PTNS transit and anorectal physiology studies. RESULTS: Eleven patients had
STC, 14 had RED and nine had BOTH. A response was seen in four patients (1/11
STC, 2/14 RED and 1/9 BOTH). Comparing pre- and post- PTNS, there was no
significant change in the mean Wexner score (P = 0.10). There was no change in
colonic transit time among the whole population (P = 0.56) or among those with
STC (P = 0.47). There was no improvement in balloon expulsion in the whole group
(P = 0.73) or in patients with RED (P = 0.69). CONCLUSION: PTNS is of no benefit
to patients with constipation, whatever aetiopathogenic mechanism is responsible
for the symptoms.
PMID- 27197581
TI - High copy number of mitochondrial DNA predicts poor prognosis in patients with
advanced stage colon cancer.
AB - INTRODUCTION: The aim of this investigation was to determine whether alterations
in mitochondrial DNA (mtDNA) copy number in colon cancer were associated with
clinicopathological parameters and postsurgical outcome. METHODS: By quantitative
real-time PCR assay, the mtDNA copy number was detected in a cohort of colon
cancer and matched adjacent colon tissues (n = 162). RESULTS: The majority of
patients had higher mtDNA content in colon cancer tissues than matched adjacent
colon tissues. Moreover, high mtDNA content in tumor tissues was associated with
larger tumor size, higher serum CEA level, advanced TNM stage, vascular emboli,
and liver metastases. Further survival curve analysis showed that high mtDNA
content was related to the worst survival in patients with colon cancer at
advanced TNM stage. CONCLUSIONS: High mtDNA content is a potential effective
factor of poor prognosis in patients with advanced stage colon cancer.
PMID- 27197582
TI - Role of difucosylated Lewis Y antigen in outcome of locally advanced cervical
squamous cell carcinoma treated with cisplatin regimen.
AB - BACKGROUND: Several mechanisms are involved in the development of resistance to
therapy in locally advanced cervical squamous cell carcinoma (LACSCC). Studies
have shown that CD44 and Lewis Y antigen (LeY) form a complex that is associated
with chemoresistance, tumor invasion and metastasis. We assessed the role of CD44
and LeY in the outcome of LACSCC patients treated with different chemotherapy
regimens. METHODS: 126 LACSCC patients at FIGO stages IIB-IVA were selected from
the GOCS database: 74 patients included in 3 different prospective phase II
trials in the neoadjuvant setting (vinorelbine, docetaxel, ifosfamide-vinorelbine
cisplatin) and 52 patients treated with standard radiochemotherapy based on
cisplatin (RCBC). Clinical data at baseline, disease-free survival (DFS) and
overall survival (OS) were recorded. Univariate and multivariate Cox models were
employed. RESULTS: Median age was 45.6 years (range: 24.9-80.5). Sixty-three and
47 tumors were CD44+ and LeY+, respectively. Tumors with expansive growth showed
higher grade (p = 0.0024), mitotic index (p = 0.0505), tumor necrosis (p =
0.0191), LeY+ (p = 0.0034) and CD44+/LeY+ coexpression (p = 0.0334). CD44+ cells
were present in 91.3% of patients with local recurrence (p = 0.0317). Advanced
stage was associated with LeY+ tumors. Patients treated with RCBC had worse DFS
and OS when their tumors expressed LeY (p = 0.0083 and p = 0.0137, respectively).
Pre-treatment hemoglobin level, FIGO stage and tumor response remained the most
significant prognostic factors in Cox regression. CONCLUSIONS: In our cohort of
LACSCC patients, the coexpression of CD44 and LeY was not associated with worse
outcome. However, in the subgroup of patients receiving RCBC, LeY expression was
correlated with shorter DFS and OS.
PMID- 27197583
TI - Involvement of myeloperoxidase gene polymorphism 463G>A in development of
cervical squamous cell carcinoma.
AB - BACKGROUND: The myeloperoxidase (MPO) -463G>A (rs2333227) polymorphism has been
linked with increased susceptibility to the development of various malignancies.
However, the data on the association of the MPO -463G>A transition with cervical
cancer remain inconsistent. METHODS: Using high resolution melting analysis we
genotyped this polymorphism in women with cervical squamous cell carcinoma (SCC)
(n = 476) and controls (n = 493) from a Polish Caucasian population. Logistic
regression analysis was used to adjust for the effect of confounders such as age,
parity, oral contraceptive use, tobacco smoking, and menopausal status, and
revealed that the MPO -463G>A single nucleotide polymorphism (SNP) was associated
with an increased risk of SCC. RESULTS: The adjusted odds ratio (OR) for patients
with the A/A genotype versus G/G genotype was 0.718 (95% CI 0.531-0.972, p =
0.0316). Stratified analyses between the MPO -463G>A polymorphism and SCC risks
demonstrated a protective role of the MPO -463G>A SNP in patients with a positive
history of parity and negative history of tobacco smoking. In patients with a
positive history of parity, the age-adjusted OR for the A/A versus G/G genotype
was 0.667 (95% CI 0.479-0.929, p = 0.0164). The age-adjusted OR for patients with
a negative history of tobacco smoking for the A/A versus G/G genotype was 0.491
(95% CI 0.313-0.770, p = 0.0019). CONCLUSIONS: Our study demonstrated that the
MPO -463G>A SNP may protect from SCC in women from Polish Caucasian populations.
PMID- 27197584
TI - Impact assessment of a maternal health project in a megacity, Nigeria: toward a
future with more demand for maternal health services.
AB - To improve the quantity and quality of maternal health services in Lagos State,
Nigeria having a maternal mortality ratio of 555 per 100 000 live births, a four
year project was implemented since February 2010. The major activity of the
project was training for both the service supply and demand sides. This study
aimed to examine the impact of the project on coverages and quality of the
services in target areas, and guide statewide policies. The Cochran-Armitage test
for trend was applied to understand trends in the service coverages during 2009
2013. The same test was performed to analyse trends in the proportions of
perineal conditions (i.e. intact or tear) and to evaluate variations in midwives'
snkill during 2011-2013. The paired t-test was used to analyse changes in
midwives' knowledge. The project interventions contributed to a significant
increase in the overall service coverages, including improvements in midwifery
knowledge and possibly in their skills. However, the service coverage was still
limited as of the termination of the project. To instal the interventions and
maximise the effect of them state-wide, it is recommended to undertake five
tasks: (i) establishment of public primary health centres offering 24-h maternal
health services; (ii) redeployment and recruitment of public health personnel;
(iii) expansion of midwifery trainings and continuous education by the local
trainers; (iv) review of grass-roots level activities; and (v) scrutiny of
barriers to maternal health services. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27197585
TI - An unusually long retrocaecal appendix.
PMID- 27197586
TI - Ochronosis.
PMID- 27197587
TI - When do parents and child health professionals agree on child's psychosocial
problems? Cross-sectional study on parent-child health professional dyads.
AB - BACKGROUND: About one third of all parents have concerns about their child's
psychosocial development. Agreement between child health professionals (CHPs) and
parents about such concerns may improve treatment adherence and outcomes. This
study investigates which child, parenting and/or environmental stressors are
associated with (dis)agreement in concerns regarding psychosocial problems in
children, in parent-CHP dyads. METHODS: During routine child health assessments,
data were collected from a sample of children aged 14 months to 12 years (n =
3,870). CHPs registered the psychosocial problems that they identified, and
parents reported their concerns. Child psychosocial stressors were measured with
the ITSEA/CBCL, and the child's history of psychosocial problems. Environmental
stressors referred to stressful family/contextual situations in the past year,
and parenting stressors to perceived parenting efficacy. RESULTS: The CHPs and
parents disagreed on 36.4 % of the children. CHPs based their identification of
problems mainly on children's history of past problem (OR = 5.85, 95% CI = 4.74
7.22). Parental concerns were most likely in case of an increased ITSEA/CBCL
score (OR = 7.69, CI = 5.39-10.97). CHP-parent agreement was more likely in case
of a combination of child psychosocial, parenting and environmental stressors (OR
= 35.58, CI = 24.11-52.48). Parental concerns not confirmed by the CHP were
associated with higher educated parents, originating from an industrialized
country, and younger children. The CHP-identified problems not confirmed by
parental concerns were associated with older children. CONCLUSION: Agreement
between CHPs and parents is associated with a co-occurrence of child, parenting
and environmental stressors. Improved agreement between CHP and parents will
increase the likelihood of shared decision-making regarding follow-up care and
compliance with advice.
PMID- 27197589
TI - Orchidectomy attenuates high-salt diet-induced increases in blood pressure,
renovascular resistance, and hind limb vascular dysfunction: role of
testosterone.
AB - Sex hormone-dependent vascular reactivity is an underlying factor contributing to
sex differences in salt-dependent hypertension. This study evaluated the role of
androgens (testosterone) in high salt-induced increase in blood pressure (BP) and
altered vascular reactivity in renal blood flow and perfused hind limb
preparation. Weanling male rats (8 weeks old, 180-200 g) were bilaterally
orchidectomised or sham operated with or without testosterone replacement
(Sustanon 250, 10 mg/kg intramuscularly once in 3 weeks) and placed on a normal
(0.3%) or high (4.0%) NaCl diet for 6 weeks. The high-salt diet (HSD) increased
arterial BP, renal vascular resistance (RVR) and positive fluid balance (FB).
These changes were accompanied by decreased plasma nitric oxide levels. The
increased BP, RVR and FB observed in the rats fed a HSD were reversed by
orchidectomy while testosterone replacement prevented the reversal. Phenylephrine
(PE)-induced increased vascular resistance in the perfused hind limb vascular bed
was enhanced by HSD, the enhanced vascular resistance was prevented by
orchidectomy and testosterone replacement reversed orchidectomy effect.
Vasorelaxation responses to acetylcholine (ACh) and sodium nitroprusside (SNP)
were impaired in HSD groups, orchidectomy attenuated the impairment, while
testosterone replacement prevented the orchidectomy attenuation. These data
suggested that eNOS-dependent and independently-mediated pathways were equally
affected by HSD in vascular function impairment and this effect is testosterone
dependent in male Sprague-Dawley rats.
PMID- 27197590
TI - Studies on affecting factors and mechanism of treating decentralized domestic
sewage by a novel anti-clogging soil infiltration system.
AB - The effects of bore diameter and particle size of polyurethane (PU) foam on soil
wastewater infiltration system as well as its anti-clogging mechanism were
investigated in this study. Different types of PU were used to determine the
effect of bore diameter and particle size on the chemical oxygen demand (COD)
removal. The results revealed that bore diameter showed little effects and the
optimal size of PU should be not less than 10 mm. The formation of strong
hydrophilic group on the outer layer of hydrophobic PU foam was fixed with active
ingredient Al2O3, leading to good anti-clogging effect. Denaturing gradient gel
electrophoresis fingerprint profiles and cluster analysis showed that the
microbial community in the bottom was different from that in other places of the
normal column, while it in the top has obvious differences from that in other
places of the clogging column. Furthermore, the dominant microbial species of the
normal column was Betaproteobacteria while Alphaproteobacteria in the clogging
column.
PMID- 27197588
TI - The Use of Silk as a Scaffold for Mature, Sustainable Unilocular Adipose 3D
Tissue Engineered Systems.
AB - There is a critical need for monitoring physiologically relevant, sustainable,
human adipose tissues in vitro to gain new insights into metabolic diseases. To
support long-term culture, a 3D silk scaffold assisted culture system is
developed that maintains mature unilocular adipocytes ex vivo in coculture with
preadipocytes, endothelial cells, and smooth muscle cells obtained from small
volumes of liquefied adipose samples. Without the silk scaffold, adipose tissue
explants cannot be sustained in long-term culture (3 months) due to their
fragility. Adjustments to media components are used to tune lipid metabolism and
proliferation, in addition to responsiveness to an inflammatory stimulus.
Interestingly, patient specific responses to TNFalpha stimulation are observed,
providing a proof-of-concept translational technique for patient specific disease
modeling in the future. In summary, this novel 3D scaffold assisted approach is
required for establishing physiologically relevant, sustainable, human adipose
tissue systems from small volumes of lipoaspirate, making this methodology of
great value to studies of metabolism, adipokine-driven diseases, and other
diseases where the roles of adipocytes are only now becoming uncovered.
PMID- 27197591
TI - Removal of polychlorinated naphthalenes by desulfurization and emissions of
polychlorinated naphthalenes from sintering plant.
AB - The sintering flue gas samples were collected at the inlets and outlets of the
desulfurization systems to evaluate the influence of the systems on PCNs emission
concentrations, profiles, and emission factors. The PCNs concentrations at the
inlets and outlets were 27888-153672 pg m(-3) and 11988-42245 pg m(
3),respectively. Desulfurization systems showed excellent removal for PCNs, and
the removal efficiencies of PCNs increase with increasing chlorination level.
Lower chlorinated homologs are more sensitive to the desulfurization process than
higher ones. High levels of PCNs were also detected in the gypsum (11600-29720 pg
g(-1)) and fly ash samples (4946-64172 pg g(-1)). The annual total emissions of
PCNs released to flue gas and gypsum from the sintering plants were about 394 kg,
48.5% of which was in gypsum. The surface area of the fly ash samples increased
significantly from the first to the fourth stage of the series-connected
electrostatic precipitator, accompanying obvious rising of concentration of PCNs
in the fly ash samples.
PMID- 27197593
TI - Individualized volume-corrected maximum flow rate correlates with outcome from
bladder outlet surgery in men with lower urinary tract symptoms.
AB - OBJECTIVES: To develop a per-patient volume correction for maximum flow rate
using multiple home uroflowmetry, and to carry out a pilot study to determine the
most prognostically useful volume at which to evaluate this measurement and
estimate its relationship with outcome from disobstructive bladder outlet
surgery. METHODS: A total of 30 men carried out home uroflowmetry using a
portable device and completed symptom scores before surgery. This was repeated at
least 4 months after surgery. For each man's presurgery flow data, voided volume
was plotted against maximum flow rate, and a line of best fit with logarithmic
form calculated. This allowed maximum flow rate to be corrected for any volume.
Percentage reduction in symptom score and increase in mean maximum flow rate were
correlated with volume-corrected maximum flow rates. RESULTS: Corrected maximum
flow rate at all volumes showed the expected negative correlation with both
outcome measures. A statistically significant correlation occurred for volumes
>190 mL, with the best performance at volumes >300 mL. CONCLUSIONS: We have
devised a novel method allowing estimation of maximum flow rate at any volume,
which is a step forward for non-invasive diagnostics. We found this volume
corrected maximum flow rate to correlate significantly with treatment outcome at
sufficiently high volumes.
PMID- 27197594
TI - Microfluidic Synthesis of pH-Sensitive Multicompartmental Microparticles for
Multimodulated Drug Release.
AB - Stimuli-responsive carriers releasing multiple drugs have been researched for
synergistic combinatorial cancer treatment with reduced side-effects. However,
previously used drug carriers have limitations in encapsulating multiple drug
components in a single carrier and releasing each drug independently. In this
work, pH-sensitive, multimodulated, anisotropic drug carrier particles are
synthesized using an acid-cleavable polymer and stop-flow lithography. The
particles exhibit a faster drug release rate at the acidic pH of tumors than at
physiological pH, demonstrating their potential for tumor-selective drug release.
The drug release rate of the particles can be adjusted by controlling the monomer
composition. To accomplish multimodulated drug release, multicompartmental
particles are synthesized. The drug release profile of each compartment is
programmed by tailoring the monomer composition. These pH-sensitive,
multicompartmental particles are promising drug carriers enabling tumor-selective
and multimodulated release of multiple drugs for synergistic combination cancer
therapy.
PMID- 27197596
TI - Correction of the Electrical and Thermal Extrinsic Effects in Thermoelectric
Measurements by the Harman Method.
AB - Although the Harman method evaluates the thermoelectric figure-of-merit in a
rapid and simple fashion, the accuracy of this method is affected by several
electrical and thermal extrinsic factors that have not been thoroughly
investigated. Here, we study the relevant extrinsic effects and a correction
scheme for them. A finite element model simulates the electrical potential and
temperature fields of a sample, and enables the detailed analysis of electrical
and thermal transport. The model predicts that the measurement strongly depends
on the materials, sample geometries, and contact resistance of the electrodes. To
verify the model, we measure the thermoelectric properties of Bi2-Te3 based
alloys with systematically varied sample geometries and either with a point or a
surface current source. By comparing the model and experimental data, we
understand how the measurement conditions determine the extrinsic effects, and,
furthermore, able to extract the intrinsic thermoelectric properties. A
correction scheme is proposed to eliminate the associated extrinsic effects for
an accurate evaluation. This work will help the Harman method be more consistent
and accurate and contribute to the development of thermoelectric materials.
PMID- 27197597
TI - The first UV absorption band of l-tryptophan is not due to two simultaneous
orthogonal electronic transitions differing in the dipole moment.
AB - Based on UV/Vis spectroscopic evidence obtained in this work, the first band in
the absorption spectrum of l-tryptophan is largely due to a single electronic
transition from the ground state to the (1)Lb excited state. However, emission
spectra of this compound recorded at a variable temperature in ethanol, n-butanol
and diethyl ether are structureless and considerably red-shifted at room
temperature; also, lowering the temperature causes the emission to become
structured and to undergo such a strong blue shift that it appears to be due to
the (1)Lb state of the compound. Based on these findings, the formation (from the
excited (1)Lb state) of the excited state responsible for the structureless,
markedly red-shifted emission in l-tryptophan is strongly dependent not only on
the viscosity of the medium, but also on its dipolarity.
PMID- 27197595
TI - Extraocular motoneuron pools develop along a dorsoventral axis in zebrafish,
Danio rerio.
AB - Both spatial and temporal cues determine the fate of immature neurons. A major
challenge at the interface of developmental and systems neuroscience is to relate
this spatiotemporal trajectory of maturation to circuit-level functional
organization. This study examined the development of two extraocular motor nuclei
(nIII and nIV), structures in which a motoneuron's identity, or choice of muscle
partner, defines its behavioral role. We used retro-orbital dye fills, in
combination with fluorescent markers for motoneuron location and birthdate, to
probe spatial and temporal organization of the oculomotor (nIII) and trochlear
(nIV) nuclei in the larval zebrafish. We describe a dorsoventral organization of
the four nIII motoneuron pools, in which inferior and medial rectus motoneurons
occupy dorsal nIII, while inferior oblique and superior rectus motoneurons occupy
distinct divisions of ventral nIII. Dorsal nIII motoneurons are, moreover, born
before motoneurons of ventral nIII and nIV. The order of neurogenesis can
therefore account for the dorsoventral organization of nIII and may play a
primary role in determining motoneuron identity. We propose that the temporal
development of extraocular motoneurons plays a key role in assembling a
functional oculomotor circuit. J. Comp. Neurol. 525:65-78, 2017. (c) 2016 The
Authors The Journal of Comparative Neurology Published by Wiley Periodicals, Inc.
PMID- 27197598
TI - Correction to "Experimental Study of the Mesospheric Removal of NF3 by Neutral
Meteoric Metals and Lyman-alpha Radiation".
PMID- 27197600
TI - CLIPPERS features before, during and after lymphoma.
PMID- 27197601
TI - Undiagnosed pulmonary tuberculosis among prisoners in Malaysia: an overlooked
risk for tuberculosis in the community.
AB - OBJECTIVES: To investigate the prevalence of previously undiagnosed active
tuberculosis (TB) cases among prisoners in Malaysia's largest prison using an
intensified TB case-finding strategy. METHODS: From October 2012 to May 2013,
prisoners housed in two distinct units (HIV-negative and HIV-positive) were
approached to participate in the TB screening study. Consenting prisoners
submitted two sputum samples that were examined using GeneXpert MTB/RIF, smear
microscopy and liquid culture. Socio-demographic and clinical information was
collected and correlates of active TB, defined as having either a positive
GeneXpert MTB/RIF or culture results, were assessed using regression analyses.
RESULTS: Among the total of 559 prisoners, 442 (79.1%) had complete data; 28.7%
were HIV-infected, 80.8% were men and the average age was 36.4 (SD 9.8) years.
Overall, 34 (7.7%) had previously undiagnosed active TB, of whom 64.7% were
unable to complete their TB treatment in prison due to insufficient time (<6
months) remaining in prison. Previously undiagnosed active TB was independently
associated with older age groups (AOR 11.44 and 6.06 for age >= 50 and age 40-49
years, respectively) and with higher levels of immunosuppression (CD4 < 200
cells/ml) in HIV-infected prisoners (AOR 3.07, 95% CI 1.03-9.17). CONCLUSIONS:
The high prevalence of previously undiagnosed active TB in this prison highlights
the inadequate performance of internationally recommended case-finding strategies
and suggests that passive case-finding policies should be abandoned, especially
in prison settings where HIV infection is prevalent. Moreover, partnerships
between criminal justice and public health treatment systems are crucial to
continue TB treatment after release.
PMID- 27197599
TI - NF-kappaB and androgen receptor variant 7 induce expression of SRD5A isoforms and
confer 5ARI resistance.
AB - BACKGROUND: Benign prostatic hyperplasia (BPH) is treated with 5alpha-reductase
inhibitors (5ARI). These drugs inhibit the conversion of testosterone to
dihydrotestosterone resulting in apoptosis and prostate shrinkage. Most patients
initially respond to 5ARIs; however, failure is common especially in inflamed
prostates, and often results in surgery. This communication examines a link
between activation of NF-kappaB and increased expression of SRD5A2 as a potential
mechanism by which patients fail 5ARI therapy. METHODS: Tissue was collected from
"Surgical" patients, treated specifically for lower urinary tract symptoms
secondary to advanced BPH; and, cancer free transition zone from "Incidental"
patients treated for low grade, localized peripheral zone prostate cancer.
Clinical, molecular and histopathological profiles were analyzed. Human prostatic
stromal and epithelial cell lines were genetically modified to regulate NF-kappaB
activity, androgen receptor (AR) full length (AR-FL), and AR variant 7 (AR-V7)
expression. RESULTS: SRD5A2 is upregulated in advanced BPH. SRD5A2 was
significantly associated with prostate volume determined by Transrectal
Ultrasound (TRUS), and with more severe lower urinary tract symptoms (LUTS)
determined by American Urological Association Symptom Score (AUASS). Synthesis of
androgens was seen in cells in which NF-kappaB was activated. AR-FL and AR-V7
expression increased SRD5A2 expression while forced activation of NF-kappaB
increased all three SRD5A isoforms. Knockdown of SRD5A2 in the epithelial cells
resulted in significant reduction in proliferation, AR target gene expression,
and response to testosterone (T). In tissue recombinants, canonical NF-kappaB
activation in prostatic epithelium elevated all three SRD5A isoforms and resulted
in in vivo growth under castrated conditions. CONCLUSION: Increased BPH severity
in patients correlates with SRD5A2 expression. We demonstrate that NF-kappaB and
AR-V7 upregulate SRD5A expression providing a mechanism to explain failure of
5ARI therapy in BPH patients. Prostate 76:1004-1018, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27197603
TI - Coping power for preschool-aged children: a pilot randomized control trial study.
AB - AIM: Preschoolers with behavioural difficulties, both at clinical and sub
clinical levels, are at higher risk for several negative outcomes. The current
paper reports the results from a randomized clinical trial evaluating an
adaptation of the Coping Power for preschool-aged children. In the present study,
Coping Power is adapted as a universal prevention intervention, in which teachers
deliver a curriculum that is designed to improve children's social competence and
reduce problem behaviours. METHODS: Ten nursery school classes (164 children,
mean age 54.40 months) from two Italian schools were randomly assigned to Coping
Power or to the control group, which received the standard academic curriculum
provided in Italian nursery schools. Teachers in the five intervention classes
implemented weekly lessons and extension activities over a 6-month period.
Teacher and parent reports of child behaviour assessments were collected at the
beginning and end of the school year. At each assessment period, teachers
completed the Strengths and Difficulties Questionnaire. RESULTS: The findings
suggest that after exposure to Coping Power, intervention children showed lower
levels of behavioural difficulties, rated both by parents and teachers.
CONCLUSIONS: The results of this study are promising and can be interpreted as
providing initial support for the efficacy of the preschool version of Coping
Power.
PMID- 27197605
TI - Chronic foot ulcer caused by Parkes Weber syndrome.
PMID- 27197602
TI - Unrelated donors are associated with improved relapse-free survival compared to
related donors in patients with myelodysplastic syndrome undergoing reduced
intensity allogeneic stem cell transplantation.
AB - Reduced intensity allogeneic stem cell transplantation (RI alloSCT) is a
potentially curative treatment approach for patients with myelodysplastic
syndrome (MDS). It is currently unclear if older related donors are better than
younger unrelated donors for patients with MDS undergoing RI alloSCT. We
retrospectively studied 53 consecutive MDS patients who underwent RI alloSCT
between April 2007 and June 2014 and evaluated associations between donor type
and outcomes with adjustment for significant covariates. 34 patients (median age:
64 years) and 19 patients (median age: 60 years) received allografts from
unrelated and related donors, respectively. Unrelated donors were younger than
related donors (median age: 32 vs. 60 years, P < 0.0001). There were no
significant differences in baseline disease characteristics of patients receiving
allografts from related or unrelated donors. Patients who received allografts
from unrelated donors had a lower relapse risk (adjusted hazard ratio [aHR] =
0.35, P = 0.012) and improved relapse-free survival (aHR = 0.47, P = 0.018). HLA
mismatched unrelated donors were associated with a higher risk of grade 2-4 acute
graft versus host disease (GVHD) (HR = 4.64, P = 0.002) without an accompanying
increase in the risk of non-relapse mortality (P = 0.56). Unrelated donors
provided a higher mean CD8 cell dose (P = 0.014) and were associated with higher
median donor T cell chimerism at day 60 (P = 0.003) and day 100 (P = 0.03). In
conclusion, patients with MDS who received allografts from unrelated donors had a
lower risk of relapse and improved relapse-free survival when compared to
patients who received allografts from related donors. These findings should be
confirmed in a prospective study. Am. J. Hematol. 91:883-887, 2016. (c) 2016
Wiley Periodicals, Inc.
PMID- 27197604
TI - Persistence of Plasmodium falciparum parasitemia after artemisinin combination
therapy: evidence from a randomized trial in Uganda.
AB - Artemisinin resistance is rapidly spreading in Southeast Asia. The efficacy of
artemisinin-combination therapy (ACT) continues to be excellent across Africa. We
performed parasite transcriptional profiling and genotyping on samples from an
antimalarial treatment trial in Uganda. We used qRT-PCR and genotyping to
characterize residual circulating parasite populations after treatment with
either ACT or ACT-primaquine. Transcripts suggestive of circulating ring stage
parasites were present after treatment at a prevalence of >25% until at least 14
days post initiation of treatment. Greater than 98% of all ring stage parasites
were cleared within the first 3 days, but subsequently persisted at low
concentrations until day 14 after treatment. Genotyping demonstrated a
significant decrease in multiplicity of infection within the first 2 days in both
ACT and ACT-primaquine arms. However, multiple clone infections persisted until
day 14 post treatment. Our data suggest the presence of genetically diverse
persisting parasite populations after ACT treatment. Although we did not
demonstrate clinical treatment failures after ACT and the viability and
transmissibility of persisting ring stage parasites remain to be shown, these
findings are of relevance for the interpretation of parasite clearance
transmission dynamics and for monitoring drug effects in Plasmodium falciparum
parasites.
PMID- 27197606
TI - A new method for skin grafting in murine model.
AB - Skin transplantation provides an excellent potential model to investigate the
immunology of allograft rejection and tolerance induction. Despite the
theoretical ease of performing skin transplantation, as well as the potential of
directly observing the reaction to the transplanted tissue, the poor reliability
of skin transplantation in the mouse has largely precluded the use of this model.
Furthermore, there is controversy regarding the most appropriate skin graft donor
site due to poor success of back skin transplantation, as compared with the
thinner ear or tail skin. This study demonstrates a reliable method to
successfully perform skin grafts in a mouse model, as well as the clinical and
histologic outcome of syngeneic grafts. A total of 287 grafts were performed (in
126 mice) utilizing donor skin from the ear, tail or back. No graft failure or
postoperative mortality was observed. Comparison of this technique with two
previously established protocols of skin transplantation (5.0 absorbable Suture +
tissue glue technique and no-suture technique) demonstrates the significant
improvement in the engraftment success of the new technique. In summary, a new
technique for murine skin grafting demonstrates improved reliability across donor
site locations and strains, increasing the potential for investigating
interventions to alter the rejection process.
PMID- 27197608
TI - Is the diagnostic yield influenced by the indication for fetal autopsy?
AB - The utility of fetal autopsy to corroborate antenatal ultrasound findings and to
aid genetic counseling is well known. However, the ability to identify an
underlying cause for the common indications for which it is performed is not well
studied. This study aimed to determine if the diagnostic yield of fetal autopsy
in identifying the underlying cause is determined by the indication of the
autopsy. Five groups of fetuses were defined based on the indication for the
autopsy performed in 903 cases: (i) malformations, (ii) intrauterine death (IUD),
(iii) cystic hygroma and hydrops fetalis, (iv) isolated abnormalities of amniotic
fluid, and (v) intrauterine growth restriction (IUGR). The highest diagnostic
yield was in fetuses with isolated abnormalities of amniotic fluid (77%),
followed by those with IUGR (75%), with IUD (69.6%), those in group five (55.2%)
and lowest (45%) in fetuses with malformations (P < 0.001). A cause was
identified in 77.8% fetuses with multiple malformations compared to 37.5% with
isolated malformations (P < 0.001), with chromosomal abnormalities in 31.8%
versus 9.9% respectively (P < 0.001) and malformation syndromes in 42.5% versus
26.3% (P < 0.001). Placental examination provided the highest yield in IUD, IUGR,
and oligohydramnios (43.1%; P < 0.003) whereas chromosomal analysis was most
useful in cystic hygroma/NIHF (28.9%; P < 0.001). This information on the
diagnostic yield in fetal autopsy related its common indications, can be utilized
to counsel families of the utility of autopsy to establish cause and recurrence
risks and thereby assist then to make an informed decision to consent for the
procedure. (c) 2016 Wiley Periodicals, Inc.
PMID- 27197607
TI - Chronic Migraine Responding to Intravenous Thiamine: A Report of Two Cases.
AB - BACKGROUND: Migraine is a risk factor for thiamine deficiency and Wernicke's
encephalopathy (WE). WE is a highly underdiagnosed condition. The misdiagnosis is
associated more with early or mild WE. The interrelation between migraine and
thiamine deficiency is unknown CASE REPORTS: Here, we report two female patients
with chronic migraine. During examinations, we also noted clinical signs
pertinent with a diagnosis of WE. Both patients had low blood thiamine level.
Intravenous thiamine supplementation led to the improvement of both WE and
associated headaches. DISCUSSION: Nausea, vomiting, and anorexia of migraine may
lead to mild to moderate thiamine deficiency and WE. Review of the literature
suggests that WE in early or subclinical form will have nonspecific symptoms that
may include frequent headache, nausea, vomiting, and anorexia. So, WE in the
early stage may simulate migrainous features and this will further aggravate
thiamine deficiency and a vicious cycle may be formed, and that will
progressively increase the chronicity of headaches and other features. Breaking
of this cycle by thiamine supplementation might be a promising therapy in a
subset of patients with chronic migraine. CONCLUSION: Thiamine deficiency due to
nausea, vomiting and anorexia of migraine may further aggravate migraine like
headaches in cyclical pattern.
PMID- 27197609
TI - Morality is real, objective, and natural.
AB - To make the case that morality is real, objective, and natural, it will be
argued, first, that morals exist in human nature as part of our evolutionary
heritage; that morality involves how we think and act toward other moral agents
in terms of whether our thoughts and actions are right or wrong with regard to
their survival and flourishing; and that moral progress is real, quantifiable,
and the result of our improved understanding of causality in the social and moral
sciences in the same manner as our understanding of causality has progressed in
the physical and biological sciences. A moral starting point is the survival and
flourishing of sentient beings.
PMID- 27197610
TI - Non-Intubated Thoracoscopic Segmentectomy for Second Primary Lung Cancer in a
Patient With Previous Contralateral Lobectomy and Emphysematous Bullae.
PMID- 27197611
TI - Synthesis of Two-Dimensional CoS1.097/Nitrogen-Doped Carbon Nanocomposites Using
Metal-Organic Framework Nanosheets as Precursors for Supercapacitor Application.
AB - Two-dimensional (2D) metal-organic framework (MOF) nanosheets are attracting
increasing research interest. Here, for the first time, we report the facile
synthesis of 2D porphyrin paddlewheel framework-3 (PPF-3) MOF nanosheets with
thickness of ca. 12-43 nm. Through the simultaneous sulfidation and carbonization
of PPF-3 MOF nanosheets, we have prepared the 2D nanocomposite of CoS1.097
nanoparticles (NPs) and nitrogen-doped carbon, referred to as CoSNC, in which the
CoS1.097 NPs with size of ca. 10 nm are embedded in the nitrogen-doped carbon
matrix. As a proof-of-concept application, the obtained 2D CoSNC nanocomposite is
used as an electrode material for a supercapacitor, which exhibits a specific
capacitance of 360.1 F g(-1) at a current density of 1.5 A g(-1). Moreover, the
composite electrode also shows high rate capability. Its specific capacitance
delivered at a current density of 30.0 A g(-1) retains 56.8% of the value at 1.5
A g(-1).
PMID- 27197612
TI - Surgical treatment option of the patent nasopalatine duct: a case report.
AB - The nasopalatine duct (NPD) is a bilateral, epithelium-lined oronasal
communication formed in the early fetal period. It connects the oral cavity with
the nasal cavity within the primary palatal process. Mostly, the NPD obliterates
during prenatal development and only epithelial remnants can be found after
birth. A persistent NPD is therefore considered a developmental abnormality that
often exists undetected without any clinical signs of discomfort. The presence of
a persisting NPD, however, can be associated with pain sensation in the anterior
maxilla. Differential diagnosis of the patent NPD is of importance, in order to
prevent unnecessary therapy, such as endodontic treatment or tooth extractions.
The present case report describes the diagnostic procedures, surgical treatment
and follow-up of the patent NPD in a 53-year-old female patient suffering from
maxillary pain caused by a patent NPD.
PMID- 27197613
TI - Iatrogenic posterior tibial nerve division during a combined anterior ankle
arthroscopy with an additional posterolateral portal.
AB - Ankle arthroscopy is an important diagnostic and therapeutic technique in the
management of ankle disorders. Nowadays ankle arthroscopy provides good to
excellent results (up to 90%) in the treatment of certain intra-articular
disorders. Due to the superficial location of ankle joint and the abundance of
overlying neurovascular structures, complications reported in ankle arthroscopy
are greater than those reported in other joints. We present the first reported
case of a complete division of the posterior tibial nerve during an anterior
ankle arthroscopy combined with an additional posterolateral portal. This was due
to a poorly controlled use of the arthroscopic instruments.
PMID- 27197614
TI - A case of T2 radiculopathy after anterior C5-6 fusion.
AB - Thoracic radiculopathy is a rare entity. Symptomatic adjacent-segment disease
after anterior cervical fusion occurs commonly in the lower cervical spine
segment. We describe the clinical presentation and treatment of T2 radiculopathy
after C5-6 anterior fusion. A 60-year-old man presented with the right axillary
pain for 3 months. He had undergone C5-6 anterior fusion for cervical spondylosis
5 years prior. Computed tomography (CT) and magnetic resonance images showed T2-3
degenerative disease. C5-6 anterior fusion exacerbated the T2-3 segment involved
in the patient's scoliotic deformity. After 2 months of conservative treatment,
we decompressed the T2 foramen via T2-3 hemilaminectomy and partial facet
resection. After the surgery, his symptoms disappeared. T2 radiculopathy is rare
but should be considered in the differential diagnosis of chest pain. Surgeons
should pay attention not only to adjacent-segment disease but also to segmental
degeneration at the apex of a scoliotic deformity after cervical anterior fusion.
PMID- 27197615
TI - Cross-Talk Between PCSK9 and Damaged mtDNA in Vascular Smooth Muscle Cells: Role
in Apoptosis.
AB - AIMS: The present study was designed to investigate a possible interaction
between vascular smooth muscle cell (SMC)-derived proprotein convertase
subtilisin/kexin type 9 (PCSK9) and mitochondrial DNA (mtDNA) damage. RESULTS:
Treatment of cultured SMCs with the proinflammatory stimulus lipopolysaccharide
(LPS) stimulated PCSK9 release and induced mtDNA damage. PCSK9 inhibition by its
siRNA reduced, and its enhancement increased, mtDNA damage. Induction of
mitochondria-derived reactive oxygen species (mtROS) (by rotenone,
thenoyltrifluoroacetone, or antimycin A) enhanced mtDNA damage as well as PCSK9
release, suggesting a role of mtROS in PCSK9-mtDNA damage interplay. Induction of
mtDNA damage (with the autophagy inhibitor, 3-methyladenine, or DNase II
inhibition) enhanced PCSK9 expression, and inhibition of mtDNA damage (with the
autophagy inducer, rapamycin) reduced PCSK9 expression, indicating bidirectional
interplay between PCSK9 and mtDNA damage. Other studies showed that p38 MAPK is
involved in PCSK9-induced mtDNA damage, and mammalian target of rapamycin
activation plays a role in mtDNA damage-induced PCSK9 release. Functional impact
of PCSK9-mtDNA damage cross-talk was evident in the form of SMC apoptosis, which
was enhanced in cells treated with recombinant human PCSK9, but inhibited in
cells treated with PCSK9 siRNA. Last, LPS administration in wild-type mice
resulted in simultaneous PCSK9 release and mtDNA damage, but mtDNA damage was
minimal in PCSK9-null mice given LPS. INNOVATION: Vascular SMC-derived PCSK9
induces mtDNA damage, and damaged mtDNA fragments stimulate PCSK9 release
mediated, at least in part, by mtROS. CONCLUSIONS: These observations suggest
positive feedback interplay between SMC-derived PCSK9 and mtDNA damage in the
proinflammatory milieu involving mtROS. This interaction results in cellular
injury, characterized by apoptosis-a hallmark of atherosclerosis. Antioxid. Redox
Signal. 25, 997-1008.
PMID- 27197616
TI - Naringin Ameliorates HIV-1 Nucleoside Reverse Transcriptase Inhibitors- Induced
Mitochondrial Toxicity.
AB - BACKGROUND: Mitochondrial reactive oxygen species (ROS) generation and defective
oxidative phosphorylation (OXPHOS) have been proposed as possible mechanisms
underlying the development of nucleoside reverse transcriptase inhibitors (NRTIs)
induced mitochondrial toxicities. Available options in managing these
complications have, so far, produced controversial results, thus necessitating
further research into newer agents with promise. Antioxidant and free-radical
scavenging effects of naringin, a plant-derived flavonoid, have previously been
demonstrated. OBJECTIVE: This study was designed to investigate the effects of
naringin on NRTIs-induced mitochondrial toxicity. METHODS: Wistar rats were
randomly divided into Zidovudine (AZT)-only (100 mg/kg body weight BW);
AZT+Naringin (100+50 mg/kg BW); AZT+Vitamin E (100+100 mg/kg BW); Stavudine (d4T)
only (50 mg/kg BW); d4T+Naringin (50+50 mg/kg BW); d4T+Vitamin E (50+100 mg/kg
BW) and Vehicle (3.0 mL/kg BW)-treated groups, respectively. After 56 days of
oral daily dosing, rats were euthanized by halothane overdose, blood collected by
cardiac puncture and livers promptly excised for further biochemical and
ultrastructural analyses.
Results: AZT- or d4T-only caused significant
mitochondrial dysfunction and mitochondrial ultrastructural damage compared to
controls, while either naringin or vitamin E reversed indices of mitochondrial
dysfunction evidenced by significantly reduced mitochondrial malondialdehyde
(MDA) and blood lactate concentrations, increased liver manganese superoxide
dismutase (MnSOD) activity and upregulate expression of mitochondrial-encoded
subunit of electron transport chain (ETC) complex IV protein compared to AZT- or
d4T-only treated rats. Furthermore, naringin or vitamin E, respectively,
ameliorated mitochondrial damage observed in AZT- or d4T-only treated rats.
CONCLUSION: Naringin ameliorated oxidative stress and NRTI-induced mitochondrial
damage and might, therefore, be beneficial in managing toxicities and
complications arising from NRTI use.
PMID- 27197617
TI - Genetic diversity and antibiogram profile of diarrhoeagenic Escherichia coli
pathotypes isolated from human, animal, foods and associated environmental
sources.
AB - INTRODUCTION: Infectious diarrhoea particularly due to pathogenic bacteria is a
major health problem in developing countries, including India. Despite
significant reports of diarrhoeagenic Escherichia coli (DEC) pathotypes around
the globe, studies which address genetic relatedness, antibiogram profile and
their correlation with respect to their isolation from different sources are
sparse. The present study determines isolation and identification of DEC
pathotypes from different sources, their genetic characterisation, antibiogram
profile and their correlation if any. MATERIALS AND METHODS: A total of 336
samples comprising diarrhoeic stool samples from infants (n=103), young animal
(n=106), foods (n=68) and associated environmental sources (n=59) were collected
from Bareilly region of India. All the samples were screened by using standard
microbiological methods for the detection of E. coli. The identified E. coli were
then confirmed as DEC pathotypes using polymerase chain reaction-based assays.
Those DEC pathotypes identified as Enteroaggregative E. coli (EAEC) were further
confirmed using HEp-2 adherence assay. All the isolated DEC pathotypes were
studied for their genetic diversity using pulsed-field gel electrophoresis
(PFGE), and antimicrobial susceptibility testing was performed by using disc
diffusion method as per Clinical Laboratory Standards Institute guidelines.
RESULTS AND DISCUSSION: Of the four DEC pathotypes investigated, EAEC was found
to be the predominant pathogen with an isolation rate of 16.5% from infants,
17.9% from young animals, 16.2% from foods and 3.4% from the associated
environmental sources. These EAEC isolates, on further characterisation, revealed
predominance of 'atypical' EAEC, with an isolation rate of 10.7% from infants,
15.1% from young animals, 16.2% from foods, and 3.4% from the associated
environmental sources. On PFGE analysis, discrimination was evident within DEC
pathotypes as 52 unique pulsotypes were observed for 59 recovered DEC pathotypes.
However, a few EAEC isolates were found to be clonal (clusters A, B, C, D, F, G,
and H) irrespective of their source of isolation, suggests sharing and/or
circulation among different sources. Further, a high antibiotic resistance
pattern was observed among isolated DEC pathotypes as almost 86.4% of isolates
were found to be resistant against >=3 tested drugs.
PMID- 27197618
TI - Mobile Phone Use Among Medical Residents: A Cross-Sectional Multicenter Survey in
Saudi Arabia.
AB - BACKGROUND: Mobile phones have great potential for medical education, as they
allow health care providers and students to access resources efficiently at the
precise time at the point-of-care to help in informed decision making. OBJECTIVE:
The objective of the study was to evaluate the prevalence of mobile phone usage
among medical residents and to explore their attitudes, perceptions, and the
challenges they experience when using mobile phones in academic and clinical
practice. METHODS: A cross-sectional survey was conducted on all 133 residents in
17 different specialties across two large academic hospitals in Riyadh, Saudi
Arabia. The Web-based validated questionnaire measured mobile phone platform
preferences, and their uses in general and medical practice. The perception of
confidentiality and safety impact of using mobile phones for communication and
accessing patient's data was also explored, alongside challenges of use and how
residents learn to use their mobile phone. RESULTS: With a response rate of
101/133 (75.9%) and mean age of 27.8 (SD 3.0) years, we found that 100/101
(99.0%) of participants were mobile phone users with mean duration of use of 5.12
(SD 2.4) years, and a range from 1 to 12 years. There was no significant
difference in use between male and female respondents. A negative linear
correlation was found between age and use duration (P=.004). The most common
operating system used by participants was the iOS platform (55/101, 54.5%), with
English the most commonly used language to operate residents' mobile phones
(96/100, 96.0%) despite their native language being Arabic. For communication
outside medical practice, chatting applications such as WhatsApp matched phone
calls as most commonly used tools (each 88/101, 87.1%). These were also the
primary tools for medical communication, but used at a lower rate (each 65/101,
64.4%). In medical practice, drug (83/101, 82.2%) and medical (80/101, 79.2%)
references and medical calculation applications (61/101, 60.4%) were the most
commonly used. Short battery life (48/92, 52%) was the most common technical
difficulty, and distraction at least on a weekly basis (54/92, 58%) was the most
likely side effect of using a mobile phone in medical practice. Practically, all
participants agreed with the idea of integrating medical staff mobile phones with
the hospital information system. Most residents described themselves as self
learners, while half learned from peers, and a quarter learned from the Internet.
Only 7/101 (6.9%) had received formal training on the medical use of mobile
phones. Over half of residents thought it was safe to discuss patients over their
personal, nonencrypted email. CONCLUSIONS: Mobile phone use among medical
residents has become almost universal in academic and clinical settings. Thus,
academic and health care institutions should support proper utilization of these
devices in medical training and point-of-care decision making, while continuing
to protect patient confidentiality.
PMID- 27197619
TI - The frequently used intraperitoneal hyponatraemia model induces hypovolaemic
hyponatraemia with possible model-dependent brain sodium loss.
AB - NEW FINDINGS: What is the central question of this study? The brain response to
acute hyponatraemia is usually studied in rodents by intraperitoneal instillation
of hypotonic fluids (i.p. model). The i.p. model is described as 'dilutional' and
'syndrome of inappropriate ADH (SIADH)', but the mechanism has not been explored
systematically and might affect the brain response. Therefore, in vivo brain and
muscle response were studied in pigs. What is the main finding and its
importance? The i.p. model induces hypovolaemic hyponatraemia attributable to
sodium redistribution, not dilution. A large reduction in brain sodium is
observed, probably because of the specific mechanism causing the hyponatraemia.
This is not accounted for in current understanding of the brain response to acute
hyponatraemia. Hyponatraemia is common clinically, and if it develops rapidly,
brain oedema evolves, and severe morbidity and even death may occur.
Experimentally, acute hyponatraemia is most frequently studied in small animal
models, in which the hyponatraemia is produced by intraperitoneal instillation of
hypotonic fluids (i.p. model). This hyponatraemia model is described as
'dilutional' or 'syndrome of inappropriate ADH (SIADH)', but seminal studies
contradict this interpretation. To confront this issue, we developed an i.p.
model in a large animal (the pig) and studied water and electrolyte responses in
brain, muscle, plasma and urine. We hypothesized that hyponatraemia was induced
by simple water dilution, with no change in organ sodium content. Moderate
hypotonic hyponatraemia was induced by a single i.v. dose of desmopressin and
intraperitoneal instillation of 2.5% glucose. All animals were anaesthetized and
intensively monitored. In vivo brain and muscle water was determined by magnetic
resonance imaging and related to the plasma sodium concentration. Muscle water
content increased less than expected as a result of pure dilution, and muscle
sodium content decreased significantly (by 28%). Sodium was redistributed to the
peritoneal fluid, resulting in a significantly reduced plasma volume. This shows
that the i.p. model induces hypovolaemic hyponatraemia and not dilutional/SIADH
hyponatraemia. Brain oedema evolved, but brain sodium content decreased
significantly (by 21%). To conclude, the i.p. model induces hypovolaemic
hyponatraemia attributable to sodium redistribution and not water dilution. The
large reduction in brain sodium is probably attributable to the specific
mechanism that causes the hyponatraemia. This is not accounted for in the current
understanding of the brain response to acute hyponatraemia.
PMID- 27197620
TI - Delivery of light to the skin through ablated conduits.
AB - BACKGROUND AND OBJECTIVES: Non-invasive laser skin treatment modalities are
generally designed to protect the epidermis by cooling and limiting the laser
energy deposition in accordance with skin type. We explore a treatment modality
that uses a 2,940 nm Er:YAG laser with high tissue absorption to ablate an array
of channels through the epidermis and upper dermis, and then deliver laser energy
from a 1,320 nm Nd:YAG laser with lower tissue absorption through the ablated
channels. Treatment through ablated conduits offers a unique capability to
deliver laser radiation to a deeper level in the dermis or beyond the dermis and
the delivered energy to be deposited in a nearly uniform distribution. The
ablated channels represent a relatively small surface and volume fraction of the
epidermis and upper dermis, and heal very fast. A pilot study was performed to
explore the benefits of treatments through ablated conduits for skin tightening,
cellulite, and acne scarring. MATERIALS AND METHODS: A custom-built laser from
Cynosure Inc. was designed to deliver to the epidermis up to 3 J/cm2 at 2,940 nm
from an Er:YAG laser followed in less than 10 ms by up to 20 J/cm2 at 1,320 nm
from a Nd:YAG laser. Both laser sources were delivered to the skin through a
diffractive lens array. The spatial intensity modulation created by the
diffractive lens array on the skin surface consisted of a low energy density
background that did not damage the epidermis and a superimposed array of much
higher energy density regions where the Er:YAG laser ablated the channels, and
most of the 1,320 nm laser energy was delivered through the channels. Various
fluence combinations of the ablative and non-ablative lasers were tested on ex
vivo human skin samples to evaluate tissue effects and parameters for a clinical
test. A limited clinical study was performed to evaluate tissue response and
healing effects. RESULTS: Histology confirmed the presence of ablative channels
through the epidermis and upper dermis as well as the absence of epidermal damage
apart from the channels. Three days posttreatment there was complete skin healing
with no evidence of channel ablation or coagulation in the skin biopsies. Limited
clinical testing for facial treatments showed mild improvement for acne scarring
and skin laxity. CONCLUSIONS: Laser skin treatment through ablated conduits can
be performed safely with fast subsequent healing of the channels ablated through
the skin. Further refinement of the treatment parameters and variation of the
wavelength of the non-ablative laser source may bring improved treatment
efficacy. Lasers Surg. Med. 49:69-77, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27197621
TI - Role of isolated limb perfusion with recombinant human tumor necrosis factor
alpha and melphalan in locally advanced extremity soft tissue sarcoma.
AB - The management of locally advanced extremity soft tissue sarcoma of the limbs is
challenging, particularly for recurrent tumors and those adjacent to
neurovascular bundles and joints. Typically, the tumors are large, below the
fascia, and high-grade (T2b or stage III according to the American Joint
Committee on Cancer) and thus require multimodal therapy. Treatment options must
be tailored to patient and tumor characteristics. Isolated limb perfusion with
recombinant human tumor necrosis factor alpha and melphalan (TNF-ILP) adds a
therapeutic option to radiation therapy (RT) and systemic chemotherapy. Although
the procedure is somewhat sophisticated to learn, it is a safe method and has
been used now for almost 2 decades at more than 50 centers worldwide. TNF-ILP
yields a high rate of complete or nearly complete pathologic tumor remission. In
combination with surgical resection of the tumor remnant after isolated limb
perfusion, the limb salvage rate is close to 90%. Often, patients can be spared
adjuvant RT without long-term local tumor control rates being compromised.
Nevertheless, TNF-ILP has never been compared with another treatment regimen in a
randomized trial. This review summarizes the mode of action and standard
application of TNF-ILP and focuses on a critical discussion of the role of TNF
ILP in the multimodal treatment of locally advanced primary and recurrent
extremity sarcoma. Cancer 2016. (c) 2016 American Cancer Society. Cancer
2016;122:2624-2632. (c) 2016 American Cancer Society.
PMID- 27197622
TI - Developing points-based risk-scoring systems in the presence of competing risks.
AB - Predicting the occurrence of an adverse event over time is an important issue in
clinical medicine. Clinical prediction models and associated points-based risk
scoring systems are popular statistical methods for summarizing the relationship
between a multivariable set of patient risk factors and the risk of the
occurrence of an adverse event. Points-based risk-scoring systems are popular
amongst physicians as they permit a rapid assessment of patient risk without the
use of computers or other electronic devices. The use of such points-based risk
scoring systems facilitates evidence-based clinical decision making. There is a
growing interest in cause-specific mortality and in non-fatal outcomes. However,
when considering these types of outcomes, one must account for competing risks
whose occurrence precludes the occurrence of the event of interest. We describe
how points-based risk-scoring systems can be developed in the presence of
competing events. We illustrate the application of these methods by developing
risk-scoring systems for predicting cardiovascular mortality in patients
hospitalized with acute myocardial infarction. Code in the R statistical
programming language is provided for the implementation of the described methods.
(c) 2016 The Authors. Statistics in Medicine published by John Wiley & Sons Ltd.
PMID- 27197624
TI - Long-term stability of Cu surface nanotips.
AB - Sharp nanoscale tips on the metal surfaces of electrodes enhance locally applied
electric fields. Strongly enhanced electric fields trigger electron field
emission and atom evaporation from the apexes of nanotips. Together, these
processes may explain electric discharges in the form of small local arcs
observed near metal surfaces in the presence of electric fields, even in ultra
high vacuum conditions. In the present work, we investigate the stability of
nanoscale tips by means of computer simulations of surface diffusion processes on
copper, the main material used in high-voltage electronics. We study the
stability and lifetime of thin copper (Cu) surface nanotips at different
temperatures in terms of diffusion processes. For this purpose we have developed
a surface kinetic Monte Carlo (KMC) model where the jump processes are described
by tabulated precalculated energy barriers. We show that tall surface features
with high aspect ratios can be fairly stable at room temperature. However, the
stability was found to depend strongly on the temperature: 13 nm nanotips with
the major axes in the [Formula: see text] crystallographic directions were found
to flatten down to half of the original height in less than 100 ns at
temperatures close to the melting point, whereas no significant change in the
height of these nanotips was observed after 10 [Formula: see text] at room
temperature. Moreover, the nanotips built up along the [Formula: see text]
crystallographic directions were found to be significantly more stable than those
oriented in the [Formula: see text] or [Formula: see text] crystallographic
directions. The proposed KMC model has been found to be well-suited for
simulating atomic surface processes and was validated against molecular dynamics
simulation results via the comparison of the flattening times obtained by both
methods. We also note that the KMC simulations were two orders of magnitude
computationally faster than the corresponding molecular dynamics calculations.
PMID- 27197623
TI - Influence of deficit irrigation on strawberry (Fragaria * ananassa Duch.) fruit
quality.
AB - BACKGROUND: Three different irrigation regimes - upper limit of field capacity
(UFC), -12 kPa); lower limit of field capacity (LFC), -33 kPa; and deficit
irrigation (DI), -70 kPa) were established on silty-loam soil and monitored with
tensiometers. Yield and fruit quality of 'Flamenco' and 'Eva's Delight' ever
bearing strawberry cultivars were monitored. The aim of the study was to evaluate
the effect of different irrigation regimes on the content of sugars, organic
acids and phenolic compounds using high-performance liquid chromatography-mass
spectrometry HPLC/HPLC-MS. RESULTS: Deficit irrigation significantly increased
the content of sugars (from 1.1- to 1.3 fold), organic acids (from 1.1- to 1.3
fold), their ratio (from 1.1- to 1.2-fold) and the content of most identified
phenolics in cv. 'Flamenco'. Conversely, higher amounts of total sugars and
organic acids (1.7- to 1.8-fold) were detected in 'Eva's Delight' strawberries at
UFC and LFC irrigation. Deficit irrigation generally decreased strawberry yield
of cv. 'Eva's Delight'. CONCLUSION: The results suggest superior fruit quality
and taste of strawberries grown under minor deficit irrigation for cv.
'Flamenco'. (c) 2016 Society of Chemical Industry.
PMID- 27197625
TI - Anticoagulants: What is new and what is the standard?
AB - This commentary focuses on the status of oral anticoagulants, namely, warfarin
and the novel oral anticoagulants (NOACs) such as dabigatran, rivaroxaban,
apixaban, and edoxaban.
PMID- 27197626
TI - Primary Ewing sarcoma/primitive neuroectodermal tumor in the adrenal gland.
AB - Primary Ewing sarcoma or primitive neuroectodermal tumor (PNET) of the adrenal
gland is extremely rare. We report a case of Ewing sarcoma or PNET of the adrenal
in a 48-year-old Chinese woman. The patient was hospitalized with left upper
quadrant abdominal pain and swelling that had been present for 1 year. Computed
tomography (CT) images revealed a circumscribed mass in the left adrenal region
measuring 12 cm in its greatest dimension, and the mass was surgically resected.
Macroscopically, the mass (13 * 10 * 8 cm3 ) in the left adrenal gland was
encapsulated, soft, appearing grayish white and yellow, and with foci of cystic
degeneration, necrosis, and hemorrhage on cross-sectional. Non-tumorous adrenal
tissue was compressed, but identifiable at the periphery of the specimen.
Histologically, compact short spindle and oval tumor cells were arranged in
sheets. Tumor cells tested positive for vimentin, CD99, Bcl-2, NKX2.2, EMA, and
CD117, and weakly positive for FLI-1 on immunohistochemical analysis and showed
rearrangement of the EWSR1 on fluorescence in situ hybridization analysis. Post
adrenalectomy, after being recurrence free for 4.5 years, the patient relapsed
and a localized recurrence was detected on a follow-up CT scan.
PMID- 27197627
TI - Reply.
PMID- 27197628
TI - Effect of long-term ingestion of weakly oxidised flaxseed oil on biomarkers of
oxidative stress in LDL-receptor knockout mice.
AB - The effect of oxidised fatty acids on atherosclerosis progression is
controversial. Thus, our objective was to evaluate the effect of long-term
consumption of weakly oxidised PUFA from flaxseed oil on oxidative stress
biomarkers of LDL-receptor(-/-) mice. To test our hypothesis, mice were separated
into three groups. The first group received a high-fat diet containing fresh
flaxseed oil (CONT-), the second was fed the same diet prepared using heated
flaxseed oil (OXID), and the third group received the same diet containing fresh
flaxseed oil and had diabetes induced by streptozotocin (CONT+). Oxidative
stress, aortic parameters and non-alcoholic fatty liver disease were assessed.
After 3 months, plasma lipid profile, glucose levels, body weight, energy intake
and dietary intake did not differ among groups. Likewise, oxidative stress,
plasma malondialdehyde (MDA), hepatic MDA expressed as nmol/mg portion (ptn) and
antioxidant enzymes did not differ among the groups. Hepatic linoleic acid, alpha
linolenic acid, arachidonic acid and EPA acid declined in the OXID and CONT+
groups. Aortic wall thickness, lumen and diameter increased only in the OXID
group. OXID and CONT+ groups exhibited higher concentrations of MDA, expressed as
MUmol/mg ptn per %PUFA, when compared with the CONT- group. Our results suggest
that ingestion of oxidised flaxseed oil increases hepatic MDA concentration and
is potentially pro-atherogenic. In addition, the mean MDA value observed in all
groups was similar to those reported in other studies that used xenobiotics as
oxidative stress inducers. Thus, the diet applied in this study represents an
interesting model for further research involving antioxidants.
PMID- 27197629
TI - Blood-based screening for bowel cancer may not resolve suboptimal screening
participation in Australia.
AB - OBJECTIVE: Emerging blood-based screening technologies for bowel cancer may
improve screening participation compared to at-home stool sampling. This study
assessed the impact of different screening delivery scenarios with increasing
health system interactions on sampling preferences and likelihood of screening
participation. METHODS: N=1,561 persons aged 45 to 74 years completed a
behavioural survey measuring demographics, readiness to screen, overall
collection method preference, and proposed participation in stool and blood
methods across four screening scenarios differing in terms of the number of
required health system interactions. RESULTS: Overall, respondents preferred a
blood test (79.6%) compared to a stool test (20.4%). However, increasing health
system interactions had a strong impact on the likelihood of participating in
either sampling method (p<0.001). Moreover, likelihood of participating in each
of the four blood-screening scenarios was significantly lower than the current at
home stool sampling approach (all p<0.001). CONCLUSIONS: Blood-based screening
methods require increased contact with the health system but these interactions
have negative impact on screening likelihood. All blood-based scenarios showed
lower screening likelihood ratings than the current at-home FIT approach. Thus,
blood-based screening may not resolve suboptimal screening participation rates in
Australia.
PMID- 27197630
TI - Human parainfluenza virus types 1-4 in hospitalized children with acute lower
respiratory infections in China.
AB - Human parainfluenza viruses (HPIVs) are an important cause of acute lower
respiratory tract infections (ALRTIs). HPIV-4, a newly identified virus, has been
associated with severe ALRTIs recently. A total of 771 nasopharyngeal aspirate
samples were collected from hospitalized children between March 2010 and February
2011. HPIVs were detected by Nest-PCR, and other known respiratory viruses were
detected by RT-PCR and PCR. All amplification products were sequenced. HPIVs were
detected in 151 (19.58%) patients, of whom 28 (3.63%) were positive for HPIV-4,
12(1.55%) for HPIV-1, 4 (0.51%) for HPIV-2, and 107 (13.87%) for HPIV-3. Only
three were found to be co-infected with different types of HPIVs. All HPIV
positive children were under 5 years of age, with the majority being less than 1
year. Only the detection rate of HPIV-3 had a significant statistical difference
(chi2 = 29.648, P = 0.000) between ages. HPIV-3 and HPIV-4 were detected during
the summer. Sixty (39.74%) were co-infected with other respiratory viruses, and
human rhinovirus (HRV) was the most common co-infecting virus. The most frequent
clinical diagnosis was bronchopneumonia, and all patients had cough; some
patients who were infected with HPIV-3 and HPIV-4 had polypnea and cyanosis. No
significant difference was found in clinical manifestations between those who
were infected with HPIV-4 and HPIV-3. Two genotypes for HPIV-4 were prevalent,
although HPIV-4a dominated. HPIV-4 is an important virus for children
hospitalized with ALRTIs in China. HRV was the most common co-infecting virus.
Two genotypes for HPIV-4 are prevalent, HPIV-4a dominated. J. Med. Virol. 88:2085
2091, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27197632
TI - Memory in pregnancy and post-partum: Item specific and relational encoding
processes in recall and recognition.
AB - It has been recently proposed that pregnant women would perform memory tasks by
focusing more on item-specific processes and less on relational processing,
compared to post-partum women (Mickes, Wixted, Shapiro & Scarff, ). The present
cross-sectional study tested this hypothesis by directly manipulating the type of
encoding employed in the study phase. Pregnant, post-partum and control women
either rated the pleasantness of word meaning (which induced item-specific
elaboration) or named the semantic category to which they belonged (which induced
relational elaboration). Memory for the encoded words was later tested in free
recall (which emphasizes relational processing) and in recognition (which
emphasizes item-specific processing). In line with Mickes et al.'s ()
conclusions, pregnant women in the item-specific condition performed worse than
post-partum women in the relational condition in free recall, but not in
recognition. However, compared to the other two groups, pregnant women also
exhibited lower recognition accuracy in the item-specific condition. Overall,
these results confirm that pregnant women rely on relational encoding less than
post-partum women, but additionally suggest that the former group might use item
specific processes less efficiently than post-partum and control women.
PMID- 27197633
TI - Hepatic enhancement of Gd-EOB-DTPA-enhanced 3 Tesla MR imaging: Assessing
severity of liver cirrhosis.
AB - PURPOSE: To evaluate the usefulness of gadolinium ethoxybenzyl diethylenetriamine
pentaacetic acid (Gd-EOB-DTPA)-enhanced MR imaging in assessing the severity of
cirrhosis and liver function. MATERIALS AND METHODS: This retrospective study
included 120 patients who underwent Gd-EOB-DTPA-enhanced 3 Tesla (T) MR imaging
(normal liver, n = 30; Child-Pugh class A, n = 30; B, n = 30; and C, n = 30).
Groups were matched for underlying disease, age (+/-5 years), gender, and
creatinine (+/-0.05 mg/dL). Contrast enhancement index (CEI) was calculated and
compared between normal and cirrhosis groups. We analyzed the correlation between
hepatic function parameters and CEI at hepatobiliary phase (HP). RESULTS: The
degree and time course of hepatic enhancement significantly differed between
normal and each cirrhosis group (P < 0.001). Mean CEI at HP constantly and
significantly decreased as the severity of cirrhosis increased (P < 0.001). Total
bilirubin (P = 0.022), albumin (P < 0.001), platelet count (P = 0.04), and Model
for End Stage Liver Disease score (P = 0.01) were independent predictors of
hepatic enhancement at HP. CONCLUSION: The degree of hepatic enhancement on Gd
EOB-DTPA indicates the severity of cirrhosis and is correlated with hepatic
function parameters. J. Magn. Reson. Imaging 2016;44:1339-1345.
PMID- 27197631
TI - Autocrine-Based Selection of Drugs That Target Ion Channels from Combinatorial
Venom Peptide Libraries.
AB - Animal venoms represent a rich source of pharmacologically active peptides that
interact with ion channels. However, a challenge to discovering drugs remains
because of the slow pace at which venom peptides are discovered and refined. An
efficient autocrine-based high-throughput selection system was developed to
discover and refine venom peptides that target ion channels. The utility of this
system was demonstrated by the discovery of novel Kv1.3 channel blockers from a
natural venom peptide library that was formatted for autocrine-based selection.
We also engineered a Kv1.3 blocker peptide (ShK) derived from sea anemone to
generate a subtype-selective Kv1.3 blocker with a long half-life in vivo.
PMID- 27197634
TI - Diversity and fluctuation in ciliate protozoan population in the rumen of cattle.
AB - The purpose of this study was to investigate the diversity and fluctuation in the
ciliate protozoan population in the rumen of cattle. DNA was extracted from the
rumen of three ruminally cannulated, crossbred cattle and a polymerase chain
reaction (PCR)-derived clone library was constructed, using a specific primer set
targeting 18S ribosomal RNA genes of ciliate protozoa. DNA fragments of seven
selected clones were validated for standard DNA of the protozoa-specific real
time PCR assay. Furthermore, population fluctuation of ciliate protozoa and
methanogens in the cattle rumen was determined by real-time PCR. A total of 60
clones were sequenced, phylogenetically analyzed, and classified into 24
operational taxonomic units (OTUs) based on a 99% similarity criterion. More than
80% sequences were phylogenetically placed in the genus Entodinium. The rest of
the sequences were placed in the genus Diploplastron (5%), Dasytricha (8.3%) and
Isotricha (3.3%). The results suggest that Entodinium was the dominant group in
the rumen of cattle used in this study. The ciliate protozoan population showed
no significant change in numbers during the monitoring period and reached a peak
at 3 h after feeding. Changes in the protozoa population were lower than those of
the methanogens.
PMID- 27197635
TI - Dialysis catheter placement via the left internal jugular vein: risk of
brachiocephalic vein perforation.
AB - PURPOSE: We discuss a case of a brachiocephalic vein (BCV) perforation after
Tesio(r) central venous catheter insertion. METHOD AND RESULTS: An 80-year-old
patient underwent an ultrasound-guided hemodialysis (HD) catheter placement via
his left internal jugular vein (IJV). One day postoperatively, the patient became
hemodynamically unstable immediately after HD initiation. As a vascular event was
feared, an emergency CT scan was performed demonstrating a BCV perforation. The
patient underwent a sternotomy, the lines were removed and the venous laceration
was closed. The patient recovered well. CONCLUSIONS: In spite of ultrasound
guidance, fluoroscopy for guidewire and sheath advancement, venous blood
aspiration and a normal appearing postoperative x-ray, traumatic central venous
catheter placement is still possible. Tenting of the BCV wall during catheter
advancement possibly caused the venous perforation. A 'how-to' for correct
catheter placement via the IJV is provided and potential pitfalls during each
procedural step are discussed.
PMID- 27197637
TI - Spotlights on our sister journals: Chem. Asian J. 10/2016.
PMID- 27197639
TI - Contents: (Adv. Mater. 20/2016).
PMID- 27197638
TI - Corrigendum: Charge Transfer Through Dithieno[2,3-a:3',2'-c]phenazine: Effect of
Substitution Pattern on the Optoelectronic Properties of Regioisomeric
Luminophores.
PMID- 27197640
TI - Metal Oxide Heterointerfaces in Hybrid Electronic Platforms.
PMID- 27197641
TI - Dye-Sensitized Solar Cells: The Future of Using Earth-Abundant Elements in
Counter Electrodes for Dye-Sensitized Solar Cells (Adv. Mater. 20/2016).
AB - Sustainability is an important concept generating traction in the research
community. To be really sustainable the full life cycle of a product needs to be
carefully considered. A key aspect of this is using elements that are either
readily recycled or accessible in the Earth's biosphere. Jigsawing these
materials together in compounds to address our future energy needs represents a
great opportunity for the current generation of researchers. On page 3802, S.
Dunn and J. Briscoe summarize the performance of a selection of alternative
materials to replace platinum in the counter electrodes of dye-sensitized solar
cells.
PMID- 27197636
TI - What is memory? The present state of the engram.
AB - The mechanism of memory remains one of the great unsolved problems of biology.
Grappling with the question more than a hundred years ago, the German zoologist
Richard Semon formulated the concept of the engram, lasting connections in the
brain that result from simultaneous "excitations", whose precise physical nature
and consequences were out of reach of the biology of his day. Neuroscientists now
have the knowledge and tools to tackle this question, however, and this Forum
brings together leading contemporary views on the mechanisms of memory and what
the engram means today.
PMID- 27197643
TI - Enhanced Charge Separation through ALD-Modified Fe2 O3 /Fe2 TiO5 Nanorod
Heterojunction for Photoelectrochemical Water Oxidation.
AB - Hematite suffers from poor charge transport and separation properties for solar
water splitting. This paper describes the design and fabrication of a 3D Fe2 O3
/Fe2 TiO5 heterojunction photoanode with improved charge separation, via a facile
hydrothermal method followed by atomic layer deposition and air annealing. A
highly crystallized Fe2 TiO5 phase forms with a distinct interface with the
underlying Fe2 O3 core, where a 4 nm Fe2 TiO5 overlayer leads to the best
photoelectrochemical performance. The favorable band offset between Fe2 O3 and
Fe2 TiO5 establishes a type-II heterojunction at the Fe2 O3 /Fe2 TiO5 interface,
which drives electron-hole separation effectively. The Fe2 O3 /Fe2 TiO5 composite
electrode exhibits a dramatically improved photocurrent of 1.63 mA cm(-2) at 1.23
V versus reversible hydrogen electrode (RHE) under simulated 1 sun illumination
(100 mW cm(-2) ), which is 3.5 times that of the bare Fe2 O3 electrode.
Decorating the Fe2 O3 /Fe2 TiO5 heterojunction photoanode with earth-abundant
FeNiOx cocatalyst further expedites surface reaction kinetics, leading to an
onset potential of 0.8 V versus RHE with a photocurrent of 2.7 mA cm(-2) at 1.23
V and 4.6 mA cm(-2) at 1.6 V versus RHE. This sandwich photoanode shows an
excellent stability for 5 h and achieves an overall Faradaic efficiency of 95%
for O2 generation. This is the best performance ever reported for Fe2 O3 /Fe2
TiO5 photoanodes.
PMID- 27197644
TI - One Face of Chlamydia trachomatis: The Infectious Elementary Body.
AB - The lifestyle of Chlamydiae is unique: the bacteria alternate between two
morphologically distinct forms, an infectious non-replicative elementary body
(EB), and a replicative, non-infectious reticulate body (RB). This review focuses
on recent advances in understanding the structure and function of the infectious
form of the best-studied member of the phylum, the human pathogen Chlamydia
trachomatis. Once considered as an inert particle of little functional capacity,
the EB is now perceived as a sophisticated entity that encounters at least three
different environments during each infectious cycle. We review current knowledge
on its composition and morphology, and emerging metabolic activities. These
features confer resistance to the extracellular environment, the ability to
penetrate a host cell and ultimately enable the EB to establish a niche enabling
bacterial survival and growth. The bacterial and host molecules involved in these
processes are beginning to emerge.
PMID- 27197645
TI - Manipulation of the Host Cell Cytoskeleton by Chlamydia.
AB - Chlamydiae are obligate intracellular pathogens. They undergo a biphasic
developmental cycle differentiating between the infectious but metabolically
quiescent elementary body and the vegetative, but non-infectious reticulate body.
Chlamydia spends a significant portion of its development in the non-infectious
stage, demanding an effective strategy of manipulating the host cells to ensure
its intracellular survival and replication. A common target of all Chlamydia
species studied so far is the host cell cytoskeleton, with past and recent
findings revealing crucial roles in invasion, inclusion maintenance, nutrient
acquisition, and egress. The molecular details of how Chlamydia co-opts the
cytoskeleton is becoming clearer, with bacterial factors and their corresponding
host cell targets identified.
PMID- 27197646
TI - Host Cell Chaperones Hsp70/Hsp90 and Peptidyl-Prolyl Cis/Trans Isomerases Are
Required for the Membrane Translocation of Bacterial ADP-Ribosylating Toxins.
AB - Bacterial ADP-ribosylating toxins are the causative agents for several severe
human and animal diseases such as diphtheria, cholera, or enteric diseases. They
display an AB-type structure: The enzymatically active A-domain attaches to the
binding/translocation B-domain which then binds to a receptor on the cell
surface. After receptor-mediated endocytosis, the B-domain facilitates the
membrane translocation of the unfolded A-domain into the host cell cytosol. Here,
the A-domain transfers an ADP-ribose moiety onto its specific substrate which
leads to characteristic cellular effects and thus to severe clinical symptoms.
Since the A-domain has to reach the cytosol to achieve a cytotoxic effect, the
membrane translocation represents a crucial step during toxin uptake. Host cell
chaperones including Hsp90 and protein-folding helper enzymes of the peptidyl
prolyl cis/trans isomerase (PPIase) type facilitate this membrane translocation
of the unfolded A-domain for ADP-ribosylating toxins but not for toxins with a
different enzyme activity. This review summarizes the uptake mechanisms of the
ADP-ribosylating clostridial binary toxins, diphtheria toxin (DT) and cholera
toxin (CT), with a special focus on the interaction of these toxins with the
chaperones Hsp90 and Hsp70 and PPIases of the cyclophilin and FK506-binding
protein families during the membrane translocation of their ADP
ribosyltransferase domains into the host cell cytosol. Moreover, the medical
implications of host cell chaperones and PPIases as new drug targets for the
development of novel therapeutic strategies against diseases caused by bacterial
ADP-ribosylating toxins are discussed.
PMID- 27197647
TI - Serial block face scanning electron microscopy and the reconstruction of plant
cell membrane systems.
AB - Serial block face imaging with the scanning electron microscope has been
developed as an alternative to serial sectioning and transmission electron
microscopy for the ultrastructural analysis of the three-dimensional organization
of cells and tissues. An ultramicrotome within the microscope specimen chamber
permits sectioning and imaging to a depth of many microns within resin-embedded
specimens. The technology has only recently been adopted by plant microscopists
and here we describe some specimen preparation procedures suitable for plant
tissue, suggested microscope imaging parameters and discuss the software required
for image reconstruction and analysis.
PMID- 27197649
TI - Whole body MRI (WB-MRI) assessment of metastatic spread in prostate cancer:
Therapeutic perspectives on targeted management of oligometastatic disease.
AB - OBJECTIVES: To determine the proportion of prostate cancer (PCa) patients with
oligometastatic disease (<=3 synchronous lesions) using whole body magnetic
resonance imaging with diffusion-weighted imaging (WB-MRI/DWI). To determine the
proportion of patients with nodal disease confined within currently accepted
target areas for extended lymph node dissection (eLND) and pelvic external beam
radiation therapy (EBRT). SUBJECTS AND METHODS: Two radiologists reviewed WB
MRI/DWI studies in 96 consecutive newly diagnosed metastatic PCa patients; 46
patients with newly diagnosed castration naive PCa (mHNPC) and 50 patients with
first appearance of metastasis during monitoring for non-metastatic castration
resistant PCa (M0 to mCRPC). The distribution of metastatic deposits was assessed
and the proportions of patients with oligometastatic disease and with LN
metastases located within eLND and EBRT targets were determined. RESULTS: Twenty
eight percent of mHNPC and 50% of mCPRC entered the metastatic disease with <=3
sites. Bone metastases (BM) were identified in 68.8% patients; 71.7% of mHNPC and
66% mCRPC patients. Most commonly involved areas were iliac bones and lumbar
spine. Enlarged lymph nodes (LN) were detected in 68.7% of patients; 69.6% of
mHNPC and 68.0% of mCRPC. Most commonly involved areas were para-aortic, inter
aortico-cava, and external iliac areas. BM and LN were detected concomitantly in
41% of mHNPC and 34% of mCRPC. Visceral metastases were detected in 6.7%.
Metastatic disease was confined to LN located within the accepted boundaries of
eLND or pelvic EBRT target areas in only <=25% and <=30% of patients,
respectively. CONCLUSIONS: Non-invasive mapping of metastatic landing sites in
PCa using WB-MRI/DWI shows that 28% of the mHNPC patients, and 52% of the mCRPC
can be classified as oligometastatic, thus challenging the concept of metastatic
targeted therapy. More than two thirds of metastatic patients have LN located
outside the usually recommended targets of eLND and pelvic EBRT. Prophylactic or
salvage treatments of these sole areas in patients with high-risk prostate cancer
may not prevent the emergence of subsequent metastases. Prostate 76:1024-1033,
2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27197648
TI - Advances of Intracranial Electroencephalography in Localizing the Epileptogenic
Zone.
AB - Intracranial electroencephalography (iEEG) provides the best precision in
estimating the location and boundary of an epileptogenic zone. Analysis of iEEG
in the routine EEG frequency range (0.5-70 Hz) remains the basis in clinical
practice. Low-voltage fast activity is the most commonly reported ictal onset
pattern in neocortical epilepsy, and low-frequency high-amplitude repetitive
spiking is the most commonly reported ictal onset pattern in mesial temporal lobe
epilepsy. Recent studies using wideband EEG recording have demonstrated that
examining higher (80-1000 Hz) and lower (0.016-0.5 Hz) EEG frequencies can
provide additional diagnostic information and help to improve the surgical
outcome. In addition, novel computational techniques of iEEG signal analysis have
provided new insights into the epileptic network. Here, we review some of these
recent advances. Although these sophisticated and advanced techniques of iEEG
analysis show promise in localizing the epileptogenic zone, their utility needs
to be further validated in larger studies.
PMID- 27197650
TI - When expectancies collide: Action dynamics reveal the interaction between
stimulus plausibility and congruency.
AB - The cognitive architecture routinely relies on expectancy mechanisms to process
the plausibility of stimuli and establish their sequential congruency. In two
computer mouse-tracking experiments, we use a cross-modal verification task to
uncover the interaction between plausibility and congruency by examining their
temporal signatures of activation competition as expressed in a computer- mouse
movement decision response. In this task, participants verified the content
congruency of sentence and scene pairs that varied in plausibility. The order of
presentation (sentence-scene, scene-sentence) was varied between participants to
uncover any differential processing. Our results show that implausible but
congruent stimuli triggered less accurate and slower responses than implausible
and incongruent stimuli, and were associated with more complex angular mouse
trajectories independent of the order of presentation. This study provides novel
evidence of a disassociation between the temporal signatures of plausibility and
congruency detection on decision responses.
PMID- 27197651
TI - Follow-up and programmatic outcomes of HIV-exposed infants registered in a large
HIV centre in Lilongwe, Malawi: 2012-2014.
AB - OBJECTIVE: To assess follow-up and programmatic outcomes of HIV-exposed infants
at Martin Preuss Centre, Lilongwe, from 2012 to 2014. METHODS: Retrospective
cohort study using routinely collected HIV-exposed infant data. Data were
analysed using frequencies and percentages in Stata v.13. RESULTS: Of 1035 HIV
exposed infants registered 2012-2014, 79% were available to be tested for HIV and
76% were HIV-tested either with DNA-PCR or rapid HIV test serology by 24 months
of age. Sixty-five infants were found to be HIV-positive and 43% were started on
antiretroviral therapy (ART) at different ages from 6 weeks to 24 months.
Overall, 48% of HIV-exposed infants were declared lost-to-follow-up in the
database. Of these, 69% were listed for tracing; of these, 78% were confirmed as
lost-to-follow-up through patient charts; of these, 51% were traced; and of
these, 62% were truly not in care, the remainder being wrongly classified.
Commonest reasons for being truly not in care were mother/guardian unavailability
to bring infants to Martin Preuss Centre, forgetting clinic appointments and
transport expenses. Of these 86 patients, 36% were successfully brought back to
care and 64% remained lost-to-follow-up. CONCLUSION: Loss to follow-up remains a
huge challenge in the care of HIV-exposed infants. Active tracing facilitates the
return of some of these infants to care. However, programmatic data documentation
must be urgently improved to better follow-up and link HIV-positive children to
ART.
PMID- 27197652
TI - [Non-antagonistic influence of Krumeich's intrastromal corneal ring in an
experimental tissue culture system].
AB - BACKGROUND: Intrastromal insertion of Krumeich's corneal ring between graft and
residual host corneal tissue appears to impair preripheral, superficial and
superfluous vascularization of donor corneal tissue. OBJECTIVES: The purpose of
this study was to investigate the cytotoxic effects of Krumeich's ring using
tissue cultures composed of primary human dermal microvascular endothelial cells
from adult donors (HMVEC). MATERIALS AND METHODS: Soluble growth medium extracts
of the individual components of Krumeich's ring alloy were prepared and HMVEC
were exposed to these extracts in triplicate for 1 day followed by investigation
with 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assays.
Furthermore, HMVEC were cultured for 5 days on either Krumeich's ring or
polypropylene (PP) discs coated with individual components of the Krumeich's ring
alloy followed by double vital staining with fluorescein diacetate (FDA) and
propidium iodide (PI). RESULTS: The MTT assays revealed that higher doses of the
extracts appeared to reduce the viability of HMVEC, while highly diluted extracts
of molybdenum (Mo) powder appeared to increase the metabolic activity of HMVEC.
The FDA-PI staining showed only a few live HMVEC on either cobalt (Co) or Mo
coated PP discs, compared to the respective titanium (Ti) and chromium (Cr)
counterparts. Viable HMVEC appeared to attach to Krumeich's ring after a 5-day
incubation period. CONCLUSION: The results confirm that Krumeich's ring does not
exert measurable cytotoxic effects in our chosen assay system. High dilutions of
medium-soluble Mo powder extracts appear to increase the metabolic activity of
HMVEC.
PMID- 27197653
TI - MR Imaging in non-hepatosplenic extramedullary hematopoiesis in primary
myelofibrosis.
PMID- 27197654
TI - Recent sediments: environmental chemistry, ecotoxicology and engineering.
PMID- 27197655
TI - Chemical immobilization of Pb, Cu, and Cd by phosphate materials and calcium
carbonate in contaminated soils.
AB - Soil contamination with toxic metals has increasingly become a global concern
over the past few decades. Phosphate and carbonate compounds are good passivation
materials for Pb immobilization, while the effect of phosphate and carbonate on
the immobilization of multiple heavy metals (Pb, Cu, and Cd) in contaminated
soils was seldom investigated. In this study, bone meal (BM), phosphate rock
(PR), oxalic acid-activated phosphate rock (APR), super phosphate (SP), and
calcium carbonate (CC) were added to the contaminated soils to evaluate the
effect of phosphate materials and calcium carbonate on the immobilization of Pb,
Cu, and Cd. The results showed that the pH of the treated soils increased 1.3
2.7, except SP which decreased 0.5 at most. Compared to the control treatment,
all phosphates and calcium carbonate added to the polluted soils increased the
fraction of residual metals, and the application of APR, PR, BM, and CC
significantly reduced exchangeable and carbonate-bound fraction metals. PR and
APR were the most effective for the immobilization of Pb, Cu, and Cd in the soils
among these materials. Moreover, the concentrations of all metals in the toxicity
characteristic leaching procedure (TCLP) leachate decreased with increasing
amounts of amendments, and the concentrations of Pb in the TCLP leachate for
soils treated with PR and APR were below the nonhazardous regulatory limit of 5
mg L(-1) (US Environmental Protection Agency). Based on our results, phosphate
rock and oxalic acid-activated phosphate rock are effective in the immobilization
of multiple metals by reducing their mobility in the co-contaminated soils.
PMID- 27197656
TI - Identification and biotransformation of aliphatic hydrocarbons during co
composting of sewage sludge-Date Palm waste using Pyrolysis-GC/MS technique.
AB - The behavior of aliphatic hydrocarbons during co-composting of sewage sludge
activated with palm tree waste was studied for 6 months using Py-GC/MS. The main
aliphatic compounds represented as doublet alkenes/alkanes can be classified into
three groups. The first group consists of 11 alkenes (undecene, tridecene,
pentadecene, hexadecene, heptadecene, octadecene, nonadecene, eicosene, uncosene,
docosene, tricosene) and 15 alkanes (heptane, octane, nonane, decane, undecane,
dodecane, tetradecane, pentadecane, heptadecane, octadecane, nonadecane,
eicosane, uncosane, docosane, and tricosane), which remain stable during the co
composting process. The stability of these compounds is related to their
recalcitrance behavior. The second group consists of five alkenes (heptene,
octene, nonene, decene, dodecene) and tridecane as a single alkane that decreases
during co-composting. The decrease in these compounds is the combined result of
their metabolism and their conversion into other compounds. The third group is
constituted with tetradecene and hexadecane that increase during composting,
which could be explained by accumulation of these compounds, which are released
by the partial breakdown of the substrate. As a result, these molecules are
incorporated or adsorbed in the structure of humic substances.
PMID- 27197657
TI - Bio-optimization of the carbon-to-nitrogen ratio for efficient vermicomposting of
chicken manure and waste paper using Eisenia fetida.
AB - The main objective of the present study was to determine the optimum C/N ratio
for converting waste paper and chicken manure to nutrient-rich manure with
minimum toxicity. Six treatments of C/N ratio 20, 30, 40, 50, 60, and 70 (T1, T2,
T3, T4, T5, and T6, respectively) achieved by mixing chicken manure with shredded
paper were used. The study involved a composting stage for 20 days followed by
vermicomposting with Eisenia fetida for 7 weeks. The results revealed that 20
days of composting considerably degraded the organic waste mixtures from all
treatments and a further 7 weeks of vermiculture significantly improved the
bioconversion and nutrient value of all treatments. The C/N ratio of 40 (T3)
resulted in the best quality vermicompost compared to the other treatments.
Earthworm biomass was highest at T3 and T4 possibly due to a greater reduction of
toxic substances in these waste mixtures. The total N, total P, and total K
concentrations increased with time while total carbon, C/N ratio, electrical
conductivity (EC), and heavy metal content gradually decreased with time during
the vermicomposting process. Scanning electron microscopy (SEM) revealed the
intrastructural degradation of the chicken manure and shredded paper matrix which
confirmed the extent of biodegradation of treatment mixtures as result of the
composting and vermicomposting processes. Phytotoxicity evaluation of final
vermicomposts using tomato (Lycopersicon esculentum), radish (Raphanus sativus),
carrot (Daucus carota), and onion (Allium cepa) as test crops showed the non
phytotoxicity of the vermicomposts to be in the order T3 > T4 > T2 > T1 > T5 >
T6. Generally, the results indicated that the combination of composting and
vermicomposting processes is a good strategy for the management of chicken
manure/paper waste mixtures and that the ideal C/N ratio of the waste mixture is
40 (T3).
PMID- 27197658
TI - Electrochemical wastewater treatment: influence of the type of carbon and of
nitrogen on the organic load removal.
AB - Boron-doped diamond (BDD) and Ti/Pt/PbO2 anodes were utilized to perform the
electrodegradation of synthetic samples containing humic acid in the presence of
different organic and inorganic carbon-containing and nitrogen-containing
compounds. The influence of the chloride ion in the degradation process of the
different synthetic samples was also assessed. The results showed that the anodic
oxidation process can efficiently degrade recalcitrant compounds such as humic
acid. The presence of carbonate in solution enhances the nitrogen removal,
whereas it hinders the oxidation of the organic compounds. When organic nitrogen
is present, it is converted to NH4+, which in turn is oxidized to nitrate and to
volatile nitrogen compounds. Hydroxyl radicals are more prone to oxidize the
organic nitrogen than the ammonium nitrogen. The presence of chloride enhances
the organic matter and nitrogen removal rates, BDD being the anode material that
yields the highest removals.
PMID- 27197659
TI - A comparative study of trace metals in male and female Caspian kutum (Rutilus
frisii kutum) from the southern basin of Caspian Sea.
AB - This study focuses on the extent of zinc (Zn), copper (Cu), cadmium (Cd), cobalt
(Co), manganese (Mn), lead (Pb), mercury (Hg), and arsenic (As) bioaccumulation
in edible muscles of Caspian kutum (Rutilus frisii kutum), in both male and
female sexes at Noor and Babolsar coastal regions from the southern basin of
Caspian Sea. These values were compared with the WHO and the UNFAO safety
standards regarding the amount of the abovementioned heavy metals in fish tissues
(mg/kg ww). Results showed that the accumulation of these elements (except for
Zn) was not significantly different between sexes of male and female in Babolsar
coastal regions (P > 0.05). In the other hand, accumulation of Hg and As at
edible muscles of Caspian kutum has significant difference between two sexes of
male and female in Noor coastal regions (P < 0.05), the female had higher
concentration than the male. Furthermore, it was not significantly correlated
with sex and rivers in length and weight of fish (R 2 < 0.50; P > 0.05). Based on
the results, the concentration of heavy metals in the studied fish tissues proved
to be significantly lower than international standards (P < 0.05), so its
consumption is not a threat to the health of consumers.
PMID- 27197660
TI - Occurrence and change of estrogenic activity in the process of drinking water
treatment and distribution.
AB - From 2010 to 2012, the Yangtze River and Hanjiang River (Wuhan section) were
monitored for estrogenic activities during various water level periods. Using a
recombinant yeast estrogen screen (YES) assay, 54 water samples were evaluated
over the course of nine sampling campaigns. The mean 17beta-estradiol equivalent
(EEQ) value of raw water from the Yangtze River was 0-5.20 ng/L; and the EEQ
level from the Hanjiang River was 0-3.22 ng/L. In Wuhan, drinking water treatment
plants (DWTPs) using conventional treatments reduced estrogenic activities by
more than 89 %. In general, water samples collected during the level period
showed weaker estrogenic activities compared to those collected during the dry
period. The samples collected in 2010 showed the strongest estrogenic activities
of the 3-year period. The lack of correlations between estrogenic activities and
selected common water quality parameters showed that estrogenic activity cannot
be tied to common water quality parameters.
PMID- 27197661
TI - Program Death-1 Suppresses Autoimmune Arthritis by Inhibiting Th17 Response.
AB - Program death-1 (PD-1) is a co-inhibitory receptor inducibly expressed on
activated T cells. PD-1 has been reported to be associated with the development
of several autoimmune diseases including rheumatoid arthritis, but the precise
cellular and molecular mechanisms have not been fully elucidated. To study the
role of PD-1 in the pathogenesis of rheumatoid arthritis and the possible
underlying mechanisms, we performed collagen-induced arthritis (CIA) in C57BL/6
mice. Here, we show that PD-1 deficiency leads to the development of severe CIA
in mice. When analyzing T cells from CIA mice ex vivo, we noticed aberrant
antigen-specific Th17 responses in mice lacking PD-1. This is possibly due to
deregulated activation of PKC-theta and Akt. In support of this notion, treating
Pdcd1 (-/-) mice with an inhibitor of PI3-kinase that is upstream of PKC-theta
and Akt significantly suppressed the disease severity. Therefore, our data
indicate that PD-1 dampens antigen-specific Th17 response, thus inhibiting the
disease.
PMID- 27197663
TI - Outbreak of IMP-producing carbapenem-resistant Enterobacter gergoviae among
kidney transplant recipients.
AB - OBJECTIVES: The objective of this study was to investigate a prolonged outbreak
of carbapenem-resistant Enterobacter gergoviae (CREG) involving kidney transplant
recipients (KTRs) between 2009 and 2014. METHODS: A case-control study was
undertaken. Controls (n = 52) were selected from CREG-negative KTRs. Surveillance
cultures for CREG were collected weekly. Colonization was defined as isolation of
CREG from surveillance samples or from clinical specimens, with no evidence of
infection. We also investigated infection control practices at the facility.
RESULTS: Of 26 identified cases, 13 had had no known contact with another CREG
positive patient before the first positive culture. Seven patients (27%)
developed infection. The site most often colonized was the urinary tract. During
the study period two clusters were identified, one in 2009 and another in 2013
14. DNA sequencing revealed blaIMP-1 in all CREG tested. No environmental or hand
cultures tested positive for CREG. An audit of infection control practices
detected flaws in the handling and cleaning of urinary tract devices.
Multivariate analysis identified advanced age, ureteral stent use,
retransplantation and male gender as risk factors for CREG acquisition.
CONCLUSIONS: An outbreak among KTRs caused by an unusual species of MDR bacteria
may have resulted from a common source of contamination related to urinary tract
devices.
PMID- 27197662
TI - The Pharmacokinetic Exposure to Fexofenadine is Volume-Dependently Reduced in
Healthy Subjects Following Oral Administration With Apple Juice.
AB - Pharmacokinetic exposures to fexofenadine (FEX) are reduced by apple juice (AJ);
however, the relationship between the AJ volume and the degree of AJ-FEX
interaction has not been understood. In this crossover study, 10 healthy subjects
received single doses of FEX 60 mg with different volumes (150, 300, and 600 mL)
of AJ or water (control). To identify an AJ volume lacking clinically meaningful
interaction, we tested a hypothesis that the 90% confidence interval (CI) for
geometric mean ratio (GMR) of FEX AUCAJ /AUCwater is contained within a
biocomparability bound of 0.5-2.0, with at least one tested volume of AJ. GMR
(90% CI) of AUCAJ 150mL /AUCwater , AUCAJ 300mL /AUCwater , and AUCAJ 600mL
/AUCwater were 0.903 (0.752-1.085), 0.593 (0.494-0.712), and 0.385 (0.321-0.462),
respectively. While a moderate to large AJ-FEX interaction is caused by a larger
volumes of AJ (e.g., 300 to 600 mL), the effect of a small volume (e.g., 150 mL)
appears to be not meaningful.
PMID- 27197664
TI - Incentives paid to GPs to improve healthcare have no effect on mortality, study
finds.
PMID- 27197665
TI - The Second International Conference on Nutrition: Implications for Hidden Hunger.
AB - The Second International Conference on Nutrition (ICN2) was jointly organized by
the Food and Agriculture Organization of the United Nations (FAO) and the World
Health Organization (WHO) and was held at the FAO Headquarters in Rome, Italy,
from 19 to 21 November 2014. The ICN2 was a high-level intergovernmental meeting
that focused global attention on addressing malnutrition in all its forms:
undernutrition, including micronutrient deficiencies, overweight, and obesity.
The ICN2 was held to specifically address the persistent and unacceptably high
levels of malnutrition. Despite much progress in reducing hunger globally, 795
million people remain undernourished, over 2 billion people suffer from various
micronutrient deficiencies, and an estimated 161 million children under 5 years
of age are stunted, 99 million underweight, and 51 million wasted. Meanwhile,
more than 600 million adults are obese. Global problems require global solutions.
The ICN2 brought together national policy-makers from food, agriculture, health,
education, social protection and other relevant sectors to address the complex
problem of malnutrition through a multi-sectoral approach. Two outcome documents
the Rome Declaration on Nutrition and the Framework for Action - were endorsed
by participating governments at the Conference, committing world leaders to
establishing national policies aimed at eradicating malnutrition in all its forms
and transforming food systems to make nutritious diets available to all. The Rome
Declaration on Nutrition is a political statement of 10 commitments for more
effective and coordinated action to improve nutrition, while the Framework for
Action is a voluntary technical guide of 60 recommendations for the
implementation of the political commitments. This chapter provides information on
the ICN2 and its outcomes as well as follow-up activities. Emphasis is placed on
the Rome Declaration on Nutrition and the Framework for Action, with special
focus on hidden hunger problems that have to be addressed through different
interventions and a multi-sectoral approach.
PMID- 27197666
TI - Hidden and Neglected: Food Poverty in the Global North - The Case of Germany.
AB - Although still a powerful economy, Germany faces rising income inequality and
food insecurity. Quantitative data show that nutritional poverty in Germany has
become a fact, especially for social welfare recipients. This contribution gives
an overview and discusses the limits of results from different data sources, such
as German food surveys, and addresses how affected population groups are
systematically underrepresented. To give a more thorough impression of food
insecurity in Germany, the article compares nutritional consumption data from the
Statistics on Income and Living Conditions/Eurostat survey for Germany, the
members of the European Union 27 (EU27), and Greece. The figures for Germans with
incomes below 60% of the median equivalised income who cannot afford one proper
meal every second day are worse than those in the remaining EU27 member nations,
and the figures for their children are not so far from the figures for crisis
stricken Greece. As eating is not only about nutrition but also a means of social
activity, we consider the ability to eat and drink with friends an issue of
alimentary participation. The percentages of Germans who cannot afford a drink or
meal with others at least once a month is very high compared to the rates of the
remaining EU27 member nations and Greece. The provided quantitative figures prove
that we see serious signs of food poverty in portions of Germany, despite its
comparatively strong economy. Data from hundreds of qualitative interviews
describing how people stricken by food insecurity try to cope with the situation
complement these results. Such data are very important, as governments widely
underestimate the problem and leave it to be dealt with by food banks as the only
institutional solution.
PMID- 27197667
TI - Curcumin Blocks Naproxen-Induced Gastric Antral Ulcerations through Inhibition of
Lipid Peroxidation and Activation of Enzymatic Scavengers in Rats.
AB - Curcumin is a polyphenol derived from the plant Curcuma longa, which is used for
the treatment of diseases associated with oxidative stress and inflammation. The
present study was undertaken to determine the protective effect of curcumin
against naproxen-induced gastric antral ulcerations in rats. Different doses (10,
50, and 100 mg/kg) of curcumin or vehicle (curcumin, 0 mg/kg) were pretreated for
3 days by oral gavage, and then gastric mucosal lesions were caused by 80 mg/kg
naproxen applied for 3 days. Curcumin significantly inhibited the naproxen
induced gastric antral ulcer area and lipid peroxidation in a dose-dependent
manner. In addition, curcumin markedly increased activities of radical scavenging
enzymes, such as superoxide dismutase (SOD), catalase, and glutathione peroxidase
in a dose-dependent manner. Specifically, 100 mg/kg curcumin completely protected
the gastric mucosa against the loss in the enzyme, resulting in a drastic
increase of activities of radical scavenging enzymes up to more than the level of
untreated normal rats. Histological examination obviously showed that curcumin
prevents naproxen-induced gastric antral ulceration as a result of direct
protection of the gastric mucosa. These results suggest that curcumin blocks
naproxen-induced gastric antral ulcerations through prevention of lipid
peroxidation and activation of radical scavenging enzymes, and it may offer a
potential remedy of gastric antral ulcerations.
PMID- 27197668
TI - Development of a One-Step Duplex RT-PCR Method for the Simultaneous Detection of
VP3/VP1 and VP1/P2B Regions of the Hepatitis A Virus.
AB - The simultaneous detection and accurate identification of hepatitis A virus (HAV)
is critical in food safety and epidemiological studies to prevent the spread of
HAV outbreaks. Towards this goal, a one-step duplex reverse-transcription (RT)
PCR method was developed targeting the VP1/P2B and VP3/VP1 regions of the HAV
genome for the qualitative detection of HAV. An HAV RT-qPCR standard curve was
produced for the quantification of HAV RNA. The detection limit of the duplex RT
PCR method was 2.8 * 10(1) copies of HAV. The PCR products enabled HAV genotyping
analysis through DNA sequencing, which can be applied for epidemiological
investigations. The ability of this duplex RT-PCR method to detect HAV was
evaluated with HAV-spiked samples of fresh lettuce, frozen strawberries, and
oysters. The limit of detection of the one-step duplex RT-PCR for each food model
was 9.4 * 10(2) copies/20 g fresh lettuce, 9.7 * 10(3) copies/20 g frozen
strawberries, and 4.1 * 10(3) copies/1.5 g oysters. Use of a one-step duplex RT
PCR method has advantages such as shorter time, decreased cost, and decreased
labor owing to the single amplification reaction instead of four amplifications
necessary for nested RT-PCR.
PMID- 27197669
TI - Cochleicola gelatinilyticus gen. nov., sp. nov., Isolated from a Marine
Gastropod, Reichia luteostoma.
AB - A yellow, rod-shaped, non-motile, gram-negative, and strictly aerobic bacterial
strain, designated LPB0005(T), was isolated from a marine gastropod, Reichia
luteostoma. Here the genome sequence was determined, which comprised 3,395,737 bp
with 2,962 protein-coding genes. The DNA G+C content was 36.3 mol%. The 16S rRNA
gene sequence analysis indicated that the isolate represents a novel genus and
species in the family Flavobacteriaceae, with relatively low sequence
similarities to other closely related genera. The isolate showed chemotaxonomic
properties within the range reported for the family Flavobacteriaceae, but
possesses many physiological and biochemical characteristics that distinguished
it from species in the closely related genera Ulvibacter, Jejudonia, and
Aureitalea. Based on phylogenetic, phenotypic, and genomic analyses, strain
LPB0005(T) represents a novel genus and species, for which the name Cochleicola
gelatinilyticus gen. nov., sp. nov. is proposed. The type strain is LPB0005(T) (=
KACC 18693(T) = JCM 31218(T)).
PMID- 27197670
TI - Nematicidal Activity of Kojic Acid Produced by Aspergillus oryzae against
Meloidogyne incognita.
AB - The fungal strain EML-DML3PNa1 isolated from leaf of white dogwood (Cornus alba
L.) showed strong nematicidal activity with juvenile mortality of 87.6% at a
concentration of 20% fermentation broth filtrate at 3 days after treatment. The
active fungal strain was identified as Aspergillus oryzae, which belongs to
section Flavi, based on the morphological characteristics and sequence analysis
of the ITS rDNA, calmodulin (CaM), and beta-tubulin (BenA) genes. The strain
reduced the pH value to 5.62 after 7 days of incubation. Organic acid analysis
revealed the presence of citric acid (515.0 mg/kg), malic acid (506.6 mg/kg), and
fumaric acid (21.7 mg/kg). The three organic acids showed moderate nematicidal
activities, but the mixture of citric acid, malic acid, and fumaric acid did not
exhibit the full nematicidal activity of the culture filtrate of EML- DML3PNa1.
Bioassay-guided fractionation coupled with (1)H- and (13)C-NMR and EI-MS analyses
led to identification of kojic acid as the major nematicidal metabolite. Kojic
acid exhibited dose-dependent mortality and inhibited the hatchability of M.
incognita, showing EC50 values of 195.2 ug/ml and 238.3 ug/ml, respectively, at
72 h postexposure. These results suggest that A. oryzae EML-DML3PNa1 and kojic
acid have potential as a biological control agent against M. incognita.
PMID- 27197671
TI - Biosorption of Lead(II) by Arthrobacter sp. 25: Process Optimization and
Mechanism.
AB - In the present work, Arthrobacter sp. 25, a lead-tolerant bacterium, was assayed
to remove lead(II) from aqueous solution. The biosorption process was optimized
by response surface methodology (RSM) based on the Box-Behnken design. The
relationships between dependent and independent variables were quantitatively
determined by second-order polynomial equation and 3D response surface plots. The
biosorption mechanism was explored by characterization of the biosorbent before
and after biosorption using atomic force microscopy (AFM), scanning electron
microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, and Fourier
transform infrared spectroscopy. The results showed that the maximum adsorption
capacity of 9.6 mg/g was obtained at the initial lead ion concentration of 108.79
mg/l, pH value of 5.75, and biosorbent dosage of 9.9 g/l (fresh weight), which
was close to the theoretically expected value of 9.88 mg/g. Arthrobacter sp. 25
is an ellipsoidalshaped bacterium covered with extracellular polymeric
substances. The biosorption mechanism involved physical adsorption and
microprecipitation as well as ion exchange, and functional groups such as
phosphoryl, hydroxyl, amino, amide, carbonyl, and phosphate groups played vital
roles in adsorption. The results indicate that Arthrobacter sp. 25 may be
potentially used as a biosorbent for low-concentration lead(II) removal from
wastewater.
PMID- 27197672
TI - Estrogen Receptor alpha and beta in Mouse: Adipose-Derived Stem Cell
Proliferation, Migration, and Brown Adipogenesis In Vitro.
AB - BACKGROUND/AIMS: Adipose-derived stem cells (ASCs) belong to mesenchymal stem
cells and may play a potential role as seeding cells in stem cell
transplantation. To be able to exploit stem cells as therapeutic tool, their
defects in some important cellular functions, such as low survival rate and
cellular activity, should be considered. This is especially the case for stem
cells that are intended for transplantation. Of note, stem cell responses to
hormones should be considered since estrogen is known to play a critical role in
stem cell behavior. However, different impacts of the estrogen receptor (ER)
types alpha and beta have not been fully determined in ASC function. In this
study, we investigated effects of ERalpha and ERbeta on ASC proliferation,
migration, as well as in adipogenesis. METHODS: ASCs obtained from mice were
cultured with 100nM ERalpha or ERbeta agonist PPT and DPN, respectively. The
ERalpha and ERbeta antagonist ICI 182,780 (100nM) was used as control. RESULTS:
Compared to ERbeta, ERalpha appears more potent in improving ASC proliferation
and migration. Investigation of adipogenesis revealed that ERbeta played a
significant role in suppressing ASC-mediated brown tissue adipogenesis which is
in contrast to ERalpha. These results correlated with reduced mRNA expression of
UCP-1, PGC-1alpha and PPAR-x03B3;. CONCLUSIONS: ERalpha plays a more critical
role in promoting ASC proliferation and migration while ERbeta is more potent in
suppressing ASC brown adipose tissue differentiation mediated by decreased UCP-1,
PGC-1alpha and PPAR-x03B3; expression.
PMID- 27197673
TI - Growth Differentiation Factor 15, Its 12-Month Relative Change, and Risk of
Cardiovascular Events and Total Mortality in Patients with Stable Coronary Heart
Disease: 10-Year Follow-up of the KAROLA Study.
AB - BACKGROUND: This study considered whether baseline concentrations and 12-month
changes of growth differentiation factor 15 (GDF-15) are associated with
subsequent cardiovascular events (CVEs) and total mortality in patients with
stable coronary heart disease. METHODS: Baseline GDF-15 serum concentrations were
measured in 1073 participants in a cardiac rehabilitation program (median follow
up 10 years). GDF-15 associations with subsequent CVE and total mortality were
evaluated by Cox-proportional hazards models adjusting for well-established
cardiovascular risk factors (Model 2), plus N-terminal probrain natriuretic
peptide, high-sensitivity (hs) CRP, and hs cardiac troponin T (Model 3). RESULTS:
In our study population [84.7% men, mean age 59 years, median baseline GDF-15
1232 ng/L (interquartile range, 916, 1674)] we observed 190 CVE and 162 deaths.
Compared to participants with GDF-15 <1200 ng/L, increased risk for death was
found in participants with GDF-15 >=1200 and <=1800 ng/L [hazard ratio (HR) 1.68
(95% CI, 1.08-2.62)] and with GDF-15 >1800 ng/L [HR 1.73 (1.02-2.94)], even in
Model 3. The 12-month relative median change was -16.7%. As compared to
participants with 12-month relative changes between -20% and 20%, GDF-15
increments >20% were associated with: a) an HR of 1.84 (1.04-3.26) for CVE in
Model 2, but found nonsignificant in Model 3; (b) an HR of 2.26 (1.32-3.86) for
death even in Model 3. CONCLUSIONS: GDF-15 at baseline is independently
associated with subsequent CVE and 10-year total mortality. Twelve-month relative
changes remained associated with subsequent CVE when adjusting for well
established cardiovascular risk factors, and with total mortality even after
further adjustment for established cardiac biomarkers.
PMID- 27197674
TI - Direct Comparison of Metastasis-Related miRNAs Expression Levels in Circulating
Tumor Cells, Corresponding Plasma, and Primary Tumors of Breast Cancer Patients.
AB - BACKGROUND: Circulating tumor cells (CTCs) and microRNAs (miRNAs) are important
in liquid biopsies in which peripheral blood is used to characterize the
evolution of solid tumors. We evaluated the expression levels of miR-21, miR
146a, miR-200c, and miR-210 in CTCs of breast cancer patients with verified
metastasis and compared their expression levels in corresponding plasma and
primary tumors. METHODS: Expression levels of the miRNAs were quantified by
quantitative reverse transcription PCR (RT-qPCR) in (a) 89 primary breast tumors
and 30 noncancerous breast tissues and (b) CTCs and corresponding plasma of 55
patients with metastatic breast cancer and 20 healthy donors. For 30 of these
patients, CTCs, corresponding plasma, and primary tumor tissues were available.
RESULTS: In formalin-fixed, paraffin-embedded tissues, these miRNAs were
differentially expressed between primary breast tumors and noncancerous breast
tissues. miR-21 (P < 0.001) and miR-146a (P = 0.001) were overexpressed, whereas
miR-200c (P = 0.004) and miR-210 (P = 0.002) were underexpressed. In multivariate
analysis, miR-146a overexpression was significantly [hazard ratio 2.969 (1.231
7.157), P = 0.015] associated with progression-free survival. In peripheral
blood, all miRNAs studied were overexpressed in both CTC and corresponding
plasma. There was a significant association between miR-21 expression levels in
CTCs and plasma for 36 of 55 samples (P = 0.008). In plasma, ROC curve analysis
revealed that miR-21, miR-146a, and miR-210 could discriminate patients from
healthy individuals. CONCLUSIONS: Metastasis-related miRNAs are overexpressed in
CTCs and corresponding plasma; miR-21 expression levels highly correlate in CTCs
and plasma; and miR-21, miR-146a, and miR-210 are valuable plasma biomarkers for
discriminating patients from healthy individuals.
PMID- 27197675
TI - Iterative Outlier Removal: A Method for Identifying Outliers in Laboratory
Recalibration Studies.
AB - BACKGROUND: Extreme values that arise for any reason, including those through
nonlaboratory measurement procedure-related processes (inadequate mixing,
evaporation, mislabeling), lead to outliers and inflate errors in recalibration
studies. We present an approach termed iterative outlier removal (IOR) for
identifying such outliers. METHODS: We previously identified substantial
laboratory drift in uric acid measurements in the Atherosclerosis Risk in
Communities (ARIC) Study over time. Serum uric acid was originally measured in
1990-1992 on a Coulter DACOS instrument using an uricase-based measurement
procedure. To recalibrate previous measured concentrations to a newer enzymatic
colorimetric measurement procedure, uric acid was remeasured in 200 participants
from stored plasma in 2011-2013 on a Beckman Olympus 480 autoanalyzer. To conduct
IOR, we excluded data points >3 SDs from the mean difference. We continued this
process using the resulting data until no outliers remained. RESULTS: IOR
detected more outliers and yielded greater precision in simulation. The original
mean difference (SD) in uric acid was 1.25 (0.62) mg/dL. After 4 iterations, 9
outliers were excluded, and the mean difference (SD) was 1.23 (0.45) mg/dL.
Conducting only one round of outlier removal (standard approach) would have
excluded 4 outliers [mean difference (SD) = 1.22 (0.51) mg/dL]. Applying the
recalibration (derived from Deming regression) from each approach to the original
measurements, the prevalence of hyperuricemia (>7 mg/dL) was 28.5% before IOR and
8.5% after IOR. CONCLUSIONS: IOR is a useful method for removal of extreme
outliers irrelevant to recalibrating laboratory measurements, and identifies more
extraneous outliers than the standard approach.
PMID- 27197676
TI - Bloodborne Viral Pathogen Contamination in the Era of Laboratory Automation.
AB - BACKGROUND: The CDC states that laboratory testing for persons under
investigation for Ebola virus disease can be safely performed using automated
laboratory instruments by adhering to bloodborne pathogen practices. We therefore
sought to investigate the levels of viral contamination of a total laboratory
automation (TLA) system to guide risk mitigation strategies for handling
infectious agents. METHODS: Environmental swabs followed by PCR for hepatitis B
(HBV) and hepatitis C (HCV) viruses were taken from a chemistry TLA system during
routine clinical use and after running a small number of high-titer HCV samples.
Control experiments were performed to ensure the recovery of DNA and RNA viruses
by swabs from a representative nonporous surface. RESULTS: Of 79 baseline swabs
for nucleic acids performed on the TLA system, 10 were positive for HBV and 8 for
HCV. Viral nucleic acid was consistently detected from swabs taken from the
distal inside surface of the decapper discharge chute, with areas adjacent to the
decapper instrument and the centrifuge rotor also positive for HBV or HCV nucleic
acid. Contamination was occasionally detected on exposed surfaces in areas
without protective barriers between samples and personnel. After running known
HCV-positive samples, at least one additional site of contamination was detected
on an exposed area of the line. CONCLUSIONS: A low level of viral contamination
of automated clinical laboratory equipment occurs in clinical use. Given the
risks associated with highly infectious agents, there is a need for risk
mitigation procedures when handling all samples.
PMID- 27197677
TI - Selecting Statistical Procedures for Quality Control Planning Based on Risk
Management.
AB - BACKGROUND: According to the traditional approach to statistical QC planning, the
performance of QC procedures is assessed in terms of its probability of rejecting
an analytical run that contains critical size errors (PEDC). Recently, the
maximum expected increase in the number of unacceptable patient results reported
during the presence of an undetected out-of-control error condition [Max E(NUF)],
has been proposed as an alternative QC performance measure because it is more
related to the current introduction of risk management concepts for QC planning
in the clinical laboratory. METHODS: We used a statistical model to investigate
the relationship between PEDC and Max E(NUF) for simple QC procedures widely used
in clinical laboratories and to construct charts relating Max E(NUF) with the
capability of the analytical process that allow for QC planning based on the risk
of harm to a patient due to the report of erroneous results. RESULTS: A QC
procedure shows nearly the same Max E(NUF) value when used for controlling
analytical processes with the same capability, and there is a close relationship
between PEDC and Max E(NUF) for simple QC procedures; therefore, the value of
PEDC can be estimated from the value of Max E(NUF) and vice versa. QC procedures
selected by their high PEDC value are also characterized by a low value for Max
E(NUF). CONCLUSIONS: The PEDC value can be used for estimating the probability of
patient harm, allowing for the selection of appropriate QC procedures in QC
planning based on risk management.
PMID- 27197678
TI - Can't Touch This! Contamination of Laboratory Equipment with Bloodborne
Pathogens.
PMID- 27197679
TI - Advances in Lipid Testing: A Practical Step Forward.
PMID- 27197680
TI - Demystifying Reference Sample Quality Control.
PMID- 27197681
TI - Villonodular synovitis of the ankle. Analysis of the risk of recurrence.
AB - INTRODUCTION: Villonodular synovitis (VNS) is a rare disease with an incidence of
1.8 per 1,000,000 inhabitants. VNS of the ankle has seldom been described and
evaluated given its extreme rarity (2.5% of VNS cases). It presents an 11%
recurrence rate. We report a continuous retrospective series with the main
objective of clinically and radiologically evaluating these ankles searching for
any risk factors of recurrence. At revision the study's main endpoint was the
existence of local recurrence (radiological and clinical) and the secondary
endpoint was the existence of tibiotalar osteoarthritis. The working hypothesis
was that recurrence could be subclinical, warranting systematic imaging studies
during follow-up. MATERIAL AND METHODS: The study was retrospective, conducted on
seven patients (six males) whose mean age was 42 years treated over a period of 9
years (two diffuse forms and five localized forms). The initial treatment
consisted in synovectomy via the conventional approach. Four patients also
received adjuvant isotopic synoviorthesis treatment. The revision was clinical
(MMTS, AOFAS, and OMAS scores) and radiological (standard and MRI) to evaluate
the joint after-effects and search for recurrence. RESULTS: Six patients were
seen at a mean 6.5 years of follow-up. One case of early recurrence (4 years) was
noted, with a major clinical manifestation because it was associated with joint
destruction requiring arthrodesis, and one case of late asymptomatic recurrence
(9 years), diagnosed radiologically on the follow-up MRI. The functional results
remained good at follow-up (MMTS 77%, AOFAS 71, OMAS 71). Five of the six
patients returned to their daily activities. At revision, no sign of
osteoarthritis was observed. No risk factor for recurrence was demonstrated.
DISCUSSION/CONCLUSION: The hypothesis was confirmed with the existence of
asymptomatic recurrence at revision, underscoring the value of systematic MRI at
follow-up. Other than major joint destruction, the prognosis remains good even in
case of recurrence. The literature emphasizes the existence of an initial diffuse
form and partial surgical resection as risk factors of recurrence. None of the
reports in the literature has proven that adjuvant treatment, whose modalities do
not meet with consensus, reduces this risk. LEVEL OF EVIDENCE: Retrospective
series, level IV.
PMID- 27197682
TI - Serpentine supravenous hyperpigmentation due to hydroxychloroquine.
PMID- 27197683
TI - Effects of smoking on central blood pressure and pressure amplification in
hypertension of the young.
AB - The aim of this study was to investigate the effect of cigarette smoking on
peripheral and central blood pressure (BP) in a group of young stage I
hypertensives. A total of 344 untreated subjects from the HARVEST study were
examined (mean age 37+/-10 years). Patients were divided into three groups based
on smoking status: non-smokers, light smokers (?5 cigarettes/day) and moderate-to
heavy smokers (>5 cigarettes/day); and into three groups by age: 18-29, 30-39 and
?40 years. Central BP measurements and augmentation index (AIx) were calculated
from brachial pressure waveform, with applanation tonometry, by means of the
Specaway DAT System plus a Millar tonometer. The central waveform was derived
from peripheral BP using the same software system of the SphygmoCor System pulse
wave analysis. In addition, two indirect measurements of arterial stiffness were
calculated: pulse pressure (PP) and systolic BP amplification. Central systolic
BP and PP were higher in smokers than in non-smokers (systolic BP: 121.9+/-13.1
mmHg in non-smokers, 127.2+/-16.5 mmHg in light smokers, 126.7+/-15.3 mmHg in
those who smoked >5 cigarettes/day, p=0.009; PP: 37.7+/-9.8 mmHg, 41.5+/-13.1
mmHg, 41.9+/-10.5 mmHg, respectively, p=0.005). Lower systolic BP amplification
(p<0.001) and PP amplification (p=0.001) were observed in smokers compared to non
smokers. In a two-way ANCOVA analysis, systolic BP amplification markedly
declined across the three age groups (p=0.0002) and from non-smokers to smokers
(p=0.0001), with a significant interaction between smoking and age group
(p=0.05). The AIx was higher in smokers compared to non-smokers (p=0.024). In
young hypertensives, smoking has a detrimental effect on central BP, accelerating
the age-related decline in BP amplification.
PMID- 27197685
TI - Urban climate versus global climate change-what makes the difference for dengue?
AB - The expansion in the geographical distribution of vector-borne diseases is a much
emphasized consequence of climate change, as are the consequences of urbanization
for diseases that are already endemic, which may be even more important for
public health. In this paper, we focus on dengue, the most widespread urban
vector-borne disease. Largely urban with a tropical/subtropical distribution and
vectored by a domesticated mosquito, Aedes aegypti, dengue poses a serious public
health threat. Temperature plays a determinant role in dengue epidemic potential,
affecting crucial parts of the mosquito and viral life cycles. The urban
predilection of the mosquito species will further exacerbate the impact of global
temperature change because of the urban heat island effect. Even within a city,
temperatures can vary by 10 degrees C according to urban land use, and diurnal
temperature range (DTR) can be even greater. DTR has been shown to contribute
significantly to dengue epidemic potential. Unraveling the importance of within
city temperature is as important for dengue as for the negative health
consequences of high temperatures that have thus far been emphasized, for
example, pollution and heat stroke. Urban and landscape planning designed to
mitigate the non-infectious negative effects of temperature should additionally
focus on dengue, which is currently spreading worldwide with no signs of respite.
PMID- 27197684
TI - Study on the efficacy of surgery of the superficial venous system and of
compression therapy at early stages of chronic venous disease for the prevention
of chronic venous ulceration.
AB - The mainstay of treatment of chronic venous ulceration (CVU), as also suggested
by current treatment guidelines for chronic venous disease (CVD), is represented
by surgery and compression therapy for which there is strong evidence of their
role in clinically relevant improvement in wound healing and also in the
reduction of CVU recurrence, but no information is available as to whether or not
these treatments provide effective protection from the onset of CVU. In our
study, we have followed, for a median time of 13 years, a total of 3947 patients
with CVD at classes C2-C3 of CEAP classification, treated with our treatment
protocol (surgery and compression therapy) in order to track the natural history
of these patients with regards to CVU development. We identified four groups of
patients: 2354 patients (59.64%) (Group A) fully adherent to protocols; 848
patients (21.48%) (Group B) fully adherent to surgery and non-compliant to
compression therapy; 432 patients (10.95%) (Group C) fully adherent to
compression therapy and non-compliant to surgery; and 313 patients (7.93%) (Group
D) non-compliant to either treatments. Regardless of compliance to treatments,
the ulcer development rates were very similar between groups (range: 3.23-4.79%),
with no statistical significance (P = 0.1522). Currents treatments used in the
early stages of CVD appear to have no effects to progression to CVU. Additional
longitudinal studies are required to confirm these findings.
PMID- 27197687
TI - Metformin-Associated Lactic Acidosis in a Patient with Normal Renal Function.
AB - We report a case of metformin-associated lactic acidosis (MALA) in the setting of
normal renal function and review the relevant medical literature. A 77-year-old
female diagnosed with type 2 diabetes mellitus previously treated with insulin
and gliclazide MR was started on metformin. A few weeks later, she was found to
have lactic acidosis. Renal function was normal, and no severe underlying illness
was identified. Metformin was discontinued, and lactate levels normalized within
4 days, suggesting metformin was a reversible precipitant of the lactic acidosis.
MALA can occur in the absence of renal impairment, systemic hypoperfusion or
severe liver disease. A possible mechanism is a genetically determined alteration
in metformin pharmacokinetics. Metformin is beneficial and safe in patients with
normal renal function, but the development of MALA, although rare, should be kept
in mind to prevent potentially life-threatening toxicity.
PMID- 27197686
TI - Performance of the Pediatric Glasgow Coma Scale Score in the Evaluation of
Children With Blunt Head Trauma.
AB - OBJECTIVE: The objective was to compare the accuracy of the pediatric Glasgow
Coma Scale (GCS) score in preverbal children to the standard GCS score in older
children for identifying those with traumatic brain injuries (TBIs) after blunt
head trauma. METHODS: This was a planned secondary analysis of a large
prospective observational multicenter cohort study of children with blunt head
trauma. Clinical data were recorded onto case report forms before computed
tomography (CT) results or clinical outcomes were known. The total and component
GCS scores were assigned by the physician at initial emergency department
evaluation. The pediatric GCS was used for children <2 years old and the standard
GCS for those >=2 years old. Outcomes were TBI visible on CT and clinically
important TBI (ciTBI), defined as death from TBI, neurosurgery, intubation for
more than 24 hours for the head injury, or hospitalization for 2 or more nights
for the head injury in association with TBI on CT. We compared the areas under
the receiver operating characteristic (ROC) curves between age cohorts for the
association of GCS and the TBI outcomes. RESULTS: We enrolled 42,041 patients, of
whom 10,499 (25.0%) were <2 years old. Among patients <2 years, 313/3,329 (9.4%,
95% confidence interval [CI] = 8.4% to 10.4%) of those imaged had TBIs on CT and
146/10,499 (1.4%, 95% CI = 1.2% to 1.6%) had ciTBIs. In patients >=2 years,
773/11,977 (6.5%, 95% CI = 6.0% to 6.9%) of those imaged had TBIs on CT and
572/31,542 (1.8%, 95% CI = 1.7% to 2.0%) had ciTBIs. For the pediatric GCS in
children <2 years old, the area under the ROC curve was 0.61 (95% CI = 0.59 to
0.64) for TBI on CT and 0.77 (95% CI = 0.73 to 0.81) for ciTBI. For the standard
GCS in older children, the area under the ROC curve was 0.71 (95% CI = 0.70 to
0.73) for TBI on CT scan and 0.81 (95% CI = 0.79 to 0.83) for ciTBI. CONCLUSIONS:
The pediatric GCS for preverbal children was somewhat less accurate than the
standard GCS for older children in identifying those with TBI on CT. However, the
pediatric GCS for preverbal children and the standard GCS for older children were
equally accurate for identifying ciTBI.
PMID- 27197689
TI - Alloimmunisation rates of sickle cell disease patients in the United States
differ from those in other geographical regions.
AB - OBJECTIVES: Comparison of the alloimmunisation rates of patients with sickle cell
disease in the Unites States versus other countries. BACKGROUND: Sickle cell
disease (SCD) patients treated with chronic transfusion therapy are at a high
risk of red blood cell (RBC) alloimmunisation. MATERIALS AND METHODS: We reviewed
published literature describing alloimmunisation rates of SCD patients. Average
alloimmunisation rates and number of alloantibodies per transfused patient in the
United States and other countries were evaluated. RESULTS: Twenty-four studies on
alloimmunisation of SCD patients were found, 15 studies with 3,708 patients in
the US and 9 studies with 2203 patients from other regions, including South
America, the Caribbean, Middle East, Africa and Europe. The United States has a
higher alloimmunisation rate (22.33 +/- 0.13% versus 16.25 +/- 0.35%, p < 0.0001)
and a higher number of alloantibodies per transfused patient (0.45 +/- 0.003
versus 0.20 +/- 0.005, p < 0.0001) than other countries. Brazil with a higher
proportion of multi-ethnic donors demonstrated a lower alloimmunisation rate
compared to the United States (14.60 +/- 0.40% versus 22.33 +/- 0.13%, p <
0.0001) and fewer alloantibodies per transfused patient (0.20 +/- 0.02 versus
0.45 +/- 0.003, p < 0.0001) than the United States. CONCLUSION: SCD patients in
the United States had a higher alloimmunisation rate, which could be reduced by a
more ethnically diverse donor pool and a more conservative transfusion strategy
in non-critical conditions.
PMID- 27197688
TI - Polyol accumulation in muscle and liver in a mouse model of type 2 diabetes.
AB - AIMS: Type 2 diabetes (T2D) is a complex metabolic disease leading to
complications in multiple organs. Diabetic myopathy and liver disease are common
complications of T2D, but are incompletely understood. To gain insight into the
pathogenesis of these conditions we performed metabolomic analysis of skeletal
muscle and liver in a mouse model of T2D. METHODS: Tissue metabolomics were
performed by GC/MS and LC/MS of the skeletal muscle and liver in the MKR mouse
model of T2D, compared with control mice. MKR mice were treated with the beta-3
adrenergic receptor agonist, CL-316,243 to determine metabolite changes after
correcting hyperglycemia. RESULTS: Blood glucose was higher in MKR vs WT mice,
and normalized with CL-316,243 treatment. Compared with WT mice, MKR mice had 2.5
fold higher concentrations of sorbitol and 1.7 fold lower concentrations of
reduced glutathione in skeletal muscle. In liver, MKR mice had 2 fold higher
concentrations of the pentitol ribitol. CL-316,243 treatment normalized sorbitol
and ribitol concentrations in MKR skeletal muscle and liver, respectively to the
levels of the WT mice. CONCLUSIONS: These results demonstrate tissue-specific
accumulation of polyols in a mouse model of T2D and provide novel insights into
the pathogenesis of myopathy and liver disease in T2D.
PMID- 27197691
TI - Drivers of costly treatment strategies in rheumatoid arthritis.
PMID- 27197692
TI - Microbiome Data Science: Understanding Our Microbial Planet.
AB - Microbiology is experiencing a revolution brought on by recent developments in
sequencing technology. The unprecedented volume of microbiome data being
generated poses significant challenges that are currently hindering progress in
the field. Here, we outline the major bottlenecks and propose a vision to advance
microbiome research as a data-driven science.
PMID- 27197690
TI - Tumour necrosis factor inhibition versus rituximab for patients with rheumatoid
arthritis who require biological treatment (ORBIT): an open-label, randomised
controlled, non-inferiority, trial.
AB - BACKGROUND: Tumour necrosis factor (TNF) inhibition and B-cell depletion are
highly effective treatments for active rheumatoid arthritis, but so far no
randomised controlled trials have directly compared their safety, efficacy, and
cost-effectiveness. This study was done to test the hypothesis that using
rituximab would be clinically non-inferior and cheaper compared with TNF
inhibitor treatment in biological-treatment naive patients with rheumatoid
arthritis. METHODS: This open-label, randomised controlled, non-inferiority trial
enrolled patients with active, seropositive rheumatoid arthritis and an
inadequate response to synthetic disease modifying anti-rheumatic drugs (DMARDs)
from 35 rheumatology departments in the UK. Patients were randomly assigned 1:1
to the rituximab or TNF inhibitor groups with minimisation to account for
methotrexate intolerance using a web-based randomisation system. Patients were
given intravenous rituximab 1 g on days 1 and 15, and after 26 weeks if they
responded to treatment but had persistent disease activity (28 joint count
disease activity score [DAS28-ESR] >3.2; rituximab group) or a TNF inhibitor
adalimumab (40 mg subcutaneously every other week) or etanercept (50 mg per week
subcutaneously) according to the patient's and rheumatologist's choice (TNF
inhibitor group). Patients could switch treatment in the case of drug-related
toxic effects or absence or loss of response. The primary outcome measure was the
change in DAS28-ESR between 0 and 12 months in the per-protocol population of
patients who were assigned to treatment and remained in follow-up to 1 year. We
assessed safety in all patients who received at least one dose of study drug. We
also assessed the cost-effectiveness of each strategy. The non-inferiority margin
was specified as 0.6 DAS28-ESR units. This study is registered with
ClinicalTrials.gov, number NCT01021735. FINDINGS: Between April 6, 2009, and Nov
11, 2013, 295 patients were randomly assigned and given either rituximab (n=144)
or TNF inhibitor (n=151) treatment. After 12 months, the change in DAS28-ESR for
patients assigned to rituximab was -2.6 (SD 1.4) and TNF inhibitor was -2.4 (SD
1.5), with a difference within the prespecified non-inferiority margin of -0.19
(95% CI -0.51 to 0.13; p=0.24). The health-related costs associated with the
rituximab strategy were lower than the TNF inhibitor strategy (L9,405 vs L11,523
per patient, p<0.0001). 137 (95%) of 144 patients in the rituximab group and 143
(95%) of 151 patients in the TNF inhibitor group had adverse events. 37 serious
adverse events occurred in patients receiving rituximab compared with 26 in
patients receiving TNF inhibitors, of which 27 were deemed to be possibly,
probably, or definitely related to the treatment (15 vs 12, p=0.5462). One
patient in each group died during the study. INTERPRETATION: Initial treatment
with rituximab is non-inferior to initial TNF inhibitor treatment in patients
seropositive for rheumatoid arthritis and naive to treatment with biologicals,
and is cost saving over 12 months. FUNDING: Arthritis Research UK, Roche.
PMID- 27197693
TI - Binding activities of non-beta-glucan glycoclusters to dectin-1 and exploration
of their binding site.
AB - Dectin-1, which specifically recognizes beta-(1,3)-glucans, plays an important
role in innate immune responses. For the first time, in this study we found that
a series of non-beta-glucan glycoclusters can bind to dectin-1 by means of
surface plasmon resonance (SPR) assay. Hexavalent lactoside Ju-6 showed the
strongest affinity property (KD=1.6 uM). Interestingly, a continuous binding
dissociation experiment on SPR showed that Ju-6 and Laminarin binding to dectin-1
are independent of each other. Moreover, RT-PCR assay showed that Ju-6 cannot up
regulate cytokine gene expression or inhibit the promoting effect caused by
Zymosan (a long-chain beta-glucan). These results indicated that there might be a
possible new carbohydrate binding site on dectin-1.
PMID- 27197695
TI - [Erratum of "In time: averting the legacy of kidney disease - focus on childhood"
[Rev Paul Pediatr. 2016;34(1):5-10]].
PMID- 27197694
TI - Evaluation of a two-stage antibacterial hydrogel dressing for healing in an
infected diabetic wound.
AB - Various types of wound dressings have been used to treat complex infections in
diabetes mellitus. This study is the first to evaluate the healing effects using
a two-stage dressing in infected diabetic wounds. A two-stage antibacterial
hydrogel dressing (two-stage dressing) was established with two time phases, an
antibacterial phase and a drug release phase. We established each phase by using
a swelling and rate of drug release test. These results suggested that the
antimicrobial phase is activated as soon as the two-stage dressing attaches to
the skin. The drugs in the drug release layer of the dressing were released to a
greater extent than expected 20-36 h after attachment to the skin, likely due to
extensive water absorption. Histological analysis and measurement of vascular
endothelial growth factor expression through in vivo testing suggested that the
benefits of a two-stage dressing include rapid antibacterial properties,
sustained drug release, and promotion of wound healing through cell proliferation
as compared with the traditional composite antibacterial hydrogel dressing.
Further in vivo tests confirmed that separation of the antibacterial and drug
releasing properties, along with biocompatibility and rapid wound closure rates
made two-stage dressings suitable for healing of infected wounds. (c) 2015 Wiley
Periodicals, Inc. J Biomed Mater Res Part B: Appl Biomater, 105B: 1808-1817,
2017.
PMID- 27197696
TI - Understanding parents' and professionals' knowledge and awareness of autism in
Nepal.
AB - Autism is a global phenomenon. Yet, there is a dearth of knowledge of how it is
understood and its impact in low-income countries. We examined parents' and
professionals' understanding of autism in one low-income country, Nepal. We
conducted focus groups and semi-structured interviews with parents of autistic
and non-autistic children and education and health professionals from urban and
rural settings ( n = 106), asking questions about typical and atypical
development and presenting vignettes of children to prompt discussion. Overall,
parents of typically developing children and professionals had little explicit
awareness of autism. They did, however, use some distinctive terms to describe
children with autism from children with other developmental conditions.
Furthermore, most participants felt that environmental factors, including in
utero stressors and birth complications, parenting style and home or school
environment were key causes of atypical child development and further called for
greater efforts to raise awareness and build community capacity to address
autism. This is the first study to show the striking lack of awareness of autism
by parents and professionals alike. These results have important implications for
future work in Nepal aiming both to estimate the prevalence of autism and to
enhance support available for autistic children and their families.
PMID- 27197697
TI - Personality traits, autobiographical memory and knowledge of self and others: A
comparative study in young people with autism spectrum disorder.
AB - The relationship between dissociable components of autobiographical memory (e.g.
semantic personality traits and episodic memory retrieval) and other cognitive
skills that are proposed to enable one to develop a sense of self (e.g.
introspection) have not previously been explored for children with autism
spectrum disorder. This study compared autobiographical memory (semantic and
episodic) and knowledge of self (internal/external self-knowledge and
introspection/mentalising abilities) in children (aged 11-18 years) with high
functioning autism spectrum disorder and typically developing controls (total N =
48). Novel and standard tasks were employed. Compared to typically developing
controls, young people with autism spectrum disorder had autobiographical memory
difficulties that were characterised by a reduction in the retrieval of semantic
personality traits, with more initial prompts required to facilitate episodic
memory retrieval and fewer episodic memories containing emotional and sensory
information. Knowledge of the self and others was also impaired, with reduced
introspection and poorer mentalising abilities. Young people with autism spectrum
disorder were also identified as presenting with an atypical relationship between
autobiographical memory and self-knowledge, which was significantly different
from typically developing controls. Test performance is discussed in relation to
the functions of autobiographical memory, with consideration of how these
cognitive difficulties may contribute to clinical practices and the social and
behavioural characteristics of autism spectrum disorder.
PMID- 27197698
TI - Reply to Umberto Capitanio, Paolo Dell'Oglio, Alessandro Larcher, and Francesco
Montorsi's Reply to Kazutaka Saito's Words of Wisdom re: Nephron-sparing
Techniques Independently Decrease the Risk of Cardiovascular Events Relative to
Radical Nephrectomy in Patients with a T1a-T1b Renal Mass and Normal Preoperative
Renal Function. Eur Urol. 2016;69:538.
PMID- 27197699
TI - Impaired Mood in Headache Clinic Patients: Associations With the Perceived
Hindrance and Attainability of Personal Goals.
AB - BACKGROUND: Headache disorders are often accompanied by impaired mood, especially
in the headache clinic population. There is a large body of literature
demonstrating that an illness or disability may affect the way in which patients
perceive their personal goals and that the perception that the attainability of
goals is hindered by the illness is a risk factor for impaired mood. However,
empirical evidence regarding the extent to which goals are hindered or less
attainable as a result of a headache disorder, and how that is related to mood,
is currently lacking. OBJECTIVE: The aim of this cross-sectional study was to
examine associations between headache severity, goal hindrance and attainability,
and mood in a headache clinic population. METHODS: The sample consisted of 65
adult patients seeking treatment at a tertiary headache clinic. Prior to their
first appointment in the clinic, patients completed self-report measures of
headache severity, goals and mood (PANAS). RESULTS: Higher self-reported headache
intensity was associated with higher goal hindrance (r = .38, P = .004), whereas
greater headache frequency was associated with lower goal attainability (r = .30,
P = .022). Higher perceived goal hindrance was associated with lower positive
mood (r = -.27, P = .032) and higher negative mood (r = .28, P = .027).
Furthermore, lower perceived goal attainability was associated with higher
negative mood (r = -.34, P = .007). Goal perceptions explained an additional
11.4% of the variance in positive mood (F = 3.250, P = .047 <.05) and 10.5% of
the variance in negative mood (F = 3.459, P = .039) beyond the effect of age and
headache severity. CONCLUSION: The results of this preliminary study suggest that
perceptions of increased goal hindrance and decreased goal attainability may
indeed be a risk factor for impaired mood in the headache clinic population and
highlight the need for further, longitudinal research. Obtaining more insight
into goal processes (eg, what types of goals are specifically disturbed, which
goal adjustment strategies are (mal)adaptive) may help to identify ways to
improve outcomes in the headache clinic population.
PMID- 27197700
TI - The effect of social capital on job satisfaction and quality of care among
hospital nurses in South Korea.
AB - AIM: To identify the level of social capital and its effect on job satisfaction
and self-reported quality of care of nurses working in hospital settings in South
Korea. BACKGROUND: There are few studies on social capital and its relationship
to workplace outcomes in the nursing profession internationally. METHOD: A cross
sectional, correlational design was adopted with a convenience sample of 432
nurses from two university-affiliated teaching hospitals in South Korea. RESULTS:
Nurses' social capital was positively related to job satisfaction and self
reported quality of care. Social capital explained 50% and 24%, respectively, of
the variance in job satisfaction and reported quality of care. CONCLUSION: By
improving social capital, nurses' job satisfaction could be enhanced and result
in better quality of care for patients. IMPLICATIONS FOR NURSING MANAGEMENT:
Strategies to improve social capital in nursing organisations are necessary to
improve job satisfaction and the quality of care provided by nurses. Nurse
managers and administrators should encourage nurse participation in
organisational affairs and decision-making processes to improve social capital by
developing a trusting work environment with shared values and support nurses who
work with peers, superiors, and subordinates.
PMID- 27197701
TI - Transcutaneous temperature controlled radiofrequency for orgasmic dysfunction.
AB - BACKGROUND AND OBJECTIVES: To evaluate the safety, tolerability, and clinical
efficacy of transcutaneous temperature controlled radiofrequency (TTCRF) on
vulvovaginal tissue for orgasmic dysfunction. STUDY DESIGN/MATERIALS AND METHODS:
Subjects included 25 sexually active women, ages 21-65, with self-reported
difficulty in achieving orgasms during sex (anorgasmic or slow-to-orgasm). Each
patient received three sessions at intervals of about 1 month. Treatment was
performed using a slim S-shaped probe with a stamp-sized metal radiofrequency
emitter on one surface of the tip (25 minutes total time on average). External
treatments covered the labia majora and minora, lower mons pubis, perineal body,
clitoral hood, and clitoris. Full length treatment of the vagina with
concentration on the anterior wall was performed. Tissue temperature during
therapy was elevated to and maintained between 40 degrees C and 45 degrees C. No
anesthesia was required. After treatment, patients immediately resumed normal
activities, including sex. RESULTS: Twenty-three of 25 patients reported an
average reduction in time to orgasm of 33%. Patients also noted significant
vaginal tightening effects, increased vaginal moisture, and improved vulvar and
clitoral sensitivity. All anorgasmic patients reported the ability to achieve
orgasms. Two patients had minimal response. CONCLUSION: TTCRF is an effective non
hormonal, non-surgical option for women having difficulty achieving orgasm.
Treatment also has visible tightening effects on feminine tissues and appears to
increase local blood flow, resulting in increased vaginal tightness and moisture.
Improved appearance and friction resulted in improved confidence and reduced
performance anxiety. Lasers Surg. Med. 48:641-645, 2016. (c) 2016 The Authors.
Lasers in Surgery and Medicine Published by Wiley Periodicals, Inc.
PMID- 27197703
TI - Technical Standards for Nursing Education Programs in the 21st Century.
AB - PURPOSE: The Institute of Medicine (2000, 2002) exposed serious safety problems
in the health system and called for total qualitative system change. The
Institute of Medicine (2011, 2015) also calls for improving the education of
nurses to provide leadership for a redesigned health system. Intertwined with
improving education is the need to recruit and retain diverse highly qualified
students. Disability is part of diversity inclusion, but current technical
standards (nonacademic requirements) for admission to many nursing programs are a
barrier to the entry of persons with disabilities. Rehabilitation nurse leaders
are in a unique position to improve disability diversity in nursing. The purpose
of this paper is to discuss the importance of disability diversity in nursing.
DESIGN: The history of existing technical standards used in many nursing programs
is reviewed along with examples. METHODS: On the basis of the concept that
disability inclusion is a part of diversity inclusion, we propose a new model of
technical standards for nursing education. CONCLUSION AND CLINICAL RELEVANCE:
Rehabilitation nurse leaders can lead in eliminating barriers to persons with
disabilities entering nursing.
PMID- 27197702
TI - Developing a Web-Based Version of An Exercise-Based Rehabilitation Program for
People With Chronic Knee and Hip Pain: A Mixed Methods Study.
AB - BACKGROUND: Osteoarthritis is highly prevalent and has enormous personal and
socioeconomic impact. Enabling Self-management and Coping with Arthritic Pain
through Exercise (ESCAPE-pain) is an integrated rehabilitation program that helps
people understand how exercise can improve physical and psychosocial well-being.
Unfortunately, its availability is limited. A Web-based version of the program
could increase access for more people. Many Web-based resources are developed
without end-user input and result in over-complex, unwanted, ineffective products
with limited uptake. OBJECTIVE: The objective of this study was to codesign a Web
based version of ESCAPE-pain that people with chronic joint pain find engaging,
informative, and useful. METHODS: To establish older persons' Internet use we
conducted a postal survey of 200 people. To establish their opinions, likes or
dislikes, and requirements for a Web-based version of the ESCAPE-pain program, we
conducted two focus groups with 11 people who had participated in a program based
on ESCAPE-pain and two with 13 people who had not. Information from the postal
survey and focus groups was used to develop an online prototype website. People's
opinions of the prototype website were gauged from thematic analysis of eight
semistructured "think aloud" interviews. RESULTS: The survey response rate was
42% (83/200), of whom 67% (56/83) were female and mean age was 67 years. Eighty
three percent of the people had used the Internet, 69% described themselves as
either very confident or confident Internet users, and 77% had looked online for
health information. With regard to participating online, 34% had read a
commentary or watched a video of someone else's experience of a health problem
and 23% had tracked a health issue. Key qualitative themes emerged that included
engagement, acceptability and usability, and structure and content of the
program. CONCLUSIONS: Older people use the Internet as a source of health
information but have concerns about safe use and quality of information. Users
require a credible website that provides personalized information, support,
monitoring, and feedback.
PMID- 27197705
TI - Do dental students have a neutral working posture?
AB - BACKGROUND: Dentists are susceptible to Musculoskeletal Disorders (MSDs) due to
prolonged static postures. To prevent MSDs, working postures of dental students
should be assessed and corrected in early career life. OBJECTIVE: This study
estimated the risk of developing musculoskeletal disorders in dental students
using Rapid Upper Limb Assessment (RULA) tool. METHODS: A number of 103
undergraduate dental students from fourth and fifth academic years participated.
Postures of these students were assessed using RULA tool while working in the
dental clinic. They also answered a questionnaire regarding their knowledge about
postural dental ergonomic principles. RESULTS: The majority of the students (66%)
were at intermediate and high risk levels to develop MSDs and their postures
needed to be corrected. There was no significant correlation between RULA score
and gender, academic year and different wards of dental clinics. There was no
significant correlation between knowledge and RULA scores. CONCLUSIONS: Dental
students did not have favorable working postures. They were at an intermediate to
high risk for developing MSDs which calls for a change in their working postures.
Therefore students should be trained with ergonomic principles and to achieve the
best results, ergonomic lessons should be accompanied by practice and periodical
evaluations.
PMID- 27197704
TI - Effects of carbohydrate/protein ratio on the microstructure and the barrier and
sorption properties of wheat starch-whey protein blend edible films.
AB - BACKGROUND: Starch and whey protein isolate and their mixtures were used for
making edible films. Moisture sorption isotherms, water vapour permeability,
sorption of aroma compounds, microstructure, water contact angle and surface
properties were investigated. RESULTS: With increasing protein content, the
microstructure changes became more homogeneous. The water vapour permeability
increases with both the humidity gradient and the starch content. For all films,
the hygroscopicity increases with starch content. Surface properties change
according to the starch/whey protein ratio and are mainly related to the polar
component of the surface tension. Films composed of 80% starch and 20% whey
proteins have more hydrophobic surfaces than the other films due to specific
interactions. CONCLUSIONS: The effect of carbohydrate/protein ratio significantly
influences the microstructure, the surface wettability and the barrier properties
of wheat starch-whey protein blend films. (c) 2016 Society of Chemical Industry.
PMID- 27197706
TI - Effects of hamstring stretch with pelvic control on pain and work ability in
standing workers.
AB - BACKGROUND: Hamstring tightness induces posterior pelvic tilt and decreased
lumbar lordosis, which can result in low back painOBJECTIVE: We investigated
effects of hamstring stretch with pelvic control on pain and work ability in
standing workers. METHODS: One hundred adult volunteers from a standing workers
were randomly assigned to pelvic control hamstring stretching (PCHS) (n = 34),
general hamstring stretching (GHS) (n = 34), control (n = 32) groups. The control
group was performed self-home exercise. All interventions were conducted 3 days
per week for 6 weeks, and included in the hamstring stretching and lumbopelvic
muscle strengthening. Outcomes were evaluated through the visual analog scale
(VAS), straight leg raise test (SLR), sit and reach test (SRT), Oswestry
disability index (ODI), and work ability index (WAI). RESULTS: Significant
difference in VAS, SLR, SRT, ODI, and WAI were found in the PCHS and GHS groups.
The control group was a significant difference only in ODI. The PCHS group showed
a greater difference than the GHS group and control group in VAS, SLR, SRT, and
ODI. CONCLUSIONS: The pelvic control hamstring stretch exercise would be more
helpful in back pain reduction and improvement of work ability in an industrial
setting.
PMID- 27197707
TI - Differences in the activation of abdominal muscles during trunk extension between
smokers and non-smokers.
AB - BACKGROUND: Many studies reported that the morbidity of the respiratory and
circulatory diseases is higher among smokers than non-smokers. Some recent
studies reported the effects of smoking on the musculoskeletal system. However,
it is difficult to generalize the experimental environment because it is
different from activities of daily living environment. OBJECTIVE: The purpose of
this study was to compare the differences in the activation of abdominal muscles
during trunk extension between smokers and non-smokers. METHODS: The subjects
were 30 healthy adults (15 smokers and 15 non-smokers). The percentage of maximal
voluntary isometric contraction (%MVIC) values of rectus abdominis (RA), external
oblique (EO), internal oblique and transversus abdominis(IO&TrA) were measured
using the surface electromyography System. RESULTS: The results showed no
significant differences in the %MVIC of RA and EO between smokers and non
smokers. However, the %MVIC of IO&TrA showed a significant difference between
smokers and non-smokers. The %MVIC of IO&TrA of non-smokers was higher.
CONCLUSIONS: The non-smokers showed greater activation of deep abdominal muscles
than smokers did.
PMID- 27197708
TI - Suprascapular nerve injury: A cause to consider in shoulder pain and dysfunction.
AB - Suprascapular nerve injury is increasingly being recognized as an important cause
of shoulder dysfunction. The non-specific clinical features of suprascapular
nerve injury can make diagnosis difficult. However, it is essential for
clinicians to consider it as part of the differential diagnoses in patients with
vague pain or sensory disturbances over the posterosuperior part of their
shoulder or have unexplained atrophy and weakness of their supraspinatus or
infraspinatus muscle. Electrodiagnostic studies are useful in confirming and
localising the nerve injury, while MRIs can be employed to determine the cause of
nerve injury and assess the integrity of the rotator cuff muscles. Isolated
suprascapular nerve injury can be managed with a trial of conservative management
for at least 6 months. Subsequently, decompression of the nerve through open or
arthroscopic techniques can be considered - both are associated with high rates
of pain relief and functional improvement.
PMID- 27197709
TI - Posttraumatic Growth in Relation to the Frequency and Severity of Traumatic
Experiences Among Police Officers in Small to Midsize Departments.
AB - The Critical Incident History Questionnaire (CIHQ) measures, through multiple
measurement methods, the severity and frequency of traumatic events experienced
by law enforcement officers. We, however, found no studies utilizing the CIHQ to
examine posttraumatic growth (PTG) as measured by the Posttraumatic Growth
Inventory. The purpose of this brief report was to assess the strength and
direction of the relationships between PTG with trauma frequency, trauma
severity, and health variables, including subjective traumatic stress,
relationship stress, nontraumatic work stress, posttraumatic stress disorder
(PTSD) symptoms, depression, and alcohol use among law enforcement officers (N =
193) from small and midsize agencies. In addition, we sought to explore
differences between cognitive and behavioral PTG. Based on results from bivariate
and multivariate analyses, we found that an idiosyncratic view of trauma severity
shaped by personal experience demonstrated the strongest relationship with PTG
among the frequency and severity variables and that increased PTG was not
associated with reduced psychological distress. Alcohol use, a variable that is
assessed primarily through behaviors compared with cognitions, was not
significantly associated with PTG. Overall, the findings of this study
demonstrate the importance for future research to consider both the frequency and
severity of trauma exposure in the development of PTG and its impact on health
outcomes.
PMID- 27197710
TI - Lying to ourselves: rationality, critical reflexivity, and the moral order as
'structured agency'.
AB - A report suggests that United States' army officers may engage in dishonest
reporting regarding their compliance procedures. Similarly, nurses with espoused
high ethical standards sometimes fail to live up to them and may do so while
deceiving themselves about such practices. Reasons for lapses are complex.
However, multitudinous managerial demands arising within 'technical and
instrumental rationality' may impact on honest decision-making. This paper
suggests that compliance processes, which operates within the social structural
context of the technical and instrumental rationality manifest as
'managerialism', contributes to professional 'dishonesty' about lapses in care,
sometimes through 'thoughtlessness'. The need to manage risk, measure, account,
and control in order to deliver efficiency, effectiveness, and economy (technical
rationality) thus has both unintended and dysfunctional consequences. Meeting
compliance requirements may be mediated by factors such as the 'affect heuristic'
and 'reflexive deliberations' as part of the 'structured agency' of nurses. It is
the complexity of 'structured agency' which may explain why some nurses fail to
respond to such things as sentinel events, a failure to recognize 'personal
troubles' as 'public issues', a failure which to outsiders who expect rational
and professional responses may seem inconceivable. There is a need to understand
these processes so that nurses can critique the context in which they work and to
move beyond either/or explanations of structure or agency for care failures, and
professional dishonesty.
PMID- 27197711
TI - Cost-effectiveness analysis of hepatocellular carcinoma screening by combinations
of ultrasound and alpha-fetoprotein among Alaska Native people, 1983-2012.
AB - BACKGROUND: The American Association for the Study of Liver Diseases (AASLD)
recommends semi-annual hepatocellular carcinoma (HCC) screening using ultrasound
(US) in persons with chronic hepatitis B (CHB) virus infection at high risk for
HCC such as Asian males aged >=40 years and Asian females aged >=50 years.
OBJECTIVE: To analyse the cost-effectiveness of 2 HCC screening methods in the
Alaska Native (AN) health system: US-alone, or screening by alpha-fetoprotein
(AFP) initially and switching to US for subsequent screenings if AFP >10 ng/mL
(AFP->US). DESIGN: A spreadsheet-based model was developed for accounting the
costs of 2 hypothetical HCC screening methods. We used epidemiologic data from a
cohort of 839 AN persons with CHB who were offered HCC screening by AFP/US semi
annually during 1983-2012. We assumed that compared with AFP->US, US-alone
identifies 33% more tumours at an early stage (defined as a single tumour <=5 cm
or <=3 tumours <=3 cm in diameter). Years of life gained (YLG) attributed to
screening was estimated by comparing additional years of survival among persons
with early- compared with late-stage tumours. Screening costs were calculated
using Medicare reimbursement rates in 2012. Future screening costs and YLG were
projected over a 30-year time horizon using a 3% discount rate. RESULTS: The
total cost of screening for the cohort by AFP->US would have been approximately
$357,000 ($36,000/early-stage tumour detected) compared to $814,000
($59,000/early-stage tumour detected) by US-alone. The AFP->US method would have
yielded an additional 27.8 YLG ($13,000/YLG) compared with 38.9 YLG ($21,000/YLG)
for US-alone. Screening by US-alone would incur an additional $114,000 per extra
early-tumour detected compared with AFP->US and $41,000 per extra YLG.
CONCLUSIONS: Although US-alone HCC screening might have yielded more YLG than AFP
>US, the reduced costs of the AFP->US method could expand access to HCC screening
in resource constrained settings.
PMID- 27197712
TI - Sarcomatoid carcinoma of the prostate with adenocarcinoma, squamous cell
carcinoma, and heterologous components.
PMID- 27197713
TI - Risk analysis of ischemic-type biliary lesions after liver transplant using
octogenarian donors.
PMID- 27197714
TI - The impact of geographical location on trends in hospitalisation rates and
outcomes for fall-related injuries in older people.
AB - OBJECTIVE: This population-based study investigates the influence of geographical
location on hospital admissions, utilisation and outcomes for fall-related injury
in older adults, adjusting for age, sex and comorbidities. METHODS: A linked
dataset of all admissions of NSW residents aged 65 and older, hospitalised at
least once for a fall-related injury between 2003 and 2012, was used to estimate
rates of hospitalisations, total lengths-of-stay, 28-day readmissions, and 30-day
mortalities. These were standardised for age, sex, comorbidity, and remoteness.
RESULTS: Compared to urban residents, rural residents were hospitalised less
(p<0.0001) and hospitalisation rates increased at a lower rate (0.8% vs 2.6% per
year) from 2003 to 2012. Rural residents had a shorter median total length of
stay (5 vs 7 days, p<0.0001), a higher 28-day readmission rate (18.9% vs 17.0%,
p<0.0001) and higher 30-day mortality (5.0% vs 4.9%, p=0.0046). CONCLUSIONS: Over
the study period, rural residents of NSW had lower rates of fall-related injury
hospitalisation and a lower annual increase in hospitalisation rates compared to
urban residents. When hospitalised, rural residents had a shorter length-of-stay,
but higher rates of readmission and mortality. These differences existed
following standardisation. IMPLICATIONS: This study highlights the need for
further research to characterise and explain this variability.
PMID- 27197716
TI - Chronic hepatitis C burden and care cascade in Australia in the era of interferon
based treatment.
AB - BACKGROUND AND AIM: Interferon-free direct-acting antiviral regimens for
hepatitis C virus (HCV) infection have been recently available in Australia,
beginning a new era in clinical and public health management of HCV infection.
This study provided updated estimates of the HCV infection care cascade and
burden in Australia as a reliable platform for assessing the future impact of
interferon-free therapies. METHODS: A modeling approach was applied to estimate
the number of individuals living with chronic HCV infection and with various
liver disease stages. Data from national registries of HCV notification and liver
transplantation, literature review, and expert consensus informed the model
parameters. HCV notification and Pharmaceutical Benefits Scheme data were used to
estimate the number of HCV diagnosed individuals and treatment uptake. RESULTS:
In 2014, an estimated 230 470 individuals (range: 180 490-243 990) were living
with HCV, among whom 75% were diagnosed (n = 172 720; range: 156 720-188 770),
20% had ever received treatment (n = 45 000; range: 39 280-50 720), and 11% had
been cured (n = 24 750; range: 21 520-27 990). Among individuals with HCV
infection, the proportion with hepatic fibrosis stage >=F3 doubled during the
last decade, increasing from 9% (n = 18 580) in 2004 to 19% (n = 44,730) in 2014.
Individuals initiating HCV treatment increased from 1100 in 1997 to 3840 in 2007,
plateaued until 2010 and decreased to 2790 in 2014. CONCLUSIONS: The burden of
HCV-related liver disease has increased markedly. Although the proportion
diagnosed was high, treatment uptake remained low, with no increase over the last
7 years. Reducing the HCV burden in Australia requires scale-up of interferon
free HCV therapies.
PMID- 27197715
TI - Genetically Directed Production of Recombinant, Isosteric and Nonhydrolysable
Ubiquitin Conjugates.
AB - We describe the genetically directed incorporation of aminooxy functionality into
recombinant proteins by using a mutant Methanosarcina barkeri pyrrolysyl-tRNA
synthetase/tRNACUA pair. This allows the general production of nonhydrolysable
ubiquitin conjugates of recombinant origin by bioorthogonal oxime ligation. This
was exemplified by the preparation of nonhydrolysable versions of diubiquitin,
polymeric ubiquitin chains and ubiquitylated SUMO. The conjugates exhibited
unrivalled isostery with the native isopeptide bond, as inferred from structural
and biophysical characterisation. Furthermore, the conjugates functioned as
nanomolar inhibitors of deubiquitylating enzymes and were recognised by linkage
specific antibodies. This technology should provide a versatile platform for the
development of powerful tools for studying deubiquitylating enzymes and for
elucidating the cellular roles of diverse polyubiquitin linkages.
PMID- 27197718
TI - Mother's marital satisfaction associated with the quality of mother-father-child
triadic interaction.
AB - Low marital satisfaction has been shown to be a risk factor for early parenthood
and parent-child relationship problems (Erel & Burman, ; McHale, ). The aim of
this study was to assess how parental reports of marital satisfaction related to
family alliance and coordination in the observed triadic interaction. The study
group included 120 families. Marital satisfaction was evaluated during pregnancy,
at 4 months, and at 18 months using the Revised Dyadic Adjustment Scale (RDAS;
Busby, Christensen, Crane & Larsson, ) for both parents. Mother-father-child
interaction was analyzed in the Lausanne Triadic Play setting and coded using the
Family Alliance Assessment Scale (Favez, Lavanchy Scaiola, Tissot, Darwiche &
Frascarolo, ) when the child reached 18 months of age. The mother's higher
marital satisfaction at every measuring point was associated with a cooperative
family alliance and/or higher family coordination at 18 months. The father's
experience of marital satisfaction was not related to family interaction at any
assessment point. Our study suggests that a mother's experience of lower marital
satisfaction during pregnancy may be an early sign of later problems in family
relationships.
PMID- 27197717
TI - Gastric peroral endoscopic pyloromyotomy (G-POEM) as a salvage therapy for
refractory gastroparesis: a case series of different subtypes.
AB - BACKGROUND: Gastroparesis is a poorly understood, chronic, debilitating motility
disorder with very limited medical therapeutic options. Gastric peroral
endoscopic pyloromyotomy (G-POEM) is an emerging novel endoscopic technique as an
incisionless pyloroplasty for refractory cases. Effective information of G-POEM
on different types of gastroparesis is sparse. METHODS: Cases of G-POEM using
selective circular myotomy as a salvage therapy for refractory symptoms were
retrospective studied. The G-POEM procedures were performed by a single expert
endoscopist under a certain protocol. Gastroparesis Cardinal Symptoms Index
(GCSI) and gastric emptying scintigraphy (GES) were evaluated before and after
the procedure. Procedures related adverse event were also recorded. KEY RESULTS:
All procedures were successfully completed without complications. Each case in
this series was different in demography and etiology of gastroparesis, namely
postsurgical, postinfectious, and idiopathic gastroparesis in an elderly male and
two young female adults. All cases were refractory to conventional treatment but
demonstrated obvious success after G-POEM as a salvage therapy both clinically
and on GES. CONCLUSIONS & INFERENCES: G-POEM as a salvage therapy improves
symptoms and gastric emptying in patients with different types of refractory
gastroparesis. Our cases are also the firsts to show success of G-POEM in
postinfectious gastroparesis and in elderly male patient. More data are needed to
determine which subgroup of patients would benefit most from this novel
procedure.
PMID- 27197720
TI - Response: Magnetic resonance lymphangiography: How to prove it?
PMID- 27197719
TI - HIV-1 DNA dynamics and variations in HIV-1 DNA protease and reverse transcriptase
sequences in multidrug-resistant patients during successful raltegravir-based
therapy.
AB - There is limited information on the variations of HIV-1 DNA mutation profile in
reverse transcriptase (RT) and protease (PR) genes during suppressive
antiretroviral treatment (plasma HIV-1 RNA continuously <50 copies/ml) with
raltegravir (RAL)-based regimens in patients with baseline RT/PR resistant HIV.
Twelve multidrug resistant (RT: 12/12, PR: 8/12) HIV-infected patients were
followed during effectively suppressive RAL-based therapy. Total and integrated
HIV-1 DNA were assessed by real time PCR at baseline and every 6 months.
Ultrasensitive (threshold: 2.5 copies/ml) plasma HIV-1 RNA and genotypic analysis
of RT and PR in proviral DNA were performed at baseline and at 24 months. Half of
the patients had full viral suppression (plasma HIV-RNA < 2.5 copies/ml) at month
12. Total HIV-1 DNA declined significantly after 12 months of therapy (from 249.2
to 145.7 copies/106 cells, P = 0.023), and remained stable until 24 months, when
total HIV-1 DNA levels raised, concomitantly with a less stringent suppression of
HIV-1 RNA (81.8% of patients with >2.5 copies/ml). Integrated HIV-1 DNA did not
show fluctuations during the study period. Sequencing of the PR and RT regions
from HIV-1 DNA revealed changes in the resistance mutation profile in five
patients. Total HIV-1 DNA declined after the introduction of RAL-based therapy,
with a rebound after 2 years. No changes were observed in levels of integrated
DNA, suggesting limited effect on archived HIV. The RT and PR sequence changes in
archived HIV-1 DNA suggest that variation of the mutation profile can occur even
in the absence of detectable HIV-1 RNA. J. Med. Virol. 88:2115-2124, 2016. (c)
2016 Wiley Periodicals, Inc.
PMID- 27197721
TI - Flexible Rechargeable Zinc-Air Batteries through Morphological Emulation of Human
Hair Array.
AB - An electrically rechargeable, nanoarchitectured air electrode that
morphologically emulates a human hair array is demonstrated in a zinc-air
battery. The hair-like array of mesoporous cobalt oxide nanopetals in nitrogen
doped carbon nanotubes is grown directly on a stainless-steel mesh. This
electrode produces both flexibility and improved battery performance, and thus
fully manifests the advantages of flexible rechargeable zinc-air batteries in
practical applications.
PMID- 27197722
TI - A Study on Intraspecific Resource Partitioning in the Stingless bee Scaptotrigona
mexicana Guerin (Apidae, Meliponini) Using Behavioral and Molecular Techniques.
AB - As a general rule, within an ecological guild, there is one species that is
dominant and is commonly the most abundant. The aim of this work was to
investigate if such pattern occurs intraspecifically, among colonies of the
stingless bee Scaptotrigona mexicana Guerin. Through behavioral and molecular
techniques, we found preliminary evidence that apparently colonies of this
species do not monopolize resources, instead they seem to share food; however,
some colonies had more foragers in a food patch or in a feeder, so some type of
exclusion could be at work, though we could not determine the final output of
such interaction, i.e., if underrepresented colonies were eventually excluded,
developed slower or were overrepresented in other food patches. Our results give
evidence that resource partitioning within this species occurs peacefully;
however, further studies are necessary to determine if threatening behavior or
aggressions appear when resources are scarce and competition becomes harsher.
PMID- 27197723
TI - New potential humic acid stationary phase toward drug components: Development of
a chemometric-assisted RP-HPLC method for the determination of paracetamol and
caffeine in tablet formulations.
AB - A new humic acid based stationary phase has been used, for the first time, to
achieve the separation and quantification of paracetamol and caffeine in
pharmaceutical preparations under reversed-phase high-performance liquid
chromatography conditions. Central composite design was applied as a powerful
tool to optimize the most dominant parameters that influence the resolution of
reversed-phase high-performance liquid chromatography, that is, mobile phase
composition (acetonitrile percentage in water), flow rate, and column
temperature. The optimum conditions were obtained as 21.69%, 1.5 mL/min, and 15
degrees C, respectively, with the aid of a second-order quadratic model and
desirability function. Under the optimum conditions, the peaks could be baseline
separated within 10 min. For the developed reversed-phase high-performance liquid
chromatography method, the linearity was investigated in the concentration ranges
of 2-160 mg/mL (R(2) = 0.999) for paracetamol and 2-9.9 mg/mL (R(2) = 0.991) for
caffeine. Mean recoveries for paracetamol and caffeine were 95.90 and 95.68%,
respectively. The limits of detection and quantification were 4.1 * 10(-4) and
1.3 * 10(-3) mg/mL for paracetamol and 1.6 * 10(-4) and 5.0 * 10(-4) mg/mL for
caffeine. The results showed that the new humic acid based stationary phase is
very suitable for the separation of paracetamol and caffeine in pharmaceutical
preparations and, thus it can be used effectively in the pharmaceutical industry.
PMID- 27197724
TI - Differences in the distribution of stroke subtypes in a UK black stroke
population - final results from the South London Ethnicity and Stroke Study.
AB - BACKGROUND: Stroke incidence is increased in Black individuals but the reasons
for this are poorly understood. Exploring the differences in aetiological stroke
subtypes, and the extent to which they are explained by conventional and novel
risk factors, is an important step in elucidating the underlying mechanisms for
this increased stroke risk. METHODS: Between 1999 and 2010, 1200 black and 1200
white stroke patients were prospectively recruited from a contiguous geographical
area in South London in the UK. The Trial of Org 10172 (TOAST) classification was
used to classify stroke subtype. Age- and sex-adjusted comparisons of socio
demographics, traditional vascular risk factors and stroke subtypes were
performed between black and white stroke patients and between Black Caribbean and
Black African stroke patients using age-, sex-, and social deprivation-adjusted
univariable and multivariable logistic regression analyses. RESULTS: Black stroke
patients were younger than white stroke patients (mean (SD) 65.1 (13.7) vs. 74.8
(13.7) years). There were significant differences in the distribution of stroke
subtypes. Small vessel disease stroke was increased in black patients versus
white patients (27 % vs. 12 %; OR, 2.74; 95 % CI, 2.19-3.44), whereas large
vessel and cardioembolic stroke was less frequent in black patients (OR, 0.59; 95
% CI, 0.45-0.78 and OR, 0.61; 95 % CI, 0.50-0.74, respectively). These
associations remained after controlling for traditional vascular risk factors and
socio-demographics. Black Caribbean patients appeared to have an intermediate
risk factor and stroke subtype profile between that found in Black African and
white stroke patients. Cardioembolic stroke was more strongly associated with
Black Caribbean ethnicity versus Black African ethnicity (OR, 1.48; 95 % CI, 1.04
2.10), whereas intracranial large vessel disease was less frequent in Black
Caribbean patients versus Black African subjects (OR, 0.44; 95 % CI, 0.24-0.83).
CONCLUSIONS: Clear differences exist in stroke subtype distribution between black
and white stroke patients, with a marked increase in small vessel stroke. These
could not be explained by differences in the assessed traditional risk factors.
Possible explanations for these differences might include variations in genetic
susceptibility, differing rates of control of vascular risk factors, or as yet
undetermined environmental risk factors.
PMID- 27197725
TI - A wide spectrum of fastidious and ampicillin-susceptible bacteria dominate in
animal-caused wounds.
AB - The main purpose of this study was to assess the actual occurrence of Gram
negative oxidase-positive bacteria (GNOP) in human wounds caused by animals,
mostly cat and dog bites and scratches, and with signs of infection. We report a
prospective series of 92 wound samples. Routine culturing was combined with a
procedure optimised for fastidious GNOP. All GNOP isolates were identified by 16S
rDNA sequencing to the species level. We observed a more prominent role of GNOP,
including at least 30 species mostly in the families Flavobacteriaceae,
Neisseriaceae and Pasteurellaceae, and less of Staphylococcus aureus and
streptococci. The antibiotic susceptibility pattern was investigated, as GNOP are
associated with sudden onset of serious infections, making an early decision on
antibiotic treatment vital. All GNOP isolates judged to be clinically relevant
displayed susceptibility to ampicillin and meropenem, but resistance to
oxacillin, clindamycin and gentamicin was frequent. Our findings emphasise the
need to cover GNOP as recommended in guidelines, and not only common wound
pathogens, when treating an animal-caused wound.
PMID- 27197726
TI - External validation of a PCA-3-based nomogram for predicting prostate cancer and
high-grade cancer on initial prostate biopsy.
AB - INTRODUCTION: The aim of this study was to externally validate a previously
developed PCA3-based nomogram for the prediction of prostate cancer (PCa) and
high-grade (intermediate and/or high-grade) prostate cancer (HGPCa) at the time
of initial prostate biopsy. METHODS: A retrospective review was performed on a
cohort of 336 men from a large urban academic medical center. All men had serum
PSA <20 ng/ml and underwent initial transrectal ultrasound-guided prostate biopsy
with at least 10 cores sampling for suspicious exam and/or elevated PSA.
Covariates were collected for the nomogram and included age, ethnicity, family
history (FH) of PCa, PSA at diagnosis, PCA3, total prostate volume (TPV), and
abnormal finding on digital rectal exam (DRE). These variables were used to test
the accuracy (concordance index) and calibration of a previously published PCA3
nomogram. RESULTS: Biopsy confirms PCa and HGPCa in 51.0% and 30.4% of validation
patients, respectively. This differed from the original cohort in that it had
significantly more PCa and HGPCA (51% vs. 44%, P = 0.019; and 30.4% vs. 19.1%, P
< 0.001). Despite the differences in PCa detection the concordance index was 75%
and 77% for overall PCa and HGPCa, respectively. Calibration for overall PCa was
good. CONCLUSIONS: This represents the first external validation of a PCA3-based
prostate cancer predictive nomogram in a North American population. Prostate
76:1019-1023, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27197727
TI - Role of worry in patients with chronic tinnitus and sensorineural hearing loss: a
preliminary study.
AB - Tinnitus-related distress appears to be more strongly associated with multiple
psychological factors than with any perceptual properties of tinnitus. Prior
studies have not investigated the role of worry in tinnitus sufferers. Worry is a
dispositional cognitive trait that involves a pervasive, non-specific, future
oriented proneness to fretting, which can foster negative affective states and
catastrophic thinking about a specific trouble when the trouble is actual and
present. We examined the relationship between worry and self-perceived anxiety
and depressive symptoms and handicap in 54 outpatients with chronic tinnitus and
sensorineural hearing loss who had been previously recruited for a randomized
double-blind study on the efficacy of transmeatal low-level laser therapy for
tinnitus. We measured the current anxiety and depressive symptoms with the State
Trait Anxiety Inventory Form Y-1/Self-evaluation Depression Scale, the handicap
with the Tinnitus Handicap Inventory, and the proneness to worry with the Penn
State Worry Questionnaire. For the psychoacoustic tinnitus measures, we
considered the loudness match and the minimum masking level. We found that
tinnitus-related anxiety and depressive symptoms and handicap were significantly
associated with proneness to worry (linear regression models, p < 0.01), whereas
no associations were found with the psychoacoustic measures. This suggests the
usefulness of worry assessment when managing chronic tinnitus in clinical
practice. Early therapeutic interventions for reducing proneness to worry may
facilitate better adaptation to tinnitus.
PMID- 27197728
TI - Combined microscopy and molecular analyses show phloem occlusions and cell wall
modifications in tomato leaves in response to 'Candidatus Phytoplasma solani'.
AB - Callose deposition, phloem-protein conformational changes and cell wall
thickening are calcium-mediated occlusions occurring in the plant sieve elements
in response to different biotic and abiotic stresses. However, the significance
of these structures in plant-phytoplasma interactions requires in-depth
investigations. We adopted a novel integrated approach, based on the combined use
of microscopic and molecular analyses, to investigate the structural
modifications induced in tomato leaf tissues in presence of phytoplasmas,
focusing on vascular bundles and on the occlusion structures. Phloem hyperplasia
and string-like arrangement of xylem vessels were found in infected vascular
tissue. The diverse occlusion structures were differentially modulated in the
phloem in response to phytoplasma infection. Callose amount was higher in midribs
from infected plants than in healthy ones. Callose was observed at sieve plates
but not at pore-plasmodesma units. A putative callose synthase gene encoding a
protein with high similarity to Arabidopsis CalS7, responsible for callose
deposition at sieve plates, was upregulated in symptomatic leaves, indicating a
modulation in the response to stolbur infection. P-proteins showed configuration
changes in infected sieve elements, exhibiting condensation of the filaments. The
transcripts for a putative P-protein 2 and a sieve element occlusion-related
protein were localized in the phloem but only the first one was modulated in the
infected tissues.
PMID- 27197729
TI - Diversity of culturable Gram-negative bacteria isolated from irrigation water of
two rice crop regions in Southern Brazil.
AB - In this study, we assessed the diversity of Gram-negative bacteria found in water
used for irrigation of rice crops in two growing areas of southern Brazil.
Samples were collected from the main irrigation channel and field drain area.
Twenty-two bacterial species were found in Cachoeirinha and 28 in Camaqua. In
both areas, the most frequent bacterial families were Enterobacteriaceae and
Aeromonadaceae. Differences in microbial diversity were observed in both study
areas. Thirty-five Gram-negative species were identified; however, only 15 were
common in both locations. In addition, there were found pathogenic and drug
resistant species, such as Acinetobacter sp., Brucella spp., and Chryseobacterium
meningosepticum. This study demonstrates the existence of a number of pathogenic
species in aquatic ecosystems analyzed in three consecutive crop years,
especially water used for rice production.
PMID- 27197731
TI - Very low doses of direct intravenous iron in each session as maintenance therapy
in hemodialysis patients.
AB - BACKGROUND: Intravenous (IV) iron supplementation is widely used in hemodialysis
(HD) patients to treat their periodic losses. However, the ideal dose and
frequency is unknown. The goal of the study is to see if a 20 mg dose of iron IV
at the end of each session of HD as iron maintenance is better than the iron
prior therapy. We analyze the erythropoiesis activity (EA) and functional iron
(FI) after four weeks of treatment. METHODS: In 36 patients, we measure
reticulocyte count and content of hemoglobin reticulocyte (CHr) as EA and FI
markers, respectively, before and after the treatment. Before the study, 23
patients received another different therapy with IV iron as maintenance therapy.
RESULTS: Reticulocyte count: 49.7 +/- 23.8 * 10(3) before and 47.2 +/- 17.2 *
10(3) after the treatment (p= 0.51). The CHr: 34.8 +/- 3.7 pg and 34.4 +/- 3.5
pg, respectively, (p= 0.35), showing an excellent correlation with the other FI
markers (serum iron r = 0.6; p = 0.001; saturation transferrin r = 0.49; p =
0.004); that is not shown with the serum ferritin (r = 0.23; p = 0.192) or the
hepcidin levels (r = 0.22; p = 0.251). There was not a correlation between the C
Reactive Protein, reticulocyte count, and CHr. The 13 patients who did not
receive the iron prior to the study showed high FI levels, but not an increased
of the serum ferritin or the serum hepcidin levels. CONCLUSIONS: The
administration of a small quantity of iron at the end of every HD session keeps
the EA and the FI levels and allows reducing the iron overload administered
and/or decreasing the iron stores markers in some patients.
PMID- 27197730
TI - Metabolomic Response of Skeletal Muscle to Aerobic Exercise Training in Insulin
Resistant Type 1 Diabetic Rats.
AB - The etiology of insulin resistance in Type 1 Diabetes (T1D) is unknown, however
it affects approximately 20% of T1D patients. Intramyocellular lipids (IMCL) have
been identified as a mechanism of insulin resistance. We examined skeletal muscle
of T1D rats to determine if alterations in lipid metabolism were evident and
whether aerobic exercise training improves IMCL and insulin resistance. To do so,
48 male Sprague-Dawley rats were divided into control (C), sedentary diabetes (D)
and diabetes exercise (DX) groups. Following multiple low-dose Streptozotocin
(STZ) injections (20 mg/kg), glycemia (9-15 mM) was maintained using insulin
treatment. DX were treadmill trained at high intensity (~75% V02max; 5days/week)
for 10 weeks. The results demonstrate that D exhibited insulin resistance
compared with C and DX, indicated by decreased glucose infusion rate during a
hyperinsulinemic-euglycemic clamp (p < 0.05). There were no differences between C
and DX, suggesting that exercise improved insulin resistance (p < 0.05).
Metabolomics analysis revealed a significant shift in lipid metabolism whereby
notable fatty acid metabolites (arachidonic acid, palmitic acid and several
polyunsaturated fatty acids) were significantly elevated in D compared to C and
DX. Based on the intermediates observed, insulin resistance in T1D is
characterized by an insulin-desensitizing intramyocellular fatty acid metabolite
profile that is ameliorated with exercise training.
PMID- 27197732
TI - Multiplexed CRISPR/Cas9- and TAR-Mediated Promoter Engineering of Natural Product
Biosynthetic Gene Clusters in Yeast.
AB - The use of DNA sequencing to guide the discovery of natural products has emerged
as a new paradigm for revealing chemistries encoded in bacterial genomes. A major
obstacle to implementing this approach to natural product discovery is the
transcriptional silence of biosynthetic gene clusters under laboratory growth
conditions. Here we describe an improved yeast-based promoter engineering
platform (mCRISTAR) that combines CRISPR/Cas9 and TAR to enable single-marker
multiplexed promoter engineering of large gene clusters. mCRISTAR highlights the
first application of the CRISPR/Cas9 system to multiplexed promoter engineering
of natural product biosynthetic gene clusters. In this method, CRISPR/Cas9 is
used to induce DNA double-strand breaks in promoter regions of biosynthetic gene
clusters, and the resulting operon fragments are reassembled by TAR using
synthetic gene-cluster-specific promoter cassettes. mCRISTAR uses a CRISPR array
to simplify the construction of a CRISPR plasmid for multiplex CRISPR and a
single auxotrophic selection to improve the inefficiency of using a CRISPR array
for multiplex gene cluster refactoring. mCRISTAR is a simple and generic method
for multiplexed replacement of promoters in biosynthetic gene clusters that will
facilitate the discovery of natural products from the rapidly growing collection
of gene clusters found in microbial genome and metagenome sequencing projects.
PMID- 27197733
TI - Response to Wise et al. (Tiotropium safety in real life populations).
PMID- 27197734
TI - Genetically Modified Organisms and the Future Global Nutrient Supply: Part of the
Solution or a New Problem?
AB - For almost a generation now, scientists and policy makers have enthusiastically
advanced genetically modified (GM) crops as a solution to both global food
security and, specifically, the micronutrient needs of the hidden hungry. While
genetic modification offers the prospect of overcoming technological barriers to
food security, the gap between the vision and reality remains large. This chapter
examines the impact of GM crops at three levels. Undoubtedly, at the micro level,
bio-fortification offers a real opportunity to enhance the availability of
micronutrients. However, the inexorable 'research sieve' ruthlessly culls most
technical candidates in the agri-food system. GM bio-fortified foods, such as
Golden RiceTM, remain only a promise. At the meso level, GM crops have generated
benefits for both producers and consumers who have adopted GM crops, but given
that the technology has been differentially applied to maize, the average diet
for the food insecure has become somewhat less balanced. Finally, while GM crops
have increased yields and the global food supply, these have come at the cost of
more complex and costly trade and market systems, which impair access and
availability. In essence, while biotechnology offers some tantalizing
technological prospects, the difficulties of getting the corresponding benefits
to the most needy have dampened some of the enthusiasm.
PMID- 27197735
TI - A Mixed-Method Assessment of a New Supermarket in a Food Desert: Contributions to
Everyday Life and Health.
AB - Initiatives to build supermarkets in low-income areas with relatively poor access
to large food retailers ("food deserts") have been implemented at all levels of
government, although evaluative studies have not found these projects to improve
diet or weight status for shoppers. Though known to be influential, existing
evaluations have neglected in-store social dynamics and shopper behaviors.
Surveys and walking interviews were used with shoppers (n = 32) at a supermarket
developed through the Pennsylvania Fresh Food Financing Initiative in
Philadelphia, PA. Key informant interviews with stakeholders in the supermarket's
development and operations provided additional context to these shopper
experiences. Data were collected in July and September 2014 and qualitatively
analyzed in NVivo 10.0. Participants described how the retailer helped them adapt
or cope with difficult shopping routines and how it presented a reliable high
quality option (in terms of cleanliness, orderliness, and social atmosphere) in
contrast to other neighborhood retailers. Health concerns were also identified,
especially among those managing chronic disease for themselves or a family
member. These issues underscored multiple points of challenge required to adjust
shopping and eating behavior. In-store supports that reflect these challenges are
warranted to more fully address food deserts and reduce health disparities.
PMID- 27197737
TI - Critical Dietary Habits in Early Childhood: Principles and Practice.
AB - The adequacy of a diet is usually evaluated based on nutrient intake. As people
eat foods but not nutrients, food-based dietary guidelines (FBDG) are needed. To
evaluate dietary habits in infants and young children, the following stepwise
approach is suggested: (1) develop country-specific FBDG to identify the
potential of common nonfortified foods to ensure adequate nutrient intake and (2)
examine potential 'critical' dietary patterns if main food groups are excluded,
such as in vegetarian diets or if a family's precarious social status leads to
food constraints. The German FBDG for infant and child nutrition demonstrate that
a well-designed mixture of common foods results in an adequate supply of
nutrients, except for vitamin D, iodine and iron. The following solutions are
feasible to address deficiencies in these critical nutrients: routine
supplementation (vitamin D), fortified complementary food consumption or
supplementation for infants as well as inclusion of table salt in the family diet
for children (iodine), and individual pediatric care for infants at risk (iron).
In the exclusion of food groups of animal origin from vegetarian diets, several
nutrients are at risk of becoming deficient if not substituted. Existing studies
characterizing vegetarian children are rare. These were mainly published in the
1980s and 1990s and were biased towards a high social status. Thus, firm
conclusions on today's dietary practices and health statuses of European
vegetarian children cannot be drawn. A social gradient exists for food patterns
and dietary quality in children, but energy intake need not necessarily be
affected. Scenarios in Germany suggest that families on unemployment assistance
can afford to eat a diet compliant with German FBDG only if they restrict food
selection to basic food. Yet, the question of how families cope with financial
constraints in everyday life remains. In conclusion, well-designed FBDG provide
various opportunities to identify critical nutrients and critical food habits in
early childhood and beyond.
PMID- 27197736
TI - Spatializing Area-Based Measures of Neighborhood Characteristics for Multilevel
Regression Analyses: An Areal Median Filtering Approach.
AB - Area-based measures of neighborhood characteristics simply derived from
enumeration units (e.g., census tracts or block groups) ignore the potential of
spatial spillover effects, and thus incorporating such measures into multilevel
regression models may underestimate the neighborhood effects on health. To
overcome this limitation, we describe the concept and method of areal median
filtering to spatialize area-based measures of neighborhood characteristics for
multilevel regression analyses. The areal median filtering approach provides a
means to specify or formulate "neighborhoods" as meaningful geographic entities
by removing enumeration unit boundaries as the absolute barriers and by pooling
information from the neighboring enumeration units. This spatializing process
takes into account for the potential of spatial spillover effects and also
converts aspatial measures of neighborhood characteristics into spatial measures.
From a conceptual and methodological standpoint, incorporating the derived
spatial measures into multilevel regression analyses allows us to more accurately
examine the relationships between neighborhood characteristics and health. To
promote and set the stage for informative research in the future, we provide a
few important conceptual and methodological remarks, and discuss possible
applications, inherent limitations, and practical solutions for using the areal
median filtering approach in the study of neighborhood effects on health.
PMID- 27197738
TI - Stimulation of Eryptosis, the Suicidal Erythrocyte Death by Piceatannol.
AB - BACKGROUND/AIMS: Piceatannol, an analog and metabolite of resveratrol, is
effective against various disorders including malignancy. It is in part effective
by triggering suicidal death or apoptosis of tumor cells. Cellular mechanisms
mediating the proapoptotic effect of Piceatannol include mitochondrial
depolarization and cytochrome c release. Erythrocytes lack mitochondria but may
nevertheless enter suicidal death or eryptosis, which is characterized by cell
shrinkage and cell membrane scrambling with phosphatidylserine translocation to
the erythrocyte surface. Cellular mechanisms involved in the triggering of
eryptosis include increase of cytosolic Ca2+ activity ([Ca2+]i), oxidative stress
and ceramide formation. The present study explored, whether Piceatannol induces
eryptosis and, if so, to shed some light on the cellular mechanisms involved.
METHODS: Phosphatidylserine exposure at the cell surface was estimated from
annexin-V-binding, cell volume from forward scatter, [Ca2+]i from Fluo3
fluorescence, reactive oxygen species (ROS) formation from 2',7'
dichlorodihydrofluorescein (DCF) diacetate-dependent fluorescence, and ceramide
abundance utilizing specific antibodies. Hemoglobin concentration in the
supernatant was taken as measure of hemolysis. RESULTS: A 48 hours exposure of
human erythrocytes to Piceatannol (10 - 20 uM) significantly increased the
percentage of annexin-V-binding cells, significantly decreased forward scatter,
significantly increased DCFDA-fluorescence, significantly increased ceramide
abundance, but did not significantly increase Fluo3-fluorescence. Removal of
extracellular Ca2+ slightly blunted but did not abolish the effect of Piceatannol
on annexin-V-binding and forward scatter. Piceatannol (20 uM) significantly
augmented the increase of annexin-V-binding, but significantly blunted the
decrease of forward scatter following treatment with the Ca2+ ionophore
ionomycin. CONCLUSIONS: Piceatannol triggers cell shrinkage and phospholipid
scrambling of the erythrocyte cell membrane, an effect at least in part
downstream of Ca2+ and involving oxidative stress and ceramide formation.
PMID- 27197739
TI - Thulium Vaporesection of the Prostate and Thulium Vapoenucleation of the Prostate
in Patients on Oral Anticoagulants: A Retrospective Three-Centre Matched-Paired
Comparison.
AB - INTRODUCTION: To evaluate the short-term results of thulium vaporesection of the
prostate (ThuVEP) and thulium vapoenucleation of the prostate (ThuVARP) in
patients with benign prostatic obstruction on oral anticoagulants (OA). METHODS:
A 3-centre retrospective matched-paired comparison of patients treated by ThuVEP
(n = 26) or ThuVARP (n = 26) was performed. Thirty-four patients were on
aspirin/ticlopidin, 7 on clopidogrel or clopidogrel and aspirin, and 11 on
phenprocoumon at the time of surgery. RESULTS: Haemoglobin decrease was higher
after ThuVEP compared to ThuVARP (1.5 vs. 0.3 g/dl, p < 0.001). The rate of
postoperative blood transfusions (3.9 vs. 0%), clot retention (3.9 vs. 0%), and
re-operation (7.7 vs. 0%) was not different between ThuVEP and ThuVARP (p =
0.274). Catheterization time was shorter for ThuVARP (1 vs. 2 days, p < 0.01).
Qmax was significantly higher after ThuVEP at 6-month follow-up (31 vs. 21.5
ml/s, p < 0.001), while improvements in International Prostate Symptom Score,
quality of life, and post-voiding residual urine showed no differences between
the groups. Urethral or bladder neck strictures did not occur during the 6-month
follow-up in both groups. CONCLUSIONS: ThuVEP and ThuVARP are safe and
efficacious procedures in patients on OA. Although patients assigned to ThuVEP
had higher Qmax at 6-month follow-up, ThuVARP resulted in similar functional
outcomes.
PMID- 27197741
TI - High Efficiency Inverted Organic Solar Cells with a Neutral Fulleropyrrolidine
Electron-Collecting Interlayer.
AB - A novel fulleropyrrolidine derivative, named FPNOH, was designed, synthesized,
and utilized as an efficient electron-collecting (EC) layer for inverted organic
solar cells (i-OSCs). The grafted diethanolamino-polar moieties can not only
trigger its function as an EC interlayer, but also induce orthogonal solubility
that guarantees subsequent multilayer processing without interfacial mixing. A
higher power conversion efficiency (PCE) value of 8.34% was achieved for i-OSC
devices with ITO/FPNOH EC electrode, compared to that of the sol-gel ZnO based
reference devices with an optimized PCE value of 7.92%. High efficiency exceeding
7.7% was still achieved even for the devices with a relatively thick FPNOH film
(16.9 nm). It is worthwhile to mention that this kind of material exhibits less
thickness dependent performance, in contrast to widely utilized p-type conjugated
polyelectrolytes (CPEs) as well as the nonconjugated polyelectrolytes (NCPEs).
Further investigation on illuminating intensity dependent parameters revealed the
role of FPNOH in reducing interfacial trap-induced recombination at the
ITO/active layer interface.
PMID- 27197740
TI - Age-period-cohort analysis of smoking prevalence among young adults in Korea.
AB - OBJECTIVES: Smoking prevalence among Korean men in their thirties is
substantially high (approximately 50%). An in-depth analysis of smoking trends
among young adults in their twenties is necessary to devise antismoking policies
for the next 10 years. This study aimed to identify the contributions of age,
period, and birth cohort effects on smoking prevalence in young adults. METHODS:
Subjects comprised 181,136 adults (83,947 men: 46.3%; 97,189 women: 53.7%) aged
19 to 30 years from the 2008-2013 Korea Community Health Survey. Smoking
prevalence adjusted with reference to the 2008 population was applied to the age
period-cohort (APC) model to identify the independent effects of each factor.
RESULTS: For men, smoking prevalence rapidly escalated among subjects aged 19 to
22 years and slowed down among those aged 23 to 30 years, declined during 2008 to
2010 but stabilized during 2011 to 2013, and declined in birth cohorts prior to
1988 but stabilized in subjects born after 1988. However, in APC models, smoking
prevalence increased with age in the 1988 to 1991 birth cohort. In this birth
cohort, smoking prevalence at age 19 to 20 years was approximately 24% but
increased to 40% when the subjects turned 23 to 24 years. For women, smoking
prevalence was too low to generate consistent results. CONCLUSIONS: Over the past
six years and in recent birth cohorts, smoking prevalence in adults aged 19 to 30
years has declined and is stable. Smoking prevalence should be more closely
followed as it remains susceptible to an increase depending on antismoking
policies or social conditions.
PMID- 27197742
TI - Establishing a design for passive vertical flow constructed wetlands treating
small sewage discharges to meet British Standard EN 12566.
AB - Owing to legislation change (which made General Binding Rules effective from 1
January 2015) unless discharge is to specified environmentally sensitive sites,
small sewage discharges (SSDs) in England - that is, <2 m3 d-1 to ground; <5 m3 d
1 to surface waters - no longer require an Environmental Permit (EP) and need not
be registered for exemption, provided discharge to surface waters is preceded by
treatment using equipment complying with BS EN 12566. This effectively excludes
the use of treatment wetlands, unless covered by an EP, because the cost of
certification to EN 12566 for bespoke designs is prohibitive. EPs take up to four
months to obtain. Therefore, the new legislation has created a commercial
disadvantage for constructed wetlands treating SSDs, compared with mass-produced
sewage treatment plants. However, the UK statutory pollution regulators have
maintained a dialogue with the Constructed Wetland Association (CWA), with a view
to assessing whether treatment of SSD using constructed wetlands might be
allowable, without requiring EPs. This paper presents treatment performance data
obtained over 15 years, from a variety of full-scale operational treatment
wetlands, as supporting evidence for design guidelines, proposed by the CWA to
the UK regulators, for the implementation of constructed wetlands continuously
passively treating SSD to 20:30:20 mg l-1 BOD/SS/NH4-N under a wide range of
loading rates. Relevant experience of UK designers, installers and operators
since the early 1990s is included, resulting in recommended physical design
criteria and loading rates for compact vertical flow reed beds, presented here as
key elements of the draft guidelines.
PMID- 27197743
TI - Treatment of focal benign lesions of the bone: MRgFUS and RFA.
AB - The objective of this study was to evaluate the role of MR-guided focused
ultrasound surgery and radiofrequency ablation in the management of bone and soft
tissue lesions. Musculoskeletal interventional radiology represents an
interesting option for the treatment of benign bone and soft-tissue lesions to
avoid the invasiveness of surgery and related risks. The imaging techniques now
available, besides representing an optimal guide, allow control of the
temperature reached in the region of interest, avoiding or minimizing damage to
the sensitive structures surrounding the lesion.
PMID- 27197744
TI - Intravoxel incoherent motion diffusion-weighted MR imaging of breast cancer:
association with histopathological features and subtypes.
AB - OBJECTIVE: To evaluate the associations between intravoxel incoherent motion
(IVIM)-derived parameters and histopathological features and subtypes of breast
cancer. METHODS: Pre-operative MRI from 275 patients with unilateral breast
cancer was analyzed. The apparent diffusion coefficient (ADC) and IVIM parameters
[tissue diffusion coefficient (Dt), perfusion fraction (fp) and pseudodiffusion
coefficient] were obtained from cancer and normal tissue using diffusion-weighted
imaging with b-values of 0, 30, 70, 100, 150, 200, 300, 400, 500 and 800 s mm(
2). We then compared the IVIM parameters of tumours with different
histopathological features and subtypes. RESULTS: The ADC and Dt were lower and
fp was higher in cancers than in normal tissues (p < 0.001). The Dt was lower in
high Ki-67 cancer than in low Ki-67 cancer (p = 0.019), whereas ADC showed no
significant difference (p = 0.309). Luminal B [human epidermal growth factor
receptor 2 (HER2)-negative] cancer showed lower ADC (p = 0.003) and Dt (p =
0.001) than other types. CONCLUSION: We found low tissue diffusivity in high Ki
67 cancer and luminal B (HER2-negative) cancer using IVIM imaging. ADVANCES IN
KNOWLEDGE: Low tissue diffusivity is more clearly shown in high Ki-67 tumours and
luminal B (HER2-negative) tumours with the IVIM model.
PMID- 27197746
TI - Caregiver talk to young Spanish-English bilinguals: comparing direct observation
and parent-report measures of dual-language exposure.
AB - In research on language development by bilingual children, the early language
environment is commonly characterized in terms of the relative amount of exposure
a child gets to each language based on parent report. Little is known about how
absolute measures of child-directed speech in two languages relate to language
growth. In this study of 3-year-old Spanish-English bilinguals (n = 18),
traditional parent-report estimates of exposure were compared to measures of the
number of Spanish and English words children heard during naturalistic audio
recordings. While the two estimates were moderately correlated, observed numbers
of child-directed words were more consistently predictive of children's
processing speed and standardized test performance, even when controlling for
reported proportion of exposure. These findings highlight the importance of
caregiver engagement in bilingual children's language outcomes in both of the
languages they are learning.
PMID- 27197748
TI - Global life expectancy increases by five years.
PMID- 27197745
TI - Hepatocyte nuclear factor 1alpha-inactivated hepatocellular adenomas exhibit high
(18)F-fludeoxyglucose uptake associated with glucose-6-phosphate transporter
inactivation.
AB - OBJECTIVE: This immunohistochemical study aimed to elucidate the molecular
mechanism underlying the increased fluorine-18 fludeoxyglucose (FDG) uptake in
hepatocyte nuclear factor 1alpha (HNF1alpha)-inactivated hepatocellular adenomas
(H-HCAs). METHODS: Three resected H-HCAs were studied using FDG positron emission
tomography. Each maximum standardized uptake value (SUVmax) was determined.
Resected samples were subjected to immunohistochemical staining for the following
glucose metabolism-related proteins: glucose transporter 1 (GLUT1) and glucose
transporter 2 (GLUT2), indicative of uptake and transport of glucose into
cellular cytoplasm; hexokinase 2 (HK2) and hexokinase 4 (HK4), glucose
phosphorylation; glucose-6-phosphate transporter 1 (G6PT1), uptake and transport
of glucose-6-phosphate into endoplasmic reticulum; and glucose-6-phosphatase
(G6Pase), dephosphorylation. RESULTS: All three H-HCAs exhibited increased FDG
intake, with an average SUVmax of 6.6 (range: 5.2-8.2). No sample expressed GLUT1
and HK2; all the samples exhibited equivalent GLUT2 and HK4 expression,
equivalent or slightly increased G6Pase expression and significantly decreased
G6PT1 expression relative to the non-neoplastic hepatocytes of background liver.
CONCLUSION: The increased FDG uptake observed in H-HCAs is associated with GLUT2
and HK4 expression and G6PT1 inactivation. ADVANCES IN KNOWLEDGE: H-HCA exhibits
a high FDG uptake owing to the inactivation of G6PT1, which is transcriptionally
regulated by HNF1alpha.
PMID- 27197747
TI - The combined effects of soya isoflavones and resistant starch on equol production
and trabecular bone loss in ovariectomised mice.
AB - Equol is a metabolite of the soya isoflavone (ISO) daidzein that is produced by
intestinal microbiota. Equol has greater oestrogenic activity compared with other
ISO, and it prevents bone loss in postmenopausal women. Resistant starch (RS),
which has a prebiotic activity and is a dietary fibre, was reported to promote
equol production. Conversely, the intestinal microbiota is reported to directly
regulate bone health by reducing inflammatory cytokine levels and T-lymphocytes
in bone. The present study evaluated the combined effects of diet supplemented
with ISO and RS on intestinal microbiota, equol production, bone mineral density
(BMD) and inflammatory gene expression in the bone marrow of ovariectomised (OVX)
mice. Female ddY strain mice, aged 8 weeks, were either sham-operated (Sham, n 7)
or OVX. OVX mice were randomly divided into the following four groups (seven per
group): OVX control (OVX); OVX fed 0.05 % ISO diet (OVX+ISO); OVX fed 9 % RS diet
(OVX+RS); and OVX fed 0.05 % ISO- and 9 % RS diet (OVX+ISO+RS). After 6 weeks,
treatment with the combination of ISO and RS increased equol production,
prevented the OVX-induced decline in trabecular BMD in the distal femur by
modulating the enteric environment and altered OVX-induced inflammation-related
gene expression in the bone marrow. However, there were no significant
differences in bone parameters between the ISO+RS and ISO-alone groups in OVX
mice. Our findings suggest that the combination of ISO and RS might alter
intestinal microbiota and immune status in the bone marrow, resulting in
attenuated bone resorption in OVX mice.
PMID- 27197750
TI - Fragment molecular orbital study of the cAMP-dependent protein kinase catalyzed
phosphoryl transfer: a comparison with the differential transition state
stabilization method.
AB - The importance of key residues to the activity of the cAMP-dependent protein
kinase catalyzed phosphoryl transfer and to the stabilization of the transition
state of the reaction has been investigated by means of the fragment molecular
orbital (FMO) method. To evaluate the accuracy of the method and its capability
of fragmenting covalent bonds, we have compared stabilization energies due to the
interactions between individual residues and the reaction center to results
obtained with the differential transition state stabilization method (Szarek, et
al., J. Phys. Chem. B, 2008, 112, 11819-11826) and observe, despite a size
difference in the fragment describing the reaction center, near-quantitative
agreement. We have also computed deletion energies to investigate the effect of
virtual deletion of key residues on the activation energy. These results are
consistent with the stabilization energies and yield additional information as
they clearly capture the effect of secondary interactions, i.e. interactions in
the second coordination layer of the reaction center. We find that using FMO to
calculate deletion energies is a powerful and time efficient approach to analyze
the importance of key residues to the activity of an enzyme catalyzed reaction.
PMID- 27197749
TI - Bulk development and stringent selection of microsatellite markers in the western
flower thrips Frankliniella occidentalis.
AB - Recent improvements in next-generation sequencing technologies have enabled
investigation of microsatellites on a genome-wide scale. Faced with a huge amount
of candidates, the use of appropriate marker selection criteria is crucial. Here,
we used the western flower thrips Frankliniella occidentalis for an empirical
microsatellite survey and validation; 132,251 candidate microsatellites were
identified, 92,102 of which were perfect. Dinucleotides were the most abundant
category, while (AG)n was the most abundant motif. Sixty primer pairs were
designed and validated in two natural populations, of which 30 loci were
polymorphic, stable, and repeatable, but not all in Hardy-Weinberg equilibrium
(HWE) and linkage equilibrium. Four marker panels were constructed to understand
effect of marker selection on population genetic analyses: (i) only accept loci
with single nucleotide insertions (SNI); (ii) only accept the most polymorphic
loci (MP); (iii) only accept loci that did not deviate from HWE, did not show
SNIs, and had unambiguous peaks (SS) and (iv) all developed markers (ALL).
Although the MP panel resulted in microsatellites of highest genetic diversity
followed by the SNI, the SS performed best in individual assignment. Our study
proposes stringent criteria for selection of microsatellites from a large-scale
number of genomic candidates for population genetic studies.
PMID- 27197751
TI - Distribution of lymph node metastases on FDG-PET/CT in inoperable or unresectable
oesophageal cancer patients and the impact on target volume definition in
radiation therapy.
AB - INTRODUCTION: Definitive chemoradiotherapy (dCRT) is standard care for localised
inoperable/unresectable oesophageal tumours. Many surgical series have reported
on distribution of lymph node metastases (LNM) in resected patients. However, no
data is available on the distribution of at-risk LN regions in this more
unfavourable patient group. This study aimed to determine the spread of LNM using
FDG-PET/CT, to compare it with the distribution in surgical series and to define
its impact on the definition of elective LN irradiation (ENI). METHODS: FDG
PET/CT images of patients with oesophageal cancer treated with dCRT (from 2003 to
2013) were reviewed to identify the anatomic distribution of FDG-avid LNs.
Tumours were divided according to proximal, mid-thoracic or distal localisation.
RESULTS: About 105 consecutive patients entered analysis. The highest numbers of
FDG-avid LNs in proximal tumours were at LN station 101R (45%) and 106recL (35%).
For mid-thoracic tumours at 104R (30%) and 105 (30%). For tumours located in the
distal oesophagus, the most common sites were along the lesser curvature of the
stomach (21%) and the left gastric artery (21%). Except for the supraclavicular
and pretracheal nodes, there were no positive locoregional LNM found outside the
standard surgical resection area. CONCLUSION: Our results show a good correlation
between the distribution of nodal volumes at risk in surgical series and on FDG
PET/CT. The results can be used to determine target definition in dCRT for
oesophageal cancer. For mid-thoracic tumours, the current target delineation
guidelines may be extended based on the risk of node involvement, but more
clinical studies are needed to determine if the potential harm of expanding the
CTV outweighs the potential benefit.
PMID- 27197752
TI - PKA-CREB-BDNF signaling regulated long lasting antidepressant activities of Yueju
but not ketamine.
AB - Yueju confers antidepressant effects in a rapid and long-lasting manner, similar
to ketamine. CREB (cAMP-response element binding protein) signaling is implicated
in depression pathology and antidepressant responses. However, the role of CREB
and associated brain derived neurotrophic factor (BDNF) signaling in rapid and
long-lasting antidepressant effects remains unclear. Here, we demonstrated that
ICR and Kunming strain mice conferred antidepressant responses lasting for 1 and
5 days, respectively, following a single dose of Yueju. One day post Yueju in
Kunming but not ICR strain mice, expression of total and phosphorylated CREB, as
well as the CREB signaling activator, PKA (protein kinase A) was up-regulated in
the hippocampus. Although BDNF gene expression increased at 3 hours in both
strains, it remained up-regulated at 1 day only in Kunming mice. Ketamine showed
similar strain-dependent behavioral effects. However, blockade of PKA/CREB
signaling blunted the antidepressant effects and reversed the up-regulation of
BDNF gene expression by Yueju, but not ketamine. Conversely, blockade of
mammalian target of rapamycin signaling led to opposite effects. Taken
altogether, prolonged transcriptional up-regulation of hippocampal BDNF may
account for the stain-dependent enduring antidepressant responses to Yueju and
ketamine, but it was mediated via PKA/CREB pathway only for Yueju.
PMID- 27197753
TI - F-box only protein 9 is an E3 ubiquitin ligase of PPARgamma.
AB - Peroxisome proliferator-activated receptor gamma (PPARgamma) is a critical
regulator of carbohydrate and lipid metabolism, adipocyte differentiation and
inflammatory response. Post-translational modification of PPARgamma and its
degradation involve several pathways, including the ubiquitin-proteasome system.
Here, we identified F-box only protein 9 (FBXO9) as an E3 ubiquitin ligase of
PPARgamma. We screened interacting partners of PPARgamma using
immunoprecipitation and mass spectrometric analysis and identified FBXO9 as an E3
ubiquitin ligase of PPARgamma. FBXO9 directly interacted with PPARgamma through
the activation function-1 domain and ligand-binding domain. FBXO9 decreased the
protein stability of PPARgamma through induction of ubiquitination. We found that
the F-box motif of FBXO9 was required for its ubiquitination function. The
activity of PPARgamma was significantly decreased by FBXO9 overexpression.
Furthermore, FBXO9 overexpression in 3T3-L1 adipocytes resulted in decreased
levels of endogenous PPARgamma and suppression of adipogenesis. These results
suggest that FBXO9 is an important enzyme that regulates the stability and
activity of PPARgamma through ubiquitination.
PMID- 27197755
TI - Effect of a pager notification system on Australasian Triage Scale category 2
patients in a paediatric emergency department.
AB - OBJECTIVE: Australasian EDs have introduced innovative processes to ensure safe
and timely management of patients. Our ED introduced a dedicated pager system to
provide rapid assessment of Australasian Triage Scale (ATS) category 2 patients
in an attempt to expedite ED care. The present paper aims to evaluate the impact
of this initiative on time to clinician, ED length of stay (LOS) and clinical
outcomes in a tertiary paediatric ED. METHODS: Retrospective structured chart
review on patients presenting in a 2 month period before the intervention (August
September 2009) and the same time 1 year later. Patients were grouped into common
ATS category 2 presentations and analysed in these subcategories. Clinical
indicators of appropriate and timely performance were selected from best practice
performance guidelines. RESULTS: 779 ATS category 2 patients were seen during the
two periods: 370 pre-intervention and 409 post-intervention. The overall
percentage of ATS category 2 patients seen within the target time increased by
22.3%, although there was no significant change in ED LOS. The median time for
patients from triage to being seen by an ED clinician improved from 10 to 6 min
(P < 0.01). However, we were unable to demonstrate an impact of the pager system
on various clinical quality indicators. CONCLUSIONS: The rapid assessment pager
system proved beneficial in reducing triage to clinician times for ATS category 2
patients but showed no improvement in overall ED LOS or disease-specific clinical
quality indicators. Further research is needed to determine the influence of
other components of ED functioning on clinical outcomes, as well as the overall
clinical impact a pager system has on other measures of quality such as patient
satisfaction and other subgroups of patients.
PMID- 27197754
TI - Adaptive evolution of complex innovations through stepwise metabolic niche
expansion.
AB - A central challenge in evolutionary biology concerns the mechanisms by which
complex metabolic innovations requiring multiple mutations arise. Here, we
propose that metabolic innovations accessible through the addition of a single
reaction serve as stepping stones towards the later establishment of complex
metabolic features in another environment. We demonstrate the feasibility of this
hypothesis through three complementary analyses. First, using genome-scale
metabolic modelling, we show that complex metabolic innovations in Escherichia
coli can arise via changing nutrient conditions. Second, using phylogenetic
approaches, we demonstrate that the acquisition patterns of complex metabolic
pathways during the evolutionary history of bacterial genomes support the
hypothesis. Third, we show how adaptation of laboratory populations of E. coli to
one carbon source facilitates the later adaptation to another carbon source. Our
work demonstrates how complex innovations can evolve through series of adaptive
steps without the need to invoke non-adaptive processes.
PMID- 27197756
TI - Neurotrophins and their Trk-receptors in the cerebellum of zebrafish.
AB - Neurotrophins (NTs) and their specific Trk-receptors are key molecules involved
in the regulation of survival, proliferation, and differentiation of central
nervous system during development and adulthood in vertebrates. In the present
survey, we studied the expression and localization of neurotrophins and their Trk
receptors in the cerebellum of teleost fish Danio rerio (zebrafish). Teleostean
cerebellum is composed of a valvula, body and vestibulolateral lobe. Valvula and
body show the same three-layer structure as cerebellar cortex in mammals. The
expression of NTs and Trk-receptors in the whole brain of zebrafish has been
studied by Western blotting analysis. By immunohistochemistry, the localization
of NTs has been observed mainly in Purkinje cells; TrkA and TrkB-receptors in
cells and fibers of granular and molecular layers. TrkC was faintly detected. The
occurrence of NTs and Trk-receptors suggests that they could have a synergistic
action in the cerebellum of zebrafish. J. Morphol. 277:725-736, 2016. (c) 2016
Wiley Periodicals, Inc.
PMID- 27197758
TI - A systematic review of early life factors which adversely affect subsequent lung
function.
AB - It has been known for many years that multiple early life factors can adversely
affect lung function and future respiratory health. This is the first systematic
review to attempt to analyse all these factors simultaneously. We adhered to
strict a priori criteria for inclusion and exclusion of studies. The initial
search yielded 29,351 citations of which 208 articles were reviewed in full and
25 were included in the review. This included 6 birth cohorts and 19 longitudinal
population studies. The 25 studies reported the effect of 74 childhood factors
(on their own or in combinations with other factors) on subsequent lung function
reported as percent predicted forced expiration in one second (FEV1). The
childhood factors that were associated with a significant reduction in future
FEV1 could be grouped as: early infection, bronchial hyper-reactivity (BHR) /
airway lability, a diagnosis of asthma, wheeze, family history of atopy or
asthma, respiratory symptoms and prematurity / low birth weight. A complete
mathematical model will only be possible if the raw data from all previous
studies is made available. This highlights the need for increased cooperation
between researchers and the need for international consensus about the outcome
measures for future longitudinal studies.
PMID- 27197757
TI - Drosophila insulin-like peptide 1 (DILP1) is transiently expressed during non
feeding stages and reproductive dormancy.
AB - The insulin/insulin-like growth factor signaling pathway is evolutionarily
conserved in animals, and is part of nutrient-sensing mechanisms that control
growth, metabolism, reproduction, stress responses, and lifespan. In Drosophila,
eight insulin-like peptides (DILP1-8) are known, six of which have been
investigated in some detail, whereas expression and functions of DILP1 and DILP4
remain enigmatic. Here we demonstrate that dilp1/DILP1 is transiently expressed
in brain insulin producing cells (IPCs) from early pupa until a few days of adult
life. However, in adult female flies where diapause is triggered by low
temperature and short days, within a time window 0-10h post-eclosion, the
dilp1/DILP1 expression remains high for at least 9 weeks. The dilp1 mRNA level is
increased in dilp2, 3, 5 and dilp6 mutant flies, indicating feedback regulation.
Furthermore, the DILP1 expression in IPCs is regulated by short neuropeptide F,
juvenile hormone and presence of larval adipocytes. Male dilp1 mutant flies
display increased lifespan and reduced starvation resistance, whereas in female
dilp1 mutants oviposition is reduced. Thus, DILP1 is expressed in non-feeding
stages and in diapausing flies, is under feedback regulation and appears to play
sex-specific functional roles.
PMID- 27197759
TI - Independent modulations of the transmission amplitudes and phases by using
Huygens metasurfaces.
AB - We propose ultrathin Huygens metasurfaces to control transmission amplitudes and
phases of electromagnetic waves independently, in which each unit cell is
comprised of an electric dipole and a magnetic dipole. By altering the electric
and magnetic responses of unit cells, arbitrary complex transmission coefficients
with modulus values smaller than 0.85 are obtained. Two Huygens metasurfaces
capable of controlling the diffraction orders are designed and fabricated by
modulating the distributions of the complex transmission coefficients. More
complicated functions such as holographic imaging can also be accomplished by
using the proposed Huygens metasurfaces.
PMID- 27197760
TI - Cyclization of a cell-penetrating peptide via click-chemistry increases
proteolytic resistance and improves drug delivery.
AB - In this work we report synthesis and biological evaluation of a cell-penetrating
peptide (CPP), that is partly cyclized via a triazole bridge. Recently,
beneficious properties have been reported for cyclized peptides concerning their
metabolic stability and intracellular uptake. A CPP based on human calcitonin was
used in this study, and side chain cyclization was achieved via copper catalyzed
alkyne-azide click reaction. Cell viability studies in several cell-lines
revealed no cytotoxic effects. Furthermore, efficient uptake in breast cancer MCF
7 cells could be determined. Moreover, preliminary studies using this novel
peptide as drug transporter for daunorubicin were performed. Copyright (c) 2016
European Peptide Society and John Wiley & Sons, Ltd.
PMID- 27197762
TI - Mass spectrometry of B. subtilis CopZ: Cu(i)-binding and interactions with
bacillithiol.
AB - CopZ from Bacillus subtilis is a well-studied member of the highly conserved
family of Atx1-like copper chaperones. It was previously shown via solution and
crystallographic studies to undergo Cu(i)-mediated dimerisation, where the CopZ
dimer can bind between one and four Cu(i) ions. However, these studies could not
provide information about the changing distribution of species at increasing
Cu(i) levels. To address this, electrospray ionisation mass spectrometry using
soft ionisation was applied to CopZ under native conditions. Data revealed
folded, monomeric CopZ in apo- and Cu(i)-bound forms, along with Cu(i)-bound
dimeric forms of CopZ at higher Cu(i) loading. Cu4(CopZ)2 was the major dimeric
species at loadings >1 Cu(i)/CopZ, indicating the cooperative formation of the
tetranuclear Cu(i)-bound species. As the principal low molecular weight thiol in
B. subtilis, bacillithiol (BSH) may play a role in copper homeostasis. Mass
spectrometry showed that increasing BSH led to a reduction in Cu(i)-bound dimeric
forms, and the formation of S-bacillithiolated apo-CopZ and BSH adducts of Cu(i)
bound forms of CopZ, where BSH likely acts as a Cu(i) ligand. These data, along
with the high affinity of BSH for Cu(i), determined here to be beta2(BSH) = ~4 *
10(17) M(-2), are consistent with a role for BSH alongside CopZ in buffering
cellular Cu(i) levels. Here, mass spectrometry provides a high resolution
overview of CopZ-Cu(i) speciation that cannot be obtained from less
discriminating solution-phase methods, thus illustrating the potential for the
wider application of this technique to studies of metal-protein interactions.
PMID- 27197763
TI - Distribution and contamination assessment of heavy metals in surface sediments of
the Luanhe River Estuary, northwest of the Bohai Sea.
AB - 33 surface sediment samples from the Luanhe River Estuary have been analyzed for
heavy metals to evaluate the spatial distribution pattern and their potential
ecological risk. Higher metal concentrations were found in the river mouth and
southern areas where being covered by fine particulate matters. In comparison
with the threshold effect level and the probable effect level, Cu, Pb, Cr, Ni,
and As had occasionally adverse biological effects on the aquatic ecosystems.
Both the enrichment factor and geoaccumulation index values show that all the
regions have been weakly polluted by Pb, Hg, As, and Cd with an exception of
being moderately to strongly polluted by Hg in the river mouth and southern
areas. The sources of Zn, Ni, Cd, Hg, and Cr were mainly from the river input and
coastal discharge, whereas Cu, Pb, and As were mainly derived from vehicle
emissions, coal and oil combustion.
PMID- 27197761
TI - Pseudouridine synthase 1 deficient mice, a model for Mitochondrial Myopathy with
Sideroblastic Anemia, exhibit muscle morphology and physiology alterations.
AB - Mitochondrial myopathy with lactic acidosis and sideroblastic anemia (MLASA) is
an oxidative phosphorylation disorder, with primary clinical manifestations of
myopathic exercise intolerance and a macrocytic sideroblastic anemia. One cause
of MLASA is recessive mutations in PUS1, which encodes pseudouridine (Psi)
synthase 1 (Pus1p). Here we describe a mouse model of MLASA due to mutations in
PUS1. As expected, certain Psi modifications were missing in cytoplasmic and
mitochondrial tRNAs from Pus1(-/-) animals. Pus1(-/-) mice were born at the
expected Mendelian frequency and were non-dysmorphic. At 14 weeks the mutants
displayed reduced exercise capacity. Examination of tibialis anterior (TA) muscle
morphology and histochemistry demonstrated an increase in the cross sectional
area and proportion of myosin heavy chain (MHC) IIB and low succinate
dehydrogenase (SDH) expressing myofibers, without a change in the size of MHC IIA
positive or high SDH myofibers. Cytochrome c oxidase activity was significantly
reduced in extracts from red gastrocnemius muscle from Pus1(-/-) mice.
Transmission electron microscopy on red gastrocnemius muscle demonstrated that
Pus1(-/-) mice also had lower intermyofibrillar mitochondrial density and smaller
mitochondria. Collectively, these results suggest that alterations in muscle
metabolism related to mitochondrial content and oxidative capacity may account
for the reduced exercise capacity in Pus1(-/-) mice.
PMID- 27197764
TI - Circadian rhythm of a red-tide dinoflagellate Peridinium quadridentatum in the
port of Veracruz, Gulf of Mexico, its thecal morphology, nomenclature and
geographical distribution.
AB - A circadian rhythm of the dinoflagellate Peridinium quadridentatum was studied at
a time-series station in the southwestern Gulf of Mexico, in May 2007. Different
substrates (water column, the seagrass Thalassia testudinum, macroalgae, coral
rubble and sandy sediment surface) were sampled at the site at 1.5-3.5m depth. In
the samples of coral rubble, P. quadridentatum was scarce. In the water column,
the species showed an abundance peak at 15:00. The cell abundance of P.
quadridentatum in Thalassia samples increased from 15:00 until 18:00
(1.81*10(4)cells/gsubstratewet weight), and then continuously decreased until
06:00. Changes in P. quadridentatum cell abundance on macroalgae followed the
same trend as on Thalassia, with the maximal value at 18:00. The higher abundance
of P. quadridentatum (up to 1.40*10(4)cells/gSWW) in macroalgae samples showed
the preference for seaweeds. P. quadridentatum has a neritic tropical-boreal
distribution. A new combination is proposed: Peridinium quadridentatum var.
trispiniferum.
PMID- 27197765
TI - Assessment of heavy metal contamination in surface sediments of the west
Guangdong coastal region, China.
AB - Heavy metals (As, Cd, Cr, Cu, Hg, Pb, Zn), organic carbon and grain size of 237
surface sediment samples and one sediment core, taken from the west Guangdong
coastal region in January 2008, were analyzed to evaluate the spatial
distribution and pollution status. Results show that the ranges of the measured
heavy metal concentrations in sediments are as follows: 8.33-39.49mg/kg for As,
0.1-1.49mg/kg for Cd, 33-108mg/kg for Cr, 11.5-78.8mg/kg for Cu, 0.04-0.26mg/kg
for Hg, 21-73mg/kg for Pb, 56-248mg/kg for Zn. The heavy metal enrichment is
closely associated with Corg and grain size. Both the metal enrichment factor and
geoaccumulation index indicate that there was no detected pollution on metals As,
Cr, Cu, Pb in our study area and a slight to moderate contamination of Cd, Hg and
Zn. However, As, Cr and Cu have showed a certain risk.
PMID- 27197766
TI - Absence of selenium protection against methylmercury toxicity in harbour seal
leucocytes in vitro.
AB - Previous studies described high concentrations of mercury (Hg) and selenium (Se)
in the blood of harbour seals, Phoca vitulina from the North Sea. In the present
study, we evaluated the in vitro potential protective effects of sodium selenite
(Na2SeO3) and selenomethionine (SeMet) on cell proliferation of harbour seal
lymphocytes exposed to MeHgCl 0.75MUM. In vitro exposure of ConA-stimulated T
lymphocytes resulted in severe inhibition of DNA synthesis, likely linked to
severe loss of mitochondrial membrane potential at 0.75MUM. Neither selenite nor
SeMet showed a protective effect against MeHg toxicity expressed at the T
lymphocyte proliferation level for harbour seals. Selenite and SeMet did not show
negative effects regarding lymphocyte proliferation and mitochondrial membrane
potential. To conclude, our results clearly demonstrated that MeHg affected in
vitro immune cells exposure with no protective effects of selenium at a molar
ratio Hg:Se of 1:10 in harbour seals from the North Sea.
PMID- 27197767
TI - Novel bioluminescent coelenterazine derivatives with imidazopyrazinone C-6
extended substitution for Renilla luciferase.
AB - Two series of novel coelenterazine analogues (alkynes and triazoles) with
imidazopyrazinone C-6 extended substitution have been designed and synthesized
successfully for the extension of bioluminescent substrates. After extensive
evaluation, some compounds display excellent bioluminescence properties compared
with DeepBlueC in cellulo, thus becoming potential molecules for bioluminescence
techniques.
PMID- 27197768
TI - From bench to bed and back again: phage therapy of childhood Escherichia coli
diarrhea.
AB - Over the last 20 years, the Nestle Research Center in Switzerland and the
International Center for Diarrhoeal Diseases Research in Bangladesh have explored
the efficacy of alternative biological agents for the treatment of diarrheal
diseases. This paper reviews the work of this collaborative effort, particularly
on Escherichia coli phage therapy (PT), and discusses the development of the
project, starting with the isolation of T4-like coliphages from the stool of
diarrhea patients, their pilot plant amplification and purification, and the
constitution and testing of a cocktail of T4-like phages in mice. A series of
phase I clinical trials has demonstrated the safety of PT. Oral phage given
without protection survived gastric passage and was recovered in the feces. Oral
T4 phage cocktail was then tested in parallel to a commercial phage product in a
phase II randomized, placebo-controlled single-center trial in Bangladeshi
children hospitalized with acute E. coli diarrhea. It was found that oral phage
did not perform better than the current standard of care by oral rehydration/zinc
treatment. Furthermore, fecal E. coli pathogen titers were low and mixed
infections were found to be frequent. Microbiota analysis showed a correlation
between diarrhea and increased levels of Streptococcus, which raises fundamental
questions on the causative agent of diarrhea that may explain PT clinical
failure.
PMID- 27197770
TI - Major advantages and critical challenge for the proposed United States heart
allocation system.
AB - The proposed new United States allocation system incorporates extensive research
into an elegant plan designed to reduce wait list mortality while preserving post
transplant outcomes. All architects are to be congratulated. However, the future
cannot be reliably modeled from the past as listing practices will evolve in
response to new criteria. The new system should provide a major advance if and
only if it is combined with a commitment to limit the number of listed patients
overall and within each high priority status to the number that could reasonably
undergo timely transplantation.
PMID- 27197771
TI - The potential role of microRNAs in lung allograft rejection.
AB - Lung transplantation (LT) has proven to be successful in carefully selected
individuals with end-stage lung disease. However, long-term graft survival post
LT is often hindered by the development of the bronchiolitis obliterans syndrome
(BOS). Because BOS represents is a major problem for all LT centers, early
identification and prediction of progressive loss of lung function is a major
goal. MicroRNAs (miRNAs) play a major role in regulating many cellular functions,
including epithelial-to-mesenchymal transition. miRNAs are emerging not only as
biomarkers but also as potential therapy. The recognized importance of injured
human bronchial epithelium in lung allograft dysfunction indicates that there is
a need for research into the potential role of miRNAs. In this we review we
summarize published findings in miRNAs implicated in lung and other types of
allograft dysfunction and their role in maintaining the phenotype of epithelial
cells after transplant injury. We also address potential clinical interventions
that involve manipulating miRNA expression that may promote long-term airway
integrity and graft survival.
PMID- 27197769
TI - Effect of Exercise and Calorie Restriction on Tissue Acylcarnitines, Tissue
Desaturase Indices, and Fat Accumulation in Diet-Induced Obese Rats.
AB - Both exercise and calorie restriction interventions have been recommended for
inducing weight-loss in obese states. However, there is conflicting evidence on
their relative benefits for metabolic health and insulin sensitivity. This study
seeks to evaluate the differential effects of the two interventions on fat
mobilization, fat metabolism, and insulin sensitivity in diet-induced obese
animal models. After 4 months of ad libitum high fat diet feeding, 35 male
Fischer F344 rats were grouped (n = 7 per cohort) into sedentary control (CON),
exercise once a day (EX1), exercise twice a day (EX2), 15% calorie restriction
(CR1) and 30% calorie restriction (CR2) cohorts. Interventions were carried out
over a 4-week period. We found elevated hepatic and muscle long chain
acylcarnitines with both exercise and calorie restriction, and a positive
association between hepatic long chain acylcarnitines and insulin sensitivity in
the pooled cohort. Our result suggests that long chain acylcarnitines may not
indicate incomplete fat oxidation in weight loss interventions. Calorie
restriction was found to be more effective than exercise in reducing body weight.
Exercise, on the other hand, was more effective in reducing adipose depots and
muscle triglycerides, favorably altering muscle/liver desaturase activity and
improving insulin sensitivity.
PMID- 27197772
TI - The Zika epidemics and transplantation.
AB - In the last few months an epidemic of Zika virus (ZIKV) has affected several
countries, and it continues to spread rapidly. This virus was initially thought
to cause only a mild febrile illness; however, the current epidemic has shown
that it is associated with serious complications. Increasing reports are linking
ZIKV to devastating conditions such as microcephaly in newborns and important
neurologic syndromes. Although ZIKV infection has not yet been reported in
transplant recipients, it is likely that it will be reported soon because of the
number of transplants performed in affected areas and global travel. We discuss
the effect of ZIKV in transplantation and propose recommendations to prevent
donor-derived infections.
PMID- 27197773
TI - Compassionate deactivation of ventricular assist devices in pediatric patients.
AB - Despite greatly improved survival in pediatric patients with end-stage heart
failure through the use of ventricular assist devices (VADs), heart failure
ultimately remains a life-threatening disease with a significant symptom burden.
With increased demand for donor organs, liberalizing the boundaries of case
complexity, and the introduction of destination therapy in children, more
children can be expected to die while on mechanical support. Despite this trend,
guidelines on the ethical and pragmatic issues of compassionate deactivation of
VAD support in children are strikingly absent. As VAD support for pediatric
patients increases in frequency, the pediatric heart failure and palliative care
communities must work toward establishing guidelines to clarify the complex
issues surrounding compassionate deactivation. Patient, family and clinician
attitudes must be ascertained and education regarding the psychological, legal
and ethical issues should be provided. Furthermore, pediatric-specific planning
documents for use before VAD implantation as well as deactivation checklists
should be developed to assist with decision-making at critical points during the
illness trajectory. Herein we review the relevant literature regarding
compassionate deactivation with a specific focus on issues related to children.
PMID- 27197774
TI - Balloon pulmonary angioplasty: A potential adjunct to pulmonary
thromboendarterectomy.
PMID- 27197775
TI - Adverse events in children implanted with ventricular assist devices in the
United States: Data from the Pediatric Interagency Registry for Mechanical
Circulatory Support (PediMACS).
AB - BACKGROUND: Ventricular assist devices (VADs) have been used in children on an
increasing basis in recent years. One-year survival rates are now >80% in
multiple reports. In this report we describe adverse events experienced by
children with durable ventricular assist devices, using a national-level registry
(PediMACS, a component of INTERMACS) METHODS: PediMACS is a national registry
that contains clinical data on patients who are <19 years of age at the time of
VAD implantation. Data collection concludes at the time of VAD explantation. All
FDA-approved devices are included. PediMACS was launched on September 1, 2012,
and this report includes all data from launch until August 2014. Adverse events
were coded with a uniform, pre-specified set of definitions. RESULTS: This report
comprises data from 200 patients with a median age of 11 years (range 11 days to
18 years), and total follow-up of 783 patient-months. The diagnoses were
cardiomyopathy (n = 146, 73%), myocarditis (n = 17, 9%), congenital heart disease
(n = 35, 18%) and other (n = 2, 1%). Pulsatile-flow devices were used in 91
patients (45%) and continuous-flow devices in 109 patients (55%). Actuarial
survival was 81% at 6 months. There were 418 adverse events reported. The most
frequent events were device malfunction (n = 79), infection (n = 78), neurologic
dysfunction (n = 52) and bleeding (n = 68). Together, these accounted for 277
events, 66% of the total. Although 38% of patients had no reported adverse event
and 16% of patients had >=5 adverse events. Adverse events occurred at all time
points after implantation, but were most likely to occur in the first 30 days.
For continuous-flow devices, there were broad similarities in adverse event rates
between this cohort and historic rates from the INTERMACS population.
CONCLUSIONS: In this study cohort, the overall rate of early adverse events
(within 90 days of implantation) was 86.3 events per 100 patient-months, and of
late adverse events it was 20.4 events per 100 patient-months. The most common
adverse events in recipients of pulsatile VADs were device malfunction,
neurologic dysfunction, bleeding and infection. For continuous-flow VADs, the
most common adverse events were infection, bleeding, cardiac arrhythmia,
neurologic dysfunction and respiratory failure. Compared with an adult INTERMACS
cohort, the overall rate and distribution of adverse events appears similar.
PMID- 27197776
TI - Reply to the association between religion/spirituality and mental health in
cancer.
PMID- 27197777
TI - Positive influences of home food environment on primary-school children's diet
and weight status: a structural equation model approach.
AB - OBJECTIVES: The mechanism by which the home food environment (HFE) influences
childhood obesity is unclear. The present study aimed to investigate the
relationship between HFE and childhood obesity as mediated by diet in primary
school children. DESIGN: Cross-sectional data collected from parents and primary
school children participating in the Obesity Prevention and Lifestyle Evaluation
Project. Only children aged 9-11 years participated in the study. Matched
parent/child data (n 3323) were analysed. Exploratory factor analysis underlined
components of twenty-one HFE items; these were linked to child diet (meeting
guidelines for fruit, vegetable and non-core food intakes) and measured child
BMI, in structural equation modelling, adjusting for confounders. SETTING: Twenty
geographically bounded metropolitan and regional South Australian communities.
SUBJECTS: School children and their parents from primary schools in selected
communities. RESULTS: In the initial exploratory factor analysis, nineteen items
remaining extracted eight factors with eigenvalues >1.0 (72.4 % of total
variance). A five-factor structure incorporating ten items described HFE. After
adjusting for age, gender, socio-economic status and physical activity all
associations in the model were significant (P<0.05), explaining 9.3 % and 4.5 %
of the variance in child diet and BMI, respectively. A more positive HFE was
directly and indirectly associated with a lower BMI in children through child
diet. CONCLUSIONS: The robust statistical methodology used in the present study
provides support for a model of direct and indirect dynamics between the HFE and
childhood obesity. The model can be tested in future longitudinal and
intervention studies to identify the most effective components of the HFE to
target in childhood obesity prevention efforts.
PMID- 27197778
TI - Limits of agricultural greenhouse gas calculators to predict soil N2O and CH4
fluxes in tropical agriculture.
AB - Demand for tools to rapidly assess greenhouse gas impacts from policy and
technological change in the agricultural sector has catalyzed the development of
'GHG calculators'- simple accounting approaches that use a mix of emission
factors and empirical models to calculate GHG emissions with minimal input data.
GHG calculators, however, rely on models calibrated from measurements conducted
overwhelmingly under temperate, developed country conditions. Here we show that
GHG calculators may poorly estimate emissions in tropical developing countries by
comparing calculator predictions against measurements from Africa, Asia, and
Latin America. Estimates based on GHG calculators were greater than measurements
in 70% of the cases, exceeding twice the measured flux nearly half the time. For
41% of the comparisons, calculators incorrectly predicted whether emissions would
increase or decrease with a change in management. These results raise concerns
about applying GHG calculators to tropical farming systems and emphasize the need
to broaden the scope of the underlying data.
PMID- 27197781
TI - Optimal management of severe trauma in France: How close are we?
PMID- 27197782
TI - Erratum to "Correlation between the radiation responses of fibroblasts cultured
from individual patients and the risk of late reaction after breast radiotherapy"
[Cancer Lett. 374/2 (2016) 324-330].
PMID- 27197779
TI - A resistance locus in the American heirloom rice variety Carolina Gold Select is
triggered by TAL effectors with diverse predicted targets and is effective
against African strains of Xanthomonas oryzae pv. oryzicola.
AB - The rice pathogens Xanthomonas oryzae pathovar (pv.) oryzae and pv. oryzicola
produce numerous transcription activator-like (TAL) effectors that increase
bacterial virulence by activating expression of host susceptibility genes. Rice
resistance mechanisms against TAL effectors include polymorphisms that prevent
effector binding to susceptibility gene promoters, or that allow effector
activation of resistance genes. This study identifies, in the heirloom variety
Carolina Gold Select, a third mechanism of rice resistance involving TAL
effectors. This resistance manifests through strong suppression of disease
development in response to diverse TAL effectors from both X. oryzae pathovars.
The resistance can be triggered by an effector with only 3.5 central repeats, is
independent of the composition of the repeat variable di-residues that determine
TAL effector binding specificity, and is independent of the transcriptional
activation domain. We determined that the resistance is conferred by a single
dominant locus, designated Xo1, that maps to a 1.09 Mbp fragment on chromosome 4.
The Xo1 interval also confers complete resistance to the strains in the African
clade of X. oryzae pv. oryzicola, representing the first dominant resistance
locus against bacterial leaf streak in rice. The strong phenotypic similarity
between the TAL effector-triggered resistance conferred by Xo1 and that conferred
by the tomato resistance gene Bs4 suggests that monocots and dicots share an
ancient or convergently evolved mechanism to recognize analogous TAL effector
epitopes.
PMID- 27197783
TI - Acculturation levels and personalizing orthognathic surgery for the Asian
American patient.
AB - This study was performed to investigate whether the level of acculturation among
Asians living in the USA plays a significant role in their opinion of facial
profiles. One hundred and ninety-eight Asian American subjects were asked to
complete a pre-validated survey to measure their level of acculturation and to
evaluate four sets of pictures that displayed a class II male, class II female,
class III male, and class III female. Each set consisted of three lateral profile
pictures: an initial unaltered photo, a picture simulating a flatter profile
(orthodontic camouflage in class II; mandibular setback in class III), and a
picture simulating a fuller profile (mandibular advancement in class II;
maxillary advancement in class III). For the class II male, subjects who were
more acculturated indicated that a flatter profile (orthodontic camouflage) was
less attractive. For the class II female, higher acculturated subjects chose
expansive treatment (mandibular advancement) as more aesthetic compared to the
less acculturated subjects. Each of these scenarios had statistically significant
odds ratios. In general, highly acculturated subjects preferred a fuller facial
profile, while low acculturated subjects preferred a flatter facial profile
appearance, except for the class III female profile, which did not follow this
trend.
PMID- 27197780
TI - A specific plasminogen activator inhibitor-1 antagonist derived from inactivated
urokinase.
AB - Fibrinolysis is a process responsible for the dissolution of formed thrombi to re
establish blood flow after thrombus formation. Plasminogen activator inhibitor-1
(PAI-1) inhibits urokinase-type and tissue-type plasminogen activator (uPA and
tPA) and is the major negative regulator of fibrinolysis. Inhibition of PAI-1
activity prevents thrombosis and accelerates fibrinolysis. However, a specific
antagonist of PAI-1 is currently unavailable for therapeutic use. We screened a
panel of uPA variants with mutations at and near the active site to maximize
their binding to PAI-1 and identified a potent PAI-1 antagonist, PAItrap. PAItrap
is the serine protease domain of urokinase containing active-site mutation
(S195A) and four additional mutations (G37bR-R217L-C122A-N145Q). PAItrap inhibits
human recombinant PAI-1 with high potency (Kd = 0.15 nM) and high specificity. In
vitro using human plasma, PAItrap showed significant thrombolytic activity by
inhibiting endogenous PAI-1. In addition, PAItrap inhibits both human and murine
PAI-1, allowing the evaluation in murine models. In vivo, using a laser-induced
thrombosis mouse model in which thrombus formation and fibrinolysis are monitored
by intravital microscopy, PAItrap reduced fibrin generation and inhibited
platelet accumulation following vascular injury. Therefore, this work
demonstrates the feasibility to generate PAI-1 inhibitors using inactivated
urokinase.
PMID- 27197784
TI - Correlation of gender and age with magnetic resonance imaging findings in
patients with arthrogenic temporomandibular disorders: a cross-sectional study.
AB - The objective of this study was to analyse the correlation between the gender and
age of individuals with arthrogenic temporomandibular disorders (TMDs) and
magnetic resonance imaging (MRI) findings. A total of 199 patients were included
in the study and were divided into four age groups: group A, <=30 years; group B,
31-44 years; group C, 45-55 years; group D, >=56 years. MRI scans were analysed
for the presence or absence of the following conditions: morphological changes in
the mandibular condyle and/or articular tubercle, disc displacement with (DDWR)
and without reduction (DDWoR), bone oedema, effusion, and avascular necrosis.
Statistical analyses were conducted using logistic regression models (P<0.05).
The mean patient age was 44.47+/-16.39 years; 158 (79.4%) were female and 41
(20.6%) were male. Only DDWoR was more significantly found in females than in
males (P<0.05). Group D showed an odds ratio three times higher for the presence
of morphological changes than group A (odds ratio 3.042, 95% confidence interval
1.421-6.512; P=0.0042). No differences were found among groups for the other
findings. Based on the results of the present study it may be concluded that MRI
findings tend to differ according to age and gender.
PMID- 27197785
TI - [Mucinous nevus of late onset].
AB - INTRODUCTION: Mucinous nevus is a rare disease characterized by mucin deposits in
the dermis, with only 23 cases reported to date. It belongs to the connective
tissue nevus family and is characterized by dermal mucin deposits. Two
histological subtypes have been described: pure mucinous dermal nevus (connective
tissue nevus of the proteoglycan [CTNP]) and combined mucinous nevus (combined
CTNP) associating epidermal nevus with dermal mucin deposits. Lesions generally
appear in childhood or early adulthood. We report herein the case of a 59-year
old man with a symptomatic lesion. OBSERVATION: A 59-year-old man consulted for
unilateral lesions occurring linearly along the Blaschko line on the right lower
limb, composed of brown verrucous papules, which started at the age of 50. The
patient complained of itching caused by friction from clothes. Histological
examination of a papule highlighted an acanthotic epidermis, with elongation of
rete ridges and mucin deposits in the dermis showing uptake of alcian blue stain.
The clinicopathological analysis enabled diagnosis of combined CTNP. We treated
this nevus by surgical dermabrasion and observed a slight and cosmetically
acceptable scar. DISCUSSION: To our knowledge, our patient is the oldest reported
to date and the only one to have complained of symptoms. Our review of the
literature highlights the importance of skin biopsy to guide aesthetic or
symptomatic treatment. CO2 laser and dermabrasion are recommended for combined
CTNP as they treat the epidermal component with low risk of secondary scarring.
However, these techniques should be avoided in pure dermal CTNP, where surgical
excision alone is acceptable in order to achieve optimal cosmetic results.
PMID- 27197786
TI - Association Between Headaches and Tinnitus in Young Adults: Cross-Sectional
Study.
AB - OBJECTIVE: To study the association between migraine and tinnitus in a large,
cross-sectional study among students. BACKGROUND: Tinnitus has been associated
with various pain syndromes, including headaches. However, prior studies were
mainly conducted among elderly adults. METHODS: Cross-sectional study among 5729
participants of the French internet-based Students Health Research Enterprise (i
Share) cohort. Health, personal and lifestyle habits, and socio-demographics
characteristics as well as headache/migraine symptoms and tinnitus, were recorded
in a standardized questionnaire based on self-reports. Logistic regression models
were used to evaluate the association between the students' headache status and
tinnitus. RESULTS: The 5729 participants had a mean age of 20.8 years (standard
deviation 2.8 years), 75.4% were female, and 1645 reported migraine. An
association was found between the students' headache status and tinnitus after
adjustment for confounding variables. Tinnitus was reported by 8.9% of
participants with migraine, 7.3% of patients with migraine without aura, and
10.8% of participants with migraine with aura. The adjusted odds ratios of
tinnitus were 1.77 (95% confidence interval, 1.36-2.30) for migraine and 1.38
(0.98-1.92) for non-migraine headache. The association was stronger for students
with migraine with aura (odds ratio = 2.10, 95% confidence interval 1.54-2.86)
than for migraine without aura (odds ratio = 1.51, 95% confidence interval 1.09
2.07). CONCLUSION: We found an association between migraine and tinnitus among
young individuals, which was strongest for the subgroup migraine with aura.
PMID- 27197787
TI - Time trend in incidence of malignant neoplasms of the central nervous system in
relation to mobile phone use among young people in Japan.
AB - The aim of this study was to examine whether incidence of malignant neoplasms of
the central nervous system from 1993 to 2010 has increased among young people in
Japan, and whether the increase could be explained by increase in mobile phone
use. Joinpoint regression analysis of incidence data was performed. Subsequently,
the expected incidence rate was calculated assuming that the relative risk was
1.4 for those who used mobile phones more than 1640 h cumulatively. Annual
percent change was 3.9% (95% confidence interval [CI], 1.6-6.3) for men in their
20s from 1993 to 2010, 12.3% (95% CI, 3.3-22.1) for women in their 20s from 2002
to 2010, 2.7% (95% CI, 1.3-4.1) for men in their 30s from 1993 to 2010, and 3.0%
(95% CI, 1.4-4.7) for women in their 30s from 1993 to 2010. Change in incidence
rates from 1993 to 2010 was 0.92 per 100,000 people for men in their 20s, 0.83
for women in their 20s, 0.89 for men in their 30s, and 0.74 for women in their
30s. Change in expected incidence rates from 1993 to 2010 was 0.08 per 100,000
people for men in their 20s, 0.03 for women in their 20s, 0.15 for men in their
30s, and 0.05 for women in their 30s. Patterns in sex-, age-, and period-specific
incidence increases are inconsistent with sex-, age-, and period-specific
prevalence trends, suggesting the overall incidence increase cannot be explained
by heavy mobile phone use. Bioelectromagnetics. 37:282-289, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27197788
TI - The log TSH-free T4 relationship in a community-based cohort is nonlinear and is
influenced by age, smoking and thyroid peroxidase antibody status.
AB - BACKGROUND: The TSH-T4 relationship was thought to be inverse log-linear, but
recent cross-sectional studies of selected populations report a complex,
nonlinear relationship. The TSH-T4 relationship has not been evaluated in an
unselected, community-based cohort, and there are limited data regarding clinical
factors which affect it. OBJECTIVE: To analyse the TSH-free T4 relationship in a
community-based cohort. DESIGN, PARTICIPANTS AND METHODS: In a cross-sectional,
retrospective study, we analysed serum TSH and free T4 concentrations from 4427
participants (55% female) in the 1994 Busselton Health Study who were not taking
thyroxine. Simple linear, segmented-linear and nonlinear regression models of
log10 TSH on free T4 were compared for goodness of fit. RESULTS: All 5 log TSH
free T4 models tested (separate lines, segmented conterminal line, quartic, error
function, double-sigmoid curve) fitted significantly better than a simple linear
model (each P < 0.01 by Vuong test). Ranking by Akaike information criterion
indicated that the segmented conterminal line and double-sigmoid models provided
best fit, followed by the error function, quartic and separate lines models. From
multiple regression analysis, age tertile, current smoking and TPOAb status each
significantly influenced the TSH-free T4 relationship, whereas BMI category and
diabetes did not. A sex difference in the TSH-free T4 relationship was apparent
only in the lower part of the free T4 reference range. CONCLUSION: In a community
based setting, the relationship between log TSH and free T4 is complex, nonlinear
and influenced by age, smoking and TPOAb status.
PMID- 27197789
TI - Enzymatic lipophilization of epicatechin with free fatty acids and its effect on
antioxidative capacity in crude camellia seed oil.
AB - BACKGROUND: Crude camellia seed oil is rich in free fatty acids, which must be
removed to produce an oil of acceptable quality. In the present study, we reduced
the free fatty acid content of crude camellia seed oil by lipophilization of
epicatechin with these free fatty acids in the presence of Candida antarctica
lipase B (Novozym 435), and this may enhance the oxidative stability of the oil
at the same time. RESULTS: The acid value of crude camellia seed oil reduced from
3.7 to 2.5 mgKOH g-1 after lipophilization. Gas chomatography-mass spectrometry
analysis revealed that epicatechin oleate and epicatechin palmitate were
synthesized in the lipophilized oil. The peroxide, p-anisidine, and total
oxidation values during heating of the lipophilized oil were much lower than that
of the crude oil and commercially available camellia seed oil, suggesting that
lipophilized epicatechin derivatives could help enhance the oxidative stability
of edible oil. CONCLUSION: The enzymatic process to lipophilize epicatechin with
the free fatty acids in crude camellia seed oil described in the present study
could decrease the acid value to meet the quality standards for commercial
camellia seed oil and, at the same time, obtain a new edible camellia seed oil
product with good oxidative stability. (c) 2016 Society of Chemical Industry.
PMID- 27197790
TI - Apo J and Apo D: Complementary or Antagonistic Roles in Alzheimer's Disease?
AB - Apolipoprotein D (Apo D) and Apolipoprotein J (Apo J) are among the only nine
apolipoproteins synthesized in the nervous system. Apart from development, these
apolipoproteins are implicated in the normal aging process as well as in
different neuropathologies as Alzheimer's disease (AD), where a neuroprotective
role has been postulated. Different authors have proposed that Apo D and Apo J
could be biomarkers for AD but as far as we know, there are no studies about the
relationship between them as well as their expression pattern along the
progression of the disease. In this paper, using double immunohistochemistry
techniques, we have demonstrated that Apo D is mainly located in glial cells
while Apo J expression preferentially occurs in neurons; both proteins are also
present in AD diffuse and mature senile plaques but without signal overlap. In
addition, we have observed that Apo J and Apo D immunostaining shows a positive
correlation with the progression of the disease and the Braak's stages. These
results suggest complementary and cell-dependent neuroprotective roles for each
apolipoprotein during AD progress.
PMID- 27197791
TI - Deceit and dishonesty as practice: the comfort of lying.
AB - Lying and deceit are instruments of power, used by social actors in the pursuit
of their practices as they seek to maintain social order. All social actors,
nurses included, have deceit and dishonesty within their repertoire of practice.
Much of this is benign, well intentioned and a function of being sociable and
necessary in the pursuit of social order in the healthcare environment. Lying and
deceit from a sociological point of view, is a reflection of the different modes
of domination that exist within a social space. French philosopher Pierre
Bourdieu theorized about the way that symbolic power works within social space.
The social structures and the agency of individual actors moving within it are
interrelated and interdependent. Bourdieu's ideas will be used to theorize about
real clinical experiences where acts of deceit can be identified and a case
example will be presented. Nurses are actors in the social space of clinical
care, and their world is complex, challenging, and often fraught with the
contradictory demands and choices that reflect and influence their behaviours. An
exploration of lying and deceit in nursing as an instrument in the modes of
domination that persist enables us to challenge some of the assumptions that are
made about the motives that cause or tempt nurses to lie as well as to understand
the way on which they are sometimes lied to, according to the acts of domination
that exist in the field. Lying or acting dishonestly is a powerful act that is
intent on retaining stability and social order and could be seen to be a
justification of lying and deceit. However, we need to pause and consider, in
whose interests are we striving to create social order? Is it in the end about
the comfort of patients or for the comfort of professionals?
PMID- 27197792
TI - Genetic antimicrobial susceptibility testing in Gram-negative sepsis - impact on
time to results in a routine laboratory.
AB - Diagnostic testing of positive blood cultures is among the most critical tasks
performed by clinical microbiology laboratories, and the total analysis time from
sampling to results should be kept as short as possible. By providing
identification of pelleted bacteria directly from positive blood-cultures, MALDI
TOF MS opens for relatively low-complex species-adjusted genetic susceptibility
testing from the same bacterial pellet. In our lab routine, we prospectively
evaluated a rapid in-house real-time PCR targeting the most common aminoglycoside
and cephalosporin resistance genes in Escherichia coli and Klebsiella pneumoniae
and measured time to preliminary susceptibility reporting for 138 samples. The
results were compared to direct phenotypic susceptibility testing with
interpretation after 6 h and overnight incubation respectively. Results from the
genetic susceptibility testing were available for 69.5% (96/138) of the positive
blood cultures within 24 h after sample collection. No phenotypic susceptibility
results were available at this time. Compared to overnight direct susceptibility
testing, the average time from sample collection to preliminary susceptibility
reporting was reduced with 43%, from 45 h and 5 min to 25 h and 44 min, providing
an earlier adjustment of antimicrobial therapy for 12 patients. Minor logistic
adjustments have the potential to save yet another 4 h.
PMID- 27197793
TI - The DNA damage response molecule MCPH1 in brain development and beyond.
AB - Microcephalin (MCPH1) is identified as being responsible for the
neurodevelopmental disorder primary microcephaly type 1, which is characterized
by a smaller-than-normal brain size and mental retardation. MCPH1 has originally
been identified as an important regulator of telomere integrity and of cell cycle
control. Genetic and cellular studies show that MCPH1 controls neurogenesis by
coordinating the cell cycle and the centrosome cycle and thereby regulating the
division mode of neuroprogenitors to prevent the exhaustion of the progenitor
pool and thereby microcephaly. In addition to its role in neurogenesis, MCPH1
plays a role in gonad development. MCPH1 also functions as a tumor suppressor in
several human cancers as well as in mouse models. Here, we review the role of
MCPH1 in DNA damage response, cell cycle control, chromosome condensation and
chromatin remodeling. We also summarize the studies on the biological functions
of MCPH1 in brain size determination and in pathologies, including infertility
and cancer.
PMID- 27197795
TI - HIV Vaccine Awareness Day: sustaining the momentum.
PMID- 27197794
TI - Naps promote flexible memory retrieval in 12-month-old infants.
AB - Flexibility in applying existing knowledge to similar cues is a corner stone of
memory development in infants. Here, we examine the effect of sleep on the
flexibility of memory retrieval using a deferred imitation paradigm. Forty-eight
12-month-old infants were randomly assigned to either a nap or a no-nap
demonstration condition (scheduled around their natural daytime sleep schedule)
or to a baseline control condition. In the demonstration conditions, infants
watched an experimenter perform three target actions on a hand puppet.
Immediately afterwards, infants were allowed to practice the target actions three
times. In a test session 4-hr later, infants were given the opportunity to
reproduce the actions with a novel hand puppet differing in color from the puppet
used during the demonstration session. Only infants in the nap-condition
performed significantly more target actions than infants in the baseline control
condition. Furthermore, they were faster to carry out the first target action
than infants in the no-nap condition. We conclude that sleep had a facilitative
effect on infants' flexibility of memory retrieval.
PMID- 27197796
TI - Pregnancy in the liver transplant recipient.
AB - During gestation, the woman's body undergoes various changes, and the line
between physiology and pathology is very thin even in healthy women. Today, many
of the liver transplant recipients are young women, who at one point in their
lives may consider the possibility of pregnancy. Clinicians have to counsel them
about the time of conception, the risk of miscarriage, the deterioration of the
mother's health status, and the risk of birth defects. This review, based on our
20 years of clinical experience and up-to-date literature, provides comprehensive
guidelines on pregnancy management in liver transplant recipients. Pregnancy in
liver transplant recipients is possible but never physiological. Proper
management and pharmacotherapy lowers the incidence of complications and birth
defects. Critical factors for perinatal success include stable graft function
before pregnancy, proper preparation for pregnancy, and cautious observation
during its course. Liver Transplantation 22 1408-1417 2016 AASLD.
PMID- 27197798
TI - 3D Printing in the Laboratory: Maximize Time and Funds with Customized and Open
Source Labware.
AB - 3D printing, also known as additive manufacturing, is the computer-guided process
of fabricating physical objects by depositing successive layers of material. It
has transformed manufacturing across virtually every industry, bringing about
incredible advances in research and medicine. The rapidly growing consumer market
now includes convenient and affordable "desktop" 3D printers. These are being
used in the laboratory to create custom 3D-printed equipment, and a growing
community of designers are contributing open-source, cost-effective innovations
that can be used by both professionals and enthusiasts. User stories from
investigators at the National Institutes of Health and the biomedical research
community demonstrate the power of 3D printing to save valuable time and funding.
While adoption of 3D printing has been slow in the biosciences to date, the
potential is vast. The market predicts that within several years, 3D printers
could be commonplace within the home; with so many practical uses for 3D
printing, we anticipate that the technology will also play an increasingly
important role in the laboratory.
PMID- 27197797
TI - Comprehensive clinical assessment of home-based older persons within New Zealand:
an epidemiological profile of a national cross-section.
AB - OBJECTIVE: Since 2012, all community care recipients in New Zealand have
undergone a standardised needs assessment using the Home Care International
Residential Assessment Instrument (interRAI-HC). This study describes the
national interRAI-HC population, assesses its data quality and evaluates its
ability to be matched. METHODS: The interRAI-HC instrument elicits information on
236 questions over 20 domains; conducted by 1,800+ trained health professionals.
Assessments between 1 July 2012 and 30 June 2014 are reported here. Stratified by
age, demographic characteristics were compared to 2013 Census estimates and
selected health profiles described. Deterministic matching to the Ministry of
Health's mortality database was undertaken. RESULTS: Overall, 51,232 interRAI-HC
assessments were conducted, with 47,714 (93.1%) research consent from 47,236
unique individuals; including 2,675 Maori and 1,609 Pacific people. Apart from
height and weight, data validity and reliability were high. A 99.8% match to
mortality data was achieved. CONCLUSIONS: The interRAI-HC research database is
large and ethnically diverse, with high consent rates. Its generally good
psychometric properties and ability to be matched enhances its research utility.
IMPLICATIONS: This national database provides a remarkable opportunity for
researchers to better understand older persons' health and health care, so as to
better sustain older people in their own homes.
PMID- 27197799
TI - Metal Ions and Metal Complexes in Alzheimer's Disease.
AB - BACKGROUND: Alzheimer's disease (AD) is the most common form of dementia that
seriously affects daily life. Even if AD pathogenesis is still subject of debate,
it is generally accepted that cerebral cortex plaques formed by aggregated
amyloid-beta (Abeta) peptides can be considered a characteristic pathological
hallmark. It is well known that metal ions play an important role in the
aggregation process of Abeta. METHODS: This review focuses on the anti-Abeta
aggregation activity of chelating ligands as well as on the use of metal
complexes as diagnostic probes and as potential drugs. CONCLUSION: While
chelating agents, such as curcumin or flavonoid derivatives, are currently used
to capture metal ions responsible for Abeta aggregation, the potential
application of platinum, ruthenium and cobalt complexes, among others, of several
heterocyclic ligands, represents a promising new strategy to fight AD.
PMID- 27197800
TI - Functional Characterization of PyrG, an Unusual Nonribosomal Peptide Synthetase
Module from the Pyridomycin Biosynthetic Pathway.
AB - Pyridomycin is an antimycobacterial cyclodepsipeptide assembled by a nonribosomal
peptide synthetase/polyketide synthase hybrid system. Analysis of its cluster
revealed a nonribosomal peptide synthetase (NRPS) module, PyrG, that contains two
tandem adenylation domains and a PKS-type ketoreductase domain. In this study, we
biochemically validated that the second A domain recognizes and activates alpha
keto-beta-methylvaleric acid (2-KVC) as the native substrate; the first A domain
was not functional but might play a structural role. The KR domain catalyzed the
reduction of the 2-KVC tethered to the peptidyl carrier protein of PyrG in the
presence of the MbtH family protein, PyrH. PyrG was demonstrated to recognize
many amino acids. This substrate promiscuity provides the potential to generate
pyridomycin analogues with various enolic acids moiety; this is important for
binding InhA, a critical enzyme for cell-wall biosynthesis in Mycobacterium
tuberculosis.
PMID- 27197801
TI - Efficacy, safety and pharmacokinetics of a new high-purity factor X concentrate
in subjects with hereditary factor X deficiency.
AB - INTRODUCTION: Hereditary factor X (FX) deficiency is a rare bleeding disorder
affecting 1:500 000 to 1:1 000 000 of individuals. Until recently, no specific
replacement factor concentrate was available. AIM: The aim of this study was to
assess safety and efficacy of a new, high-purity plasma-derived FX concentrate
(pdFX) in subjects with hereditary FX deficiency. METHODS: Subjects aged >=12
years with moderate or severe FX deficiency (plasma FX activity <5 IU dL(-1) )
received 25 IU kg(-1) pdFX as on-demand treatment or short-term prophylaxis for 6
months to 2 years. Subjects assessed pdFX efficacy for each bleed; at end-of
study, investigators assessed overall pdFX efficacy. Blood samples for
pharmacokinetic analysis were obtained at baseline and >=6 months. Safety was
assessed by adverse events (AEs), inhibitor development and changes in laboratory
parameters. RESULTS: Sixteen enrolled subjects (six aged 12-17 years; 10 aged 18
58 years) received a total of 468 pdFX infusions. In the 187 analysed bleeds,
pdFX efficacy was categorized as excellent, good, poor or unassessable in 90.9%,
7.5%, 1.1% and 0.5% of bleeds respectively; 83% of bleeds were treated with one
infusion. For pdFX, mean (median; interquartile range) incremental recovery and
half-life were 2.00 (2.12; 1.79-2.37) IU dL(-1) per IU kg(-1) and 29.4 (28.6;
25.8-33.1) h respectively. No serious AEs possibly related to pdFX or evidence of
FX inhibitors were observed, and no hypersensitivity reactions or clinically
significant trends were detected in laboratory parameters. CONCLUSION: These
results demonstrate that a dose of 25 IU kg(-1) pdFX is safe and efficacious for
on-demand treatment and short-term prophylaxis in subjects with moderate or
severe hereditary FX deficiency.
PMID- 27197803
TI - Assessing women's knowledge and attitudes toward cord blood banking: policy and
ethical implications for Jordan.
AB - BACKGROUND: Despite the global expansion of umbilical cord blood (CB) banking,
little is known about public opinion and awareness, especially among Arab Muslim
populations. CB banking raises policy questions about funding sustainability and
quality standards, as well as ethical debates about profitability, informed
consent, and medical justification. This study is the first of its kind in the
Arab world, and Jordan has a unique, understudied, yet highly relevant setting,
especially as a regional medical hub with advanced medical and health policy
infrastructures. In addition, the first private and public CB banks are expected
to open in 2016. STUDY DESIGN AND METHODS: The authors developed and
administered, over a 5-month period, an anonymous survey to investigate public
opinion and knowledge about CB banking in Jordan. The survey was administered to
women in maternity outpatient clinic waiting rooms at five different hospitals.
RESULTS: More than 75% of respondents indicated they knew nothing about CB
banking in Jordan, and more than 50% had never heard of CB banking before.
However, overall public opinion about CB storage is positive. Important factors
related to public opinion were also identified, demonstrating that most women
want more information on CB banking, especially from their obstetrician.
CONCLUSION: This widespread lack of awareness is likely contributing to
misinformation, lack of knowledge, and unfavorable perspectives toward CB
donation and research. The results have important implications for the
development of national and regional policies and educational campaigns on CB
banking targeting both physicians and patients.
PMID- 27197804
TI - Electrophysiologic Scar Substrate in Relation to VT: Noninvasive High-Resolution
Mapping and Risk Assessment with ECGI.
AB - BACKGROUND: Ischemic cardiomyopathy (ICM) can provide the substrate for
ventricular tachycardia (VT). OBJECTIVE: To map noninvasively with high
resolution the electrophysiologic (EP) scar substrate, identify its relationship
to reentry circuits during VT, and stratify VT risk in ICM patients. METHODS:
Noninvasive high-resolution epicardial mapping with electrocardiographic imaging
(ECGI) was performed in 32 ICM patients (17 with clinical VT, 15 without VT).
Abnormal scar EP substrate was determined based on electrogram (EGM) amplitude
(as percentage of maximal peak-to-peak voltage over the entire ventricular
epicardium; total scar [TS] < 30%; dense scar [DS] < 15%), fractionation, and
presence of late potentials (LPs). Scar burden was defined as the ratio of the
scar size to the total epicardial surface area. The VT activation pattern was
mapped and correlated with the EP substrate to identify components of the reentry
circuit. RESULTS: Patients with VT had higher scar burden (TS: 51.0 +/- 9.3% vs
36.5 +/- 5.4%, P < 0.05; DS: 29.5 +/- 7.3% vs 16.8 +/- 6.8%, P < 0.05) with lower
normalized unipolar EGM voltage (TS: 0.107 +/- 0.027 vs 0.153 +/- 0.031, P <
0.05; DS: 0.073 +/- 0.023 vs 0.098 +/- 0.026, P < 0.05), greater prevalence of
fractionated EGMs (TS: 44.1 +/- 10.6% vs 26.8 +/- 6.3%, P < 0.05; DS: 50.8 +/-
10.8% vs 30.9 +/- 7.0%, P < 0.05), and LPs (TS: 26.8 +/- 10.7% vs 15.8 +/- 5.3, P
< 0.05). VTs were mapped in eight patients; the reentry circuits were closely
related to the EP substrate. CONCLUSIONS: ECGI noninvasively identified scar EP
substrate that underlies abnormal conduction in ICM patients. It identified
regions within the scar that aligned with critical elements of the reentry
circuit during VT. ECGI can potentially be used for VT risk stratification in ICM
patients.
PMID- 27197805
TI - Prevalence and risk factors of advanced colorectal neoplasms in asymptomatic
Korean people between 40 and 49 years of age.
AB - BACKGROUND AND AIM: Current guidelines recommend colon cancer screening for
persons aged over 50 years. However, there are few data on colorectal cancer
screening in 40- to 49-year-olds. This study assessed the prevalence and risk
factors of colorectal neoplasms in 40- to 49-year-old Koreans. METHODS: We
analyzed the results of screening colonoscopies of 6680 persons 40-59 years of
age (2206 aged 40-49 and 4474 aged 50-59 years). RESULTS: The prevalence of
overall and advanced neoplasms in the 40- to 49-year age group was lower than in
the 50- to 59-year age group (26.7% and 2.4% vs 37.8% and 3.5%, respectively).
However, the prevalence of overall and advanced neoplasms increased to 39.1% and
5.4%, respectively, in 45- to 49-year-old individuals with metabolic syndrome. In
the 40- to 49-year age group, age, current smoking, and metabolic syndrome were
associated with an increased risk of advanced neoplasms (odds ratio [OR] 1.16,
95% confidence interval [CI] 1.04-1.30; OR 3.12, 95% CI 1.20-8.12; and OR 2.00,
95% CI 1.09-3.67, respectively). CONCLUSIONS: Individuals aged 40-49 years had a
lower prevalence of colorectal neoplasms than those aged 50-59 years, but some 40
to 49-year-olds showed a similar prevalence to those aged 50-59 years. Age,
current smoking habits, and metabolic syndrome are associated with an increased
risk of advanced neoplasms in subjects aged 40-49 years. Further studies are
needed to stratify the risks of colon cancer and guide targeted screening in
persons younger than 50 years old.
PMID- 27197807
TI - Nanoscale Electrodes for Flexible Electronics by Swelling Controlled Cracking.
AB - Nanogap electrodes are realized using pre-patterned electrodes and a swelling
controlled cracking method. Parallel fabrication of nanogap electrodes on
flexible substrates can be achieved using this method. This swelling-controlled
cracking method is promising for fabricating high-performance flexible
electronics. UV photodetectors with ZnO nanoparticle-bridged nanogap electrodes
exhibit high responsivity and external quantum efficiency.
PMID- 27197806
TI - Quantification of liver proton-density fat fraction in 7.1T preclinical MR
systems: Impact of the fitting technique.
AB - PURPOSE: To investigate the feasibility of estimating the proton-density fat
fraction (PDFF) using a 7.1T magnetic resonance imaging (MRI) system and to
compare the accuracy of liver fat quantification using different fitting
approaches. MATERIALS AND METHODS: Fourteen leptin-deficient ob/ob mice and eight
intact controls were examined in a 7.1T animal scanner using a 3D six-echo
chemical shift-encoded pulse sequence. Confounder-corrected PDFF was calculated
using magnitude (magnitude data alone) and combined fitting (complex and
magnitude data). Differences between fitting techniques were compared using Bland
Altman analysis. In addition, PDFFs derived with both reconstructions were
correlated with histopathological fat content and triglyceride mass fraction
using linear regression analysis. RESULTS: The PDFFs determined with the use of
both reconstructions correlated very strongly (r = 0.91). However, small mean
bias between reconstructions demonstrated divergent results (3.9%; confidence
interval [CI] 2.7-5.1%). For both reconstructions, there was linear correlation
with histopathology (combined fitting: r = 0.61; magnitude fitting: r = 0.64) and
triglyceride content (combined fitting: r = 0.79; magnitude fitting: r = 0.70).
CONCLUSION: Liver fat quantification using the PDFF derived from MRI performed at
7.1T is feasible. PDFF has strong correlations with histopathologically
determined fat and with triglyceride content. However, small differences between
PDFF reconstruction techniques may impair the robustness and reliability of the
biomarker at 7.1T. J. Magn. Reson. Imaging 2016;44:1425-1431.
PMID- 27197809
TI - Analysis of pesticide residues in tobacco with online size exclusion
chromatography with gas chromatography and tandem mass spectrometry.
AB - An ultrasensitive method for the simultaneous analysis of pesticides residues in
tobacco was developed with online size exclusion chromatography with gas
chromatography and tandem mass spectrometry. Tobacco samples were extracted with
the solvent mixture of cyclohexane and acetone (7:3, v/v) and centrifuged. Then,
the supernatant liquors were injected directly into the online size exclusion
chromatography with gas chromatography and tandem mass spectrometry without any
other purification procedures after being filtered with a 0.22 MUm organic phase
filter. The matrix interferences were effectively removed and recoveries of most
pesticides were in the range of 72-121%. Especially, for chlorothalonil, the
analysis efficiency of this method was much more favorable than that of the
general method, in which dispersive solid-phase extraction was used as an
additional purified procedure. In addition, the limits of quantitation of this
method were from 1 to 50 MUg/kg. Therefore, a rapid, cost-effective, labor-saving
method was proposed in the present work, which was suitable for the analysis of
41 pesticide residues in tobacco.
PMID- 27197808
TI - Crizotinib primary resistance overcome by ceritinib in a patient with ALK
rearranged non-small cell lung cancer.
AB - We report on the case of a patient affected by advanced non-small cell lung
cancer (NSCLC) harboring an anaplastic lymphoma kinase (ALK) gene rearrangement
who did not respond to crizotinib but subsequently benefited from treatment with
ceritinib (LDK378). Although second-generation ALK inhibitors have shown activity
in patients pretreated with crizotinib who experienced secondary resistance, this
is the first report to date describing their efficacy in a case of primary
resistance. Of note, none of the previously described molecular mechanisms
explaining resistance to crizotinib was detected on either the initial or post
crizotinib biopsies. We hypothesize that crizotinib was powerless in controlling
disease progression due to its inadequate inhibition of ALK signaling. Although
we lack any molecular evidence elucidating the primary crizotinib resistance, we
believe that ceritinib treatment led to tumor regression thanks to its superior
biological potency.
PMID- 27197810
TI - NMR spectroscopy of filtered serum of prostate cancer: A new frontier in
metabolomics.
AB - BACKGROUND: To address the shortcomings of digital rectal examinations (DRE),
serum prostate-specific antigen (PSA), and trans-rectal ultrasound (TRUS) for
precise determination of prostate cancer (PC) and differentiation from benign
prostatic hyperplasia (BPH), we applied (1) H-nuclear magnetic resonance (NMR)
spectroscopy as a surrogate tactic for probing and prediction of PC and BPH.
METHODS: The study comprises 210 filtered sera from suspected PC, BPH, and a
healthy subjects' cohort (HC). The filtered serum approach delineates to identify
and quantify 52 metabolites using (1) H NMR spectroscopy. All subjects had
undergone clinical evaluations (DRE, PSA, and TRUS) followed by biopsy for
Gleason score, if needed. NMR-measured metabolites and clinical evaluation data
were examined separately using linear multivariate discriminant function analysis
(DFA) to probe the signature descriptors for each cohort. RESULTS: DFA indicated
that glycine, sarcosine, alanine, creatine, xanthine, and hypoxanthine were able
to determine abnormal prostate (BPH + PC). DFA-based classification presented
high precision (86.2% by NMR and 68.1% by clinical laboratory method) in
discriminating HC from BPH + PC. DFA reveals that alanine, sarcosine, creatinine,
glycine, and citrate were able to discriminate PC from BPH. DFA-based
categorization exhibited high accuracy (88.3% by NMR and 75.2% by clinical
laboratory method) to differentiate PC from BPH. CONCLUSIONS: (1) H NMR-based
metabolic profiling of filtered-serum sample appears to be assuring, swift, and
least-invasive for probing and prediction of PC and BPH with its signature
metabolic profile. This novel technique is not only on a par with
histopathological evaluation of PC determination but is also comparable to liquid
chromatography-based mass spectrometry to identify the metabolites. Prostate
76:1106-1119, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27197811
TI - Magnetism and Bond Order in Diatomic Molecules Described by Semiclassical
Electrons.
AB - The past decade has seen the first attempts at quantifying a semiclassical
description of electrons in molecules. The challenge in this endeavor is to find
potentials for electron interactions that adequately capture quantum effects. As
has been the case for density functionals, the challenge is particularly great
for the effects that follow from the requirement for wave function antisymmetry.
Here we extend our empirical inquiry into effective potentials, from prior work
on the monatomic atoms and ions of nonmetals, to diatomic molecules and ions
formed by these elements. Newly adjusted and trained for the longer distances
relevant to diatomics, pairwise potentials are able to fit the bond orders and
magnetic properties of homonuclear species. These potentials are then found to do
an excellent job of predicting the magnetism of heteronuclear species. In these
molecules the predicted distribution of electrons also correctly reflects
increasing ionic character with increasing difference in the electronegativities
of the participating atoms. The distinctive features of the current potential are
discussed, along with issues calling for further improvements.
PMID- 27197813
TI - Development of gait motor control: what happens after a sudden increase in height
during adolescence?
AB - BACKGROUND: Basic understanding of motor control and its processes is a topic of
well-known high relevance. During adolescence walking is theoretically a well
achieved fundamental skill, having reached a mature manifestation; on the other
hand, adolescence is marked by a period of accelerated increases in both height
and weight, referred as growth spurt. Thus, this period was chosen as a
controlled and natural environment for partially isolating one of the factors
influencing motor development (segment growth). The aim of the study was to
compare gait performance of growing and not growing male adolescents during
walking in single task (ST) and dual task (DT), in order to study which are the
modifications that motor control handles when encountering a sudden change in
segment length. METHODS: 19 adolescents were selected as growing adolescents
(they showed a height increase greater than 3 cm in 3 months). A group of BMI
matched peers were selected as not growing adolescents (they showed a height
increase lower than 1 cm in 3 months). Measures of acceleration of the trunk (L5
level) were collected using one tri-axial wireless inertial sensor. The
participants were asked to walk at self-selected speed back and forth four times
in a 10 m long corridor in ST and DT conditions. The following characteristics of
gait performance were evaluated using different indices: variability, smoothness,
regularity, complexity and local dynamic stability. An unpaired t-test was
performed on the two groups for each method. RESULTS: Different indices followed
the hypothesized trend in the two groups, even if differences were not always
statistically significant: not growing adolescents showed a lower variability and
complexity of gait and a higher smoothness/rhythm. Stability results showed a
similarly stable gait pattern (or even higher in DT) in the growing adolescents
when compared to their not growing peers. CONCLUSIONS: The findings of the
present work suggest that growth spurt affects gait variability, smoothness and
regularity but not gait stability. It could be argued that sudden peripheral
changes of the body affect the manifestation and the performance of gait, but, on
the other hand, gait control is able to handle these modifications, maintaining
the stability of the system.
PMID- 27197814
TI - TreesimJ: a flexible, forward time population genetic simulator.
PMID- 27197812
TI - Lateralization and Binaural Interaction of Middle-Latency and Late-Brainstem
Components of the Auditory Evoked Response.
AB - We used magnetoencephalography to examine lateralization and binaural interaction
of the middle-latency and late-brainstem components of the auditory evoked
response (the MLR and SN10, respectively). Click stimuli were presented either
monaurally, or binaurally with left- or right-leading interaural time differences
(ITDs). While early MLR components, including the N19 and P30, were larger for
monaural stimuli presented contralaterally (by approximately 30 and 36 % in the
left and right hemispheres, respectively), later components, including the N40
and P50, were larger ipsilaterally. In contrast, MLRs elicited by binaural clicks
with left- or right-leading ITDs did not differ. Depending on filter settings,
weak binaural interaction could be observed as early as the P13 but was clearly
much larger for later components, beginning at the P30, indicating some degree of
binaural linearity up to early stages of cortical processing. The SN10, an
obscure late-brainstem component, was observed consistently in individuals and
showed linear binaural additivity. The results indicate that while the MLR is
lateralized in response to monaural stimuli-and not ITDs-this lateralization
reverses from primarily contralateral to primarily ipsilateral as early as 40 ms
post stimulus and is never as large as that seen with fMRI.
PMID- 27197815
TI - PERMANOVA-S: association test for microbial community composition that
accommodates confounders and multiple distances.
AB - MOTIVATION: Recent advances in sequencing technology have made it possible to
obtain high-throughput data on the composition of microbial communities and to
study the effects of dysbiosis on the human host. Analysis of pairwise
intersample distances quantifies the association between the microbiome diversity
and covariates of interest (e.g. environmental factors, clinical outcomes,
treatment groups). In the design of these analyses, multiple choices for distance
metrics are available. Most distance-based methods, however, use a single
distance and are underpowered if the distance is poorly chosen. In addition,
distance-based tests cannot flexibly handle confounding variables, which can
result in excessive false-positive findings. RESULTS: We derive presence-weighted
UniFrac to complement the existing UniFrac distances for more powerful detection
of the variation in species richness. We develop PERMANOVA-S, a new distance
based method that tests the association of microbiome composition with any
covariates of interest. PERMANOVA-S improves the commonly-used Permutation
Multivariate Analysis of Variance (PERMANOVA) test by allowing flexible
confounder adjustments and ensembling multiple distances. We conducted extensive
simulation studies to evaluate the performance of different distances under
various patterns of association. Our simulation studies demonstrate that the
power of the test relies on how well the selected distance captures the nature of
the association. The PERMANOVA-S unified test combines multiple distances and
achieves good power regardless of the patterns of the underlying association. We
demonstrate the usefulness of our approach by reanalyzing several real microbiome
datasets. AVAILABILITY AND IMPLEMENTATION: miProfile software is freely available
at https://medschool.vanderbilt.edu/tang-lab/software/miProfile CONTACT:
z.tang@vanderbilt.edu or g.chen@vanderbilt.edu SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 27197817
TI - Dual isotope and multidetector camera: The best choices for a specific end-point.
PMID- 27197816
TI - Contribution of working conditions to occupational inequalities in depressive
symptoms: results from the national French SUMER survey.
AB - OBJECTIVES: Social inequalities in mental health have been observed, but
explanations are still lacking. The objectives were to evaluate the contribution
of a large set of psychosocial work factors and other occupational exposures to
social inequalities in mental health in a national representative sample of
employees. METHODS: The sample from the cross-sectional national French survey
SUMER 2010 included 46,962 employees: 26,883 men and 20,079 women. Anxiety and
depression symptoms were measured using the Hospital Anxiety and Depression
scale. Occupation was used as a marker of social position. Psychosocial work
factors included various variables related to the classical job strain model,
psychological demands, decision latitude, social support, and other understudied
variables related to reward, job insecurity, job promotion, esteem, working
time/hours, and workplace violence. Other occupational exposures of chemical,
biological, physical, and biomechanical nature were also studied. Weighted age
adjusted linear regression analyses were performed. RESULTS: Occupational
gradients were found in the exposure to most psychosocial work factors and other
occupational exposures. Occupational inequalities were observed for depressive
symptoms, but not for anxiety symptoms. The factors related to decision latitude
(and its sub-dimensions, skill discretion, and decision authority), social
support, and reward (and its sub-dimensions, job promotion, job insecurity, and
esteem) contributed to explain occupational inequalities in depressive symptoms.
Decision latitude played a major role in the explanation. Workplace violence
variables contributed among men only. Other exposures of physical and
biomechanical nature also displayed significant contributions. CONCLUSIONS:
Comprehensive prevention policies at the workplace may help to reduce social
inequalities in mental health in the working population.
PMID- 27197818
TI - Reducing the small-heart effect in pediatric gated myocardial perfusion single
photon emission computed tomography.
AB - BACKGROUND: We compared two reconstruction algorisms and two cardiac functional
evaluation software programs in terms of their accuracy for estimating ejection
fraction (EF) of small hearts (SH). METHODS: The study group consisted of 66
pediatric patients. Data were reconstructed using a filtered back projection
(FBP) method without the resolution correction (RC) and an iterative method based
on an ordered subset expectation maximization (OSEM) algorithm with the RC. EF
was evaluated using two software programs of quantitative gated single-photon
emission computed tomography (SPECT) (QGS) and cardioREPO. We compared the EF of
gated myocardial perfusion SPECT to echocardiographic measurement (Echo).
RESULTS: Forty-eight of 66 patients had an end-systolic volume < 20 mL which was
used as the criterion for being included in the SH group, and the SH effect led
to an overestimation of EF. While significant differences were observed between
Echo (66.9 +/- 5.0%) and QGS-FBP without RC (76.9 +/- 8.4%, P < .0001), QGS-OSEM
with RC (76.6 +/- 8.6%, P < .0001), and cardioREPO-FBP without RC (72.1 +/-
10.0%, P = .0011), no significant difference was observed between Echo and
cardioREPO-OSEM with RC (67.4 +/- 6.1%) in SH group. CONCLUSIONS: In pediatric
gated myocardial perfusion SPECT, the SH effect can be significantly reduced when
an OSEM algorithm is used with RC in combination with the specific cardioREPO
algorithm.
PMID- 27197819
TI - The high matrix acquisition technique for imaging of atherosclerotic plaque
inflammation in fluorine-18 fluorodeoxyglucose positron emission
tomography/computed tomography with time-of-flight: Phantom study.
AB - BACKGROUND: Motion artifact and partial volume effect caused underestimation of
coronary plaque inflammation. This study evaluated the high matrix acquisition
technique using time-of-flight (TOF) positron emission tomography/computed
tomography for imaging of atherosclerotic plaque inflammation with fluorine-18
fluorodeoxyglucose in small and moving phantoms. METHODS AND RESULTS: All images
were reconstructed using a conventional algorithm without TOF (4 * 4 * 4 mm3
voxel size) and a high matrix algorithm with TOF (2 * 2 * 2 mm3 voxel size).
Microsphere phantoms of 10, 7.9, 6.2, 5.0, and 4.0 mm diameters were acquired in
3-dimensional list-mode for 30 minutes. A heart phantom mimicking cardiac motion
consisted of a hot spot simulating a plaque (phi 4 mm, phi 2 mm) on the outside
of the left ventricle. In the microsphere and heart phantom study, visual
discrimination, maximum activity, and target-to-background ratio using the high
matrix algorithm with TOF were better than those using the conventional algorithm
without TOF. CONCLUSION: The high matrix algorithm with TOF improves detection of
small targets in phantoms.
PMID- 27197820
TI - F-18 sodium fluoride PET/CT does not effectively image myocardial inflammation
due to suspected cardiac sarcoidosis.
AB - BACKGROUND: Sarcoidosis is an inflammatory disorder of unknown etiology that can
involve the heart. While effective in imaging cardiac sarcoidosis, F-18
fluorodeoxyglucose (FDG) PET/CT often shows non-specific myocardial uptake. F-18
sodium fluoride (NaF) has been used to image inflammation in coronary artery
plaques and has low background myocardial uptake. Here, we evaluated whether F-18
NaF can image myocardial inflammation due to clinically suspected cardiac
sarcoidosis. PATIENTS AND METHODS: We performed a single institution pilot study
testing if F-18 NaF PET/CT can detect myocardial inflammation in patients with
suspected cardiac sarcoidosis. Patients underwent cardiac PET/CT with F-18 FDG as
part of their routine care and subsequently received an F-18 NaF PET/CT scan.
RESULTS: Three patients underwent F-18 FDG and F-18 NaF imaging. In all patients,
there was F-18 FDG uptake consistent with cardiac sarcoidosis. The F-18 NaF
PET/CT scans showed no myocardial uptake. CONCLUSIONS: In this small preliminary
study, PET/CT scan using F-18 NaF does not appear to detect myocardial
inflammation caused by suspected cardiac sarcoidosis.
PMID- 27197821
TI - The Relationship between the Supervision Role and Compassion Fatigue and Burnout
in Genetic Counseling.
AB - Many genetic counselors provide supervision to students during their career.
Previous studies have shown genetic counselors, in general, are at increased risk
for developing compassion fatigue. The purpose of this study was to determine if
there was a difference in compassion fatigue and burnout levels in genetic
counselors who currently supervise compared to genetic counselors who do not.
Genetic counselors who currently practice in a clinical setting (N = 391)
completed an online survey containing demographic questions, the Professional
Quality of Life Scale, the State-Trait Anxiety Inventory, and questions specific
to the genetic counselor's experiences with supervision. Overall, when
controlling for trait-anxiety, the supervision role by itself was not
independently associated with the risk for compassion fatigue and burnout among
genetic counselors. Within supervisors, however, there were several factors which
were associated with this risk. Those with less supervision experience reported
more secondary traumatic stress. Those supervisors reporting less confidence had
decreased compassion satisfaction. Those with less experience or less confidence
in their supervision role were most likely to be at increased risk for developing
compassion fatigue. Training in supervision and support for dealing with
compassion fatigue and burnout may be beneficial to supervisors with less
experience.
PMID- 27197822
TI - [Diseases of the acromioclavicular joint].
AB - The acromioclavicular joint together with the sternoclavicular joint represents
the only articulation between the shoulder girdle, the upper extremities and the
trunk. The high load on the relative small joint surface results in a high risk
for degenerative changes. The most common pathology is therefore osteoarthritis.
In addition, joint instability and many inflammatory processes can occur,
especially rheumatoid type pathologies and metabolic disorders. Acromioclavicular
cysts represent a clinically evident disease, which are frequently associated
with an underlying cuff tear arthropathy. A thorough clinical examination
supported by appropriate imaging allows a rapid and reliable diagnosis.
Conservative therapy is usually symptom related. Surgical procedures after failed
conservative therapy must be specific for the pathology in question and are
successful in most cases.
PMID- 27197823
TI - [Characteristics of neuromuscular scoliosis].
AB - Usually, neuromuscular scolioses become clinically symptomatic relatively early
and are rapidly progressive even after the end of growth. Without sufficient
treatment they lead to a severe reduction of quality of life, to a loss of the
ability of walking, standing or sitting as well as to an impairment of the
cardiopulmonary system resulting in an increased mortality. Therefore, an
intensive interdisciplinary treatment by physio- and ergotherapists, internists,
pediatricians, orthotists, and orthopedists is indispensable. In contrast to
idiopathic scoliosis the treatment of patients with neuromuscular scoliosis with
orthosis is controversially discussed, whereas physiotherapy is established and
essential to prevent contractures and to maintain the residual sensorimotor
function.Frequently, the surgical treatment of the scoliosis is indicated. It
should be noted that only long-segment posterior correction and fusion of the
whole deformity leads to a significant improvement of the quality of life as well
as to a prevention of a progression of the scoliosis and the development of
junctional problems. The surgical intervention is usually performed before the
end of growth. A prolonged delay of surgical intervention does not result in an
increased height but only in a deformity progression and is therefore not
justifiable. In early onset neuromuscular scolioses guided-growth implants are
used to guarantee the adequat development. Because of the high complication
rates, further optimization of these implant systems with regard to efficiency
and safety have to be addressed in future research.
PMID- 27197825
TI - Fitkids Treadmill Test: Age- and Sex-Related Normative Values in Dutch Children
and Adolescents.
AB - BACKGROUND: Recent research has shown that the Fitkids Treadmill Test (FTT) is a
valid and reproducible exercise test for the assessment of aerobic exercise
capacity in children and adolescents who are healthy. OBJECTIVE: The study
objective was to provide sex- and age-related normative values for FTT
performance in children and adolescents who were healthy, developing typically,
and 6 to 18 years of age. DESIGN: This was a cross-sectional, observational
study. METHODS: Three hundred fifty-six children and adolescents who were healthy
(174 boys and 182 girls; mean age=12.9 years, SD=3.7) performed the FTT to their
maximal effort to assess time to exhaustion (TTE). The least-mean-square method
was used to generate sex- and age-related centile charts (P3, P10, P25, P50, P75,
P90, and P97) for TTE on the FTT. RESULTS: In boys, the reference curve (P50)
showed an almost linear increase in TTE with age, from 8.8 minutes at 6 years of
age to 16.1 minutes at 18 years of age. In girls, the P50 values for TTE
increased from 8.8 minutes at 6 years of age to 12.5 minutes at 18 years of age,
with a plateau in TTE starting at approximately 10 years of age. LIMITATIONS:
Youth who were not white were underrepresented in this study. CONCLUSIONS: This
study describes sex- and age-related normative values for FTT performance in
children and adolescents who were healthy, developing typically, and 6 to 18
years of age. These age- and sex-related normative values will increase the
usefulness of the FTT in clinical practice.
PMID- 27197824
TI - Joint-Specific Play Controller for Upper Extremity Therapy: Feasibility Study in
Children With Wrist Impairment.
AB - BACKGROUND: Challenges with any therapeutic program for children include the
level of the child's engagement or adherence. Capitalizing on one of the primary
learning avenues of children, play, the approach described in this article is to
develop therapeutic toy and game controllers that require specific and repetitive
joint movements to trigger toy/game activation. OBJECTIVE: The goal of this study
was to evaluate a specially designed wrist flexion and extension play controller
in a cohort of children with upper extremity motor impairments (UEMIs). The aim
was to understand the relationship among controller play activity, measures of
wrist and forearm range of motion (ROM) and spasticity, and ratings of fun and
difficulty. DESIGN: This was a cross-sectional study of 21 children (12 male, 9
female; 4-12 years of age) with UEMIs. METHODS: All children participated in a
structured in-clinic play session during which measurements of spasticity and ROM
were collected. The children were fitted with the controller and played with 2
toys and 2 computer games for 5 minutes each. Wrist flexion and extension motion
during play was recorded and analyzed. In addition, children rated the fun and
difficulty of play. RESULTS: Flexion and extension goal movements were repeatedly
achieved by children during the play session at an average frequency of 0.27 Hz.
At this frequency, 15 minutes of play per day would result in approximately 1,700
targeted joint motions per week. Play activity was associated with ROM measures,
specifically supination, but toy perception ratings of enjoyment and difficulty
were not correlated with clinical measures. LIMITATIONS: The reported results may
not be representative of children with more severe UEMIs. CONCLUSIONS: These
outcomes indicate that the therapeutic controllers elicited repetitive goal
movements and were adaptable, enjoyable, and challenging for children of varying
ages and UEMIs.
PMID- 27197827
TI - Icelandic Physical Therapists' Attitudes Toward Adoption of New Knowledge and
Evidence-Based Practice: Cross-Sectional Web-Based Survey.
AB - BACKGROUND: A positive attitude toward evidence-based practice (EBP) has been
identified as an important factor in the effectiveness of the dissemination and
implementation of EBP in real-world settings. OBJECTIVE: The objectives of this
study were: (1) to describe dimensions of Icelandic physical therapists'
attitudes toward the adoption of new knowledge and EBP and (2) to explore the
association between attitudes and selected personal and environmental factors.
DESIGN: This study was a cross-sectional, Web-based survey of the total
population of full members of the Icelandic Physiotherapy Association. METHODS:
The Evidence-Based Practice Attitude Scale (EBPAS) was used to survey attitudes
toward EBP; the total EBPAS and its 4 subscales (requirements, appeal, openness,
and divergence) were included. Linear regression was used to explore the
association between the EBPAS and selected background variables. RESULTS: The
response rate was 39.5% (N=211). The total EBPAS and all of its subscales
reflected physical therapists' positive attitudes toward the adoption of new
knowledge and EBP. Multivariable analysis revealed that being a woman was
associated with more positive attitudes, as measured by the total EBPAS and the
requirements, openness, and divergence subscales. Physical therapists with
postprofessional education were more positive, as measured by the EBPAS openness
subscale, and those working with at least 10 other physical therapists
demonstrated more positive attitudes on the total EBPAS and the openness
subscale. LIMITATIONS: Because this was a cross-sectional survey, no causal
inferences can be made, and there may have been unmeasured confounding factors.
Potential nonresponse bias limits generalizability. CONCLUSIONS: The results
expand understanding of the phenomenon of attitudes toward EBP. They reveal
potentially modifiable dimensions of attitudes and the associated characteristics
of physical therapists and their work environments. The findings encourage
investigation of the effectiveness of strategies aimed at influencing various
dimensions of attitudes toward EBP.
PMID- 27197826
TI - Physical Rehabilitation Interventions for Post-mTBI Symptoms Lasting Greater Than
2 Weeks: Systematic Review.
AB - BACKGROUND: Heightened awareness of the lasting effects of mild traumatic brain
injury (mTBI) has amplified interest in interventions that facilitate recovery
from persistent post-mTBI symptoms. PURPOSE: The purpose of this study was to
systematically review the literature to identify potential physical
rehabilitation interventions that are safe, feasible, and appropriate for
physical therapists to utilize with patients with persistent mTBI-related
symptoms. DATA SOURCES: The electronic databases PubMed, Cochrane Library,
CINAHL, Scopus, SPORTDiscus, and Web of Science were systematically searched from
database inception until June 2015. STUDY SELECTION: Studies were included if
they utilized physical rehabilitation interventions and the study's participants
had a diagnosis of mTBI, a mean age of 8 years or older, and symptoms persisting
an average of 2 weeks or longer. Exclusion criteria included blast injuries,
diagnosis of moderate or severe TBI, or psychosis. DATA EXTRACTION: Data
extraction and methodological risk of bias assessments were performed for each
study. DATA SYNTHESIS: Eight studies with a range of study designs, intervention
types, and outcome measures were included. The interventions investigated by the
included studies were categorized into 3 types: physiological, vestibulo-ocular,
and cervicogenic. LIMITATIONS: The identified studies had several significant
limitations including: small sample sizes and low-level study designs.
CONCLUSIONS: The results of this systematic review indicate that several physical
rehabilitation options with minimal risk for negative outcomes are available for
treating patients experiencing persistent post-mTBI symptoms. These options
include: vestibular, manual, and progressive exercise interventions. Conclusions
surrounding efficacy and ideal dosing parameters for these interventions are
limited at this time due to the small number of studies, the range of
interventional protocols, and lower levels of study design.
PMID- 27197828
TI - Understanding the Relationship Between Physical Therapist Participation in
Interdisciplinary Rounds and Hospital Readmission Rates: Preliminary Study.
AB - BACKGROUND: Providing patients with optimal discharge disposition and follow-up
services could prevent unplanned readmissions. Despite their qualifications,
physical therapists are rarely represented on the interdisciplinary team.
OBJECTIVE: This study aimed to determine the relationship between the
participation of physical therapists in interdisciplinary discharge rounds and
readmission rates. METHODS: In this retrospective observational study, patients
discharged by 2 interdisciplinary teams with or without a physical therapist's
participation were followed for 5 months. Adherence to the physical therapist's
recommendations for follow-up services and unplanned 30-day readmissions were
tracked. Multiple logistic regression and random forest models were used to
determine factors contributing to 30-day readmission rates. RESULTS: The odds of
30-day readmissions were 3.78 times greater when a physical therapist was absent
from the interdisciplinary team compared with the odds of 30-day readmissions
when a physical therapist participated in the interdisciplinary team. In
addition, the odds of 30-day readmission for patients discharged to their home
were 2.47 times greater than those who were not discharged to their home. An
increased lack of postdischarge services was noted when a physical therapist was
not included in the interdisciplinary team. LIMITATIONS: The nonrandom selection
of patients into groups, the small sample size, and the inability to adjust risk
for unknown factors (eg, medical diagnoses, comorbidities, funding, and
functional measures) limited interpretation of the results. CONCLUSION:
Significantly higher readmission rates were noted for patients whose
interdisciplinary team did not have a physical therapist and for those patients
who were discharged to their home. These preliminary findings suggest that
discharge from the acute care setting is an elaborate process and should be
designed carefully. In order to identify the optimal discharge process, future
research should account for patient complexities in addition to the composition
of the interdisciplinary discharge team.
PMID- 27197829
TI - Randomized Controlled Trial for Evaluation of the Routine Use of Nasogastric Tube
Decompression After Elective Liver Surgery.
AB - BACKGROUND: The value of routine nasogastric tube (NGT) decompression after
elective hepatetctomy is not yet established. Previous studies in the setting of
non-liver abdominal surgery suggested that the use of NGT decreased the incidence
of nausea or vomiting, while increasing the frequency of pulmonary complications.
STUDY DESIGN: Out of a total of 284 consecutive patients undergoing hepatectomy,
210 patients were included in this study. The patients were randomized to a group
that received NGT decompression (NGT group; n = 108), in which a NGT was left in
place after surgery until the patient passed flatus or stool, or a group that did
not receive NGT decompression (no-NGT group; n = 102), in which the NGT was
removed at the end of surgery. RESULTS: There were no differences between the NGT
group and no-NGT group in terms of the overall morbidity (34.3 vs 35.3 %; P =
0.99), incidence of pulmonary complications (18.5 vs 19.5 %; P = 0.84), frequency
of postoperative vomiting (6.5 vs 7.8 %; P = 0.70), time to start of oral intake
(median (range) 3 (2-6) vs 3 (2-6) days; P = 0.69), or postoperative duration of
hospital stay (19 (7-74) vs 18 (9-186) days; P = 0.37). In the no-NGT group,
three patients required reinsertion of the tube 0 (0-3) days after surgery. In
the NGT group, severe discomfort was recorded in five patients. CONCLUSIONS:
Routine NGT decompression after elective hepatectomy does not appear to have any
advantages.
PMID- 27197830
TI - Food Price Policies May Improve Diet but Increase Socioeconomic Inequalities in
Nutrition.
AB - Unhealthy eating is more prevalent among women and people with a low
socioeconomic status. Policies that affect the price of food have been proposed
to improve diet quality. The study's objective was to compare the impact of food
price policies on the nutritional quality of food baskets chosen by low-income
and medium-income women. Experimental economics was used to simulate a fruit and
vegetable subsidy and a mixed policy subsidizing healthy products and taxing
unhealthy ones. Food classification was based on the Score of Nutritional
Adequacy of Individual Foods, Score of Nutrients to Be Limited nutrient profiling
system. Low-income (n = 95) and medium-income (n = 33) women selected a daily
food basket first at current prices and then at policy prices. Energy density
(ED) and the mean adequacy ratio (MAR) were used as nutritional quality
indicators. At baseline, low-income women selected less healthy baskets than
medium-income women (less fruit and vegetables, more unhealthy products, higher
ED, lower MAR). Both policies improved nutritional quality (fruit and vegetable
quantities increased, ED decreased, the MAR increased), but the magnitude of the
improvement was often lower among low-income women. For instance, ED decreased by
5.3% with the fruit and vegetable subsidy and by 7.3% with the mixed subsidy,
whereas decreases of 13.2 and 12.6%, respectively, were recorded for the medium
income group. Finally, both policies improved dietary quality, but they increased
socioeconomic inequalities in nutrition.
PMID- 27197832
TI - Effect of apelin hormone on renal ischemia/reperfusion induced oxidative damage
in rats.
AB - Apelin is a peptide hormone defined as a ligand for G-protein clamped receptor
(APJ) receptor. It is indicated in the literature both apelin and APJ are
synthesized on the peripheral tissues including the renal tissues. Which roles
does the apelin play on the renal tissue has not been completely illuminated yet.
This study is designed to determine the possible protective effect of apelin-13
on the kidney I/R injury. Adult male Sprague-Dawley rats were used in this study.
In the sham group, right kidneys of the animals were dissected. In the I/R group,
right kidney was dissected and ischemia of 45 min was performed, and then
reperfusion was applied for 3 h. In the treatment groups, three different doses
of apelin were injected at the beginning of the ischemia unlike the I/R group.
BUN, Cre, Na, K, Cl, total protein and albumin from serum samples were determined
and TNF-alpha, IL-1beta, IL-6, TAS and TOS parameters were read with ELISA
reader. MDA, SOD, CAT and GSH-Px enzyme activations from renal tissues were
measured. In comparison with the sham and I/R groups, while the serum BUN, CRE,
CI and TNF-alpha levels showed an increase in the groups on which the apelin-13
was applied, Na, total protein, albumin, TAS levels decreased. Serum TOS level of
other groups showed an increase by comparison with the sham group. Our results
showed that apelin-13 applied after I/R increased the antioxidant enzyme activity
in a dose dependent manner, prevented the lipid oxidation and improved the renal
functions.
PMID- 27197833
TI - Effect of Genome Position on Heterologous Gene Expression in Bacillus subtilis:
An Unbiased Analysis.
AB - A fixed gene copy number is important for the in silico construction of
engineered synthetic networks. However, the copy number of integrated genes
depends on their genomic location. This gene dosage effect is rarely addressed in
synthetic biology. Two studies in Escherichia coli presented conflicting data on
the impact of gene dosage. Here, we investigate how genome location and gene
orientation influences expression in Bacillus subtilis. An important difference
with the E. coli studies is that we used an unbiased genome integration approach
mediated by random transposon insertion. We found that there is a strong gene
dosage effect in fast growing B. subtilis cells, which can amount to a 5-fold
difference in gene expression. In contrast, gene orientation with respect to DNA
replication direction does not influence gene expression. Our study shows that
gene dosage should be taken into account when designing synthetic circuits in B.
subtilis and presumably other bacteria.
PMID- 27197831
TI - Sex-dependent mitochondrial respiratory impairment and oxidative stress in a rat
model of neonatal hypoxic-ischemic encephalopathy.
AB - Increased male susceptibility to long-term cognitive deficits is well described
in clinical and experimental studies of neonatal hypoxic-ischemic encephalopathy.
While cell death signaling pathways are known to be sexually dimorphic, a sex
dependent pathophysiological mechanism preceding the majority of secondary cell
death has yet to be described. Mitochondrial dysfunction contributes to cell
death following cerebral hypoxic-ischemia (HI). Several lines of evidence suggest
that there are sex differences in the mitochondrial metabolism of adult mammals.
Therefore, this study tested the hypothesis that brain mitochondrial respiratory
impairment and associated oxidative stress is more severe in males than females
following HI. Maximal brain mitochondrial respiration during oxidative
phosphorylation was two-fold more impaired in males following HI. The endogenous
antioxidant glutathione was 30% higher in the brain of sham females compared to
males. Females also exhibited increased glutathione peroxidase (GPx) activity
following HI injury. Conversely, males displayed a reduction in mitochondrial
GPx4 protein levels and mitochondrial GPx activity. Moreover, a 3-4-fold increase
in oxidative protein carbonylation was observed in the cortex, perirhinal cortex,
and hippocampus of injured males, but not females. These data provide the first
evidence for sex-dependent mitochondrial respiratory dysfunction and oxidative
damage, which may contribute to the relative male susceptibility to adverse long
term outcomes following HI. Lower basal GSH levels, lower post-hypoxic
mitochondrial glutathione peroxidase (mtGPx) activity, and mitochondrial
glutathione peroxidase 4 (mtGPx4) protein levels may contribute to the
susceptibility of the male brain to oxidative damage and mitochondrial
dysfunction following neonatal hypoxic-ischemia (HI). Treatment of male pups with
acetyl-L-carnitine (ALCAR) protects against the loss of mtGPx activity, mtGPx4
protein, and increases in protein carbonylation after HI. These findings provide
novel insight into the pathophysiology of sexually dimorphic outcomes following
HI.
PMID- 27197834
TI - AhR signaling activation disrupts migration and dendritic growth of olfactory
interneurons in the developing mouse.
AB - Perinatal exposure to a low level of dioxin, a ubiquitous environmental
pollutant, has been shown to induce abnormalities in learning and memory,
emotion, and sociality in laboratory animals later in adulthood. However, how
aryl hydrocarbon receptor (AhR) signaling activation disrupts the higher brain
function remains unclear. Therefore, we studied the possible effects of excessive
activation of AhR signaling on neurodevelopmental processes, such as cellular
migration and neurite growth, in mice. To this end, we transfected a
constitutively active-AhR plasmid into stem cells in the lateral ventricle by in
vivo electroporation on postnatal day 1. Transfection was found to induce
tangential migration delay and morphological abnormalities in neuronal precursors
in the rostral migratory stream at 6 days post-electroporation (dpe) as well as
disrupt radial migration in the olfactory bulb and apical and basal dendritic
growth of the olfactory interneurons in the granule cell layer at 13 and 20 dpe.
These results suggest that the retarded development of interneurons by the
excessive AhR signaling may at least in part explain the dioxin-induced abnormal
behavioral alterations previously reported in laboratory animals.
PMID- 27197836
TI - Dronedarone and Amiodarone Induce Dyslipidemia and Thyroid Dysfunction in Rats.
AB - BACKGROUND/AIMS: Amiodarone, a thyroid hormone-like molecule, can induce
dyslipidemia and thyroid dysfunction. However, the effects of dronedarone on
lipid metabolism and of both dronedarone and amiodarone on thyroid function and
lipid metabolism remain unknown. METHODS: Fifty male Sprague-Dawley rats were
randomly divided into 5 groups (10 in each group): normal control (NC),
amiodarone-treated (AMT), dronedarone-treated (DRT), rats treated with amiodarone
combined with polyene phosphatidylcholine (AC), and rats treated with dronedarone
combined with polyene phosphatidylcholine (DC). Rats were given amiodarone (120
mg/kg/d), dronedarone (120 mg/kg/d), and polyene phosphatidylcholine (200
mg/kg/d) for 13 weeks. At the end of weeks 4, 8, 12, and 13, plasma-free
triiodothyronine (FT3), free thyroxine (FT4), triglycerides (TG), total
cholesterol (TC), low-density lipoprotein cholesterol (LDL-c), and high-density
lipoprotein cholesterol (HDL-c) were determined. At the end of this protocol,
rats were sacrificed and the thyroid glands were isolated, weighed, and examined
histopathologically. The protein expression of Bcl-2 was measured by
immunochemical staining. The mRNA expression of thyroglobulin (Tg), type-1
deiodinase (D1), and thyroid peroxidase (TPO) were detected by polymerase chain
reaction (PCR). RESULTS: Compared with the NC group, FT3 and FT4 levels in the
DRT and DC groups significantly increased at week 4 but declined thereafter. The
AMT and AC groups had lower FT3 levels but comparable FT4 levels. The levels of
TG, LDL-c, and HDL-c in the NC group were lower than those in the other groups
whereas the LDL-c/HDL-c ratio was lowest in the AMT group. Bcl-2 expression
significantly increased in the DRT group. The mRNA expression of Tg increased
whereas the mRNA expression of D1 decreased. Dronedarone induced hyperthyroidism
at the early stage and hypothyroidism at the late stage whereas amiodarone only
caused hypothyroidism. CONCLUSION: Both dronedarone and amiodarone can induce
dyslipidemia and increase the levels of TC, LDL-c, and HDL-c, and these effects
may be associated with thyroid dysfunction.
PMID- 27197837
TI - Genetically Engineered Crops and Certified Organic Agriculture for Improving
Nutrition Security in Africa and South Asia.
AB - In Africa and South Asia, where nutrition insecurity is severe, two of the most
prominent production technologies are genetically modified (GM) crops and
certified organic agriculture. We analyze the potential impact pathways from
agricultural production to nutrition. Our review of data and the literature
reveals increasing farm-level income from cash crop production as the main
pathway by which organic agriculture and GM agriculture improve nutrition.
Potential secondary pathways include reduced prices of important food crops like
maize due to GM maize production and increased food production using organic
technology. Potential tertiary pathways are improvements in health due to reduced
insecticide use. Challenges to the technologies achieving their impact include
the politics of GM agriculture and the certification costs of organic
agriculture. Given the importance of agricultural production in addressing
nutrition security, accentuated by the post-2015 sustainable development agenda,
the chapter concludes by stressing the importance of private and public sector
research in improving the productivity and adoption of both GM and organic crops.
In addition, the chapter reminds readers that increased farm income and
productivity require complementary investments in health, education, food access
and women's empowerment to actually improve nutrition security.
PMID- 27197835
TI - Tiotropium safety in 'real-world' populations: Response to Schmiedl, et al. in
the British Journal of Clinical Pharmacology.
PMID- 27197839
TI - Modified anaerobic digestion elutriated phased treatment for the anaerobic co
digestion of sewage sludge and food wastewater.
AB - A modified anaerobic digestion elutriated phased treatment (MADEPT) process was
developed for investigating anaerobic co-digestion of sewage sludge and food
wastewater. The anaerobic digestion elutriated phased treatment (ADEPT) process
is similar to a two-phase system, however, in which the effluent from a
methanogenic reactor recycles into an acidogenic reactor to elutriate mainly
dissolved organics. Although ADEPT could reduce reactor volume significantly, the
unsolubilized solids should be wasted from the system. The MADEPT process
combines thermo-alkali solubilization with ADEPT to improve anaerobic performance
and to minimize the sludge disposal. It was determined that the optimal volume
mixing ratio of sewage sludge and food wastewater was 4 : 1 for the anaerobic co
digestion. The removal efficiencies of total chemical oxygen demand, volatile
solids, and volatile suspended solids in the MADEPT process were 73%, 70%, and
64%, respectively. However, those in the ADEPT process were only 48%, 37%, and
40%, respectively, at the same hydraulic retention time (HRT) of 7 days. The gas
production of MADEPT was two times higher than that of ADEPT. The thermo-alkali
solubilization increased the concentration of dissolved organics so that they
could be effectively degraded in a short HRT, implying that MADEPT could improve
the performance of ADEPT in anaerobic co-digestion.
PMID- 27197838
TI - Ratiometric Nanothermometer Based on Rhodamine Dye-Incorporated F127-Melamine
Formaldehyde Polymer Nanoparticle: Preparation, Characterization, Wide-Range
Temperature Sensing, and Precise Intracellular Thermometry.
AB - A series of fluorescent nanothermometers (FTs) was prepared with Rhodamine dye
incorporated Pluronic F-127-melamine-formaldehyde composite polymer nanoparticles
(R-F127-MF NPs). The highly soluble Rhodamine dye molecules were bound with
Pluronic F127 micelles and subsequently incorporated in the cross-linked MF resin
NPs during high-temperature cross-link treatment. The morphology and chemical
structure of R-F127-MF NPs were characterized with dynamic light scattering,
electron microscopy, and Fourier-transform infrared (FTIR) spectra. Fluorescence
properties and thermoresponsivities were analyzed using fluorescence spectra. R
F127-MF NPs are found to be monodispersed, presenting a size range of 88-105 nm,
and have bright fluorescence and high stability in severe treatments such as
autoclave sterilization and lyophilization. By simultaneously incorporating
Rhodamine B and Rhodamine 110 (as reference) dyes at a doping ratio of 1:400 in
the NPs, ratiometric FTs with a high sensibility of 7.6%. degrees C(-1) and a
wide temperature sensing range from -20 to 110 degrees C were obtained. The FTs
exhibit good stability in solutions with varied pH, ionic strengths, and
viscosities and have similar working curves in both intracellular and
extracellular environments. Cellular temperature variations in Hela cells during
microwave exposure were successfully monitored using the FTs, indicating their
considerable potential applications in the biomedical field.
PMID- 27197840
TI - Ambulatory Activity Components Deteriorate Differently across Neurodegenerative
Diseases: A Cross-Sectional Sensor-Based Study.
AB - BACKGROUND AND PURPOSE: Reduced ambulatory activity is a major burden in
neurodegenerative disease (NDD), leading to severe restrictions in social
participation and further deterioration of motor capacities. However, objective
evidence on walking behavior patterns and components underlying this impairment
and its decline with disease progression is scarce for many NDDs. We aimed to
unravel the detailed metrics underlying the reduced ambulatory activity in
selected NDDs, and their relation to disease duration. We hypothesized that
progressively reduced ambulatory activity is a feature shared across different
NDDs, characterized by changes in both common and distinct components. METHODS:
Sixty-five subjects with NDD (n = 34 degenerative ataxia; n = 15 progressive
supranuclear palsy, and n = 16 Parkinson's disease) and 38 healthy older adults
(total n = 103) wore a three-axial accelerometer (activPAL3TM) for 7 consecutive
days. Detailed metrics of ambulatory activity were calculated. RESULTS: The
average daily walking duration was significantly decreased in all three NDDs, yet
characterized by a differential pattern of changes in number and length of
walking bouts and sit-to-stand transfers. Decline in walking duration progressed
with increased disease duration in all three NDDs, yet at a differing rate. This
decline was associated with progressive reductions in walking bout length and
walking behavior pattern diversity in all three NDDs. CONCLUSIONS: These findings
provide objective evidence that reduced ambulatory activity is a shared feature
across different NDDs. Moreover, they reveal that several underlying walking
behavior components change with increasing disease duration, yet at a differing
rate in different NDDs. This indicates that metric analysis of ambulatory
activity might provide ecologically relevant and disease-specific progression and
outcome markers in several NDDs.
PMID- 27197841
TI - The role of learning in social development: Illustrations from neglected
children.
AB - Children who experience early caregiving neglect are very likely to have problems
developing and maintaining relationships and regulating their social behavior.
One of the earliest manifestations of this problem is reflected in indiscriminate
behavior, a phenomenon where young children do not show normative wariness of
strangers or use familiar adults as sources of security. To better understand the
developmental mechanisms underlying the emergence of these problems, this study
examined whether institutionally reared children, who experienced early social
neglect, had difficulty associating motivational significance to visual stimuli.
Pairing stimuli with motivational significance is presumably one of the
associative learning processes involved in establishing discriminate or selective
relationships with others. We found that early experiences of neglectful
caregiving were associated with difficulties in acquiring such associations, and
that delays in this developmental skill were related to children's social
difficulties. These data suggest a way in which early social learning experiences
may impact the development of processes underlying emotional development.
PMID- 27197842
TI - Theragnostic ultrasound using microbubbles in the treatment of prostate cancer.
AB - The use of gas-filled microbubbles in perfusion monitoring as intravascular
ultrasound contrast agents has recently become more common. Additionally,
microbubbles are employed as carriers of pharmaceutical substances or genes.
Microbubbles have great potential to improve the delivery of therapeutic
materials into cells and to modify vascular permeability, causing increased
extravasation of drugs and drug carriers. Prostate cancer is the most common
neoplasm in Europe and America, with an incidence twice to three times that of
lung and colorectal cancer. Its incidence is still rising in Asian countries,
including Japan and Korea. In this review, we present current strategies
regarding the synthesis of microbubbles with targeted ligands on their surfaces,
with a focus on prostate cancer.
PMID- 27197843
TI - Preventive effects of citrulline on Western diet-induced non-alcoholic fatty
liver disease in rats.
AB - A Western diet induces insulin resistance, liver steatosis (non-alcoholic fatty
liver disease (NAFLD)) and intestinal dysbiosis, leading to increased gut
permeability and bacterial translocation, thus contributing to the progression of
NAFLD to non-alcoholic steatohepatitis. In the present study, we sought, in a
model of Western diet-induced NAFLD, to determine whether citrulline (Cit), an
amino acid that regulates protein and energy metabolism, could decrease Western
diet-induced liver injuries, as well as the mechanisms involved. Sprague-Dawley
rats were fed a high-fat diet (45 %) and fructose (30 %) in drinking water or a
control diet associated with water (group C) for 8 weeks. The high-fat, high
fructose diet (Western diet) was fed either alone (group WD) or with Cit (1 g/kg
per d) (group WDC) or an isonitrogenous amount of non-essential amino acids
(group WDA). We evaluated nutritional and metabolic status, liver function,
intestinal barrier function, gut microbiota and splanchnic inflammatory status.
Cit led to a lower level of hepatic TAG restricted to microvesicular lipid
droplets and to a lower mRNA expression of CCAAT-enhancer-binding protein
homologous protein, a marker of endoplasmic reticulum stress, of pro-inflammatory
cytokines Il6 (P<0.05) and Tnfalpha, and of toll-like receptor 4 (Tlr4) (P<0.05).
Cit also improved plasma TAG and insulin levels. In the colon, it decreased
inflammation (Tnfalpha and Tlr4 expressions) and increased claudin-1 protein
expression. This was associated with higher levels of Bacteroides/Prevotella
compared with rats fed the Western diet alone. Cit improves Western diet-induced
liver injuries via decreased lipid deposition, increased insulin sensitivity,
lower inflammatory process and preserved antioxidant status. This may be related
in part to its protective effects at the gut level.
PMID- 27197844
TI - Optically Active Liquid Crystalline Polyoxometalates via Electrostatic
Encapsulation with Cholesterol-Containing Amphiphile.
AB - A novel cholesterol-containing amphiphile was designed and prepared in the study,
which is a room-temperature ionic liquid crystal over a broad temperature range
with pronounced chiroptical properties. Four types of inorganic polyoxometalates
(PMs) with different numbers of charges were encapsulated by the chiral
amphiphile. The incorporation of chiral organic cations triggers achiral PMs in
the complexes to show induced chirality through intermolecular interactions, as
demonstrated by circular dichroism spectroscopy. The electrostatic encapsulation
with mesomorphic promoters provides the inorganic PMs with liquid crystalline
behavior, characterized by differential scanning calorimetry, polarized optical
microscopy, and X-ray diffraction. The strategy applied herein represents a
unique example of liquid crystalline PM complexes with optical activity.
PMID- 27197846
TI - Novel polyazamacrocyclic receptor decorated core-shell superparamagnetic
microspheres for selective binding and magnetic enrichment of palladium:
synthesis, adsorptive behavior and coordination mechanism.
AB - The development of economical and green technologies for the effective recovery
of palladium has attracted worldwide attention in recent years. Magnetic
separation involving the use of functional magnetic nanoparticles (MNPs) with
superparamagnetic characteristics holds great promise in this respect. This study
presents a novel class of core-shell structured superparamagnetic microspheres
decorated with polyazamacrocyclic receptors, which show a highly-selective
binding to Pd(ii) in HNO3 media. The superparamagnetic microspheres possess a
high saturation magnetization (53.8 emu g(-1)) and high adsorption capacity
(qmax~ 105.3 MUmol g(-1)), affording efficient enrichment and fast separation
(within 13 seconds) of palladium under an applied magnetic field. Adsorptive
behavior was fully investigated combined with the corresponding theoretical
analysis by using kinetic equations and Langmuir/Freundlich isotherm models.
Moreover, the coordination mechanism of the polyazamacrocyclic receptors to
Pd(ii) was carefully examined based on high resolution X-ray photoelectron
spectroscopy (XPS) and FT-IR spectrophotometry. A suggested mechanism involving
the synergistic effect of the cyclic amines and carboxyl arms of the
polyazamacrocyclic receptors was proposed to describe the coordination manner,
while explaining the selectivity to Pd(ii) in HNO3 solutions. From a practical
perspective, the Pd(ii)-enriched microspheres could be readily regenerated for
cycle use. We conclude that this kind of polyazamacrocyclic receptor decorated
superparamagnetic microsphere is of potential use for the effective recovery of
Pd(ii) as well as other precious metals.
PMID- 27197845
TI - Promoting the bio-cathode formation of a constructed wetland-microbial fuel cell
by using powder activated carbon modified alum sludge in anode chamber.
AB - MFC centered hybrid technologies have attracted attention during the last few
years due to their compatibility and dual advantages of energy recovery and
wastewater treatment. In this study, a MFC was integrated into a dewatered alum
sludge (DAS)- based vertical upflow constructed wetland (CW). Powder activate
carbon (PAC) was used in the anode area in varied percentage with DAS to explore
its influences on the performance of the CW-MFC system. The trial has
demonstrated that the inclusion of PAC improved the removal efficiencies of COD,
TN and RP. More significantly, increasing the proportion of PAC from 2% to 10%
can significantly enhance the maximum power densities from 36.58 mW/m(2) to 87.79
mW/m(2). The induced favorable environment for bio-cathode formation might be the
main reason for this improvement since the content of total extracellular
polymeric substances (TEPS) of the substrate in the cathode area almost doubled
(from 44.59 MUg/g wet sludge to 87.70 MUg/g wet sludge) as the percentage of PAC
increased to 10%. This work provides another potential usage of PAC in CW-MFCs
with a higher wastewater treatment efficiency and energy recovery.
PMID- 27197847
TI - Chest and neck pain in a 22 year old woman.
PMID- 27197848
TI - Endovascular Hypothermia in Acute Ischemic Stroke: Pilot Study of Selective Intra
Arterial Cold Saline Infusion.
AB - BACKGROUND AND PURPOSE: We conducted a pilot feasibility and safety study of
selective brain cooling with intra-arterial infusion of cold saline combined with
endovascular reperfusion for acute ischemic stroke. METHODS: Patients with large
vessel occlusion within 8 hours after symptom onset were enrolled. All patients
received intra-arterial recanalization combined with infusion of cold isotonic
saline (4 degrees C) in the ischemic territory through the angiographic catheter.
RESULTS: Twenty-six patients underwent the procedure, which was technically
successful in all. The temperature of ischemic cerebral tissue was decreased by
at least 2 degrees C during infusion of the cold solution, and systemic
temperature was mildly reduced (maximum 0.3 degrees C). No obvious complications
related to intra-arterial hypothermia were observed. CONCLUSIONS: Selective brain
cooling by intra-arterial infusion of cold saline combined with endovascular
recanalization therapy in acute ischemic stroke seems feasible and safe.
PMID- 27197849
TI - Procalcitonin and Midregional Proatrial Natriuretic Peptide as Markers of
Ischemic Stroke: The Northern Manhattan Study.
AB - BACKGROUND AND PURPOSE: Chronic infections and neuroendocrine dysfunction may be
risk factors for ischemic stroke (IS). We hypothesized that selected blood
biomarkers of infection (procalcitonin [PCT]), hypothalamic-pituitary-axis
function (copeptin), and hemodynamic dysfunction (midregional proatrial
natriuretic peptide [MRproANP]) are associated with incident IS risk in the
multiethnic, urban Northern Manhattan Study (NOMAS) cohort. METHODS: A nested
case-control study was performed among initially stroke-free participants. Cases
were defined as first IS (n=172). We randomly selected controls among those who
did not develop an event (n=344). We calculated Cox proportional hazards models
with inverse probability weighting to estimate the association of blood
biomarkers with risk of stroke after adjusting for demographic, behavioral, and
medical risk factors. RESULTS: Those with PCT and MRproANP, but not copeptin, in
the top quartile, compared with the lowest quartile, were associated with IS (for
PCT adjusted hazard ratio [HR], 1.9; 95% confidence interval [CI], 1.0-3.8 and
for MRproANP adjusted HR, 3.5; 95% CI, 1.6-7.5). The associations of PCT and
MRproANP differed by stroke etiology; PCT levels in the top quartile were
particularly associated with small vessel stroke (adjusted HR, 5.1; 95% CI, 1.4
18.7) and MRproANP levels with cardioembolic stroke (adjusted HR, 16.3; 95% CI,
3.7-70.9). CONCLUSIONS: Higher levels of PCT, a marker of infection, and
MRproANP, a marker for hemodynamic stress, were independently associated with IS
risk. PCT was specifically associated with small vessel and MRproANP with
cardioembolic stroke risk. Further study is needed to validate these biomarkers
and determine their significance in stroke risk prediction and prevention.
PMID- 27197850
TI - Utility of Computed Tomographic Perfusion in Thrombolysis for Minor Stroke.
AB - BACKGROUND AND PURPOSE: The use of thrombolysis in acute minor ischemic stroke
(MIS) remains controversial. We sought to determine the safety and efficacy of
intravenous tissue-type plasminogen activator (IV-tPA) in acute MIS patients with
demonstrable penumbra on computed tomographic perfusion study. METHODS:
Consecutive MIS patients with National Institutes of Health Stroke Scale <=3 were
identified from a prospective single tertiary-center database over a 4.5-year
period (2011-2015). Cases with demonstrable penumbra were analyzed according to
treatment received: IV-tPA versus standard stroke-unit care without thrombolysis.
RESULTS: Seventy-three patients of 195 acute MIS admissions had a demonstrable
penumbra (34 IV-tPA versus 39 standard stroke-unit care). Overall median National
Institutes of Health Stroke Scale and premorbid modified Rankin Scale were 2 and
0, respectively. Median age was 73.2 (interquartile range, 67.3-82.8) years.
There were no differences in baseline demographics, risk factors, stroke
localization and cause, rates of vascular occlusion (38.2% versus 38.5%;
P=1.000), or mean penumbral volume (41.3 versus 25.1 mL; P=0.150; IV-tPA versus
standard stroke-unit care) between groups. There were no symptomatic
intracerebral hemorrhages in either group. Patients treated with IV-tPA were more
likely to have an excellent functional outcome at discharge (88.2% versus 53.9%;
P=0.002) and 90 days (91.2% versus 71.8%; P=0.042). Ordinal analysis demonstrated
a favorable shift in modified Rankin Scale with IV-tPA both at discharge (odds
ratio, 5.23; 95% confidence interval, 1.83-12.20) and 90 days (odds ratio, 4.35;
95% confidence interval, 1.77-11.36). CONCLUSIONS: In selected MIS patients with
demonstrable penumbra on computed tomographic perfusion, IV-tPA is safe and
associated with significant improvement in functional outcome at discharge and 90
days.
PMID- 27197852
TI - Letter by Reddy et al Regarding Article, "Cannabis Use and Outcomes in Patients
With Aneurysmal Subarachnoid Hemorrhage".
PMID- 27197851
TI - Orolingual Angioedema During or After Thrombolysis for Cerebral Ischemia.
AB - BACKGROUND AND PURPOSE: Orolingual angioedema (OLAE) is a life-threatening
complication of intravenous thrombolysis. Our objective was to compare outcomes
of patients with and without OLAE. METHODS: We prospectively included consecutive
patients who received intravenous thrombolysis for cerebral ischemia at Lille
University Hospital. We examined tongue and lips every 15 minutes during
thrombolysis and <=30 minutes after. We evaluated the 3-month outcome with the
modified Rankin scale (mRS) and compared outcomes of patients with and without
OLAE. RESULTS: Of 923 consecutive patients, 20 (2.2%) developed OLAE. None of
them needed oro-tracheal intubation. They were more likely to be under
angiotensin-converting enzyme inhibitors (adjusted odds ratio [adjOR], 3.9; 95%
confidence interval [CI], 1.6-9.7; P=0.005) to have total insular infarcts (OR,
5.0; 95% CI, 1.5-16.5; P=0.004) and tended to develop more symptomatic
intracerebral hemorrhages. Results concerning angiotensin-converting enzyme
inhibitors were not modified after adjustment for propensity scores (OR, 4.4; 95%
CI, 1.6-11.9; P=0.004) or matched analysis based on propensity scores (OR, 3.4;
95% CI, 1.3-8.1; P=0.010). Patients with OLAE did not significantly differ at 3
months for the proportion of patients with mRS score of 0 to 1 (adjOR, 0.9; 95%
CI, 0.3-2.1), mRS score of 0 to 2 (adjOR, 0.8; 95% CI, 0.1-1.8), and death
(adjOR, 1.1; 95% CI, 0.3-3.8). CONCLUSIONS: OLAE occurs in 1 of 50 patients who
receive intravenous thrombolysis, 1 of 10 in case of total insular infarct, and 1
of 6 if they are under angiotensin-converting enzyme inhibitors. Their long-term
outcome does not differ from that of other patients.
PMID- 27197853
TI - Reassessing the Stroke Belt: Using Small Area Spatial Statistics to Identify
Clusters of High Stroke Mortality in the United States.
AB - BACKGROUND AND PURPOSE: The stroke belt is described as an 8-state region with
high stroke mortality across the southeastern United States. Using spatial
statistics, we identified clusters of high stroke mortality (hot spots) and
adjacent areas of low stroke mortality (cool spots) for US counties and evaluated
for regional differences in county-level risk factors. METHODS: A cross-sectional
study of stroke mortality was conducted using Multiple Cause of Death data
(Centers for Disease Control and Prevention) to compute age-adjusted adult stroke
mortality rates for US counties. Local indicators of spatial association
statistics were used for hot-spot mapping. County-level variables were compared
between hot and cool spots. RESULTS: Between 2008 and 2010, there were 393 121
stroke-related deaths. Median age-adjusted adult stroke mortality was 61.7 per
100 000 persons (interquartile range=51.4-74.7). We identified 705 hot-spot
counties (22.4%) and 234 cool-spot counties (7.5%); 44.5% of hot-spot counties
were located outside of the stroke belt. Hot spots had greater proportions of
black residents, higher rates of unemployment, chronic disease, and healthcare
utilization, and lower median income and educational attainment. CONCLUSIONS:
Clusters of high stroke mortality exist beyond the 8-state stroke belt, and
variation exists within the stroke belt. Reconsideration of the stroke belt
definition and increased attention to local determinants of health underlying
small area regional variability could inform targeted healthcare interventions.
PMID- 27197854
TI - Thrombus Histology Suggests Cardioembolic Cause in Cryptogenic Stroke.
AB - BACKGROUND AND PURPOSE: Ischemic stroke of undetermined cause is a major health
issue because of its high frequency and clinical relevance. Histopathologic
analysis of human thrombi, retrieved from stroke patients with large-vessel
occlusion during mechanical thrombectomy, may provide information about
underlying pathologies. This study examines the relationship between stroke
causes and histological clot composition to identify specific patterns that might
help to distinguish causes of cryptogenic stroke. METHODS: Thrombi of 145
consecutive stroke patients with large-vessel occlusion were collected during
intracranial mechanical recanalization. The hematoxylin and eosin-stained
specimens were quantitatively analyzed in terms of the relative fractions of the
main constituents (red and white blood cells and fibrin/platelets). These data,
along with additional clinical and interventional parameters, were compared for
different stroke subtypes, as defined by the international Trial of Org 10172 in
Acute Stroke Treatment criteria. RESULTS: The composition of thrombi from
cardioembolic and noncardioembolic stroke patients differed significantly for all
main thrombus components. Cardioembolic thrombi had higher proportions of
fibrin/platelets (P=0.009), less erythrocytes (P=0.003), and more leucocytes
(P=0.035) than noncardioembolic thrombi. Cryptogenic strokes showed strong
overlap with cardioembolic strokes but not with noncardioembolic strokes, in
terms of both thrombus histology and interventional and clinical outcome
parameters. CONCLUSIONS: Quantitative evaluation of thrombus composition may help
to distinguish between different stroke causes. Our findings support the notion
that the majority of cryptogenic strokes are cardioembolic.
PMID- 27197855
TI - Effects of hypervolemia by protein and glucose supplementation during aerobic
training on thermal and arterial pressure regulations in hypertensive older men.
AB - In Japan, the incidence of heat illness in older people has rapidly increased
during midsummer in the last decade, and we suggested that whey
protein+carbohydrate supplementation during aerobic training would increased
plasma volume (PV) to enhance thermoregulatory adaptation in older men (J Appl
Physiol 107: 725-733, 2009); however, >60% of people age 65 and older suffer from
hypertension, and the symptoms may be worsened by hypervolemia. To examine this,
we randomly divided 21 older men (~69 yr) with ~160 mmHg for systolic and ~90
mmHg for diastolic blood pressure at rest into two groups: Glc (n = 11) consuming
glucose alone (25 g) and Pro-Glc (n = 10) consuming whey protein (10 g) + glucose
(15 g), immediately after cycling exercise at 60-75% of peak aerobic capacity
(Vo2 peak) for 60 min/day, 3 days/wk, for 8 wk. Before and after training, we
measured PV (dye dilution), baroreflex sensitivity (BRS) of heart rate (Valsalva
maneuver), and carotid arterial compliance (CAC) from carotid arterial diameter
(ultrasound imaging) responses to pulsatile arterial pressure change
(photoplethysmography) at rest. Additionally, we measured esophageal temperature
(Tes) and forearm skin blood flow (plethysmography) during exercise at 60%
pretraining Vo2 peak for 20 min in a warm environment. We found that the forearm
skin vascular conductance response to increased Tes was enhanced in Pro-Glc with
increased PV, but this was not found in Glc; however, despite the increased PV,
arterial blood pressures rather decreased with increased CAC and BRS in Pro-Glc.
Thus, the prescription was applicable to older men with hypertension to prevent
heat illness during exercise.
PMID- 27197858
TI - Invited Editorial on "Measurement of intraindividual airway tone heterogeneity
and its importance in asthma": How does an airway and subsequently the lung
become hyperresponsive?
PMID- 27197856
TI - Age-induced oxidative stress: how does it influence skeletal muscle quantity and
quality?
AB - With advancing age, skeletal muscle function declines as a result of strength
loss. These strength deficits are largely due to reductions in muscle size (i.e.,
quantity) and its intrinsic force-producing capacity (i.e., quality). Age-induced
reductions in skeletal muscle quantity and quality can be the consequence of
several factors, including accumulation of reactive oxygen and nitrogen species
(ROS/RNS), also known as oxidative stress. Therefore, the purpose of this mini
review is to highlight the published literature that has demonstrated links
between aging, oxidative stress, and skeletal muscle quantity or quality. In
particular, we focused on how oxidative stress has the potential to reduce muscle
quantity by shifting protein balance in a deficit, and muscle quality by
impairing activation at the neuromuscular junction, excitation-contraction (EC)
coupling at the ryanodine receptor (RyR), and cross-bridge cycling within the
myofibrillar apparatus. Of these, muscle weakness due to EC coupling failure
mediated by RyR dysfunction via oxidation and/or nitrosylation appears to be the
strongest candidate based on the publications reviewed. However, it is clear that
age-associated oxidative stress has the ability to alter strength through several
mechanisms and at various locations of the muscle fiber.
PMID- 27197857
TI - Exercise-induced endothelial progenitor cell mobilization is attenuated in
impaired glucose tolerance and type 2 diabetes.
AB - Circulating endothelial progenitor cells (EPCs) contribute to vascular
homeostasis and are fewer in those with type 2 diabetes mellitus (T2DM) compared
with normal glucose tolerance (NGT), suggesting a link between EPCs and T2DM
associated vasculopathies. The purpose of this study was to assess EPC number and
mobilization by acute submaximal exercise in older adults with NGT, impaired
glucose tolerance (IGT) or T2DM. We tested the hypothesis that EPC mobilization
is lower in IGT compared with NGT and further reduced in older adults with T2DM.
Forty-five older (50-75 yr of age) men and women with NGT (n = 18), IGT (n = 10),
or T2DM (n = 17) were characterized and underwent submaximal aerobic exercise
tests with blood sampling for enumeration of vascular endothelial growth factor
receptor 2+ (VEGFR2+) cells, CD34+ hematopoetic progenitor cells, and
CD34+/VEGFR2+ EPCs by flow cytometry before and after exercise. Basal EPC number
was 65 and 61% lower in the IGT and T2DM groups, respectively, compared with the
NGT group (P < 0.05). EPC number increased 23% after acute exercise in the NGT
group (P < 0.01), but did not change in the IGT or T2DM groups. Before and after
exercise, VEGFR2+ cell number was lower in a stepwise manner across the NGT, IGT,
and T2DM groups (P < 0.05). Basal CD34+ cell number was lower in the IGT group
compared with NGT (P < 0.05), but did not change after exercise in any group.
These findings suggest a CD34+/VEGFR2+ EPC mobilization defect in IGT and T2DM
that could play a role in the cardiovascular diseases and capillary rarefaction
associated with insulin resistance.
PMID- 27197859
TI - Alcohol breath test: gas exchange issues.
AB - The alcohol breath test is reviewed with a focus on gas exchange factors
affecting its accuracy. The basis of the alcohol breath test is the assumption
that alveolar air reaches the mouth during exhalation with no change in alcohol
concentration. Recent investigations have shown that alcohol concentration is
altered during its transit to the mouth. The exhaled alcohol concentration is
modified by interaction with the mucosa of the pulmonary airways. Exhaled alcohol
concentration is not an accurate indicator of alveolar alcohol concentration.
Measuring alcohol concentration in the breath is very different process than
measuring a blood level from air equilibrated with a blood sample. Airway
exchange of alcohol leads to a bias against certain individuals depending on the
anatomic and physiologic characteristics. Methodological modifications are
proposed to improve the accuracy of the alcohol breath test to become fair to
all.
PMID- 27197860
TI - Static and dynamic stress heterogeneity in a multiscale model of the asthmatic
airway wall.
AB - Airway hyperresponsiveness (AHR) is a key characteristic of asthma that remains
poorly understood. Tidal breathing and deep inspiration ordinarily cause rapid
relaxation of airway smooth muscle (ASM) (as demonstrated via application of
length fluctuations to tissue strips) and are therefore implicated in modulation
of AHR, but in some cases (such as application of transmural pressure
oscillations to isolated intact airways) this mechanism fails. Here we use a
multiscale biomechanical model for intact airways that incorporates strain
stiffening due to collagen recruitment and dynamic force generation by ASM cells
to show that the geometry of the airway, together with interplay between dynamic
active and passive forces, gives rise to large stress and compliance
heterogeneities across the airway wall that are absent in tissue strips. We show
further that these stress heterogeneities result in auxotonic loading conditions
that are currently not replicated in tissue-strip experiments; stresses in the
strip are similar to hoop stress only at the outer airway wall and are under- or
overestimates of stresses at the lumen. Taken together these results suggest that
a previously underappreciated factor, stress heterogeneities within the airway
wall and consequent ASM cellular response to this micromechanical environment,
could contribute to AHR and should be explored further both theoretically and
experimentally.
PMID- 27197861
TI - Separate and combined effects of a 10-d exposure to hypoxia and inactivity on
oxidative function in vivo and mitochondrial respiration ex vivo in humans.
AB - An integrative evaluation of oxidative metabolism was carried out in 9 healthy
young men (age, 24.1 +/- 1.7 yr mean +/- SD) before (CTRL) and after a 10-day
horizontal bed rest carried out in normoxia (N-BR) or hypoxia (H-BR, FiO2 =
0.147). H-BR was designed to simulate planetary habitats. Pulmonary O2 uptake
(Vo2) and vastus lateralis fractional O2 extraction (changes in deoxygenated
hemoglobin+myoglobin concentration, Delta[deoxy(Hb+Mb)] evaluated using near
infrared spectroscopy) were evaluated in normoxia and during an incremental cycle
ergometer (CE) and one-leg knee extension (KE) exercise (aimed at reducing
cardiovascular constraints to oxidative function). Mitochondrial respiration was
evaluated ex vivo by high-resolution respirometry in permeabilized vastus
lateralis fibers. During CE Vo2peak and Delta[deoxy(Hb+Mb)]peak were lower (P <
0.05) after both N-BR and H-BR than during CTRL; during KE the variables were
lower after N-BR but not after H-BR. During CE the overshoot of
Delta[deoxy(Hb+Mb)] during constant work rate exercise was greater in N-BR and H
BR than CTRL, whereas during KE a significant difference vs. CTRL was observed
only after N-BR. Maximal mitochondrial respiration determined ex vivo was not
affected by either intervention. In N-BR, a significant impairment of oxidative
metabolism occurred downstream of central cardiovascular O2 delivery and upstream
of mitochondrial function, possibly at the level of the intramuscular matching
between O2 supply and utilization and peripheral O2 diffusion. Superposition of
hypoxia on bed rest did not aggravate, and partially reversed, the impairment of
muscle oxidative function in vivo induced by bed rest. The effects of longer
exposures will have to be determined.
PMID- 27197862
TI - Role of histidyl dipeptides in contractile function of fast and slow motor units
in rat skeletal muscle.
AB - The physiological role of the muscle histidyl dipeptides carnosine and anserine
in contractile function of various types of muscle fibers in vivo is poorly
understood. Ten adult male Wistar rats were randomly assigned to two groups:
control and supplemented for 10 wk with beta-alanine, the precursor of carnosine
(~640 mg.kg body wt(-1).day(-1)). Thereafter, contractile properties and
fatigability of isolated fast fatigable (FF), fast resistant to fatigue (FR), and
slow motor units (MUs) from the medial gastrocnemius were determined in deeply
anaesthetized animals. The fatigue resistance was tested with a 40-Hz fatigue
protocol followed by a second protocol at 40 Hz in fast and 20 Hz in slow units.
In the supplemented rats, histidyl dipeptide concentrations significantly
increased (P < 0.05) by 25% in the red portion of the gastrocnemius, and
carnosine increased by 94% in the white portion. The twitch force of FF units and
maximum tetanic force of FR units were significantly increased (P < 0.05), and
the half-relaxation time was prolonged in slow units (P < 0.05). FF units showed
less fatigue during the first 10 s, and FR units showed higher forces between 10
and 60 s during the 40-Hz fatigue test. In slow units, forces declined less
during the first 60 s of the 20-Hz test. In conclusion, this in vivo experiment
demonstrates that an elevation in muscle histidyl dipeptide content elicits
beneficial changes in MU contractile characteristics and fatigue resistance.
Carnosine and anserine seem to play an important yet divergent role in various
MUs.
PMID- 27197864
TI - Withdrawal of ventilation at the request of a patient with motor neurone disease:
guidance for professionals.
PMID- 27197866
TI - Patients need action, not words, on UK end-of-life care.
PMID- 27197867
TI - Dying Speech of an Old Philosopher.
PMID- 27197869
TI - Comment on 'Evaluation of choroidal thickness in patients with scleroderma'.
PMID- 27197868
TI - Determinants of visual acuity outcomes in eyes with neovascular AMD treated with
anti-VEGF agents: an instrumental variable analysis of the AURA study.
AB - PurposeTo identify the strongest variable(s) linked with the number of
ranibizumab injections and outcomes in AURA, and to identify ways to improve
outcomes using this association.MethodsAURA was a large observational study that
monitored visual acuity over a 2-year period in patients with neovascular age
related macular degeneration (AMD) who received ranibizumab injections. Baseline
characteristics, resource use, and outcomes were analyzed using an instrumental
variable approach and regression analysis.ResultsData were analyzed from 2227
patients enrolled in AURA. Optical coherence tomography (OCT) and ophthalmoscopy
were the most common diagnostic tests used, and this combination was the
strongest instrumental variable. Use of OCT and ophthalmoscopy affected the
number of injections given and resulted in an increase in visual acuity gains
from baseline of 17.6 letters in year 1 and 2.5 letters in year 2. Regression
models using the instrumental variable (OCT and ophthalmoscopy combined) showed
that >=5.1 (95% CI: 3.3-11.4) ranibizumab injections were needed to maintain
visual acuity from baseline to year 1 and >=8.3 (95% CI: 5.3-18.8) injections
were needed to maintain visual acuity from year 1 to year 2. To gain >=15
letters, >=7.9 (95% CI: 5.1-17.5) ranibizumab injections would be needed in year
1 and >=16.1 (95% CI: 10.3-36.4) injections would be needed over 2
years.ConclusionsThese findings highlight the role that regular monitoring plays
in guiding neovascular AMD therapy and they showed that the number of ranibizumab
injections needed to maintain visual acuity is higher than that administered in
AURA.
PMID- 27197870
TI - Variability of disease activity in patients treated with ranibizumab for
neovascular age-related macular degeneration.
AB - PurposeTo analyze choroidal neovasularization (CNV) activity and recurrence
patterns in patients with neovascular age-related macular degeneration (nAMD)
treated with ranibizumab, and the correlation with individual intraocular
vascular endothelial growth factor (VEGF) suppression time (VST).MethodsPost-hoc
analysis of data from a prospective, non-randomized clinical study. Patients with
nAMD treated with ranibizumab on a pro re nata regimen. Disease activity was
analyzed monthly by spectral-domain optical coherence tomography and correlated
with VSTs.ResultsOverall, 73 eyes of 73 patients were included in the study with
a mean follow-up of 717 days (range: 412-1239 days). Overall, the mean CNV
activity-free interval was 76.5 days (range: 0-829 days). The individual range of
the length of dry intervals was high. A total of 42% of patients had a range of
more than 90 days. Overall, 16% of patients showed persistent activity. And 12%
stayed dry after the initial ranibizumab treatment. No significant correlation
was found between the CNV-recurrence pattern and VST (P=0.12).ConclusionsCNV
activity in nAMD is irregular, which is reflected in the range of the duration of
dry intervals and late recurrences. The biomarker VST solely seems not to be
sufficient to explain recurrence pattern of CNV in all AMD patients.
PMID- 27197871
TI - Comparison of botulinum toxin-A injection in lacrimal gland and
conjunctivodacryocystorhinostomy for treatment of epiphora due to proximal
lacrimal system obstruction.
AB - PurposeTo investigate and compare the efficacy of botulinum toxin-A injection in
the lacrimal gland and conjunctivodacryocystorhinostomy surgery for the treatment
of epiphora caused by proximal lacrimal system obstruction.MethodsCharts of the
patients with proximal canalicular obstruction who had undergone
conjunctivodacryocystorhinostomy with permanent tube insertion (18 patients,
group 1) or 4 units of botulinum toxin-A injection in the palpebral lobe of the
lacrimal gland (20 patients, group 2) were reviewed retrospectively. The upper
lacrimal system obstruction was diagnosed by lacrimal system irrigation. Schirmer
1 test and Munk epiphora grading for evaluation of epiphora were performed before
the interventions and on tenth day, first, third, and sixth months after the
interventions.ResultsImprovement of epiphora was statistically significant at all
visits when compared with values before injection (P<0.001) in both of groups.
When two techniques were compared, difference in degree of epiphora before and
after intervention was not statistically significant (P<0.05). In group 2, none
of the patients had punctate epitheliopathy, although there was a significant
decrease in Schirmer test results (P<0.001, paired t-test). In group 1, 9 cases
(50%) had tube dislocation, 4 cases (22.2%) had obstruction, and granuloma
formation. Five cases (25%) had ptosis in group 2.Conclusion
Conjunctivodacryocystorhinostomy requires surgical experience, special
postoperative care, and multiple revisions. As botulinum toxin-A injection in the
lacrimal gland is technically easy, less-invasive, safe, with reversible effects,
it can be considered as an alternative treatment in patients with proximal
lacrimal system obstruction.
PMID- 27197873
TI - Halogenated earth abundant metalloporphyrins as photostable sensitizers for
visible-light-driven water oxidation in a neutral phosphate buffer solution.
AB - Very photostable tetrachloro-metalloporphyrins were developed as sensitizers for
visible-light-driven water oxidation coupled to cobalt based water-oxidation
catalysts in concentrated (0.1 M) phosphate buffer solution. Potassium persulfate
(K2S2O8) acts as a sacrificial electron acceptor to oxidize the metalloporphyrin
photosensitizers in their excited states. The radical cations thus produced drive
the cobalt based water-oxidation catalysts: Co4O4-cubane and Co(NO3)2 as pre
catalyst for cobalt-oxide (CoOx) nanoparticles. Two different metalloporphyrins
(Cu(ii) and Ni(ii)) both showed very high photostability in the photocatalytic
reaction, as compared to non-halogenated analogues. This indicates that
photostability primarily depends on the substitution of the porphyrin macrocycle,
not on the central metal. Furthermore, our molecular design strategy not only
positively increases the electrochemical potential by 120-140 mV but also extends
the absorption spectrum up to ~600 nm. As a result, the solar photon capturing
abilities of halogenated metalloporphyrins (Cu(ii) and Ni(ii)) are comparable to
that of the natural photosynthetic pigment, chlorophyll a. We successfully
demonstrate long-term (>3 h) visible-light-driven water oxidation using our
molecular system based on earth-abundant (first-row transition) metals in
concentrated phosphate buffer solution.
PMID- 27197872
TI - Curcumin: A multi-target disease-modifying agent for late-stage transthyretin
amyloidosis.
AB - Transthyretin amyloidoses encompass a variety of acquired and hereditary diseases
triggered by systemic extracellular accumulation of toxic transthyretin
aggregates and fibrils, particularly in the peripheral nervous system. Since
transthyretin amyloidoses are typically complex progressive disorders,
therapeutic approaches aiming multiple molecular targets simultaneously, might
improve therapy efficacy and treatment outcome. In this study, we evaluate the
protective effect of physiologically achievable doses of curcumin on the
cytotoxicity induced by transthyretin oligomers in vitro by showing reduction of
caspase-3 activity and the levels of endoplasmic reticulum-resident chaperone
binding immunoglobulin protein. When given to an aged Familial Amyloidotic
Polyneuropathy mouse model, curcumin not only reduced transthyretin aggregates
deposition and toxicity in both gastrointestinal tract and dorsal root ganglia
but also remodeled congophilic amyloid material in tissues. In addition, curcumin
enhanced internalization, intracellular transport and degradation of
transthyretin oligomers by primary macrophages from aged Familial Amyloidotic
Polyneuropathy transgenic mice, suggesting an impaired activation of naive
phagocytic cells exposed to transthyretin toxic intermediate species. Overall,
our results clearly support curcumin or optimized derivatives as promising multi
target disease-modifying agent for late-stage transthyretin amyloidosis.
PMID- 27197875
TI - Synthesis of the derivatives of 6-amino-uracil labelled with 14 C.
AB - The radioactively labelled 6-amino-5-nitroso-uracil (1) and 5-acetyl-6-amino-1,3
dimethyl-uracil (2) were required for metabolic studies to assess their
suitability as drug candidates. A common precursor for both compounds was [cyano
14 C]cyanoacetic acid (6), readily prepared from potassium [14 C]cyanide. ACS
reagents, namely, diethyl ether, acetic acid and acetic anhydride, had to be
rigorously repurified to achieve a successful synthesis of 14 C-labelled
compounds on a tenth-of-a-milligramme scale. 6-Amino-5-nitroso-[6-14 C]uracil (1
14 C) (0.55 mCi) was prepared with radiochemical purity > 98% and specific
activity (SA) = 55.6 mCi/mmol. 5-Acetyl-6-amino-1,3-dimethyl-[6-14 C]uracil (2-14
C) (8 mCi) was prepared with radiochemical purity > 97% and SA = 55.6 mCi/mmol.
It has been shown that a SA assay can be made from standard 13 C NMR spectra,
thus avoiding the need to perform lengthier inverse-gated 13 C NMR experiments.
PMID- 27197874
TI - Distinctive features of single nucleotide alterations in induced pluripotent stem
cells with different types of DNA repair deficiency disorders.
AB - Disease-specific induced pluripotent stem cells (iPSCs) have been used as a model
to analyze pathogenesis of disease. In this study, we generated iPSCs derived
from a fibroblastic cell line of xeroderma pigmentosum (XP) group A (XPA-iPSCs),
a rare autosomal recessive hereditary disease in which patients develop skin
cancer in the areas of skin exposed to sunlight. XPA-iPSCs exhibited
hypersensitivity to ultraviolet exposure and accumulation of single-nucleotide
substitutions when compared with ataxia telangiectasia-derived iPSCs that were
established in a previous study. However, XPA-iPSCs did not show any chromosomal
instability in vitro, i.e. intact chromosomes were maintained. The results were
mutually compensating for examining two major sources of mutations, nucleotide
excision repair deficiency and double-strand break repair deficiency. Like XP
patients, XPA-iPSCs accumulated single-nucleotide substitutions that are
associated with malignant melanoma, a manifestation of XP. These results indicate
that XPA-iPSCs may serve a monitoring tool (analogous to the Ames test but using
mammalian cells) to measure single-nucleotide alterations, and may be a good
model to clarify pathogenesis of XP. In addition, XPA-iPSCs may allow us to
facilitate development of drugs that delay genetic alteration and decrease
hypersensitivity to ultraviolet for therapeutic applications.
PMID- 27197877
TI - Probing topological protection using a designer surface plasmon structure.
AB - Topological photonic states, inspired by robust chiral edge states in topological
insulators, have recently been demonstrated in a few photonic systems, including
an array of coupled on-chip ring resonators at communication wavelengths.
However, the intrinsic difference between electrons and photons determines that
the 'topological protection' in time-reversal-invariant photonic systems does not
share the same robustness as its counterpart in electronic topological
insulators. Here in a designer surface plasmon platform consisting of tunable
metallic sub-wavelength structures, we construct photonic topological edge states
and probe their robustness against a variety of defect classes, including some
common time-reversal-invariant photonic defects that can break the topological
protection, but do not exist in electronic topological insulators. This is also
an experimental realization of anomalous Floquet topological edge states, whose
topological phase cannot be predicted by the usual Chern number topological
invariants.
PMID- 27197879
TI - A dual-channel detection of mercuric ions using a label free G-quadruplex-based
DNAzyme molecule.
AB - We have constructed a 'turn-off' and label free bio-sensor using a DNAzyme
molecule. This facile bio-sensor is capable of selective detection of mercuric
ions with a high sensitivity and satisfactory dynamic range. More importantly, it
is able to generate both fluorescent and colourimetric signals for detection.
This dual-channel bio-sensor is expected to afford high detection confidence and
overcome false-positive readout especially when assaying complex biological
samples.
PMID- 27197876
TI - The German ROP Registry: data from 90 infants treated for retinopathy of
prematurity.
AB - PURPOSE: The German retinopathy of prematurity (ROP) Registry collects data on
treated ROP in a multicentre approach to analyse epidemiology and treatment
patterns of severe ROP. METHODS: Nine centres entered data from 90 treated ROP
infants (born between January 2011 and December 2013) into a central database.
Analysis included incidence rate of severe ROP, demographic data, stage of ROP,
treatment patterns, recurrence rates, relevant comorbidities and ophthalmological
or systemic complications associated with treatment. RESULTS: Treatment rate for
ROP was 3.2% of the screened population. The most frequent ROP stage at time of
treatment was zone II, stage 3 + (137 eyes). Treatment was bilateral in 97% of
infants. Treatment patterns changed over time from 7% anti-vascular endothelial
growth factor (VEGF) monotherapy in 2011 to 32% in 2014. Overall, laser treatment
was the predominant treatment. However, all infants with zone I disease received
anti-VEGF treatment. About 19% of infants required retreatment (16% of laser
treated and 21% of anti-VEGF treated infants). Mean time between first and second
treatment was 3.8 weeks (+/- 11 days) for laser-treated and 10.4 weeks (+/- 60
days) for anti-VEGF-treated infants. CONCLUSION: This study is the first
multicentre analysis of severe ROP in Germany. The identified treatment patterns
find laser as the most prevalent form of therapy, with an increasing use of anti
VEGF therapy over recent years. Recurrence rates were relatively high overall
with slightly higher recurrence rates and later recurrence times in the anti-VEGF
group. Anti-VEGF was predominantly used for high-risk stages like AP-ROP and zone
I disease.
PMID- 27197878
TI - Graphene Oxide promotes embryonic stem cell differentiation to haematopoietic
lineage.
AB - Pluripotent stem cells represent a promising source of differentiated tissue
specific stem and multipotent progenitor cells for regenerative medicine and drug
testing. The realisation of this potential relies on the establishment of robust
and reproducible protocols of differentiation. Several reports have highlighted
the importance of biomaterials in assisting directed differentiation. Graphene
oxide (GO) is a novel material that has attracted increasing interest in the
field of biomedicine. In this study, we demonstrate that GO coated substrates
significantly enhance the differentiation of mouse embryonic stem (ES) cells to
both primitive and definitive haematopoietic cells. GO does not affect cell
proliferation or survival of differentiated cells but rather enhances the
transition of haemangioblasts to haemogenic endothelial cells, a key step during
haematopoietic specification. Importantly, GO also improves, in addition to
murine, human ES cell differentiation to blood cells. Taken together, our study
reveals a positive role for GO in haematopoietic differentiation and suggests
that further functionalization of GO could represent a valid strategy for the
generation of large numbers of functional blood cells. Producing these cells
would accelerate haematopoietic drug toxicity testing and treatment of patients
with blood disorders or malignancies.
PMID- 27197881
TI - [Delusion versus delirium].
PMID- 27197882
TI - [Role of serum leptin in the severity of coronary artery disease in patients with
stable angina].
AB - BACKGROUND AND OBJECTIVES: Leptin is a plasmatic peptide hormone that has been
related to cardiovascular homeostasis and atherosclerosis but much is still
unknown about its relationship with coronary artery disease. The aim of this
study was to evaluate the value of serum leptin in patients with stable angina
and its relationship with the severity of coronary disease. PATIENTS AND METHODS:
204 patients, 152 with stable angina (coronary artery disease group) and 52
without coronary disease excluded by cardiac computerized tomography (control
group) were included. The coronary artery disease group was divided into 2
subgroups according to severity of coronary disease (single or multivessel
disease, 46 and 106 patients, respectively). Serum leptin levels were determined
by Enzyme-Linked InmunoSorbent Assay. RESULTS: Leptin levels were significantly
higher in patients with multivessel disease and were independently associated
with a greater severity of coronary artery disease when compared with controls
(OR 1.14; 95%CI: 1.03-1.27; p=0.014) and with patients with single vessel disease
(OR 1.12; 95%CI: 1.01-1.25; p=0.036). Serum leptin was tested as a diagnostic
marker of multivessel disease with an area under the curve obtained from Receiver
Operating Characteristics of 0.6764 (95%CI 0.5765-0.7657). CONCLUSIONS: Serum
leptin levels were associated in patients with stable angina with the severity of
coronary artery disease, suggesting its value in the development of coronary
disease and as a future therapeutic target.
PMID- 27197883
TI - [Erythema nodosum].
PMID- 27197884
TI - [SAPHO syndrome in patients undergoing bariatric surgery: Causality or
coincidence?].
PMID- 27197880
TI - Precision Oncology Medicine: The Clinical Relevance of Patient-Specific
Biomarkers Used to Optimize Cancer Treatment.
AB - Precision medicine in oncology is the result of an increasing awareness of
patient-specific clinical features coupled with the development of genomic-based
diagnostics and targeted therapeutics. Companion diagnostics designed for
specific drug-target pairs were the first to widely utilize clinically applicable
tumor biomarkers (eg, HER2, EGFR), directing treatment for patients whose tumors
exhibit a mutation susceptible to an FDA-approved targeted therapy (eg,
trastuzumab, erlotinib). Clinically relevant germline mutations in drug
metabolizing enzymes and transporters (eg, TPMT, DPYD) have been shown to impact
drug response, providing a rationale for individualized dosing to optimize
treatment. The use of multigene expression-based assays to analyze an array of
prognostic biomarkers has been shown to help direct treatment decisions,
especially in breast cancer (eg, Oncotype DX). More recently, the use of next
generation sequencing to detect many potential "actionable" cancer molecular
alterations is further shifting the 1 gene-1 drug paradigm toward a more
comprehensive, multigene approach. Currently, many clinical trials (eg, NCI
MATCH, NCI-MPACT) are assessing novel diagnostic tools with a combination of
different targeted therapeutics while also examining tumor biomarkers that were
previously unexplored in a variety of cancer histologies. Results from ongoing
trials such as the NCI-MATCH will help determine the clinical utility and future
development of the precision-medicine approach.
PMID- 27197885
TI - [Dyslipidaemia and atherogenic risk in patients with systemic lupus
erythematosus].
AB - INTRODUCTION: Dyslipidaemia is a common comorbidity in patients with systemic
lupus erythematosus. PATIENTS AND METHODS: Fifty-one patients were included.
Variables associated with the disease and the drugs used were recorded.
Atherogenic risk was calculated. Chi square was used for categorical variables.
ANOVA was performed and a logistic regression model to determine the association
of the variables with the presence of dyslipidaemia. RESULTS: A percentage of
68.6 had dyslipidaemia. A significant difference between the presence of
dyslipidaemia and activity index measured by SLEDAI was found, the presence of
lupus nephritis, use of prednisone>=20mg/day, evolution of the disease<3 years.
Significance between the absence of dyslipidaemia and use of hydroxychloroquine
was found. SLEDAI>=4 and the use of prednisone>=20mg/day were independently
associated with the presence of dyslipidaemia. The average of Castelli rate was
5.02, the Kannel index was 2.97 and triglyceride/HDL-C ratio was 5.24.
CONCLUSIONS: Patients with systemic lupus erythematosus have a high prevalence of
dyslipidaemia and a high atherogenic rate, which increases cardiovascular risk
significantly.
PMID- 27197887
TI - Homogeneous rhodium(i)-catalysis in de novo heterocycle syntheses.
AB - Recent research has led to the development of mild, efficient and selective
catalytic systems based on Rh(i) complexes. This review summarises the
applications of these catalysts to the synthesis of heterocycles from simple
building blocks. Included herein are approaches based on cycloisomerisation,
cycloaddition, hydroacylation and hydroarylation reactions, as well as various
tandem and domino procedures and carbonylation processes.
PMID- 27197886
TI - Compression loading-induced stress responses in intervertebral disc cells
encapsulated in 3D collagen constructs.
AB - Cells protect themselves from stresses through a cellular stress response. In the
interverebral disc, such response was also demonstrated to be induced by various
environmental stresses. However, whether compression loading will cause cellular
stress response in the nucleus pulposus cells (NPCs) is not well studied. By
using an in vitro collagen microencapsulation model, we investigated the effect
of compression loading on the stress response of NPCs. Cell viability tests, and
gene and protein expression experiments were conducted, with primers for the heat
shock response (HSR: HSP70, HSF1, HSP27 and HSP90), and unfolded protein response
(UPR: GRP78, GRP94, ATF4 and CHOP) genes and an antibody to HSP72. Different gene
expression patterns occurred due to loading type throughout experiments.
Increasing the loading strain for a short duration did not increase the stress
response genes significantly, but over longer durations, HSP70 and HSP27 were
upregulated. Longer loading durations also resulted in a continuous upregulation
of HSR genes and downregulation of UPR genes, even after load removal. The rate
of apoptosis did not increase significantly after loading, suggesting that stress
response genes might play a role in cell survival following mechanical stress.
These results demonstrate how mechanical stress might induce and control the
expression of HSR and UPR genes in NPCs.
PMID- 27197888
TI - Disproportionate trends in ulnar collateral ligament reconstruction: projections
through 2025 and a literature review.
AB - BACKGROUND: Medial ulnar collateral ligament (UCL) injuries of the elbow that
require surgical management are uncommon. There is growing evidence, however,
suggesting that the incidence of UCL reconstruction (UCLR) procedures is rapidly
increasing. We sought to quantify the incidence of age-related trends for UCLR
from 2003 to 2014 and subsequently to project future trends through 2025. We
hypothesized that as the total number of UCLRs performed increased, a
disproportionate incidence among younger patients would be observed. METHODS: New
York State's Statewide Planning and Research Cooperative System database was
queried from 2003 to 2014 to identify individuals between 10 and 40 years old
undergoing UCLR. Poisson regression was used to develop future projections for
UCLR and New York State population through 2025, and incidence estimates per
100,000 people were calculated. RESULTS: In New York State between 2003 and 2014,
there were 890 patients who underwent UCLR, with average annual incidence per
100,000 people equaling 6.3 +/- 2.8 for ages 15 to 19 years, significantly
greater than for all other age groups (P < .001). Projections from 2015 through
2025 suggest that incidence in 15- to 19-year-olds and 20- to 24-year-olds will
continue to rapidly increase while rates for other age groups will remain
relatively stable. CONCLUSIONS: The number of UCLRs performed between 2003 and
2014 increased by 343%, and a disproportionate trend in average annual incidence
for patients between 15 and 19 years old was observed. As our review of the
literature questioned outcomes in adolescent athletes after UCLR, continued
attempts at preventing these injuries in the young throwing athlete remain
paramount.
PMID- 27197890
TI - Corrigendum: First evidence of European eels exiting the Mediterranean Sea during
their spawning migration.
PMID- 27197889
TI - Dietary selenium intake and mortality in two population-based cohort studies of
133 957 Chinese men and women.
AB - OBJECTIVE: To investigate the potential influence of dietary Se intake on
mortality among Chinese populations. DESIGN: We prospectively evaluated all
cause, CVD and cancer mortality risks associated with dietary Se intake in
participants of the Shanghai Women's Health Study (SWHS) and the Shanghai Men's
Health study (SMHS). Dietary Se intake was assessed by validated FFQ during in
person interviews. Cox proportional hazards models were used to calculate hazard
ratios (HR) and 95 % CI. SETTING: Urban city in China. SUBJECTS: Chinese adults
(n 133 957). RESULTS: During an average follow-up of 13.90 years in the SWHS and
8.37 years in the SMHS, 5749 women and 4217 men died. The mean estimated dietary
Se intake was 45.48 MUg/d for women and 51.34 MUg/d for men, respectively.
Dietary Se intake was inversely associated with all-cause mortality and CVD
mortality in both women and men, with respective HR for the highest compared with
the lowest quintile being 0.79 (95 % CI 0.71, 0.88; P trend<0.0001) and 0.80 (95
% CI 0.66, 0.98; P trend=0.0268) for women, and 0.79 (95 % CI 0.70, 0.89; P
trend=0.0001) and 0.66 (95 % CI 0.54, 0.82; P trend=0.0002) for men. No
significant associations were observed for cancer mortality in both women and
men. Results were similar in subgroup and sensitivity analyses. CONCLUSIONS:
Dietary Se intake was inversely associated with all-cause and cardiovascular
mortality in both sexes, but not cancer mortality.
PMID- 27197892
TI - A retrospective audit of referral letter quality from general practice to an
inner-city emergency department.
AB - OBJECTIVES: Our primary aim was to analyse the quality of letters from general
practitioners (GPs) to the ED as defined by two checklists: the authors' own nine
item list and a template published in 2013 by the New South Wales Agency for
Clinical Innovation. The secondary aim was to determine if referral quality was
influenced by letter format (handwritten or computer-generated) or urgency of the
patient's condition (defined by triage category). METHODS: The present study is a
retrospective audit of records of patients presenting to Royal Prince Alfred
Hospital ED from a GP during February and March 2014. RESULTS: Out of 12 199 ED
presentations, 575 (4.7%) were recommended by a GP and 414 (72.0%) had a letter.
Greater than 60% completion was achieved in 80.9% of letters compared to our own
checklist, and in 6.3% compared to the Agency for Clinical Innovation checklist.
Computer-generated letters were more likely to be fully legible and include an
accurate medical history and medication list. Handwritten letters were less
frequent (11.9%) but of poorer quality and legibility. Overall, less than half of
letters contained results, psychosocial history or vaccination history. Referrals
for patients assigned an urgent triage category were more likely to contain
examination findings, but there was otherwise no difference in quality.
CONCLUSIONS: Referral quality was influenced by letter format but not by urgency
of the patient's condition. Omission of information from referral letters
potentially risks patient safety. Handwritten referrals should be abandoned.
Comprehensive electronic letter templates and regular updating of medications,
comorbidities and allergies are encouraged.
PMID- 27197891
TI - An Atypical System for Studying Epithelial-Mesenchymal Transition in
Hepatocellular Carcinoma.
AB - Intrahepatic and extrahepatic metastases are frequently detected in
hepatocellular carcinoma (HCC). Epithelial-mesenchymal transition (EMT) is
believed to drive metastasis. There are not many well-established model systems
to study EMT in HCC. Here we identified an atypical EMT while characterizing a
population of mesenchymal cells in Huh7.5 hepatoma cell cultures. Cells with
distinct morphology appeared during geneticin treatment of Huh7.5 cultures.
Molecular characterization of geneticin resistant Huh7.5M cells confirmed EMT.
Huh7.5M cells expressed cancer stem cell markers. p38MAPK and ERK1/2 were
substantially activated in Huh7.5M cells. Their Inhibition elevated E-Cadherin
expression with concerted suppression of Vimentin and anchorage independent
growth in Huh7.5M cells. TGFbeta could not induce EMT in Huh7.5 cultures, but
enriched mesenchymal populations, similar to geneticin. Huh7.5M cells formed more
aggressive solid tumors, primarily comprising cells with epithelial morphology,
in nude mice. Canonical EMT-TFs did not participate in this atypical EMT,
indicating that the established canonical EMT-TFs do not drive every EMT and
there is a dire need to identify additional factors. The system that we
characterized is a unique model to study EMT, MET and biphasic TGFbeta signaling
in HCC and offers considerable potential to facilitate more insightful studies on
deeper questions in tumor metastasis.
PMID- 27197893
TI - Dissemination of original NMR data enhances reproducibility and integrity in
chemical research.
AB - The notion of data transparency is gaining a strong awareness among the
scientific community. The availability of raw data is actually regarded as a
fundamental way to advance science by promoting both integrity and
reproducibility of research outcomes. Particularly, in the field of natural
product and chemical research, NMR spectroscopy is a fundamental tool for
structural elucidation and quantification (qNMR). As such, the accessibility of
original NMR data, i.e., Free Induction Decays (FIDs), fosters transparency in
chemical research and optimizes both peer review and reproducibility of reports
by offering the fundamental tools to perform efficient structural verification.
Although original NMR data are known to contain a wealth of information, they are
rarely accessible along with published data. This viewpoint discusses the
relevance of the availability of original NMR data as part of good research
practices not only to promote structural correctness, but also to enhance
traceability and reproducibility of both chemical and biological results.
PMID- 27197895
TI - Increasing Access.
PMID- 27197900
TI - Erratum.
PMID- 27197894
TI - The association between religion/spirituality and mental health in cancer.
PMID- 27197901
TI - Sequential fetal serum beta2-microglobulin to predict postnatal renal function in
bilateral or low urinary tract obstruction.
AB - OBJECTIVE: Fetal serum beta2-microglobulin has been shown to predict postnatal
renal outcome in cases of fetal obstructive uropathy. We assessed the value of
serial measurements of fetal serum beta2-microglobulin in the prediction of
postnatal renal outcome. METHODS: We retrospectively studied renal outcome in 42
fetuses with bilateral or low urinary tract obstruction that had fetal blood
sampling on at least two occasions to assay serum levels of beta2-microglobulin.
Amniotic fluid volume at the time of each sampling was recorded. We classified
renal outcome as either favorable (when postnatal renal function was normal) or
adverse (when postnatal chronic renal failure occurred or when renal dysplasia at
autopsy was noted). A beta2-microglobulin cut-off of 5 mg/L and amniotic fluid
index of 5 cm were used to predict postnatal renal outcome. RESULTS: Renal
outcome was adverse in 28 cases and favorable in 14. In 12 (28.6%) cases, fetal
serum beta2-microglobulin concentration differed between the first and last
measurement. Prediction of postnatal renal outcome was correct in 11 of these
cases based on the last beta2-microglobulin measurement. The sensitivity of beta2
microglobulin in predicting renal outcome was significantly higher (P = 0.005)
when using the last rather than the first measurement (96.4% vs 64.3%), with
similar specificity for both measurements (85.7% vs 78.6%, non-significant). The
sensitivity of amniotic fluid volume was also significantly higher (P = 0.005)
when using the last rather than the first measurement (75.0% vs 35.7%), with
similar specificity for both measurements (64.3% vs 71.4%, non-significant).
CONCLUSION: Sequential measurement of serum beta2-microglobulin, performed for
adverse ultrasound findings, such as renal parenchymal abnormality or decreasing
amniotic fluid volume, predicts postnatal renal outcome more accurately than does
a single assay. This may be due to possible worsening of renal injury with
increasing duration of urinary tract obstruction. Copyright (c) 2016 ISUOG.
Published by John Wiley & Sons Ltd.
PMID- 27197902
TI - Membrane damage as first and DNA as the secondary target for anti-candidal
activity of antimicrobial peptide P7 derived from cell-penetrating peptide ppTG20
against Candida albicans.
AB - P7, a peptide analogue derived from cell-penetrating peptide ppTG20, possesses
antibacterial and antitumor activities without significant hemolytic activity. In
this study, we investigated the antifungal effect of P7 and its anti-Candida
acting mode in Candida albicans. P7 displayed antifungal activity against the
reference C. albicans (MIC = 4 MUM), Aspergilla niger (MIC = 32 MUM), Aspergillus
flavus (MIC = 8 MUM), and Trichopyton rubrum (MIC = 16 MUM). The effect of P7 on
the C. albicans cell membrane was examined by investigating the calcein leakage
from fungal membrane models made of egg yolk l-phosphatidylcholine/ergosterol (10
: 1, w/w) liposomes. P7 showed potent leakage effects against fungal liposomes
similar to Melittin-treated cells. C. albicans protoplast regeneration assay
demonstrated that P7 interacted with the C. albicans plasma membrane. Flow
cytometry of the plasma membrane potential and integrity of C. albicans showed
that P7 caused 60.9 +/- 1.8% depolarization of the membrane potential of intact
C. albicans cells and caused 58.1 +/- 3.2% C. albicans cell membrane damage.
Confocal laser scanning microscopy demonstrated that part of FITC-P7 accumulated
in the cytoplasm. DNA retardation analysis was also performed, which showed that
P7 interacted with C. albicans genomic DNA after penetrating the cell membrane,
completely inhibiting the migration of genomic DNA above the weight ratio
(peptide : DNA) of 6. Our results indicated that the plasma membrane was the
primary target, and DNA was the secondary intracellular target of the mode of
action of P7 against C. albicans. Copyright (c) 2016 European Peptide Society and
John Wiley & Sons, Ltd.
PMID- 27197903
TI - Auditory top-down control and affective theory of mind in schizophrenia with and
without hallucinations.
AB - Social cognitive impairments may represent a core feature of schizophrenia and
above all are a strong predictor of positive psychotic symptoms. Previous studies
could show that reduced inhibitory top-down control contributes to deficits in
theory of mind abilities and is involved in the genesis of hallucinations. The
current study aimed to investigate the relationship between auditory inhibition,
affective theory of mind and the experience of hallucinations in patients with
schizophrenia. In the present study, 20 in-patients with schizophrenia and 20
healthy controls completed a social cognition task (the Reading the Mind in the
Eyes Test) and an inhibitory top-down Dichotic Listening Test. Schizophrenia
patients with greater severity of hallucinations showed impaired affective theory
of mind as well as impaired inhibitory top-down control. More dysfunctional top
down inhibition was associated with poorer affective theory of mind performance,
and seemed to mediate the association between impairment to affective theory of
mind and severity of hallucinations. The findings support the idea of impaired
theory of mind as a trait marker of schizophrenia. In addition, dysfunctional top
down inhibition may give rise to hallucinations and may further impair affective
theory of mind skills in schizophrenia.
PMID- 27197904
TI - Cognitive functioning in individuals at ultra-high risk for psychosis, first
degree relatives of patients with psychosis and patients with first-episode
schizophrenia.
AB - OBJECTIVE: The aim of the present study was to investigate and compare cognitive
functioning of first-degree relatives of people with schizophrenia who were also
at ultra-high risk (UHR) for psychosis with patients with first-episode (FE)
schizophrenia, first degree relatives of patients not fulfilling UHR criteria
(FDR), and healthy control (HC) subjects. METHOD: Forty subjects in each group
were included, underwent a face-to-face interview and completed a neurocognitive
test battery, including the Trail Making Test-A (TMT-A, psychomotor functions),
Stroop Color Word Test (attention), Digit Symbol Coding Test (DST, processing
speed and working memory) and Hopkins Verbal Leaning Test-Revised (HVLT-R, verbal
memory). RESULTS: Functioning in all the cognitive test domains displayed a
gradual decrease from the HC, FDR, UHR to FE groups. After controlling for
covariates, there were still significant differences in TMT-A (F(7160)=35.4,
P<0.001), DST (F(7160)=38.9, P<0.001), Stroop Color Word Test (F(7160)=35.0,
P<0.001), Stroop Word Test (F(7160)=36.2, P<0.001), Stroop Color Test
(F(7160)=40.9, P<0.001) and HVLT-R (F(7160)=62.5, P<0.001) between the four
groups, indicating that the cognitive functioning in the UHR group was
intermediate between the FE and FDR groups, while the FDR group had poorer
performance than the HC group, and the FE group had the poorest cognitive
functioning across all four examined domains. CONCLUSION: The results indicate
that impairments in processing speed, attention, working memory and verbal memory
exist in both UHR and FDR subjects. In order to clarify the associations between
cognitive functioning and UHR and schizophrenia, longitudinal studies are
warranted.
PMID- 27197906
TI - Screening Strategies for Unrecognized CKD.
PMID- 27197905
TI - The See Kidney Disease Targeted Screening Program for CKD.
AB - BACKGROUND AND OBJECTIVES: The effectiveness of targeted screening for
identification of CKD is largely unknown. The See Kidney Disease (SeeKD) targeted
screening project aimed to determine the prevalence of unrecognized CKD in
Canada. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: The SeeKD project was
conducted across Canada using a convenience sample approach and events to
identify adults with risk factors for CKD (i.e., diabetes, hypertension, vascular
disease, family history of kidney problems, etc.). Participants with at least one
risk factor received a point-of-care creatinine measurement to identify
unrecognized CKD (CKD-Epidemiology Collaboration eGFR <60 ml/min per 1.73 m(2)).
Baseline information included clinical characteristics, sociodemographics, and
health knowledge. Semistructured telephone interviews were conducted with each
Kidney Foundation of Canada branch (regionalized locations) after the screening
events to characterize local screening strategies, which were subsequently
categorized as individual-targeted (specifically targeting individuals at risk of
CKD) and community-targeted (event in a community location in proximity to a high
risk population). We calculated the prevalence of unrecognized CKD overall, and
by screening strategy. RESULTS: Between January 2011 and February 2014, 6329
Canadians participated in SeeKD screening events. Participants were predominantly
female (65.3%), middle-aged (mean, 58.5 years), and the majority (88.9%) self
reported at least one risk factor for CKD. Of participants with at least one risk
factor, 92.3% (n=5194) were screened, of whom 18.8% (95% confidence interval [95%
CI], 17.8 to 19.9) had unrecognized CKD; the majority (13.8%) had stage 3a CKD
(eGFR=45-60 ml/min per 1.73 m(2)). The prevalence of unrecognized CKD was higher
for branches with individual versus community-targeted events (21.9% [95% CI,
20.5 to 23.4] versus 14.7% [95% CI, 13.2 to 16.2]). CONCLUSIONS: Targeted
screening identified a high proportion of individuals with risk factors for CKD
and a high prevalence of unrecognized CKD. Future research will evaluate the
ability of targeted screening to promote self-management behaviors addressing
priorities for people with CKD.
PMID- 27197909
TI - Strategies for BP Control in Developing Countries and Effects on Kidney Function.
PMID- 27197908
TI - Health Education and General Practitioner Training in Hypertension Management:
Long-Term Effects on Kidney Function.
AB - BACKGROUND AND OBJECTIVES: In the Control of Blood Pressure and Risk Attenuation
trial, a 2*2 factorial design study (2004-2007), the combined home health
education and trained general practitioner intervention delivered over 2 years
was more effective than no intervention (usual care) in lowering systolic BP
among adults with hypertension in urban Pakistan. We aimed to assess the
effectiveness of the interventions on kidney function. DESIGN, PARTICIPANTS,
SETTINGS, & METHODS: In 2012-2013, we conducted extended follow-up of a total of
1271 individuals aged >=40 years with hypertension (systolic BP >=140 mmHg,
diastolic BP >=90 mmHg, or receipt of antihypertensive treatment) and serum
creatinine measurements with 2 years in-trial and 5 years of post-trial period in
12 randomly selected low-income communities in Karachi, Pakistan. The change in
eGFR from baseline to 7 years was assessed among randomized groups using a
generalized estimating equation method with multiple imputation of missing
values. RESULTS: At 7 years of follow-up, adjusted mean eGFR remained unchanged,
with a change of -0.3 (95% confidence interval [95% CI], -3.5 to 2.9) ml/min per
1.73 m(2) among adults randomly assigned to the combined home health education
plus trained general practitioner intervention compared with a significant
decline of -3.6 (95% CI, -5.7 to -2.0) ml/min per 1.73 m(2) in those assigned to
usual care (P=0.01, modified intention-to-treat analysis). The risk for the
combined intervention of death from kidney failure or >20% decline in eGFR
relative to usual care was significantly reduced (risk ratio, 0.47; 95% CI, 0.25
to 0.89). CONCLUSIONS: The combined home health education plus trained general
practitioner intervention is beneficial in preserving kidney function among
adults with hypertension in communities in Karachi. These findings highlight the
importance of scaling up simple strategies for renal risk reduction in low- and
middle-income countries.
PMID- 27197907
TI - Pharmacokinetics and Pharmacodynamics of Extended Infusion Versus Short Infusion
Piperacillin-Tazobactam in Critically Ill Patients Undergoing CRRT.
AB - BACKGROUND AND OBJECTIVES: Infection is the most common cause of death in severe
AKI, but many patients receiving continuous RRT do not reach target antibiotic
concentrations in plasma. Extended infusion of beta-lactams is associated with
improved target attainment in critically ill patients; thus, we hypothesized that
extended infusion piperacillin-tazobactam would improve piperacillin target
attainment compared with short infusion in patients receiving continuous RRT.
DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: We conducted an institutional
review board-approved observational cohort study of piperacillin-tazobactam
pharmacokinetics and pharmacodynamics in critically ill patients receiving
continuous venovenous hemodialysis and hemodiafiltration at three tertiary care
hospitals between 2007 and 2015. Antibiotic concentrations in blood and/or
dialysate samples were measured by liquid chromatography, and one- and two
compartment pharmacokinetic models were fitted to the data using nonlinear mixed
effects regression. Target attainment for piperacillin was defined as achieving
four times the minimum inhibitory concentration of 16 MUg/ml for >50% of the
dosing cycle. The probabilities of target attainment for a range of doses,
frequencies, and infusion durations were estimated using a Monte Carlo simulation
method. Target attainment was also examined as a function of patient weight and
continuous RRT effluent rate. RESULTS: Sixty-eight participants had data for
analysis. Regardless of infusion duration, 6 g/d piperacillin was associated with
<=45% target attainment, whereas 12 g/d was associated with >=95% target
attainment. For 8 and 9 g/d, target attainment ranged between 68% and 85%. The
probability of target attainment was lower at higher effluent rates and patient
weights. For all doses, frequencies, patient weights, and continuous RRT effluent
rates, extended infusion was associated with higher probability of target
attainment compared with short infusion. CONCLUSIONS: Extended infusions of
piperacillin-tazobactam are associated with greater probability of target
attainment in patients receiving continuous RRT.
PMID- 27197910
TI - The Role of RRT in Hyperammonemic Patients.
AB - Hyperammonemia is an important cause of cerebral edema in both adults with liver
failure and children with inborn errors of metabolism. There are few studies that
have analyzed the role of extracorporeal dialysis in reducing blood ammonia
levels in the adult population. Furthermore, there are no firm guidelines about
when to implement RRT, because many of the conditions that are characterized by
hyperammonemia are extremely rare. In this review of existing literature on RRT,
we present the body's own mechanisms for clearing ammonia as well as the dialytic
properties of ammonia. We review the available literature on the use of
continuous venovenous hemofiltration, peritoneal dialysis, and hemodialysis in
neonates and adults with conditions characterized by hyperammonemia and discuss
some of the controversies that exist over selecting one modality over another.
PMID- 27197911
TI - Patient and Other Stakeholder Engagement in Patient-Centered Outcomes Research
Institute Funded Studies of Patients with Kidney Diseases.
AB - Including target populations in the design and implementation of research trials
has been one response to the growing health disparities endemic to our health
care system, as well as an aid to study generalizability. One type of community
based participatory research is "Patient Centered-Research", in which patient
perspectives on the germane research questions and methodologies are incorporated
into the study. The Patient-Centered Outcomes Research Institute (PCORI) has
mandated that meaningful patient and stakeholder engagement be incorporated into
all applications. As of March 2015, PCORI funded seven clinically-focused studies
of patients with kidney disease. The goal of this paper is to synthesize the
experiences of these studies to gain an understanding of how meaningful patient
and stakeholder engagement can occur in clinical research of kidney diseases, and
what the key barriers are to its implementation. Our collective experience
suggests that successful implementation of a patient- and stakeholder-engaged
research paradigm involves: (1) defining the roles and process for the
incorporation of input; (2) identifying the particular patients and other
stakeholders; (3) engaging patients and other stakeholders so they appreciate the
value of their own participation and have personal investment in the research
process; and (4) overcoming barriers and challenges that arise and threaten the
productivity of the collaboration. It is our hope that the experiences of these
studies will further interest and capacity for incorporating patient and
stakeholder perspectives in research of kidney diseases.
PMID- 27197913
TI - Beyond APOL1: Genetic Inroads into Understanding Population Disparities in
Diabetic Kidney Disease.
PMID- 27197912
TI - Association Analysis of the Cubilin (CUBN) and Megalin (LRP2) Genes with ESRD in
African Americans.
AB - BACKGROUND AND OBJECTIVES: Genetic variation in the cubilin (CUBN) gene is
associated with albuminuria and CKD. Common and rare coding variants in CUBN and
the gene encoding its transport partner megalin (LRP2) were assessed for
association with ESRD in blacks. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS:
Sixty-six CUBN and LRP2 single-nucleotide polymorphisms (SNPs) were selected and
analyzed in this multistage study. Exome sequencing data from 529 blacks with
type 2 diabetes (T2D) -associated ESRD and 535 controls lacking T2D or
nephropathy (the Type 2 Diabetes Genes [T2D-GENES] Consortium) were first
evaluated, focusing on coding variants in CUBN and LRP2; 15 potentially
associated SNPs identified from the T2D-GENES Consortium as well as 51 other
selected SNPs were then assessed in an independent T2D-ESRD sample set of blacks
(the Affymetrix Axiom Biobank Genotyping Array [AXIOM]; 2041 patients with T2D
ESRD, 627 patients with T2D without nephropathy, and 1140 nondiabetic, non
nephropathy controls). A meta-analysis combining the T2D-GENES Consortium and the
AXIOM data was performed for 18 overlapping SNPs. Additionally, all 66 SNPs were
genotyped in the Wake Forest School of Medicine samples of blacks with
nondiabetic ESRD (885 patients with nondiabetic ESRD and 721 controls).
Association testing with ESRD was performed in models including age, sex, African
ancestry proportion, and apolipoprotein L1 gene renal-risk variants. RESULTS:
CUBN SNP rs1801239 (I2984V), previously associated with albuminuria, was
significantly associated with T2D-ESRD in blacks (the T2D-GENES Consortium and
the AXIOM meta-analysis, P=0.03; odds ratio, 1.31; 95% confidence interval, 1.03
to 1.67; minor allele frequency =0.028). A novel LRP2 missense variant,
rs17848169 (N2632D), was also significantly protective from T2D-ESRD (the T2D
GENES Consortium and the AXIOM, P<0.002; odds ratio, 0.47; 95% confidence
interval, 0.29 to 0.75; meta-analysis minor allele frequency =0.007). Neither SNP
was associated with T2D when contrasting patients with T2D with controls lacking
diabetes. CUBN and LRP2 SNPs were not associated with nondiabetic etiologies of
ESRD. CONCLUSIONS: Evidence for genetic association exists between a cubilin and
a rare megalin variant with diabetes-associated ESRD in populations with recent
African ancestry.
PMID- 27197914
TI - Pragmatic study designs for older adults with cancer: Report from the U13
conference.
AB - Cancer is a disease occurring disproportionately in older adults. However, the
evidence base regarding how best to care for these patients remains limited due
to their underrepresentation in cancer clinical trials. Pragmatic clinical trials
represent a promising approach for enhancing the evidence base in geriatric
oncology by allowing investigators to enroll older, frailer patients onto cancer
clinical trials. These trials are more accessible, less resource intensive, and
place minimal additional burden on participating patients. Additionally, these
trials can be designed to measure endpoints directly relevant to older adults,
such as quality of life, functional independence and treatment tolerability which
are often not addressed in standard clinical trials. Therefore, pragmatic
clinical trials allow researchers to include patients for whom the treatment will
ultimately be applied and to utilize meaningful endpoints. Examples of pragmatic
studies include both large, simple trials and cluster randomized trials. These
study designs allow investigators to conduct clinical trials within the context
of everyday practice. Further, researchers can devise these studies to place
minimal burden on the patient, the treating clinicians and the participating
institutions. In order to be successful, pragmatic trials must efficiently
utilize the electronic medical record for data capture while also maximizing
patient recruitment, enrollment and retention. Additionally, by strategically
utilizing pragmatic clinical trials to test therapies and interventions that have
previously shown efficacy in younger, fitter patients, these trials represent a
potential mechanism to improve the evidence base in geriatric oncology and
enhance care for older adults with cancer.
PMID- 27197915
TI - Geriatric assessment with management in cancer care: Current evidence and
potential mechanisms for future research.
AB - Older adults with cancer represent a complex patient population. Geriatric
assessment (GA) is recommended to evaluate the medical and supportive care needs
of this group. "GA with management" is a term encompassing the resultant medical
decisions and interventions implemented in response to vulnerabilities identified
on GA. In older, non-cancer patients, GA with management has been shown to
improve a variety of outcomes, such as reducing functional decline and health
care utilization. However, the role of GA with management in the older adult with
cancer is less well established. Rigorous clinical trials of GA with management
are necessary to develop an evidence base and support its use in the routine
oncology care of older adults. At the recent U-13 conference, "Design and
Implementation of Intervention Studies to Improve or Maintain Quality of
Survivorship in Older and/or Frail Adults with Cancer," a session was dedicated
to developing research priorities in GA with management. Here we summarize
identified knowledge gaps in GA with management studies for older patients with
cancer and propose areas for future research.
PMID- 27197916
TI - Designing exercise clinical trials for older adults with cancer: Recommendations
from 2015 Cancer and Aging Research Group NCI U13 Meeting.
AB - Cancer and its treatment can lead to a myriad of adverse events and negatively
impact quality of life of older cancer patients and survivors. Unmet physical
activity needs vary across the cancer continuum and remain an important yet
understudied area of research in this population. Exercise interventions have
been shown to be effective in treating both the physical and psychological
declines associated with cancer and its treatment, with a potential to improve
cancer-related outcomes. Despite the current evidence, exercise is clearly
underutilized due to several barriers and knowledge gaps in existing trials that
include appropriate population identification, design, and outcome measures
selection. The benefits of regular exercise in both the primary and secondary
prevention of chronic conditions are well established in the non-cancer
population. In older cancer patients and survivors, further research is needed
before exercise gains widespread acceptance. The Cancer and Aging Research Group
convened experts in exercise, aging and cancer to evaluate current scientific
evidence and knowledge gaps in geriatric exercise oncology. This report
summarizes these findings and provides future research directions.
PMID- 27197917
TI - Interventions to improve the quality of life and survivorship of older adults
with cancer: The funding landscape at NIH, ACS and PCORI.
AB - Identifying knowledge gaps and research opportunities in cancer and aging
research was the focus of a three-part conference series led by the Cancer and
Aging Research Group from 2010 to 2015. The third meeting, featured
representatives from the NIA, NCI, ACS and PCORI each of whom discussed research
priorities and funding opportunities in cancer and aging at their respective
agencies. This manuscript reports on the proceedings of that conference with a
specific focus on funding priorities for interventions to improve the quality of
life and survivorship of older adults with cancer. Helpful tips from each funder
regarding writing a scientifically strong research proposal are presented.
PMID- 27197918
TI - Chemotherapy-related cognitive impairment in older patients with cancer.
AB - Chemotherapy-related cognitive impairment (CRCI) can occur during or after
chemotherapy and represents a concern for many patients with cancer. Among older
patients with cancer, in whom there is little clinical trial evidence examining
side effects like CRCI, many unanswered questions remain regarding risk for and
resulting adverse outcomes from CRCI. Given the rising incidence of cancer with
age, CRCI is of particular concern for older patients with cancer who receive
treatment. Therefore, research related to CRCI in older patients with cancers is
a high priority. In this manuscript, we discuss current gaps in research
highlighting the lack of clinical studies of CRCI in older adults, the complex
mechanisms of CRCI, and the challenges in measuring cognitive impairment in older
patients with cancer. Although we focus on CRCI, we also discuss cognitive
impairment related to cancer itself and other treatment modalities. We highlight
several research priorities to improve the study of CRCI in older patients with
cancer.
PMID- 27197920
TI - Benefits of robotic cystectomy with intracorporeal diversion for patients with
low cardiorespiratory fitness: A prospective cohort study.
AB - BACKGROUND: Patients undergoing radical cystectomy have associated comorbidities
resulting in reduced cardiorespiratory fitness. Preoperative cardiopulmonary
exercise testing (CPET) measures including anaerobic threshold (AT) can predict
major adverse events (MAE) and hospital length of stay (LOS) for patients
undergoing open and robotic cystectomy with extracorporeal diversion. Our
objective was to determine the relationship between CPET measures and outcome in
patients undergoing robotic radical cystectomy and intracorporeal diversion
(intracorporeal robotic assisted radical cystectomy [iRARC]). METHODS: A single
institution prospective cohort study in patients undergoing iRARC for muscle
invasive and high-grade bladder cancer. INCLUSION: patients undergoing
standardised CPET before iRARC. EXCLUSIONS: patients not consenting to data
collection. Data on CPET measures (AT, ventilatory equivalent for carbon dioxide
[VE/VCO2] at AT, peak oxygen uptake [VO2]), and patient demographics
prospectively collected. Outcome measurements included hospital LOS; 30-day MAE
and 90-day mortality data, which were prospectively recorded. Descriptive and
regression analyses were used to assess whether CPET measures were associated
with or predicted outcomes. RESULTS: From June 2011 to March 2015, 128 patients
underwent radical cystectomy (open cystectomy, n = 17; iRARC, n = 111). A total
of 82 patients who underwent iRARC and CPET and consented to participation were
included. Median (interquartile range): age = 65 (58-73); body mass index = 27
(23-30); AT = 10.0 (9-11), Peak VO2 = 15.0 (13-18.5), VE/VCO2 (AT) = 33.0 (30
38). 30-day MAE = 14/111 (12.6%): death = 2, multiorgan failure = 2, abscess = 2,
gastrointestinal = 2, renal = 6; 90-day mortality = 3/111 (2.7%). AT, peak VO2,
and VE/VCO2 (at AT) were not significant predictors of 30-day MAE or LOS. The
results are limited by the absence of control group undergoing open surgery.
CONCLUSIONS: Poor cardiorespiratory fitness does not predict increased hospital
LOS or MAEs in patients undergoing iRARC. Overall, MAE and LOS comparable with
other series.
PMID- 27197921
TI - Magnetic resonance/transrectal ultrasound fusion biopsy of the prostate compared
to systematic 12-core biopsy for the diagnosis and characterization of prostate
cancer: multi-institutional retrospective analysis of 389 patients.
AB - OBJECTIVE: To determine the incremental diagnostic value of targeted biopsies
added to an extended sextant biopsy scheme on a per-patient, risk-stratified
basis in 2 academic centers using different multiparametric magnetic resonance
imaging (MRI) protocols, a large group of radiologists, multiple biopsy systems,
and different biopsy operators. MATERIALS AND METHODS: All patients with
suspected prostate cancer (PCa) who underwent multiparametric MRI of the prostate
in 2 academic centers between February 2013 and January 2015 followed by
systematic and targeted MRI-transrectal ultrasound fusion biopsy were reviewed.
Risk-stratified detection rate using systematic biopsies was compared with
targeted biopsies on a per-patient basis. The McNemar test was used to compare
diagnostic performance of the 2 approaches. RESULTS: A total of 389 men met
eligibility criteria. PCa was diagnosed in 47% (182/389), 52%(202/389), and
60%(235/389) of patients using the targeted, systematic, and combined (targeted
plus systematic) approach, respectively. Compared with systematic biopsy,
targeted biopsy diagnosed 11% (37 vs. 26) more intermediate-to-high risk
(P<0.0001) and 16% (10 vs. 16) fewer low-risk tumors (P<0.0001). These results
were replicated when data from each center, biopsy-naive patients, and men with
previous negative biopsies were analyzed separately. CONCLUSION: Targeted MRI
transrectal ultrasound fusion biopsy consistently improved the detection of
clinically significant PCa in a large patient cohort with diverse equipment,
protocols, radiologists, and biopsy operators as can be encountered in clinical
practice.
PMID- 27197919
TI - Gaps in nutritional research among older adults with cancer.
AB - Nutritional issues among older adults with cancer are an understudied area of
research despite significant prognostic implications for treatment side effects,
cancer-specific mortality, and overall survival. In May of 2015, the National
Cancer Institute and the National Institute on Aging co-sponsored a conference
focused on future directions in geriatric oncology research. Nutritional research
among older adults with cancer was highlighted as a major area of concern as most
nutritional cancer research has been conducted among younger adults, with limited
evidence to guide the care of nutritional issues among older adults with cancer.
Cancer diagnoses among older adults are increasing, and the care of the older
adult with cancer is complicated due to multimorbidity, heterogeneous functional
status, polypharmacy, deficits in cognitive and mental health, and several other
non-cancer factors. Due to this complexity, nutritional needs are dynamic,
multifaceted, and dependent on the clinical scenario. This manuscript outlines
the proceedings of this conference including knowledge gaps and recommendations
for future nutritional research among older adults with cancer. Three common
clinical scenarios encountered by oncologists include (1) weight loss during anti
cancer therapy, (2) malnutrition during advanced disease, and (3) obesity during
survivorship. In this manuscript, we provide a brief overview of relevant cancer
literature within these three areas, knowledge gaps that exist, and
recommendations for future research.
PMID- 27197923
TI - A delayed diagnosis of salt-wasting congenital adrenal hyperplasia.
PMID- 27197925
TI - Association between maternal genital mycoplasma colonization and histologic
chorioamnionitis in preterm births.
AB - OBJECTIVE: Genital mycoplasmas (GMs) can be associated with chorioamnionitis and
preterm birth, but are viewed as commensal organisms with low virulence. We
sought to determine if cervical GM colonization is associated with histologic
chorioamnionitis independent of infection with other bacteria. STUDY DESIGN:
Retrospective study of patients who delivered preterm, had cervical cultures for
GMs, and placental cultures for bacteria other than GM. GM positive patients were
compared to GM negative patients. Histologic grading of placentas was compared
between GM negative patients with negative placental cultures (Group 1), GM
positive patients with negative placental cultures (Group 2), GM negative
patients with positive placental cultures (Group 3) and GM positive patients with
positive placental cultures (Group 4). RESULTS: GM positive patients were less
likely than GM negative patients to have placental cultures positive for other
bacteria (39% versus 47%, P = 0.0071). Group 2 had higher rates of membrane
inflammation compared to Group 1 (p = 0.0079), and no significant difference in
rates of membrane inflammation compared to Groups 3 or 4 (p = 0.36, p = 0.18). GM
positivity was independently associated with increased membrane inflammation and
decreased inflammation in the chorionic plate. CONCLUSIONS: GM colonization is
associated decreased inflammation of the chorionic plate, and increased
inflammation of the membranes.
PMID- 27197926
TI - Severe laryngeal stenosis in newly born twins with 22q11.2 deletion syndrome: A
case report.
AB - Chromosome 22q11.2 deletion syndrome is common and presents with a range of
clinical features from cardiac malformations to hypocalcemia. Laryngeal anomalies
are not a common feature of this syndrome. We describe newly born twins who
presented with unexpected severe birth depression secondary to severe type IV
glottic webs requiring extensive resuscitation and emergency tracheostomy. They
were diagnosed postnatally to have deletion of 22q11.2. The successful
resuscitation of these infants at birth was only possible because they were born
in a tertiary care hospital. This report shows the critical nature of prenatal
diagnosis of 22q11.2 deletion syndrome.
PMID- 27197924
TI - Agroindustrial composts to reduce the use of peat and fungicides in the
cultivation of muskmelon seedlings.
AB - BACKGROUND: Environmental concerns about peat extraction in wetland ecosystems
have increased. Therefore, there is an international effort to evaluate
alternative organic substrates for the partial substitution of peat. The aim of
this work was to use different composts (C1-C10) obtained from the fruit and
vegetable processing industry (pepper, carrot, broccoli, orange, artichoke
residues, sewage sludge (citric and pepper) and vineyard pruning wastes) to
produce added-value composts as growing media with suppressive effect against
Fusarium oxysporum f.sp. melonis (FOM) in muskmelon. RESULTS: Composts showed
values of water-soluble carbon fractions and dehydrogenase activity that allowed
them to be considered mature and stabilized. All compost treatments produced
significantly (F = 7.382; P < 0.05) higher fresh shoot weight than peat,
treatment T-C2 showing the highest values. Treatments T-C5, T-C7 and T-C8 showed
percentages of disease incidence that were significantly (F = 16.052; P < 0.05)
the lowest, relative to peat, followed by T-C6, T-C10, T-C1 and T-C9 with values
below 50%. CONCLUSION: Composts produced are suitable components of mixed compost
peat growing media, providing a 50% substitution of peat. Furthermore, some of
these composts also showed an added value as a suppressive organic medium against
Fusarium wilt in muskmelon seedling, a fact probably related to high pH and
pepper wastes and high content of pruning waste as initial raw materials. (c)
2016 Society of Chemical Industry.
PMID- 27197927
TI - Endothelin-1 levels and renal function in newborns of various gestational ages.
AB - BACKGROUND: Renal failure is common in the NICU; Acute Kidney Injury (AKI) occurs
in 8-24% of admissions. Although AKI is preventable with early diagnosis, no
reliable AKI biomarkers exist. Endothelin-1 (ET-1) has been implicated in renal
pathogenesis, and elevated urinary ET-1 (uET-1) levels may correlate with
progression of renal dysfunction. The study objectives were to determine whether
uET-1 levels correlate with renal function parameters and/or fetal growth
restriction, and if uET-1 is a potential neonatal AKI biomarker. METHODS: Sixty
three neonates were enrolled and divided into gestational age (GA) groups by
weeks: 1) (24-30 6/7; n = 24); 2) (31-36 6/7; n = 26); and 3) (37-42; n = 13).
Additional preterm subgroups for fetal growth restriction analysis included: 1)
Appropriate for GA (AGA; n = 40), and 2) Small for GA (SGA; n = 10). ET-1 levels,
measured using enzyme linked immunosorbent assay, were collected at birth (cord
blood) and 24 h ( +/- 4) of life (blood/urine). RESULTS: No correlation was found
between uET-1 and blood plasma levels at birth (r = 0.15; p > 0.05) or 24 h (r =
0.17; p > 0.05). uET-1 negatively correlated with GA (r = -0.44; p < 0.001) and
GFR (r = -0.34; p < 0.01). uET-1 levels did not correlate with creatinine (r =
0.13; p > 0.05), BUN (r = 0.19; p > 0.05), BUN/Cr ratio (r = 0.15; p > 0.05), or
urinary output (r = 0.12; p > 0.05). In fetal growth restriction subgroup
analyses: uET-1 levels negatively correlated with GFR in the PT-AGA subgroup (r =
-0.38; p = 0.017), but not with PT-SGA (r = 0.01; p > 0.05). CONCLUSION: Plasma
and uET-1 levels did not correlate; therefore, renal ET-1 excretion may reflect
renal ET-1 production. uET-1 levels correlated negatively with GA and GFR. uET-1
may be a marker of impaired neonatal circulatory regulation and consequent renal
injury.
PMID- 27197928
TI - PIVKA-II correlates with INR but not protein C or protein S concentrations in
cord blood among newborns.
AB - BACKGROUND: Protein induced by vitamin K absence (PIVKA)-II, inactive precursor
of prothrombin, is elevated in vitamin K (VK) deficiency. Our aims were to find
the prevalence of VK deficiency in neonates, assess the utility of international
normalized ratio (INR) as a screening tool, and explore the relationship between
PIVKA-II, activated partial thromboplastin time (aPTT) and VK dependent
anticoagulants. METHODS: INR, aPTT, PIVKA-II, and proteins C and S activities
were measured in neonatal cord blood prior to VK administration. RESULTS: We
found 45% of neonates had subclinical VK deficiency based on PIVKA-II levels and
7% based on INR. Receiver operating characteristic (ROC) analysis assessed the
utility of INR in detecting >4 ng/mL of PIVKA-II and ROC of the area under the
curve was 0.70 (95% CI 0.46-0.92, p = 0.07). Proteins C and S activities were
normal for age and did not correlate with PIVKA-II [(r = 0.40, p = 0.14) and (r =
0.29, p = 0.29), respectively]. There was no association between aPTT and PIVKA
II (p = 0.83). CONCLUSION: PIVKA-II seems to be a sensitive indicator of mild VK
deficiency. Further studies are needed to investigate the lack of relationship
between PIVKA-II and functional protein C or S levels.
PMID- 27197929
TI - Therapeutic hypothermia for infants with hypoxic ischemic encephalopathy: A five
years' single center experience in Kuwait.
AB - OBJECTIVE: We aimed to evaluate the effect of therapeutic hypothermia (TH) on
brain MRI findings, neurological outcome, and mortality in patients with
perinatal hypoxic ischemic encephalopathy (HIE) and compare between two
modalities of TH: whole body cooling (WBC) and selective head cooling (SHC).
PATIENTS AND METHODS: Sixty-two inborn babies with HIE admitted to the NICU of a
Kuwaiti teaching hospital between 2006 and 2013 were retrospectively reviewed.
Patients were divided into two groups: group 1 (2006-2008, n = 30) never received
hypothermia, and group 2 (2009-2013, n = 32) treated with hypothermia. Group 2
patients were chronologically divided into two subgroups: 2a, the SHC, (2009
2010, n = 15) and 2b, the WBC, (2011-2013, n = 17). Brain MRI taken 7-10 days
after birth, neurological status and mortality at time of hospital discharge were
evaluated. RESULTS: Patients who received TH showed significantly fewer MRI
hypoxic changes (P = 0.04) and had better neurological outcome. Their need for
anticonvulsants diminished (P = 0.04). However, their need for inotropes and
duration of mechanical ventilation were increased (P = 0.001 & 0.02
respectively). No significant difference in mortality was found between the two
groups (P = 0.6). In regression analysis, only MRI hypoxic changes predicted the
occurrence of neurological abnormalities (P = 0.001). No difference in brain MRI
findings, neurological outcome and mortality was observed between subgroups 2a
and 2b (P > 0.05). CONCLUSION: TH improved the neurological outcome of HIE
patients but had no effect on mortality. There was no difference between the two
modalities of TH on patients' outcome.
PMID- 27197930
TI - Perinatal outcome comparing triplets and singleton births at a reference
maternity hospital.
AB - OBJECTIVE: The objective of the present study was to evaluate adverse perinatal
outcome in a group of high order pregnancies pared with singletons by BW and GA
at birth. METHODS: Data was reviewed for all admissions of triplets and
quadruplets in a 7 year period. For each study neonate we selected two singleton
infants to constitute a control group. Variables analyzed included: respiratory
distress syndrome, patent ductus arteriosus, intraventricular hemorrhage,
necrotizing enterocolitis (NEC), bronchopulmonary dysplasia, retinopathy of
prematurity and periventricular leukomalacia. RESULTS: We studied a total of 128
multiple and 260 singleton infants. Mean gestational age and birth weight were
similar in both groups (31.3 +/- 2,5 wks e 31.5 +/- 2,8 wks; 1470 +/- 461 g vs
1495 +/- 540 g). There was no significant difference between the groups in the
majority of main morbidities. The incidence of NEC was higher in triplets (6.3 vs
0.8%, p value <0.01). Mortality was higher in singletons (9.6 vs 3.1%, p value
<0.037). CONCLUSIONS: Results show that major neonatal outcomes are very similar
between multiples and singletons births when paired by gestational age and birth
weight. NEC remained a significant morbidity in infants born from multiple
gestations after adjustment for maternal and neonatal risk factors.
PMID- 27197931
TI - Noninvasive inhaled nitric oxide for persistent pulmonary hypertension of the
newborn: A single center experience.
AB - INTRODUCTION: Nitric oxide is a potent, selective pulmonary vasodilator that has
been proven to decrease pulmonary vascular resistance and has been part of the
treatment arsenal for persistent pulmonary hypertension of the newborn (PPHN). In
2009, the approach to the administration of inhaled nitric oxide (iNO) at Winnie
Palmer Hospital for Women and Babies (WPH) changed to emphasize avoiding invasive
ventilation while maintaining optimal ventilation to perfusion ratio, avoiding
hyperventilation and alkalosis agents, and avoiding hyperoxemia and hyperoxia
exposure. Our aim is to describe the outcomes of babies whose primary treatment
for PPHN was noninvasive (NIV) iNO. METHODS: A retrospective chart review of
neonates born at WPH from October 1, 2009 through October 1, 2014. INCLUSION
CRITERIA: >34 weeks' gestation, echocardiographic evidence of PPHN within the
first week of life, and NIV iNO as the primary treatment. RESULTS: Twenty-four
babies met criteria: 21 solely treated noninvasively, 3 required invasive
support. Supplemental oxygen need was >=50% for 21 babies pre-iNO treatment and
dropped to <30% for all babies post-iNO. Average exposure to supplemental oxygen
was 6.3 days. Mean duration of iNO administration was 2.5 days. Average length of
stay was 14 days. All babies survived. CONCLUSION: Our review revealed a low
incidence of escalation to invasive ventilation. Non-invasive iNO was found to be
an effective and well-tolerated frontline approach for treating PPHN in near-term
and term infants with an intact respiratory drive. Further studies could provide
the necessary evidence on clinical outcomes as well as cost effectiveness to
guide best practice.
PMID- 27197922
TI - Prospects for Creation of Cardioprotective and Antiarrhythmic Drugs Based on
Opioid Receptor Agonists.
AB - It has now been demonstrated that the MU, delta1 , delta2 , and kappa1 opioid
receptor (OR) agonists represent the most promising group of opioids for the
creation of drugs enhancing cardiac tolerance to the detrimental effects of
ischemia/reperfusion (I/R). Opioids are able to prevent necrosis and apoptosis of
cardiomyocytes during I/R and improve cardiac contractility in the reperfusion
period. The OR agonists exert an infarct-reducing effect with prophylactic
administration and prevent reperfusion-induced cardiomyocyte death when ischemic
injury of heart has already occurred; that is, opioids can mimic preconditioning
and postconditioning phenomena. Furthermore, opioids are also effective in
preventing ischemia-induced arrhythmias.
PMID- 27197932
TI - Chronic lung disease in very low birth weight infants: Persistence and
improvement of a quality improvement process in a tertiary level neonatal
intensive care unit.
AB - OBJECTIVE: We previously demonstrated a significant reduction in our incidence of
chronic lung disease in our NICU using potentially better practices of avoiding
delivery room endotracheal intubation and using early nasal CPAP. We sought to
demonstrate whether these improvements were sustained and or improved over time.
STUDY DESIGN: We conducted a retrospective, cross-sectional analysis of infants
501-1500 grams born at our hospital between 2005 and 2013. Infants born during
the 2005-2007, 2008-2010 and 2011-2013 epochs were grouped together,
respectively. Descriptive analysis was conducted to determine the number and
percent of maternal and neonatal characteristics by year grouping. Chi-squared
tests were used to determine whether there were any statistically significant
changes in characteristics across year groupings.. Two outcome variables were
assessed: a diagnosis of chronic lung disease based on the Vermont Oxford Network
definition and being discharged home on supplemental oxygen. RESULTS: There was a
statistically significant improvement in the incidence of chronic lung disease in
infants below 27 weeks' gestation in the three year period in the 2011-2013
cohort compared with those in the 2005-2007 cohort. We also found a statistically
significant improvement in the number of infants discharged on home oxygen with
birth weights 751-1000 grams and infants with gestational age less than 27 weeks
in the 2011-2013 cohort compared to the 2005-2007 cohort. CONCLUSIONS: We
demonstrated sustained improvement in our incidence of CLD between 2005 and 2013.
We speculate that a multifaceted strategy of avoiding intubation and excessive
oxygen in the delivery room, the early use of CPAP, as well as the use of volume
targeted ventilation, when needed, may help significantly reduce the incidence of
CLD.
PMID- 27197933
TI - The antecedents and correlates of necrotizing enterocolitis and spontaneous
intestinal perforation among infants born before the 28th week of gestation.
AB - OBJECTIVE: To identify antecedents of "medical" necrotizing enterocolitis (mNEC),
"surgical" NEC (sNEC), and spontaneous intestinal perforation (SIP) in newborns
delivered before 28 weeks gestation. STUDY DESIGN: Prospective multicenter cohort
study. During study period, 2002- 2004, women delivering before 28 weeks
gestation at one of 14 participating institutions were enrolled. Well defined
antenatal and postnatal variables were collected. Bivariate analyses were
performed to identify candidates for developing multinomial multivariable time
oriented logistic regression models. RESULTS: Of the 1320 infants, 5% had mNEC,
6% had sNEC, and 4% had SIP. Antecedents of mNEC included mother's identification
as Black, consumption of aspirin during the pregnancy, and vaginal bleeding after
the 12th week of gestation. For sNEC the antecedents were maternal self- support,
obesity and anemia during the pregnancy, birth before the 24th week, birth weight
<=750gm, and receipt of fresh frozen plasma (FFP) during the first postnatal
week. An infant was at increased risk of SIP if the placenta had increased
syncytial knots, birth occurred before the 24th week, and received FFP during the
first week. CONCLUSIONS: Maternal and neonatal characteristics might help
identify at-risk ELGANs for NEC and SIP, who then may potentially benefit from
targeted preventive strategies.
PMID- 27197934
TI - Prenatal diagnosis of sub-microscopic partial trisomy 10q using chromosomal
microarray analysis in a phenotypically abnormal fetus with normal karyotype.
AB - Partial trisomy of the 10q region was originally reported in 1979 [1]. For 25
years, the diagnosis was made microscopically based on large, visible insertions
in the region identified by karyotype analysis. Previous case reports have
included both unbalanced translocations and large duplications/insertions in the
10q region [2]. Probands with partial trisomy 10q syndrome often have an abnormal
phenotype that may include developmental delay [3-5], craniofacial abnormalities
[3, 5], talipes (clubfoot) [2], microcephaly [2-4], or congenital heart disease
[2-6]. Prenatal diagnoses by karyotype have been made following ultrasound
diagnosis of sacrococcygeal teratoma [7], renal pyelectasis [3, 8-10], and other
fetal abnormalities [4]. In this case, we report the first prenatal diagnosis of
partial trisomy 10q (10q22.3-10q23.2) with a normal karyotype and an abnormal
chromosomal microarray analysis (CMA). This is the smallest copy number variant
(CNV) (7.5 Mb) in the 10q22.3-10q23.2 regions yet reported.
PMID- 27197935
TI - Ultrasound cervical length measurement in prediction of labor induction outcome.
AB - Induction of labor is one of the most common procedures in modern obstetrics,
with an incidence of approximately 20% of all deliveries. Not all of these
inductions result in vaginal delivery; some lead to cesarean sections, either for
emergency reasons or for failed induction. That's why, It seems necessary to
outline strategies for the improvement of the success rate of induced deliveries.
Traditionally, the identification of women in whom labor induction is more likely
to be successful is based on the Bishop score. However, several studies have
shown it to be subjective, with high variation and a poor predictor of the
outcome of labor induction. Transvaginal sonography for cervical measurement can
be a more objective criterion in assessing the success of labor induction. Many
studies have been done recently to compare cervical measurement and Bishop Score
in labor induction.This paper reviewed the literature that evaluated sonographic
cervical length measurement to predict induction of labor outcome.
PMID- 27197936
TI - Pulmonary interstitial glycogenosis in a patient with trisomy 21.
AB - Pulmonary interstitial glycogenosis is an interstitial lung disease of childhood
that has been increasingly reported over the past decade. Here, we present a case
of pulmonary interstitial glycogenosis associated with trisomy 21, pulmonary
arterial hypertension, and congenital heart disease in a 34 week premature
infant.
PMID- 27197937
TI - Obstetric hemorrhage survey: Attitudes and practices of maternal-fetal medicine
fellows.
AB - OBJECTIVE: To evaluate experiences related to obstetric hemorrhage and suspected
abnormal placentation among first year maternal-fetal medicine fellows. STUDY
DESIGN: A cross-sectional anonymous survey was administered at the Society for
Maternal-Fetal Medicine fellow retreat in March 2013. Fellows were asked about
management strategies that reflected both their individual and institutional
practices. RESULTS: There was a 56% response rate (55/98). In cases of postpartum
hemorrhage due to uterine atony, there was variable use of the uterine tamponade
device. The median incremental time for balloon deflation was every 5 hours (IQR
= 2-12). Compared to the east coast, fellows from the west coast performed more
hysterectomies (mean+/-SD; 2.9+/-2.4 vs. 1.2+/-1.2, p = 0.004). During a
peripartum hysterectomy, 29% of fellows used a handheld cautery device such as
Ligasure(r) or Gyrus(r). Fifty-six percent responded that their institution never
recommend planned delayed hysterectomies for abnormal placental implantation.
CONCLUSION: There is wide variation in practice among first year maternal-fetal
medicine fellows in management of peripartum hysterectomy and postpartum
hemorrhage.
PMID- 27197938
TI - Routine fecal occult blood testing does not predict necrotizing enterocolitis in
very low birth weight neonates.
AB - OBJECTIVE: To determine sensitivity, specificity, predictive value of routine
fecal occult blood (FOB) testing on the identification of Bell's Stage II or III
necrotizing enterocolitis (NEC) in very low birth weight (VLBW) infants. METHODS:
Retrospective medical record review of VLBW infants from 2012- 2013 evaluating
FOB results and clinical and demographic risk factors. We determined predictive
values of positive FOB testing within 48 hours of definite NEC diagnosis. We
performed logistic regression analyses for predictors of NEC and for predictors
of having positive FOB during NICU admission. RESULTS: The incidence of NEC in
our cohort of 203 infants was 3.9% (n = 8). None had positive FOB results within
48 hours of diagnosis, and only 12.5% had any positive FOB within 7 days.
Sensitivity of positive FOB for predicting definite NEC = 0%, specificity =
34.4%, and positive predictive value = 0%. A majority of VLBWs (67.0%) had > one
positive FOB result during their NICU course. On logistic regression,
intrauterine growth restricted (IUGR) infants had significantly higher odds of
both developing NEC and of having positive FOB. Positive FOB was not a
significant predictor of NEC. Those with lower birth gestational ages had higher
odds of positive FOB. CONCLUSIONS: Positive FOB testing occurred in a majority of
VLBW infants, with higher odds in the more preterm and IUGR. However, the
sensitivity, specificity, and predictive value of routine FOB testing for
identifying NEC were all very poor. Our data demonstrates that this test offers
no advantages in the early diagnosis of NEC.
PMID- 27197939
TI - Use of Doppler velocimetry in diagnosis and prognosis of intrauterine growth
restriction (IUGR): A Review.
AB - Intrauterine growth restriction (IUGR) is a condition which has been difficult to
assess at an early stage, resulting in the delivery of children who have poor
genetic growth potential. Currently, IUGR classification is based upon the system
of ultrasound biometry. Doppler velocimetry allows the measurement of hemodynamic
flow of major fetal vessels, comparing the flow indices and patterns of normal
and IUGR cases. In this review, the effectiveness of Doppler velocimetry in
assessing blood flow in major vessels including the umbilical artery, ductus
venosus, and middle cerebral artery was studied for both diagnostic and
prognostic screening of IUGR. The umbilical artery is the most frequently studied
vessel in Doppler velocimetry due to its accessibility and the strength of its
associations with fetal outcomes. Abnormalities in the ductus venosus waveform
can be indicative of increased resistance in the right atrium due to placental
abnormalities. The middle cerebral artery is the most studied fetal cerebral
artery and can detect cerebral blood flow and direction, which is why these three
vessels were selected to be examined in this context. A potential mathematical
model could be developed to incorporate these Doppler measurements which are
indicative of IUGR, in order to reduce perinatal mortality. The purpose of the
proposed algorithm is to integrate Doppler velocimetry with biophysical profiling
in order to determine the optimal timing of delivery, thus reducing the risks of
adverse perinatal outcomes.
PMID- 27197940
TI - Heavy metal resistance and virulence profile in Pseudomonas aeruginosa isolated
from Brazilian soils.
AB - Pseudomonas aeruginosa is an opportunistic pathogen, which can have several
virulence factors that confer on it the ability to cause severe, acute and
chronic infections. Thus, the simultaneous occurrence of resistance to
antibiotics and heavy metals associated with the presence of virulence genes is a
potential threat to human health and environmental balance. This study aimed to
investigate the resistance profile to heavy metals and the correlation of this
phenotype of resistance to antimicrobials and to investigate the pathogenic
potential of 46 P. aeruginosa isolates obtained from the soil of five Brazilian
regions. The bacteria were evaluating for antimicrobial and heavy metal
resistance, as well as the presence of plasmids and virulence genes. The isolates
showed resistance to four different antibiotics and the majority (n = 44) had
resistance to aztreonam or ticarcillin, furthermore, 32 isolates showed
concomitant resistance to both of these antibiotics. A high prevalence of
virulence genes was found, which highlights the pathogenic potential of the
studied environmental isolates. Moreover, a high frequency of heavy metal
resistance genes was also detected, however, the phenotypic results indicated
that other genes and/or mechanisms should be related to heavy metal resistance.
PMID- 27197941
TI - Five-year extended follow-up status of 10 patients with Dravet syndrome treated
with fenfluramine.
AB - Dravet syndrome (DS) is a rare and therapy-resistant epilepsy syndrome. A
retrospective analysis of add-on fenfluramine treatment in 12 patients with DS
was published in 2012 and provided evidence of a meaningful long-term response.
Herein we present the results of a subsequent 5-year prospective observation of
this original cohort. Ten patients with a mean current age of 24 years were
followed prospectively from 2010 until 2014. The mean current dose of
fenfluramine was 0.27 mg/kg/day, with a mean treatment duration of 16.1 years.
Seizure frequency was derived from a seizure diary. Cardiac examinations and
assessments of clinical effectiveness and adverse events were performed at least
annually. Three patients were seizure-free for the entire 5 years, and an
additional four patients experienced seizure-free intervals of at least 2 years.
Fenfluramine was generally well-tolerated. Two patients had mild (stable) valve
thickening on the last echocardiography that was deemed clinically insignificant.
No patient had any clinical or echocardiographic signs of pulmonary hypertension.
These findings support the long-term control of convulsive seizures by low-dose
fenfluramine while being well tolerated in this cohort of patients with DS. After
up to 27 years of treatment, no patient has developed any clinical signs or
symptoms of cardiac valvulopathy or pulmonary hypertension.
PMID- 27197942
TI - Self-Assembly Directed Organization of Nanodiamond During Ionic Liquid
Crystalline Polymer Formation.
AB - The UV-initiated free radical polymerization of a lyotropic mesophase prepared by
co-assembly of an aqueous mixture of an ionic liquid (IL) monomer, 3-decyl-1
vinylimidazolium chloride, in a dimethyl sulfoxide dispersion of an IL-monomer
nanodiamond conjugate yields a well-ordered 2D hexagonally structured network
polymer composite. The IL monomer is covalently bound to carboxylated detonation
diamond via ester-linked 3-decyl-1-vinylimidazolium bromide. Successful
preparation of the amphiphile-functionalized nanodiamond is determined by ATR/FT
IR, thermogravimetric analysis, and small-angle X-ray scattering (SAXS).
Mesophase and composite structure are evaluated by SAXS, revealing a columnar
architecture composed of amphiphilic ionic liquid cylinders containing solvent
rich cores. Self-assembly directed site localization of the nanodiamond positions
the particles in the alkyl chain continuum upon polymerization. The composite
reversibly swells in ethanol allowing structural variation and modulation of the
nanoparticle internal packing arrangement. This work demonstrates that through
careful molecular design, self-organization and site-directed assembly of
nanodiamond into chemically distinct regions of a nanostructured organogel can be
achieved.
PMID- 27197943
TI - Episodic Headache and Arachnoid Cyst Related Subdural Hematoma.
PMID- 27197944
TI - How Electronic Excitation Can be Used to Inhibit Some Mechanisms Associated to
Substituent Effects.
AB - Despite the fact that transferability and chemistry go hand in hand,
transferability studies in electronically excited states (EESs) are normally
omitted, although these states are becoming extremely important in modern
processes and applications. In this work, it is shown that this kind of studies
can be used to understand how substituent effects can be modified in EESs. Thus,
for example, the analysis of the carbonyl oxygen transferability in different HCO
R molecules allowed us to find that the nO->piCO* excitation can be used to break
the pi conjugation associated to the resonance substituent effect. Moreover, as a
direct consequence, the oxygen transferability is enhanced in the first
electronically excited state.
PMID- 27197945
TI - The Flint Animal Cancer Center (FACC) Canine Tumour Cell Line Panel: a resource
for veterinary drug discovery, comparative oncology and translational medicine.
AB - Mammalian cell tissue culture has been a critical tool leading to our current
understanding of cancer including many aspects of cellular transformation, growth
and response to therapies. The current use of large panels of cell lines with
associated phenotypic and genotypic information now allows for informatics
approaches and in silico screens to rapidly test hypotheses based on simple as
well as complex relationships. Current cell line panels with large amounts of
associated drug sensitivity and genomics data are comprised of human cancer cell
lines (i.e. NCI60 and GDSC). There is increased recognition of the contribution
of canine cancer to comparative cancer research as a spontaneous large animal
model with application in basic and translational studies. We have assembled a
panel of canine cancer cell lines to facilitate studies in canine cancer and
report here phenotypic and genotypic data associated with these cells.
PMID- 27197947
TI - Liver transplantation in Spain.
AB - Liver transplantation (LT) activity started in Spain in 1984 and has exceeded
23,700 interventions, with more than 1000 transplants performed yearly. Every
hospital needs official authorization to perform a LT, which implies the
obligation to register all patients on the national waiting list. The Spanish
National Transplant Organization (ONT) provides essential support for organ
procurement, allocation, and management of the waiting list at a national level.
Liver allocation is center-oriented as all available organs are referred to the
ONT for the whole country. The allocation rules for LT are made according to
disease severity after consensus among professionals from every transplant center
and ratified by representatives of the regional health authorities. Authorization
and location/distribution of transplant centers are regulated by the country
(Spain) and by the different regions according to the Real Decreto 1723/2012. For
a total population of 47,850,795 inhabitants, there are 24 centers for LT for
adults (1 team/2 million people) and 5 for LT for children (1 team/9.5 million
people). Nonbiliary cirrhosis, particularly alcohol- and hepatitis C virus
related cirrhosis (60%), and tumors, mainly hepatocellular carcinoma (19%), are
the most common indications for LT in Spain. Unusual causes of LT include
metabolic diseases like Wilson's disease, familial amyloid polyneuropathy and
hyperoxaluria type I, polycystic kidney and liver disease, and some tumors
(epithelioid hemangioendothelioma and neuroendocrine tumors). Important efforts
are now being undertaken to improve the quality and transplantability of extended
criteria livers, in particular those arising from DCD, which represent the
greatest opportunity to expand the donor pool. These efforts have to be addressed
to adapt the organ preservation procedures, be it through the application of
regional perfusion in situ or the use of machine perfusion preservation ex situ.
Liver Transplantation 22 1259-1264 2016 AASLD.
PMID- 27197946
TI - The Burden of Sleep Problems: A Pilot Observational Study in an Ethnically
Diverse Urban Primary Care Setting.
AB - INTRODUCTION: Sleep disorders affect up to 1 in 4 adults and can adversely affect
a variety of health conditions. However, little is known about detection of sleep
disorders in ethnically diverse urban primary care settings. METHODS: Patients in
urban primary care settings completed surveys to screen for sleep problems and
identify comorbid conditions. Providers were given screening results, and
provided feedback regarding their clinical utility. RESULTS: Participants (n =
95) were predominantly women (76.8%) and black, non-Hispanic (46.3%), or Hispanic
(38.9%). High proportion of participants screened positive for insomnia (31.6%)
and screened high risk for sleep apnea (42.1%). Only one-third (32.6%) of
participants reported sleeping the recommended 7 to 9 hours per night. The
presence of chronic pain (chi(2) = 4.97, P = .03) was associated with clinically
significant insomnia. Obesity was associated with fewer hours of sleep per night,
t = 2.19(87), P = .03, and risk for sleep apnea (OR = 3.11, 95% CI = 1.28-7.50).
Participants were interested in receiving help for sleep issues during their
primary care visits (40%), and providers found the screening at least somewhat
useful (74.4%). DISCUSSION: Results highlight the potentially high unmet need for
screening and treatment of sleep problems in ethnically diverse urban primary
care settings.
PMID- 27197948
TI - Editorial: Patent Ductus Arteriosus in Extremely Premature Neonates.
PMID- 27197949
TI - Principles of Clinical Management of Patent Ductus Arteriosus in Extremely
Preterm Neonates.
AB - The clinical management of a patent ductus arteriosus (PDA) in preterm newborns
is a controversial topic, and despite nearly three decades of research, varying
opinions remain. This dilemma stems from uncertain causal linkage between PDA and
neonatal comorbidities, as well as the lack of clear evidence showing that
benefits of treatment outweigh risks. There has been a general shift in the
management of PDA in preterm newborns from early and aggressive closure to a more
conservative approach of watchful waiting and spontaneous closure. However, a
firm recommendation cannot be made due to a lack of randomized controlled trials
validating either treatment strategies. Although cyclooxygenase inhibitors,
namely indomethacin and ibuprofen, are approved pharmacological treatments for
PDA, there is a need to explore alternative medical therapies in view of lack of
clinical response in many newborns and concerns over adverse effects. One such
recent interest is the use of acetaminophen as a pharmacological agent. This
present review tries to address the questions at hand, integrate the current
evidence, highlight the principles of PDA management in preterm newborns, and
suggest areas for possible future research.
PMID- 27197950
TI - Patent Ductus Arteriosus in the Preterm Infant: An Update on Morbidity and
Mortality.
AB - BACKGROUND: Patent ductus arteriosus (PDA) is a common factor complicating the
care of the preterm infant, but controversy remains regarding the long term
effects of PDA and iatrogenic closure of PDA. METHODS: Studies presenting data
relevant to the relationship between PDA and mortality and morbidity were
identified via a systematic literature review. These studies were classified
based on PDA exposure in the case and control groups. The data was abstracted and
summarized using linear modeling, resulting in summary estimates of mean effect
size (odds ratio). RESULTS: Recently published data suggests that a significant
relationship between PDA and mortality, bronchopulmonary dysplasia/chronic lung
disease, necrotizing enterocolitis, or retinopathy of prematurity is unlikely.
However, the data related to mortality leaves room for some debate. Quantitative
analysis of the data shows that PDA is a risk factor for intraventricular
hemorrhage and related studies suggest this risk may carry over into long term
neurological outcomes. CONCLUSION: Further efforts to better understand the
physiologic consequences of PDA and its closure in preterm infants is necessary.
A focus on new biochemical or physiologic factors that mediate or confound any
apparent effect of PDA and are themselves amenable to targeted therapy is
imperative to further progress in improving the outcomes of these patients.
PMID- 27197951
TI - Nursing Assessment, Education, and Care of Extremely Premature Neonates with
Patent Ductus Arteriosus.
AB - The care of extremely premature neonates with suspected or confirmed diagnosis of
patent ductus arteriosus (PDA) is a frequent challenge for pediatric nurses. It
is important for nurses to have adequate knowledge of the normal postnatal
changes in cardiovascular and pulmonary function to recognize any adverse
symptoms. Nurses caring for these vulnerable neonates must have a thorough
understanding of the pathophysiology of a PDA in order to assess, plan, and
implement patient-centered care. Recognition of characteristic symptoms of PDA in
a timely manner is essential for optimal management and outcomes. Understanding
the science behind treatment options is also imperative for pediatric nurses to
provide the best care and effectively educate parents. Pediatric nurses are a
significant resource in managing extremely premature neonates through
comprehensive assessment, effective parent education, and high-quality patient
centered care.
PMID- 27197952
TI - Pharmacotherapy for Patent Ductus Arteriosus: Current Options and Outstanding
Questions.
AB - Management of the patent ductus arteriosus (PDA) represents an ongoing challenge
in the care of extremely premature neonates. Determining the optimal treatment
strategy requires careful consideration of the potential risks and benefits of
available therapies. Surgical ligation results in reliable ductal closure, but
may result in numerous short-term complications and have a negative impact on
long-term outcome. Intravenous indomethacin was the first pharmacologic agent
widely utilized for PDA closure. Intravenous indomethacin effectively closes the
ductus arteriosus and prevents pulmonary hemorrhage and severe intraventricular
hemorrhage, but fails to mitigate short-term morbidities and improve long-term
outcomes. Intravenous ibuprofen represents an alternative therapy with fewer
renal adverse effects. However, intravenous ibuprofen does not prevent severe
intraventricular hemorrhage and also has concerning adverse effects, including
bilirubin displacement and the potential to increase the risk of chronic lung
disease. Enteral ibuprofen has also been investigated, although gastrointestinal
adverse effects limit widespread utilization. Acetaminophen (paracetamol)
represents an enticing novel therapy due to wide availability, low cost, and an
appealing safety profile. Ongoing investigation is required to determine the role
of this agent in PDA treatment algorithms. Pending these results, clinicians must
weigh the potential risks and benefits of each therapy for individual neonates
considering all available evidence.
PMID- 27197953
TI - Pathophysiology of Patent Ductus Arteriosus in the Preterm Infant.
AB - The ductus arteriosus is a muscular artery connecting two elastic arteries with
different resistances. It is a normal fetal structure that only becomes
pathological if it remains patent after birth. A varied clinical impact is
observed as some neonates may be asymptomatic, symptoms may be deferred until
later in life, or the infant may be overtly symptomatic and present as early as
the first days of life. Prematurity increases the likelihood of persistent ductal
patency and is seen in about 30% of preterm infants. In premature neonates,
patent ductus arteriosus (PDA) is associated with significant morbidity and
mortality [1,2]. This discussion explains the pathophysiology behind the
pathological events associated with PDA.
PMID- 27197954
TI - Surgical Intervention in Preterm Neonates with Patent Ductus Arteriosus.
AB - The ductus arteriosus is a fetal vascular connection between the pulmonary and
systemic circulations. It fails to close after birth in a small number of term
infants, and in a larger number of infants with cyanotic congenital heart
disease. In contemporary practice the majority of patients present with a patent
ductus arteriosus (PDA) are premature infants before the gestational age of 28
weeks. The surgical management of PDA in preterm infants is critical for optimal
outcomes and is discussed in this article.
PMID- 27197955
TI - Patent Ductus Arteriosus in Extreme Prematurity: Role of Echocar-diography and
Other Imaging Techniques.
AB - Clinical signs alone are unreliable in the diagnosis of patent ductus arteriosus
(PDA) in preterm infants, and therefore echocardiography remains the mainstay of
diagnosis of this common condition. Echocardiography also facilitates
understanding of the hemodynamic effects of a PDA, and thus aids in management
decisions. Several echocardiographic parameters, including duct size, maximum
ductal velocity, left atrial: aorta ratio, mitral inflow E:A ratio, and
isovolumic relaxation time, have been utilized in the assessment of PDA, but no
single measurement can be used in isolation to inform clinical judgement.
Therefore, it is important that echocardiographers on the neonatal unit have a
comprehensive understanding of available methods and their limitations. Newer
echocardiographic techniques, such as 3 Dimensional echocardiography, tissue
Doppler imaging and strain imaging, are now providing insights into myocardial
function in the adaptation of preterm infants to extra-uterine life, and into the
effects of a PDA causing systemic-to-pulmonary artery shunting. Magnetic
resonance imaging delivers excellent diagnostic information and accurate
hemodynamic evaluation; however this modality is not easily accessible for most
preterm infants, in comparison to echocardiography, which is readily available at
the cotside in most neonatal units. Further developments in echocardiography may
further refine the contribution it makes to individualized clinical
decisionmaking in the management of premature infants with PDA.
PMID- 27197956
TI - Structural grey matter changes in the substantia innominata in Alzheimer's
disease and dementia with Lewy bodies: a DARTEL-VBM study.
AB - OBJECTIVES: Several cholinergic nuclei, and in particular the nucleus basalis of
Meynert, are localised to the substantia innominata in the basal forebrain. These
nuclei provide major cholinergic innervation to the cerebral cortex and
hippocampus, and have an essential role in cognitive function. The aim of this
study was to investigate volumetric grey matter (GM) changes in the substantia
innominata from structural T1 images in Alzheimer's disease (AD), dementia with
Lewy bodies (DLB) and healthy older participants using voxel-based morphometry.
METHODS: Participants (41 DLB, 47 AD and 39 controls) underwent 3 T T1 magnetic
resonance imaging and cognitive assessments. Voxel-based morphometry analysis
used SPM8 with a substantia innominata brain mask to define the subspace for
voxel GM analyses. Group differences, and selected behavioural and clinical
correlates, were assessed. RESULTS: Compared with that in controls, bilateral GM
loss in the substantia innominata was apparent in both AD and DLB. Relative to
controls, significant bilateral GM loss in the substantia innominata was observed
in DLB and AD. In DLB, significant associations were also observed between
substantia innominata GM volume loss, and the levels of cognitive impairment and
severity of cognitive fluctuations. CONCLUSIONS: Relative to that controls,
atrophy of the substantia innominata was apparent in DLB and AD, and is
associated with specific clinical manifestations in DLB. (c) 2016 The Authors.
International Journal of Geriatric Psychiatry Published by John Wiley & Sons Ltd.
PMID- 27197958
TI - Large-scale multiplex absolute protein quantification of drug-metabolizing
enzymes and transporters in human intestine, liver, and kidney microsomes by
SWATH-MS: Comparison with MRM/SRM and HR-MRM/PRM.
AB - The purpose of the present study was to examine simultaneously the absolute
protein amounts of 152 membrane and membrane-associated proteins, including 30
metabolizing enzymes and 107 transporters, in pooled microsomal fractions of
human liver, kidney, and intestine by means of SWATH-MS with stable isotope
labeled internal standard peptides, and to compare the results with those
obtained by MRM/SRM and high resolution (HR)-MRM/PRM. The protein expression
levels of 27 metabolizing enzymes, 54 transporters, and six other membrane
proteins were quantitated by SWATH-MS; other targets were below the lower limits
of quantitation. Most of the values determined by SWATH-MS differed by less than
50% from those obtained by MRM/SRM or HR-MRM/PRM. Various metabolizing enzymes
were expressed in liver microsomes more abundantly than in other microsomes. Ten,
13, and eight transporters listed as important for drugs by International
Transporter Consortium were quantified in liver, kidney, and intestinal
microsomes, respectively. Our results indicate that SWATH-MS enables large-scale
multiplex absolute protein quantification while retaining similar quantitative
capability to MRM/SRM or HR-MRM/PRM. SWATH-MS is expected to be useful
methodology in the context of drug development for elucidating the molecular
mechanisms of drug absorption, metabolism, and excretion in the human body based
on protein profile information.
PMID- 27197957
TI - The effect of siblings and family dog ownership on children's independent
mobility to neighbourhood destinations.
AB - OBJECTIVE: To investigate the effect of sibling age, gender and dog ownership on
children's independent mobility and how this varies according to the destination
visited. METHODS: Parents reported whether their child had an older sibling; if
the child and older sibling were of the same gender; the number of older
siblings; if they owned a dog; and whether their child was allowed to
independently travel to school, friends' or family house, park/oval/sporting
field and local shop. Data were analysed for 181 children aged 8-15 years.
RESULTS: The strongest significant sibling effect for independently mobility to
school, local shop and >=3 destinations was for having an older sibling of the
same gender (p<=0.05). For independent mobility to a friend's house and park, the
strongest significant sibling effect was for having one older sibling (p<=0.05).
Dog ownership was associated with increased odds of being independently mobile to
>=3 destinations (OR=2.43; 95%CI=1.03-5.74). CONCLUSIONS: Parents may be more
likely to grant children licence to travel to local places if they are
accompanied by an older sibling or the family dog. IMPLICATIONS: Understanding
the effects of siblings and dog ownership on children's independent mobility will
assist in identifying strategies through which independent mobility can be
encouraged.
PMID- 27197960
TI - Association between variants in nicotinic acetylcholine receptor genes and
smoking cessation in a Chinese rural population.
AB - BACKGROUND AND OBJECTIVES: Genetic studies have revealed a significant
association between variants in nicotinic acetylcholine receptor (CHRN) genes and
smoking cessation, but the results are inconsistent. In this study, we aimed to
examine the relationship between single nucleotide polymorphisms (SNPs) in seven
CHRN genes and smoking cessation in a Chinese rural population. METHODS:
Participants were recruited from 17 villages of 3 counties in Shandong, China.
DNA was extracted from the blood samples. Thirty-two SNPs in seven CHRN genes
were genotyped. Logistic regression was used to explore the relationship between
single SNP and smoking cessation. Pearson's chi(2) test was performed to test the
association between haplotype and smoking cessation. RESULTS: Rs578776 (in
CHRNA3), rs660652 (in CHRNA3), and rs588765 (in CHRNA5) were significantly
related to smoking cessation. Two haplotypes were associated with smoking
cessation. CONCLUSIONS: This study confirmed the association between CHRN genes
and smoking cessation in the Chinese rural population. SCIENTIFIC SIGNIFICANCE:
Our findings provide confirmatory support to the role of CHRN genes to the
etiology of smoking cessation in the Chinese rural population. (Am J Addict
2016;25:297-300).
PMID- 27197959
TI - The Effect of Chronic Kidney Disease on Mortality with Cardiac Resynchronization
Therapy.
AB - BACKGROUND: Cardiac resynchronization therapy (CRT) improves functional status,
reduces heart failure hospitalizations, and decreases mortality. Several
comorbidities including renal function affect outcomes with CRT. However,
moderate to severe chronic kidney disease (CKD) was an exclusion criterion in the
large randomized control trials. OBJECTIVE: To evaluate the association of renal
function on survival following CRT implantation. METHODS: This was a
retrospective analysis of 432 consecutive patients implanted with an implantable
cardioverter defibrillator with CRT (CRT-D). The primary end point was defined as
death by any cause, and it was determined using hospital records and the U.S.
Social Security Death Index. A Kaplan-Meier analysis was performed separating
renal dysfunction into renal stage based on glomerular filtration rate.
Multivariate analysis was performed to assess the clinical predictors of
mortality. RESULTS: Patients were followed for up to 12 years with a mean follow
up time of 4.3 +/- 3.2 years. A total of 164 patients (39.3%) died over the
course of the study. Patients with normal and mild renal diseases (Stages 1 and
2) had improved survival compared with those with moderate-, severe-, or end
stage (Stages 3-5) renal disease. This effect remained statistically significant
after multivariate analysis. The estimated 5-year mortality was 36.3% for stage
1, 33.4% for stage 2, 40.6% for stage 3, and 62.1% for stage 4/5 kidney disease
(P = 0.004 by log-rank test). CONCLUSION: CKD is a strong and an independent
predictor of long-term mortality among patients undergoing CRT-D implantation.
PMID- 27197961
TI - Low thrombin generation during major orthopaedic surgery fails to predict the
bleeding risk in inhibitor patients treated with bypassing agents.
AB - INTRODUCTION: In the presence of high-titre inhibitors, haemostatic bypassing
agents are used to control bleeding and perform surgery. In this setting, no
specific laboratory test is yet available to guide drug choice, monitor treatment
efficacy and predict the risk of bleeding. AIM: The aims of this study, carried
out in patients candidate to orthopaedic surgery, were to assess the dose
dependent increase in thrombin generation (TG) after infusion of bypassing agents
and to evaluate whether or not a correlation existed between the haemostatic
efficacy of bypassing therapies and perioperative TG values. METHODS AND RESULTS:
TG was measured in 16 inhibitor patients, 10 of whom underwent 11 major
orthopaedic procedures. In the non-bleeding state, TG significantly improved 30
min after whichever dose (P < 0.01), with no dose-response relationship when
values obtained after different rFVIIa doses were compared. TG significantly
improved 30 min after the preoperative bolus (P < 0.05), while during the
postoperative period TG values measured before and after dosing did not differ.
Moreover, postoperative TG values were similar or even more impaired (P <= 0.05)
than those measured before preoperative dosing. No difference was found by
comparing procedures with and without bleeding complications and yet no bleeding
occurred in spite of persistently low TG values in one-third of procedures.
CONCLUSION: This study fails to support a definite role for the TG assay as a
reliable laboratory tool to monitor the haemostatic efficacy of bypassing
therapies and as a predictor of the risk of bleeding in inhibitor patients using
these agents during orthopaedic surgery.
PMID- 27197962
TI - Outcome of Jehovah's Witnesses after adult cardiac surgery: systematic review and
meta-analysis of comparative studies.
AB - BACKGROUND: The objective was to evaluate the early outcome after adult cardiac
surgery in Jehovah's Witnesses (JWs) compared with controls not refusing blood
transfusions. STUDY DESIGN AND METHODS: A literature review was performed through
PubMed, Scopus, and Google Scholar to identify any comparative study evaluating
the outcome of JWs and patients not refusing blood transfusion after adult
cardiac surgery. RESULTS: Six studies comparing the outcome of 564 JWs and 903
controls fulfilled the inclusion criteria of this study. All series included a
matched control cohort. Baseline characteristics of these two cohorts were
similar, but JWs had higher hemoglobin (Hb) levels as reported in three studies.
Pooled analysis of postoperative outcomes showed that JWs had higher
postoperative levels of Hb (data from four studies: mean, 11.5 g/L vs. 9.8 g/L; p
< 0.001) and significantly less postoperative blood loss (mean, 402 mL vs. 826
mL; p < 0.001) compared to controls. JWs and controls had similar early outcome.
However, JWs had a nonsignificant trend toward decreased early mortality (2.6%
vs. 3.6%; p = 0.318), reoperation for bleeding (3.2% vs. 4.7%; p = 0.070), atrial
fibrillation (9.9% vs. 14.3%; p = 0.056), stroke (2.2% vs. 3.1%; p = 0.439),
myocardial infarction (0.4% vs. 1.4%; p = 0.203), and length of stay in the
intensive care unit (1.5 days vs. 2.0 days; p = 0.081). CONCLUSION: JWs
undergoing adult cardiac surgery have a nonsignificant trend toward better early
outcome than controls receiving or not blood transfusions. The suboptimal quality
of available studies prevents conclusive results on the possible benefits of a
transfusion-free strategy in patients not refusing blood transfusion.
PMID- 27197963
TI - Anisotropic Slippery Surfaces: Electric-Driven Smart Control of a Drop's Slide.
AB - Anisotropic slippery surfaces composed of directional, porous, conductive poly(3
hexylthiophene) (P3HT) fibers, and silicone oil exhibit excellent anisotropic
sliding properties for several liquid droplets and the reversible control of
conductive liquid droplets sliding on these surfaces under the application of
voltage.
PMID- 27197964
TI - Introductory paragraph.
PMID- 27197966
TI - Highly variable sensitivity of five binding and two bio-assays for TSH-receptor
antibodies.
AB - PURPOSE: TSH-receptor (TSHR) antibodies (Ab) can be measured with binding or bio
assays. Sensitivity and specificity of five binding and two bio-assays were
compared. METHODS: TSHR-blocking (TBAb) and TSHR-stimulating (TSAb) Ab were
measured with reporter bio-assays. Blocking activity was defined as percent
inhibition of luciferase expression relative to induction with bTSH alone. TSAb
was reported as percentage of specimen-to-reference ratio (SRR%). TSHR-binding
inhibitory immunoglobulins (TBII) were measured with Kronus, Dynex, Kryptor,
Cobas, and Immulite. RESULTS: Sixty patients with Graves' disease (GD), 20 with
Hashimoto's thyroiditis (HT), and 20 healthy controls (C) were included. C tested
negative in all assays (specificity 100 %) while all 60 hyperthyroid GD patients
tested positive in the TSAb bio-assay (sensitivity 100 %). Among these 60 GD
patients, 20 had low TSAb positivity (SRR% 140-279), but were TBII positive in
only 20 (100 %), 7 (35 %), 9 (45 %), 11 (55 %), and 18 (90 %) using the Kronus,
Dynex, Kryptor, Cobas, and Immulite, respectively. In 20 moderate TSAb-positive
(SRR% 280-420) patients, TBII tested positive in 20 (100 %), 14 (70 %), 13 (65
%), 16 (80 %), and 19 (95 %), respectively. The high (SRR% > 420) TSAb-positive
patients were all TBII positive. All 20 hypothyroid HT patients tested TBAb
positive (sensitivity 100 %) in the bio-assay while they tested TBII positive in
20 (100 %), 18 (90 %), 20, 20, and 18, respectively. Results obtained with two
luminometers correlated for TSAb positive (r = 0.99, p < 0.001), TBAb positive (r
= 0.88, p < 0.001), and C (r = 0.86, p < 0.001). None of the binding assays
differentiated between TSAb and TBAb. CONCLUSIONS: Sensitivity is highly variable
between binding and bio-assays for TSHR-Abs.
PMID- 27197965
TI - Adding genetic risk score to family history identifies twice as many high-risk
men for prostate cancer: Results from the prostate cancer prevention trial.
AB - BACKGROUND: While family history (FH) has been widely used to provide risk
information, it captures only a small proportion of subjects with higher genetic
susceptibility. Our objective is to assess whether a genetic risk score (GRS)
calculated from prostate cancer (PCa) risk-associated single nucleotide
polymorphisms (SNPs) can supplement FH for more effective risk stratification for
PCa screening decision-making. METHODS: A GRS was calculated based on 29 PCa risk
associated SNPs for 4,528 men of European descent in the placebo arm of the
Prostate Cancer Prevention Trial (PCPT). At study entry, participants were free
of PCa diagnosis. Performance of FH and GRS were measured by observed detection
rate of PCa and high-grade PCa (Gleason score >=7) during the 7-year study.
RESULTS: GRS was a significant predictor of PCa in men with or without a positive
FH (P = 1.18 * 10(-4) and P = 4.50 * 10(-16) , respectively). Using FH alone, as
expected, the 17% of men who were FH+ had a PCa detection rate that was
significantly higher (29.02%) than FH- men (23.43%, P = 0.001). When both FH+ or
GRS >1.4 are considered, more than twice as many men (36%) can be classified as
higher risk, as evidenced by a significantly higher PCa detection rate (30.98%)
than in the remaining men (20.61%, P = 5.30 * 10(-15) ). If targeting only FH+
men, four out of five PCa cases would go undetected, as would a similarly large
fraction (~80%) of high-grade PCa cases. In comparison, if targeting FH+ or GRS
>1.4 men, almost half of all PCa cases would be detected, including 45% of high
grade PCa cases. CONCLUSIONS: A prostate cancer GRS can supplement family history
to better identify higher risk men for targeted intervention. Prostate 76:1120
1129, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27197968
TI - Short follow-up evaluation of proximal femoral varus osteotomy for treatment of
Legg-Calve-Perthes disease.
AB - BACKGROUND: There are many methods of treating Legg-Calve-Perthes disease,
including operative and nonoperative methods. Femoral varus osteotomy is one of
the surgical methods used to treat this disease, and it involves changing the
alignment of the proximal femur to improve containment of the femoral epiphysis
in the acetabulum. The aims of this study were to evaluate the results of femoral
varus osteotomy for the treatment of Perthes disease according to various
classification and grading schemes, as well as to compare the results to those
obtained using other methods of treatment reported in the literature. MATERIALS
AND METHODS: Twenty-three patients with Legg-Calve-Perthes disease were treated
using a proximal femoral varus osteotomy procedure. The mean age of the patients
was 7.8 years (range: 6-11.5 years). The average follow-up was 36.2 months
(range: 29-48 months). RESULTS: The patients were classified and graded according
to the Catterall and Herring classifications. The preoperative and postoperative
mean epiphyseal extrusion indices were as follows: group III (B), 10.88 % and
7.22 %, P = 0.027; group III (BC), 15.81 and 8.93 %, P = 0.005; group IV (C),
72.64 and 39.44 %, P = 0.018. The preoperative and the postoperative mean
Wiberg's CE angle were as follows: group III (B), 26.88 degrees and 37.81
degrees , P = 0.028; group III (BC), 24.4 degrees and 32.2 degrees , P = 0.005;
group IV (C), 20.89 degrees and 28.41 degrees , P = 0.018. Changes in Iowa
clinical hip scores were as follows: group III (B), 54.8 to 92.33, P = 0.027;
group III (BC), 47.3 to 87.8, P = 0.005; group IV (C) 34.43 to 68.29, P = 0.017.
In the last follow-up, the mean limb length discrepancy after plate removal was
0.9 cm (range: 0.0-2 cm) of shortening on the operated side. The author of the
present study did not see any progressive change in this parameter during the
follow-up period, especially after hardware removal and in the younger boys. All
of the osteotomies united within 3 months without loss of fixation. CONCLUSION:
According to the results of the present study, proximal femoral varus osteotomy
gives good results in children between the ages of 6 and 10 years without any
femoral head deformity and flattening, especially with good containment in
abduction. LEVEL OF EVIDENCE: Level IV.
PMID- 27197967
TI - Regulatory mechanisms of microRNA expression.
AB - MicroRNAs (miRs, miRNAs) are small molecules of 18-22 nucleotides that serve as
important regulators of gene expression at the post-transcriptional level. One of
the mechanisms through which miRNAs regulate gene expression involves the
interaction of their "seed" sequences primarily with 3'-end and more rarely with
5'-end, of mRNA transcribed from target genes. Numerous studies over the past
decade have been devoted to quantitative and qualitative assessment of miRNAs
expression and have shown remarkable changes in miRNA expression profiles in
various diseases. Thus, profiling of miRNA expression can be an important tool
for diagnostics and treatment of disease. However, less attention has been paid
towards understanding the underlying reasons for changes in miRNA expression,
especially in cancer cells. The purpose of this review is to analyze and
systematize current data that explains reasons for changes in the expression of
miRNAs. The review will cover both transcriptional (changes in gene expression
and promoter hypermethylation) and post-transcriptional (changes in miRNA
processing) mechanisms of regulation of miRNA expression, as well as effects of
endogenous (hormones, cytokines) and exogenous (xenobiotics) compounds on the
miRNA expression. The review will summarize the complex multilevel regulation of
miRNA expression, in relation to cell type, physiological state of the body and
various external factors.
PMID- 27197969
TI - Arterial-ventricular and interventricular interaction in isolated post-capillary
and combined pulmonary hypertension in severe mitral stenosis.
AB - BACKGROUND: Isolated post-capillary pulmonary hypertension (Ipc-PH) is
characterized by elevated left atrial pressures that are passively transmitted
upstream, whereas combined pre- and post-capillary PH (Cpc-PH) demonstrates
additional reactive changes in pulmonary vasculature. The increased load imposed
on the right ventricle (RV) influences left ventricular (LV) mechanics by means
of interventricular interaction. However, there is lack of evidence to
substantiate the effect of possible additional alterations in the arterio
ventricular (AV) coupling and their effect on LV function. Considering the
discrepant RV load in Cpc-PH and Ipc-PH, we sought to investigate whether these
two conditions are also characterized by differential alterations in AV coupling.
METHODS AND RESULTS: Invasive hemodynamic and echocardiographic data of 120
patients with PH due to severe rheumatic mitral stenosis before and immediately
after percutaneous valvulotomy, along with 40 age-matched healthy controls, were
analyzed. Effective arterial (E a) and ventricular elastance (E es) were
measured. PH patients demonstrated elevated LV afterload (E a) along with AV
uncoupling, and these derangements were more evident in the Cpc-PH group [E a:
3.3 (2.3-5.4) vs 2.6 (2.1-3.5) mmHg/mL, E a/E es: 0.73 (0.6-0.9) vs 0.88 (0.7
1.2), p < 0.05]. In addition, PH was associated with reduced LV deformation,
which was mainly determined by elevated E a, while the effect of interventricular
interaction was limited to the septal wall. CONCLUSIONS: Our results suggest that
in addition to the interventricular interaction, an abnormal AV coupling
contributes to the altered LV mechanics that has been associated with adverse
prognosis in Cpc-PH.
PMID- 27197970
TI - Adaptation and validation of the Cambridge Pulmonary Hypertension Outcome Review
(CAMPHOR) for the Netherlands.
AB - BACKGROUND: The Cambridge Pulmonary Hypertension Outcome Review (CAMPHOR) is the
first disease-specific instrument for pulmonary arterial hypertension (PAH) to
assess patient-perceived symptoms, activity limitations and quality of life. To
be able to use this questionnaire in the Netherlands, the aim of the study was to
translate and validate this instrument for the Dutch-speaking population.
METHODS: First the CAMPHOR was translated into Dutch (by means of a bilingual and
a lay panel) and field-tested by means of cognitive debriefing interviews with
ten PAH patients. For psychometric evaluation, 80 patients with PAH or chronic
thromboembolic pulmonary hypertension (CTEPH) were asked to complete the CAMPHOR
twice over a two-week period. To test for construct validity, participants also
completed the Nottingham Health Profile (NHP). RESULTS: The Dutch version of the
CAMPHOR showed high internal consistency for all scales (Cronbach's alpha 0.89
0.91) and excellent reproducibility over two weeks (reliability coefficients 0.87
0.91). Concurrent validity showed that the CAMPHOR scales correlated as expected
with the NHP scales. The CAMPHOR was able to distinguish between patient groups
based on self-reported general health status, disease severity and NYHA
classification demonstrating evidence of known group validity. The CAMPHOR
activity limitations scale correlated moderately with the distance walked during
the 6-minute walk test (r = -0.47, p < 0.01) and the symptoms scale with the Borg
dyspnoea score (r = 0.51, p < 0.01). CONCLUSION: The Dutch version of the CAMPHOR
is a reliable and valid measure of quality of life and health status in patients
with PAH and CTEPH is recommended for use in routine care and in clinical
research.
PMID- 27197971
TI - Secondhand Smoke Exposure and Smoke-Free Policy Support Among Public Housing
Authority Residents in Rural and Tribal Settings.
AB - Previous research has shown that multi-unit housing (MUH) residents are at risk
of secondhand smoke (SHS) exposure, which can transfer between units. The purpose
of this study was to determine SHS exposure and examine attitudes towards smoking
policies among public housing authority (PHA) residents in rural and tribal
settings. A self-administered questionnaire was completed by 895 adult tenants
(41 % response rate) living in PHA multiunit buildings in Montana in 2013. Our
primary outcome was tenant support of smoke-free policies; our secondary outcome
was exacerbation of child asthma symptoms due to SHS exposure. In 2014, we used
multiple logistic regression models to test associations between independent
variables and outcomes of interest. The majority (80.6 %) of respondents
supported having a smoke-free policy in their building, with support being
significantly higher among nonsmokers [adjusted odds ratio (aOR) 4.2, 95 %
confidence interval (CI) 1.5-11.6] and among residents living with children (aOR
2.9, 95 % CI 1.3-6.2). Tribal residents were as likely to support smoke-free
policies as non-tribal residents (aOR 1.4; 95 % CI 0.5-4.0). Over half (56.5 %)
of respondents reported SHS exposure in their home; residents in a building with
no smoke-free policy in place were significantly more likely to report exposure
(aOR 3.5, 95 % CI 2.2-5.5). SHS exposure was not significantly associated with
asthma symptoms. There is a significant reduction in exposure to SHS in
facilities with smoke-free policies and there is strong support for such policies
by both tribal and non-tribal MUH residents. Opportunities exist for smoke-free
policy initiatives in rural and tribal settings.
PMID- 27197972
TI - The electrolarynx as a communication tool for mechanically ventilated critically
ill patients: a prospective feasibility study.
PMID- 27197973
TI - Hospital Readmissions in a Community-based Sample of Homeless Adults: a Matched
cohort Study.
AB - BACKGROUND: Hospital readmission rates are a widely used quality indicator that
may be elevated in disadvantaged populations. OBJECTIVE: The objective of this
study was to compare the hospital readmission rate among individuals experiencing
homelessness with that of a low-income matched control group, and to identify
risk factors associated with readmission within the group experiencing
homelessness. DESIGN: We conducted a 1:1 matched cohort study comparing 30-day
hospital readmission rates between homeless patients and low-income controls
matched on age, sex and primary reason for admission. Multivariate analyses using
generalized estimating equations were used to assess risk factors associated with
30-day readmission in the homeless cohort. PARTICIPANTS: This study examined a
cohort of 1,165 homeless adults recruited at homeless shelters and meal programs
in Toronto, Ontario, between 6 December 2004 and 20 December 2005. MAIN MEASURES:
The primary outcome was the occurrence of an unplanned medical or surgical
readmission within 30 days of discharge from hospital. KEY RESULTS: Between 6
December 2004 and 31 March 2009, homeless participants (N = 203) had 478
hospitalizations and a 30-day readmission rate of 22.2 %, compared to 300
hospitalizations and a readmission rate of 7.0 % among matched controls (OR =
3.79, 95 % CI 1.93-7.39). In the homeless cohort, having a primary care physician
(OR = 2.65, 95 % CI 1.05-6.73) and leaving against medical advice (OR = 1.96, 95
% CI 0.99-3.86) were associated with an increased risk of 30-day readmission.
CONCLUSIONS: Homeless patients had nearly four times the odds of being readmitted
within 30-days as compared to low-income controls matched on age, sex and primary
reason for admission to hospital. Further research is needed to evaluate
interventions to reduce readmissions among this patient population.
PMID- 27197976
TI - Income Inequality and Child Mortality in Wealthy Nations.
AB - This chapter presents evidence of a relationship between child mortality data and
socio-economic factors in relatively wealthy nations. The original study on child
mortality that is reported here, which first appeared in a UK medical journal,
was undertaken in a school of business by academics with accounting and finance
backgrounds. The rationale explaining why academics from such disciplines were
drawn to investigate these issues is given in the first part of the chapter. The
findings related to child mortality data were identified as a special case of a
wide range of social and health indicators that are systematically related to the
different organisational approaches of capitalist societies. In particular, the
so-called Anglo-American countries show consistently poor outcomes over a number
of indicators, including child mortality. Considerable evidence has been adduced
in the literature to show the importance of income inequality as an explanation
for such findings. An important part of the chapter is the overview of a
relatively recent publication in the epidemiological literature entitled The
Spirit Level: Why Equality Is Better for Everyone, which was written by Wilkinson
and Pickett.
PMID- 27197975
TI - A Cross-Sectional Assessment of the Quality of Physician Quality Reporting System
Measures.
AB - BACKGROUND: Starting in 2015, the Center for Medicare and Medicaid Services (CMS)
requires all Medicare providers to report quality measures through Physician
Quality Reporting System (PQRS) or incur a 1.5 % financial penalty. Research
indicates that physicians believe this reporting does not lead to high quality
care; however, little research has examined what PQRS actually measures, which is
reflective of the physicians and patient disease populations being assessed.
OBJECTIVES: (1) Identify the proportion of measures that apply to different
medical specialties, types of quality measurement, and National Quality Strategy
(NQS) priorities. (2) Identify how different specialties are required to measure
quality and NQS priorities. (3) Compare the 2011 and 2015 measures. DESIGN AND
MAIN MEASURES: This was a categorical qualitative analysis of 2011 and 2015 PQRS
measures. One hundred and ninety-eight and 254 individual measures, respectively,
were analyzed by three domains: medical specialty measured, type of measure, and
NQS priority category. KEY RESULTS: Between 2011 and 2015, the type of measures
changed significantly, with fewer processes (85.4 % vs. 66.5 %, p < 0.001) and
more outcomes (12.6 % vs. 29.1 %, p < 0.001). The measures showed no significant
specialty or NQS category differences. For subcategories within each specialty in
2015, differences in measure type were statistically significant: surgery had the
highest percentage of outcomes (61.1 %) compared to 21.7 % of internal medicine
and 5.9 % of obstetrics/gynecology. For NQS categories, internal medicine had the
highest percentage of effective clinical care measures (68.5 %), compared to 22.2
% in surgery. Surgery had the highest percentage of patient safety (31.9 %) and
communication and care coordination measures (27.8 %) compared with internal
medicine (5.4 % and 6.5 %). CONCLUSIONS: Our study shows that PQRS measures
include many medical specialties and significantly more outcomes in recent years,
particularly for surgery. PQRS still lacks sufficient measures for half of NQS
priorities and sufficient outcomes to assess internal medicine and
obstetrics/gynecology. CMS must continue to improve PQRS measures to better
assess and encourage high-quality care for all Americans.
PMID- 27197974
TI - Assessing Problematic Substance Use in HIV Care: Which Questions Elicit Accurate
Patient Disclosures?
AB - BACKGROUND: Substance use is associated with higher rates of antiretroviral non
adherence and poor HIV outcomes. This study examined how HIV care providers
assess substance use, and which questions elicit accurate patient disclosures.
METHODS: We conducted a conversation analysis of audio-recorded encounters
between 56 providers and 162 patients living with HIV (PLWH) reporting active
substance use in post-encounter interviews (cocaine or heroin use in the past 30
days, > 4 days intoxicated in past 30 days, or AUDIT score >= 8). We assessed the
frequency of substance use discussion, characterized the types of questions used
by providers, and determined the frequency of accurate patient disclosure by
question type. RESULTS: In 55 reports of active substance use, providers already
knew about the use (n = 16) or patients disclosed unpromptednn = 39). Among the
remaining 155 instances of substance use in which providers had the opportunity
to elicit disclosure, 78 reports (50 %) of substance use were not discussed. Of
the remaining 77 reports in which the provider asked about substance use, 55 (71
%) patients disclosed and 22 (29 %) did not disclose. Questions were classified
as: open-ended (n = 18, "How's the drinking going?"); normalizing (n = 14, "When
was the last time you used?"); closed-ended (n = 36, "Have you used any
cocaine?"); leading towards non-use (n = 9, "Have you been clean?"). Accurate
disclosure followed 100 % of open-ended and normalizing questions, 58 % of closed
ended questions, and 22 % of leading questions. After adjusting for drug type,
closed-ended questions were 41 % less likely (p < 0.001), and 'leading' questions
78 % less likely (p = 0.016) than broad and normalizing questions to elicit
disclosures. CONCLUSION: Providers in this sample missed almost half of the
opportunities to identify and discuss substance use with PLWH. Providers can
increase the probability of patient disclosure by using open-ended or normalizing
questions that ask about the "last time" that the patient used drugs or alcohol.
PMID- 27197977
TI - Hyperbaric Oxygen Intervention Modulates Early Brain Injury after Experimental
Subarachnoid Hemorrhage in Rats: Possible Involvement of TLR4/NF-x03BA; B
Mediated Signaling Pathway.
AB - BACKGROUND/AIMS: Previous studies have proved that the activation of TLR4/NF
x03BA; B signaling pathway is involved in inflammatory processes in early brain
injury (EBI) after subarachnoid hemorrhage (SAH). Hyperbaric oxygen (HBO)
intervention has successfully been used to treat several animal models of tissue
injury via its anti-inflammation property. This study was undertaken to
investigate the influence of HBO administration on the TLR4/NF-x03BA; B signaling
pathway in rats at the early stage of SAH. METHODS: Male Sprague-Dawley rats (n =
150) were randomly divided into 5 groups: the sham, the sham + 2.8 atmospheres
absolute (ATA) HBO group, the SAH group, the SAH + 2.0ATA HBO group, the SAH +
2.8ATA HBO group. Each group (n = 30) was randomly subdivided into three
subgroups that were examined at the following time points: 24 h, 48 h and 72 h
post-injury. HBO (100% O2, 2.0ATA or 2.8ATA for 90mins) was initiated 12 h after
injury. Neurological deficit, brain edema and blood-brain barrier (BBB)
permeability were assessed to evaluate the development of EBI. The expressions of
TLR4, NF-x03BA; B and pro-inflammatory cytokines in the cortical were determined
by real time polymerase chain reaction (RT-PCR), western blot,
immunohistochemistry, or enzyme-linked immunosorbent assay (ELISA). RESULTS: Our
study showed that treatment with HBO significantly decreased the expressions of
TLR4, NF-x03BA; B and the downstream inflammatory agents, such as TNF-alpha, IL
6, IL-1beta and ICAM-1, and also improved brain edema, blood-brain barrier
permeability and neurologic function. CONCLUSIONS: These findings indicate that
HBO treatment may result in abatement of the development of EBI after SAH,
possibly through suppression of TLR4/NF-x03BA; B signaling pathway.
PMID- 27197978
TI - Behavioral Change Strategies for Improving Complementary Feeding and
Breastfeeding.
AB - Improving infant and young child feeding (IYCF) practices, including
breastfeeding and complementary feeding, has been identified as one of the most
effective interventions to improve child survival, stunting and wasting. Evidence
from randomized controlled trials suggests that effective promotion of
breastfeeding and complementary feeding, with or without food provision, has the
potential to improve IYCF practices and child nutrition. However, in many
countries, breastfeeding practices and complementary feeding practices are still
far from optimal. The lack of implementation of available, effective, affordable
interventions in scale-up programs is in part attributed to a lack of innovative,
creative and effective behavioral change strategies that enable and encourage
caregivers. Successful behavioral change strategies should be based on a rigorous
situational analysis and formative research, and the findings and insights of
formative research should be used to further design interventions that address
the identified barriers and enablers, to select delivery channels, and to
formulate appropriate and effective messages. In addition, successful behavioral
change interventions should a priori define and investigate the program impact
pathway to target behavioral change and should assess intermediary behavioral
changes and indicators to learn why the expected outcome was achieved or not
achieved by testing the program theory. The design of behavioral change
communication must be flexible and responsive to shifts in societies and
contexts. Performance of adequate IYCF also requires investments to generate
community demand through social mobilization, relevant media and existing support
systems. Applying these principles has been shown to be effective in improving
IYCF practices in Vietnam, Bangladesh and Ethiopia and is recommended to be
adopted by other programs and countries in order to accelerate progress in
improving child nutrition.
PMID- 27197979
TI - Combining Stress Exposure and Stress Generation: Does Neuroticism Alter the
Dynamic Interplay of Stress, Depression, and Anxiety Following Job Loss?
AB - OBJECTIVE: Emerging models of stress point to a dynamic formulation where
stressors and internalizing symptoms reciprocally influence each other. This
study tested whether this dynamic interplay is the result of a general
internalizing process underlying both depression and anxiety, and whether it
varies with neuroticism. METHOD: A total of 426 adults (51% female; 47% White,
42% African American) were assessed five times over 6 months following loss of
employment, using repeated measurements of stressors, depression, anxiety, and
neuroticism. RESULTS: Latent growth across 6 months and multilevel cross-lagged
regressions across 6 weeks supported the hypothesis that stressors and
internalizing symptoms have reciprocal effects after job loss. Findings for
unique variation in depression paralleled those for general internalizing,
whereas few findings emerged for general or social anxiety after controlling for
internalizing. Neuroticism strengthened the association of change in stressors
with change in symptoms across 6 months. Those with high neuroticism showed less
reduction in internalizing following reemployment and were less likely to be
reemployed when starting with higher internalizing. CONCLUSIONS: The moderated
reciprocal effects model helps account for onset, maintenance, and resolution of
symptoms following job loss. We speculate that these findings may be due in part
to differential emotion regulation and reductions in motivation.
PMID- 27197980
TI - Paroxetine use during pregnancy and the risk of cardiac defects.
PMID- 27197981
TI - Nephrology education for medical students: a narrative review.
AB - BACKGROUND: Strategies used to teach nephrology to medical students are not well
studied. This study assesses the published literature on medical student
education in nephrology. METHODS: A review of the published literature on
nephrology education for medical students was conducted on two major online
search engines (PubMed and ERIC). In addition, references of the manuscripts
discovered in these searches were reviewed. The empirical studies were
categorized by subject within nephrology and research design. RESULTS: We found
26 original studies in which a method of teaching nephrology to medical students
was described. The studies dated from 1977 to 2015. The focus of these nephrology
teaching experiences was as follows: anatomy (6.5%), physiology (22.6%),
pathophysiology (29.0%), pathology (6.5%), treatment (25.8%), and general
nephrology (9.7%). The studies were also categorized into various types of
research design. 6.9% had either no assessment of the educational experience or
had a description too vague to categorize it; 3.4% involved a survey about the
existing educational approach before a curriculum change was implemented; 55.2%
used surveys or tests after an educational course was carried out; 10.3% sought
feedback from students before and after the educational experience; 13.8% were
case studies; and 10.3% included a randomized controlled trial. The randomized
controlled trials involved teaching techniques focused on the pathophysiology of
renal disease. CONCLUSIONS: Rigor was lacking in most empirical studies on
medical student education in nephrology. Well-designed randomized controlled
studies are needed to accurately assess the effectiveness of the educational
techniques introduced into medical school curricula.
PMID- 27197982
TI - Human Senataxin Modulates Structural Plasticity of the Neuromuscular Junction in
Drosophila through a Neuronally Conserved TGFbeta Signalling Pathway.
AB - BACKGROUND: Mutations in the human Senataxin (hSETX) gene have been shown to
cause two forms of neurodegenerative disorders - a dominant form called
amyotrophic lateral sclerosis type 4 (ALS4) and a recessive form called ataxia
with oculomotor apraxia type 2 (AOA2). SETX is a putative DNA/RNA helicase
involved in RNA metabolism. Although several dominant mutations linked with ALS4
have been identified in SETX, their contribution towards ALS4 pathophysiology is
still elusive. METHOD: In order to model ALS4 in Drosophila and to elucidate the
morphological, physiological and signalling consequences, we overexpressed the
wild-type and pathological forms of hSETX in Drosophila. RESULTS AND CONCLUSIONS:
The pan-neuronal expression of wild-type or mutant forms of hSETX induced
morphological plasticity at neuromuscular junction (NMJ) synapses. Surprisingly,
we found that while the NMJ synapses were increased in number, the neuronal
function was normal. Analysis of signalling pathways revealed that hSETX
modulates the Highwire (Hiw; a conserved neuronal E3 ubiquitin ligase)-dependent
bone morphogenetic protein/TGFbeta pathway. Thus, our study could pave the way
for a better understanding of ALS4 progression by SETX through the regulation of
neuronal E3 ubiquitin pathways.
PMID- 27197983
TI - Plain radiography of the skull after investigation for raised calcium.
PMID- 27197984
TI - Rotenone Analysis by Liquid Chromatography-Tandem Mass Spectrometry with
Information-Dependent Acquisition in a Fatal Case of Rotenone Poisoning with a
Commercial Organic Insecticide Being Sold in Korea.
AB - Rotenone is a neurotoxin derived from Derris roots or yam bean of genus Derris or
Lonchocarpus It is known to cause Parkinson-like symptoms and is a potent
electron transport inhibitor. Rotenone was detected in postmortem specimens in a
fatal case of rotenone poisoning with an organic pesticide by liquid
chromatography-tandem mass spectrometry with an information-dependent acquisition
and MS-MS library search. The forensic specimens were prepared by solid-phase
extraction with a Bond Elut((r)) Certify cartridge. The mobile phase comprised 5
mM ammonium formate in 10% methanol and 5 mM ammonium formate in 90% methanol.
The assay was linear over the range from 0.01 to 1.0 mg/L (r(2) = 0.995). The
limit of detection and quantitation in the blood were 0.001 mg/L (signal-to
noise, S/N = 3) and 0.003 mg/L (S/N = 10), respectively. The intraday accuracy
and precision for rotenone that were determined by five replicates at 0.02, 0.10
and 1.0 mg/L in blood were <15.0% of bias and <9.0% of CV, respectively. The
interday accuracy and precision for rotenone that were determined by seven
replicates at 0.02, 0.10 and 1.0 mg/L in blood were <18.0% of bias and <17.0% of
CV, respectively. Relative recovery with 0.02, 0.1 and 1.0 mg/L in blood was
104.2, 103.3 and 81.6% (n = 6), respectively. The described method was applied
for the determination of rotenone in a fatal case of intoxication of a 33-year
old man who was found dead on a bed in a temporary house. In this case study, the
concentrations of rotenone in heart blood (HB), peripheral blood (PB), gastric
contents and vitreous humor were 0.77 mg/L, 0.02 mg/L, 126.4 mg/kg and 0.003
mg/L, respectively. The rotenone concentration ratio of the HB/PB was 38.8 and
that of gastric contents/PB was 6412.3, suggesting a massive ingestion of
rotenone with postmortem redistribution. This study is the report of rotenone
detection in a fatal case with the ingestion of the organic insecticide
containing rotenone.
PMID- 27197986
TI - Computational Modeling of Venous Sinus Stenosis in Idiopathic Intracranial
Hypertension.
AB - BACKGROUND AND PURPOSE: Idiopathic intracranial hypertension has been associated
with dural venous sinus stenosis in some patients, but the hemodynamic
environment of the dural venous sinuses has not been quantitatively described.
Here, we present the first such computational fluid dynamics model by using
patient-specific blood pressure measurements. MATERIALS AND METHODS: Six patients
with idiopathic intracranial hypertension and at least 1 stenosis or atresia at
the transverse/sigmoid sinus junction underwent MR venography followed by
cerebral venography and manometry throughout the dural venous sinuses. Patient
specific computational fluid dynamics models were created by using MR venography
anatomy, with venous pressure measurements as boundary conditions. Blood flow and
wall shear stress were calculated for each patient. RESULTS: Computational models
of the dural venous sinuses were successfully reconstructed in all 6 patients
with patient-specific boundary conditions. Three patients demonstrated a
pathologic pressure gradient (>=8 mm Hg) across 4 dural venous sinus stenoses.
Small sample size precludes statistical comparisons, but average overall flow
throughout the dural venous sinuses of patients with pathologic pressure
gradients was higher than in those without them (1041.00 +/- 506.52 mL/min versus
358.00 +/- 190.95 mL/min). Wall shear stress was also higher across stenoses in
patients with pathologic pressure gradients (37.66 +/- 48.39 Pa versus 7.02 +/-
13.60 Pa). CONCLUSIONS: The hemodynamic environment of the dural venous sinuses
can be computationally modeled by using patient-specific anatomy and physiologic
measurements in patients with idiopathic intracranial hypertension. There was
substantially higher blood flow and wall shear stress in patients with pathologic
pressure gradients.
PMID- 27197985
TI - Effect of CTA Tube Current on Spot Sign Detection and Accuracy for Prediction of
Intracerebral Hemorrhage Expansion.
AB - BACKGROUND AND PURPOSE: Reduction of CT tube current is an effective strategy to
minimize radiation load. However, tube current is also a major determinant of
image quality. We investigated the impact of CTA tube current on spot sign
detection and diagnostic performance for intracerebral hemorrhage expansion.
MATERIALS AND METHODS: We retrospectively analyzed a prospectively collected
cohort of consecutive patients with primary intracerebral hemorrhage from January
2001 to April 2015 who underwent CTA. The study population was divided into 2
groups according to the median CTA tube current level: low current (<350 mA) and
high current (>=350 mA). CTA first-pass readings for spot sign presence were
independently analyzed by 2 readers. Baseline and follow-up hematoma volumes were
assessed by semiautomated computer-assisted volumetric analysis. Sensitivity,
specificity, positive and negative predictive values, and accuracy of spot sign
in predicting hematoma expansion were calculated. RESULTS: This study included
709 patients (288 and 421 in the low- and high-current groups, respectively). A
higher proportion of low-current scans identified at least 1 spot sign (20.8%
versus 14.7%, P = .034), but hematoma expansion frequency was similar in the 2
groups (18.4% versus 16.2%, P = .434). Sensitivity and positive and negative
predictive values were not significantly different between the 2 groups.
Conversely, high-current scans showed superior specificity (91% versus 84%, P =
.015) and overall accuracy (84% versus 77%, P = .038). CONCLUSIONS: CTA obtained
at high levels of tube current showed better diagnostic accuracy for prediction
of hematoma expansion by using spot sign. These findings may have implications
for future studies using the CTA spot sign to predict hematoma expansion for
clinical trials.
PMID- 27197987
TI - MRI Evaluation of Non-Necrotic T2-Hyperintense Foci in Pediatric Diffuse
Intrinsic Pontine Glioma.
AB - BACKGROUND AND PURPOSE: The conventional MR imaging appearance of diffuse
intrinsic pontine glioma suggests intralesional histopathologic heterogeneity,
and various distinct lesion components, including T2-hypointense foci, have been
described. Here we report the prevalence, conventional MR imaging semiology, and
advanced MR imaging features of non-necrotic T2-hyperintense foci in diffuse
intrinsic pontine glioma. MATERIALS AND METHODS: Twenty-five patients with
diffuse intrinsic pontine gliomas were included in this study. MR imaging was
performed at 3T by using conventional and advanced MR imaging sequences.
Perfusion (CBV), vascular permeability (ve, Ktrans), and diffusion (ADC) metrics
were calculated and used to characterize non-necrotic T2-hyperintense foci in
comparison with other lesion components, namely necrotic T2-hyperintense foci, T2
hypointense foci, peritumoral edema, and normal brain stem. Statistical analysis
was performed by using Kruskal-Wallis and Wilcoxon rank sum tests. RESULTS:
Sixteen non-necrotic T2-hyperintense foci were found in 12 tumors. In these foci,
ADC values were significantly higher than those in either T2-hypointense foci (P
= .002) or normal parenchyma (P = .0002), and relative CBV values were
significantly lower than those in either T2-hypointense (P = .0002) or necrotic
T2-hyperintense (P = .006) foci. Volume transfer coefficient values in T2
hyperintense foci were lower than those in T2-hypointense (P = .0005) or necrotic
T2-hyperintense (P = .0348) foci. CONCLUSIONS: Non-necrotic T2-hyperintense foci
are common, distinct lesion components within diffuse intrinsic pontine gliomas.
Advanced MR imaging data suggest low cellularity and an early stage of
angioneogenesis with leaky vessels resulting in expansion of the extracellular
space. Because of the lack of biopsy validation, the underlying
histoarchitectural and pathophysiologic changes remain unclear; therefore, these
foci may correspond to a poorly understood biologic event in tumor evolution.
PMID- 27197988
TI - Manual Segmentation of MS Cortical Lesions Using MRI: A Comparison of 3 MRI
Reading Protocols.
AB - BACKGROUND AND PURPOSE: Double inversion recovery has been suggested as the MR
imaging contrast of choice for segmenting cortical lesions in patients with
multiple sclerosis. In this study, we sought to determine the utility of double
inversion recovery for cortical lesion identification by comparing 3 MR imaging
reading protocols that combine different MR imaging contrasts. MATERIALS AND
METHODS: Twenty-five patients with relapsing-remitting MS and 3 with secondary
progressive MS were imaged with 3T MR imaging by using double inversion recovery,
dual fast spin-echo proton-density/T2-weighted, 3D FLAIR, and 3D T1-weighted
imaging sequences. Lesions affecting the cortex were manually segmented by using
the following 3 MR imaging reading protocols: Protocol 1 (P1) used all available
MR imaging contrasts; protocol 2 (P2) used all the available contrasts except for
double inversion recovery; and protocol 3(P3) used only double inversion
recovery. RESULTS: Six hundred forty-three cortical lesions were identified with
P1 (mean = 22.96); 633, with P2 (mean = 22.6); and 280, with P3 (mean = 10). The
counts obtained by using P1 and P2 were not significantly different (P = .93).
The counts obtained by using P3 were significantly smaller than those obtained by
using either P1 (P < .001) or P2 (P < .001). The intraclass correlation
coefficients were P1 versus P2 = 0.989, P1 versus P3 = 0.615, and P2 versus P3 =
0.588. CONCLUSIONS: MR imaging cortical lesion segmentation can be performed by
using 3D T1-weighted and 3D FLAIR images acquired with a 1-mm isotropic voxel
size, supported by conventional T2-weighted and proton-density images with 3-mm
thick sections. Inclusion of double inversion recovery in this multimodal reading
protocol did not significantly improve the cortical lesion identification rate. A
multimodal approach is superior to using double inversion recovery alone.
PMID- 27197990
TI - Prognostic value of perfusion-weighted magnetic resonance imaging in acute
intracerebral hemorrhage.
AB - OBJECTIVE: This study intends to investigate the prognostic value of perfusion
weighted magnetic resonance imaging in acute intracerebral hemorrhage. METHODS:
Demographic, clinical and biochemical data between acute intracerebral hemorrhage
(AICH) and healthy volunteer groups were assessed in this study, such as rCBV and
MTT values. The optimal cutoff values of rCBV and MTT for diagnosing AICH were
determined by the ROC curves. Apart from that, we also investigated the
association between rCBV/MTT values and cerebral hematoma volumes of AICH
patients. The unconditional logistic regression was conducted to determine
significant risk factors for AICH. RESULT: AICH patients have significantly lower
rCBV and higher MTT compared to the control group (all P < 0.05). As suggested by
the relatively high sensitivity and specificity, both rCBV and MTT values could
be utilized for AICH diagnosis. Moreover, rCBV and MTT were significantly
associated with the cerebral hematoma volumes of AICH patients (all P < 0.05).
Results from unconditional logistic regression analysis revealed that MTT was a
significant risk factor for AICH (P < 0.05 and OR > 1), while rCBV is considered
as a protective factor (P < 0.05 and OR < 1). CONCLUSION: Perfusion-weighted
magnetic resonance imaging produces a high prognostic value for diagnosing AICH.
PMID- 27197989
TI - Regional Frontal Perfusion Deficits in Relapsing-Remitting Multiple Sclerosis
with Cognitive Decline.
AB - BACKGROUND AND PURPOSE: Cortical dysfunction, quantifiable by cerebral perfusion
techniques, is prevalent in patients with MS, contributing to cognitive
impairment. We sought to localize perfusion distribution differences in patients
with relapsing-remitting MS with and without cognitive impairment and healthy
controls. MATERIALS AND METHODS: Thirty-nine patients with relapsing-remitting MS
(20 cognitively impaired, 19 nonimpaired) and 19 age- and sex-matched healthy
controls underwent a neurocognitive battery and MR imaging. Voxel-based analysis
compared regional deep and cortical GM perfusion and volume among the cohorts.
RESULTS: After we adjusted for localized volumetric differences in the right
frontal, temporal, and occipital lobes, progressive CBF and CBV deficits were
present in the left middle frontal cortex for all cohorts and in the left
superior frontal gyrus for patients with cognitive impairment compared with
patients without impairment and controls. Compared with healthy controls, reduced
CBF was present in the limbic regions of patients with cognitive impairment, and
reduced CBV was present in the right middle frontal gyrus in patients with
cognitive impairment and in the temporal gyrus of relapsing-remitting MS patients
without cognitive impairment. CONCLUSIONS: Consistent regional frontal cortical
perfusion deficits are present in patients with relapsing-remitting MS, with more
widespread hypoperfusion in those with cognitive impairment, independent of
structural differences, indicating that cortical perfusion may be a useful
biomarker of cortical dysfunction and cognitive impairment in MS.
PMID- 27197993
TI - Multimodal Superparamagnetic Nanoparticles with Unusually Enhanced Specific
Absorption Rate for Synergetic Cancer Therapeutics and Magnetic Resonance
Imaging.
AB - Superparamagnetic nanoparticles (SPMNPs) used for magnetic resonance imaging
(MRI) and magnetic fluid hyperthermia (MFH) cancer therapy frequently face trade
off between a high magnetization saturation and their good colloidal stability,
high specific absorption rate (SAR), and most importantly biological
compatibility. This necessitates the development of new nanomaterials, as MFH and
MRI are considered to be one of the most promising combined noninvasive
treatments. In the present study, we investigated polyethylene glycol (PEG)
functionalized La1-xSrxMnO3 (LSMO) SPMNPs for efficient cancer hyperthermia
therapy and MRI application. The superparamagnetic nanomaterial revealed
excellent colloidal stability and biocompatibility. A high SAR of 390 W/g was
observed due to higher colloidal stability leading to an increased Brownian and
Neel's spin relaxation. Cell viability of PEG capped nanoparticles is up to 80%
on different cell lines tested rigorously using different methods. PEG coating
provided excellent hemocompatibility to human red blood cells as PEG
functionalized SPMNPs reduced hemolysis efficiently compared to its uncoated
counterpart. Magnetic fluid hyperthermia of SPMNPs resulted in cancer cell death
up to 80%. Additionally, improved MRI characteristics were also observed for the
PEG capped La1-xSrxMnO3 formulation in aqueous medium compared to the bare LSMO.
Taken together, PEG capped SPMNPs can be useful for diagnosis, efficient magnetic
fluid hyperthermia, and multimodal cancer treatment as the amphiphilicity of PEG
can easily be utilized to encapsulate hydrophobic drugs.
PMID- 27197991
TI - Identification, stress tolerance, and antioxidant activity of lactic acid
bacteria isolated from tropically grown fruits and leaves.
AB - From 6 samples of tropically grown fruits and leaves, 10 lactic acid bacteria
belonging Leuconostoc, Weissella, and Lactobacillus species were isolated and
identified by 16S rRNA gene sequencing and (GTG)5 fingerprinting. Acidification
kinetics determined from BHI broth cultures showed genus-related patterns. In
particular, Weissella cibaria appeared to act as a potent acidifier. Tolerance of
isolates to acid, oxidative, or salt stress was highly variable and strain
dependent. Isolate S14 (Leuconostoc pseudomesenteroides) growth was not affected
by the presence of 0.05% H2O2, while Lactobacillus spp. isolates (S17 and S29)
were the most tolerant to pH 4.5. The growth of 4 isolates, S5 (Leuconostoc
mesenteroides), S14 and S10 (Leuconostoc pseudomesenteroides), and S27 (W.
cibaria), was not affected by 5% NaCl. Nutritional beneficial properties were
examined through measurement of antioxidant activities of short-term fermented
pineapple juice, such as LDL oxidation and polyphenol content, and through
exopolysaccharide formation from sucrose. Two isolates, S14 and S27, increased
the antioxidant capacity of pineapple juice. The robust capacity of W. cibaria
and of Leuconostoc pseudomesenteroides for vegetable lactic fermentation aimed to
ameliorate food nutritional and functional quality was highlighted.
PMID- 27197992
TI - Multisystemic SYNE1 ataxia: confirming the high frequency and extending the
mutational and phenotypic spectrum.
PMID- 27197994
TI - Clinical Spectrum and Management of Caustic Ingestion: A Case Series Presenting
Three Opposing Outcomes.
AB - BACKGROUND: Ingestion of caustic substances is a medical emergency in both the
adult and pediatric population and is associated with high morbidity and
mortality. The extent of injuries after ingestion of caustic substances depends
on the nature, amount, and concentration of the agent and on the exposure time.
Acutely, caustic substances may cause massive hemorrhage and gastrointestinal
tract perforation; the most markedly affected cases require urgent surgical
treatment. Patients surviving the initial event may present with aorto-enteric or
gastrocolic fistulae, esophageal strictures, dysphagia, and increased risk of
esophageal cancer as long term sequelae. CASE REPORT: The features of three cases
of caustic ingestion are reported to demonstrate significantly different
complaints presented at the emergency department. Two patients had free gastric
perforation, one at presentation, and one delayed. The third patient presented
with late severe strictures of the esophagus and pylorus. The outcomes of the
three patients are discussed in detail along with the most current management
strategies. CONCLUSIONS: Among adults, ingestion of caustic substances is usually
associated with more severe lesions due to the increased amount of ingested
substance, as compared with pediatric patients. The most serious presentation is
that of visceral perforation, most commonly of the stomach and rarely of the
esophagus. Management involves urgent resuscitation with correction of fluid and
electrolyte and acid-base abnormalities and immediate surgical exploration in
those patients with signs of perforation. Once the perioperative period is
managed successfully, the long-term results can be satisfactory. Managing of
strictures or else reconstructive procedures must be well timed to allow for
psychological and nutritional rehabilitation.
PMID- 27197997
TI - Differences in Gene Regulation by Dual Ligands of Nuclear Receptors Constitutive
Androstane Receptor (CAR) and Pregnane X Receptor (PXR) in HepG2 Cells Stably
Expressing CAR/PXR.
AB - The constitutive androstane receptor (CAR) and pregnane X receptor (PXR) regulate
various genes involved in xenobiotics and drug metabolism. In many cases, CAR/PXR
share ligands termed dual ligands of CAR/PXR. It is difficult to investigate the
effect of CAR/PXR dual ligands in cell lines because CAR and PXR expression is
scarcely detected in cultured cell lines. Here, we established a tetracycline
inducible human CAR and stably human PXR-overexpressing HepG2 cell line
(HepTR/hCAR/hPXR) to examine CAR/PXR dual ligands. In the present study, we
investigated the regulation of CYP2B6, CYP2C9, CYP3A4, and UDP-glucuronosyl
transferase, which are target genes of CAR/PXR, by dual ligands of CAR/PXR in two
transfectants. Activation of CAR and PXR in cells treated with a high dose of
CITCO [6-(4-chlorophenyl)-imidazo(2,1-b)thiazole-5-carbaldehyde] or cotreated
with rifampicin and tetracycline resulted in synergistic enhancement of CYP3A4,
but not CYP2B6, CYP2C9, or UGT1A1, mRNA expression in HepTR/hCAR/hPXR cells. In
contrast, this synergistic effect was not observed in HepTR/hCAR cells. These
observations were also demonstrated in human primary hepatocytes. Taken together,
our results suggest that dual ligands of CAR/PXR show distinct gene regulation
patterns by cross-talk between CAR and PXR. Furthermore, the two newly
established cell lines are useful tools to investigate dual ligands of CAR/PXR.
PMID- 27197998
TI - DCD liver transplant infection: experience from a single centre in China.
AB - AIM: The purpose of our study was to evaluate the incidence, timing, location and
risk factors for bacterial and fungal infections after donation after cardiac
death (DCD) liver transplant and clearly delineate any relationship between
infection and survival in DCD liver transplant recipients. METHODS: We
retrospectively reviewed 257 consecutive patients undergoing DCD liver transplant
between October 2010 and May 2015 at our centre. RESULTS: A total of 133 patients
(51.8%) developed at least one bacterial or fungal infection episode. The
predominant infection site was the respiratory tract, followed by the blood
stream. Most of the infections occurred within the first week after liver
transplant (61.9%). A recipient respiratory support time greater than 7 days (p =
0.041), post-transplant hospital time greater than 24 days (p = 0.002) and renal
failure after DCD liver transplant (p = 0.039) were independent predictors of
bacterial and fungal infection. The area under the receiver operating
characteristic (ROC) curve (AUC) of the transplant infection risk assessment
model was 0.788. The 1- and 3-year survival rates for recipients without
infection were significantly increased compared with recipients with infection
(96.1% and 89.0% vs. 81.5% and 75.9%, p = 0.007). CONCLUSION: This is the first
study that offers detailed data revealing the timing and incidence of bacterial
and fungal infection among adult DCD liver transplant recipients. Bacterial and
fungal infection occurs at a high rate during the first week after DCD liver
transplant, especially in patients with prolonged respiratory support time and
renal failure, and infection is related to increased hospital stay.
PMID- 27198000
TI - Microbial epidemiology and risk factors of infections in recipients after DCD
liver transplantation.
AB - AIM: Infection is a major cause of increased mortality after a liver transplant
(LT). This study sought to identify the incidence, prevalence and risk factors of
microbial infection for recipients who underwent LT using grafts from donors
after cardiac death (DCD). METHODS: We retrospectively analysed the frequency and
characteristics of post-transplantation infections in 236 recipients who
underwent DCD LT between 1 January 2010 and 31 December 2014 in our centre and
evaluated the risk factors of post-transplantation infection. RESULTS: Overall,
162 recipients acquired at least one type of infection during hospitalisation
after LT, and the morbidity rate was 68.6%. Moreover, 19 of the 236 recipients
died, with an overall mortality rate of 8.1%. In total, 752 pathogens were
isolated. Gram-positive bacteria, Gram-negative bacteria and fungi accounted for
26.1% (196), 58.2% (438) and 15.7% (118) of the pathogens, respectively. Kaplan
Meier curves of 1-year survival showed that recipients with infection had a
significantly lower cumulative survival rate compared with those without
infection (83.2% vs. 90.6%, p < 0.05). Multivariate analysis revealed that age >
60 years (p = 0.010) and severe hepatitis (p = 0.036) were independent risk
factors for infection during hospitalisation after LT. CONCLUSION: Infection is a
common complication after a DCD-LT that could impair 1-year survival. We suggest
physicians pay more attention to the infection of recipients post-LT, especially
those recipients greater than 60 years of age and those who suffered from severe
hepatitis.
PMID- 27197999
TI - Peripheral blood CD4(+) cell ATP activity measurement to predict HCC recurrence
post-DCD liver transplant.
AB - BACKGROUND AND AIM: Hepatocellular carcinoma (HCC) recurrence after orthotopic
liver transplantation (OLT) continues to confound transplant surgeons and
physicians. There are no effective methods to predict the patients at risk for
recurrence so far although many studies have sought meaningful biomarkers. The
ImmuKnow (IMK) assay is an immune cell function assay that detects cell-mediated
immunity in an immunosuppressed population, mainly measuring peripheral blood
CD4(+) adenosine triphosphate (ATP) release. The aim of this study was to assess
the relationship between cellular immune function measured by the ImmuKnow assay
and HCC recurrence post-OLT. METHODS: A total of 76 HCC cases underwent Donation
after Cardiac Death (DCD) liver transplant, which confirmed hepatocellular
carcinoma by histology postoperatively. The ImmuKnow assay was prospectively
performed in these cases at a range of 6-36 months post-OLT. Every test was
repeated 1 week later, obtaining the average value for every patient. In
addition, every case had liver imaging findings at approximately the exam time.
RESULTS: Fifteen cases with liver imaging findings showed HCC recurrence (19.7%)
post-OLT, and the average ImmuKnow assay in these patients was 190 +/- 48 ng/ml,
which was less (p < 0.05) than in patients without HCC recurrence, whose average
ATP level was 313 +/- 90 ng/ml. ATP levels post-OLT were found to be
significantly associated with the risk of tumour recurrence. The ratio of T reg
cells and the levels of TGFbeta and IL-10 were higher in recurrence patients than
in recurrence-free patients. CONCLUSION: Greater suppression of cellular
immunity, as measured by the ImmuKnow assay, was associated with progression of
HCC recurrence post-OLT. ImmuKnow assay was helpful in determining the risk of
early recurrence of HCC postliver transplant. A pathway consisting of T reg
cells, TGFbeta and IL-10 might be the HCC recurrence-predominant pathway.
PMID- 27198001
TI - The efficacy and safety of intensified enteric-coated mycophenolate sodium with
low exposure of calcineurin inhibitors in Chinese de novo kidney transplant
recipients: a prospective study.
AB - AIMS: The aim of this study was to investigate the efficacy and safety of a
transient intensified enteric-coated mycophenolate sodium (EC-MPS) dosing regimen
with low exposure of calcineurin inhibitors (CNIs) in Chinese de novo kidney
transplantation. METHODS: In a 6-month prospective study, a total of 97
recipients were enrolled and assigned to either an intensified EC-MPS dosing (IS)
regimen or a standard EC-MPS dosing (SD) regimen. The area under the curve (AUC)
of MPA was assessed at week 1 post transplant. The incidences of acute rejection,
patient and graft survival, renal allograft function and adverse events were
analysed. RESULTS: The IS regimen displayed a trend of acute rejection risk
reduction (IS 2.7% vs. SD 13.3%, p = 0.061) and allograft function improvement
(IS 62.8 +/- 14.0 ml/min per 1.73 m(2) vs. SD 56.6 +/- 18.3 ml/min per 1.73 m(2)
, p = 0.084) after 6-month follow-up. MPA-AUC0-12 h was substantially higher in
the intensified EC-MPS group than the standard EC-MPS group, though without a
significant difference (71.4 +/- 41.7 vs. 53.0 +/- 27.0 mg.h/l, p = 0.107). The
IS regimen did not increase the incidence of adverse effects (IS 54.1% vs. 45.0%,
p = 0.39), including diarrhoea or leucopenia. CONCLUSIONS: The intensified EC-MPS
dosing regimen maintaining low-dose CNIs in this study may be beneficial for
Chinese adult de novo kidney transplant recipients in terms of acute rejection
and allograft function and is safe within 6 months post transplant.
PMID- 27198002
TI - Paediatric liver re-transplantation after primary partial liver graft
transplantation: a report of four cases.
AB - OBJECTIVE: To discuss rules of anatomic shifting of the porta hepatis structures
of the original graft and dissection techniques for the portal vein (pull-out
technique) in pediatric liver re-transplantation. METHOD: We summarized four
cases of paediatric liver re-transplantation. The main donor type is partial
liver graft especially using the left lateral lobe. RESULT: In paediatric liver
re-transplantation, gross morphological changes were observed in the liver, and
the spatial position of the porta hepatis structures was shifted clockwise (with
the anastomosis of the hepatic vein as the origin). Preoperative three
dimensional imaging showed that segment 3 of the liver graft increased in a
compensatory manner. The pull-out technique could expose the surgical field
without dissection and separation, and reduce the technical difficulty of
identifying and dissociating the anatomical structures. CONCLUSION: Changes in
the spatial location and structure of the liver portal anatomy increase the
difficulties and risks of surgery. We can reduce the difficulty of surgical
anatomy, and the risk of bleeding and injury and increase the safety of
paediatric liver re-transplantation by evaluation and the application of special
techniques.
PMID- 27198003
TI - Fast MS/MS acquisition without dynamic exclusion enables precise and accurate
quantification of proteome by MS/MS fragment intensity.
AB - Most currently proteomic studies use data-dependent acquisition with dynamic
exclusion to identify and quantify the peptides generated by the digestion of
biological sample. Although dynamic exclusion permits more identifications and
higher possibility to find low abundant proteins, stochastic and irreproducible
precursor ion selection caused by dynamic exclusion limit the quantification
capabilities, especially for MS/MS based quantification. This is because a
peptide is usually triggered for fragmentation only once due to dynamic
exclusion. Therefore the fragment ions used for quantification only reflect the
peptide abundances at that given time point. Here, we propose a strategy of fast
MS/MS acquisition without dynamic exclusion to enable precise and accurate
quantification of proteome by MS/MS fragment intensity. The results showed
comparable proteome identification efficiency compared to the traditional data
dependent acquisition with dynamic exclusion, better quantitative accuracy and
reproducibility regardless of label-free based quantification or isobaric
labeling based quantification. It provides us with new insights to fully explore
the potential of modern mass spectrometers. This strategy was applied to the
relative quantification of two human disease cell lines, showing great promises
for quantitative proteomic applications.
PMID- 27198005
TI - Exploring the implementation of poslansia, Indonesia's community-based health
programme for older people.
AB - AIM: To explore the implementation of poslansia, a community-based integrated
health service implemented across Indonesia to improve the health status of older
people through health promotion and disease prevention. METHODS: Data analysis of
307 poslansia surveyed in the 4th wave of Indonesia Family Life Survey (IFLS-4).
We examined the services provided in the programme, resources and perceived
problems. RESULTS: The services provided by poslansia focused mostly on risk
factor screening and treatment for minor illness, and less on health promotion
activities. Lack of support from community health centres, no permanent place for
holding poslansia and lack of participant interest in joining the programme were
associated with fewer services provided in the programme (P < 0.05). CONCLUSION:
The findings indicate existing support from the community, community health
centres and related institutions for poslansia is not adequate for optimal
service function. Health awareness among the older population should also be
increased for programme sustainability.
PMID- 27198004
TI - Diverse Genetic Background of Multidrug-Resistant Pseudomonas aeruginosa from
Mainland China, and Emergence of an Extensively Drug-Resistant ST292 Clone in
Kunming.
AB - For a better understanding of the multidrug resistant Pseudomonas aeruginosa (MDR
PA) epidemiology in mainland China, a nationwide surveillance network of 27
tertiary hospitals was established. Non-duplicate MDR-PA isolates from 254 cases
of nosocomial infections, were collected during the period August 2011 to July
2012. Minimum inhibitory concentrations (MICs) of nine antimicrobial agents were
determined by broth micro-dilution method according to the CLSI guidelines [M7
A10]. Genotyping analysis was performed by multilocus sequence typing (MLST) and
pulsed-field gel electrophoresis (PFGE). The presence of acquired carbapenemases
was also determined by molecular approaches for 233 carbapenem-resistant
isolates. Carbapenemase genes were detected in 19 (8.2%) isolates, with 13 of
these isolates encoding IMP-type enzymes, five with VIM-2, and one with KPC-2.
MLST analysis revealed significant genetic diversity among the MDR-PA isolates
studied, and 91 STs (including 17 novel STs) were identified. However, a long
term outbreak of an emerging extensively drug-resistant (XDR) ST292/PFGE genotype
A clone was detected in a hospital from Southwest China. This study has
demonstrated that MDR-PA in mainland China have evolved from diverse genetic
backgrounds. Evidence of clonal dissemination of the organism and nosocomial
outbreaks in some regions, suggest a need to strengthen existing infection
control measures.
PMID- 27198006
TI - Statin-induced expression change of INSIG1 in lymphoblastoid cell lines
correlates with plasma triglyceride statin response in a sex-specific manner.
PMID- 27198007
TI - Draft Genome Sequence of Streptomyces hygroscopicus subsp. hygroscopicus NBRC
16556.
AB - Here, we report the draft genome sequence of strain NBRC 16556, deposited as
Streptomyces hygroscopicus subsp. hygroscopicus into the NBRC culture collection.
An average nucleotide identity analysis confirmed that the taxonomic
identification is correct. The genome sequence will serve as a valuable reference
for genome mining to search new secondary metabolites.
PMID- 27198008
TI - Complete Genome Sequence of a Novel Avian Paramyxovirus (APMV-13) Isolated from a
Wild Bird in Kazakhstan.
AB - A novel avian paramyxovirus was identified during annual viral surveillance of
wild bird populations in Kazakhstan in 2013. The virus was isolated from a white
fronted goose (Anser albifrons) in northern Kazakhstan. Here, we report the
complete genome sequence of the isolate, which we suggest should constitute a
novel serotype.
PMID- 27198009
TI - Complete Genome Sequences of Eight Human Papillomavirus Type 16 Asian American
and European Variant Isolates from Cervical Biopsies and Lesions in Indian Women.
AB - Human papillomavirus type 16 (HPV16), a member of the Papillomaviridae family, is
the primary etiological agent of cervical cancer. Here, we report the complete
genome sequences of four HPV16 Asian American variants and four European
variants, isolated from cervical biopsies and scrapings in India.
PMID- 27198010
TI - Genome Sequence of Propionibacterium acidipropionici ATCC 55737.
AB - Propionibacterium acidipropionici produces propionic acid as its main
fermentation product. Traditionally derived from fossil fuels, environmental and
sustainable issues have revived the interest in producing propionic acid using
biological resources. Here, we present the closed sequence of Propionibacterium
acidipropionici ATCC 55737, an efficient propionic acid producer.
PMID- 27198011
TI - Complete Genome Sequence of Mycobacterium tuberculosis Clinical Isolate
Spoligotype SIT745/EAI1-MYS.
AB - Mycobacterium tuberculosis is known to cause pulmonary and extrapulmonary
tuberculosis. This organism showed special phylogeographical specificity. Here,
we report the complete genome sequence of M. tuberculosis clinical isolate
spoligotype SIT745/EAI1-MYS, which was isolated from a Malaysian tuberculosis
patient.
PMID- 27198012
TI - Chloroplast Genome Sequence of Lagerstroemia guilinensis (Lythraceae, Myrtales),
a Species Endemic to the Guilin Limestone Area in Guangxi Province, China.
AB - We announce here the first complete chloroplast genome sequence of Lagerstroemia
guilinensis (Lythraceae, Myrtales), a species endemic to the Guilin limestone
area, along with its genome structure and functional gene annotations. The plant
was collected from Guilin, Guangxi, China, and deposited as a germplasm accession
of the Zhejiang Agriculture and Forestry University Collection (ZAFU 1507144).
This genome will provide valuable information for future research of the
Lagerstroemia genus and its relatives.
PMID- 27198013
TI - First Genome Sequence of Leptospira interrogans Serovar Pomona, Isolated from a
Bovine Abortion.
AB - Leptospirosis is a widespread zoonosis and a re-emergent disease of global
distribution with major relevance in veterinary production. Here, we report the
whole-genome sequence of Leptospira interrogans serovar Pomona strain AKRFB,
isolated from a bovine abortion during a leptospirosis outbreak in Argentina.
PMID- 27198014
TI - Draft Genome Sequence of Pseudomonas fluorescens Strain ET76, Isolated from Rice
Rhizosphere in Northwestern Morocco.
AB - Pseudomonas fluorescens ET76 was isolated from rice rhizosphere in northwestern
Morocco. Its draft genome was estimated to be 6,681,652 bp with 5,789 coding
sequences (CDSs). Genes encoding for type I to VI secretion systems, PvdQ,
proteases, siderophores, hydrogen cyanide synthase, ACC-deaminase, among others,
highlight its potential use in biological control of plant pathogens.
PMID- 27198015
TI - The Draft Genome Sequence of Paenibacillus polymyxa Strain CCI-25 Encompasses
High Potential for Secondary Metabolite Production.
AB - We report here the draft genome sequence of Paenibacillus polymyxa strain CCI-25,
which displays strong antifungal and antibacterial activities in vitro The genome
encompasses nonribosomal peptide synthetases predicted to encode a tridecaptin,
polymyxin, fusaricidin, an iturin-like synthetase, a lantibiotic similar to
paenicidin A, as well as a type 1 polyketide synthase.
PMID- 27198016
TI - Genome Sequence of Serratia plymuthica A153, a Model Rhizobacterium for the
Investigation of the Synthesis and Regulation of Haterumalides, Zeamine, and
Andrimid.
AB - The rhizobacterium Serratia plymuthica A153 is a Gram-negative bacterium
belonging to the family Enterobacteriaceae Here, we present the genome sequence
of this strain, which produces multiple bioactive secondary metabolites,
including the halogenated macrolide oocydin A, the polyamino antibiotic zeamine,
and the bacterial acetyl-CoA carboxylase inhibitor andrimid.
PMID- 27198017
TI - Draft Genome Sequence of a Multidrug-Resistant Klebsiella pneumoniae Strain
Isolated from King Abdullah Medical City, Makkah, Saudi Arabia.
AB - Multidrug-resistant (MDR) Gram-negative infections represent a growing problem
and a serious global threat. Carbapenem-resistant Klebsiella pneumoniae is
perhaps cause the most difficult infection to treat and is associated with
increased morbidity and mortality. Here, we report the draft genome sequence of
an MDR K. pneumoniae strain isolated from Makkah, Saudi Arabia.
PMID- 27198019
TI - First Insights into the Genome Sequence of the Halophilic Archaeon
Halalkalicoccus paucihalophilus (DSM 24557).
AB - Halalkalicoccus paucihalophilus is an extremely halophilic, Gram-negative, and
nonmotile coccus-like archaeon, which was originally isolated from the Lop Nur
region in the northwest of China. The genome consists of a single replicon (3.98
Mbp). H. paucihalophilus is able to utilize mannose, which is unique for members
of this genus.
PMID- 27198020
TI - Draft Genome Sequence of a Novel Acidophilic Iron-Oxidizing Firmicutes Species,
"Acidibacillus ferrooxidans" (SLC66T).
AB - Here, we present the draft genome sequence of the type strain of "Acidibacillus
ferrooxidans," a mesophilic, heterotrophic, and acidophilic bacterium that was
isolated from mine spoilage subjected to accelerated weathering in humidity cell
tests carried out by the former U.S. Bureau of Mines in Salt Lake City, UT.
PMID- 27198018
TI - Complete Genome Sequence of a Marine Bacterium, Pseudomonas pseudoalcaligenes
Strain S1, with High Mercury Resistance and Bioaccumulation Capacity.
AB - Pseudomonas pseudoalcaligenes S1, a marine bacterium, exhibited strong resistance
to a high concentration of Hg(2+) and remarkable Hg(2+) bioaccumulation capacity.
Here, we report the 6.9-Mb genome sequence of P. pseudoalcaligenes S1, which may
help clarify its phylogenetic status and provide further understanding of the
mechanisms of mercury bioremediation in a marine environment.
PMID- 27198021
TI - First Insights into the Draft Genome of Clostridium colicanis DSM 13634, Isolated
from Canine Feces.
AB - Clostridium colicanis DSM 13634 is a strictly anaerobic, rod-shaped, and spore
forming bacterium. It produces acids from common sugars such as glucose and
fructose. The draft genome consists of one chromosome (2.6 Mbp) and contains
2,159 predicted protein-encoding genes.
PMID- 27198022
TI - Complete Genome Sequence of Enterococcus faecium ATCC 700221.
AB - We report the complete genome sequence of a vancomycin-resistant isolate of
Enterococcus faecium derived from human feces. The genome comprises one
chromosome of 2.9 Mb and three plasmids. The strain harbors a plasmid-borne vanA
type vancomycin resistance locus and is a member of multilocus sequencing type
(MLST) cluster ST-17.
PMID- 27198023
TI - Permanent Draft Genome Sequence of Frankia sp. Strain Allo2, a Salt-Tolerant
Nitrogen-Fixing Actinobacterium Isolated from the Root Nodules of Allocasuarina.
AB - Frankia sp. strain Allo2 is a member of Frankia lineage Ib, which is able to
reinfect plants of the Casuarinaceae family, and exhibits a high level of salt
tolerance compared to other isolates. Here, we report the 5.3-Mbp draft genome
sequence of Frankia sp. strain Allo2 with a G+C content of 70.0% and 4,224
candidate protein-encoding genes.
PMID- 27198024
TI - Draft Genome Sequence of the Oleaginous Yeast Cryptococcus albidus var. albidus.
AB - We report the complete draft genome sequence of Cryptococcus albidus var.
albidus, an oleaginous yeast, which can utilize various organic carbon sources
for lipid synthesis. Availability of this genome will help elucidate factors
driving lipid accumulation in C. albidus and contribute toward bioprocess
development and optimization for engineered lipid production.
PMID- 27198025
TI - Complete Sequence of the Smallest Polyomavirus Genome, Giant Guitarfish
(Rhynchobatus djiddensis) Polyomavirus 1.
AB - Polyomaviruses are known to infect mammals and birds. Deep sequencing and
metagenomic analysis identified the first polyomavirus from a cartilaginous fish,
the giant guitarfish (Rhynchobatus djiddensis). Giant guitarfish polyomavirus 1
(GfPyV1) has typical polyomavirus genome organization, but is the smallest
polyomavirus genome (3.96 kb) described to date.
PMID- 27198026
TI - Draft Genome Sequence of an Oxalate-Degrading Strain of Clostridium sporogenes
from the Gastrointestinal Tract of the White-Throated Woodrat (Neotoma albigula).
AB - The gastrointestinal tract of the white-throated woodrat Neotoma albigula harbors
a diverse microbial population that functions in the degradation of ingested
plant secondary compounds. Here, we present the draft genome sequence and
annotation of Clostridium sporogenes strain 8-O, a novel oxalate-degrading
bacterium isolated from the feces of N. albigula.
PMID- 27198027
TI - Draft Genome Sequence of Limnobacter sp. Strain CACIAM 66H1, a Heterotrophic
Bacterium Associated with Cyanobacteria.
AB - Ecological interactions between cyanobacteria and heterotrophic prokaryotes are
poorly known. To improve the genomic studies of heterotrophic bacterium
cyanobacterium associations, the draft genome sequence (3.2 Mbp) of Limnobacter
sp. strain CACIAM 66H1, found in a nonaxenic culture of Synechococcus sp.
(cyanobacteria), is presented here.
PMID- 27198028
TI - Draft Genome Sequence of Flavihumibacter sp. Strain CACIAM 22H1, a Heterotrophic
Bacterium Associated with Cyanobacteria.
AB - Here, we present a draft genome and annotation of Flavihumibacter sp. CACIAM
22H1, isolated from Bolonha Lake, Brazil, which will provide further insight into
the production of substances of biotechnological interest.
PMID- 27198029
TI - Genetic Characterization of Providence Virus Isolated from Bat Guano in Hungary.
AB - We report the complete genome sequence and genetic characterization of a novel
strain of Providence virus, detected in Barbastella barbastellus bat guano,
collected in Hungary in 2014. Our data may facilitate the understanding of the
evolutionary processes of this unique viral family of Carmotetraviridae.
PMID- 27198030
TI - Complete Genome Sequence of Murine Pneumotropic Virus (Polyomaviridae) Clone
pKV(37-1).
AB - The murine pneumotropic virus genome encoded by the pKV(37-1) clone was sequenced
to completion. The regulatory region harbored a mutation not previously reported.
The protein coding regions (large and small T antigens, viral proteins 1 to 3)
showed multiple regions of high amino acid identity to the human, simian, and
bovine polyomaviruses.
PMID- 27198031
TI - Draft Genome Sequence of an Aldoxime Degrader, Rhodococcus sp. Strain YH3-3.
AB - Rhodococcus sp. strain YH3-3 has been isolated as an (E)-pyridine-3-aldoxime
degrader. Here, we report the draft genome sequence of this strain, with a size
of 7,316,908 bp, average G+C content of 62.15%, and 7,281 predicted protein
coding sequences.
PMID- 27198032
TI - Draft Genome Sequence of Flavobacterium sp. Strain TAB 87, Able To Inhibit the
Growth of Cystic Fibrosis Bacterial Pathogens Belonging to the Burkholderia
cepacia Complex.
AB - We report here the draft genome sequence of the Flavobacterium sp. TAB 87 strain,
isolated from Antarctic seawater during a summer campaign near the French
Antarctic station Dumont d'Urville (60 degrees 40'S, 40 degrees 01'E). It will
allow for comparative genomics and the fulfillment of both fundamental and
application-oriented investigations. It allowed the recognition of genes
associated with the production of bioactive compounds and antibiotic resistance.
PMID- 27198033
TI - Complete Nucleotide Sequences and Genome Organization of Two Pepper Mild Mottle
Virus Isolates from Capsicum annuum in South Korea.
AB - The complete genome sequences of pepper mild mottle virus (PMMoV)-P2 and -P3 were
determined by the Sanger sequencing method. Although PMMoV-P2 and PMMoV-P3 have
different pathogenicity in some pepper cultivars, the complete genome sequences
of PMMoV-P2 and -P3 are composed of 6,356 nucleotides (nt). In this study, we
report the complete genome sequences and genome organization of PMMoV-P2 and -P3
isolates from pepper species in South Korea.
PMID- 27198034
TI - Complete Genomic Characterization of Plum bark necrosis stem pitting-associated
virus Infecting Sweet Cherry in China.
AB - Plum bark necrosis stem pitting-associated virus (PBNSPaV) causes the plum bark
necrosis stem pitting-associated disease. We obtained the complete genome of a
PBNSPaV isolate (PBNSPaV-TA) using small RNA deep sequencing followed by
overlapping RT-PCR. To our knowledge, this is the first report of a completed
genome of PBNSPaV identified from cherry trees.
PMID- 27198035
TI - Genome Sequence of Enterococcus pernyi, a Pathogenic Bacterium for the Chinese
Oak Silkworm, Antheraea pernyi.
AB - We report the draft genome assembly of Enterococcus pernyi The genome sequence is
3.09 Mb in length with a G+C content of 38.35%. It covers 3,153 genes with an
average length of 854 bp, and contains 65 tRNAs, 13 small RNAs, and 18 rRNAs.
Moreover, it contains 9 genomic islands with an average length of 14,058 bp and 3
prophages with an average length of 37,430 bp.
PMID- 27198036
TI - The effects of vitamin D, K and calcium co-supplementation on carotid intima
media thickness and metabolic status in overweight type 2 diabetic patients with
CHD.
AB - This study was conducted to examine the effects of vitamin D, K and Ca co
supplementation on carotid intima-media thickness (CIMT) and metabolic status in
overweight diabetic patients with CHD. This randomised, double-blind, placebo
controlled trial was conducted among sixty-six diabetic patients with CHD.
Participants were randomly allocated into two groups to take either 5ug vitamin
D, 90 ug vitamin K plus 500 mg Ca supplements (n 33) or placebo (n 33) twice a
day for 12 weeks. Fasting blood samples were obtained at the beginning of the
study and after the 12-week intervention period to determine related markers.
Vitamin D, K and Ca co-supplementation resulted in a significant reduction in
maximum levels of left CIMT (-0.04 (sd 0.22) v. +0.04 (sd 0.09) mm, P=0.02).
Changes in serum vitamin D (+6.5 (sd 7.8) v. +0.4 (sd 2.2) ng/ml, P<0.001), Ca
(+0.6 (sd 0.3) v. +0.1 (sd 0.1) mg/dl, P<0.001) and insulin concentrations (-0.9
(sd 3.1) v. +2.6 (sd 7.2) uIU/ml, P=0.01), homoeostasis model for assessment of
estimated insulin resistance (-0.4 (sd 1.2) v. +0.7 (sd 2.3), P=0.01), beta-cell
function (-2.1 (sd 9.0) v. +8.9 (sd 23.7), P=0.01) and quantitative insulin
sensitivity check index (+0.007 (sd 0.01) v. -0.006 (sd 0.02), P=0.01) in
supplemented patients were significantly different from those in patients in the
placebo group. Supplementation resulted in significant changes in HDL-cholesterol
(+2.7 (sd 7.0) v. -2.5 (sd 5.7) mg/dl, P=0.002), high-sensitivity C-reactive
protein (-1320.1 (sd 3758.3) v. +464.0 (sd 3053.3) ng/ml, P=0.03) and plasma
malondialdehyde concentrations (-0.4 (sd 0.5) v. -1.0 (sd 1.1) umol/l, P=0.007)
compared with placebo. Overall, vitamin D, K and Ca co-supplementation for 12
weeks among diabetic patients with CHD had beneficial effects on maximum levels
of left CIMT and metabolic status. The effect of vitamin D, K and Ca co
supplementation on maximum levels of left CIMT could be a chance finding.
PMID- 27198037
TI - Remission of Unresectable Lung Metastases from Rectal Cancer After Herbal
Medicine Treatment: A Case Report.
AB - Lung metastasis is frequent in rectal cancer patients and has a poor prognosis,
with an expected three-year survival rate of about 10%. Though western medicine
has made great strides in the curative resection of liver metastases, resection
of lung metastases has lagged far behind. Many preclinical studies have suggested
that herbal treatments block metastasis, but few clinical studies have addressed
this topic. We present the case of a 57-year-old Asian male with lung metastases
from rectal cancer. He first underwent resection of the primary lesion (stage
IIA, T3N0M0) and six cycles of adjuvant chemotherapy. Unfortunately, lung
metastases were confirmed about one year later. Palliative chemotherapy was
begun, but his disease continued to progress after three cycles and chemotherapy
was halted. The patient was exclusively treated with herbal medicine-standardized
allergen-removed Rhus verniciflua stokes extract combined with Dokhwaljihwang
tang (Sasang constitutional medicine in Korea). After seven weeks of herbal
medicine treatment, the lung metastases were markedly improved. Regression of
lung metastases has continued; also, the patient's rectal cancer has not
returned. He has been receiving herbal medicine for over two years and very few
side effects have been observed. We suggest that the herbal regimen used in our
patient is a promising candidate for the treatment of lung metastases secondary
to rectal cancer, and we hope that this case stimulates further investigation
into the efficacy of herbal treatments for metastatic colorectal cancer patients.
PMID- 27198038
TI - The Effects of a Home-Based Connective Tissue Targeting Therapy on Hip
Development in Children With Cerebral Palsy: Six Case Reports.
AB - Hip subluxation in children with Cerebral Palsy (CP) has an incidence of 10-30 %,
and children with severe CP having the highest incidence. The condition
deteriorates if left untreated. Surgery is the most common method used in
managing hip subluxation because standard conservative therapies do not improve
it. Surgery may have to be repeated and comes at a biological cost to the child.
A new home-based CAM, Advanced Biomechanical Rehabilitation (ABR), has shown
encouraging results leading to improved spinal stability and stability in sitting
in children with severe CP. This case report examines hip development over time
in six children with severe CP in the ABR Program. Changes in their clinical
picture and pelvic X-Rays are reported. ABR appeared to help stabilize and
improve hip subluxation, resulting in these children not requiring further
surgical intervention. These findings warrant further investigation of ABR as a
noninvasive therapy for hip subluxation.
PMID- 27198039
TI - The Effect of Curanderismo on Chronic Non-malignant Pain: A Case Report.
AB - This case study describes the effects of the use of curanderismo, an indigenous
healing modality combining techniques in massage, sound, and aromatherapy, on a
patient with chronic pain. Despite being a commonly used health practice in
certain populations, little is reported in the medical literature about the use
of curanderismo. Case report as part of a larger randomized trial of curanderismo
for chronic pain. Setting was a community-based hospital affiliated primary care
clinic. An adult patient with chronic, opioid dependent back pain following an
injury, and subsequent spinal fusion was treated. Intervention was the patient
received 33 curanderismo treatment sessions over 10 months in addition to ongoing
conventional treatment at a community-based chronic pain management clinic. Main
outcomes measures were self-reported assessments of pain, functional ability,
mood, insomnia, and narcotic usage. Secondary outcome measure was qualitative
interview. Although there was no change in quantitative self-reported pain
measures, the patient reported improved function, mood, and sleep as well as
decreased narcotic usage. Curanderismo, in addition to conventional pain
management, improved patient reported symptoms and functional ability, led to
healthy lifestyle changes, and decreased narcotic usage. Controlled studies are
needed to confirm the benefit of curanderismo as safe, non-interventional, and
cost-effective adjunct for chronic pain management.
PMID- 27198040
TI - Unravelling the mechanisms of a protein refolding process based on the
association of detergents and co-solvents.
AB - A new technique associating the detergent Sodium Dodecyl Sulphate (SDS) and an
alcohol-type co-solvent has been set up, showing an unexpected efficiency to
refold several types of soluble or membrane proteins. The present contribution
deepens the fundamental knowledge on the phenomena underlying this process,
considering the refolding of two model peptides featuring the main protein
secondary structures: alpha-helix and beta-sheet. Their refolding was monitored
by fluorescence and circular dichroism, and it turns out that: (i) 100% recovery
of the folded structure is observed for both peptides, (ii) the highest the SDS
concentration, the more co-solvent to be added to recover the peptides' native
structures, (iii) a high alcohol concentration is required to alter the SDS
denaturing properties, (iv) the co-solvent performance relies on its specific
lipophilic-hydrophilic balanced character, (v) the size of the micelle formed by
the detergent does not enter the process critical parameters, and (vi) increasing
the salt concentration up to 1 M NaCl has a beneficial impact on the process
efficiency. These mechanistic aspects will help us to improve the method and
extend its application. Copyright (c) 2016 European Peptide Society and John
Wiley & Sons, Ltd.
PMID- 27198041
TI - Corrigendum: Unlocking the genetic diversity of Creole wheats.
PMID- 27198042
TI - Plasma oxidative stress level of IgA nephropathy in children and the effect of
early intervention with angiotensin-converting enzyme inhibitors.
AB - AIM: The purpose of this study was to investigate the change of the plasma
oxidative stress level in children with IgA nephropathy (IgAN) and analyze its
relativity to the clinical and pathological classification. To discuss the early
effects of angiotensin-converting enzyme inhibitors (ACEIs) on the plasma
oxidative stress level in children with IgA nephropathy. METHODS: Thirty-eight
children with IgAN were divided into groups according to their clinical features,
pathologic grades, and treatments. Twenty healthy children were included in the
control group. RESULTS: The plasma level of advanced oxidation protein products
(AOPPs), malonaldehyde (MDA), and superoxide dismutase (SOD) were detected. The
plasma level of oxidative stress was significantly increased in the IgAN group,
including a higher plasma level of AOPP and MDA and a lower plasma level of SOD.
After treatment, the plasma level of oxidative stress was significantly decreased
in the ACEI group. CONCLUSIONS: The children with IgAN had an increase in the
plasma level of oxidative stress, expressed as an increased plasma level of AOPP
and MDA and a decreased plasma level of SOD. Oxidative stress was associated with
the progression of IgAN in children. Early treatment with ACEI therapy can
significantly reduce the plasma level of oxidative stress in children with IgAN.
PMID- 27198043
TI - Live single-cell laser tag.
AB - The ability to conduct image-based, non-invasive cell tagging, independent of
genetic engineering, is key to cell biology applications. Here we introduce cell
labelling via photobleaching (CLaP), a method that enables instant, specific
tagging of individual cells based on a wide array of criteria such as shape,
behaviour or positional information. CLaP uses laser illumination to crosslink
biotin onto the plasma membrane, coupled with streptavidin conjugates to label
individual cells for genomic, cell-tracking, flow cytometry or ultra-microscopy
applications. We show that the incorporated mark is stable, non-toxic, retained
for several days, and transferred by cell division but not to adjacent cells in
culture. To demonstrate the potential of CLaP for genomic applications, we
combine CLaP with microfluidics-based single-cell capture followed by
transcriptome-wide next-generation sequencing. Finally, we show that CLaP can
also be exploited for inducing transient cell adhesion to substrates for
microengineering cultures with spatially patterned cell types.
PMID- 27198044
TI - Imaging Tumor Vascularity and Response to Anti-Angiogenic Therapy Using Gaussia
Luciferase.
AB - We developed a novel approach to assess tumor vascularity using recombinant
Gaussia luciferase (rGluc) protein and bioluminescence imaging. Upon intravenous
injection of rGluc followed by its substrate coelenterazine, non-invasive
visualization of tumor vascularity by bioluminescence imaging was possible. We
applied this method for longitudinal monitoring of tumor vascularity in response
to the anti-angiogenic drug tivozanib. This simple and sensitive method could be
extended to image blood vessels/vasculature in many different fields.
PMID- 27198047
TI - From the Editor's desk...: June 2016.
AB - TREATED HEPATITIS C VIRUS (HCV) CIRRHOTIC PATIENTS CAN HAVE NORMAL LIFE
EXPECTANCY: The fact that a sustained virologic response (SVR) is associated with
an improved outcome of chronic HCV infection, has been demonstrated in several
studies by comparing patients with and without SVR. The study by Bruno et al.,
however, is the first to demonstrate that patients with compensated cirrhosis who
achieve SVR will have a life expectancy similar to that of the sex- and age
matched general population. Patients were enrolled in historical prospective
cohort studies from tertiary referral centers from Northern and Southern Italy.
They were representative of the Italian general population, allowing for adequate
comparison of patients long-term 10- and 20-year survival with national data.
Intriguing observations of a trend to worse survival was observed in patients
with compensated cirrhosis Child-Pugh class A6 as compared to A5. The incidence
of hepatocellular carcinoma (HCC) during follow-up after SVR was also not
negligible, underscoring the need for early treatment initiation, hereby
preventing cirrhosis development as the main HCC determinant.
PMID- 27198045
TI - Glycosyltransferase Gene Expression Profiles Classify Cancer Types and Propose
Prognostic Subtypes.
AB - Aberrant glycosylation in tumours stem from altered glycosyltransferase (GT) gene
expression but can the expression profiles of these signature genes be used to
classify cancer types and lead to cancer subtype discovery? The differential
structural changes to cellular glycan structures are predominantly regulated by
the expression patterns of GT genes and are a hallmark of neoplastic cell
metamorphoses. We found that the expression of 210 GT genes taken from 1893
cancer patient samples in The Cancer Genome Atlas (TCGA) microarray data are able
to classify six cancers; breast, ovarian, glioblastoma, kidney, colon and lung.
The GT gene expression profiles are used to develop cancer classifiers and
propose subtypes. The subclassification of breast cancer solid tumour samples
illustrates the discovery of subgroups from GT genes that match well against
basal-like and HER2-enriched subtypes and correlates to clinical, mutation and
survival data. This cancer type glycosyltransferase gene signature finding
provides foundational evidence for the centrality of glycosylation in cancer.
PMID- 27198046
TI - The effect of zinc supplementation on body composition and hormone levels related
to adiposity among children: a systematic review.
AB - OBJECTIVE: To provide a comprehensive synthesis of the effects of Zn
supplementation on childhood body composition and adiposity-related hormone
levels. DESIGN: Five electronic databases were searched for randomized controlled
trials of Zn supplementation studies published before 28 February 2015. No
statistical pooling of results was carried out due to diversity in study designs.
SETTING: Community- or hospital-based, from fourteen developing and developed
countries. SUBJECTS: Children and adolescents aged 0 to 10 years. RESULTS: Seven
of the fourteen studies reported an overall or subgroup effect of Zn
supplementation on at least one parameter of body composition, when determined by
anthropometric measurements (increased mid upper-arm circumference, triceps
skinfold, subscapular skinfold and mid upper-arm muscle area, and decreased BMI).
Three out of the fourteen studies reported increased mean value of total body
water estimated by bio-impedance analysis and increased fat-free mass estimated
by dual energy X-ray absorptiometry and by total body water. Zn supplementation
was associated with increased fat-free mass among stunted children. One study
found supplementation decreased leptin and insulin concentrations. CONCLUSIONS:
Due to the use of anthropometry when determining body composition, a majority of
the studies could not accurately address whether alterations in the fat and/or
fat-free mass components of the body were responsible for the observed changes in
body composition. The effect of Zn supplementation on body composition is not
consistent but may modify fat-free mass among children with pre-existing growth
failure.
PMID- 27198048
TI - Not all mice are the same: Standardization of animal research data presentation.
PMID- 27198049
TI - Corrigendum to "Profiles of HBV DNA in a large population of Chinese patients
with chronic hepatitis B: Implications for antiviral therapy" [J Hepatol
2011;54:195-200].
PMID- 27198050
TI - Corrigendum to "Alternatively activated (M2) macrophages promote tumour growth
and invasiveness in hepatocellular carcinoma" [J Hepatol 2015;62:607-616].
PMID- 27198051
TI - Erratum to "Clinical implications of basic research in hepatocellular carcinoma"
[J Hepatol 2016;64:736-745].
PMID- 27198052
TI - Decrease in total protein level of Bruton's tyrosine kinase during ibrutinib
therapy in chronic lymphocytic leukemia lymphocytes.
PMID- 27198053
TI - IKZF1 deletion is enriched in pediatric B-cell precursor acute lymphoblastic
leukemia patients showing prednisolone resistance.
PMID- 27198054
TI - Effectiveness of azacitidine in higher-risk myelodysplastic syndromes.
PMID- 27198055
TI - Pharmacologic, Pharmacokinetic, and Clinical Assessment of Illicitly Used gamma
Hydroxybutyrate.
AB - gamma-Hydroxybutyrate (GHB) is a common drug of abuse and poses important health
risks to users in the form of respiratory, cardiovascular, mental, or traumatic
adverse events. GHB has non-dose-proportional effects and pharmacologic effects
such as sedation and retrograde amnesia, which can incapacitate people targeted
for assault. It has Krebs cycle metabolism, rapid clearance, relative
hydrophilicity, and unique drug interactions. Promptly seeking medical attention
during intentional or inadvertent overdose is critical to survival, as is prompt
supportive care once medical personnel are alerted. People drugged before assault
also need to promptly notify authorities because the period to detect the drug in
the urine or blood is brief and the ultimate metabolites are carbon dioxide and
water. After acute treatment has passed, withdrawal could be severe in chronic
abusers that could harm the patient directly or drive them back into reuse.
PMID- 27198056
TI - 'Not on the radar': dentists' perspectives on the oral health care of dependent
older people.
AB - OBJECTIVES: We explored the following research questions: (i) what challenges do
dentists face when providing oral health care to dependent older adults; and (ii)
to overcome those challenges, what recommendations would dentists providing care
give those planning and implementing oral health policy and services for
dependent older adults? BACKGROUND: The dentate older population is steadily
increasing, and about half will end up in residential care, where dental caries
rates over time are at least twice as great as those observed elsewhere.
MATERIALS AND METHODS: A qualitative study was used, with semi-structured
interviews conducted by a single interviewer. Dental examiners in a recent
national survey of oral health in dependent older people in New Zealand were
interviewed about their experiences and perspectives of that. RESULTS: The
challenges participants identified stemmed from three areas - the patient, the
care facility and the oral health sector. To address those challenges, the
participants recommended actions at the patient, system and sector levels. Each
of the challenges and recommendations had a number of subthemes. Overall, the
dentists felt that it is a very complex situation urgently requiring policy
development, cross-sectoral collaboration and upskilling of the dental
profession, carers, the private sector and the State to ensure a care environment
which supports achieving and maintaining oral health among frail elders.
CONCLUSIONS: Urgent attention to frail older New Zealanders' oral health is
needed. Such attention needs to focus on not only the narrow dental clinical
preventive and therapeutic implications of those needs, but also on the broader
health system and policy development challenges.
PMID- 27198057
TI - Peer-counseling for women newly diagnosed with breast cancer: A randomized
community/research collaboration trial.
AB - BACKGROUND: We conducted a randomized controlled trial of peer-counseling for
newly diagnosed breast cancer (BC) patients as a community/research collaboration
testing an intervention developed jointly by a community-based-organization
serving women with cancer and university researchers. METHODS: We recruited 104
women newly diagnosed with BC at any disease stage. Prior to randomization, all
received a one-time visit with an oncology nurse who offered information and
resources. Afterwards, we randomized half to receive a match with a Navigator
with whom they could have contact for up to 6 months. We recruited, trained, and
supervised 30 peer counselors who became "Navigators." They were at least one
year post-diagnosis with BC. Controls received no further intervention. We tested
the effect of intervention on breast-cancer-specific well-being and trauma
symptoms as primary outcomes, and several secondary outcomes. In exploratory
analyses, we tested whether responding to their diagnosis as a traumatic stressor
moderated outcomes. RESULTS: We found that, compared with the control group,
receiving a peer-counseling intervention significantly improved breast-cancer
specific well-being (p=0.01, Cohen's d=0.41) and maintained marital adjustment
(p=0.01, Cohen's d=0.45) more effectively. Experiencing the diagnosis as a
traumatic stressor moderated outcomes: those with a peer counselor in the
traumatic stressor group improved significantly more than controls on well-being,
trauma and depression symptoms, and cancer self-efficacy. CONCLUSIONS: Having a
peer counselor trained and supervised to recognize and work with trauma symptoms
can improve well-being and psychosocial morbidity during the first year following
diagnosis of BC. Cancer 2016;122:2408-2417. (c) 2016 American Cancer Society.
PMID- 27198058
TI - Defect of the mitochondrial DNA hypervariable region as a risk factor for canine
mammary tumour.
AB - The aim of this study was to identify mutations in the hypervariable region of
mitochondrial DNA in canine mammary tumours and to determine their association
with the process of neoplastic transformation. A total of 93 biological samples,
including blood as well as normal and neoplastic tissue samples from 31 dogs with
diagnosed malignant canine mammary tumours were analysed. DNA extraction,
amplification and sequencing of the D-loop as well as bioinformatic and
statistical analyses were performed. In the mitochondrial D-loop sequence, 26
polymorphic loci and 5 mutations were identified. For the first time, D-loop
length heteroplasmy was detected in dogs with mammary tumours. The malignancy
grade exerted no effect on the presence of nucleotide changes. A statistically
significant association between the presence of mutations and polymorphisms and
the size of dogs was demonstrated. The 100% frequency of length heteroplasmy may
imply that this is a hotspot mutation of canine mammary tumour.
PMID- 27198059
TI - Genetic incorporation of 1,2-aminothiol functionality for site-specific protein
modification via thiazolidine formation.
AB - Here we report a new site-specific conjugation strategy to modify proteins via
thiazolidine ligation. Proteins harbouring a 1,2-aminothiol moiety introduced by
amber codon suppression technology could be modified chemoselectively with
aldehyde-functionalized reagents, such as a biotin-labeled peptide or ubiquitin,
under mild conditions to yield homogeneous biotinylated or ubiquitinated
products.
PMID- 27198060
TI - Physical activity and screen use policy and practices in childcare: results from
a survey of early childhood education services in New Zealand.
AB - OBJECTIVE: To investigate written policies, equipment, strategies and barriers to
children's activity in early childhood education settings, including
participation in health promotion programs and reported time children spend in
active play and using screens while in care. METHODS: Cross-sectional online
survey of licensed childcare services in Auckland and Waikato. Policies were
scored using a validated tool (WellCCAT-NZ). Results were analysed using
descriptive statistics and multivariate regression. RESULTS: Managers, head
teachers or similar from 237 services completed the survey (28% of invited
services). Of these, 35% had a written activity policy; most policies scored low
on the WellCCAT-NZ. Comprehensive and strongly worded policies were associated
with a lower adult-to-child ratio (p=0.03, adjusted for ECE characteristics). No
policies addressed screen use. Children were reported to have teacher-led
activity 80 minutes/day, and child-led activity five hours/day (indoor and
outdoor). Children watched television daily in 2% and weekly in 11% the services;
and used computers daily in 11% and weekly in 22% of services. Fewer than half of
services participated in health promotion programs with a physical activity
component. CONCLUSIONS: Childcare services reported having adequate equipment,
space and time for physical activity of children; however, there are low
participation rates in activity programs and a notable absence of written policy.
PMID- 27198061
TI - Metal-catalyzed cycloisomerization as a powerful tool in the synthesis of complex
sesquiterpenoids.
AB - Covering: up to 2015Sesquiterpenoids are consistently attracting the interest of
the scientific community due to their promising clinical profile as therapeutic
agents. Cycloisomerization of enynes and dienes is a powerful tool in the hands
of organic chemists to access them. In the last 20 years the field has witnessed
remarkable advances, especially by revealing the capability of platinum and gold
complexes to initiate such reactions. Nowadays, cycloisomerizations continue to
enrich our knowledge with atom-economical routes and impressive cascades to reach
more complex molecules. The current review covers the basic mechanistic aspects
of metal catalysis in cycloisomerization reactions and their progress to the
synthesis of selected complex sesquiterpenoids.
PMID- 27198064
TI - Markedly different adsorption behaviors of gas molecules on defective monolayer
MoS2: a first-principles study.
AB - Sulfur vacancy (SV) is one of the most typical defects in two-dimensional
monolayer MoS2, leading to reactive sites. We presented a systematic study of the
adsorption behaviors of gas molecules, CO2, N2, H2O, CO, NH3, NO, O2, H2 and NO2,
on monolayer MoS2 with single SV by first-principles calculations. It was found
that CO2, N2 and H2O molecules physisorbed at the proximity of single SV. Our
adsorption energy calculations and charge transfer analysis showed that the
interactions between CO2, N2 and H2O molecules and defective MoS2 are stronger
than the cases of CO2, N2 and H2O molecules adsorbed on pristine MoS2,
respectively. The defective MoS2 based gas sensors may be more sensitive to CO2,
N2 and H2O molecules than pristine MoS2 based ones. CO, NO, O2 and NH3 molecules
were found to chemisorb at the S vacancy site and thus modify the electronic
properties of defective monolayer MoS2. Magnetism was induced upon adsorption of
NO molecules and the defective states induced by S vacancy can be completely
removed upon adsorption of O2 molecules, which may provide some helpful
information for designing new MoS2 based nanoelectronic devices in future. The H2
and NO2 molecules were found to dissociate at S vacancy. The dissociation of NO2
molecules resulted in O atoms located at the S vacancy site and NO molecules
physisorbed on O-doped MoS2. The calculated results showed that NO2 molecules can
help heal the S vacancy of the MoS2 monolayer.
PMID- 27198065
TI - Gold-catalyzed [4+3] and [4+4]-annulation reactions of t-butyl propiolate
derivatives with epoxides and oxetanes for the construction of 1,4-dioxepane and
1,5-dioxocane cores.
AB - Gold-catalyzed [4+n]-annulations (n = 3, 4) of tert-butyl propiolate derivatives
with epoxides or oxetanes proceed smoothly to yield seven- or eight-membered
oxacyclic products efficiently. In the context of the [4+3]-annulations, product
analysis reveals a retention of stereochemistry upon the intramolecular SN2
attack of an epoxide. We also report the [4+5]-annulation between one tert-butyl
propiolate and gamma-lactol, to manifest the utility toward medium-sized rings.
PMID- 27198063
TI - In planta chemical cross-linking and mass spectrometry analysis of protein
structure and interaction in Arabidopsis.
AB - Site-specific chemical cross-linking in combination with mass spectrometry
analysis has emerged as a powerful proteomic approach for studying the three
dimensional structure of protein complexes and in mapping protein-protein
interactions (PPIs). Building on the success of MS analysis of in vitro cross
linked proteins, which has been widely used to investigate specific interactions
of bait proteins and their targets in various organisms, we report a workflow for
in vivo chemical cross-linking and MS analysis in a multicellular eukaryote. This
approach optimizes the in vivo protein cross-linking conditions in Arabidopsis
thaliana, establishes a MudPIT procedure for the enrichment of cross-linked
peptides, and develops an integrated software program, exhaustive cross-linked
peptides identification tool (ECL), to identify the MS spectra of in planta
chemical cross-linked peptides. In total, two pairs of in vivo cross-linked
peptides of high confidence have been identified from two independent biological
replicates. This work demarks the beginning of an alternative proteomic approach
in the study of in vivo protein tertiary structure and PPIs in multicellular
eukaryotes.
PMID- 27198062
TI - Novel positive allosteric modulators of GABAA receptors with anesthetic activity.
AB - GABAA receptors are the main inhibitory neurotransmitter receptors in the brain
and are targets for numerous clinically important drugs such as benzodiazepines,
anxiolytics and anesthetics. We previously identified novel ligands of the
classical benzodiazepine binding pocket in alpha1beta2gamma2 GABAA receptors
using an experiment-guided virtual screening (EGVS) method. This screen also
identified novel ligands for intramembrane low affinity diazepam site(s). In the
current study we have further characterized compounds 31 and 132 identified with
EGVS as well as 4-O-methylhonokiol. We investigated the site of action of these
compounds in alpha1beta2gamma2 GABAA receptors expressed in Xenopus laevis
oocytes using voltage-clamp electrophysiology combined with a benzodiazepine site
antagonist and transmembrane domain mutations. All three compounds act mainly
through the two beta+/alpha- subunit transmembrane interfaces of the GABAA
receptors. We then used concatenated receptors to dissect the involvement of
individual beta+/alpha- interfaces. We further demonstrated that these compounds
have anesthetic activity in a small aquatic animal model, Xenopus laevis
tadpoles. The newly identified compounds may serve as scaffolds for the
development of novel anesthetics.
PMID- 27198066
TI - Advances in the evaluation of canine renal disease.
AB - Many recent advances in the evaluation of dogs with kidney disease have improved
our diagnostic algorithms and have impacted our therapeutic strategies. Non
invasive techniques, such as urinary and serologic biomarker evaluation, can help
a clinician diagnose and treat a patient that cannot undergo a renal biopsy for
clinical or financial reasons. Some biomarkers might help localize the affected
structure (glomerulus vs. tubule) and indicate the type or severity of injury
present. Although more research is needed, studies indicate that some biomarkers
(e.g. urine protein to creatinine ratio and urinary immunoglobulins) can be
useful in predicting adverse outcomes. Importantly, the sensitivity and
specificity of biomarkers for renal injury should be established and clinicians
need to understand the limitations of these assays. If a renal biopsy is
performed, then it should be evaluated by a specialty diagnostic service with
expertise in nephropathology. A panel of special stains, immunofluorescence for
the detection of immunoglobulins and complement factors, and transmission
electron microscopy can be routinely employed in cases of glomerular disease.
These advanced diagnostics can be used to detect immune deposits in order to
definitively diagnose immune complex mediated glomerular disease. Integrating the
results of biomarker assays and comprehensive renal biopsy evaluation, the
clinician can make informed therapeutic decisions, such as whether or not to
immunosuppress a patient.
PMID- 27198067
TI - Periodically pulsed wet annealing approach for low-temperature processable
amorphous InGaZnO thin film transistors with high electrical performance and
ultrathin thickness.
AB - In this paper, a simple and controllable "wet pulse annealing" technique for the
fabrication of flexible amorphous InGaZnO thin film transistors (a-IGZO TFTs)
processed at low temperature (150 degrees C) by using scalable vacuum deposition
is proposed. This method entailed the quick injection of water vapor for 0.1 s
and purge treatment in dry ambient in one cycle; the supply content of water
vapor was simply controlled by the number of pulse repetitions. The electrical
transport characteristics revealed a remarkable performance of the a-IGZO TFTs
prepared at the maximum process temperature of 150 degrees C (field-effect
mobility of 13.3 cm(2) V(-1) s(-1); Ion/Ioff ratio ~ 10(8); reduced I-V
hysteresis), comparable to that of a-IGZO TFTs annealed at 350 degrees C in dry
ambient. Upon analysis of the angle-resolved x-ray photoelectron spectroscopy,
the good performance was attributed to the effective suppression of the formation
of hydroxide and oxygen-related defects. Finally, by using the wet pulse
annealing process, we fabricated, on a plastic substrate, an ultrathin flexible a
IGZO TFT with good electrical and bending performances.
PMID- 27198068
TI - Hospital Surgical Volumes and Mortality after Coronary Artery Bypass Grafting:
Using International Comparisons to Determine a Safe Threshold.
AB - OBJECTIVE: To estimate a safe minimum hospital volume for hospitals performing
coronary artery bypass graft (CABG) surgery. DATA SOURCE: Hospital data on all
publicly funded CABG in five European countries, 2007-2009 (106,149 patients).
DESIGN: Hierarchical logistic regression models to estimate the relationship
between hospital volume and mortality, allowing for case mix. Segmented
regression analysis to estimate a threshold. FINDINGS: The 30-day in-hospital
mortality rate was 3.0 percent overall, 5.2 percent (95 percent CI: 4.0-6.4) in
low-volume hospitals, and 2.1 percent (95 percent CI: 1.8-2.3) in high-volume
hospitals. There is a significant curvilinear relationship between volume and
mortality, flatter above 415 cases per hospital per year. CONCLUSIONS: There is a
clear relationship between hospital CABG volume and mortality in Europe, implying
a "safe" threshold volume of 415 cases per year.
PMID- 27198069
TI - Gelsolin amyloid angiopathy causes severe disruption of the arterial wall.
AB - Hereditary gelsolin amyloidosis (HGA) is a dominantly inherited systemic disease
reported worldwide. HGA is characterized by ophthalmological, neurological, and
dermatological manifestations. AGel amyloid accumulates at basal lamina of
epithelial and muscle cells, thus amyloid angiopathy is encountered in nearly
every organ. HGA patients have cardiovascular, hemorrhagic, and potentially
vascularly induced neurological problems. To clarify pathomechanisms of AGel
angiopathy, we performed histological, immunohistochemical, and electron
microscopic analyses on facial temporal artery branches from 8 HGA patients and
13 control subjects. We demonstrate major pathological changes in arteries:
disruption of the tunica media, disorganization of vascular smooth muscle cells,
and accumulation of AGel fibrils in arterial walls, where they associate with the
lamina elastica interna, which becomes fragmented and diminished. We also provide
evidence of abnormal accumulation and localization of collagen types I and III
and an increase of collagen type I degradation product in the tunica media.
Vascular smooth muscle cells appear to be morphologically and semi-quantitatively
normal, only their basal lamina is often thickened. In conclusion, angiopathy in
HGA results in severe disruption of arterial walls, characterized by prominent
AGel deposition, collagen derangement and severe elastolysis, and it may be
responsible for several, particularly hemorrhagic, disease manifestations in HGA.
PMID- 27198070
TI - Topical delivery of 4-hexylresorcinol promotes wound healing via tumor necrosis
factor-alpha suppression.
AB - OBJECTIVE: The objective of this study was to evaluate (1) the effect of 4
hexylresorcinol (4HR) application on TNF-alpha expression in RAW264.7 cells and
(2) the effect of 4HR ointment on burn wound healing in a rat burn wound model.
MATERIALS AND METHODS: RAW264.7 cells were cultured in the presence of different
concentrations of 4HR, and the attached cells were sampled for western blot
analysis at each time point. Animal studies were conducted on male Wistar rats
(n=24). Three treatment groups were evaluated in this study: (1) treatment with
ointment alone (negative control), (2) treatment with ointment containing 0.2wt%
4HR, and (3) treatment with ointment containing 2wt% 4HR. For the assessment of
wound healing, digital photographs were taken at 1, 5, and 14 days. Animals were
sacrificed at 1, 5, and 14 days for histological examination or ELISA analysis.
RESULTS: Western blot analysis showed that TNF-alpha protein levels were reduced
following treatment with 4HR at concentrations between 1 and 10MUg/mL. In animal
studies, the average denuded area 14 days after burn was smaller in the 2wt% 4HR
treatment group compared with the control group (P=0.022). Immunohistochemical
analysis revealed lower TNF-alpha expression in the 2wt% 4HR treatment group
compared with the control group 14 days after burn (P=0.027). CONCLUSION: 4HR
reduced TNF-alpha expression in RAW264.7 cells and in the burn wounds of rats.
Burn wounds dressed with ointment containing 4HR also exhibited rapid
epithelization and collagen regeneration.
PMID- 27198071
TI - Photoreaction of adsorbed diiodomethane: halide effects of a series of neutral
palladium(ii) coordination cages.
AB - A series of Pd6L4-type neutral coordination cages, [Pd6X12L4] (X(-) = Cl(-) and
Br(-)), are constructed via self-assembly of (COD)PdCl2 and K2PdBr4 with C3
symmetric N,N',N''-tris(2-pyridinylmethyl)-1,3,5-benzenetricarboxamide (L),
respectively. The iodide analogue [Pd6I12L4] is smoothly synthesized from
[Pd6Br12L4] in the presence of CH2I2 under mild conditions. The replacement of
bromide to iodide in the nanocage system represents a landmark achievement in
synthetic-methodology development. The CH2I2 molecules are adsorbed in the order
[Pd6I12L4] > [Pd6Br12L4] > [Pd6Cl12L4] and in the "like-attracts-like" pattern,
presumably owing to the van der Waals force. Irradiation of [Pd6I12L4].3.5CH2I2
with 1-methylcyclohexene in chloroform at 350 nm preferentially affords the
cyclopropanation product.
PMID- 27198072
TI - Pregnancy Loss in Dairy Cattle: Relationship of Ultrasound, Blood Pregnancy
Specific Protein B, Progesterone and Production Variables.
AB - Objectives were to determine associations between percentage pregnancy loss (PPL)
in dairy cattle and: (i) pregnancy diagnosis by ultrasonography; (ii) pregnancy
diagnosis by serum pregnancy-specific protein B (PSPB) concentrations, with or
without serum progesterone concentrations; and (iii) production and environmental
factors. This study included 149 822 pregnancy diagnoses conducted over 13 years
in Holstein-Friesian cows in Hungarian dairy herds. The following were
determined: PPL in cows diagnosed pregnant by transrectal ultrasonography 29-42
days after artificial insemination (AI; n = 11 457); PPL in cows diagnosed
pregnant by serum PSPB 29-35 days after AI (n = 138 365); and PPL and its
association with serum progesterone concentrations, PSPB and
production/environmental variables. The definition of PPL was percentage of cows
initially diagnosed pregnant based on ultrasonography or PSPB, but not pregnant
when examined by transrectal palpation 60 -70 days after AI. The PPL was lower (p
< 0.001) in cows following ultrasonographic vs PSPB diagnosis of pregnancy at 29
35 days (8.1 vs 19.3%, respectively), but was higher in cows following
ultrasonographic pregnancy diagnosis on 29-35 vs 36-42 days (8.1 vs 7.1%,
respectively, P < 0.05). Furthermore, 72.9% of pregnancies with ultrasound
detected morphological abnormalities resulted in pregnancy loss. As a subset of
PSPB data, a fully quantitative PSPB assay was used for 20 430 samples; PPL in
cows with a high PSPB concentration (>1.1 ng/ml) was lowest (15.0%), whereas cows
with low concentrations of both PSPB and progesterone (0.6-1.1 and <2 ng/ml,
respectively) had the highest PPL (76.3%; p < 0.0001). Furthermore, PPL was
higher in cows with advanced parity and with high milk production, when ambient
temperatures were high, although body condition score (BCS) had no effect on PPL.
Finally, there were no significant associations between serum PSPB and
environmental temperatures or number of post-partum uterine treatments.
PMID- 27198073
TI - [Pharmacological treatment of bipolar disorder in children and adolescents].
AB - OBJECTIVE: To review the options for acute and maintenance pharmacological
treatment of bipolar disorder in children and adolescents. METHODS: A
comprehensive literature review of randomized clinical trials and open-label
studies was conducted. RESULTS: Published data from randomized controlled trials
show that antipsychotics are significantly more effective than mood stabilizers
in the treatment of manic or mixed episodes. Few data are available related to
the treatment of depressive episodes. No trials of selective serotonin reuptake
inhibitors have been conducted. Only open trials suggest that lithium and
lamotrigine may be effective, whereas quetiapine did not demonstrate efficacy
relative to placebo in two studies. Studies regarding the effectiveness of
antipsychotics and mood stabilizers for the comorbid disorders are also few and
inconclusive. Although long-term treatment is a core aspect of the management of
children and adolescents with bipolar disorder, there is a lack of consistent
efficacy data. If non-controlled trials suggest that lithium, lamotrigine,
quetiapine, ziprazidone, and the combination of risperidone and divalproex or
lithium may be useful in some conditions, only aripiprazole has shown efficacy
relative to placebo for long-term symptom reduction and relapse prevention.
Safety data show that the most frequently reported adverse events in children and
adolescents treated with mood stabilizers are gastrointestinal and neurological,
whereas use of antipsychotics is mainly related to weight gain and sedation.
Lastly, while results from studies having evaluated the impact of pharmacological
treatment on neuropsychological functioning are inconsistent, some of them
nevertheless suggest that treatment with mood stabilizers may be associated with
specific impairments. CONCLUSION: Despite recent developments in identifying
effective pharmacological interventions, numerous critical gaps remain.
PMID- 27198074
TI - [Postictal psychoses: Clinical and neurobiological findings].
AB - Psychosis in epilepsy can be categorized in relation to seizures in two main
categories: interictal psychosis and postictal psychosis. Postictal psychosis
(PIP) is a specific syndrome in relation to seizure activity: a clear temporal
relation exists between the psychotic state of sudden onset and a precipitating
bout of complex partial or generalized seizures. However, this very specific
syndrome is not included as such within the DSM-5, and PIP belongs to the
category "Psychotic disorder due to another medical condition". Diagnostic
criteria are: (1) episode of psychosis within 1 week after a seizure(s); (2)
psychosis lasts more than 15hours and less than 2 months; (3) delusions,
hallucinations in clear consciousness, bizarre, or disorganized behavior, formal
thought disorder, or affective changes; and (4) no evidence AED toxicity, non
convulsive status epilepticus, recent head trauma, alcohol, or drug intoxication
or withdrawal, prior chronic psychotic disorder. The presence of a lucid interval
between the last seizure and start of changes rules out a simple postictal
delirium. The outcome is characterized by a remission of the psychotic symptoms
over several days (mean: 1 week), with or without any treatment. Prepsychotic EEG
abnormalities persist during the psychosis. Risk factors for PIP include: long
standing localization-related epilepsy, extratemporal onset, bilateral
epileptiform activity, secondary generalization, slowing of the EEG background
activity and personal or family history of psychiatric disorders. Brain MRI
frequently shows structural abnormalities. Several functional neuroimaging
studies have shown hyperperfusion in various cerebral regions during PIP,
suggesting an excessive activation of particular structures of the brain rather
than a postictal depression of cerebral activity. Implanted electrode studies
have shown that the EEG correlate of psychotic symptoms differs from the ictal
EEG correlate of epileptic seizures. The value of antipsychotic treatment in PIP
requires further studies. Despite their role in symptomatic relief, there is no
clear effect of neuroleptics on duration or prognosis of PIP. Different
combinations of pharmaceutical interventions can be tried on a case by case
basis: (1) oral administration of benzodiazepine; (2) combined oral
administration of benzodiazepine and atypical neuroleptics; (3) intramuscular
administration of dopamine-blockers for rapid tranquilization of violent or
agitated patients. The notion that neuroleptic drugs lower the seizure threshold
has no clinical significance: there is no evidence that antipsychotic drugs
increase seizure frequency in epileptic patients treated with antiepileptic
drugs.
PMID- 27198075
TI - [Psychopathology related to women pubertal precocity].
AB - INTRODUCTION: Puberty is a developmental process characterized by hormonal and
physical changes leading to the ability of reproduction. Precocious puberty,
especially in girls, has been associated with an increased incidence of emotional
and behavioral problems. Adolescence is a life stage influenced both by the
biological changes of puberty and the emergence of new social challenges. In
individuals facing these developmental issues at a younger age than expected, the
exposure to internal and external stress factors may be greater than in other
young people. METHODS: This narrative review provides an overview of
psychopathology associated with precocious puberty in order to raise awareness of
clinicians dealing with adolescents at risk for adverse behavioral and emotional
outcomes. Developmental challenges of standard puberty and adolescence will be
outlined before a more detailed description of recent findings from clinical and
epidemiological studies. Putative mechanisms underlying the association between
precocious puberty and psychopathology will also be discussed. RESULTS:
Epidemiological studies have shown that an early onset of puberty in girls is
associated with an earlier onset of sexuality, an earlier age of first birth and
a lesser professional qualification regardless of cognitive abilities and socio
economic status. In both population studies and clinical cohorts, girls with an
early age of puberty or in treatment for precocious puberty have more disruptive
behavior disorders such as conduct disorders, more substance abuse disorders and
delinquent behavior compared to their standard developing peers. Precocious
puberty and behavioral problems may have common genetic and environmental risk
factors. In young people with conduct disorders and early puberty, findings have
emphasized the role of non-shared environmental factors. Low birth weight,
obesity, exposure to endocrine-disrupting chemicals and adoption are potentially
shared between both conditions. Early puberty in girls is also associated with
psychosocial stressors and at-risk environments. The early development of
secondary sexual characteristics in girls attracts older and more deviant peers,
raising probability of sexual contacts but also of drug use and of a
disengagement in school activities. Adolescence is the life stage during which
prevalence of depressive disorders rises significantly, especially in girls.
Hormonal changes and increase of the Body Mass Index leading to dissatisfaction
with body image, have been put forward to explain this trend. Psychosocial
challenges (emerging sexuality, instability of identity and social role) are
other sources of stress at this particular period of life characterized by
emotional hyper-reactivity. These stressors may have greater impact in young
people showing a discrepancy between physical and affective maturation. Follow-up
studies have shown that emotional and behavioral problems tend to lessen with
time. Nevertheless, a heightened risk of depressive disorder remains in girls
having had an early onset of puberty when other risk factors co-exist.
CONCLUSION: Early puberty, especially in girls, has been associated with a number
of emotional and behavioral symptoms and difficulties in adaptive functioning.
Even though these adverse outcomes seem to lessen with time, heightened risk for
depression and negative impact on socio-professional outcomes persist in subjects
with other risk factors. The impact of treatment of precocious puberty on psycho
behavioral outcomes is currently unknown. However, clinicians should be aware
that the social and emotional challenges these adolescents with atypical pubertal
development have to face put them at risk for psychopathology and are potentially
accessible to preventive actions.
PMID- 27198076
TI - [Cas report: Affective symptoms and emerging psychotic disorder in adolescents].
PMID- 27198077
TI - Harnessing Poly(ionic liquid)s for Sensing Applications.
AB - The interest in poly(ionic liquid)s for sensing applications is derived from
their strong interactions to a variety of analytes. By combining the desirable
mechanical properties of polymers with the physical and chemical properties of
ILs, new materials can be created. The tunable nature of both ionic liquids and
polymers allows for incredible diversity, which is exemplified in their broad
applicability. In this article we examine the new field of poly(ionic liquid)
sensors by providing a detailed look at the current state-of-the-art sensing
devices for solvents, gases, biomolecules, pH, and anions.
PMID- 27198080
TI - Does admission to a specialist geriatric medicine ward lead to improvements in
aspects of acute medical care for older patients with dementia?
AB - OBJECTIVE: The objective of this study is to clarify if admission to a specialist
geriatric medicine ward leads to improvements in aspects of acute medical care
for patients with dementia. METHODS: We analysed combined data involving 900
patients from the Irish and Northern Irish audits of dementia care. Data on
baseline demographics, admission outcomes, clinical aspects of care,
multidisciplinary assessment and discharge planning processes were collected.
RESULTS: Less than one-fifth of patients received their inpatient care on a
specialist geriatric medicine ward. Patients admitted to a geriatric medicine
ward were less likely to undergo a formal assessment of mobility compared with
those in non-geriatric wards (119/143 (83%) vs 635/708 (90%), odds ratio (OR) =
0.57 (0.35 to 0.94)) and were more likely to receive newly prescribed
antipsychotic medication during the admission (27/54 (50%) vs 95/2809 (36%), OR =
1.95 (1.08 to 3.51)). Patients admitted to a geriatric medicine ward were more
likely to have certain aspects of discharge planning initiated, including
completion of a single plan for discharge (78/118 (66%) vs 275/611 (45%), OR =
2.38 (1.58 to 3.60)). Surgical wards performed more poorly on certain aspects
including having a named discharge co-ordinator (32/71, 45%) and documentation of
decisions regarding resuscitation status (18/95, 19%). CONCLUSION: Relatively low
numbers of patients with dementia received care on a specialist geriatric
medicine ward. There appears to be a more streamlined discharge planning process
in place on these wards, but they did not perform as well as one would expect in
certain areas, such as compliance with multidisciplinary assessment and
antipsychotic prescribing. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27198079
TI - Activities Patients and Nurses Undertake to Promote Patient Participation.
AB - PURPOSE: To describe and understand activities patients and nurses undertake to
enact patient participation in nursing care. DESIGN: This observational study was
conducted on two medical units at a public hospital in Australia. Twenty-eight
nurse-patient dyads were observed for at least 4 hr. Data were collected from
November 2013 to February 2014. METHODS: Field notes were collected and were
analyzed both inductively and deductively. FINDINGS: Nurse-patient interactions
promoted patient participation through dialogue and knowledge sharing. Less
evident was patient involvement in planning or self-care. Nurses exerted control
over patient care, which influenced the extent of patient participation.
CONCLUSIONS: Patient participation appears to be difficult to enact. Nurses'
controlling approach, influenced by organizational issues, was in conflict with a
patient-centered approach to care. Nurse-patient communication is one aspect of
patient-centered care enacted more frequently. CLINICAL RELEVANCE: Nurses may
benefit from strategies at the individual and organizational level to enhance
their patient-centered practices. Fostering nurses' communication may enhance
patient-centered practices in hospitals.
PMID- 27198078
TI - Sunburn and sun-protective behaviors among adults with and without previous
nonmelanoma skin cancer (NMSC): A population-based study.
AB - BACKGROUND: Individuals with previous nonmelanoma skin cancer (NMSC) are at
increased risk for subsequent skin cancer, and should therefore limit ultraviolet
exposure. OBJECTIVE: We sought to determine whether individuals with previous
NMSC engage in better sun protection than those with no skin cancer history.
METHODS: We pooled self-reported data (2005 and 2010 National Health Interview
Surveys) from US non-Hispanic white adults (758 with and 34,161 without previous
NMSC). We calculated adjusted prevalence odds ratios (aPOR) and 95% confidence
intervals (CI), taking into account the complex survey design. RESULTS:
Individuals with previous NMSC versus no history of NMSC had higher rates of
frequent use of shade (44.3% vs 27.0%; aPOR 1.41; 95% CI 1.16-1.71), long sleeves
(20.5% vs 7.7%; aPOR 1.55; 95% CI 1.21-1.98), a wide-brimmed hat (26.1% vs 10.5%;
aPOR 1.52; 95% CI 1.24-1.87), and sunscreen (53.7% vs 33.1%; aPOR 2.11; 95% CI
1.73-2.59), but did not have significantly lower odds of recent sunburn (29.7% vs
40.7%; aPOR 0.95; 95% CI 0.77-1.17). Among those with previous NMSC, recent
sunburn was inversely associated with age, sun avoidance, and shade but not
sunscreen. LIMITATIONS: Self-reported cross-sectional data and unavailable
information quantifying regular sun exposure are limitations. CONCLUSION:
Physicians should emphasize sunburn prevention when counseling patients with
previous NMSC, especially younger adults, focusing on shade and sun avoidance
over sunscreen.
PMID- 27198081
TI - Admission of medical patients from the emergency department: An assessment of the
attitudes, perspectives and practices of internal medicine and emergency medicine
trainees.
AB - OBJECTIVE: We sought to obtain a deeper understanding of the differing needs and
expectations of inpatient and ED medical staff regarding the admission process
for medical patients. DESIGN: Online questionnaire regarding their attitudes to
and perceptions of various aspects of the admission process was used. SETTING:
The setting is a tertiary 640-bed adult hospital with over 60 000 ED
presentations per year and an inpatient admission rate of 30%. RESULTS: A total
of 42 out of 56 (75%) internal medical trainees (IMT) felt that the ED admission
workup standard was lower or much lower than the inpatient standard; however, 10
of 16 (62.5%) ED trainees (EDT) thought it was similar (P = 0.009). Regarding why
IMT order additional tests in the ED, the major reason supported by IMT was to
'identify or exclude urgent pathology' (53/56, 96.4%); however, this reason
ranked only fifth for EDT (2/16, 12.5%) who ranked 'to ensure nothing was missed'
(12/15, 80%) first. A total of 24 out of 56 (42.8%) IMT felt that if ED
admissions were enacted without IMT review, inappropriate admissions to hospital
would occur regularly although only one of 16 EDT (6.3%) agreed (P = 0.025). A
total of 14 out of 16 (87.5%) EDT but only 16 of 56 (23.2%) IMT were comfortable
with admissions occurring without inpatient review in the ED (P < 0.001). The top
two perceived barriers to a smooth and timely admission process for IMT were
patient instability (34/43, 79.1%) and inadequate ED workup (37/49, 75.5%); for
EDT, they were excessive IMT workload (11/14, 78.6%) and referral close to the
end of an IMT shift (7/11, 63.6%). CONCLUSION: Substantial barriers to more
harmonious admission processes exist. A 'paradigm shift' where roles and
responsibilities are clear might be required. Defusing tension across the ED
inpatient interface should improve efficiency and ensure that patient outcomes
remain the focus.
PMID- 27198082
TI - miR-30c and semaphorin 3A determine adult neurogenesis by regulating
proliferation and differentiation of stem cells in the subventricular zones of
mouse.
AB - OBJECTIVES: Mechanisms that regulate proliferation of adult neural stem cells are
largely unknown. Here, we have investigated the role of microR-30c (miR-30c) and
its target, semaphoring 3A (sema3A), in regulating adult neurogenesis and
mechanisms underlying this process. MATERIALS AND METHODS: In situ hybridization,
immunofluorescence and quantitative real-time PCR were used to assess
complementary expression patterns of miR-30c and sema3A in mice. Effects of miR
30c in the subventricular zone (SVZ) were examined by stereotaxic injection of up
and down-regulating lentiviruses. 5'-bromo-2'-deoxyuridine labelling was
performed to investigate effects of miR-30c and sema3A on adult neurogenesis.
Real-time cell assays, morphological analysis and cell cycle measurements were
used to reveal the mechanisms by which miR-30c and sema3A regulate adult
neurogenesis. RESULTS: Expression of miR-30c negatively correlated with that of
sema3A in neurons, and levels of miR-30c and sema3A correlated positively with
numbers of newborn cells in the SVZ and rostral migration stream. miR-30c and
sema3A affected adult neurogenesis by regulating proliferation and
differentiation, as well as cycles of stem cells in the SVZ. CONCLUSIONS: miR-30c
and sema3A regulate adult neurogenesis by controlling proliferation and
differentiation of stem cells in the SVZ. This finding reveals a novel regulatory
mechanism of adult neurogenesis.
PMID- 27198083
TI - Inducing optimal substitution between antibiotics under open access to the
resource of antibiotic susceptibility.
AB - This paper designs a bio-economic model to examine the use of substitute
antibiotic drugs (analogs) sold by an industry that has open access to the
resource of the antibiotic class's susceptibility (treatment effectiveness).
Antibiotics are characterized by different expected recovery rates and production
costs, which in conjunction with the class's treatment susceptibility determines
their relative effectiveness. Our analysis reveals that the high-quality
antibiotic drug loses its comparative advantage over time making the low-quality
drug the treatment of last resort in the market equilibrium and the social
optimum when antibiotic susceptibility cannot replenish. However, when antibiotic
susceptibility is renewable, both antibiotics may be used in the long run, and
the comparative advantage of the high-quality drug may be restored in the social
optimum that allows lowering infection in the long run. We develop the optimal
tax/subsidy scheme that would induce antibiotic producers under open access to
behave optimally and account for the social cost of infection and value of
antibiotic susceptibility. We show that the welfare loss associated with the
uncorrected open-access allocation is highest; when the resource of antibiotic
susceptibility is non-renewable, high morbidity costs are incurred by
individuals, and low social discount rates apply. Copyright (c) 2016 John Wiley &
Sons, Ltd.
PMID- 27198084
TI - CatacLysMic specificity when targeting myeloid cells?
AB - The antibacterial enzyme lysozyme M (LysM) encoded by the Lyz2 gene is broadly
expressed in myeloblasts, macrophages, and neutrophils, and thus has been used
for a long time as a cell-specific marker for myeloid cells in mice. In order to
delete loxP-site flanked genes in myeloid cells, a Cre-recombinase (Cre)
expressing mouse line was created by inserting Cre-coding sequence into the
translational start site of the LysM gene. In this issue of the European Journal
of Immunology [2016. 46: 1529-1532], Orthgiess et al. verify, with the help of
tdTomato and YFP reporter mouse lines, LysM-driven recombination. Unexpectedly,
the authors also describe major expression of the tdTomato reporter protein in
brain neurons of the central nervous system (CNS), with only a very small
percentage of gene recombination in myeloid cells of the brain, called microglia.
These findings cause justified concerns regarding the efficient and specific
targeting of microglia and peripheral myeloid cells using LysM-Cre mice and
should stimulate thoughts on conclusions drawn from past experiments on the
diseased CNS employing this Cre/loxP-deleter line.
PMID- 27198086
TI - Part I: The Context and Importance of Evidence-Based Health Informatics.
PMID- 27198087
TI - The Need for Evidence in Health Informatics.
AB - While the use of health IT applications has increased rapidly over past decades,
this does not compare strongly with other business sectors. Both reluctance to
invest in, and lack of demand to use IT systems may in part be due to lack of
robust evidence as to proven benefits. At the same time, the health IT sector has
lagged behind other health technology areas in working to, and being expected to
work to, robust evidence standards showing benefit and also avoidance of harm.
Exacerbating this, limited availability of evidence has perpetuated this
misplaced comfort in use of aspiration and expectation rather than evidence in
driving investment in health IT applications. Reference back to the core
principles drawn from influential thinkers shows the essential centrality of the
need for evidence of safety and effectiveness, and for its use relevantly related
to context.
PMID- 27198088
TI - Evidence-based Health Informatics and the Scientific Development of the Field.
AB - We define and discuss the nature of Evidence-based Health Informatics (EBHI), the
kind of evidence health informatics researchers must generate to make EBHI a
reality, and how we should grade such evidence. We propose adding principle-based
evaluation studies to the list of common evaluation study types, and outline how
to carry out such studies to generate evidence that will prove useful for
establishing EBHI. The main purpose of a principle-based evaluation study is to
test the impact on system acceptability, usage or effectiveness of a
generalizable system design principle, so we also explore when during the system
design process such principles are needed, and which disciplines are most
promising as sources of design principles. We conclude with some challenges for
EBHI, a list of the benefits of adopting this approach, and a test to ensure that
we are advancing in the direction of science, as opposed to pseudoscience.
PMID- 27198085
TI - Prevalence and predictors of inadequate patient medication knowledge.
AB - OBJECTIVES: To assess medication knowledge in adult patients and to explore its
determinants. METHOD: Cross-sectional study. Medication knowledge was the primary
outcome and was assessed using a previously validated questionnaire. A
multivariate logistic regression analysis was performed to explore the
association between medication knowledge and the factors included in the model.
RESULTS: Seven thousand two hundred seventy-eight patients participated in the
study. 71.9% (n = 5234) (95% CI: 70.9%-73.0%) of the surveyed patients had an
inadequate knowledge of the medication they were taking. The dimensions obtaining
the highest level of knowledge were the 'medication use process' and 'therapeutic
objective of medication'. The items 'frequency' (75.4%), 'dosage' (74.5%) and
'indication' (70.5%) had the highest percentage of knowledge. Conversely,
'medication safety' represented the dimension with the lowest scores, ranging
from 12.6% in the item "contraindications" to 15.3% in the item 'side effects'.
The odds ratio (OR) of having an inadequate medication knowledge increased for
unskilled workers (OR: 1.33; 85% CI:1.00-1.78; P = 0.050), caregivers (OR:1.46;
95% CI:1.18-1.81; P < 0.001), patients using more than one medication (OR: 1.14;
95% CI: 1.00-1.31; P = 0.050) and patients who did not know the name of the
medication they were taking (OR: 2.14, 95% CI: 1.71-2.68 P < 0.001). CONCLUSION:
Nearly three quarters of the analysed patients had inadequate knowledge regarding
the medicines they were taking. Unskilled workers and caregivers were at a higher
risk of lacking of medication knowledge. Other factors that correlated with
inadequate medication knowledge were the use of more than one drug and not
knowing the name of the medication dispensed.
PMID- 27198089
TI - Health IT for Patient Safety and Improving the Safety of Health IT.
AB - Alongside their benefits health IT applications can pose new risks to patient
safety. Problems with IT have been linked to many different types of clinical
errors including prescribing and administration of medications; as well as wrong
patient, wrong-site errors, and delays in procedures. There is also growing
concern about the risks of data breach and cyber-security. IT-related clinical
errors have their origins in processes undertaken to design, build, implement and
use software systems in a broader sociotechnical context. Safety can be improved
with greater standardization of clinical software and by improving the quality of
processes at different points in the technology life cycle, spanning design,
build, implementation and use in clinical settings. Oversight processes can be
set up at a regional or national level to ensure that clinical software systems
meet specific standards. Certification and regulation are two mechanisms to
improve oversight. In the absence of clear standards, guidelines are useful to
promote safe design and implementation practices. Processes to identify and
mitigate hazards can be formalised via a safety management system. Minimizing new
patient safety risks is critical to realizing the benefits of IT.
PMID- 27198090
TI - Part II: Methodological Considerations of Health IT Evaluation.
PMID- 27198091
TI - Theoretical Basis of Health IT Evaluation.
AB - The focus of this contribution is on the theoretical principles and concepts
behind evaluation of IT-based systems, discussing their presuppositions,
implications and interrelationships; for instance in relation to a series of
issues to consider: terminology for the concepts used as that is a reason for
many disputes, bias as that is a common reason for less accuracy and
trustworthiness in conclusions, culture as the tacit driver of everything we do
and design, constructive evaluation as this has strict time and timing issues,
preparing for meta-analyses as that is in the near future, and top-level issues
in choice of methodology. Awareness in these respects will lead to avoidance of
major pitfalls and perils at evaluation and thereby improve the validity and
trustworthiness of an evaluation outcome, supporting the initiative towards
evidence-based health informatics.
PMID- 27198092
TI - Understanding Stakeholder Interests and Perspectives in Evaluations of Health IT.
AB - Appropriately identifying and representing stakeholders' interests and viewpoints
in evaluations of health information technology (health IT) is a critical part of
ensuring continued progress and innovation in eHealth. This contribution
therefore seeks to clarify the principles of stakeholder analysis in an eHealth
context. We describe this with reference to a mixed methods national evaluation
of ePrescribing systems in English hospitals. We use this evaluation to exemplify
the engagement and analytical tools required to ensure a detailed understanding
of the issues, challenges and lessons learnt across stakeholder groups. We
conclude that this type of approach may support the robustness of evaluations of
health IT as well as their longer term impact on innovation in the field.
PMID- 27198093
TI - Domains of Health IT and Tailoring of Evaluation: Practicing Process Modeling for
Multi-Stakeholder Benefits.
AB - This contribution focuses on the heterogeneity and complexity of health
information technology services and systems in a multi-stakeholder environment.
We propose the perspective of process modeling as a method to break out
complexity, represent heterogeneity, and provide tailored evaluation and
optimization of health IT systems and services. Two case studies are presented to
show how process modeling is needed to fully understand the information flow,
thus identifying requirements and specifications for information system re
engineering and interoperability; detect process weaknesses thus designing
corrective measures; define metrics as a mean to evaluate and ensure system
quality; and optimize the use of resources.
PMID- 27198094
TI - Evidence-based Health Informatics Frameworks for Applied Use.
AB - Health Informatics frameworks have been created surrounding the implementation,
optimization, adoption, use and evaluation of health information technology
including electronic health record systems and medical devices. In this
contribution, established health informatics frameworks are presented. Important
considerations for each framework are its purpose, component parts, rigor of
development, the level of testing and validation its undergone, and its
limitations. In order to understand how to use a framework effectively, it's
often necessary to seek additional explanation via literature, documentation, and
discussions with the developers.
PMID- 27198095
TI - Ensuring the Quality of Evidence: Using the Best Design to Answer Health IT
Questions.
AB - The quality of logic in a research design determines the value of the results and
our confidence regarding the validity of the findings. The purpose of this
contribution is to review the principles of research design as they apply to
research and evaluation in health IT. We review the architecture of research
design, the definitions of cause, sources of bias and confounds, and the
importance of measurement as related to the various types of health IT questions.
The goal is to provide practitioners a roadmap for making decisions for their own
specific study. The contribution is organized around the Threats to Validity
taxonomy and explains how different design models address these threats through
the use of blocking, factorial design, control groups and time series analysis.
The contribution discusses randomized experiments, and includes regression
discontinuity designs and various quasi-experimental designs with a special
emphasis on how to improve pre/post designs. At the end, general recommendations
are provided for improving weaker designs and general research procedures.
PMID- 27198096
TI - Mixed Methods: A Paradigm for Holistic Evaluation of Health IT.
AB - This contribution offers an overview of the 'third research paradigm', its
historical roots and its relevance for health informatics. Using illustrative
studies, we explore the concepts of triangulation and integration of quantitative
and qualitative data and refute common philosophical objections to mixing
different types of knowledge. We consider how the mixed method paradigm relates
to two programme design and evaluation frameworks that are important for health
informatics: realist evaluation and Theory of Change. We discuss how to manage
practical challenges to this approach and explain how mixed method studies
support an evidence-based approach to real world policy, planning and investment
decisions.
PMID- 27198097
TI - Evaluation of People, Social, and Organizational Issues - Sociotechnical
Ethnographic Evaluation.
AB - Sociotechnical approaches are grounded in theory and evidence-based. They are
useful for evaluations involving health information technologies. This
contribution begins with an overview of sociotechnical theory and ethnography.
These theories concern interactions between technology, its use, people who use
or are affected by it, and their organizational and societal situations. Then the
contribution discusses planning and designing evaluations, including frameworks
and models to focus an evaluation, and methodological considerations for
conducting it. Next, ethical issues and further challenges and opportunities are
taken up. Concluding case examples, referenced throughout, illustrate how good
evaluations provide useful results to help design, implement, and use health
information technologies effectively.
PMID- 27198098
TI - From Usability Engineering to Evidence-based Usability in Health IT.
AB - Usability is a critical factor in the acceptance, safe use, and success of health
IT. The User-Centred Design process is widely promoted to improve usability.
However, this traditional case by case approach that is rooted in the sound
understanding of users' needs is not sufficient to improve technologies'
usability and prevent usability-induced use-errors that may harm patients. It
should be enriched with empirical evidence. This evidence is on design elements
(what are the most valuable design principles, and the worst usability mistakes),
and on the usability evaluation methods (which combination of methods is most
suitable in which context). To achieve this evidence, several steps must be
fulfilled and challenges must be overcome. Some attempts to search evidence for
designing elements of health IT and for usability evaluation methods exist and
are summarized. A concrete instance of evidence-based usability design principles
for medication-related alerting systems is briefly described.
PMID- 27198099
TI - Participatory Design, User Involvement and Health IT Evaluation.
AB - End user involvement and input into the design and evaluation of information
systems has been recognized as being a critical success factor in the adoption of
information systems. Nowhere is this need more critical than in the design of
health information systems. Consistent with evidence from the general software
engineering literature, the degree of user input into design of complex systems
has been identified as one of the most important factors in the success or
failure of complex information systems. The participatory approach goes beyond
user-centered design and co-operative design approaches to include end users as
more active participants in design ideas and decision making. Proponents of
participatory approaches argue for greater end user participation in both design
and evaluative processes. Evidence regarding the effectiveness of increased user
involvement in design is explored in this contribution in the context of health
IT. The contribution will discuss several approaches to including users in design
and evaluation. Challenges in IT evaluation during participatory design will be
described and explored along with several case studies.
PMID- 27198100
TI - Clinical Simulation as an Evaluation Method in Health Informatics.
AB - Safe work processes and information systems are vital in health care. Methods for
design of health IT focusing on patient safety are one of many initiatives trying
to prevent adverse events. Possible patient safety hazards need to be
investigated before health IT is integrated with local clinical work practice
including other technology and organizational structure. Clinical simulation is
ideal for proactive evaluation of new technology for clinical work practice.
Clinical simulations involve real end-users as they simulate the use of
technology in realistic environments performing realistic tasks. Clinical
simulation study assesses effects on clinical workflow and enables identification
and evaluation of patient safety hazards before implementation at a hospital.
Clinical simulation also offers an opportunity to create a space in which
healthcare professionals working in different locations or sectors can meet and
exchange knowledge about work practices and requirement needs. This contribution
will discuss benefits and challenges of using clinical simulation, and will
describe how clinical simulation fits into classical usability studies, how
patient safety may benefit by use of clinical simulation, and it will describe
the different steps of how to conduct clinical simulation. Furthermore a case
study is presented.
PMID- 27198101
TI - Economic Evaluation of Health IT.
AB - Economic evaluation in health care supports decision makers in prioritizing
interventions and maximizing the available limited resources for social benefits.
Health Information Technology (health IT) constitutes a promising strategy to
improve the quality and delivery of health care. However, to determine whether
the appropriate health IT solution has been selected in a specific health
context, its impact on the clinical and organizational process, on costs, on user
satisfaction as well as on patient outcomes, a rigorous and multidimensional
evaluation analysis is necessary. Starting from the principles of evaluation
introduced since the mid-1980s within the Health Technology Assessment (HTA)
guidelines, this contribution provides an overview of the main challenging issues
related to the complex task of performing an economic evaluation of health IT. A
set of necessary key principles to deliver a proper design and implementation of
a multidimensional economic evaluation study is described, focusing in particular
on the classification of costs and outcomes as well as on the type of economic
analysis to be performed. A case study is eventually described to show how the
key principles introduced are applied.
PMID- 27198102
TI - Health Care Performance Indicators for Health Information Systems.
AB - Health Information Systems (HISs) are expected to have a positive impact on
quality and efficiency of health care. Rapid investment in and diffusion of HISs
has increased the importance of monitoring the adoption and impacts of them in
order to learn from the initiatives, and to provide decision makers evidence on
the role of HISs in improving health care. However, reliable and comparable data
across initiatives in various countries are rarely available. A four-phase
approach is used to compare different HIS indicator methodologies in order to
move ahead in defining HIS indicators for monitoring effects of HIS on health
care performance. Assessed approaches are strong on different aspects, which
provide some opportunities for learning across them but also some challenges. As
yet, all of the approaches do not define goals for monitoring formally. Most
focus on health care structural and process indicators (HIS availability and
intensity of use). However, many approaches are generic in description of HIS
functionalities and context as well as their impact mechanisms on health care for
HIS benchmarking. The conclusion is that, though structural and process
indicators of HIS interventions are prerequisites for monitoring HIS impacts on
health care outputs and outcomes, more explicit definition is needed of HIS
contexts, goals, functionalities and their impact mechanisms in order to move
towards common process and outcome indicators. A bottom-up-approach
(participation of users) could improve development and use of context-sensitive
HIS indicators.
PMID- 27198103
TI - Evaluating the Impact of Health IT on Medication Safety.
AB - Health IT is becoming an increasingly powerful tool for improving medication
safety. While errors may happen at all stages of the medication process,
different tools have been developed to support the prescribing process (e.g.
computerized prescribing with decision support), the dispensing process (e.g.
barcoding or automated dispensing and unit-dose systems), or the administration
process (e.g. electronic medication administration records and smart pumps).
Health IT can reduce medication error and preventable adverse drug event rates by
increasing documentation quality and transparency, enhancing accuracy and
correctness of the medication process, and supporting information exchange and
interlinking different stages of the medication process. Typical evaluated
endpoints comprise process-related outcomes such as number of medication errors,
harm-related outcomes such as adverse drug events, or cost-related outcomes.
Typical study design to measure effectiveness of health IT in medication safety
comprises before-after studies and randomized controlled trials. However,
implementation is challenging; it often has a major impact on the overall
workflow and such technologies must be carefully introduced and their effects
must be closely monitored in order to achieve the desired reductions, as in
addition to preventing errors they nearly always introduce new ones. As complex
interventions, their impact depends crucially on the real world setting and the
implementation details and thus, transferability of study results is variable.
PMID- 27198104
TI - Evaluation of Implementation of Health IT.
AB - Information systems can only reach their full potential if their implementation
is effective, and there is much to be learned as to what makes an "effective"
implementation. In light of the substantial investments in Health Information
Technology internationally, implementation evaluations are a powerful tool to
ensure that technologies are enabled to fulfil their potential in improving care,
reducing cost and increasing efficiency. The most salient characteristics of such
evaluations are outlined, considering how they can help to assess adoption
processes and outcomes through a continuous cycle of scientific enquiry and
learning. A brief description surrounding potential theoretical lenses that may
be drawn on is given. Issues discussed will be illustrated with the help of a
case study on the implementation and adoption of Electronic Health Records in
English hospitals. Practical challenges encountered and potential ways to address
these during the conduct of health IT implementation evaluations illustrated
include: 1) ways to cope with the shifting nature of reality (e.g. changes in
local implementation strategies need to be reflected in the methods), 2) the need
to examine processes as well as outcomes, 3) researching implementation in
context with attention to both local processes and wider (e.g. political)
developments, and 4) the pragmatic use of theoretical lenses where different
approaches can shed light on different aspects of the implementation and adoption
process.
PMID- 27198105
TI - Evolving Health IT Systems Evaluation: The Convergence of Health Informatics and
HTA.
AB - The credibility and reliability of health IT systems as a means of achieving
changes towards safer and cost-effective care have been questioned for over two
decades due to the lack of methodologically strong evidence. As national level
adoption and implementation of health IT are becoming widespread across the EU
and globally, but are also being offset by adverse reports, the demands for
evidence become more pronounced and the stakes higher. The adaptation of HTA
(health technology assessment) methodology as a means to address gaps in health
IT evidence production has been proposed repeatedly and tested in the field of
telemedicine services. HTA has in many ways run a course parallel to that of
health IT, while in certain respects attaining more clear achievements. This
contribution investigates aspects of a bilaterally beneficial relation between
the two disciplines using three lines of exploration: the methodological goodness
of-fit between health IT evaluation and HTA; the solutions each has proposed to
the problem of producing high quality evidence in reduced amount of time; and the
way each has addressed the strengthened role and position of patients. The
analysis demonstrates areas of convergence between health IT and HTA. It also
highlights topics which would need to be jointly addressed in the process, such
as innovative and high quality data collection and analysis, inclusion of patient
reported outcomes and patient safety, and transferability and generalizability of
findings. In closing, it takes a glimpse of the challenges emerging as a result
of the progress at the cross-roads of medicine, science and technology.
PMID- 27198107
TI - Ensuring Evidence-Based Safe and Effective mHealth Applications.
AB - The Internet and the digitalization of information have brought big changes in
healthcare, but the arrival of smartphones and tablets represent a true
revolution and a new paradigm is opened which completely changes our lives. In
order to validate the impact of these new technologies in health care, it is
essential to have enough clinical studies that validate their impact in wellbeing
and healthcare of the patient. Traditional regulatory organisations are still
looking for their role in this area. If they follow the classical path of medical
devices, we get to a technical, administration and economic collapse. This
contribution first presents the main indicators showing the potential of mHealth
adoption. It then proposes a classification of mobile health care apps, and
presents frameworks for mHealth evaluation. Regulation of mHealth as part of the
evaluation process is discussed. Finally, the necessary steps and challenges that
have to be taken into account by the industry to prepare the entrance of these
technologies into the EU market is analysed.
PMID- 27198106
TI - Realizing the Potential of Patient Engagement: Designing IT to Support Health in
Everyday Life.
AB - Maintaining health or managing a chronic condition involves performing and
coordinating potentially new and complex tasks in the context of everyday life.
Tools such as reminder apps and online health communities are being created to
support patients in carrying out these tasks. Research has documented mixed
effectiveness and problems with continued use of these tools, and suggests that
more widespread adoption may be aided by design approaches that facilitate
integration of eHealth technologies into patients' and family members' daily
routines. Given the need to augment existing methods of design and implementation
of eHealth tools, this contribution discusses frameworks and associated methods
that engage patients and explore contexts of use in ways that can produce
insights for eHealth designers.
PMID- 27198108
TI - Systematic Reviews and Meta-Analysis of Health IT.
AB - This contribution examines how systematic reviews contribute to the evaluation of
health IT planning and implementation. It defines and explains the systematic
review process and how higher level overviews of health IT can be conducted. A
reprise of some of the Cochrane reviews relating to health IT, particularly those
conducted for the Cochrane Effective Practice and Organization of Care Group
(EPOC), provides examples of the type of question that can be answered (at least
in part) by a Cochrane-type systematic review. The contribution also discusses
the benefits and limitations of the systematic review process using examples of
reviews on telemedicine, nursing records, and home uterine monitoring in
pregnancy.
PMID- 27198109
TI - Going Beyond Systematic Reviews: Realist and Meta-Narrative Reviews.
AB - Health information technologies are complex interventions whose effects differ
across contexts. To improve our understanding of the effects of health
information technologies, approaches are needed that utilize evidence beyond
experimental results in order to provide explanatory answers to how and why a
given technology works. The relatively new realist and meta-narrative review
approaches are introduced as important methods in synthesising and analysing
evidence in the field of health informatics. A common purpose of these two review
approaches is to help create a sense of evidence about complex interventions that
enables an understanding of how and why they work. A detailed description of the
principles and objectives of the two types of reviews is presented. Key steps
required to conduct each of the reviews are summarized, and examples of how the
review approaches have been applied to topics related to health informatics are
provided. Limitations of the two review approaches are discussed.
PMID- 27198110
TI - Part III: Ensuring the Relevance and Application of Evidence.
PMID- 27198112
TI - Publishing Health IT Evaluation Studies.
AB - Progress in science is based on evidence from well-designed studies. However,
publication quality of health IT evaluation studies is often low, making
exploitation of published evidence within systematic reviews and meta-analysis a
challenging task. Consequently, reporting guidelines have been published and
recommended to be used. After a short overview of publication guidelines relevant
for health IT evaluation studies (such as CONSORT and PRISMA), the STARE-HI
guidelines for publishing health IT evaluation studies are presented. Health IT
evaluation publications should take into account published guidelines, to improve
the quality of published evidence. Publication guidelines, in line with
addressing publication bias and low study quality, help strengthening the
evidence available in the public domain to enable effective evidence-based health
informatics.
PMID- 27198111
TI - Quality of Health IT Evaluations.
AB - Health IT evaluation studies have often been found to be of limited quality. To
address this problem, several guidelines and frameworks have been developed as
tools to support improvement of the quality of evaluation studies. In this
contribution, we review available guidelines and then present the Good Evaluation
Practice Guideline in Health Informatics (GEP-HI) in more detail. GEP-HI is a
comprehensive guideline which supports especially planning and execution of a
health IT evaluation study. The GEP-HI guideline helps to overcome the quality
problems related to weak study planning and methodological study design. We also
discuss application of GEP-HI on an evaluation project and discuss the need to
publish systematically following the recognised publication guidelines. Finally
we discuss the future trend on multi-method evaluation approaches.
PMID- 27198114
TI - Evaluation of Health IT in Low-Income Countries.
AB - Low and middle income countries (LMICs) bear a disproportionate burden of major
global health challenges. Health IT could be a promising solution in these
settings but LMICs have the weakest evidence of application of health IT to
enhance quality of care. Various systematic reviews show significant challenges
in the implementation and evaluation of health IT. Key barriers to implementation
include lack of adequate infrastructure, inadequate and poorly trained health
workers, lack of appropriate legislation and policies and inadequate financial
333indicating the early state of generation of evidence to demonstrate the
effectiveness of health IT in improving health outcomes and processes. The
implementation challenges need to be addressed. The introduction of new
guidelines such as GEP-HI and STARE-HI, as well as models for evaluation such as
SEIPS, and the prioritization of evaluations in eHealth strategies of LMICs
provide an opportunity to focus on strategic concepts that transform the demands
of a modern integrated health care system into solutions that are secure,
efficient and sustainable.
PMID- 27198113
TI - Finding, Appraising and Interpreting the Evidence of Health IT.
AB - Evidence-based health informatics can be described as a scientific approach to
meeting the multiplicity of tasks involved in the development, implementation and
sustainability of health information technologies (IT). The practice of evidence
based health informatics incorporates methods to help find, appraise and utilise
research-based knowledge. The aim of this contribution is to describe the steps
of finding, appraising and interpreting the evidence of health IT. It lists major
sources of literature in the health field, and highlights a number of
considerations for undertaking reviews, drawing on some key landmark reviews that
have helped to shape the health informatics discipline. It also considers key
issues highlighted by these reviews particularly in regard to the validity of
findings, their generalisability and their impact on patient outcomes. The
contribution also provides suggestions for tackling the challenge of potential
publication bias, and how to deal with heterogeneous findings.
PMID- 27198115
TI - Learning, Training and Teaching of Health Informatics and its Evidence for
Informaticians and Clinical Practice.
AB - A globally agreed well structured framework representing the health informatics
discipline's body of knowledge is yet to emerge. Considerable progress has been
made towards describing this over the fifty or so years of the discipline's
evolution. This contribution explains the need for such a structured body of
knowledge from an educational and workforce capacity building perspective. Some
examples of how education and training has been provided to date by a few key
stakeholders/leaders are given and critical reviews of guideline and competency
developments and their applications are presented. This is followed by an
explanation of the need for linking health informatics research with education,
learning and training strategies and desired future directions to overcome the
identified health workforce knowledge and skills gaps are explored. Given the
increasingly important role of health IT in health care, and the significant
investment being made into Health IT systems and infrastructure, it is illogical
not to seriously invest in health workforce capacity building.
PMID- 27198117
TI - Appendix.
PMID- 27198116
TI - Forward Outlook: The Need for Evidence and for Action in Health Informatics.
AB - Evidence-based health informatics (EBHI) is a key concept in the development and
deployment of IT systems and applications in an ethical, efficient and effective
health system. There is an ever growing body of knowledge to guide IT-related
decision making, but further growth of this body of knowledge is required as the
health IT domain and technologies are continuously evolving, leading to new
functionalities and applications. However, EBHI should not wait until
retrospective evidence is available - increasingly policy makers should draw on
available prior and external evidence to influence design and development
processes so as to ensure that health IT is devised in response to a delivery
process need and not as an IT driven goal. EBHI should thereby seek to move
forward significantly the metric that only some 20% of IT investment is truly
successful.
PMID- 27198118
TI - Appendix: Resources on Health IT Evaluation.
PMID- 27198121
TI - Extraction, composition, and functional properties of dried alfalfa (Medicago
sativa L.) leaf protein.
AB - BACKGROUND: Alfalfa is considered a potential feedstock for biofuels; co-products
with value-added uses would enhance process viability. This work evaluated dried
alfalfa leaves for protein production and describes the functional properties of
the protein. RESULTS: Dried alfalfa leaves contained 260 g kg-1 dry basis (DB)
crude protein, with albumins being the major fraction (260 g kg-1 of total
protein). Alkali solubilization for 2 h at 50 degrees C, acid precipitation,
dialysis, and freeze-drying produced a protein concentrate (600 g kg-1 DB crude
protein). Alfalfa leaf protein concentrate showed moderate solubility (maximum
500 g kg-1 soluble protein from pH 5.5 to 10), excellent emulsifying properties
(activity 158-219 m2 g-1 protein, stability 17-49 min) and minimal loss of
solubility during heating at pH >= 7.0. CONCLUSIONS: It is technically feasible
to extract protein with desirable emulsifying and heat stability properties from
dried alfalfa leaves; however, the dried form may not be a practical starting
material for protein production, given the difficulty of achieving high yields
and high-purity protein product. (c) 2016 Society of Chemical Industry.
PMID- 27198122
TI - Midazolam for sedation before procedures.
AB - BACKGROUND: Midazolam is used for sedation before diagnostic and therapeutic
medical procedures. It is an imidazole benzodiazepine that has depressant effects
on the central nervous system (CNS) with rapid onset of action and few adverse
effects. The drug can be administered by several routes including oral,
intravenous, intranasal and intramuscular. OBJECTIVES: To determine the evidence
on the effectiveness of midazolam for sedation when administered before a
procedure (diagnostic or therapeutic). SEARCH METHODS: We searched the Cochrane
Central Register of Controlled Trials (CENTRAL to January 2016), MEDLINE in Ovid
(1966 to January 2016) and Ovid EMBASE (1980 to January 2016). We imposed no
language restrictions. SELECTION CRITERIA: Randomized controlled trials in which
midazolam, administered to participants of any age, by any route, at any dose or
any time before any procedure (apart from dental procedures), was compared with
placebo or other medications including sedatives and analgesics. DATA COLLECTION
AND ANALYSIS: Two authors extracted data and assessed risk of bias for each
included study. We performed a separate analysis for each different drug
comparison. MAIN RESULTS: We included 30 trials (2319 participants) of midazolam
for gastrointestinal endoscopy (16 trials), bronchoscopy (3), diagnostic imaging
(5), cardioversion (1), minor plastic surgery (1), lumbar puncture (1), suturing
(2) and Kirschner wire removal (1). Comparisons were: intravenous diazepam (14),
placebo (5) etomidate (1) fentanyl (1), flunitrazepam (1) and propofol (1); oral
chloral hydrate (4), diazepam (2), diazepam and clonidine (1); ketamine (1) and
placebo (3); and intranasal placebo (2). There was a high risk of bias due to
inadequate reporting about randomization (75% of trials). Effect estimates were
imprecise due to small sample sizes. None of the trials reported on allergic or
anaphylactoid reactions. Intravenous midazolam versus diazepam (14 trials; 1069
participants)There was no difference in anxiety (risk ratio (RR) 0.80, 95%
confidence interval (CI) 0.39 to 1.62; 175 participants; 2 trials) or
discomfort/pain (RR 0.60, 95% CI 0.24 to 1.49; 415 participants; 5 trials; I2 =
67%). Midazolam produced greater anterograde amnesia (RR 0.45; 95% CI 0.30 to
0.66; 587 participants; 9 trials; low-quality evidence). Intravenous midazolam
versus placebo (5 trials; 493 participants)One trial reported that fewer
participants who received midazolam were anxious (3/47 versus 15/35; low-quality
evidence). There was no difference in discomfort/pain identified in a further
trial (3/85 in midazolam group; 4/82 in placebo group; P = 0.876; very low
quality evidence). Oral midazolam versus chloral hydrate (4 trials; 268
participants)Midazolam increased the risk of incomplete procedures (RR 4.01; 95%
CI 1.92 to 8.40; moderate-quality evidence). Oral midazolam versus placebo (3
trials; 176 participants)Midazolam reduced pain (midazolam mean 2.56 (standard
deviation (SD) 0.49); placebo mean 4.62 (SD 1.49); P < 0.005) and anxiety
(midazolam mean 1.52 (SD 0.3); placebo mean 3.97 (SD 0.44); P < 0.0001) in one
trial with 99 participants. Two other trials did not find a difference in
numerical rating of anxiety (mean 1.7 (SD 2.4) for 20 participants randomized to
midazolam; mean 2.6 (SD 2.9) for 22 participants randomized to placebo; P =
0.216; mean Spielberger's Trait Anxiety Inventory score 47.56 (SD 11.68) in the
midazolam group; mean 52.78 (SD 9.61) in placebo group; P > 0.05). Intranasal
midazolam versus placebo (2 trials; 149 participants)Midazolam induced sedation
(midazolam mean 3.15 (SD 0.36); placebo mean 2.56 (SD 0.64); P < 0.001) and
reduced the numerical rating of anxiety in one trial with 54 participants
(midazolam mean 17.3 (SD 18.58); placebo mean 49.3 (SD 29.46); P < 0.001). There
was no difference in meta-analysis of results from both trials for risk of
incomplete procedures (RR 0.14, 95% CI 0.02 to 1.12; downgraded to low-quality
evidence). AUTHORS' CONCLUSIONS: We found no high-quality evidence to determine
if midazolam, when administered as the sole sedative agent prior to a procedure,
produces more or less effective sedation than placebo or other medications. There
is low-quality evidence that intravenous midazolam reduced anxiety when compared
with placebo. There is inconsistent evidence that oral midazolam decreased
anxiety during procedures compared with placebo. Intranasal midazolam did not
reduce the risk of incomplete procedures, although anxiolysis and sedation were
observed. There is moderate-quality evidence suggesting that oral midazolam
produces less effective sedation than chloral hydrate for completion of
procedures for children undergoing non-invasive diagnostic procedures.
PMID- 27198123
TI - Programming social behavior by the maternal fragile X protein.
AB - The developing fetus and neonate are highly sensitive to maternal environment.
Besides the well-documented effects of maternal stress, nutrition and infections,
maternal mutations, by altering the fetal, perinatal and/or early postnatal
environment, can impact the behavior of genetically normal offspring.
Mutation/premutation in the X-linked FMR1 (encoding the translational regulator
FMRP) in females, although primarily responsible for causing fragile X syndrome
(FXS) in their children, may also elicit such maternal effects. We showed that a
deficit in maternal FMRP in mice results in hyperactivity in the genetically
normal offspring. To test if maternal FMRP has a broader intergenerational
effect, we measured social behavior, a core dimension of neurodevelopmental
disorders, in offspring of FMRP-deficient dams. We found that male offspring of
Fmr1(+/-) mothers, independent of their own Fmr1 genotype, exhibit increased
approach and reduced avoidance toward conspecific strangers, reminiscent of
'indiscriminate friendliness' or the lack of stranger anxiety, diagnosed in
neglected children and in patients with Asperger's and Williams syndrome.
Furthermore, social interaction failed to activate mesolimbic/amygdala regions,
encoding social aversion, in these mice, providing a neurobiological basis for
the behavioral abnormality. This work identifies a novel role for FMRP that
extends its function beyond the well-established genetic function into
intergenerational non-genetic inheritance/programming of social behavior and the
corresponding neuronal circuit. As FXS premutation and some psychiatric
conditions that can be associated with reduced FMRP expression are more prevalent
in mothers than full FMR1 mutation, our findings potentially broaden the
significance of FMRP-dependent programming of social behavior beyond the FXS
population.
PMID- 27198124
TI - ICSI outcomes in men undergoing TESE for azoospermia and impact of maternal age.
AB - This retrospective study compared clinical outcomes in men with obstructive and
nonobstructive azoospermia after ICSI following testicular sperm extraction and
the influence of maternal age. Fertilisation rates, embryo quality, pregnancy
rates, miscarriage rates and live birth rates were evaluated. Men with
obstructive azoospermia (OA) had significantly higher rates of diploid
fertilisation and clinical pregnancy than men with nonobstructive azoospermia
(NOA), but miscarriage rates and live birth rates were not significantly
different. The higher rates of fertilisation, embryo quality and clinical
pregnancy in men with OA were statistically significant when their female
partners were <35 years but results were similar in both groups when female
partners >=35 years. Although the OA group had better overall quality embryos
than the NOA group when maternal age was <35 years, embryologists can select the
morphologically better embryos for transfer, eliminating the effect of embryo
quality differences present in these two groups. Understanding more about factors
that affect TESE/ICSI outcomes will not only help us predict patients' outcomes
but it can help us educate and better counsel our patients.
PMID- 27198125
TI - Cuticle-degrading proteases and toxins as virulence markers of Beauveria bassiana
(Balsamo) Vuillemin.
AB - Beauveria bassiana is one of the most known entomopathogenic fungal species and
its entomopathogenic mechanism involves several bioactive metabolites, mainly
cuticle-degrading enzymes and toxic molecules, which are predicted to play a key
role as virulence factors. In this study six Beauveria bassiana strains (B
13/I03, B 13/I11, B 13/I49, B 13/I57, B 13/I63, and B 13/I64) were assayed
against Tenebrio molitor larvae. Enzymatic activity of total proteases and
specifically Pr 1 and Pr 2, as well as the production of toxic compounds were
investigated in each fungal strain. Toxins were detected both in vitro-in medium
filtrates and mycelia-and in vivo-in Tenebrio molitor larvae infected by the
fungal strains tested. B 13/I11 and B 13/I63 strains showed the most significant
entomopathogenic activity against Tenebrio molitor larvae (cumulative mortality
rate 100 and 97%, respectively; average survival time 5.85 and 6.74 days,
respectively). A widely variable and fungal strain-dependent enzymatic activity
of total proteases, Pr 1 and Pr 2 was found. Beauvericin, beauvericin A and
bassianolide resulted the most prevalent toxins detected in the substrates
analyzed. It has been found that an increase of beauvericin content in vivo
resulted significantly correlated to a decrease of Tenebrio molitor larvae
average survival time in entomopathogenic bioassay (inverse correlation). The
involvement of beauvericin in B. bassiana entomopathogenic process is confirmed;
in vitro analysis of cuticle degrading proteases activity and toxins production
in relation to the methods adopted resulted insufficient for a rapid screening to
determine the virulence of B. bassiana strains against Tenebrio molitor larvae.
PMID- 27198126
TI - Ultrasound-accelerated Thrombolysis in a Sub-massive Pulmonary Embolism.
PMID- 27198127
TI - The Importance of Family-genetic Screening: The Phenotype Caused by the p.L3778F
Ryanodine Receptor Mutation is Likely Less Severe Than Previously Thought.
PMID- 27198128
TI - Bivalirudin Versus Unfractionated Heparin in Acute Coronary Syndromes: An Updated
Meta-analysis of Randomized Trials.
AB - INTRODUCTION AND OBJECTIVES: Contrasting data have been reported on bivalirudin
as an anticoagulation strategy during percutaneous coronary interventions,
offering theoretical benefits on bleeding complications but raising concerns on a
potential increase in the risk of stent thrombosis. We performed an updated meta
analysis to evaluate the efficacy and safety of bivalirudin compared with
unfractionated heparin in patients undergoing percutaneous interventions for
acute coronary syndromes. METHODS: Literature archives and main scientific
sessions were scanned. The primary efficacy endpoint was 30-day overall
mortality. Secondary endpoints were stent thrombosis and major bleeding. A
prespecified analysis was conducted according to clinical presentation. RESULTS:
Twelve randomized trials were included, involving 32 746 patients (52.5%
randomized to bivalirudin). Death occurred in 1.8% of the patients, with no
differences between bivalirudin and heparin (odds ratio = 0.91; 95% confidence
interval, 0.77-1.08; P = .28; P for heterogeneity = .41). Similar results were
obtained for patients with non-ST-segment elevation and in ST-segment elevation
myocardial infarction. A significantly higher rate of stent thrombosis was
observed with bivalirudin (odds ratio = 1.42; 95% confidence interval, 1.09-1.83;
P = .008; P for heterogeneity = .09). Bivalirudin was associated with a
significant reduction in the rate of major bleeding (odds ratio = 0.60; 95%
confidence interval, 0.54-0.75; P < .00001; P for heterogeneity < .0001), which,
however, was related to the differential use of glycoprotein IIb/IIIa inhibitors
(r = -0.02 [-0.033 to -0.0032]; P = .02) and did not translate into survival
benefits. CONCLUSIONS: In patients undergoing percutaneous coronary
interventions, bivalirudin is not associated with a reduction in mortality
compared with heparin but does increase stent thrombosis. The reduction in
bleeding complications observed with bivalirudin does not translate into survival
benefits but is rather influenced by a differential use of glycoprotein IIb/IIIa
inhibitors.
PMID- 27198130
TI - Inappropriate Shocks in a Patient with Subcutaneous ICD and Transvenous
Pacemaker: Is it as it Seems?
PMID- 27198129
TI - Red blood cell transfusion triggers in acute leukemia: a randomized pilot study.
AB - BACKGROUND: Red blood cell (RBC) transfusion thresholds have yet to be examined
in large randomized trials in hematologic malignancies. This pilot study in acute
leukemia uses a restrictive compared to a liberal transfusion strategy. STUDY
DESIGN AND METHODS: A randomized (2:1) study was conducted of restrictive (LOW)
hemoglobin (Hb) trigger (7 g/dL) compared to higher (HIGH) Hb trigger (8 g/dL).
The primary outcome was feasibility of conducting a larger trial. The four
requirements for success required that more than 50% of the eligible patients
could be consented, more than 75% of the patients randomized to the LOW arm
tolerated the transfusion trigger, fewer than 15% of patients crossed over from
the LOW arm to the HIGH arm, and no indication for the need to pause the study
for safety concerns. Secondary outcomes included fatigue, bleeding, and RBCs and
platelets transfused. RESULTS: Ninety patients were consented and randomly
assigned to LOW to HIGH. The four criteria for the primary objective of
feasibility were met. When the number of units transfused was compared, adjusting
for baseline Hb, the LOW arm was transfused on average 8.0 (95% confidence
interval [CI], 6.9-9.1) units/patient while the HIGH arm received 11.7 (95% CI,
10.1-13.2) units (p = 0.0003). There was no significant difference in bleeding
events or neutropenic fevers between study arms. CONCLUSION: This study
establishes feasibility for trial of Hb thresholds in leukemia through
demonstration of success in all primary outcome metrics and a favorable safety
profile. This population requires further study to evaluate the equivalence of
liberal and restrictive transfusion thresholds in this unique clinical setting.
PMID- 27198131
TI - Recombinant antibodies for diagnostics and therapy against pathogens and toxins
generated by phage display.
AB - Antibodies are valuable molecules for the diagnostic and treatment of diseases
caused by pathogens and toxins. Traditionally, these antibodies are generated by
hybridoma technology. An alternative to hybridoma technology is the use of
antibody phage display to generate recombinant antibodies. This in vitro
technology circumvents the limitations of the immune system and allows-in theory
the generation of antibodies against all conceivable molecules. Phage display
technology enables obtaining human antibodies from naive antibody gene libraries
when either patients are not available or immunization is not ethically feasible.
On the other hand, if patients or immunized/infected animals are available, it is
common to construct immune phage display libraries to select in vivo affinity
matured antibodies. Because the phage packaged DNA sequence encoding the
antibodies is directly available, the antibodies can be smoothly engineered
according to the requirements of the final application. In this review, an
overview of phage display derived recombinant antibodies against bacterial,
viral, and eukaryotic pathogens as well as toxins for diagnostics and therapy is
given.
PMID- 27198132
TI - Topical Ketoprofen Versus Placebo in Treatment of Acute Ankle Sprain in the
Emergency Department.
AB - BACKGROUND: Topical agents have been shown to be effective in soft tissue
injuries and commonly used in outpatient clinics. However, the data regarding
topical agents in the emergency department is insufficient, and they are not used
often in the emergency department setting. The present study aimed to compare the
effect of 2.5% topical ketoprofen (gel form) to placebo in patients presenting
with ankle sprain to the emergency department. METHODS: Patients presenting with
ankle sprain composed the study population. Study patients were randomized into 2
study arms: 2.5% ketoprofen gel and placebo administered over a 5-cm area
locally. Pain alleviation was measured by visual analog scale at 15 and 30
minutes. A total of 100 patients were included in the final analysis. RESULTS:
The median pain reduction in ketoprofen and placebo groups at 15 minutes was 27
(19.8-33.4) and 9 (7.6-17), respectively. The median pain reduction at 30 minutes
for both groups was 42 (36-50.8) and 20 (17.6-24.4), respectively. Pain
improvement either at 15 minutes (median difference: 16 [9-22]) or 30 minutes
(median difference: 21 [15-27]) was better in the ketoprofen group than placebo.
There were no adverse effects in either group. CONCLUSION: Ketoprofen gel was
superior to placebo at 30 minutes in alleviating pain secondary to ankle sprain
in the ED with a high safety profile. Further studies are needed concerning the
effect of ketoprofen gel for long-term effects. LEVEL OF EVIDENCE: Level I, high
quality prospective randomized study.
PMID- 27198133
TI - A Computer-Aided Type-II Fuzzy Image Processing for Diagnosis of Meniscus Tear.
AB - Meniscal tear is one of the prevalent knee disorders among young athletes and the
aging population, and requires correct diagnosis and surgical intervention, if
necessary. Not only the errors followed by human intervention but also the
obstacles of manual meniscal tear detection highlight the need for automatic
detection techniques. This paper presents a type-2 fuzzy expert system for
meniscal tear diagnosis using PD magnetic resonance images (MRI). The scheme of
the proposed type-2 fuzzy image processing model is composed of three distinct
modules: Pre-processing, Segmentation, and Classification. lambda-nhancement
algorithm is used to perform the pre-processing step. For the segmentation step,
first, Interval Type-2 Fuzzy C-Means (IT2FCM) is applied to the images, outputs
of which are then employed by Interval Type-2 Possibilistic C-Means (IT2PCM) to
perform post-processes. Second stage concludes with re-estimation of "eta" value
to enhance IT2PCM. Finally, a Perceptron neural network with two hidden layers is
used for Classification stage. The results of the proposed type-2 expert system
have been compared with a well-known segmentation algorithm, approving the
superiority of the proposed system in meniscal tear recognition.
PMID- 27198134
TI - Ambulatory assessment of walking balance after stroke using instrumented shoes.
AB - BACKGROUND: For optimal guidance of walking rehabilitation therapy of stroke
patients in an in-home setting, a small and easy to use wearable system is
needed. In this paper we present a new shoe-integrated system that quantifies
walking balance during activities of daily living and is not restricted to a lab
environment. Quantitative parameters were related to clinically assessed level of
balance in order to assess the additional information they provide. METHODS: Data
of 13 participants who suffered a stroke were recorded while walking 10 meter
trials and wearing special instrumented shoes. The data from 3D force and torque
sensors, 3D inertial sensors and ultrasound transducers were fused to estimate 3D
(relative) position, velocity, orientation and ground reaction force of each
foot. From these estimates, center of mass and base of support were derived
together with a dynamic stability margin, which is the (velocity) extrapolated
center of mass with respect to the front-line of the base of support in walking
direction. Additionally, for each participant step lengths and stance times for
both sides as well as asymmetries of these parameters were derived. RESULTS:
Using the proposed shoe-integrated system, a complete reconstruction of the
kinematics and kinetics of both feet during walking can be made. Dynamic
stability margin and step length symmetry were not significantly correlated with
Berg Balance Scale (BBS) score, but participants with a BBS score below 45 showed
a small-positive dynamic stability margin and more asymmetrical step lengths.
More affected participants, having a lower BBS score, have a lower walking speed,
make smaller steps, longer stance times and have more asymmetrical stance times.
CONCLUSIONS: The proposed shoe-integrated system and data analysis methods can be
used to quantify daily-life walking performance and walking balance, in an
ambulatory setting without the use of a lab restricted system. The presented
system provides additional insight about the balance mechanism, via parameters
describing walking patterns of an individual subject. This information can be
used for patient specific and objective evaluation of walking balance and a
better guidance of therapies during the rehabilitation. TRIAL REGISTRATION: The
study protocol is a subset of a larger protocol and registered in the Netherlands
Trial Registry, number NTR3636 .
PMID- 27198135
TI - Genome wide association mapping for grain shape traits in indica rice.
AB - MAIN CONCLUSION: Using genome-wide association mapping, 47 SNPs within 27
significant loci were identified for four grain shape traits, and 424 candidate
genes were predicted from public database. Grain shape is a key determinant of
grain yield and quality in rice (Oryza sativa L.). However, our knowledge of
genes controlling rice grain shape remains limited. Genome-wide association
mapping based on linkage disequilibrium (LD) has recently emerged as an effective
approach for identifying genes or quantitative trait loci (QTL) underlying
complex traits in plants. In this study, association mapping based on 5291 single
nucleotide polymorphisms (SNPs) was conducted to identify significant loci
associated with grain shape traits in a global collection of 469 diverse rice
accessions. A total of 47 SNPs were located in 27 significant loci for four grain
traits, and explained ~44.93-65.90 % of the phenotypic variation for each trait.
In total, 424 candidate genes within a 200 kb extension region (+/-100 kb of each
locus) of these loci were predicted. Of them, the cloned genes GS3 and qSW5
showed very strong effects on grain length and grain width in our study.
Comparing with previously reported QTLs for grain shape traits, we found 11 novel
loci, including 3, 3, 2 and 3 loci for grain length, grain width, grain length
width ratio and thousand grain weight, respectively. Validation of these new loci
would be performed in the future studies. These results revealed that besides GS3
and qSW5, multiple novel loci and mechanisms were involved in determining rice
grain shape. These findings provided valuable information for understanding of
the genetic control of grain shape and molecular marker assistant selection (MAS)
breeding in rice.
PMID- 27198136
TI - Effect of non-invasive remote ischemic preconditioning on intra-renal perfusion
in volunteers.
AB - Remote ischemic preconditioning may attenuate renal injury and protect the kidney
during subsequent inflammatory or ischemic stress. However, the mechanism of such
a protection is not well understood. The aim of this study was to investigate the
impact of remote ischemic preconditioning on renal resistivity index (RRI) in
nine healthy volunteers. In six volunteers, four cycles of 4-min inflation of a
blood pressure cuff were applied to one upper arm, followed by 4-min reperfusion
with the cuff deflated. RRI was determined using Doppler echography during each
cuff deflated period. Measures were also performed in three volunteers without
preconditioning. The median value of RRI significantly decreased progressively
from 0.59 [0.53-0.62] before the remote conditioning (baseline) to 0.49 [0.46
0.53] at the end of the experiment (p < 0.001) whereas there was no change in
controls. In this study, for the first time, we have clearly shown in a small
group of subjects that remote ischemic preconditioning can induce a significantly
decrease in RRI through increased intra-renal perfusion.
PMID- 27198138
TI - Protective effects of estrogen and bortezomib in kidney tissue of post-menopausal
rats: an ultrastructural study.
AB - PURPOSE: Symptoms and disorders related to menopause and its associated estrogen
deficiency have become a considerable health concern worldwide. Ovarian hormone
depletion/estrogen deficiency can be usefully studied using animal models after
removal of the ovaries [ovariectomy (Ovx)]. This study assessed renal changes
after Ovx-induced estrogen deficiency in a rat model. METHODS: Rats were randomly
allotted into one control group (group I, healthy) and three study groups (group
II, Ovx group; group III, Ovx +17beta-estradiol group; and group IV, Ovx +
bortezomib group). RESULTS: In the Ovx group (group II), thickening of glomerular
capillary walls, narrowing of Bowman's capsular space, glomerular hypertrophy,
atrophic tubules, and loss of the basal membranes of the tubules were observed.
Mesangial cell proliferation was observed, particularly in the glomerulus.
Immunohistochemical (IHC) staining studies in this group showed dense staining in
the mesangial cells, tubular cell Nf-KB/p65, and caspase-3. Groups III and IV
(Ovx +17beta-estradiol and Ovx + bortezomib) showed decreased NF-kB/p65 and
caspase-3 expression compared with the Ovx group (p < 0.05). CONCLUSION: In renal
failure related to estrogen deficiency caused by Ovx, 17beta-estradiol and
bortezomib have a protective effect on renal tissue.
PMID- 27198137
TI - Renal involvement in primary antiphospholipid syndrome.
AB - Antiphospholipid syndrome is an autoimmune disorder characterized by recurrent
venous or arterial thrombosis and/or pregnancy-related problems associated with
persistently elevated levels of antiphospholipid antibodies. The kidney is a
major target organ in both primary and secondary antiphospholipid syndrome. This
review describes several aspects of the renal involvement in the primary form of
the syndrome, in particular the histological pattern of the so-called
antiphospholipid syndrome nephropathy (APSN). APSN is a vascular nephropathy
characterized by small vessel vaso-occlusive lesions associated with fibrous
intimal hyperplasia of interlobular arteries, recanalizing thrombi in arteries
and arterioles, and focal atrophy, a constellation of morphological lesions
suggestive of primary antiphospholipid syndrome.
PMID- 27198139
TI - Surgical management of osteonecrosis of the humeral head: a systematic review.
AB - PURPOSE: Osteonecrosis of the humeral head is a rare diagnosis. The aim of this
systematic review was to identify published studies and analyse the best clinical
evidence available related to the surgical management of osteonecrosis of the
humeral head. METHODS: A systematic electronic search was performed using the
PubMed (MEDLINE), EMBASE and Cochrane Library databases. Published studies that
reported the outcomes for adult patients treated surgically for osteonecrosis of
the humeral head were included. The PRISMA (Preferred Reporting Items for
Systematic Reviews and Meta-Analyses) statement was followed. RESULTS: Twelve
studies were included: five prospective case series and seven retrospective case
series. A total of 309 patients, comprising 382 shoulders, were included. Three
main surgical procedures were evaluated: core decompression, hemi-arthroplasty
and total shoulder arthroplasty. CONCLUSIONS: Based on the current available
data, core decompression is a safe and effective option for treating low-grade
osteonecrosis of the humeral head, while hemi-arthroplasty and total shoulder
arthroplasty should be considered for high-grade osteonecrosis. More studies and
better-designed trials are needed in order to enrich the evidence and enable
researchers to draw stronger conclusions. Since osteonecrosis is an uncommon,
though challenging disease, a proper knowledge of its treatment is needed. LEVEL
OF EVIDENCE: IV.
PMID- 27198141
TI - International Conference Symposia.
PMID- 27198142
TI - Plant Contributed Papers.
PMID- 27198143
TI - Education Posters.
PMID- 27198140
TI - No negative effect on patient-reported outcome of concomitant cartilage lesions 5
9 years after ACL reconstruction.
AB - PURPOSE: To compare patient-reported outcome 5-9 years after anterior cruciate
ligament (ACL) reconstruction in patients with and without a concomitant full
thickness [International Cartilage Repair Society (ICRS) grade 3-4] cartilage
lesion. METHODS: This is a prospective follow-up of a cohort of 89 patients that
were identified in the Norwegian National Knee Ligament Registry and included in
the current study in 2007, consisting of 30 primary ACL-reconstructed patients
with a concomitant, isolated full-thickness cartilage lesion (ICRS grade 3 and 4)
and 59 matched controls without cartilage lesions (ICRS grade 1-4). At a median
follow-up of 6.3 years (range 4.9-9.1) after ACL reconstruction, 74 (84 %)
patients completed the Knee Injury and Osteoarthritis Outcome Score (KOOS), which
was used as the main outcome measure. Secondary outcomes included radiographic
evaluation according to the Kellgren-Lawrence criteria of knee osteoarthritis
(OA). RESULTS: At follow-up, 5-9 years after ACL reconstruction, no statistically
significant differences in KOOS were detected between patients with a concomitant
full-thickness cartilage lesion and patients without concomitant cartilage
lesions. Radiographic knee OA of the affected knee, defined as Kellgren and
Lawrence >=2, was significantly more frequent in subjects without a concomitant
cartilage lesion (p = 0.016). CONCLUSION: ACL reconstruction performed in
patients with an isolated concomitant full-thickness cartilage lesion restored
patient-reported knee function to the same level as ACL reconstruction performed
in patients without concomitant cartilage lesions, 5-9 years after surgery. This
should be considered in the preoperative information given to patients with such
combined injuries, in terms of the expected outcome after ACL reconstruction and
in the counselling and decision-making on the subject of surgical treatment of
the concomitant cartilage lesion. LEVEL OF EVIDENCE: Prognostic; prospective
cohort study, Level I.
PMID- 27198146
TI - Keynote Symposium.
PMID- 27198145
TI - Animal Posters.
PMID- 27198144
TI - Plant Posters.
PMID- 27198149
TI - Animal Symposia and Workshops.
PMID- 27198147
TI - Plenary Symposia.
PMID- 27198150
TI - Plant Symposia and Workshops.
PMID- 27198151
TI - Animal and Education Contributed Papers.
PMID- 27198152
TI - Combination Therapy of Nifedipine and Sulphonylureas Exhibits a Mutual
Antagonistic Effect on the Endothelial Cell Dysfunction Induced by Hyperglycemia
Linked to Vascular Disease.
AB - BACKGROUND/AIMS: By inducing severe endothelial impairment, hypertension and
diabetes are two leading causes of morbidity and mortality. Hypertensive patients
with concomitant diabetes must take both antihypertensive and hypoglycaemic
medications, for which there is a lack of experimental and clinical guidelines.
This study aimed to examine the interaction between these two types of medication
on the endothelial cell function. METHODS: The effect of antihypertensive
(nifedipine and irbesartan) and anti-diabetic (metformin and
glibenclamide/glimepiride) drugs on human umbilical vein cells (HUVECs) function
was examined using a modified Boyden chamber assay. The intracellular NO and O2-
levels of HUVECs were detected through flow cytometry. RESULTS: Our findings
showed that nifedipine/sulphonylurea monotherapy significantly attenuated high
glucose-induced (33 mM) HUVECs migration incapacity, while combination therapy of
nifedipine and glibenclamide/glimepiride showed no protective effect. Both
nifedipine/metformin monotherapy and combined therapy significantly mitigated the
migration incapacity induced by high glucose in HUVECs. Combined with either
metformin or sulphonylureas, irbesartan therapy was able to attenuate the high
glucose-induced migration incapacity of HUVECs. Nifedipine monotherapy decreased
the O2- levels and increased the NO levels in in vitro-cultured HUVECs treated
with high glucose. However, the combination therapy of nifedipine and
glibenclamide increased the O2- levels and decreased the NO levels compared to
the nifedipine monotherapeutic group. CONCLUSION: The nifedipine and
glibenclamide/glimepiride combination exerted a mutual antagonistic effect on the
protection from high glucose-induced impairment in endothelial cells, which might
be partially attributed to the increased O2- level and decreased NO level. These
results imply that calcium channel blockers + sulphonylurea combination therapy
warrants further attention in patients suffering from both hypertension and
diabetes.
PMID- 27198153
TI - Rights-Based Approaches to Ensure Sustainable Nutrition Security.
AB - In India, a rights-based approach has been used to address large-scale
malnutrition, including both micro- and macro-level nutrition deficiencies.
Stunting, which is an intergenerational chronic consequence of malnutrition, is
especially widespread in India (38% among children under 5 years old). To tackle
this problem, the government of India has designed interventions for the first
1,000 days, a critical period of the life cycle, through a number of community
based programs to fulfill the rights to food and life. However, the entitlements
providing these rights have not yet produced the necessary changes in the
malnutrition status of people, especially women and children. The government of
India has already implemented laws and drafted a constitution that covers the
needs of its citizens, but corruption, bureaucracy, lack of awareness of rights
and entitlements and social discrimination limit people's access to basic rights
and services. To address this crisis, Welthungerhilfe India, working in remote
villages of the most backward states in India, has shifted from a welfare-based
approach to a rights-based approach. The Fight Hunger First Initiative, started
by Welthungerhilfe in 2011, is designed on the premise that in the long term,
poor people can only leave poverty behind if adequate welfare systems are in
place and if basic rights are fulfilled; these rights include access to proper
education, sufficient access to adequate food and income, suitable health
services and equal rights. Only then can the next generation of disadvantaged
populations look forward to a new and better future and can growth benefit the
entire society. The project, co-funded by the Federal Ministry for Economic
Cooperation and Development, is a long-term multi-sectoral program that involves
institution-building and empowerment.
PMID- 27198155
TI - Effect of Angiotensin-Converting Enzyme Inhibitors in Contrast-Induced
Nephropathy: A Meta-Analysis.
AB - AIMS: The purpose of this study was to evaluate the effect of angiotensin
converting enzyme inhibitors (ACEIs) on contrast-induced nephropathy (CIN) in
patients undergoing coronary angiography or percutaneous coronary intervention
(PCI). METHODS: We searched the Medline, Embase, Cochrane Library, China National
Knowledge Infrastructure, Chongqing VIP database and Wanfang database up to
December 2014. Pooled risk ratios (RRs) or weighted mean difference (WMD) with
their 95% CIs for the CIN incidence, serum creatinine (SCr), estimated glomerular
filtration rate (eGFR) and blood urea nitrogen (BUN) of the patients were
collected and calculated using the software Review Manager 5.2. RESULTS: A total
of 12 separate studies including 1,868 patients (1,011 ACEI cases and 857
controls) were considered in the meta-analysis. The overall RR of the incident
CIN in the ACEI group vs. the control group was 0.95 (95% CI 0.57-1.58), and the
total WMDs of the x0394;SCr, x0394;eGFR and x0394;BUN were -0.01 (95% CI -0.04 to
0.02), 5.71 (95% CI -0.66 to 12.09) and 0.78 (95% CI -0.16 to 1.73),
respectively. Besides, the RR of CIN incidence in the captopril group vs. the
control group was 0.72 (95% CI 0.25-2.05, p = 0.54), and the pooled WMD of the
x0394;SCr was -0.13 (95% CI -0.21 to -0.06, p < 0.01). CONCLUSION: This meta
analysis suggests that ACEIs administration has no significant influence in the
CIN of patients undergoing coronary angiography or PCI; however, captopril might
have the potential to prevent CIN.
PMID- 27198154
TI - Regulation of miR-200c and miR-141 by Methylation in Prostate Cancer.
AB - BACKGROUND: In prostate cancer (PCa), abnormal expression of several microRNAs
(miRNAs) has been previously reported. Increasing evidence shows that aberrant
epigenetic regulation of miRNAs is a contributing factor to their altered
expression in cancer. In this study, we investigate whether expression of miR
200c and miR-141 in PCa is related to the DNA methylation status of their
promoter. METHODS: PCR analysis of miR-200c and miR-141, and CpG methylation
analysis of their common promoter, was performed in PCa cell-lines and in
archived prostate biopsy specimens. The biological significance of miR-200c and
miR-141 expression in prostate cancer cells was assessed by a series of in vitro
bioassays and the effect on proposed targets DNMT3A and TET1/TET3 was
investigated. The effect on promoter methylation status in cells treated with
demethylating agents was also examined. RESULTS: miR-200c and miR-141 are both
highly elevated in LNCaP, 22RV1, and DU145 cells, but significantly reduced in
PC3 cells. This correlates inversely with the methylation status of the miR
200c/miR-141 promoter, which is unmethylated in LNCaP, 22RV1, and DU145 cells,
but hypermethylated in PC3. In PC3 cells, miR-200c and miR-141 expression is
subsequently elevated by treatment with the demethylating drug decitabine (5-aza
2'deoxycytidine) and by knockdown of DNA methyltransferase 1 (DNMT1), suggesting
their expression is regulated by methylation. Expression of miR-200c and miR-141
in prostate biopsy tissue was inversely correlated with methylation in promoter
CpG sites closest to the miR-200c/miR-141 loci. In vitro, over-expression of miR
200c in PC3 cells inhibited growth and clonogenic potential, as well as inducing
apoptosis. Expression of the genes DNMT3A and TET1/TET3 were down-regulated by
miR-200c and miR-141 respectively. Finally, treatment with the soy isoflavone
genistein caused demethylation of the promoter CpG sites closest to the miR
200c/miR-141 loci resulting in increased miR-200c expression. CONCLUSIONS: Our
findings provide evidence that miR-200c and miR-141 are under epigenetic
regulation in PCa cells. We propose that profiling their expression and
methylation status may have potential as a novel biomarker or focus of
therapeutic intervention in the diagnosis and prognosis of PCa. Prostate 76:1146
1159, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27198156
TI - Engaging African and Caribbean Immigrants in HIV Testing and Care in a Large US
City: Lessons Learned from the African Diaspora Health Initiative.
AB - The lifting in 2010 of the HIV entry ban eliminated an access point for HIV
testing of the foreign-born. The African Diaspora Health Initiative (ADHI) was
developed to examine alternative pathways to testing for African and Caribbean
persons. The ADHI consists of Clinics Without Walls (CWW) held in community
settings. HIV testing is offered to participants along with hypertension and
diabetes screening. A survey is administered to participants. Descriptive data
were analyzed using SAS 9.2. Between 2011 and 2015, 4152 African and Caribbean
individuals participated in 352 CWW. Participants were mostly (67.7 %) African.
HIV rates were lowest in Caribbean women (0.4 %) and highest in Caribbean men
(8.4 %). Efforts to engage African and Caribbean communities in HIV testing are
important given the elimination of the HIV entry ban and continued immigration to
the US from areas of higher prevalence. The ADHI offers a successful model of
engagement.
PMID- 27198157
TI - Understanding Food Insecurity in the USA and Canada: Potential Insights for
Europe.
AB - Food insecurity is a leading nutrition-related health care issue in the USA due
to the magnitude of the problem (almost 50 million Americans are food insecure)
and its association with a wide array of negative health and other outcomes.
Alongside this interest in the USA, there has also been growing interest in
Canada. In contrast, food insecurity has received less attention in Europe.
Nevertheless, there is both direct and indirect evidence that food insecurity and
its attendant consequences are present in Europe. Given the similarities between
the USA, Canada, and Europe, previous research can offer numerous insights into
the causes and consequences of food insecurity in Europe and possible directions
to address these through measurement and public policies. I first cover the
methods used to measure food insecurity in the USA and Canada. In both countries,
a series of 18 questions in the Core Food Security Module are used to identify
whether a household is food insecure. I then briefly cover the current extent of
food insecurity in each country along with some discussion of the recent history
of food insecurity. A central advantage to using the Core Food Security Module in
Europe is that the measure has been proven useful in other high-income countries,
and using a standardized measure would allow for cross-country comparisons. I
next cover two large-scale food assistance programs from the USA, the
Supplemental Nutrition Assistance Program (formerly known as the Food Stamp
Program) and the National School Lunch Program. For each, I summarize how the
program is structured, how eligibility is established, and how participation
proceeds. Europe has generally used income-based assistance programs to improve
the well-being of low-income households; I consider a couple of reasons for why
food assistance programs may also be worth considering.
PMID- 27198158
TI - Junior doctors will have a month to look at details of new contract.
PMID- 27198159
TI - Body surface area as a prognostic marker in chronic heart failure patients:
results from the Heart Failure Registry of the Heart Failure Association of the
European Society of Cardiology.
AB - AIMS: The 'obesity paradox' is consistently observed in patients with heart
failure (HF). We investigated the relationship of body surface area (BSA) to
mortality and hospitalizations in patients with chronic HF. METHODS AND RESULTS:
Data from the outpatient cohort of the observational, prospective, Heart Failure
Long-Term Registry of the Heart Failure Association of the European Society of
Cardiology was analysed in order to evaluate the prognostic significance of BSA
in chronic HF. A total of 9104 chronic HF patients (age 64.8 +/- 13.4 years;
71.6% males) were enrolled. Mortality during 1-year follow-up was observed in 718
of 8875 (8.1%) patients. A progressive, inverse relationship between all-cause
mortality and BSA levels was observed; the adjusted hazard ratio (HR) for 1-year
mortality was 1.823 [95% confidence interval (CI) 1.398-2.376], P < 0.001 for the
lowest quartile of BSA <1.78 m(2) , and 1.255, 95% CI 1.000-1.576, P = 0.05 for
the middle two quartiles (1.78 <=BSA <=2.07 m(2) ), compared with the highest
quartile (BSA >2.07 m(2) ). For each increase of 0.1 m(2) in BSA, an adjusted HR
of 0.908 (95% CI 0.870-0.948), P < 0.001 for mortality was calculated. HF
hospitalizations were not associated with BSA subgroup distribution. In both
genders, subjects within the lowest BSA quartile (males <1.84 m(2) and females
<1.64 m(2) ) had significantly higher mortality rates during follow-up (log-rank
P < 0.0001). However, the stepwise association with mortality was more distinct
in males. CONCLUSIONS: Total and cardiovascular mortality, but not HF
hospitalizations was inversely associated with BSA levels in chronic HF patients.
BSA may serve as a prognostic indicator for adverse outcome in HF patients.
PMID- 27198160
TI - Difference in Visual Social Predispositions Between Newborns at Low- and High
risk for Autism.
AB - Some key behavioural traits of Autism Spectrum Disorders (ASD) have been
hypothesized to be due to impairments in the early activation of subcortical
orienting mechanisms, which in typical development bias newborns to orient to
relevant social visual stimuli. A challenge to testing this hypothesis is that
autism is usually not diagnosed until a child is at least 3 years old. Here, we
circumvented this difficulty by studying for the very first time, the
predispositions to pay attention to social stimuli in newborns with a high
familial risk of autism. Results showed that visual preferences to social stimuli
strikingly differed between high-risk and low-risk newborns. Significant
predictors for high-risk newborns were obtained and an accurate biomarker was
identified. The results revealed early behavioural characteristics of newborns
with familial risk for ASD, allowing for a prospective approach to the emergence
of autism in early infancy.
PMID- 27198162
TI - Use of antipsychotics and risk of myocardial infarction: a systematic review and
meta-analysis.
AB - AIM: There is emerging concern that antipsychotics may be associated with an
increased risk of myocardial infarction (MI). A previous review identified five
observational studies that did not provide an accurate estimate of the
association between antipsychotic drug use and MI risk. More recent studies have
produced variable results. METHODS: We performed a systematic review and meta
analysis of observational studies to determine whether antipsychotic use affects
the risk for MI. Our analysis included all observational studies that compared MI
incidence among patients receiving antipsychotics vs. no treatment. RESULTS: Nine
observational studies were included in the analysis. The odds for developing MI
were 1.88-fold higher (odds ratio (OR) 1.88, 95% confidence interval (CI) 1.39,
2.54) in antipsychotic users compared with individuals who had not taken
antipsychotics. Subgroup analyses found an OR of 2.48 (95% CI 1.66, 3.69) among
patients with schizophrenia and an OR of 2.64 (95% CI 2.48, 2.81) among short
term (<30 days) antipsychotic users. CONCLUSION: The findings of this meta
analysis support an increased risk of MI in antipsychotic drug users. The present
systematic review expands previous knowledge by demonstrating an increased and
more pronounced risk in short term users.
PMID- 27198163
TI - Objective Structured Assessment of Technical Skills for the Photoselective
Vaporization of the Prostate Procedure: A Pilot Study.
AB - INTRODUCTION AND OBJECTIVES: Photoselective vaporization of the prostate (PVP) is
a frequently performed procedure by postgraduate trainees (PGTs). However, there
is no PVP-specific objective assessment tool to evaluate the acquisition of PVP
skills. The aim of the present study was to develop and validate an objective
structured assessment of technical skills tool for the PVP procedure (PVP-OSATS).
METHODS: This study was conducted in two phases. Phase I included the development
of PVP-OSATS and assessment of its reliability and construct validity. Panel
discussion among experts led to the development of the PVP-OSATS tool with 12
parameters, each scored from 1 (worst) to 5 (best) with a maximum score of 60.
Laser prostatectomy experts and PGTs from postgraduate years (PGY) 4 and 5 were
recruited. Inter-rater reliability, using Cohen's and Fleiss's kappa, was
calculated for all parameters. To assess for construct validity, PGTs were
compared with experts. Phase II included assessment of the concurrent validity of
this novel tool. This was performed by recruiting Quebec urology PGTs between PGY
3 and -5 to test their PVP skills during the semiannual objective structured
clinical examination using the validated GreenLight(TM) simulator. RESULTS:
During phase I,116 intraoperative PVP-OSATS assessments were collected; 102 for
PGTs and 14 for experts. Cohen's and Fleiss's kappa was adequate (k >= 0.6) for
all 12 parameters, confirming adequate inter-rater reliability. There was
significant difference between PGTs and experts in all PVP-OSATS parameters (p <=
0.01) except in respect to anatomical landmarks and instrument damage. During
phase II, there was significant positive correlation between PVP-OSATS scores
inside the operating room and global scores obtained by the GreenLight simulator
(r = 0.814; p < 0.001). CONCLUSION: This study reports inter-rater reliability,
construct, and concurrent validity of PVP-OSATS as a novel PVP-specific objective
assessment tool.
PMID- 27198161
TI - The long noncoding RNA CASC2 functions as a competing endogenous RNA by sponging
miR-18a in colorectal cancer.
AB - Recent evidence highlights the crucial regulatory roles of long noncoding RNAs
(lncRNA) in tumor biology. In colorectal cancer (CRC), the expression of several
lncRNAs is dysregulated and play essential roles in CRC tumorigenesis. However,
the potential biological roles and regulatory mechanisms of the novel human
lncRNA, CASC2 (cancer susceptibility candidate 2), in tumor biology are poorly
understood. In this study, CASC2 expression was significantly decreased in CRC
tissues and CRC cell lines, and decreased expression was significantly more
frequent in patients with advanced tumor-node-metastasis stage disease (TNM III
and IV) (P = 0.028). Further functional experiments indicate that CASC2 could
directly upregulate PIAS3 expression by functioning as a competing endogenous RNA
(ceRNA) for miR-18a. This interactions leads to the de-repression of genes
downstream of STAT3 and consequentially inhibition of CRC cell proliferation and
tumor growth in vitro and in vivo by extending the G0/G1-S phase transition.
Taken together, these observations suggest CASC2 as a ceRNA plays an important
role in CRC pathogenesis and may serve as a potential target for cancer diagnosis
and treatment.
PMID- 27198164
TI - Silicon Quantum Dot Nanoparticles with Antifouling Coatings for Immunostaining on
Live Cancer Cells.
AB - Fluorescent silicon quantum dots (SiQDs) have shown a great potential as
antiphotobleaching, nontoxic and biodegradable labels for various in vitro and in
vivo applications. However, fabricating SiQDs with high water-solubility and high
photoluminescence quantum yield (PLQY) remains a challenge. Furthermore, for
targeted imaging, their surface chemistry has to be capable of conjugating to
antibodies, as well as sufficiently antifouling. Herein, antibody-conjugated SiQD
nanoparticles (SiQD-NPs) with antifouling coatings composed of bovine serum
albumin (BSA) and polyethylene glycol (PEG) are demonstrated for immunostaining
on live cancer cells. The monodisperse SiQD-NPs of diameter about 130 nm are
synthesized by a novel top-down method, including electrochemical etching,
photochemical hydrosilylation, high energy ball milling, and "selective-etching"
in HNO3 and HF. Subsequently, the BSA and PEG are covalently grafted on to the
SiQD-NP surface through presynthesized chemical linkers, resulting in a stable,
hydrophilic, and antifouling organic capping layer with isothiocyanates as the
terminal functional groups for facile conjugation to the antibodies. The in vitro
cell viability assay reveals that the BSA-coated SiQD-NPs had exceptional
biocompatibility, with minimal cytotoxicity at concentration up to 1600 MUg mL(
1). Under 365 nm excitation, the SiQD-NP colloid emits bright reddish
photoluminescence with PLQY = 45-55% in organic solvent and 5-10% in aqueous
buffer. Finally, through confocal fluorescent imaging and flow cytometry
analysis, the anti-HER2 conjugated SiQD-NPs show obvious specific binding to the
HER2-overexpressing SKOV3 cells and negligible nonspecific binding to the HER2
nonexpressing CHO cells. Under similar experimental conditions, the
immunofluorescence results obtained with the SiQD-NPs are comparable to those
using conventional fluorescein isothiocyanate (FITC).
PMID- 27198165
TI - Effectiveness of Inspiratory Termination Synchrony with Automatic Cycling During
Noninvasive Pressure Support Ventilation.
AB - BACKGROUND Pressure support ventilation (PSV) is a standard method for non
invasive home ventilation. A bench study was designed to compare the
effectiveness of patient-ventilator inspiratory termination synchronization with
automated and conventional triggering in various respiratory mechanics models.
MATERIAL AND METHODS Two ventilators, the Respironics V60 and Curative Flexo ST
30, connected to a Hans Rudolph Series 1101 lung simulator, were evaluated using
settings that simulate lung mechanics in patients with chronic obstructive
pulmonary disease (COPD), acute respiratory distress syndrome (ARDS), or normal
lungs. Ventilators were operated with automated (Auto-Trak) or conventional high
, moderate-, and low-sensitivity flow-cycling software algorithms, 5 cmH2O or 15
cmH2O pressure support, 5 cmH2O positive end-expiratory pressure (PEEP), and an
air leak of 25-28 L/min. RESULTS Both ventilators adapted to the system leak
without requiring adjustment of triggering settings. In all simulated lung
conditions, automated cycling resulted in shorter triggering delay times (<100
ms) and lower triggering pressure-time product (PTPt) values. Tidal volumes (VT)
increased with lower conventional cycling sensitivity level. In the COPD model,
automated cycling had higher leak volumes and shorter cycling delay times than in
conventional cycling. Asynchronous events were rare. Inspiratory time (Tinsp),
peak expiratory flow (PEF), and cycling off delay time (Cdelay) increased as a
result of reduction in conventional cycling sensitivity level. In the ARDS and
normal adult lung models, premature cycling was frequent at the high-sensitive
cycling level. CONCLUSIONS Overall, the Auto-Trak protocol showed better patient
machine cycling synchronization than conventional triggering. This was evident by
shorter triggering time delays and lower PTPt.
PMID- 27198166
TI - Enhanced visible light photocatalytic activity of Gd-doped BiFeO3 nanoparticles
and mechanism insight.
AB - To investigate the effect of Gd doping on photocatalytic activity of BiFeO3
(BFO), Gd-doped BFO nanoparticles containing different Gd doping contents (Bi(1
x)GdxFeO3, x = 0.00, 0.01, 0.03, 0.05) were synthesized using a facile sol-gel
route. The obtained products were characterized by X-ray diffraction, scanning
electron microscopy, transmission electron microscopy, X-ray photoelectron
spectra, and ultraviolet-visible diffuse reflectance spectroscopy, and their
photocatalytic activities were evaluated by photocatalytic decomposition of
Rhodamine B in aqueous solution under visible light irradiation. It was found
that the Gd doping content could significantly affect the photocatalytic activity
of as-prepared Gd-doped BFO, and the photocatalytic activity increased with
increasing the Gd doping content up to the optimal value and then decreased with
further enhancing Gd doping content. To elucidate the enhanced photocatalytic
mechanism of Gd-doped BFO, the trapping experiments, photoluminescence,
photocurrent and electrochemical impedance measurements were performed. On the
basis of these experimental results, the enhanced photocatalytic activities of Gd
doped BFO could be ascribed to the increased optical absorption, the efficient
separation and migration of photogenerated charge carriers as well as the
decreased recombination probability of electron-hole pairs derived from the Gd
doping effect. Meanwhile, the possible photocatalytic mechanism of Gd-doped BFO
was critically discussed.
PMID- 27198167
TI - Cellular and circuit mechanisms underlying spinocerebellar ataxias.
AB - Degenerative ataxias are a common form of neurodegenerative disease that affect
about 20 individuals per 100,000. The autosomal dominant spinocerebellar ataxias
(SCAs) are caused by a variety of protein coding mutations (single nucleotide
changes, deletions and expansions) in single genes. Affected genes encode plasma
membrane and intracellular ion channels, membrane receptors, protein kinases,
protein phosphatases and proteins of unknown function. Although SCA-linked genes
are quite diverse they share two key features: first, they are highly, although
not exclusively, expressed in cerebellar Purkinje neurons (PNs), and second, when
mutated they lead ultimately to the degeneration of PNs. In this review we
summarize ataxia-related changes in PN neurophysiology that have been observed in
various mouse knockout lines and in transgenic models of human SCA. We also
highlight emerging evidence that altered metabotropic glutamate receptor
signalling and disrupted calcium homeostasis in PNs form a common, early
pathophysiological mechanism in SCAs. Together these findings indicate that
aberrant calcium signalling and profound changes in PN neurophysiology precede PN
cell loss and are likely to lead to cerebellar circuit dysfunction that explains
behavioural signs of ataxia characteristic of the disease.
PMID- 27198168
TI - Association of low back and knee pain with falls in Japanese community-dwelling
older adults: A 3-year prospective cohort study.
AB - AIM: To examine whether the chronicity and intensity of low back pain (LBP) or
knee pain (KP) was associated with the occurrence of falls among a community
dwelling older population. METHODS: This was a community-based 3-year prospective
cohort study. In 2009, baseline questionnaires were mailed to 3080 randomly
selected residents aged 60-79 years; 2534 residents (82.3%) responded to the
baseline survey, and 1- and 3-year follow-up surveys were subsequently mailed to
them. The data for 1890 respondents who had no falls in the past year at baseline
were analyzed. Associations between pain status (chronicity, intensity and
persistence) and the occurrence of falls were analyzed by multivariable-adjusted
logistic regression. RESULTS: A total of 197 (13.6%) participants had at least
one fall during 12 months at 3-year follow up; of those, 68 (4.8%) had multiple
falls, and 65 (4.5%) resulted in an injury. Chronicity and intensity of LBP were
associated with injurious falls (P for trend = 0.033 and P for linearity = 0.041,
respectively), and KP was associated with at least one fall (P for trend = 0.021
and P for linearity = 0.040, respectively). In addition, participants who had
chronic pain persistently at both baseline and 1-year follow up had a higher risk
of falls (LBP for injurious falls; adjusted odd ratio 2.46, 95% confidence
interval 1.08-5.63, KP for at least one fall; adjusted odd ratio 2.39, 95%
confidence interval 1.29-4.44), compared with those who had no pain at both time
points. CONCLUSIONS: LBP and KP chronicity, intensity and persistence of chronic
pain were associated with a greater risk of falls in older adults. Geriatr
Gerontol Int 2017; 17: 875-884.
PMID- 27198169
TI - Influence of increased patient age on longitudinal outcomes of root canal
treatment: a systematic review.
AB - OBJECTIVES: To conduct a systematic review of longitudinal endodontic outcomes in
elders. BACKGROUND: Negative opinions about the prognosis of non-surgical root
canal treatment (NSRCT) in elders affect decisions made by patients and dentists.
Patient, caregiver and dentist attitudes and behaviours may interact to decrease
the provision of NSRCT. Critical examination of the available evidence through
systematic review could provide objective data to assist patients, caregivers,
healthcare providers and third-party payers in making decisions about the
efficacy of NSRCT in elders and provide a robust foundation for the health
promotion of NSRCT in elders. METHODS: Inclusion/exclusion criteria were used for
defined searches in MEDLINE and Cochrane CENTRAL. Title lists were scanned, and
abstracts read to determine utility; articles meeting inclusion/exclusion
criteria were analysed. Data were extracted and compiled into a table of
evidence. RESULTS: Defined searching produced 3605 titles; 24 articles were
included, nine prospective and 15 retrospective. Overall study quality was good.
Patient samples mostly represented modern populations from countries with very
high human development indices. Over 17 430 teeth were included. Meta-analysis
was not attempted due to heterogeneity in reporting. All 24 included papers
demonstrated that increased patient age did not decrease the success or survival
rates of NSRCT. CONCLUSIONS: This systematic review of longitudinal NSRCT
outcomes demonstrated that increased patient age did not decrease the success of
NSRCT. Patient age is not a prognostic factor for NSRCT. Age should not be
considered by dentists or patients when making NSRCT decisions.
PMID- 27198171
TI - Association of breed and histopathological grade in canine mast cell tumours.
AB - The aim of this study was to evaluate the relationship between breed and the
histopathological grade of canine mast cell tumours (MCTs). A retrospective
survey of pathology data of 9375 histopathologically confirmed diagnoses of
cutaneous MCTs in the US was evaluated in the context of breed prevalence in over
two million registered purebred dogs. Association of histopathological grade with
breed, age, sex and spay/neuter status was assessed. The data indicate that the
proportion of high-grade tumours increases with advancing age, and that male and
intact dogs have increased odds of developing high-grade tumours. A significant
difference in the proportion of high-grade tumours between breeds was detected.
The Pug was at significantly increased risk of developing low/intermediate-grade
tumours, but not high-grade tumours, resulting in preponderance of less
aggressive MCTs in this breed. The results of this study suggest a genetic
association for the development of high-grade MCTs.
PMID- 27198170
TI - A phase 1 study of buparlisib and bevacizumab in patients with metastatic renal
cell carcinoma progressing on vascular endothelial growth factor-targeted
therapies.
AB - BACKGROUND: The phosphatidylinositol-3 kinase (PI3K)/Akt/mammalian target of
rapamycin (mTOR) pathway is dysregulated in patients with metastatic renal cell
carcinoma (mRCC). Buparlisib is a pan-PI3K inhibitor with activity in advanced
solid tumors. The primary objective of the current study was to determine the
maximum tolerated dose (MTD) and dose-limiting toxicities of buparlisib and
bevacizumab in patients with mRCC. Secondary objectives included efficacy,
biomarker discovery, and additional toxicity. METHODS: This was a standard 3 + 3
dose escalation study of buparlisib (at a dose of 60-100 mg/day) and bevacizumab
(at a dose of 10 mg/kg every 2 weeks). After the MTD was defined, 15 patients
were accrued to the expansion cohort. RESULTS: Thirty-two patients were accrued
(3 were treated at 60 mg/day, 21 were treated at 80 mg/day, 6 were treated at 100
mg/day, and 2 patients never received therapy). The majority of patients had
clear cell histology (87%) and 50% had received >=2 prior lines of therapy. The
MTD of buparlisib was 80 mg/day and that of bevacizumab was 10 mg/kg every 2
weeks. A total of 28 patients discontinued therapy: 17 because of disease
progression, 7 because of toxicity, and 4 for other reasons. Dose-limiting
toxicities included rash/pruritis, elevated lipase/amylase, anorexia, and
psychiatric disorders (suicidal ideation, depression, and cognitive
disturbances). Of the 30 patients who received at least 1 dose, 13% achieved a
partial response (95% confidence interval, 4%-31%). Two patients harboring
activating PI3KA mutations achieved 42% and 16% maximal tumor shrinkage,
respectively. CONCLUSIONS: Buparlisib at a dose of 80 mg/day with bevacizumab was
found to be a tolerable regimen with preliminary activity in vascular endothelial
growth factor-refractory mRCC. The benefit of this combination may be of interest
for future mRCC trials, possibly in a selected patient population. Cancer
2016;122:2389-2398. (c) 2016 American Cancer Society.
PMID- 27198173
TI - Laparoscopic Removal of Streak Gonads in Turner Syndrome.
AB - STUDY OBJECTIVE: To demonstrate the skills necessary for complete resection of
bilateral streak gonads in Turner syndrome. DESIGN: Video case presentation with
narration highlighting the key techniques used. The video was deemed exempt from
formal review by our institutional review board. SETTING: Turner syndrome is a
form of gonadal dysgenesis that affects 1 in 2500 live births. Patients often
have streak gonads and may present with primary amenorrhea or premature ovarian
failure. Patients with a mosaic karyotype that includes a Y chromosome are at
increased risk for gonadoblastoma and subsequent transformation into malignancy.
Gonadectomy is recommended for these patients, typically at adolescence. Streak
gonads can be difficult to identify, and tissue margins are often in close
proximity to critical retroperitoneal structures. Resection can be technically
challenging and requires a thorough understanding of retroperitoneal anatomy and
precise dissection techniques to ensure complete removal. INTERVENTIONS:
Laparoscopic approach to bilateral salpingo-oophorectomy of streak gonads.
Retroperitoneal dissection and ureterolysis are performed, with the aid of the
Ethicon Harmonic Ace, to ensure complete gonadectomy. CONCLUSION: Careful and
complete resection of gonadal tissue in the hands of a skilled laparoscopic
surgeon is key for effective cancer risk reduction surgery in Turner syndrome
mosaics.
PMID- 27198172
TI - Novel function of Tau in regulating the effects of external stimuli on adult
hippocampal neurogenesis.
AB - Tau is a microtubule-associated neuronal protein found mainly in axons. However,
its presence in dendrites and dendritic spines is particularly relevant due to
its involvement in synaptic plasticity and neurodegeneration. Here, we show that
Tau plays a novel in vivo role in the morphological and synaptic maturation of
newborn hippocampal granule neurons under basal conditions. Furthermore, we
reveal that Tau is involved in the selective cell death of immature granule
neurons caused by acute stress. Also, Tau deficiency protects newborn neurons
from the stress-induced dendritic atrophy and loss of postsynaptic densities
(PSDs). Strikingly, we also demonstrate that Tau regulates the increase in
newborn neuron survival triggered by environmental enrichment (EE). Moreover,
newborn granule neurons from Tau(-/-) mice did not show any stimulatory effect of
EE on dendritic development or on PSD generation. Thus, our data demonstrate that
Tau(-/-) mice show impairments in the maturation of newborn granule neurons under
basal conditions and that they are insensitive to the modulation of adult
hippocampal neurogenesis exerted by both stimulatory and detrimental stimuli.
PMID- 27198174
TI - Iron-mediated oxidative C-H coupling of arenes and alkenes directed by sulfur: an
expedient route to dihydrobenzofurans.
AB - A novel route to medicinally-relevant dihydrobenzofurans utilises a sulfur
directed C-H ortho-coupling of arenes and unactivated terminal alkenes mediated
by iron, and a palladium-catalysed deallylation/heterocyclisation sequence. The
iron-mediated coupling affords linear products of alkene chloroarylation in good
yield and with complete regioselectivity. The coupling likely proceeds by redox
activation of the arene partner by iron(iii) and alkene addition to the resultant
radical cation.
PMID- 27198175
TI - Corrigendum: Indole-3-Acetic Acid Produced by Burkholderia heleia Acts as a
Phenylacetic Acid Antagonist to Disrupt Tropolone Biosynthesis in Burkholderia
plantarii.
PMID- 27198176
TI - Enzymatic characterization of recombinant rat DDHD2: a soluble diacylglycerol
lipase.
AB - DDHD2 has been reported to exhibit phospholipase A1, triacylglycerol (TG) lipase
and diacylglycerol (DG) lipase activities. However, the detailed enzymatic
properties of DDHD2 have not yet been elucidated. In the current study, the
substrate specificity of DDHD2 towards DG, TG and phosphatidic acid (PA) has been
examined using highly purified recombinant rat DDHD2 (rDDHD2) with a liquid
chromatography mass spectrometer. The k cat/Km value for DG (18:0/20:4) was much
higher than those for TG (18:1/18:1/18:1), and PA (18:0/20:4) in the presence of
sodium deoxycholate. The enzyme activity of rDDHD2 towards DG (18:0/20:4) was
highest among all of the substrates tested. In addition, rDDHD2 was highly
specific to DG substrates with a polyunsaturated fatty acid at their sn-2
position. The levels of 2-arachidonoylglycerol (2-AG) in CHO cells were
quantified by gas chromatography-tandem mass spectrometry, showing that CHO cells
expressing recombinant rDDHD2 contained higher levels of 2-AG when cells were
treated with a monoacylglycerol lipase inhibitor, URB602. These results therefore
support the idea that DDHD2 functions as a DG lipase in vivo and produces 2-AG.
PMID- 27198177
TI - A risk stratification approach to assessing for true cases of measles in a highly
vaccinated population.
AB - OBJECTIVE: In Australia, the notification rate for measles fluctuates greatly
between baseline and outbreak periods. We aimed to identify characteristics of
notified cases that allow risk stratification in order to improve the efficiency
of the public health response in an outbreak setting. METHODS: Retrospective
descriptive case series for all measles notifications made to the Victorian
Government Department of Health between 1 August and 30 September 2013. RESULTS:
A total of 151 notifications were included in the analyses, of which 17 (11%)
were confirmed as measles. Applying the clinical criteria of the measles case
definition or identifying susceptible cases (determined by vaccination status)
correctly identified all measles cases. Requiring cases to meet both criteria
reduced sensitivity to 88%, but improved the positive predictive value (48% vs
25%) and retained a high negative predictive value (98.33%). Application of a
risk stratification approach based on these features would have saved intensive
public health follow-up for 79.5% of notifications in this outbreak. CONCLUSIONS:
Immune status and clinical features can reliably be used to predict which
notifications are unlikely to become confirmed cases. IMPLICATIONS: Risk
stratification and modification of current surveillance practices may provide for
a more efficient public health response, particularly during periods of increased
case notification.
PMID- 27198178
TI - Piperlongumine inhibits lung tumor growth via inhibition of nuclear factor kappa
B signaling pathway.
AB - Piperlongumine has anti-cancer activity in numerous cancer cell lines via various
signaling pathways. But there has been no study regarding the mechanisms of PL on
the lung cancer yet. Thus, we evaluated the anti-cancer effects and possible
mechanisms of PL on non-small cell lung cancer (NSCLC) cells in vivo and in
vitro. Our findings showed that PL induced apoptotic cell death and suppressed
the DNA binding activity of NF-kappaB in a concentration dependent manner (0-15
MUM) in NSCLC cells. Docking model and pull down assay showed that PL directly
binds to the DNA binding site of nuclear factor-kappaB (NF-kappaB) p50 subunit,
and surface plasmon resonance (SPR) analysis showed that PL binds to p50
concentration-dependently. Moreover, co-treatment of PL with NF-kappaB inhibitor
phenylarsine oxide (0.1 MUM) or p50 siRNA (100 nM) augmented PL-induced
inhibitory effect on cell growth and activation of Fas and DR4. Notably, co
treatment of PL with p50 mutant plasmid (C62S) partially abolished PL-induced
cell growth inhibition and decreased the enhanced expression of Fas and DR4. In
xenograft mice model, PL (2.5-5 mg/kg) suppressed tumor growth of NSCLC dose
dependently. Therefore, these results indicated that PL could inhibit lung cancer
cell growth via inhibition of NF-kappaB signaling pathway in vitro and in vivo.
PMID- 27198179
TI - Molecular evolutionary rates are not correlated with temperature and latitude in
Squamata: an exception to the metabolic theory of ecology?
AB - BACKGROUND: The metabolic theory of ecology stipulates that molecular
evolutionary rates should correlate with temperature and latitude in ectothermic
organisms. Previous studies have shown that most groups of vertebrates, such as
amphibians, turtles and even endothermic mammals, have higher molecular
evolutionary rates in regions where temperature is high. However, the association
between molecular evolutionary rates and temperature or latitude has never been
tested in Squamata. RESULTS: We used a large dataset including the spatial
distributions and environmental variables for 1,651 species of Squamata and
compared the contrast of the rates of molecular evolution with the contrast of
temperature and latitude between sister species. Using major axis regressions and
a new algorithm to choose independent sister species pairs, we found that
temperature and absolute latitude were not associated with molecular evolutionary
rates. CONCLUSIONS: This absence of association in such a diverse ectothermic
group questions the mechanisms explaining current pattern of species diversity in
Squamata and challenges the presupposed universality of the metabolic theory of
ecology.
PMID- 27198180
TI - A reversible B-A transition of DNA duplexes induced by synthetic cationic
copolymers.
AB - Although the B-form duplex is the canonical DNA structure, the A-form duplex
plays critical roles in controlling gene expression. Here, reversible B-A
transitions of DNA duplexes were induced by synthetic cationic and anionic
polymers. Thermodynamic analysis demonstrated that the B-A transition was
regulated by the dehydration of the DNA duplex caused by polymer binding.
PMID- 27198181
TI - The Crystal Structure of Burkholderia cenocepacia DfsA Provides Insights into
Substrate Recognition and Quorum Sensing Fatty Acid Biosynthesis.
AB - Burkholderia cenocepacia is a major concern among respiratory tract infections in
cystic fibrosis patients. This pathogen is particularly difficult to treat
because of its high level of resistance to the clinically relevant antimicrobial
agents. In B. cenocepacia, the quorum sensing cell-cell communication system is
involved in different processes that are important for bacterial virulence, such
as biofilm formation and protease and siderophore production. Targeting the
enzymes involved in this process represents a promising therapeutic approach.
With the aim of finding effective quorum sensing inhibitors, we have determined
the three-dimensional structure of B. cenocepacia diffusible factor synthase A,
DfsA. This bifunctional crotonase (dehydratase/thioesterase) produces the
characteristic quorum sensing molecule of B. cenocepacia, cis-2-dodecenoic acid
or BDSF, starting from 3-hydroxydodecanoyl-acyl carrier protein. Unexpectedly,
the crystal structure revealed the presence of a lipid molecule in the catalytic
site of the enzyme, which was identified as dodecanoic acid. Our biochemical
characterization shows that DfsA is able to use dodecanoyl-acyl carrier protein
as a substrate, demonstrating that dodecanoic acid, the product of this reaction,
is released very slowly from the DfsA active site, therefore acting as a DfsA
inhibitor. This molecule shows an unprecedented conformational arrangement inside
the DfsA active site. In contrast with previous hypotheses, our data illustrate
how DfsA and closely related homologous enzymes can recognize long hydrophobic
substrates without large conformational changes or assistance by additional
regulator molecules. The elucidation of the substrate binding mode in DfsA
provides the starting point for structure-based drug discovery studies targeting
B. cenocepacia quorum sensing-assisted virulence.
PMID- 27198183
TI - Hospital Evacuation and Shelter-in-Place: Who Is Responsible for Decision-Making?
AB - OBJECTIVE: During natural disasters, hospital evacuation may be necessary to
ensure patient safety and care. We aimed to examine perceptions of stakeholders
involved in these decisions throughout the Mid-Atlantic region of the United
States during Hurricane Sandy in October 2012. METHODS: Semistructured interviews
were conducted from March 2014 to February 2015 to characterize stakeholders'
perceptions about authority and responsibility for acute care hospital
evacuation/shelter-in-place decision-making in Delaware, Maryland, New Jersey,
and New York during Hurricane Sandy. Interviews were recorded, transcribed, and
thematically analyzed using a framework approach. RESULTS: We interviewed 42
individuals from 32 organizations. Hospital executives from all states reported
having authority and responsibility for evacuation/shelter-in-place decision
making. In New York and Maryland, government officials stated that they could
order hospital evacuation, whereas officials in Delaware and New Jersey said the
government lacked enforcement capacity and therefore could not mandate
evacuation. CONCLUSIONS: Among government officials, perceived authority for
hospital evacuation/shelter-in-place decision-making was viewed as a prerequisite
to ordering evacuation. When both hospital executives and government officials
perceive themselves to possess decision-making authority, there is the potential
for inaction. Future work should examine whether a single entity bearing ultimate
responsibility or regional emergency response coalitions would improve decision
making. (Disaster Med Public Health Preparedness. 2016;10:320-324).
PMID- 27198182
TI - Fly DPP10 acts as a channel ancillary subunit and possesses peptidase activity.
AB - Mammalian DPP6 (DPPX) and DPP10 (DPPY) belong to a family of dipeptidyl
peptidases, but lack enzyme activity. Instead, these proteins form complexes with
voltage-gated K(+) channels in Kv4 family to control their gating and other
properties. Here, we find that the fly DPP10 ortholog acts as an ancillary
subunit of Kv4 channels and digests peptides. Similarly to mammalian DPP10, the
fly ortholog tightly binds to rat Kv4.3 protein. The association causes negative
shifts in voltage dependence of channel activation and steady state inactivation.
It also results in faster inactivation and recovery from inactivation. In
addition to its channel regulatory role, fly DPP10 exhibits significant
dipeptidyl peptidase activity with Gly-Pro-MCA (glycyl-L-proline 4-methylcoumaryl
7-amide) as a substrate. Heterologously expressed Flag-tagged fly DPP10 and human
DPP4 show similar Km values towards this substrate. However, fly DPP10 exhibits
approximately a 6-times-lower relative kcat value normalized with anti-Flag
immunoreactivity than human DPP4. These results demonstrate that fly DPP10 is a
dual functional protein, controlling Kv4 channel gating and removing bioactive
peptides.
PMID- 27198184
TI - Remodeling of Neuronal Circuits After Reach Training in Chronic Capsular Stroke.
AB - BACKGROUND: Subcortical capsular stroke has a poor prognosis, and it is not yet
fully understood how and under what circumstances reach training contributes to
motor recovery. Objective This study was performed to investigate changes in
neuronal circuits and motor recovery in a chronic capsular stroke model in the
presence or absence of reach training. METHOD: We generated photothrombotic
capsular lesions in 42 Sprague-Dawley rats and evaluated motor recovery with or
without daily training in a single-pellet reaching task (SPRT). We used 2-deoxy-2
[18F]-fluoro-D-glucose-microPET (positron emission tomography) to assess
remodeling of neuronal circuits. RESULTS: SPRT training was selectively
beneficial only for the group with incomplete capsular destruction (P < .05),
suggesting the relevance of plasticity in the remaining capsular fibers for motor
recovery. Groups that did not receive SPRT training showed no motor recovery at
all. The microPET analysis demonstrated that motor recovery was correlated with a
reduction in cortical diaschisis in ipsilesional motor and sensory cortices and
in the contralesional sensory cortex (Pearson's correlation, P < .05). We also
observed training-dependent subcortical activation in the contralesional red
nucleus, the internal capsule, and the ventral hippocampus (P < .0025; false
discovery rate q < 0.05). The groups without reach training did not show the same
degree of reduction in diaschisis or activation of the red nucleus. CONCLUSIONS:
Our results suggest that motor recovery and remodeling of neuronal circuits after
capsular stroke depend on the magnitude of the capsular lesion and on the
presence or absence of reach training. Task-specific training is strongly
indicated only when there is incomplete destruction of the capsular fibers.
PMID- 27198186
TI - Biology and treatment of cervical adenocarcinoma.
AB - Uterine cervical adenocarcinoma (ADC) has been increasing in its prevalence world
widely despite the decrease of squamous cell carcinoma (SCC). It comprises nearly
20-25% of the all cervical malignancy in developed countries. The worse
biological behavior had been reported in patients with intermediate- and high
risk factors after surgery, and in advanced stage over III, radiotherapy (RT)
alone and concurrent chemo-radiotherapy (CCRT) with cisplatin was not always
effective. As for chemotherapy (CT), the induction CT has not established, as
well. Further molecular targeted therapy (MTT) has been studied. The targets of
oncogenic driver mutations were vascular endothelial growth factor (VEGF) in SCC,
or tyrosine kinase (TK) of endothelial growth factor receptor 2 (EGFR2, Her2/neu)
Ras-MAPK-ERK pathway. Bevacizumab (Bev, anti-VEGF monoclonal antibody) is
considered as one of key agent with paclitaxel and carboplatin in SCC, but not
for ADC. This article focuses on up-to-date knowledge of biology and possible
specific therapeutic directions to explore in the management of cervical ADC.
PMID- 27198185
TI - Engaging Cervical Spinal Cord Networks to Reenable Volitional Control of Hand
Function in Tetraplegic Patients.
AB - BACKGROUND: Paralysis of the upper limbs from spinal cord injury results in an
enormous loss of independence in an individual's daily life. Meaningful
improvement in hand function is rare after 1 year of tetraparesis. Therapeutic
developments that result in even modest gains in hand volitional function will
significantly affect the quality of life for patients afflicted with high
cervical injury. The ability to neuromodulate the lumbosacral spinal circuitry
via epidural stimulation in regaining postural function and volitional control of
the legs has been recently shown. A key question is whether a similar
neuromodulatory strategy can be used to improve volitional motor control of the
upper limbs, that is, performance of motor tasks considered to be less
"automatic" than posture and locomotion. In this study, the effects of cervical
epidural stimulation on hand function are characterized in subjects with chronic
cervical cord injury. OBJECTIVE: Herein we show that epidural stimulation can be
applied to the chronic injured human cervical spinal cord to promote volitional
hand function. METHODS AND RESULTS: Two subjects implanted with a cervical
epidural electrode array demonstrated improved hand strength (approximately 3
fold) and volitional hand control in the presence of epidural stimulation.
CONCLUSIONS: The present data are sufficient to suggest that hand motor function
in individuals with chronic tetraplegia can be improved with cervical cord
neuromodulation and thus should be comprehensively explored as a possible
clinical intervention.
PMID- 27198187
TI - Mycoprotein reduces energy intake and postprandial insulin release without
altering glucagon-like peptide-1 and peptide tyrosine-tyrosine concentrations in
healthy overweight and obese adults: a randomised-controlled trial.
AB - Dietary mycoprotein decreases energy intake in lean individuals. The effects in
overweight individuals are unclear, and the mechanisms remain to be elucidated.
This study aimed to investigate the effect of mycoprotein on energy intake,
appetite regulation, and the metabolic phenotype in overweight and obese
volunteers. In two randomised-controlled trials, fifty-five volunteers (age: 31
(95 % CI 27, 35) years), BMI: 28.0 (95 % CI 27.3, 28.7) kg/m2) consumed a test
meal containing low (44 g), medium (88 g) or high (132 g) mycoprotein or
isoenergetic chicken meals. Visual analogue scales and blood samples were
collected to measure appetite, glucose, insulin, peptide tyrosine-tyrosine (PYY)
and glucagon-like peptide-1 (GLP-1). Ad libitum energy intake was assessed after
3 h in part A (n 36). Gastric emptying by the paracetamol method, resting energy
expenditure and substrate oxidation were recorded in part B (n 14). Metabonomics
was used to compare plasma and urine samples in response to the test meals.
Mycoprotein reduced energy intake by 10 % (280 kJ (67 kcal)) compared with
chicken at the high content (P=0.009). All mycoprotein meals reduced insulin
concentrations compared with chicken (incremental AUClow (IAUClow): -8 %,
IAUCmedium: -12 %, IAUChigh: -21 %, P=0.004). There was no significant difference
in glucose, PYY, GLP-1, gastric emptying rate and energy expenditure. Following
chicken intake, paracetamol-glucuronide was positively associated with fullness.
After mycoprotein, creatinine and the deamination product of isoleucine, alpha
keto-beta-methyl-N-valerate, were inversely related to fullness, whereas the
ketone body, beta-hydroxybutyrate, was positively associated. In conclusion,
mycoprotein reduces energy intake and insulin release in overweight volunteers.
The mechanism does not involve changes in PYY and GLP-1. The metabonomics
analysis may bring new understanding to the appetite regulatory properties of
food.
PMID- 27198188
TI - Spatial organization shapes the turnover of a bacterial transcriptome.
AB - Spatial organization of the transcriptome has emerged as a powerful means for
regulating the post-transcriptional fate of RNA in eukaryotes; however, whether
prokaryotes use RNA spatial organization as a mechanism for post-transcriptional
regulation remains unclear. Here we used super-resolution microscopy to image the
E. coli transcriptome and observed a genome-wide spatial organization of RNA:
mRNAs encoding inner-membrane proteins are enriched at the membrane, whereas
mRNAs encoding outer-membrane, cytoplasmic and periplasmic proteins are
distributed throughout the cytoplasm. Membrane enrichment is caused by co
translational insertion of signal peptides recognized by the signal-recognition
particle. Time-resolved RNA-sequencing revealed that degradation rates of inner
membrane-protein mRNAs are on average greater that those of the other mRNAs and
that this selective destabilization of inner-membrane-protein mRNAs is abolished
by dissociating the RNA degradosome from the membrane. Together, these results
demonstrate that the bacterial transcriptome is spatially organized and suggest
that this organization shapes the post-transcriptional dynamics of mRNAs.
PMID- 27198190
TI - Finding FISH in a small pond.
AB - Advanced microscopy and labeling techniques reveal that bacteria localize mRNAs
within their cells in a similar way to eukaryotes.
PMID- 27198189
TI - Simple biophysics underpins collective conformations of the intrinsically
disordered proteins of the Nuclear Pore Complex.
AB - Nuclear Pore Complexes (NPCs) are key cellular transporter that control
nucleocytoplasmic transport in eukaryotic cells, but its transport mechanism is
still not understood. The centerpiece of NPC transport is the assembly of
intrinsically disordered polypeptides, known as FG nucleoporins, lining its
passageway. Their conformations and collective dynamics during transport are
difficult to assess in vivo. In vitro investigations provide partially
conflicting results, lending support to different models of transport, which
invoke various conformational transitions of the FG nucleoporins induced by the
cargo-carrying transport proteins. We show that the spatial organization of FG
nucleoporin assemblies with the transport proteins can be understood within a
first principles biophysical model with a minimal number of key physical
variables, such as the average protein interaction strengths and spatial
densities. These results address some of the outstanding controversies and
suggest how molecularly divergent NPCs in different species can perform
essentially the same function.
PMID- 27198192
TI - Mucosal integrity and sensitivity to acid in the proximal esophagus in patients
with gastroesophageal reflux disease.
AB - Acid reflux episodes that extend to the proximal esophagus are more likely to be
perceived. This suggests that the proximal esophagus is more sensitive to acid
than the distal esophagus, which could be caused by impaired mucosal integrity in
the proximal esophagus. Our aim was to explore sensitivity to acid and mucosal
integrity in different segments of the esophagus. We used a prospective
observational study, including 12 patients with gastroesophageal reflux disease
(GERD). After stopping acid secretion-inhibiting medication, two procedures were
performed: an acid perfusion test and an upper endoscopy with electrical tissue
impedance spectroscopy and esophageal biopsies. Proximal and distal sensitivity
to acid and tissue impedance were measured in vivo, and mucosal permeability and
epithelial intercellular spaces at different esophageal levels were measured in
vitro. Mean lag time to heartburn perception was much shorter after proximal acid
perfusion (0.8 min) than after distal acid perfusion (3.9 min) (P = 0.02). Median
in vivo tissue impedance was significantly lower in the distal esophagus (4,563
Omega.m) compared with the proximal esophagus (8,170 Omega.m) (P = 0.002).
Transepithelial permeability, as measured by the median fluorescein flux was
significantly higher in the distal (2,051 nmol.cm(-2).h(-1)) than in the proximal
segment (368 nmol.cm(-2).h(-1)) (P = 0.033). Intercellular space ratio and
maximum heartburn intensity were not significantly different between the proximal
and distal esophagus. In GERD patients off acid secretion-inhibiting medication,
acid exposure in the proximal segment of the esophagus provokes symptoms earlier
than acid exposure in the distal esophagus, whereas mucosal integrity is impaired
more in the distal esophagus. These findings indicate that the enhanced
sensitivity to proximal reflux episodes is not explained by increased mucosal
permeability.
PMID- 27198191
TI - Night workers with circadian misalignment are susceptible to alcohol-induced
intestinal hyperpermeability with social drinking.
AB - Alcohol-induced intestinal hyperpermeability (AIHP) is a known risk factor for
alcoholic liver disease (ALD), but only 20-30% of heavy alcoholics develop AIHP
and ALD. The hypothesis of this study is that circadian misalignment would
promote AIHP. We studied two groups of healthy subjects on a stable work schedule
for 3 mo [day workers (DW) and night workers (NW)]. Subjects underwent two
circadian phase assessments with sugar challenge to access intestinal
permeability between which they drank 0.5 g/kg alcohol daily for 7 days. Sleep
architecture by actigraphy did not differ at baseline or after alcohol between
either group. After alcohol, the dim light melatonin onset (DLMO) in the DW group
did not change significantly, but in the NW group there was a significant 2-h
phase delay. Both the NW and DW groups had no change in small bowel permeability
with alcohol, but only in the NW group was there an increase in colonic and whole
gut permeability. A lower area under the curve of melatonin inversely correlated
with increased colonic permeability. Alcohol also altered peripheral clock gene
amplitude of peripheral blood mononuclear cells in CLOCK, BMAL, PER1, CRY1, and
CRY2 in both groups, and inflammatory markers lipopolysaccharide-binding protein,
LPS, and IL-6 had an elevated mesor at baseline in NW vs. DW and became
arrhythmic with alcohol consumption. Together, our data suggest that central
circadian misalignment is a previously unappreciated risk factor for AIHP and
that night workers may be at increased risk for developing liver injury with
alcohol consumption.
PMID- 27198193
TI - A human model of restricted upper esophageal sphincter opening and its pharyngeal
and UES deglutitive pressure phenomena.
AB - Oropharyngeal dysphagia due to upper esophageal sphincter (UES) dysfunction is
commonly encountered in the clinical setting. Selective experimental perturbation
of various components of the deglutitive apparatus can provide an opportunity to
improve our understanding of the swallowing physiology and pathophysiology. The
aim is to characterize the pharyngeal and UES deglutitive pressure phenomena in
an experimentally induced restriction of UES opening in humans. We studied 14
volunteers without any dysphagic symptoms (7 men, 66 +/- 11 yr) but with various
supraesophageal reflux symptoms. To induce UES restriction, we used a handmade
device that with adjustment could selectively apply 0, 20, 30, or 40 mmHg
pressure perpendicularly to the cricoid cartilage. Deglutitive pharyngeal and UES
pressure phenomena were determined during dry and 5- and 10-ml water swallows * 3
for each of the UES perturbations. External cricoid pressure against the UES
resulted in a significant increase in hypopharyngeal intrabolus pressure and UES
nadir deglutitive relaxation pressure for all tested swallowed volumes (P <
0.05). Application of external cricoid pressure increased the length of the UES
high pressure zone from 2.5 +/- 0.2 to 3.1 +/- 0.2, 3.5 +/- 0.1, and 3.7 +/- 0.1
cm for 20, 30, and 40 mmHg cricoid pressure, respectively (P < 0.05). External
cricoid pressure had no significant effect on pharyngeal peristalsis. On the
other hand, irrespective of external cricoid pressure deglutitive velopharyngeal
contractile integral progressively increased with increased swallowed volumes (P
< 0.05). In conclusion, acute experimental restriction of UES opening by external
cricoid pressure manifests the pressure characteristics of increased resistance
to UES transsphincteric flow observed clinically without affecting the pharyngeal
peristaltic contractile function.
PMID- 27198194
TI - Role of ion transporters in the bile acid-induced esophageal injury.
AB - Barrett's esophagus (BE) is considered to be the most severe complication of
gastro-esophageal reflux disease (GERD), in which the prolonged, repetitive
episodes of combined acidic and biliary reflux result in the replacement of the
squamous esophageal lining by columnar epithelium. Therefore, the acid-extruding
mechanisms of esophageal epithelial cells (EECs) may play an important role in
the defense. Our aim was to identify the presence of acid/base transporters on
EECs and to investigate the effect of bile acids on their expressions and
functions. Human EEC lines (CP-A and CP-D) were acutely exposed to bile acid
cocktail (BAC) and the changes in intracellular pH (pHi) and Ca(2+) concentration
([Ca(2+)]i) were measured by microfluorometry. mRNA and protein expression of ion
transporters was investigated by RT-PCR, Western blot, and immunohistochemistry.
We have identified the presence of a Na(+)/H(+) exchanger (NHE), Na(+)/HCO3 (-)
cotransporter (NBC), and a Cl(-)-dependent HCO3 (-) secretory mechanism in CP-A
and CP-D cells. Acute administration of BAC stimulated HCO3 (-) secretion in both
cell lines and the NHE activity in CP-D cells by an inositol triphosphate
dependent calcium release. Chronic administration of BAC to EECs increased the
expression of ion transporters compared with nontreated cells. A similar
expression pattern was observed in biopsy samples from BE compared with normal
epithelium. We have shown that acute administration of bile acids differently
alters ion transport mechanisms of EECs, whereas chronic exposure to bile acids
increases the expression of acid/base transporters. We speculate that these
adaptive processes of EECs represent an important mucosal defense against the
bile acid-induced epithelial injury.
PMID- 27198195
TI - Multidetector computed tomography shows reverse cardiac remodeling after double
lung transplantation for pulmonary hypertension.
AB - OBJECTIVE: To use multidetector computed tomography (MDCT) to evaluate the
structural changes in the right heart and pulmonary arteries that occur in
patients with severe pulmonary hypertension treated by double lung
transplantation. MATERIAL AND METHODS: This was a retrospective study of 21
consecutive patients diagnosed with severe pulmonary hypertension who underwent
double lung transplantation at our center between 2010 and 2014. We analyzed the
last MDCT study done before lung transplantation and the first MDCT study done
after lung transplantation. We recorded the following variables: diameter of the
pulmonary artery trunk, ratio of the diameter of the pulmonary artery trunk to
the diameter of the ascending aorta, diameter of the right ventricle, ratio of
the diameter of the left ventricle to the diameter of the right ventricle, and
eccentricity index. Statistical analysis consisted of the comparison of the means
of the variables recorded. RESULTS: In all cases analyzed, the MDCT study done a
mean of 24+/-14 days after double lung transplantation showed a significant
reduction in the size of the right heart chambers, with improved indices of
ventricular interdependency index, and reduction in the size of the pulmonary
artery trunk (p<0.001 for all the variables analyzed). CONCLUSION: Patients with
pulmonary hypertension treated by double lung transplantation present early
reverse remodeling of the changes in the structures of the right heart and
pulmonary arterial tree. MDCT is useful for detecting these changes.
PMID- 27198197
TI - Physician assistants in Australasian emergency departments.
AB - A physician assistant (PA) is a university qualified health professional who's
primary role is to provide medical care under the direction and supervision of
medical staff. This is a new profession in Australasia. The PA is well suited to
working in both rural, regional and urban settings that deliver emergency medical
care. A perspective is presented on their role and scope of practice within the
Australasian emergency care system supported by some early findings from their
use in a tertiary ED.
PMID- 27198198
TI - Fibroadhesive peritoneal tuberculosis mimicking inflammatory acute abdomen due to
appendiceal adhesions.
PMID- 27198199
TI - Valentino's syndrome. Perforated peptic ulcer with unusual clinical presentation.
PMID- 27198200
TI - An uncommon cause of epigastralgia.
PMID- 27198196
TI - Gut environment-induced intraepithelial autoreactive CD4(+) T cells suppress
central nervous system autoimmunity via LAG-3.
AB - The gut environment has been found to significantly influence autoimmune diseases
such as multiple sclerosis; however, immune cell mechanisms are unclear. Here we
show that the gut epithelium of myelin oligodendrocyte glycoprotein(35-55)
specific T-cell receptor transgenic mice contains environmental stimuli-induced
intraepithelial lymphocytes (IELs) that inhibit experimental autoimmune
encephalomyelitis on transfer. These cells express surface markers phenotypical
of 'induced' IELs, have a TH17-like profile and infiltrate the central nervous
system (CNS). They constitutively express Ctla4 and Tgfb1 and markedly upregulate
Lag3 expression in the CNS, thereby inhibiting inflammation. We also demonstrate
the suppressive capability of CD4(+) IELs with alternative antigen specificities,
their proliferation in response to gut-derived antigens and contribution of the
microbiota and dietary aryl hydrocarbon receptor ligands to their induction.
Thus, the gut environment favours the generation of autoreactive CD4(+) T cells
with unique regulatory functions, potentially important for preventing CNS
autoimmunity.
PMID- 27198201
TI - Intra-abdominal synovial sarcoma.
PMID- 27198202
TI - Probing protein complexes inside living cells using a silicon nanowire-based pull
down assay.
AB - Most proteins perform their functions as interacting complexes. Here we propose a
novel method for capturing an intracellular protein and its interacting partner
out of living cells by utilizing intracellular access of antibody modified
vertical silicon nanowire arrays whose surface is covered with a polyethylene
glycol layer to prevent strong cell adhesion. Such a feature facilitates the
removal of cells by simple washing, enabling subsequent detection of a pulled
down protein and its interacting partner, and further assessment of a drug
induced change in the interacting complex. Our new SiNW-based tool is thus
suitable for authentication of protein networks inside living cells.
PMID- 27198203
TI - Waste polyethylene terephthalate (PET) materials as sustainable precursors for
the synthesis of nanoporous MOFs, MIL-47, MIL-53(Cr, Al, Ga) and MIL-101(Cr).
AB - In our novel green approach, the waste polyethylene terephthalate (PET) bottle
material has effectively been used as the starting precursor instead of
terephthalic acid for the synthesis of five terephthalate based nanoporous
trivalent metal-organic frameworks (MOFs) namely MIL-47, MIL-53(Cr), MIL-53(Al),
MIL-53(Ga), and MIL-101(Cr). The optimum reaction parameters to achieve the green
synthesis were studied. These MOFs were structurally identified by using powder X
ray diffraction (PXRD) measurements. Scanning electron microscopy (SEM) images
confirm the particle nature and size of the synthesized MOFs. Nitrogen gas
sorption measurements have been done for some of the MOFs to check their porous
properties. All the characterization techniques strongly supported that the
synthesized MOFs using PET are similar to their literature reports. The gas
adsorption studies for the synthesized MIL-53(Cr) and MIL-101(Cr) showed their
significant gas uptake capability towards CO2 and H2 gases. Further, the
synthesized MIL-47 and MIL-101(Cr) have been tested for their catalytic ability
in chemical fixation of CO2 gas through the conversion of CO2 and epoxides to the
corresponding cyclic carbonates which shows promising results to use them as
catalysts.
PMID- 27198204
TI - Distinct genetic evolution patterns of relapsing diffuse large B-cell lymphoma
revealed by genome-wide copy number aberration and targeted sequencing analysis.
AB - Recurrences of diffuse large B-cell lymphomas (DLBCL) result in significant
morbidity and mortality, but their underlying genetic and biological mechanisms
are unclear. Clonal relationship in DLBCL relapses so far is mostly addressed by
the investigation of immunoglobulin (IG) rearrangements, therefore, lacking
deeper insights into genome-wide lymphoma evolution. We studied mutations and
copy number aberrations in 20 paired relapsing and 20 non-relapsing DLBCL cases
aiming to test the clonal relationship between primaries and relapses to track
tumors' genetic evolution and to investigate the genetic background of DLBCL
recurrence. Three clonally unrelated DLBCL relapses were identified (15%). Also,
two distinct patterns of genetic evolution in clonally related relapses were
detected as follows: (1) early-divergent/branching evolution from a common
progenitor in 6 patients (30%), and (2) late-divergent/linear progression of
relapses in 11 patients (65%). Analysis of recurrent genetic events identified
potential early drivers of lymphomagenesis (KMT2D, MYD88, CD79B and PIM1). The
most frequent relapse-specific events were additional mutations in KMT2D and
alterations of MEF2B. SOCS1 mutations were exclusive to non-relapsing DLBCL,
whereas primaries of relapsing DLBCL more commonly displayed gains of 10p15.3
p12.1 containing the potential oncogenes PRKCQ, GATA3, MLLT10 and ABI1.
Altogether, our study expands the knowledge on clonal relationship, genetic
evolution and mutational basis of DLBCL relapses.
PMID- 27198205
TI - Impact of parathyroidectomy for primary hyperparathyroidism on quality of life: A
case-control study using Short Form Health Survey 36.
AB - BACKGROUND: Physical and mental complaints are common in patients with primary
hyperparathyroidism (PHPT) and negatively impact quality of life (QOL).
Subjective symptoms in current guidelines are not considered an indication for
surgery. The purpose of this study was to assess the effect of parathyroidectomy
on QOL in patients with PHPT. METHODS: This multicenter case-control study
investigated preoperative and postoperative QOL scores in patients operated for
PHPT, measured with the Short Form Health Survey-36 (SF-36) questionnaire.
Results were compared with patients undergoing a hemithyroidectomy, the control
group. RESULTS: Fifty-two patients and 49 controls were included. Patients with
PHPT had significantly lower QOL scores preoperatively and improved significantly
after successful surgical treatment. Postoperatively, no differences were
observed between the 2 groups. CONCLUSION: QOL was significantly lower in
patients with untreated PHPT. Surgical treatment was associated with a
significant increase in QOL. Decreased QOL should also be considered as an
indicator for surgical treatment in patients with PHPT. (c) 2016 Wiley
Periodicals, Inc. Head Neck 38:1213-1220, 2016.
PMID- 27198206
TI - Reconstruction of the severely atrophic edentulous maxillae with calvarial bone
grafts.
AB - OBJECTIVES: Treatment of the severely atrophic maxilla with dental implants is
challenging due to the insufficient horizontal and vertical bone volume and
centripetal resorption pattern of the maxilla. Bone-augmentation procedures are
often necessary prior to implant placement. The objective of this study was to
assess the suitability of using calvarial bone grafts to enable implant placement
in severely atrophic maxillae. MATERIAL AND METHODS: Seventeen patients with
severe atrophic edentulous maxillae were reconstructed with autogenous calvarial
bone grafts. After a 4-month healing period, the patients received a total of 134
dental implants, which were left to heal in submerged positions for 3 months
before prosthetic rehabilitation was performed. Patients were followed clinically
and radiographically for an average observation period of 53.94 months. RESULTS:
At the intraoral recipient sites, two infections developed, causing partial loss
of the respective bone grafts. Implant placement, however, was possible at all
sites. No donor-site complications occurred. Two of 134 implants were lost in two
patients prior to prosthetic loading. The implant survival rate was 98.51%. The
implant success rate was 87.6%, and a mean marginal bone loss of 0.62 mm (SD 0.77
mm) was documented. CONCLUSIONS: Patients with severe bone atrophy of the
edentulous maxilla can be successfully reconstructed with calvarial bone grafts
and dental implants and show a stable clinical and radiographic situation after a
mean observation period of 53 months.
PMID- 27198207
TI - Multigene and Drug Interaction Approach for Tamoxifen Metabolite Patterns Reveals
Possible Involvement of CYP2C9, CYP2C19, and ABCB1.
AB - Tamoxifen is metabolically activated to 4-hydroxytamoxifen and endoxifen by
cytochrome P450 (CYP). CYP phenotypes have been correlated to tamoxifen outcomes,
but few have considered drug interactions or combinations of genes. Fewer still
have considered ABCB1, which encodes P-glycoprotein and transports active
tamoxifen metabolites. We compared the concentrations of tamoxifen and
metabolites in 116 breast cancer patients with predicted phenotypes for CYP2D6,
CYP3A4, CYP3A5, CYP2C9, CYP2C19, and ABCB1 genotypes. A significant correlation
between CYP2D6 phenotypes and tamoxifen metabolites was seen, strongest for
endoxifen (P < .0001). Statistical fit of the data improved when using gene
activity scores adjusted for known drug interactions. Concentration of tamoxifen
was significantly higher (P = .02) for patients taking a CYP2C19 inhibitor. No
significant relationships were found for other genes unless patients were
subgrouped according to CYP2D6 phenotypes or ABCB1 genotypes. Lower
concentrations of endoxifen and endoxifen/4-hydroxytamoxifen ratios were seen
with impaired CYP2C9 (P = .05 and P = .03, respectively) if patients had the same
CYP2D6 phenotype and were not taking a CYP2D6 or CYP2C19 inhibitor. Lower
concentrations of 4-hydroxytamoxifen were seen for impaired CYP2C19 when ABCB1
SNP3435 was nonvariant (P = .04). With 3 impaired CYP phenotypes, endoxifen
concentrations were lower than if only CYP2D6 was impaired (P = .05). When CYP2D6
was impaired, ABCB1 3435 CC (rs1045642) was associated with significantly higher
endoxifen (P = .03). Thus, impairment in CYP2C9, CYP2C19, or ABCB1 contributes to
a lower steady-state endoxifen concentration at the dose studied. These studies
represent an improved way of examining relationships between pharmacogenetics,
drug concentrations, and clinical outcomes and warrants study in larger
populations.
PMID- 27198208
TI - Diabetes in the tropics: prevalent, increasing and a major public health problem.
PMID- 27198209
TI - Shared sanitation: to include or to exclude?
AB - Just over 600 million people used shared sanitation in 2015, but this form of
sanitation is not considered 'improved sanitation' or, in the current
terminology, 'basic sanitation' by WHO/UNICEF, principally because they are
typically unhygienic. Recent research has shown that neighbour-shared toilets
perform much better than large communal toilets. The successful development of
community-designed, built and managed sanitation-and-water blocks in very poor
urban areas in India should be adapted and adopted throughout urban slums in
developing countries, with a caretaker employed to keep the facilities clean.
Such shared sanitation should be classified as 'basic', sometimes as 'safely
managed', sanitation, so contributing to the achievement of the sanitation target
of the Sustainable Development Goals.
PMID- 27198210
TI - Systematic review of risk factors for nonadherence to TB treatment in immigrant
populations.
AB - BACKGROUND: Foreign-born populations carry a significant TB burden in low
prevalence countries, composing over half of all cases in parts of Europe and
North America. This study systematically reviewed evidence of risk factors for
nonadherence to TB drug therapy in this group. METHODS: On 28 October 2013
MEDLINE, CINAHL, Embase, PsychINFO and ProQuest were systematically searched for
studies examining adherence in foreign-born populations with TB. Grey literature
and reference lists were hand-searched. Risk factor studies were selected for
inclusion if they consisted of at least 95% foreign-born populations. RESULTS: Of
1761 studies identified in the search, 20 were included in the risk factor
review. Undocumented immigration status, older age, and social risk factors were
consistently correlated with nonadherence; gender, ethnicity, immigration time,
education level, adverse side effects, and HIV status were inconsistently
correlated; and behavioural risk factors and marital status were consistently not
correlated. CONCLUSIONS: This review emphasizes documentation status as a risk
factor candidate for further investigation.
PMID- 27198211
TI - Quantitative and volume, conductivity and scatter changes in leucocytes of
patients with acute undifferentiated febrile illness: a pilot study.
AB - BACKGROUND: A single diagnostic test for acute undifferentiated febrile illnesses
(AUFI) is elusive. This pilot study was undertaken on the premise that
leucocytes, being the main cells of defence, undergo quantitative, structural and
functional changes in AUFI. We evaluated the potential of volume, conductivity
and scatter (VCS) parameters of leucocytes, generated with the haemogram report
by the Coulter auto-analyzer, in differentiating the common etiologies of AUFI.
METHODS: The haematological and VCS data obtained from 800 controls and 200 cases
of AUFI (50 cases each of acute malaria, dengue, scrub typhus and enteric fever)
were retrieved for analysis. RESULTS: The cases and controls differed
significantly with respect to relative numbers and the VCS parameters of
neutrophils, lymphocytes and monocytes (p<0.05). The neutrophil and lymphocyte
were significantly voluminous in acute malaria and scrub typhus as compared to
dengue and enteric fevers (p<0.05). Enteric fever significantly enhanced the
conductivity of neutrophils as compared to other subgroups while lymphocyte
conductivity significantly differed from dengue and scrub typhus. Lymphocyte and
neutrophil scatter values in malaria and scrub typhus were comparable but
differed significantly from that in enteric fever. CONCLUSIONS: Etiology-specific
changes occur in leucocytes, both in numbers and their VCS properties which can
be identified without additional cost.
PMID- 27198212
TI - Detection of Leishmania donovani in peripheral blood of asymptomatic individuals
in contact with patients with visceral leishmaniasis.
AB - BACKGROUND: The majority of individuals infected with Leishmania donovani complex
remain asymptomatic. They may act as transmission reservoirs for visceral
leishmaniasis (VL). We investigated sero-prevalence of L. donovani complex
amongst those closely associated with patients with VL and whether these sero
reactive individuals had Leishmania parasites in their peripheral blood. Other
risk factors were also investigated. METHODS: A total of 257 individuals in
contact with patients with VL were tested for anti-Leishmania antibodies by rK39
immunochromatographic test (rK39 ICT), ELISA using promastigote antigen (p-ELISA)
and indirect fluorescent antibody test (IFAT). Buffy coats of rK39 ICT positive
individuals were cultured; sero-reactive buffy coats were tested for Leishmania
DNA by ITS1 PCR. DNA obtained from culture was sequenced to confirm Leishmania
species. Risk factors were evaluated for each sero-positive sample. RESULTS: The
results showed 29.2% (75/257) prevalence by serological tests: 14.4% (37/257)
were positive by rK39 ICT, 25.3% (65/257) by p-ELISA, 18.3% (47/257) by IFAT and
10.9% (28/257) by all three serological methods. Ten percent (3/30) of cultures
were positive for Leishmania promastigotes. Only 3% (2/74) sero-reactive buffy
coats were positive for DNA; sequence analysis revealed L. donovani species.
Significant risk factors were age, working as farmers, domestic animals in
household and proximity to animal shelters. CONCLUSIONS: Asymptomatic family
members of patients with VL can carry live L. donovani in peripheral blood and
may act as potential reservoirs. GENBANK ACCESSION NUMBER: BankIt1863680
Leishmania KT921417 (DNA sequences of the ribosomal ITS1 region of L. donovani).
PMID- 27198213
TI - Possible selection of host folate pathway gene polymorphisms in patients with
malaria from a malaria endemic region in North East India.
AB - BACKGROUND: Recent studies in experimental mice have shown that mild deficiency
of methylenetetrahydrofolate reductase (MTHFR) enzyme confers protection against
malaria, thus providing an important basis for the hypothesis that MTHFR
polymorphism, i.e. C677T, might have been subjected to selection pressure against
malaria. The present study was undertaken in a malaria endemic region in North
East India to assess whether a similar selection advantage exists for other genes
in folate metabolism pathway. METHODS: A total of 401 subjects including 131
symptomatic malaria, 97 asymptomatic malaria and 173 normal healthy controls were
analysed for nine polymorphisms (single-nucleotide polymorphisms [SNPs] in eight
genes and insertion/deletion in one gene): MTHFR C677T, methionine synthase
reductase (MTRR) A66G, glutamate carboxypeptidase II (GCPII) C1561T,
cystathionine beta-synthase (CBS) 844ins68, reduced folate carrier-1 (RFC-1)
G80A, serine hydroxymethyltransferase (SHMT) C1420T, methionine synthase (MTR)
A2756G, MTHFR G1793A (D 919G), glycine N-methyltransferase (GNMT) 1289 by PCR
RFLP technique. Differences in frequencies of genotype distribution of each
polymorphic marker between these groups were evaluated. RESULTS: MTRR A2756G,
SHMT C1420T, GCPII C1561T, MTRR A2756G and GNMT C1289T and RFC1 G80A
polymorphisms showed significantly different prevalence between different groups
analyzed. No significant differences were seen in the distribution of other
polymorphisms. CONCLUSIONS: The study gives a clue for the possible selection of
specific polymorphisms in the genes involved in the folate metabolism pathway by
malaria parasite.
PMID- 27198214
TI - Rickettsia species in human-parasitizing ticks in Greece.
AB - BACKGROUND: Ticks serve as vectors and reservoirs for a variety of bacterial,
viral and protozoan pathogens affecting humans and animals. Unusual increased
tick aggressiveness was observed in 2008-2009 in northeastern Greece. The aim of
the study was to check ticks removed from persons during 2009 for infection with
Rickettsia species. METHODS: A total of 159 ticks were removed from 147 persons
who sought medical advice in a hospital. Tick identification was performed
morphologically using taxonomic keys. DNA was extracted from each individual tick
and a PCR assay targeting the rickettsial outer membrane protein A gene of
Rickettsia spp. was applied. RESULTS: Most of the adult ticks (132/153, 86.3%)
were Rhipicephalus sanguineus. Rickettsiae were detected in 23 of the 153 (15.0%)
adult ticks. Five Rickettsiae species were identified: R. aeschlimannii, R.
africae (n=6), R. massilae (4), R. monacensis (1), and Candidatus R. barbariae
(1). To our knowledge, this is the first report of R. africae, R. monacensis, and
Candidatus R. barbariae in Greece. CONCLUSIONS: Several Rickettsia species were
identified in ticks removed from humans in Greece, including those that are
prevalent in northern and southern latitudes.
PMID- 27198217
TI - Understanding the relationship between prevalence of microfilariae and
antigenaemia using a model of lymphatic filariasis infection.
PMID- 27198215
TI - Policy to practice: impact of GeneXpert MTB/RIF implementation on the TB spectrum
of care in Lilongwe, Malawi.
AB - BACKGROUND: While previous research has provided evidence of the diagnostic
accuracy of the GeneXpert MTB/RIF (GeneXpert), further information is needed
about implementation in the real-world. This study evaluated the impact of the
introduction of GeneXpert testing in a tertiary medical center according to the
testing algorithm proposed by the National TB Control Program (NTP) guidelines.
METHODS: All adult medicine inpatient persons with presumptive TB admitted
between November 2013 and March 2014 were eligible for GeneXpert sputum testing
and followed to TB treatment initiation status. RESULTS: We identified 932
persons with presumptive TB, of which 307 (32.9%) were GeneXpert tested. Those
tested had an average age of 40 years, 49.2% (151) were male, 34.5% (106) were
HIV positive, and 84.1% (249) presented with a cough. Of those GeneXpert tested,
28/307 (9.1%) tested positive, a 55.5% increase in detection compared to smear
microscopy. However, the majority (44/72, 61%) of TB diagnoses were made by other
modalities and not confirmed microbiologically. Of the 58 patients recommended to
start treatment and discharged from the hospital, only 23 (40%) were documented
to have started treatment at regional directly observed treatment short (DOTS)
centers. CONCLUSIONS: GeneXpert contributed minimally to overall TB diagnosis and
the cascade of care due to implementation challenges of sputum collection,
empiric treatment, and weak linkage to care between inpatient and outpatient
settings.
PMID- 27198216
TI - Use of immunoblotting in testing Madurella mycetomatis specific antigen.
AB - BACKGROUND: Though serodiagnosis of actinomycetoma is established, that of
eumycetoma due to Madurella mycetomatis is limited because of lack of pure
antigen. Reliable rapid tests are needed to make an accurate timely diagnosis.
The purpose of this study is to detect antigen parts of M. mycetomatis, which act
specifically with M. mycetomatis antibodies. METHODS: Cytoplasmic antigen was
prepared from molecularly identified cultures of M. mycetomatis by sonication,
ultracentrifugation, dried, weighed and appropriately reconstituted. M.
mycetomatis cytoplasmic antigen were separated using 12% sodium dodecyl sulfate
polyacrylamide gel, and immunoblotting to detect the reactive ones.Immunoblotting
was carried out in nitrocellulose strips containing different molecular size.
Sera from patients and co-patients as control were used. RESULTS: When stained
with Coomassie brilliant blue R 250 seven molecular weights appeared but only
three, 45, 60, 95 kDa reacted with M. mycetomatis patients few from control
group, one from a malaria patient. No reactive band was observed with sera from
actinomycetoma, Aspergillus flavus-associated aspergillosis, schistosomiasis,
leishmaniasis, fungal sinusitis nor healthy controls. CONCLUSIONS: Specific
fractions of M. mycetomatis antigen which were demonstrated by immunoblotting
showed 75% sensitivity and 95% specificity. The true negative tests were 14
patients (32.5%). This also means that immunoblotting is reasonably reliable in
diagnosis and follow-up of eumycetoma patients.
PMID- 27198218
TI - Distinct patterns of Cas9 mismatch tolerance in vitro and in vivo.
AB - Cas9, a CRISPR-associated RNA-guided nuclease, has been rapidly adopted as a tool
for biochemical and genetic manipulation of DNA. Although complexes between Cas9
and guide RNAs (gRNAs) offer remarkable specificity and versatility for genome
manipulation, mis-targeted events occur. To extend the understanding of
gRNA::target homology requirements, we compared mutational tolerance for a set of
Cas9::gRNA complexes in vitro and in vivo (in Saccharomyces cerevisiae). A
variety of gRNAs were tested with variant libraries based on four different
targets (with varying GC content and sequence features). In each case, we
challenged a mixture of matched and mismatched targets, evaluating cleavage
activity on a wide variety of potential target sequences in parallel through high
throughput sequencing of the products retained after cleavage. These experiments
evidenced notable and consistent differences between in vitro and S. cerevisiae
(in vivo) Cas9 cleavage specificity profiles including (i) a greater tolerance
for mismatches in vitro and (ii) a greater specificity increase in vivo with
truncation of the gRNA homology regions.
PMID- 27198219
TI - The RING 2.0 web server for high quality residue interaction networks.
AB - Residue interaction networks (RINs) are an alternative way of representing
protein structures where nodes are residues and arcs physico-chemical
interactions. RINs have been extensively and successfully used for analysing
mutation effects, protein folding, domain-domain communication and catalytic
activity. Here we present RING 2.0, a new version of the RING software for the
identification of covalent and non-covalent bonds in protein structures,
including pi-pi stacking and pi-cation interactions. RING 2.0 is extremely fast
and generates both intra and inter-chain interactions including solvent and
ligand atoms. The generated networks are very accurate and reliable thanks to a
complex empirical re-parameterization of distance thresholds performed on the
entire Protein Data Bank. By default, RING output is generated with optimal
parameters but the web server provides an exhaustive interface to customize the
calculation. The network can be visualized directly in the browser or in
Cytoscape. Alternatively, the RING-Viz script for Pymol allows visualizing the
interactions at atomic level in the structure. The web server and RING-Viz,
together with an extensive help and tutorial, are available from URL:
http://protein.bio.unipd.it/ring.
PMID- 27198220
TI - BindUP: a web server for non-homology-based prediction of DNA and RNA binding
proteins.
AB - Gene expression is a multi-step process involving many layers of regulation. The
main regulators of the pathway are DNA and RNA binding proteins. While over the
years, a large number of DNA and RNA binding proteins have been identified and
extensively studied, it is still expected that many other proteins, some with yet
another known function, are awaiting to be discovered. Here we present a new web
server, BindUP, freely accessible through the website
http://bindup.technion.ac.il/, for predicting DNA and RNA binding proteins using
a non-homology-based approach. Our method is based on the electrostatic features
of the protein surface and other general properties of the protein. BindUP
predicts nucleic acid binding function given the proteins three-dimensional
structure or a structural model. Additionally, BindUP provides information on the
largest electrostatic surface patches, visualized on the server. The server was
tested on several datasets of DNA and RNA binding proteins, including proteins
which do not possess DNA or RNA binding domains and have no similarity to known
nucleic acid binding proteins, achieving very high accuracy. BindUP is applicable
in either single or batch modes and can be applied for testing hundreds of
proteins simultaneously in a highly efficient manner.
PMID- 27198221
TI - spongeScan: A web for detecting microRNA binding elements in lncRNA sequences.
AB - Non-coding RNA transcripts such as microRNAs (miRNAs) and long non-coding RNAs
(lncRNAs) are important genetic regulators. However, the functions of many of
these transcripts are still not clearly understood. Recently, it has become
apparent that there is significant crosstalk between miRNAs and lncRNAs and that
this creates competition for binding between the miRNA, a lncRNA and other
regulatory targets. Indeed, various competitive endogenous RNAs (ceRNAs) have
already been identified where a lncRNA acts by sequestering miRNAs. This implies
the down-regulation in the interaction of the miRNAs with their mRNA targets,
what has been called a sponge effect. Multiple approaches exist for the
prediction of miRNA targets in mRNAs. However, few methods exist for the
prediction of miRNA response elements (MREs) in lncRNAs acting as ceRNAs
(sponges). Here, we present spongeScan (http://spongescan.rc.ufl.edu), a
graphical web tool to compute and visualize putative MREs in lncRNAs, along with
different measures to assess their likely behavior as ceRNAs.
PMID- 27198222
TI - Structure-Specific nuclease activities of Artemis and the Artemis: DNA-PKcs
complex.
AB - Artemis is a vertebrate nuclease with both endo- and exonuclease activities that
acts on a wide range of nucleic acid substrates. It is the main nuclease in the
non-homologous DNA end-joining pathway (NHEJ). Not only is Artemis important for
the repair of DNA double-strand breaks (DSBs) in NHEJ, it is essential in opening
the DNA hairpin intermediates that are formed during V(D)J recombination. Thus,
humans with Artemis deficiencies do not have T- or B-lymphocytes and are
diagnosed with severe combined immunodeficiency (SCID). While Artemis is the only
vertebrate nuclease capable of opening DNA hairpins, it has also been found to
act on other DNA substrates that share common structural features. Here, we
discuss the key structural features that all Artemis DNA substrates have in
common, thus providing a basis for understanding how this structure-specific
nuclease recognizes its DNA targets.
PMID- 27198224
TI - Skeletal muscle fiber analysis by atmospheric pressure scanning microprobe matrix
assisted laser desorption/ionization mass spectrometric imaging at high mass and
high spatial resolution.
AB - Skeletal muscles are composed of heterogeneous muscle fibers with various fiber
types. These fibers can be classified into different classes based on their
different characteristics. MALDI mass spectrometric imaging (MSI) has been
applied to study and visualize different metabolomics profiles of different fiber
types. Here, skeletal muscles were analyzed by atmospheric pressure scanning
microprobe MALDI-MSI at high spatial and high mass resolution.
PMID- 27198223
TI - PKCalpha and HMGB1 antagonistically control hydrogen peroxide-induced poly-ADP
ribose formation.
AB - Harmful oxidation of proteins, lipids and nucleic acids is observed when reactive
oxygen species (ROS) are produced excessively and/or the antioxidant capacity is
reduced, causing 'oxidative stress'. Nuclear poly-ADP-ribose (PAR) formation is
thought to be induced in response to oxidative DNA damage and to promote cell
death under sustained oxidative stress conditions. However, what exactly triggers
PAR induction in response to oxidative stress is incompletely understood. Using
reverse phase protein array (RPPA) and in-depth analysis of key stress signaling
components, we observed that PAR formation induced by H2O2 was mediated by the
PLC/IP3R/Ca(2+)/PKCalpha signaling axis. Mechanistically, H2O2-induced PAR
formation correlated with Ca(2+)-dependent DNA damage, which, however, was
PKCalpha-independent. In contrast, PAR formation was completely lost upon
knockdown of PKCalpha, suggesting that DNA damage alone was not sufficient for
inducing PAR formation, but required a PKCalpha-dependent process. Intriguingly,
the loss of PAR formation observed upon PKCalpha depletion was overcome when the
chromatin structure-modifying protein HMGB1 was co-depleted with PKCalpha,
suggesting that activation and nuclear translocation of PKCalpha releases the
inhibitory effect of HMGB1 on PAR formation. Together, these results identify
PKCalpha and HMGB1 as important co-regulators involved in H2O2-induced PAR
formation, a finding that may have important relevance for oxidative stress
associated pathophysiological conditions.
PMID- 27198225
TI - Physiological restraint of Bak by Bcl-xL is essential for cell survival.
AB - Due to the myriad interactions between prosurvival and proapoptotic members of
the Bcl-2 family of proteins, establishing the mechanisms that regulate the
intrinsic apoptotic pathway has proven challenging. Mechanistic insights have
primarily been gleaned from in vitro studies because genetic approaches in
mammals that produce unambiguous data are difficult to design. Here we describe a
mutation in mouse and human Bak that specifically disrupts its interaction with
the prosurvival protein Bcl-xL Substitution of Glu75 in mBak (hBAK Q77) for
leucine does not affect the three-dimensional structure of Bak or killing
activity but reduces its affinity for Bcl-xL via loss of a single hydrogen bond.
Using this mutant, we investigated the requirement for physical restraint of Bak
by Bcl-xL in apoptotic regulation. In vitro, Bak(Q75L) cells were significantly
more sensitive to various apoptotic stimuli. In vivo, loss of Bcl-xL binding to
Bak led to significant defects in T-cell and blood platelet survival. Thus, we
provide the first definitive in vivo evidence that prosurvival proteins maintain
cellular viability by interacting with and inhibiting Bak.
PMID- 27198226
TI - A role for HOX13 proteins in the regulatory switch between TADs at the HoxD
locus.
AB - During vertebrate limb development, Hoxd genes are regulated following a bimodal
strategy involving two topologically associating domains (TADs) located on either
side of the gene cluster. These regulatory landscapes alternatively control
different subsets of Hoxd targets, first into the arm and subsequently into the
digits. We studied the transition between these two global regulations, a switch
that correlates with the positioning of the wrist, which articulates these two
main limb segments. We show that the HOX13 proteins themselves help switch off
the telomeric TAD, likely through a global repressive mechanism. At the same
time, they directly interact with distal enhancers to sustain the activity of the
centromeric TAD, thus explaining both the sequential and exclusive operating
processes of these two regulatory domains. We propose a model in which the
activation of Hox13 gene expression in distal limb cells both interrupts the
proximal Hox gene regulation and re-enforces the distal regulation. In the
absence of HOX13 proteins, a proximal limb structure grows without any sign of
wrist articulation, likely related to an ancestral fish-like condition.
PMID- 27198227
TI - HuR and GRSF1 modulate the nuclear export and mitochondrial localization of the
lncRNA RMRP.
AB - Some mitochondrial long noncoding RNAs (lncRNAs) are encoded by nuclear DNA, but
the mechanisms that mediate their transport to mitochondria are poorly
characterized. Using affinity RNA pull-down followed by mass spectrometry
analysis, we found two RNA-binding proteins (RBPs), HuR (human antigen R) and
GRSF1 (G-rich RNA sequence-binding factor 1), that associated with the nuclear
DNA-encoded lncRNA RMRP and mobilized it to mitochondria. In cultured human
cells, HuR bound RMRP in the nucleus and mediated its CRM1 (chromosome region
maintenance 1)-dependent export to the cytosol. After RMRP was imported into
mitochondria, GRSF1 bound RMRP and increased its abundance in the matrix. Loss of
GRSF1 lowered the mitochondrial levels of RMRP, in turn suppressing oxygen
consumption rates and modestly reducing mitochondrial DNA replication priming.
Our findings indicate that RBPs HuR and GRSF1 govern the cytoplasmic and
mitochondrial localization of the lncRNA RMRP, which is encoded by nuclear DNA
but has key functions in mitochondria.
PMID- 27198229
TI - The Enok acetyltransferase complex interacts with Elg1 and negatively regulates
PCNA unloading to promote the G1/S transition.
AB - KAT6 histone acetyltransferases (HATs) are highly conserved in eukaryotes and are
involved in cell cycle regulation. However, information regarding their roles in
regulating cell cycle progression is limited. Here, we report the identification
of subunits of the Drosophila Enok complex and demonstrate that all subunits are
important for its HAT activity. We further report a novel interaction between the
Enok complex and the Elg1 proliferating cell nuclear antigen (PCNA)-unloader
complex. Depletion of Enok in S2 cells resulted in a G1/S cell cycle block, and
this block can be partially relieved by depleting Elg1. Furthermore, depletion of
Enok reduced the chromatin-bound levels of PCNA in both S2 cells and early
embryos, suggesting that the Enok complex may interact with the Elg1 complex and
down-regulate its PCNA-unloading function to promote the G1/S transition.
Supporting this hypothesis, depletion of Enok also partially rescued the
endoreplication defects in Elg1-depleted nurse cells. Taken together, our study
provides novel insights into the roles of KAT6 HATs in cell cycle regulation
through modulating PCNA levels on chromatin.
PMID- 27198228
TI - Histone H3K4 methylation regulates deactivation of the spindle assembly
checkpoint through direct binding of Mad2.
AB - Histone H3 methylation on Lys4 (H3K4me) is associated with active gene
transcription in all eukaryotes. In Saccharomyces cerevisiae, Set1 is the sole
lysine methyltransferase required for mono-, di-, and trimethylation of this
site. Although H3K4me3 is linked to gene expression, whether H3K4 methylation
regulates other cellular processes, such as mitosis, is less clear. Here we show
that both Set1 and H3K4 mutants display a benomyl resistance phenotype that
requires components of the spindle assembly checkpoint (SAC), including Bub3 and
Mad2. These proteins inhibit Cdc20, an activator of the anaphase-promoting
complex/cyclosome (APC/C). Mutations in Cdc20 that block Mad2 interactions
suppress the benomyl resistance of both set1 and H3K4 mutant cells. Furthermore,
the HORMA domain in Mad2 directly binds H3, identifying a new histone H3 "reader"
motif. Mad2 undergoes a conformational change important for execution of the SAC.
We found that the closed (active) conformation of both yeast and human Mad2 is
capable of binding methylated H3K4, but, in contrast, the open (inactive) Mad2
conformation limits interaction with methylated H3. Collectively, our data
indicate that interactions between Mad2 and H3K4 regulate resolution of the SAC
by limiting closed Mad2 availability for Cdc20 inhibition.
PMID- 27198231
TI - Alien Parasites May Survive Even if Their Original Hosts Do Not.
PMID- 27198230
TI - Evolution of a transcriptional regulator from a transmembrane nucleoporin.
AB - Nuclear pore complexes (NPCs) emerged as nuclear transport channels in eukaryotic
cells ~1.5 billion years ago. While the primary role of NPCs is to regulate
nucleo-cytoplasmic transport, recent research suggests that certain NPC proteins
have additionally acquired the role of affecting gene expression at the nuclear
periphery and in the nucleoplasm in metazoans. Here we identify a widely
expressed variant of the transmembrane nucleoporin (Nup) Pom121 (named sPom121,
for "soluble Pom121") that arose by genomic rearrangement before the divergence
of hominoids. sPom121 lacks the nuclear membrane-anchoring domain and thus does
not localize to the NPC. Instead, sPom121 colocalizes and interacts with
nucleoplasmic Nup98, a previously identified transcriptional regulator, at gene
promoters to control transcription of its target genes in human cells.
Interestingly, sPom121 transcripts appear independently in several mammalian
species, suggesting convergent innovation of Nup-mediated transcription
regulation during mammalian evolution. Our findings implicate alternate
transcription initiation as a mechanism to increase the functional diversity of
NPC components.
PMID- 27198232
TI - Antimicrobial Consumption in Medicated Feeds in Vietnamese Pig and Poultry
Production.
AB - Antimicrobials are extensively used as growth promoters in animal feeds
worldwide, but reliable estimates are lacking. We conducted an internet-based
survey of commercial chicken and pig feed products officially approved for sale
in Vietnam over the period March-June 2015. Information on the antimicrobial
contents in feed products, alongside animal production data, was used to estimate
in-feed antimicrobial consumption to produce one kilogram of live animal
(chicken, pig), as well as to estimate country-wide antimicrobial consumption
through animal feeds. A total of 1462 commercial feed formulations were examined.
The survey-adjusted estimated antimicrobial contents were 25.7 and 62.3 mg/kg in
chicken and pig feeds, respectively. Overall, it was estimated that 77.4 mg [95%
CI 48.1-106.8] and 286.6 mg [95% CI 191.6-418.3] of in-feed antimicrobials were
used to raise 1 kg of live chicken and pig, respectively. Bacitracin (15.5%
feeds), chlortetracycline (11.4%), and enramycin (10.8%) were the most common
antimicrobials present in chicken feed formulations, whereas bacitracin (24.8%),
chlortetracycline (23.9%), and florfenicol (17.4%) were the most common in pig
feed formulations. Overall, 57% of the total quantitative usage consisted of
antimicrobials regarded by WHO of importance for human medicine, including
amoxicillin, colistin, tetracyclines, neomycin, lincomycin, and bacitracin. These
figures confirm a very high magnitude of in-feed consumption of antimicrobials,
especially in pig production. Results from this study should encourage further
monitoring of antimicrobials used in animal production, and foster discussion
about existing policies on inclusion of antimicrobials in animal feed rations.
PMID- 27198233
TI - Effect of concomitant vitamin D deficiency or insufficiency on lumbar spine
volumetric bone mineral density and trabecular bone score in primary
hyperparathyroidism.
AB - Lower vitamin D and higher parathyroid hormone (PTH) levels are associated with
higher volumetric BMD and bone strength at the lumbar spine as measured by
central quantitative computed tomography in primary hyperparathyroidism (PHPT),
but there are no differences in bone microarchitecture as measured by trabecular
bone score (TBS). INTRODUCTION: The purpose of this study was to evaluate the
association between 25-hydroxyvitamin D (25OHD) and volumetric bone mineral
density (vBMD) and the TBS at the lumbar spine (LS) in PHPT. METHODS: This is a
cross-sectional analysis of PHPT patients with and without low 25OHD. We measured
vBMD with quantitative computed tomography (cQCT) and TBS by dual-energy X-ray
absorptiometry (DXA) at the LS in 52 and 88 participants, respectively. RESULTS:
In the cQCT cohort, those with lower vitamin D (<20 vs. 20-29 vs. >=30 ng/ml)
tended to be younger (p = 0.05), were less likely to use vitamin D
supplementation (p < 0.01), and had better renal function (p = 0.03). Those with
25OHD <20 ng/ml had 80 and 126 % higher serum PTH levels respectively vs. those
with 25OHD 20-29 ng/ml (p = 0.002) and 25OHD >=30 ng/ml (p < 0.0001). Covariate
adjusted integral and trabecular vBMD were higher in those with 25OHD 20-29 vs.
those with 25OHD >=30 ng/ml, but those with 25OHD <20 did not differ. Because
there were few participants with 25OHD deficiency, we also compared those with
vitamin D <30 vs. >=30 ng/ml. Covariate-adjusted integral and trabecular vBMD
were 23 and 30 % higher respectively (both p < 0.05) in those with vitamin D <30
vs. >=30 ng/ml. TBS was in the partially degraded range but did not differ by
vitamin D status. CONCLUSION: In mild PHPT, lower 25OHD is associated with higher
PTH, but vitamin D deficiency and insufficiency using current clinical thresholds
did not adversely affect lumbar spine skeletal health in PHPT. Further work is
needed to determine if higher vBMD in those with lower vitamin D is due to an
anabolic effect of PTH.
PMID- 27198234
TI - Dietary patterns are associated with bone mineral density in an urban Mexican
adult population.
AB - Several types of nutrients and foods affect bone mineral density (BMD). However,
these nutrients occur together in food groups and dietary patterns, and the
overall effects of dietary patterns are not yet well known. INTRODUCTION: We
evaluated the associations between dietary patterns and BMD among adults
participating in the Health Workers Cohort Study. METHODS: In a cross-sectional
analysis, we examined 6915 Mexican adults aged 20-80 years. All participants
completed a validated self-administered food frequency questionnaire (FFQ) and
had total, hip, and spine BMD measurements assessed by dual-energy X-ray
absorptiometry (DXA). The FFQ included 116 foods, which were grouped into 22
categories and entered into a factor analysis to derive dietary patterns.
RESULTS: Three dietary patterns emerged-a Prudent, a Refined foods, and a Dairy
and fish pattern. After adjustment for potential confounders, those in the
highest quintile of the Prudent pattern had lower odds (OR) of low spine BMD (OR
= 0.80; 95 % CI 0.68, 0.94; P for trend = 0.031) compared to those in the lowest
quintile. In contrast, participants in the highest quintile of the Refined foods
pattern had greater odds of low total BMD (OR = 1.74; 95 % CI 1.10, 2.76; P for
trend = 0.016) than those in the lowest quintile. Finally, participants in the
highest quintile of the Dairy and fish dietary pattern had significantly lower
likelihood of having low BMD. CONCLUSION: This study identified specific dietary
patterns associated with BMD among a Mexican adult population and highlights the
importance of promoting food-based prevention strategies for maintaining bone
health.
PMID- 27198235
TI - Internet-based incentives increase blood glucose testing with a non-adherent,
diverse sample of teens with type 1 diabetes mellitus: a randomized controlled
Trial.
AB - Non-adherence with self-monitoring blood glucose (SMBG) among teenagers with type
1 diabetes can be a problem. The purpose of this study was to investigate the
feasibility, acceptability, and preliminary efficacy of using Internet-based
incentives to improve adherence with SMBG in non-adherent teenagers. Participants
were randomly assigned to contingent (CS; N = 23), where they had to meet web
camera-verified SMBG goals to earn incentives, or non-contingent (NS) groups (N =
18), where they earned incentives independent of adherence. Brief motivational
interviewing (MI) was given prior to the intervention. Attrition was 15 % in the
CS group. Participants and parents endorsed the intervention on all intervention
dimensions. Daily SMBG increased after one MI session, and further increased when
incentives were added, but significantly more for so for older participants. SMBG
declined slowly over time, but only returned to baseline levels for younger NS
participants. Internet-based incentive interventions are feasible, acceptable,
and show promise for improving adherence with SMBG.
PMID- 27198236
TI - Monitoring live human mesenchymal stromal cell differentiation and subsequent
selection using fluorescent RNA-based probes.
AB - Investigating mesenchymal stromal cell differentiation requires time and multiple
samples due to destructive endpoint assays. Osteogenesis of human bone marrow
derived mesenchymal stromal cells (hBMSCs) has been widely studied for bone
tissue engineering. Recent studies show that the osteogenic differentiation of
hBMSCs can be assessed by quantifying the ratio of two important transcription
factors (Runx2/Sox9). We demonstrate a method to observe mRNA expression of two
genes in individual live cells using fluorescent probes specific for Runx2 and
Sox9 mRNA. The changes of mRNA expression in cells can be observed in a non
destructive manner. In addition, the osteogenic hBMSCs can be prospectively
identified and obtained based on the relative intracellular fluorescence of Sox9
in relation to Runx2 using fluorescence activated cell sorting. Relatively
homogeneous cell populations with high osteogenic potential can be isolated from
the original heterogeneous osteogenically induced hBMSCs within the first week of
induction. This offers a more detailed analysis of the effectiveness of new
therapeutics both at the individual cell level and the response of the population
as a whole. By identifying and isolating differentiating cells at early time
points, prospective analysis of differentiation is also possible, which will lead
to a greater understanding of MSC differentiation.
PMID- 27198237
TI - What Political Framework Is Necessary to Reduce Malnutrition? A Civil Society
Perspective.
AB - Around 800 million people worldwide are still starving. Around 2 billion are
somehow able to allay their hunger yet remain malnourished because their food
does not contain sufficient nutrients. There are many reasons for this: for
people living in poverty and precarious conditions, the priority is to fill their
stomach, and the quality of food seems less important. Since the 1960s, global
food production has been focused on increasing yield, not food quality. Mass
produced convenience food with high fat and carbohydrate contents but containing
few nutrients is on the rise and - as a result of price wars - often replaces
healthier locally grown products. To overcome global hunger and malnutrition,
civil society organizations urge governments to turn towards sustainable and
human rights-based development, including sustainable agricultural and fishing
policies, to contribute to the eradication of poverty. This development is first
and foremost guided by the right to food. In a policy that enables farmers to
produce enough food that is healthy and rich in nutrients, the following
principles should be fulfilled. Governments should assume responsibility for the
international impacts of their agricultural policy decisions. The food
sovereignty of other countries should be respected. Policies should enable self
supply of the population with healthy food and should promote the protection of
resources, the climate, biodiversity and animal welfare. Strengthening rural
structures, local economies, labor rights and small-scale food producers,
establishing public programs that provide locally produced food, applying
stringent standards for food labeling and the regulation of unhealthy products
and paying special attention to the first 1,000 days of life as the starting
point of a good and healthy well-being are core elements of such a political
framework.
PMID- 27198239
TI - Thrombin Stimulated Platelet-Derived Exosomes Inhibit Platelet-Derived Growth
Factor Receptor-Beta Expression in Vascular Smooth Muscle Cells.
AB - BACKGROUND/AIMS: It is difficult to predict acute thrombotic cardiovascular
events in the clinic. Few studies have reported the presence of plasma exosomes
containing microRNAs (miRNAs) in cardiovascular events. Therefore, we aimed to
investigate the levels of miR-223, miR-339 and miR-21 in plasma exosomes before
thrombosis in mouse models of carotid tandem stenosis, as well as the mechanisms
underlying the origin and function of these exosomal miRNAs. METHODS: Plasma
samples were collected from the carotid tandem stenosis and sham control groups
of our successfully developed atherothrombosis mouse models before thrombosis.
Platelets from healthy volunteers and mice were purified to obtain thrombin
stimulated platelet-derived exosomes. Exosomes were isolated via differential
ultracentrifugation, and western blotting and transmission electron microscopy
were used for their identification. The total RNA was extracted, and quantitative
real-time PCR was performed to determine the expression levels of miR-223, miR
339 and miR-21. DAVID Tools were used to analyze the pathways that were enriched
among the miRNA target genes. Immuno-fluorescence staining was performed to
identify the protein expression levels of platelet-derived exosome target genes
in vascular smooth muscle cells (SMCs) in vitro and in vivo. RESULTS: The levels
of miR-223, miR-339 and miR-21, which are associated with platelet activation,
were elevated in pooled mouse plasma exosomes before thrombosis and enriched in
thrombin-stimulated platelet-derived exosomes in vitro. Platelet-derived growth
factor receptor-beta (PDGFRbeta) was a target of these miRNAs, and PDGFRbeta
expression in vascular smooth muscle cells (SMCs) was inhibited following
incubation with platelet-derived exosomes. Platelet-derived exosomes could also
inhibit PDGF-stimulated SMC proliferation. Furthermore, a decrease in PDGFRbeta
expression was observed in vascular SMCs around thrombotic areas in vivo.
CONCLUSIONS: Our data indicate that activated platelet-derived exosomes
containing miR-223, miR-339 and miR-21 could be transferred into SMCs and inhibit
PDGFRbeta expression; these exosomal miRNAs may be a biomarker for predicting
atherothrombosis.
PMID- 27198238
TI - Preoperative Endoscopy Prior to Bariatric Surgery: a Systematic Review and Meta
Analysis of the Literature.
AB - BACKGROUND: There is debate regarding preoperative endoscopy (EGD) in patients
undergoing bariatric surgery. Some centers perform EGD routinely in all patients;
others perform EGD selectively. The objective of this study was to perform a
systematic review and meta-analysis of the existing literature to estimate how
frequently preoperative EGD changes management. METHODS: Our review yielded 28
studies encompassing 6616 patients. Baseline characteristics including age and
body mass index (BMI) were included. Patients were grouped based on EGD findings
into two groups: Group 1-findings which did not significantly change management
(e.g., mild/moderate duodenitis, Grade A/B esophagitis, mild/moderate gastritis,
H. pylori infection, hiatal hernia <2 cm); Group 2-findings which delayed,
altered, or cancelled surgery (e.g., severe duodenitis, Grade C/D esophagitis,
gastric varices, hiatal hernia >2 cm, mass/carcinoma). A general estimating
equation (GEE) model accounting for the correlated data within each study was
used to calculate confidence intervals around the estimate of how frequently
surgery was delayed or altered. RESULTS: Mean age was 41.4 +/- 2.9 years, the
majority was women, and mean preoperative BMI was 47 +/- 3.2 kg/m2. Overall 92.4
% (n = 6112) had a normal EGD or findings that did not change clinical management
and 7.6 % (n = 504); 95 % CI [4.6, 12.4 %] had findings that delayed/altered
surgery. The revised estimate was 20.6 %; 95%CI [14.5, 28.2 %] if all esophagitis
(regardless of grade) were categorized into Group 2. The approximate incidence of
Barrett's esophagus and carcinoma were 0.1 and 0.08 %, respectively. CONCLUSION:
A selective approach to preoperative EGD may be considered, based on the
patients' symptoms, risk factors, and type of procedure planned.
PMID- 27198455
TI - Herpes simplex virus type 2: Cluster of unrelated cases in an intensive care
unit.
AB - Herpes simplex viruses, which are associated with various clinical
manifestations, can be transmitted to critically ill patients from other patients
or health care staff. We report an apparent outbreak of mucocutaneous herpes
simplex virus 2 infections (5 cases in 10 weeks). An epidemiologic investigation
and genotype analysis showed no connections among the 5 cases.
PMID- 27198457
TI - Another Piece of the Puzzle of Podocyte B7-1 Expression: Lupus Nephritis.
AB - BACKGROUND/AIMS: Lupus nephritis (LN) is a frequent complication and a major
predictor of poor prognosis of systemic lupus erythematosus. Immune complex
deposition and T cell infiltration are crucial events in LN pathogenesis. B7-1
(CD80), normally expressed by antigen-presenting cells, is one of the major co
stimulators of T-cell activation through the binding with its counter-receptors
CD28 and cytotoxic T-lymphocyte antigen-4. Unexpectedly, B7-1 induction was
described at the podocyte level in patients affected by different renal diseases,
including LN. These observations suggested a novel exciting function for B7-1 as
a biomarker of podocyte injury, and hence that B7-1 inhibitory drugs could serve
as podocyte-targeted treatment of intractable renal diseases. However, subsequent
studies hardly questioned the reliability of B7-1 detection assays and the
therapeutic efficacy of B7-1 blockade in proteinuric patients, casting doubts on
B7-1 expression by podocytes. Here, we thoroughly investigated whether B7-1 was
indeed expressed by podocytes in LN, before even considering employing B7-1
blockade in patients with severe manifestations of LN and unfavourable prognosis.
METHODS: Applying different immunohistochemical assays with 4 primary antibodies,
we analysed kidney biopsies from 42 LN patients at different stages of the
disease, and from NZB/NZW mice, an LN model. RESULTS: B7-1 was not induced in
podocytes in human and murine LN; instead its expression was confined to
infiltrating inflammatory cells. CONCLUSION: B7-1 is not expressed by podocytes
in LN. A renoprotective effect of B7-1 blockade in LN patients cannot be ruled
out but, if confirmed, cannot be the result of an effect on podocyte B7-1.
PMID- 27198456
TI - Cystatin C Based Equation Accurately Estimates Glomerular Filtration Rate in
Children With Solid and Central Nervous System Tumours: Enough Evidence to Change
Practice?
AB - BACKGROUND: Assessing the glomerular filtration rate (GFR) of paediatric patients
receiving nephrotoxic chemotherapy is a vital element of clinical practice.
Isotopically measured GFR is the gold standard in terms of accuracy but requires
injection of tracer followed by several hours of blood tests. Estimation of GFR
using creatinine is widely used but inaccurate, and there is increasing concern
regarding its usage for paediatric oncology patients. Cystatin C (CysC) based
equations are increasingly used in other paediatric specialities to estimate GFR,
and their usefulness in paediatric oncology practice is becoming evident.
METHODS: We assessed the renal function of children with solid tumours and CNS
tumours receiving nephrotoxic chemotherapy over a 1-year period using paired CysC
and isotopic GFR. RESULTS: Fifty-six sets of measurements were reviewed with
estimated GFR predicted using CysC-based and creatinine-based equations. The best
performing equation was the 'new CKiD' equation, which estimated GFR within 30%
of the measured GFR on 86% of occasions, outperforming the Schwartz equation. If
estimated GFR using this equation was >100 ml/min/1.73 m(2) , all values of
measured GFR were normal at >90 ml/min/1.73 m(2) , a category containing two
thirds of all measurements. CONCLUSIONS: The new CKiD equation predicts GFR in
paediatric oncology patients with more accuracy than creatinine-based equations.
When the estimated GFR is >100 ml/min/1.73 m(2) , isotopic GFR can be safely
omitted.
PMID- 27198458
TI - Psychoanalysis and bioethics: a Lacanian approach to bioethical discourse.
AB - This article aims to develop a Lacanian approach to bioethics. Point of departure
is the fact that both psychoanalysis and bioethics are practices of language,
combining diagnostics with therapy. Subsequently, I will point out how Lacanian
linguistics may help us to elucidate the dynamics of both psychoanalytical and
bioethical discourse, using the movie One flew over the Cuckoo's Nest and
Sophocles' tragedy Antigone as key examples. Next, I will explain the 'topology'
of the bioethical landscape with the help of Lacan's three dimensions: the
imaginary, the symbolical and the real. This will culminate in an assessment of
the dynamics of bioethical discourse with the help of Lacan's theorem of the four
discourses. Bioethics, I will argue, is not a homogeneous discourse. Rather, four
modalities of bioethical discourse can be distinguished, all of them displaying
specific weaknesses and strengths, opportunities and threats. This will be
elucidated with the help of two case studies, namely the debates on human
reproductive technologies and on the use of animals as biomedical research
models.
PMID- 27198459
TI - Coordinated path following of multiple underacutated marine surface vehicles
along one curve.
AB - This paper investigates the coordinated path following problem for a fleet of
underactuated marine surface vehicles (MSVs) along one curve. The dedicated
control design is divided into two tasks. One is to steer individual
underactuated MSV to track the given spatial path, and the other is to force the
vehicles dispersed on a parameterized path subject to the constraints of a
communication network. Specifically, a robust individual path following
controller is developed based on a line-of-sight (LOS) guidance law and a reduced
order extended state observer (ESO). The vehicle sideslip angle due to
environmental disturbances can be exactly identified. Then, the vehicle
coordination is achieved by a path variable containment approach, under which the
path variables are evenly dispersed between two virtual leaders. Another reduced
order ESO is developed to identify the composite disturbance related to the speed
of virtual leaders and neighboring vehicles. The proposed coordination design is
distributed since the reference speed does not need to be known by all vehicles
as a priori. The input-to-state stability of the closed-loop network system is
established via cascade theory. Simulation results demonstrate the effectiveness
of the proposed design method.
PMID- 27198460
TI - Automatic optic disc localization and segmentation in retinal images by a line
operator and level sets.
AB - BACKGROUND: Existing methods may fail to locate and segment the optic disc (OD)
due to imprecise boundaries, inconsistent image contrast and deceptive edge
features in retinal images. OBJECTIVE: To locate the OD and detect the OD
boundary accurately. METHODS: The method exploits a multi-stage strategy in the
detection procedure. Firstly, OD location candidate regions are identified based
on high-intensity feature and vessels convergence property. Secondly, a line
operator filter for circular brightness feature detection is designed to locate
the OD accurately on candidates. Thirdly, an initialized contour is obtained by
iterative thresholding and ellipse fitting based on the detected OD position.
Finally, a region-based active contour model in a variational level set
formulation and ellipse fitting are employed to estimate the OD boundary.
RESULTS: The proposed methodology achieves an accuracy of 98.67% for OD
identification and a mean distance to the closest point of 2 pixels in detecting
the OD boundary. CONCLUSION: The results illuminate that the proposed method is
effective in the fast, automatic, and accurate localization and boundary
detection of the OD. The present work contributes to the more effective
evaluation of the OD and realizing automatic screening system for early eye
diseases to a large extent.
PMID- 27198461
TI - How to prevent the infection of contaminated abdominal incisions.
AB - BACKGROUND: Surgical site infection is one of the most common complications of
conventional laparoscopic surgery. Preventing infection of the incision is
particularly important. OBJECTIVE: To discuss how to prevent the occurrence of
surgical site infection after contaminated abdominal surgery. METHODS: Five
hundred and fifty-one surgery patients with ''contaminated abdominal incisions''
from January 2011 to May 2013 were analyzed in terms of the preventative
treatment, and summarized for surgical site infection. Subcutaneous tissue
flushed with normal saline + hydrogen peroxide before suturing in the
intervention 1 group; subcutaneous tissue flushed with normal saline + 0.5%
povidone-iodine before suturing in the intervention 2 group. RESULTS: When
subcutaneous fat was contaminated to a depth of <= 2.5 cm, the rates of surgical
site infection in the control group and the intervention groups showed no
significant difference (P > 0.05). When subcutaneous fat was contaminated to a
depth of >= 3.0 cm, the rate of surgical site infection in the control group
compared with the intervention one group was not statistically different (P >
0.05). The rate of surgical site infection in the control group compared with the
intervention two group was statistical significant (P < 0.05). The rate of
surgical site infection in the intervention one group compared with the
intervention two group was statistical significant (P < 0.05). CONCLUSIONS:
Preoperative control of the blood sugar; correction of anemia and the
hypoalbuminemia; use of intraoperative the high-frequency electrotome; irrigation
of the incision with plenty of physiological saline +$ iodophor before suturing
the subcutaneous fat layer were key to effectively preventing infection in
contaminated abdominal incisions.
PMID- 27198462
TI - A three-dimensional model and numerical simulation regarding thermoseed mediated
magnetic induction therapy conformal hyperthermia.
AB - In order to ensure the safety and effectiveness of magnetic induction
hyperthermia in clinical applications, numerical simulations on the temperature
distributions and extent of thermal damage to the targeted regions must be
conducted in the preoperative treatment planning system. In this paper, three
models, including a thermoseed thermogenesis model, tissue heat transfer model,
and tissue thermal damage model, were established based on the four-dimensional
energy field, temperature field, and thermal damage field distributions exhibited
during hyperthermia. In addition, a numerical simulation study was conducted
using the Finite Volume Method (FVM), and the accuracy and reliability of the
magnetic induction hyperthermia model and its numerical calculations were
verified using computer simulations and experimental results. Thus, this study
promoted the application of computing methods to magnetic induction therapy and
conformal hyperthermia, and improved the accuracy of the temperature field and
tissue thermal damage distribution predictions.
PMID- 27198464
TI - UV laser photoactivation of hexachloroplatinate bound to individual nucleobases
in vacuo as molecular level probes of a model photopharmaceutical.
AB - Isolated molecular clusters of adenine, cytosine, thymine and uracil bound to
hexachloroplatinate, PtCl6(2-), have been studied using laser electronic
photodissociation spectroscopy to investigate photoactivation of a platinum
complex in the vicinity of a nucleobase. These metal complex-nucleobase clusters
represent model systems for identifying the fundamental photochemical processes
occurring in photodynamic platinum drug therapies that target DNA. This is the
first study to explore the specific role of a strongly photoactive platinum
compound in the aggregate complex. Each of the clusters studied displays a
broadly similar absorption spectra, with a strong lambdamax ~ 4.6 eV absorption
band and a subsequent increase in the absorption intensity towards higher
spectral-energy. The absorption bands are traced to ligand-to-metal-charge
transfer excitations on the PtCl6(2-) moiety within the cluster, and result in
Cl(-).nucleobase and PtCl5(-) as primary photofragments. These results
demonstrate how selective photoexcitation can drive distinctive photodecay
channels for a model photo-pharmaceutical. In addition, cluster absorption due to
excitation of nucleobase-centred chromophores is observed in the region around 5
eV. For the uracil cluster, photofragments consistent with ultrafast decay of the
excited state and vibrational predissociation on the ground-state surface are
observed. However, this decay channel becomes successively weaker on going from
thymine to cytosine to adenine, due to differential coupling of the excited
states to the electron detachment continuum. These effects demonstrate the
distinctive photophysical characteristics of the different nucleobases, and are
discussed in the context of the recently recorded photoelectron spectra of theses
clusters.
PMID- 27198463
TI - Which indicators for measuring the daily physical activity? An overview on the
challenges and technology limits for Telehealth applications.
AB - BACKGROUND: Obesity is one of the biggest drivers of preventable chronic diseases
and healthcare costs in Worldwide. Different prevention activities are suggested.
By monitoring daily energy expenditure (EE) could be possible make personalized
diets and programming physical activity. In this, physical inactivity is one of
the most important public health problems. Some studies refer the effort of the
international community in promoting physical activities. Physical activity can
be promoted only by increasing citizens' empowerment on taking care of their
health, and it passes from the improving of individual information. Technology
can offer solutions and metrics for monitoring and measuring daily activity by
interacting with individuals, sharing information and feedbacks. OBJECTIVE: In
this study we review indicators of total energy expenditure and weaknesses of
available devices in assessing these parameters. METHODS: Literature review and
technology testing EuNetHta core model. RESULTS: For the clinical aspects, it is
fundamental to take into account all the factor that can influence the personal
energy expenditure as: heart rate, blood pressure and thermoregulation
(influenced by the body temperature). DISCUSSION: In this study we focused the
attention on the importance of tools to encourage the physical activity. We made
an analysis of the factor that can influence the right analysis of energy
expenditure and at the same time the energy regime. A punctual monitoring of the
exercise regime could be helpful in Telemedicine application as Telemonitorig.
More study are needed to value the impact of physical activity tracker in
Telemonitorig protocols. CONCLUSION: On the assessment of the energy expenditure,
critical issues are related to the physiological data acquisition. Sensors
connected with mobile devices could be important tools for disease prevention and
interventions affecting health behaviors. New devices applications are potential
useful for telemedicine assistance, but security of data and the related
communication protocol limits should be taking into account.
PMID- 27198465
TI - Nutrition Transition in Rural Tanzania and Kenya.
AB - All three types of malnutrition - underweight, overweight and micronutrient
deficiency - are experienced in countries undergoing a nutrition transition, and
they can occur in parallel in one community or even one household. To combat this
triple burden of malnutrition, a combination of different strategies will be
necessary, including a focus on food-based strategies that promote the
consumption of a wide range of foods across nutritionally distinct food groups.
In addition to a literature review, data from our own nutrition studies in both
Tanzania and Kenya are presented in this paper. The literature review revealed an
average of 10% of children in urban areas of Kenya and Tanzania with overweight
and obesity, which is an alarming trend, and it is suggested that interventions
need to start not only at school but also with adolescent girls and pregnant
women to target the '1,000-day window'. From own study data, dietary patterns
were generated that included a 'purchase' pattern dominated by bought and
processed foods, indicating a possible nutrition transition even in the rural
areas of both countries. Vegetable and especially fruit consumption was low in
both countries. In addition, in Kenya, study participants exceeded the suggested
maximum level of sugar consumption per day, which will most likely contribute to
increasing levels in overweight and obesity prevalence and other noncommunicable
diseases in general. As sugar was mainly consumed in combination with black tea,
next to eating habits, changing drinking habits is also an important part of the
nutrition transition and needs to receive more attention. A 'healthy eating at
school and at home strategy' is suggested, which needs the support of both
schools and parents/caregivers. In general, to take countermeasures against the
negative trends of nutrition transition, joint efforts from all players in the
field - not only those in nutrition, health and medicine, but also those in
education and agriculture - will be essential.
PMID- 27198466
TI - Relationship between volume of the seminal vesicles and sexual activity in middle
aged men.
AB - The relationship between volume of the seminal vesicles and the frequency of sex
and sexual function in middle-aged men is not clear. This study included 81
patients who were diagnosed with localized prostate cancer. Volume of the seminal
vesicles was examined using a volume analyser from computed tomography. Sexual
function was subjectively evaluated using the Expanded Prostate Cancer Index
Composite and Erection Hardness Score. The frequency of sex was surveyed using
our original questionnaire. The mean +/- SD age of the patients was 67.7 +/- 5.3
years. There was no relationship between the volume of seminal vesicles and age
of the patients. Volume of the seminal vesicles in patients who answered that
they had sexual activity at least once a year was significantly larger than in
those who answered no sexual activity for several years (P < .01) Moreover, among
sexually active, middle-aged men, volume of the seminal vesicles was
significantly larger in those who had a sexual frequency once every 3 months than
in those who had a sexual frequency once every 6 months or once a year (P < .05).
Our study suggests that the volume of seminal vesicles of middle-aged men is
correlated with sexual activity.
PMID- 27198467
TI - Baboon vaginal microbial flora.
AB - BACKGROUND: Knowledge of the composition of vaginal microbial ecosystem is
essential for understanding the etiology, prevention, and treatment of vaginal
diseases. A baboon model has been used to provide detailed understanding of
reproductive physiology and immunology applicable to women. However, little is
known about the composition of its vaginal microbial ecosystem. METHODS: Gram
stain and Nugent scores were used for assessment of baboon vaginal microbial
flora. Biochemical identification and analysis of isolates were performed using
the api((r)) kits and identification software. RESULTS: Species of Lactobacilli,
Staphylococci, Clostridia, Bacilli, Corynebacteria, Gram-negative rods, other
Gram-positive rods, cocci and Candida, were isolated. Healthy vaginal microbiota
consisted mainly of lactobacillus morphotypes. Animals with high Nugent scores
had increased number of Gram-positive cocci and variable rods, with increased
number of Gram-negative morphotypes. CONCLUSIONS: The baboon vaginal microbiota
is heterogeneous in terms of species composition and is typified by a scarcity of
lactobacilli.
PMID- 27198468
TI - Synergistic Catalysis: Enantioselective Ring Expansion of Vinyl Cyclopropanes
Combining Four Catalytic Cycles for the Synthesis of Highly Substituted
Spirocyclopentanes Bearing up to Four Stereocenters.
AB - A double synergistic cascade reaction is reported, combining transition metal and
amine catalysis. The reaction between vinyl cyclopropanes and enals renders the
final cyclopentane derivatives in excellent yields and stereoselectivities.
PMID- 27198470
TI - Hospital pharmacy medication reconciliation practice in Jordan: perceptions and
barriers.
AB - RATIONALE, AIMS AND OBJECTIVES: The primary aim of this study is to gain an
insight into hospital pharmacists' current practice and perceptions towards
medicine reconciliation and to identify common challenges preventing pharmacists
from providing this service. METHODS: A cross-sectional study was conducted over
2 months (September-October 2015) at four Jordanian hospitals accredited by the
Joint International Commission. A total of 76 pharmacists were recruited. Each
pharmacist completed a validated structured questionnaire evaluating (1)
pharmacist's current practice of medication reconciliation, (2) pharmacist's
perceptions towards practicing medication reconciliation and (3) pharmacist's
perceived barriers towards implementing medication reconciliation. RESULTS: There
was relatively low awareness of the presence of current medication reconciliation
policy in the hospitals. The majority of recruited pharmacists believed that
pharmacists must have an integral role in providing such services to patients.
They were also willing and able to provide help and support to all healthcare
providers regarding the appropriateness of prescribed medications. It was evident
that the greater the practice of medication reconciliation services and the
higher the educational level, the better the overall perception score (r = 0.416
and r = 0.366, respectively; P-value = 0.000 for both). 'Time constraint' was the
primary barrier discouraging pharmacists from practicing such service.
CONCLUSION: This study demonstrates a relatively low awareness of the concept and
policy of medication reconciliation process among Jordanian pharmacists. This
suggests that educational programs are urgently needed to increase pharmacists'
role and responsibilities in implementing and practicing reconciliation services
with expected positive impact on patient care.
PMID- 27198469
TI - Regular long-term red blood cell transfusions for managing chronic chest
complications in sickle cell disease.
AB - BACKGROUND: Sickle cell disease is a genetic haemoglobin disorder, which can
cause severe pain, significant end-organ damage, pulmonary complications, and
premature death. Sickle cell disease is one of the most common severe monogenic
disorders in the world, due to the inheritance of two abnormal haemoglobin (beta
globin) genes. The two most common chronic chest complications due to sickle cell
disease are pulmonary hypertension and chronic sickle lung disease. These
complications can lead to morbidity (such as reduced exercise tolerance) and
increased mortality.This is an update of a Cochrane review first published in
2011 and updated in 2014. OBJECTIVES: We wanted to determine whether trials
involving people with sickle cell disease that compare regular long-term blood
transfusion regimens with standard care, hydroxycarbamide (hydroxyurea) any other
drug treatment show differences in the following: mortality associated with
chronic chest complications; severity of established chronic chest complications;
development and progression of chronic chest complications; serious adverse
events. SEARCH METHODS: We searched the Cochrane Cystic Fibrosis and Genetic
Disorders Group's Haemoglobinopathies Trials Register. Date of the last search:
25 April 2016.We also searched for randomised controlled trials in the Cochrane
Central Register of Controlled Trials (CENTRAL) (The Cochrane Library, Issue 1,
26 January 2016), MEDLINE (from 1946), Embase (from 1974), CINAHL (from 1937),
the Transfusion Evidence Library (from 1950), and ongoing trial databases to 26
January 2016. SELECTION CRITERIA: We included randomised controlled trials of
people of any age with one of four common sickle cell disease genotypes, i.e. Hb
SS, Sbeta(0), SC, or Sbeta(+) that compared regular red blood cell transfusion
regimens (either simple or exchange transfusions) to hydroxycarbamide, any other
drug treatment, or to standard care that were aimed at reducing the development
or progression of chronic chest complications (chronic sickle lung and pulmonary
hypertension). DATA COLLECTION AND ANALYSIS: We used the standard methodological
procedures expected by Cochrane. MAIN RESULTS: No studies matching the selection
criteria were found. AUTHORS' CONCLUSIONS: There is a need for randomised
controlled trials looking at the role of long-term transfusion therapy in
pulmonary hypertension and chronic sickle lung disease. Due to the chronic nature
of the conditions, such trials should aim to use a combination of objective and
subjective measures to assess participants repeatedly before and after the
intervention.
PMID- 27198471
TI - From Sugars to Wheels: The Conversion of Ethanol to 1,3-Butadiene over Metal
Promoted Magnesia-Silicate Catalysts.
AB - 1,3-Butadiene (1,3-BD) is a high-value chemical intermediate used mainly as a
monomer for the production of synthetic rubbers. The ability to source 1,3-BD
from biomass is of considerable current interest because it offers the potential
to reduce the life-cycle greenhouse gas (GHG) impact associated with 1,3-BD
production from petroleum-derived naphtha. Herein, we report the development and
investigation of a new catalyst and process for the one-step conversion of
ethanol to 1,3-BD. The catalyst is prepared by the incipient impregnation of
magnesium oxide onto a silica support followed by the deposition of Au
nanoparticles by deposition-precipitation. The resulting Au/MgO-SiO2 catalyst
exhibits a high activity and selectivity to 1,3-BD and low selectivities to
diethyl ether, ethylene, and butenes. Detailed characterization of the catalyst
shows that the desirable activity and selectivity of Au/MgO-SiO2 are a
consequence of a critical balance between the acidic-basic sites associated with
a magnesium silicate hydrate phase and the redox properties of the Au
nanoparticles. A process for the conversion of ethanol to 1,3-BD, which uses our
catalyst, is proposed and analyzed to determine the life-cycle GHG impact of the
production of this product from biomass-derived ethanol. We show that 1,3-BD
produced by our process can reduce GHG emissions by as much as 155 % relative to
the conventional petroleum-based production of 1,3-BD.
PMID- 27198472
TI - A combination of additives can synergically decrease acrylamide content in
gingerbread without compromising sensory quality.
AB - BACKGROUND: The present study tested whether replacement of the leavening agent
ammonium carbonate by sodium hydrogen carbonate in combination with calcium
cation and acidifying agent will synergically decrease acrylamide (AA) content in
gingerbread. RESULTS: The type of leavening agent and the presence of Ca2+ and
citric acid accounted for 33.6%, 13.2% and 53.2% of the explained variability of
the AA content, respectively (P < 0.01). The AA content in gingerbread produced
with (NH4 )2 CO3 alone was 186.5 ug kg-1 . Irrespective of other tested
additives, NaHCO3 decreased (P < 0.05) AA content to 42% compared to (NH4 )2 CO3
. Combination of NaHCO3 + CaCl2 + citric acid in dough reduced (P < 0.05) AA
content below the limit of detection (25 ug kg-1 ). The AA content in gingerbread
(y; ug kg-1 ) decreased with an increasing number of additives used (x) according
to the equation y = 158.8 - 47.94x (r2 = 0.42; P < 0.0001). A comprehensive
sensory analysis did not indicate any significant deterioration (P > 0.05) in the
organoleptic quality of gingerbread produced using calcium cation and citric
acid. CONCLUSION: The present study demonstrates that the combination of
additives NaHCO3 /Ca2+ /citric acid synergically decreases AA content in
gingerbread without compromising the sensory quality. (c) 2016 Society of
Chemical Industry.
PMID- 27198473
TI - Promoting quality care in patients with cancer with limited English proficiency:
perspectives of medical interpreters.
PMID- 27198474
TI - Long-term mortality after parathyroidectomy among chronic kidney disease patients
with secondary hyperparathyroidism: a systematic review and meta-analysis.
AB - Parathyroidectomy (PTx) and medical treatments are both recommended for reducing
serum intact parathyroid hormone (iPTH) and curing secondary hyperparathyroidism
(sHPT) in patients with chronic kidney disease (CKD), but their therapeutic
effects on long-term mortality are not well-known. Thus, we aim to assess such
therapeutic effect of PTx. Electronic literatures published on Pubmed, Embase,
and Cochrane Central Register of Controlled Trials in any language until 27
November 2015 were systematically searched. All literatures that compared
outcomes (survival rate or mortality rate) between PTx-treated and medically
treated CKD patients with sHPT were included. Finally, 13 cohort studies
involving 22053 patients were included. Data were extracted from all included
literatures in a standard form. The outcomes of all-cause and cardiovascular
mortalities were assessed using DerSimonian and Laird's random effects model. We
find PTx-treated versus medically-treated patients had a 28% reduction in all
cause mortality and a 37% reduction in cardiovascular mortality. Thus, PTx versus
medical treatments might reduce the risks of all-cause and cardiovascular
mortalities in CKD patients with sHPT. Further studies with prospective and large
sample clinical trials are needed to find out the real effect of PTx and to
assess whether mortality rates differ among patterns of PTx.
PMID- 27198475
TI - The use of community pharmacies in North West England: an observational study.
AB - BACKGROUND: There are few studies of community pharmacy footfall and activity in
the existing literature, especially by direct observation. OBJECTIVE: To describe
the characteristics of counter interactions between pharmacy staff and customers.
METHOD: Structured observation of all interactions between pharmacy staff and
customers across the weekly opening hours of five pharmacies diverse in location
and ownership. KEY FINDINGS: Three-quarters (76%) of observed interactions were
associated with prescriptions, but a significant minority accessed cognitive
services. CONCLUSIONS: Dispensing was the primary activity across the diverse
range of pharmacies. Reasons for visits are diversifying into advice and
services, particularly among younger users.
PMID- 27198477
TI - Contemporary Accuracy of Digital Abdominal X-Ray for Follow-Up of Pure Calcium
Urolithiasis: Is There Still a Role?
AB - Radiological imaging remains the cornerstone of follow-up of patients with
urolithiasis. Plain abdominal X-ray (XRKUB) of these patients is largely becoming
obsolete in favor of noncontrast computed tomography of the abdomen and pelvis
(CTKUB), which offers superior sensitivity and specificity. Contentiousness
exists, however, related to the radiation doses associated with CT. Calcium
oxalate remains the most common stone composition across most populations. These
calculi are radiopaque and thus follow-up with XRKUB may be appropriate in some
settings, avoiding the higher radiation doses associated with standard protocol
CT. With the emergence of low-dose CT, however, and the modest accuracy of XRKUB,
the ongoing role for XRKUB in the management of urolithiasis is debatable. In
this study, we assessed the proportion of pure calcium urolithiasis visible on
XRKUB to assess the utility of XRKUB for follow-up of pure calcium urolithiasis.
Hospital laboratory databases were analysed to identify patients who had
undergone urological intervention and extraction of urolithiasis composed of pure
calcium salts, who had undergone CTKUB and XRKUB during the same episode for
diagnosis of the calculus. One hundred five calculi were included and the imaging
for each patient analyzed. 79/105 calculi (75%) identified on CT were visible on
XRKUB. The median calculus visible on XRKUB was 7.0 mm (interquartile range [IQR]
5.0-10.0 mm), the median calculus not seen was 4.25 mm (IQR 3.5-7.0 mm) as
measured on CT (p < 0.01). XRKUB accuracy varied according to calculus position
within the renal tract. Calculi were most often visible in the renal pelvis and
proximal ureter (86%), 50% of mid-ureteral and 72% of distal ureteral calculi
were visible (p = 0.01). Until low-dose CT protocols become widely adopted, XRKUB
still has a limited role in the management of pure calcium urolithiasis in
selected patients. Due to its modest accuracy in many settings, this role is
limited mainly to larger proximal urolithiasis.
PMID- 27198479
TI - Evaluation of results from genome-wide studies of language and reading in a novel
independent dataset.
AB - Recent genome-wide association scans (GWAS) for reading and language abilities
have pin-pointed promising new candidate loci. However, the potential
contributions of these loci remain to be validated. In this study, we tested 17
of the most significantly associated single nucleotide polymorphisms (SNPs) from
these GWAS studies (P < 10(-6) in the original studies) in a new independent
population dataset from the Netherlands: known as Familial Influences on Literacy
Abilities. This dataset comprised 483 children from 307 nuclear families and 505
adults (including parents of participating children), and provided adequate
statistical power to detect the effects that were previously reported. The
following measures of reading and language performance were collected: word
reading fluency, nonword reading fluency, phonological awareness and rapid
automatized naming. Two SNPs (rs12636438 and rs7187223) were associated with
performance in multivariate and univariate testing, but these did not remain
significant after correction for multiple testing. Another SNP (rs482700) was
only nominally associated in the multivariate test. For the rest of the SNPs, we
did not find supportive evidence of association. The findings may reflect
differences between our study and the previous investigations with respect to the
language of testing, the exact tests used and the recruitment criteria.
Alternatively, most of the prior reported associations may have been false
positives. A larger scale GWAS meta-analysis than those previously performed will
likely be required to obtain robust insights into the genomic architecture
underlying reading and language.
PMID- 27198480
TI - Real-World Use of Prophylactic Antibiotics in Insertable Cardiac Monitor
Procedures.
AB - BACKGROUND: The use of prophylactic antibiotics during insertable cardiac monitor
(ICM) procedures is a carryover of the common practice used with therapeutic
cardiac implantable electronic devices. We sought to characterize the current
practice of ICM insertion procedures to evaluate the influence of prophylactic
antibiotic administration on the occurrence of infections. METHODS: We
characterized insertion procedures and procedure-related infections from an
ongoing multicenter registry (Reveal LINQ(TM) Registry). In order to accurately
capture infections, only patients enrolled before or the day of insertion who
also had a record of whether or not preoperative antibiotics were used were
included in this analysis. Infections were defined based on the physician's
assessment and reported upon occurrence. Patients were categorized into two
analysis cohorts based on prophylactic antibiotic use. RESULTS: We analyzed 375
patients from 14 U.S. centers (age 63.1 +/- 15.6 years; male 54.1%).
Approximately two-thirds of patients (66.4%) did not receive any preprocedural
antibiotics. The overall infection rate was 1.1% (0.3-2.7% confidence interval
[CI]) and corresponded to four events. In the group that did not receive
preprocedural antibiotics, there were two minor infections (0.8%, [0.1-2.9% CI]),
whereas in the group receiving preprocedural antibiotics a serious and a minor
infection occurred (1.6%, [0.2-5.6% CI]); this serious infection resulted in an
explant. CONCLUSIONS: Current real-world practice shows that ICM insertions are
increasingly performed without the use of prophylactic antibiotics, which is
associated with a very low infection rate.
PMID- 27198481
TI - Effectiveness of interventions for adults with mild to moderate intellectual
disabilities and mental health problems: systematic review and meta-analysis.
AB - BACKGROUND: There is a lack of available evidence in relation to the
effectiveness of interventions for adults with mild to moderate intellectual
disability and mental health problems. AIMS: To evaluate the efficacy of
interventions for adults with mild to moderate intellectual disabilities and co
occurring mental health problems. METHOD: An electronic literature search of the
databases Medline, EMBASE, PsycINFO and EBM Reviews aimed at identifying
randomised controlled trials (RCTs) and controlled trials testing any type of
intervention (psychotherapy, biological or system level) for people with mild to
moderate intellectual disabilities (IQ score 35-69) targeting comorbid mental
health problems. Additionally a meta-analysis was conducted. RESULTS: Twelve
studies met the inclusion criteria. No significant effect was found for the
predefined outcome domains behavioural problems, depression, anxiety, quality of
life and functioning. The effect size for depression (d = 0.49) was moderate but
non-significant. Quality of studies was moderate and heterogeneity was high.
CONCLUSIONS: There is no compelling evidence supporting interventions aiming at
improving mental health problems in people with mild to moderate intellectual
disability. The number of available trials is too low for definite conclusions.
Some interventions are promising and should be evaluated further in larger and
more rigorous trials.
PMID- 27198478
TI - An Infectious cDNA Clone of Zika Virus to Study Viral Virulence, Mosquito
Transmission, and Antiviral Inhibitors.
AB - The Asian lineage of Zika virus (ZIKV) has recently caused epidemics and severe
disease. Unraveling the mechanisms causing increased viral transmissibility and
disease severity requires experimental systems. We report an infectious cDNA
clone of ZIKV that was generated using a clinical isolate of the Asian lineage.
The cDNA clone-derived RNA is infectious in cells, generating recombinant ZIKV.
The recombinant virus is virulent in established ZIKV mouse models, leading to
neurological signs relevant to human disease. Additionally, recombinant ZIKV is
infectious for Aedes aegypti and thus provides a means to examine virus
transmission. The infectious cDNA clone was further used to generate a luciferase
ZIKV that exhibited sensitivity to a panflavivirus inhibitor, highlighting its
potential utility for antiviral screening. This ZIKV reverse genetic system,
together with mouse and mosquito infection models, may help identify viral
determinants of human virulence and mosquito transmission as well as inform
vaccine and therapeutic strategies.
PMID- 27198482
TI - Initial depression severity and response to antidepressants v. placebo: patient
level data analysis from 34 randomised controlled trials.
AB - Several often-cited meta-analyses have reported that the efficacy of
antidepressant medications depends on the severity of depression. They found that
drug-placebo differences increased as a function of initial severity, which was
attributed to decreased responsiveness to placebo among patients with severe
depression rather than to increased responsiveness to medication. We retested
this using patient-level data and also undertaking a meta-analysis of trial-level
data from 34 randomised placebo controlled trials (n = 10 737) from the NEWMEDS
registry. Although our trial-level data support prevous findings, patient-level
data did not show any significant effect of initial depression severity on drug
v. placebo difference.
PMID- 27198483
TI - Shared treatment decision-making and empowerment-related outcomes in psychosis:
systematic review and meta-analysis.
AB - BACKGROUND: In the UK almost 60% of people with a diagnosis of schizophrenia who
use mental health services say they are not involved in decisions about their
treatment. Guidelines and policy documents recommend that shared decision-making
should be implemented, yet whether it leads to greater treatment-related
empowerment for this group has not been systematically assessed. AIMS: To examine
the effects of shared decision-making on indices of treatment-related empowerment
of people with psychosis. METHOD: We conducted a systematic review and meta
analysis of randomised controlled trials (RCTs) of shared decision-making
concerning current or future treatment for psychosis (PROSPERO registration
CRD42013006161). Primary outcomes were indices of treatment-related empowerment
and objective coercion (compulsory treatment). Secondary outcomes were treatment
decision-making ability and the quality of the therapeutic relationship. RESULTS:
We identified 11 RCTs. Small beneficial effects of increased shared decision
making were found on indices of treatment-related empowerment (6 RCTs; g = 0.30,
95% CI 0.09-0.51), although the effect was smaller if trials with >25% missing
data were excluded. There was a trend towards shared decision-making for future
care leading to reduced use of compulsory treatment over 15-18 months (3 RCTs; RR
= 0.59, 95% CI 0.35-1.02), with a number needed to treat of approximately 10 (95%
CI 5-infinity). No clear effect on treatment decision-making ability (3 RCTs) or
the quality of the therapeutic relationship (8 RCTs) was found, but data were
heterogeneous. CONCLUSIONS: For people with psychosis the implementation of
shared treatment decision-making appears to have small beneficial effects on
indices of treatment-related empowerment, but more direct evidence is required.
PMID- 27198484
TI - Repetitive transcranial magnetic stimulation for generalised anxiety disorder: a
pilot randomised, double-blind, sham-controlled trial.
AB - BACKGROUND: Repetitive transcranial magnetic stimulation (rTMS) holds promise for
treating generalised anxiety disorder (GAD) but has only been studied in
uncontrolled research. AIMS: This is the first randomised controlled trial
(clinicaltrials.gov: NCT01659736) to investigate the efficacy and neural
correlates of rTMS in GAD. METHOD: Twenty five participants (active n = 13; sham,
n = 12) enrolled. rTMS was targeted at the right dorsolateral prefrontal cortex
(DLPFC, 1 Hz, 90% resting motor threshold). RESULTS: Response and remission rates
were higher in the active v. sham groups and there were significant group * time
interactions for anxiety, worry and depressive symptoms, favouring active v.
sham. In addition, right DLPFC activation during a decision-making gambling task
increased at post-treatment for active rTMS only, and changes in neuroactivation
correlated significantly with changes in worry symptoms. CONCLUSIONS: Findings
provide preliminary evidence that rTMS may improve GAD symptoms in association
with modifying neural activity in the stimulation site.
PMID- 27198485
TI - Cortical thickness in obsessive-compulsive disorder: multisite mega-analysis of
780 brain scans from six centres.
AB - BACKGROUND: There is accumulating evidence for the role of fronto-striatal and
associated circuits in obsessive-compulsive disorder (OCD) but limited and
conflicting data on alterations in cortical thickness. AIMS: To investigate
alterations in cortical thickness and subcortical volume in OCD. METHOD: In
total, 412 patients with OCD and 368 healthy adults underwent magnetic resonance
imaging scans. Between-group analysis of covariance of cortical thickness and
subcortical volumes was performed and regression analyses undertaken. RESULTS:
Significantly decreased cortical thickness was found in the OCD group compared
with controls in the superior and inferior frontal, precentral, posterior
cingulate, middle temporal, inferior parietal and precuneus gyri. There was also
a group * age interaction in the parietal cortex, with increased thinning with
age in the OCD group relative to controls. CONCLUSIONS: Our findings are
partially consistent with earlier work, suggesting that group differences in grey
matter volume and cortical thickness could relate to the same underlying
pathology of OCD. They partially support a frontostriatal model of OCD, but also
suggest that limbic, temporal and parietal regions play a role in the
pathophysiology of the disorder. The group * age interaction effects may be the
result of altered neuroplasticity.
PMID- 27198486
TI - Apolipoproteins L control cell death triggered by TLR3/TRIF signaling in
dendritic cells.
AB - Apolipoproteins L (ApoLs) are Bcl-2-like proteins expressed under inflammatory
conditions in myeloid and endothelial cells. We found that Toll-like receptor
(TLR) stimuli, particularly the viral mimetic polyinosinic:polycytidylic acid
(poly(I:C)), specifically induce ApoLs7/11 subfamilies in murine CD8alpha(+)
dendritic cells (DCs). This induction requires the TLR3/TRIF (where TRIF is TIR
domain containing adapter-inducing interferon beta) signaling pathway and is
dependent on IFN-beta in all ApoLs subfamilies except for ApoL7c. Poly(I:C)
treatment of DCs is also associated with induction of both cell death and
autophagy. ApoLs expression is related to promotion of DC death by poly(I:C), as
ApoLs7/11 knockdown increases DC survival and ApoLs7 are associated with the anti
apoptotic protein Bcl-xL (where Bcl-xL is B-cell lymphoma extra large).
Similarly, in human monocyte-derived DCs poly(I:C) induces both cell death and
the expression of ApoLs, principally ApoL3. Finally, the BH3-like peptide of
ApoLs appears to be involved in the DC death-promoting activity. We would like to
propose that ApoLs are involved in cell death linked to activation of DCs by
viral stimuli.
PMID- 27198487
TI - A 2-Gene Panel Derived From Prostate Cancer-Enhanced Transcripts in Whole Blood
Is Prognostic for Survival and Predicts Treatment Benefit in Metastatic
Castration-Resistant Prostate Cancer.
AB - BACKGROUND: To determine a prognostic model derived from prostate cancer-enhanced
transcripts in whole blood of castration-resistant prostate cancer (CRPC)
patients and explore its applicability as a surrogate of treatment response.
METHODS: Six out of twenty-three selected transcripts were identified as specific
for detection of metastatic prostate cancer cells in peripheral blood using
quantitative polymerase chain reaction (qPCR). Their prognostic value was
explored in whole blood samples of a training cohort (n = 22 CRPC patients, New
York, USA). A resulting 2-gene panel (2GP) including KLK2 and TMPRSS2 was
validated in an independent cohort with pre- and post-treatment blood draws after
9-16 weeks of systemic treament (n = 86 CRPC patients, Munich, Germany). Overall
survival (OS), prostate-specific antigen progression-free survival (PSA-PFS), and
clinical PFS were analyzed. Kaplan-Meier and cox regression analyses were
performed. RESULTS: An unfavorable 2GP (>=1 marker positive) identified patients
with poor survival (median OS 10.0 months [95%CI 5.7-14.2] vs. not reached; P =
0.023). This was validated in an independent cohort at pre-treatment (median OS
7.8 [95%CI 6.5-9.2] vs. 17.3 months [95%CI 10.7-23.8]; P = 0.004) and post
treatment blood draw (median OS 5.0 [95%CI 0.0-10.0] vs. 18.0 months [95%CI 9.5
26.6]; P = 0.003). The 2GP independently predicted OS on multivariate analysis
(hazard ratio 2.1 [95%CI 1.1-4.0]; P = 0.034) and performed better than PSA
decline at correlation with OS. Conversion to favorable 2GP during treatment
correlated with improved OS (7.8 to 20.9 months), PSA-PFS (2.8 to 12.0 months),
and clinical PFS (4.6 to 8.0 months). CONCLUSIONS: The established 2GP is
prognostic for survival at pre- and post-treatment blood draw in CRPC patients
and conversion to favorable 2GP predicts treatment benefit. Prostate 76:1160
1168, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27198488
TI - Sofosbuvir and daclatasvir.
PMID- 27198489
TI - Antiepileptic effect of fisetin in iron-induced experimental model of traumatic
epilepsy in rats in the light of electrophysiological, biochemical, and
behavioral observations.
AB - BACKGROUND: Traumatic epilepsy is defined by episodes of recurring seizures
secondary to severe brain injury. Though drugs are found effective to control
seizures, their long-term use have been observed to increase reactive oxygen
species in animals. Flavonoid fisetin, a natural bioactive phytonutrient reported
to exert anticonvulsive effect in experimental seizure models. But, trauma
induced seizures could not be prevented by anticonvulsants was reported in some
clinical studies. OBJECTIVE: To study the effect of fisetin on epileptiform
electrographic activity in iron-induced traumatic epilepsy and also the probable
reason behind the effect in rats. METHODS: Fisetin pretreatment (20 mg/kg body
wt., p.o.) of rats for 12 weeks were chosen followed by injecting iron (5 ul, 100
mM) stereotaxically to generate iron-induced epilepsy. Experimental design
include electrophysiological study (electroencephalograph in correlation with
multiple unit activity (MUA) in the cortex and CA1 subfield of the hippocampus;
spectral analysis of seizure and seizure-associated behavioral study (Morris
water maze for spatial learning, open-field test for anxiety) and biochemical
study (lipid peroxidation, Na+,K+-ATPase activity) in both the cortex and the
hippocampus. RESULTS: Fisetin pretreatment was found to prevent the development
of iron-induced electrical seizure and decrease the corresponding MUA in the
cortex (*P?0.05) as well as in the hippocampus (***P?0.001). Fisetin pretreatment
decreased the lipid peroxides (*P?0.05) and retained the Na+,K+-ATPase activity
(*P?0.05) which was found altered in the epileptic animals and also found to
attenuate the seizure-associated cognitive dysfunctions. CONCLUSION: This study
demonstrated the antiepileptic action of fisetin in iron-induced model of
epileptic rats by inhibiting oxidative stress.
PMID- 27198491
TI - Is the naturalistic course of depression in older people related to received
support over time? Results from a longitudinal population-based study.
AB - OBJECTIVES: To test the interrelation of the naturalistic course of depression in
older people with long-term support received. DESIGN: Longitudinal cohort study.
METHODS: A sample of 277 adults age 55-85 years participating in the Longitudinal
Aging Study Amsterdam, with clinically relevant depressive symptoms at baseline
(scores >=16 on the Center for Epidemiological Studies Depression Scale) were
followed up over a period of 13 years. General estimating equations were used to
examine the relation between depression course and emotional/instrumental support
received over time. In addition, partner status, gender, and age were tested as
modifiers. RESULTS: A 2-way interaction between depression courses types and time
showed significant differences in instrumental support received over time in
older people with a late-life depression. Three-way interactions showed that
associations between depression course and support variables were modified by
gender and partner status. CONCLUSION: Both men and singles, with a chronic
course of depression may be at risk to lose emotional and instrumental support
over time. Professional attention is needed to prevent a chronic course of late
life depression, and to preserve personal social networks. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27198492
TI - Nonmetallization and band inversion in beryllium dicarbide at high pressure.
AB - Carbides have attracted much attention owing to their interesting physical and
chemical properties. Here, we systematically investigated global energetically
stable structures of BeC2 in the pressure range of 0-100 GPa using a first
principles structural search. A transition from the ambient-pressure alpha-phase
to the high-pressure beta-phase was theoretically predicted. Chemical bonding
analysis revealed that the predicted phase transition is associated with the
transformation from sp(2) to sp(3) C-C hybridization. The electrical conductivity
of the high-pressure phase changed from a metal (alpha-phase) to a narrow bandgap
semiconductor (beta-phase), and the beta-phase had an inverted band structure
with positive pressure dependence. Interestingly, the beta-phase was a
topological insulator with the metallic surface states protected by the time
reversal symmetry of the crystal. The results indicate that pressure modulates
the electronic band structure of BeC2, which is an important finding for
fundamental physics and for a wide range of potential applications in electronic
devices.
PMID- 27198493
TI - Challenges in the pain assessment during neonatal transport: an update.
AB - Neonatal transport is a highly specialized medical service that shifts critically
ill neonates between hospitals for on-going care. In other words, it is an
extension of the Neonatal Intensive Care Unit (NICU), which provides intensive
care to critical ill neonates during transport. Furthermore, pain assessment and
management is a crucial element during neonatal transport. However despite
significant advances over the last 20 years in relation to our understanding of
pain mechanisms in the neonates, the immediate long and short term consequences
of neonatal pain along with proliferation of pain assessment measures, there
continues to be reports of neonates in a variety of settings suffering needlessly
from acute, prolonged, persistent and chronic pain. The central focus of the
present review article is to put light on the existing challenges accompanying
neonatal pain assessment during transport.
PMID- 27198490
TI - Fungal communities in sediments of subtropical Chinese seas as estimated by DNA
metabarcoding.
AB - Ribosomal RNA internal transcribed spacer-1 (ITS1) metabarcoding was used to
investigate the distribution patterns of fungal communities and the factors
influencing these patterns in subtropical Chinese seas, including the southern
and northern Yellow Sea and the Bohai Sea. These seas were found to harbor high
levels of fungal diversity, with 816 operational taxonomic units (OTUs) that span
130 known genera, 36 orders, 14 classes and 5 phyla. Ascomycota was the most
abundant phylum, containing 72.18% and 79.61% of all OTUs and sequences,
respectively, followed by Basidiomycota (19.98%, 18.64%), Zygomycota (1.10%,
0.11%), Chytridiomycota (0.25%, 0.04%) and Rozellomycota (0.12%, 0.006%). The
compositions of fungal communities across these three sea regions were found to
be vary, which may be attributed to sediment source, geographical distance,
latitude and some environmental factors such as the temperature and salinity of
bottom water, water depth, total nitrogen, and the ratio of total organic carbon
to nitrogen. Among these environmental factors, the temperature of bottom water
is the most important driver that governs the distribution patterns of fungal
communities across the sampled seas. Our data also suggest that the cold-water
mass of the Yellow Sea likely balances competitive relationships between fungal
taxa rather than increasing species richness levels.
PMID- 27198494
TI - A study on evaluation of laparoscopic surgical approach for pediatric appendix
abscess.
AB - BACKGROUND: Our main objective was to investigate and compare chosen time
laparoscopic surgery to traditional open surgery and to analyze whether
laparoscopic surgery is safe and feasible for pediatric appendix abscess in
emergency. METHODS: This retrospective study consisted of three groups of
patients designated as group A, group B, group C and the preoperative and
postoperative clinical characteristics were analyzed and compared. Group A
comprised of 63 patients of appendix abscess which has been treated by
laparoscopic surgery from January 2011 to December 2014. Group B comprised of 60
patients who had undergone pediatric appendix abscess laparotomy and group C
comprised of 35 cases who had undergone time-selective laparoscopic appendix
ablation surgery after receiving anti-inflammatory treatment. RESULTS: The
average operation time during which all the appendix ablated successfully between
group A and group B patients was not significantly different (P>0.05), meanwhile,
operation time was significantly less for group C patients in comparison with
group A patients (P<0.05). The incidence of postoperative complications among
patients of group A was significantly lower than that of group B (P<0.05), while,
these complications were similarly distributed between group A and group C
(P>0.05). The duration of hospitalization among patients of group B (P<0.05) and
group C (P<0.05) was significantly higher in comparison with group A patients.
CONCLUSION: As long as preoperative and perioperative periods are appropriately
dealt with, laparoscopic surgery is safe and feasible to pediatric appendix
abscess in emergency.
PMID- 27198495
TI - Development and feasibility testing of an oral hygiene intervention for stroke
unit care.
AB - OBJECTIVE: To develop an oral hygiene complex intervention and evaluate its
feasibility in a single UK stroke centre. BACKGROUND: Oral hygiene interventions
might improve clinical outcomes after stroke but evidence-based practice is
lacking. MATERIALS AND METHODS: We used a sequential mixed methods approach and
developed an oral hygiene complex intervention comprising: (i) web-based
education and 'hands-on' practical training for stroke unit nursing staff, (ii) a
pragmatic oral hygiene protocol consisting of twice-daily powered (or manual if
preferred) brushing with chlorhexidine gel (or non-foaming toothpaste) +/-
denture care. We evaluated feasibility of (i) the staff education and training
and (ii) the oral hygiene protocol in consenting inpatients with confirmed
stroke, requiring assistance with at least one aspect of personal care. RESULTS:
The staff education and training were feasible, acceptable and raised knowledge
and awareness. Several barriers to completing the education and training were
identified. The oral hygiene protocol was feasible and well-tolerated. 22% of
eligible patients screened declined participation in the study. Twenty-nine
patients (median age = 78 year; National Institutes of Health Stroke Scale score
= 8.5; 73% dentate) were recruited at a median of 7 days from stroke onset. 97%
of participants chose the default chlorhexidine-based protocol; the remainder
chose the non-foaming toothpaste-based protocol. The mouth hygiene protocol was
administered as prescribed on 95% of occasions, over a median duration of 28
days. There were no adverse events attributed to the oral hygiene protocol.
CONCLUSION: Our oral hygiene complex intervention was feasible in a single UK
stroke centre. Further studies to optimise patient selection, model health
economics and explore efficacy are now required.
PMID- 27198497
TI - Graft-versus-host disease in paediatric solid organ transplantation: A review of
the literature.
AB - GvHD is a rare and serious complication of organ transplantation. The literature
is sparse following solid organ transplantation. The aim of this report was to
review the literature of GvHD in paediatric solid organ transplantation. We
searched PubMed for English-language full-text manuscripts between 1990 and 2015
for eligible studies. A total of 28 publications were found pertaining to
paediatric GvHD following solid organ transplantation. GvHD had a mean incidence
of 11% (range 8.3-13.4%) following SBTx and 1.5% following liver transplantation.
Where described, the most common sites for presentation of GvHD were the skin
(87%), the native GI tract (43%), the lungs (7%), the eyes (4%), HA (4%), and the
kidneys (1%). Diagnosis was confirmed with biopsy (93%) and/or chimerism (41%).
Treatments used include steroids (80%), of which 75% showed partial or complete
resolution. Mortality was 33.3% (range 0-100%). Novel therapies include ECP and
MSC therapy. GvHD is a rare but serious disease with high mortality. Novel
therapies may offer hope in the future, but currently there is limited evidence
for their efficacy in the context of intestinal or liver transplantation.
PMID- 27198496
TI - Insights in the Fruit Flesh Browning Mechanisms in Solanum melongena Genetic
Lines with Opposite Postcut Behavior.
AB - Color, taste, flavor, nutritional value, and shelf life are important factors
determining quality and healthiness of food and vegetables. These factors are
strongly affected by browning processes, occurring after fruit or vegetable
cutting. Characterization of ten eggplant genotypes for chlorogenic acid (CGA)
content, total phenols (TP), polyphenoloxidase (PPO) activity, and browning
tendency corroborated a lack of significant correlations between biochemical
factors and fruit flesh browning. Further in-depth molecular and biochemical
analyses of two divergent eggplant genetic lines, AM199 (high browning) and AM086
(low browning), within 30 min from cutting, highlighted differences in the
physiological mechanisms underlying the browning process. qRT-PCR analysis
revealed distinct activation mechanisms of CGA biosynthetic and PPO genes in the
two genetic lines. Metabolic data on CGA, sugars, and ascorbic acid contents
confirmed that their different browning tendency matched with different metabolic
responses to cutting. Our findings suggest that the complex mechanism of flesh
browning in the two eggplant genetic lines might be mediated by multiple specific
factors.
PMID- 27198499
TI - Introduction.
PMID- 27198498
TI - Diacylglycerol-mediated regulation of Aplysia bag cell neuron excitability
requires protein kinase C.
AB - KEY POINTS: In Aplysia, reproduction is initiated by the bag cell neurons and a
prolonged period of enhanced excitability known as the afterdischarge.
Phosphoinositide turnover is upregulated during the afterdischarge resulting in
the hydrolysis of phosphatidylinositol-4,5-bisphosphate by phospholipase C (PLC)
and the release of diacylglycerol (DAG) and inositol trisphosphate (IP3 ). In
whole-cell voltage-clamped cultured bag cell neurons, 1-oleoyl-2-acetyl-sn
glycerol (OAG), a synthetic DAG analogue, activates a dose-dependent, transient,
inward current (IOAG ) that is enhanced by IP3 , mimicked by PLC activation and
dependent on basal protein kinase C (PKC) activity. OAG depolarizes bag cell
neurons and triggers action potential firing in culture, and prolongs
electrically stimulated afterdischarges in intact bag cell neuron clusters ex
vivo. Although PKC alone cannot activate the current, it is required for IOAG ;
this is the first description of required obligate PKC activity working in
concert with PLC, DAG and IP3 to maintain the depolarization required for
prolonged excitability in Aplysia reproduction. ABSTRACT: Following synaptic
input, the bag cell neurons of Aplysia undergo a long-term afterdischarge of
action potentials to secrete egg-laying hormone and initiate reproduction. Early
in the afterdischarge, phospholipase C (PLC) hydrolyses phosphatidylinositol-4,5
bisphosphate into inositol trisphosphate (IP3 ) and diacylglycerol (DAG). In
Aplysia, little is known about the action of DAG, or any interaction with IP3 ;
thus, we examined the effects of a synthetic DAG analogue, 1-oleoyl-2-acetyl-sn
glycerol (OAG), on whole-cell voltage-clamped cultured bag cell neurons. OAG
induced a large, prolonged, Ca(2+) -permeable, concentration-dependent inward
current (IOAG ) that reversed at ~-20 mV and was enhanced by intracellular IP3 .
A similar current was evoked by either another DAG analogue, 1,2-dioctanoyl-sn
glycerol (DOG), or activating PLC with N-(3-trifluoromethylphenyl)-2,4,6
trimethylbenzenesulfonamide (m-3M3FBS). IOAG was reduced by the general cation
channel blockers Gd(3+) or flufenamic acid. Work in other systems indicated that
OAG activates channels independently of protein kinase C (PKC); however, we found
pretreating bag cell neurons with any of the PKC inhibitors bisindolylmaleimide,
sphinganine, or H7, attenuated IOAG . However, stimulating PKC with phorbol 12
myristate 13-acetate (PMA) did not evoke current or enhance IOAG ; moreover,
unlike PMA, OAG failed to trigger PKC, as confirmed by an independent bioassay.
Finally, OAG or m-3M3FBS depolarized cultured neurons, and while OAG did not
provoke afterdischarges from bag cell neurons in the nervous system, it did
double the duration of synaptically elicited afterdischarges. To our knowledge,
this is the first report of obligate PKC activity for IOAG gating. An interaction
between phosphoinositol metabolites and PKC could control the cation channel to
influence afterdischarge duration.
PMID- 27198501
TI - A dual-detector optical receiver for PDM signals detection.
AB - We propose and fabricate a silicon based dual-detector optical receiver, which
consists of a two dimensional (2D) grating coupler (GC) and two separate
germanium photodetectors (Ge PDs). The 2D GC performs polarization diversity, and
thus demultiplexing and detection for polarization division multiplexed (PDM)
signals can be achieved. Through a specific design with double-sides
illumination, the space charge density can be reduced and the responsivity and
saturation power can be improved significantly. The measured dark current,
responsivity and bandwidth are 0.86 MUA, 1.06 A/W and 36 GHz under 3 V reverse
biased voltage, respectively. Both DC currents and eye diagrams are measured for
the proposed device and the results validate its performance successfully. The
power penalty between the single and dual polarized signals is about 1.9 dB under
10 and 20 Gb/s cases for both the two Ge PDs. The proposed direct detection (DD)
for PDM signals with high speed, high responsivity and large saturation power is
cost-effective and promising for short reach optical communication.
PMID- 27198500
TI - Illegitimate RAG-mediated recombination events are involved in IKZF1 Delta3-6
deletion in BCR-ABL1 lymphoblastic leukaemia.
AB - Breakpoint cluster region-Abelson murine leukaemia viral oncogene homologue 1
(BCR-ABL1), encoded by the Philadelphia (Ph) chromosome, is the characteristic of
chronic myeloid leukaemia (CML) and a subset of acute lymphoblastic leukaemia
(ALL). We demonstrated that expression of the Ik6 transcript, which lacked exons
3-6, was observed exclusively in BCR-ABL1(+) B ALL and lymphoid blast crisis CML
(BC-CML) patients harbouring the IKZF1 Delta3-6 deletion. To confirm the
hypothesis that illegitimate recombination activating gene protein (RAG)-mediated
recombination events are involved in IKZF1 Delta3-6 deletion in BCR-ABL1
lymphoblastic leukaemia, we first demonstrated that the expression rates of RAG1
and RAG2, collectively called RAG, were higher in ALL and BC-CML (lymphoid).
Notably, analysis of relationships among RAG, BCR-ABL1 and Ikaros 6 (Ik6) showed
that Ik6 can be generated only if RAG and BCR-ABL1 are co-existing. The
sequencing data showed that the deleted segments of introns 2 and 6 contained
cryptic recombination signal sequences (cRSSs) and frequently had non-template
nucleotides inserted between breakpoints. Furthermore, we used chromatin
immunoprecipitation (ChIP) technology and demonstrated that the sequences
directly flanking IKZF1 Delta3-6 deletion breakpoints have significantly higher
levels of histone H3 lysine 4 trimethylation (H3K4me3) modifications. Overall,
RAG expression, good-quality cRSS and a specific chromatin modification, H3K4me3,
satisfy the conditions of RAG's off-target effects on IKZF1. Our work provides
evidence for RAG-mediated IKZF1 Delta3-6 deletion. Our results raise the prospect
that RAG is a valuable biomarker in disease surveillance. Dissecting the
contribution of RAG should not only provide valuable mechanistic insights, but
will also lead to a new therapeutic direction.
PMID- 27198503
TI - Life and death of not so "bare" bubbles.
AB - In this paper, we investigate how the drainage and rupture of surfactant
stabilised bubbles floating at the surface of a liquid pool depend on the
concentration of surface-active molecules in water. Drainage measurements at the
apex of bubbles indicate that the flow profile is increasingly plug-like as the
surfactant concentration is decreased from several times the critical micellar
concentration (cmc) to just below the cmc. High-speed observations of bubble
bursting reveal that the position at which a hole nucleates in the bubble cap
also depends on the surfactant concentration. On average, the rupture is
initiated close to the bubble foot for low concentrations (=15. Factors associated with a higher number of LNs were melanoma (p
< 0.001), visualization of the axillary vein (p = 0.03), and long thoracic nerve
(p = 0.04). There was no association with age, body mass index, number of
positive LNs, neoadjuvant chemotherapy, or matted LNs. Mean ALND photograph score
was 4.8 (SD 1.3). A 1-point change in total score increased the mean LN count by
2.4 (p = 0.002). Correlations for interrater reliability varied from 0.27 to
0.62. CONCLUSIONS: Photographic visualization of axillary anatomic structures
correlates with the number of LNs identified on pathology. These findings support
initiating a larger study with more surgeons to define the optimal photo metrics
of an adequate ALND.
PMID- 27198513
TI - Impact of Age on Risk of Recurrence of Ductal Carcinoma In Situ: Outcomes of 2996
Women Treated with Breast-Conserving Surgery Over 30 Years.
AB - BACKGROUND: Age is a known risk factor for recurrence in women with ductal
carcinoma in situ (DCIS) treated with breast-conserving surgery (BCS). We
explored the relationship between age, other risk factors, and recurrence.
METHODS: Using a prospectively maintained database of DCIS patients undergoing
BCS from 1978 to 2010, the association of age and recurrence risk was analyzed
using Kaplan-Meier estimates, multivariable analysis, and competing risk
multivariable analysis. RESULTS: Overall, 2996 cases were identified. Median
follow-up for those without recurrence was 75 months; 732 were followed for >=10
years, and 363 (12 %) had recurrence [192 (53 %) DCIS, 160 (44 %) invasive, 11 (3
%) unknown]. Risk of recurrence decreased with age, even after adjustment for
eight clinicopathologic variables on multivariable analysis [hazard ratios (HR),
with <40 years of age as the reference: 40-49 years, 0.82 (p = 0.36), 50-59
years, 0.46 (p = 0.0005), 60-69 years, 0.50 (p = 0.003), 70-79 years, 0.56 (p =
0.02), >=80 years, 0.21 (p = 0.0015)]. This association persisted for cohorts
with and without radiation therapy. Using competing risk multivariable analysis,
the effect of age on invasive recurrence was empirically stronger than for DCIS
recurrence. Ten-year invasive recurrence was 16 and 6.5 % in women <40 years of
age and women >=40 years of age, respectively. Only 0.6 % of the population
ultimately developed distant disease; those <40 years of age constituted 4.7 %
(141/2996) of the population, but 21 % (4/19) of those developed distant disease.
CONCLUSIONS: The risk of recurrence of DCIS decreases with age. This effect is
particularly strong at the extremes of age and is independent of other
clinicopathologic factors. The oldest women are at low risk of recurrence, while
the youngest women have a higher overall, and especially invasive, recurrence
rate, although mortality remains low. These findings should be incorporated into
risk/benefit discussions of treatment options.
PMID- 27198515
TI - Brefeldin A reduces tumor necrosis factor-alpha-stimulated production of
inflammatory mediators by suppressing the Akt, mTOR, and NF-kappaB pathways in
human keratinocytes.
AB - Keratinocytes may play an important role in the pathogenesis of inflammatory skin
diseases. Brefeldin A has been shown to attenuate the production and secretion of
chemical mediators involved in inflammation and immune responses. However, the
effect of brefeldin A on the TNF-alpha-stimulated production of inflammatory
mediators in keratinocytes has not been studied. We investigated the effect of
brefeldin A on the TNF-alpha-stimulated production of inflammatory mediators
using HaCaT cells and primary keratinocytes in relation to the Akt, mTOR, and NF
kappaB pathways, which regulates the transcription genes involved in immune and
inflammatory responses. Brefeldin A, Akt inhibitor, Bay 11-7085 (an inhibitor of
NF-kappaB activation), and rapamycin (mTOR inhibitor) inhibited the TNF-alpha
stimulated productions of inflammatory mediators, and activations of Akt, mTOR,
and NF-kappaB in keratinocytes. The results show that brefeldin A appears to
attenuate TNF-alpha-stimulated inflammatory mediator production in keratinocytes
by suppressing the activation of the Akt, mTOR, and NF-kappaB pathways.
PMID- 27198514
TI - Febuxostat, a novel xanthine oxidoreductase inhibitor, improves hypertension and
endothelial dysfunction in spontaneously hypertensive rats.
AB - Xanthine oxidase (XO) is an enzyme responsible for the production of uric acid.
XO produces considerable amount of oxidative stress throughout the body. To date,
however, its pathophysiologic role in hypertension and endothelial dysfunction
still remains controversial. To explore the possible involvement of XO-derived
oxidative stress in the pathophysiology of vascular dysfunction, by use of a
selective XO inhibitor, febuxostat, we investigated the impact of pharmacological
inhibition of XO on hypertension and vascular endothelial dysfunction in
spontaneously hypertensive rats (SHRs). Sixteen-week-old SHR and normotensive
Wistar-Kyoto (WKY) rats were treated with tap water (control) or water containing
febuxostat (3 mg/kg/day) for 6 weeks. Systolic blood pressure (SBP) in febuxostat
treated SHR (220 +/- 3 mmHg) was significantly (P < 0.05) decreased compared with
the control SHR (236 +/- 4 mmHg) while SBP in febuxostat-treated WKY was
constant. Acetylcholine-induced endothelium-dependent relaxation in aortas from
febuxostat-treated SHR was significantly (P < 0.05) improved compared with the
control SHR, whereas relaxation in response to sodium nitroprusside was not
changed. Vascular XO activity and tissue nitrotyrosine level, a representative
indicator of local oxidative stress, were considerably elevated in the control
SHR compared with the control WKY, and this increment was abolished by
febuxostat. Our results suggest that exaggerated XO activity and resultant
increase in oxidative stress in this experimental model contribute to the
hypertension and endothelial dysfunction, thereby supporting a notion that
pharmacological inhibition of XO is valuable not only for hyperuricemia but also
for treating hypertension and related endothelial dysfunction in human clinics.
PMID- 27198516
TI - Combinational effects of prebiotic oligosaccharides on bifidobacterial growth and
host gene expression in a simplified mixed culture model and neonatal mice.
AB - It is important to provide formula-fed infants with a bifidobacteria-enriched gut
microbiota similar to those of breastfed infants to ensure intestinal health.
Prebiotics, such as certain oligosaccharides, are a useful solution to this
problem, but the combinational benefits of these oligosaccharides have not been
evaluated. This study investigated the benefits of oligosaccharide combinations
and screened for an optimal combination of oligosaccharides to promote healthy
gut microbiota of formula-fed infants. In vitro and in vivo experiments were
performed to assess the bifidogenic effects of lactulose (LAC) alone and LAC
combined with raffinose (RAF) and/or galacto-oligosaccharide (GOS), using a mixed
culture model and neonatal mice orally administered with these oligosaccharides
and Bifidobacterium breve. In the in vitro culture model, the combination of the
three oligosaccharides (LAC-RAF-GOS) significantly increased cell numbers of B.
breve and Bifidobacterium longum (P<0.05) compared with either LAC alone or the
combination of two oligosaccharides, and resulted in the production of SCFA under
anaerobic conditions. In the in vivo experiment, the LAC-RAF-GOS combination
significantly increased cell numbers of B. breve and Bacteroidetes in the large
intestinal content (P<0.05) and increased acetate concentrations in the caecal
content and serum of neonatal mice. Genes related to metabolism and immune
responses were differentially expressed in the liver and large intestine of mice
administered with LAC-RAF-GOS. These results indicate a synergistic effect of the
LAC-RAF-GOS combination on the growth of bifidobacteria and reveal possible
benefits of this combination to the gut microbiota and health of infants.
PMID- 27198517
TI - Older Gay Men and Their Support Convoys.
AB - Objective: We used the convoy model and the network type construct to identify
the relationship quality profiles found among older gay men and to examine how
they define a satisfactory network. Method: We used a network mapping strategy
and in-depth qualitative interviews with 20 participants in Atlanta. During the
interviews, all network members were discussed, regardless of relationship or map
position. Results: For participants, having people in their lives with whom they
could fully be "out" as gay men (authenticity) was at the root of a quality
network. This allowed them to develop emotional closeness (intimacy), which, in
part, provided a foundation of social support. Participants' discussion of
network quality, which reflected authenticity, intimacy, and social support,
revealed high, moderate, and low quality network types. Discussion: Our findings
diversify knowledge of the network type construct, provide a deeper understanding
of its qualitative features, and give voice to this often-invisible group,
situating meaning within their social-historical context. The findings suggest
that the meaning of a quality network is contextual and culturally specific,
varying across groups of older adults.
PMID- 27198518
TI - The Role of Food Fortification in Addressing Iron Deficiency in Infants and Young
Children.
AB - Iron deficiency, one of the most widespread nutritional disorders, affects
millions of people in emerging economies and, increasingly, in industrialized
countries. Due to the high iron requirements during growth and development,
infants and young children are among those most severely affected by iron
deficiency. Iron deficiency that occurs during the critical phases of early life
development has long-lasting health consequences that are reflected in increased
risk of disease, reduced economic productivity and premature death, underscoring
the importance of infants and young children as a key target group for addressing
iron deficiency. This chapter focuses on the use of fortified foods as a cost
effective mechanism to address iron deficiency in this particularly vulnerable
subpopulation. Nutritional policies that include food fortification need to be
implemented within the context of effective public-private partnerships in order
to address the fundamental mechanisms of accessibility, affordability and
availability of nutritious food items for those in the lowest socio-economic
strata.
PMID- 27198519
TI - Dopamine and the Adolescent Brain: Do Errors in Prediction Make the Difference?
PMID- 27198520
TI - A NAc for Spinal Adjustments After Cocaine or Stress.
PMID- 27198521
TI - A Role for Lactate in the Consolidation of Drug-Related Associative Memories.
PMID- 27198522
TI - Dopamine and Obesity: A Path for Translation?
PMID- 27198524
TI - Impact of Arachidonic Acid and the Leukotriene Signaling Pathway on
Vasculogenesis of Mouse Embryonic Stem Cells.
AB - Embryonic stem (ES) cells can differentiate into various kinds of cells, such as
endothelial and hematopoietic cells. In addition, some evidence suggests that
inflammatory mediators such as leukotrienes (LTs), which include the 5
lipoxygenase (LOX) family, can regulate endothelial cell differentiation. In the
present study, the eicosanoid precursor arachidonic acid (AA) stimulated
vasculogenesis of ES cells by increasing the number of fetal liver kinase-1+
vascular progenitor cells as well as vascular structures positive for platelet
endothelial cell adhesion protein-1 and vascular endothelial cadherin. The
stimulation of vasculogenesis and expression of the rate-limiting enzyme in the
LT signaling pathway, 5-LOX-activating protein (FLAP), was blunted upon treatment
with the FLAP inhibitors AM643 and REV5901. Vasculogenesis was significantly
restored upon exogenous addition of LTs. Downstream of FLAP, the LTB4 receptor
(BLT1) blocker U75302, the BLT2 receptor blocker LY255283 as well as the
cysteinyl LT blocker BAY-u9773 inhibited vasculogenesis of ES cells. AA treatment
of differentiating ES cells increased reactive oxygen species (ROS) generation,
which was not affected upon either FLAP or cyclooxygenase-2 inhibition.
Prevention of ROS generation by either the free radical scavengers vitamin E and
N-(2-mercaptopropionyl)glycine or the NADPH oxidase inhibitor VAS2870
downregulated vasculogenesis of ES cells and blunted the provasculogenic effect
of AA. In summary, our data demonstrate that proinflammatory AA stimulates
vasculogenesis of ES cells via the LT pathway by mechanisms involving ROS
generation.
PMID- 27198523
TI - Efficacy and Safety of Induction Chemotherapy in Esophageal Cancer with Airway
Involvement.
AB - PURPOSE: Esophageal cancer with tracheobronchial involvement (TBI) has a poor
prognosis. Radical therapy carries the risk of inducing tracheoesophageal fistula
(TEF) and treatment-related mortality. Induction chemotherapy followed by
reassessment for radical therapy may decrease morbidity and improve outcome.
METHODS: This is a retrospective analysis of esophageal cancer patients with TBI
who received induction chemotherapy. Airway involvement was defined as
bronchoscopic appearance of a bulge into the lumen, restricted or immobile
mucosa, frank infiltration, TEF, or stridor, which was clinically due to airway
obstruction from the esophageal lesion. RESULTS: Eighty-three patients were
included over 5 years; 97.6 % had squamous histology. All patients received
taxane and platinum combination induction chemotherapy; 90.5 % of patients
received chemotherapy without dose delays, and 77.8 % patients did not require a
dose reduction or modification. The 31.7 % patients had a clinically significant
>=grade 3 toxicity. The objective response rate was 67 % among the patients who
underwent restaging scans following induction chemotherapy; 79.5 % of the
patients could receive radical intent therapy, either concurrent
chemoradiotherapy, or radiation alone, or surgery in one patient. The TEF
complication rate was 6 % during the course of therapy. At a median follow-up of
28 months in surviving patients, the estimated median PFS was 8 months (95 % CI
5.5-10.5) and the estimated median OS was 17 months (95 % CI 5.6-28.4). Patients
who received radical therapy had a significantly better PFS and OS, p = 0.000.
CONCLUSIONS: Induction chemotherapy may improve the outcome of patients with
esophageal cancer involving the airway and may help select patients for curative
treatment and lower the risk of TEF development.
PMID- 27198526
TI - Infants Operated on for Necrotizing Enterocolitis: Towards Evidence-Based Pain
Guidelines.
AB - BACKGROUND: Necrotizing enterocolitis (NEC) is known as an extremely painful
childhood condition. OBJECTIVES: The objective of this study was to explore pain
management around NEC-related surgery in our neonatal intensive care unit (NICU)
from a chart review of prospectively collected data on 60 operated NEC patients
admitted between 2008 and 2013 with a median (IQR) gestational age of 28.3 (25.5
31.6) weeks. METHODS: Pain medication data and pain scores (i.e. COMFORTneo and
Numerical Rating Scale pain and distress scores) from 72 h before until 72 h
after surgery were collected. RESULTS: Preoperatively, 95% of the patients
received morphine versus 100% postoperatively, with a median dosage of 10.0 (IQR
9.7-14.5) and 16.9 (IQR 10.1-20.0) MUg/kg/h, respectively. Postoperatively, 28
patients (46.7%) received additional fentanyl intermittently and 14 (23.3%)
received midazolam, which was part of palliative treatment for 6 patients
(42.9%). In patients receiving pain medication, median COMFORTneo scores were 10
(IQR 10-11) preoperatively and 11 (10-12) postoperatively. The pain scores were
comparable with those of other patients admitted to the NICU in the same time
period. CONCLUSIONS: Continuous morphine of 10 MUg/kg/h preoperatively, with an
increase to 15 MUg/kg/h postoperatively, seems to constitute a good starting dose
for further individualized pain management guided by pain scores.
PMID- 27198525
TI - Surgical Removal of Embolized Ventricular Septal Occluder Device.
AB - Ventricular septal defect (VSD) with valvar pulmonary stenosis (PS) is a
combination of cardiac defects for which treatment by means of percutaneous
catheter-directed intervention is sometimes considered. Septal occluder device
embolization is a rare but potentially dreadful complication. Adequate
precautions are of great importance, as operator-related and anatomical factors
can contribute to the risk of device embolization. In this report, we present a
case of a five-year-old patient with a perimembranous VSD and valvar PS with
infundibular muscle hypertrophy. The PS was treated with balloon pulmonary
valvotomy, and the VSD was closed with a catheter-directed duct occluder device.
Soon after deployment, the device embolized to the aortic arch, possibly as a
result of the single disc device being "milked" out of VSD by dynamic
contractions of hypertrophied muscle in the right ventricular outflow tract. The
embolized device was successfully retrieved and removed using cardiopulmonary
bypass and a period of circulatory arrest.
PMID- 27198527
TI - Editorial: Patient safety in mental health services: Understanding the impact of
emotional harm.
PMID- 27198529
TI - The Role of Breastfeeding in the Prevention of Childhood Malnutrition.
AB - Breastfeeding has an important role in the prevention of different forms of
childhood malnutrition, including wasting, stunting, over- and underweight and
micronutrient deficiencies. This chapter reviews research that demonstrates how
improved breastfeeding rates have the potential to improve childhood nutrition,
with associated impacts on infectious and noninfectious disease prevention. The
unique composition of breastmilk, the importance of breastfeeding in infectious
disease prevention, the iron status of breastfed infants, and breastfeeding's
protective effect on overweight and obesity are discussed based on currently
available research. Early and tailored dietary counseling is needed to improve
maternal diets, which can affect the nutritional status of breastmilk. Promotion
and support of breastfeeding are important to prevent childhood morbidity and
mortality. A review of the literature reveals key factors shown to be effective
in improving breastfeeding rates, especially including legislation to control the
marketing of breastmilk substitutes. In conclusion, breastfeeding is shown to be
the best natural resource to improve childhood nutrition throughout the world.
PMID- 27198528
TI - Comparative protein profiles of Butea superba tubers under seasonal changes.
AB - Seasonal changes are major factors affecting environmental conditions which
induce multiple stresses in plants, leading to changes in protein relative
abundance in the complex cellular plant metabolic pathways. Proteomics was
applied to study variations in proteome composition of Butea. superba tubers
during winter, summer and rainy season throughout the year using two-dimensional
polyacrylamide gel electrophoresis coupled with a nanoflow liquid chromatography
coupled to electrospray ionization quadrupole-time-of-flight tandem mass
spectrometry. A total of 191 protein spots were identified and also classified
into 12 functional groups. The majority of these were mainly involved in
carbohydrate and energy metabolism (30.37 %) and defense and stress (18.32 %).
The results exhibited the highest numbers of identified proteins in winter
harvested samples. Forty-five differential proteins were found in different
seasons, involving important metabolic pathways. Further analysis indicated that
changes in the protein levels were due mainly to temperature stress during summer
and to water stress during winter, which affected cellular structure,
photosynthesis, signal transduction and homeostasis, amino-acid biosynthesis,
protein destination and storage, protein biosynthesis and stimulated defense and
stress mechanisms involving glycolytic enzymes and relative oxygen species
catabolizing enzymes. The proteins with differential relative abundances might
induce an altered physiological status within plant tubers for survival. The work
provided new insights into the better understanding of the molecular basis of
plant proteomes and stress tolerance mechanisms, especially during seasonal
changes. The finding suggested proteins that might potentially be used as protein
markers in differing seasons in other plants and aid in selecting B. superba
tubers with the most suitable medicinal properties in the future.
PMID- 27198530
TI - Objectifying the level of incomplete revascularization by the residual SYNTAX
score and evaluating its impact on the one-year outcome of percutaneous coronary
intervention in patients with multi-vessel disease.
AB - BACKGROUND: Previous studies reported conflicting results regarding the impact of
incomplete revascularization on the outcome of percutaneous coronary intervention
(PCI). We evaluated the association between residual SYNTAX score (RSS) as a
quantitative measure of incomplete revascularization and one-year outcome of
patients with native multi-vessel disease undergoing PCI. METHODS: A total of 760
patients (mean age=59.14+/-10.36years, 70.4% males) who underwent successful PCI
with the incomplete revascularization strategy between September 2008 and March
2010 were included. The RSS was used to quantify the extent and complexity of
residual coronary stenosis following PCI. Multivariable analysis was used to
evaluate the impact of RSS on one-year major adverse cardiac events (MACE)
including death, myocardial infarction, and revascularization. RESULTS: Overall
incidence of one-year MACE was 4.74%. Using ROC curve analysis a cut-off of >5
for baseline RSS had a significant association with occurrence of 12-month MACE
(area under the curve=0.769; P value<0.001, sensitivity =75% and
specificity=72%). Unadjusted effect of RSS>5 on 12months MACE showed a hazard
ratio of 7.34 (p value<0.001). By multivariable analysis, effect of the RSS>5 on
12months MACE was adjusted for potential confounders. After adjustment to
clinical SYNTAX score as the sole confounder, RSS>5 remained a strong associate
with 12months MACE and its effect outweighed that of before adjustment (hazard
ratio=8.03, p value<0.001). CONCLUSIONS: The RSS is a quantified measure of the
complexity of residual coronary stenoses, and RSS>5 could be able to discriminate
patients with an increased risk of one-year MACE.
PMID- 27198531
TI - Pediatric perioperative adverse events requiring rapid response: a retrospective
case-control study.
AB - BACKGROUND: Perioperative pediatric adverse events have been challenging to study
within and across institutions due to varying definitions, low event rates, and
incomplete capture. AIM: The aim of this study was to determine perioperative
adverse event prevalence and to evaluate associated case characteristics and
potential contributing factors at an academic pediatric quaternary-care center.
METHODS: At the Children's Hospital of Philadelphia (CHOP), perioperative adverse
events requiring rapid response assistance are termed Anesthesia Now (AN!)
events. They have been accurately captured and entered into a quality improvement
database since 2010. Adverse events involving open heart and cardiac
catheterization cases are managed separately and not included in this database.
We conducted a retrospective case-control study utilizing Compurecord (Phillips
Healthcare, Andover, MA, USA), EPIC (EPIC, Verona, WI, USA), and Chartmaxx
(MedPlus, Mason, OH, USA) systems matching AN! event cases to noncardiac controls
(1 : 2) based on surgical date. RESULTS: From April 16, 2010 to September 25,
2012, we documented 213 AN! events in the noncardiac perioperative complex and
remote sites at our main hospital. AN! prevalence was 0.0043 (1 : 234) with a 95%
confidence interval (CI) (0.0037, 0.0049). Respiratory events, primarily
laryngospasm, were most common followed by events of cardiovascular etiology.
Median age was lower in the AN! group than in controls, 2.86 years (interquartile
range 0.94, 10.1) vs 6.20 (2.85, 13.1), P < 0.0001. Odds ratios (with 95% CI) for
age, 0.969 (0.941, 0.997); American Society of Anesthesiologists physical status,
1.67 (1.32, 2.12); multiple (>=2) services, 2.27 (1.13, 4.55); nonoperating room
vs operating room location, 0.240 (0.133, 0.431); and attending
anesthesiologist's experience, 0.976 (0.959, 0.992) were all significant.
CONCLUSIONS: Decreased age, increased comorbidities, multiple (vs single)
surgical services, operating room (vs nonoperating room) location, and decreased
staff experience were associated with increased risk of AN! events, which were
predominantly respiratory in origin.
PMID- 27198532
TI - Let's Move Together.
AB - BACKGROUND: Due to shared health behaviors and disease risk, families may be more
effective targets for health promotion. This study assessed whether providing
family health history (FHH)-based risk information for heart disease and diabetes
affected encouragement to engage in physical activity (PA) and healthy weight
(HW) maintenance and co-engagement in physical activity among 320 Mexican-origin
parents and their 1,081 children. METHOD: At baseline and 10 months, parents
indicated who they encouraged and who encouraged them to engage in PA/HW, and
with whom they co-engaged in PA. Households were randomized to receive FHH-based
assessments either by one or all adult household members. Primary analyses
consisted of regression analyses using generalized estimating equations. RESULTS:
At baseline, parents reported encouraging their child for both PA and HW in 37.6%
of parent-child dyads and reported receiving children's encouragement for both in
12.1% of dyads. These increased to 56.8% and 17.5% at 10 months ( p < .001). Co
engagement in PA increased from 11.4% to 15.7% ( p < .001), with younger children
(30.4%) and mother-daughter dyads (26.8%) most likely to co-engage at 10 months.
Providing FHH-based risk information to all adult household members (vs. one) was
associated with increased parent-to-child encouragement of PA/HW ( p = .011) at
10 months but not child-to-parent encouragement. New encouragement from parent-to
child ( p = .048) and from child-to-parent ( p = .003) predicted new 10-month PA
co-engagement. DISCUSSION: Providing FHH information on a household level can
promote parental encouragement for PA/HW, which can promote greater parent-child
co-engagement in PA. In this high-risk population with a cultural emphasis on
family ties, using FHH-based risk information for all adult household members may
be a promising avenue to promote PA.
PMID- 27198533
TI - The FAV-S Pilot Study.
AB - The 2012 FAV-S pilot study was developed as a dietary intervention program for
low-income Somali mothers grounded in the health belief model. The intervention
was geared toward increasing fruit and vegetable intake among participants'
children. The purpose of this analysis was to determine the impact of the FAV-S
program on participants' (1) self-efficacy in ability to serve more fruits and
vegetables, (2) knowledge and beliefs about healthy eating, and (3) perceived
barriers to accessing healthy foods. Furthermore, this study assessed change in
fruit and vegetable intake among participants and their children. The
intervention consisted of two small group education sessions addressing
nutrition, serving size, and label reading; a cooking session incorporating
fruits and vegetables into traditional Somali dishes; and a grocery store session
demonstrating best purchasing practices. Self-efficacy, knowledge and beliefs,
and perceived barriers were assessed via surveys administered verbally in Somali
pre- and postintervention. Paired t tests were used to compare pre- and
postintervention survey responses. Twenty-five women participated in the pilot
study; mean age was 43.6 years ( SD = 12.4). Self-efficacy significantly
increased among participants postintervention ( p = .01), though there were no
significant changes in knowledge and beliefs or perceived barriers. Following
intervention, daily servings of fruits and vegetables significantly increased
among both women and children ( p = .01 to p < .01). Findings suggest that a
multistage, culturally tailored, approach is effective at increasing self
efficacy and fruit and vegetable intake in the Somali community. Continued and
expanded research is needed to further develop culturally focused dietary
interventions.
PMID- 27198534
TI - Obesity Prevention in Early Child Care Settings.
AB - BACKGROUND: Long-term evaluation studies reveal that high-quality early care and
education (ECE) programs that include a lifestyle component predict later adult
health outcomes. The purpose of this article is to characterize the nutrition and
physical activity (PA) practices, including implementation difficulty and
barriers, of licensed center- and family home-based ECE programs serving 2- to 5
year-old children in Minnesota (MN) and Wisconsin (WI). METHOD: A stratified
random sampling procedure was used to select representative cross sections of
licensed ECE providers in MN and WI. A total of 2,000 providers (1,000 center
based, 1,000 family home-based) were randomly selected and invited to respond to
a 97-item survey with questions representing (1) nutrition and PA practices, (2)
barriers to meeting nutrition and PA best practices, and (3) written and
implemented nutrition and PA policies. Summated scales were constructed for
nutrition-related (range 0-15; Cronbach's alpha = .86) and for PA-related best
practices (range 0-10; Cronbach's alpha = .82). RESULTS: A total of 823 providers
returned surveys between August 2010 and March 2011, resulting in a 44% bistate
participation rate. Across all programs an average ( SD) of 7.0 (4.1) nutrition
best practices were already implemented. Center-based providers reported on
average 0.8 additional nutrition best practice (7.4 vs. 6.6, p = .01). Across all
programs an average ( SD) of 5.2 (3.1) PA best practices were already
implemented. Center-based providers reported on average one more PA best practice
(5.3 vs. 4.3, p < .01). The cost of healthy food and the weather were identified
as barriers by 80% of providers, regardless of program type.
PMID- 27198535
TI - Outcome Evaluation of Family Eats.
AB - This article presents the results of a randomized clinical trial evaluating the
eight-session Family Eats web-based intervention promoting healthy home food
environments for African American families. African American families ( n = 126)
with 8- to 12-year-old children completed online baseline questionnaires and were
randomized into intervention or control groups. Data collection occurred at
baseline, immediately postintervention (Post 1), and 4 months later (Post 2), for
parents and children, separately. There were two group by time intervention
effects: Control group parents reported a significantly greater frequency of
drinking 100% fruit juice at Post 1 compared with intervention group parents.
Parent menu planning skills were significantly higher at Post 2 for the
intervention group compared with the control group. Significant positive changes
overtime were noted for both groups for home fruit/vegetable availability, food
preparation practices, and healthy restaurant selection. Intervention group
children reported a significant increase in home juice availability at Post 1
compared with the control group; home fruit availability improved for both
groups. There was no difference in log on rates by group: 84% and 86% for those
who completed Post 1 and Post 2 measurements, respectively. Sixty-four
participants completed the evaluation survey: 17 control (50%) and 47
intervention (51%) participants. All participants reported liking the program
components; all but one gave it an A or B grade. An Internet-delivered nutrition
intervention for families was successful in achieving change in some mediating
variables, with good log on rates. Future research with Family Eats should
include larger sample sizes, with longer follow-up and a more objective measure
of diet.
PMID- 27198536
TI - Development of a Brief Pre-Implementation Screening Tool to Identify Teachers Who
Are at Risk for Not Implementing Intervention Curriculum and High-Implementing
Teachers.
AB - Few questionnaires have been developed to screen for potentially poor
implementers of school-based interventions. This study combines teacher
characteristics, perceptions, and teaching/training experiences to develop a
short screening tool that can identify potential "low-performing" or "high
performing" teachers pre-implementation. Data were gathered from 208 teachers and
4,411 students who participated in the national implementation of an evidence
based HIV intervention in The Bahamas. Sensitivity and specificity were evaluated
for the detection of "low-performing" and "high-performing" teachers. The
validity of the screening tool was assessed using receiver operating
characteristics analysis. The School Pre-implementation Screening Tool consists
of seven predictive factors: duration as teacher, working site, attendance at
training workshops, training in interactive teaching, perceived importance of the
intervention, comfort in teaching the curriculum, and program priority. The
sensitivity and specificity were 74% and 57% in identifying "low-performing"
teachers and 81% and 65% with "high-performing" teachers. The screening tool
demonstrated an acceptable/good validity (area under the receiver operating
characteristics curve was 0.68 for "low-performing teachers" and 0.78 for "high
performing" teachers). Our brief screening tool can facilitate teacher training
and recruitment of engaged teachers in implementation of school-based
interventions.
PMID- 27198538
TI - In defense of a supernatural foundation to morality: reply to Shermer.
AB - In my original paper, I claimed that our moral obligations are real, objective,
and grounded in the supernatural. In particular, I endorsed the claim that God's
will is the basis or source of our moral obligations, where "God" is to be
understood as the theistic being who is omnibenevolent, omniscient, and
omnipotent, who created the universe, and who is still actively involved in the
universe after creating it. In his critical article, Michael Shermer has raised a
number of important challenges to my view. Here I try to defend the position and
respond to at least his most serious objections.
PMID- 27198537
TI - Knockout of ho-1 protects the striatum from ferrous iron-induced injury in a male
specific manner in mice.
AB - Men have worse survival than premenopausal women after intracerebral hemorrhage
(ICH). After ICH, overproduction of iron associated with induction of heme
oxygenase-1 (HO-1) in brain was observed. Rodent ICH model using ferrous citrate
(FC)-infusion into the striatum to simulate iron overload, showed a higher degree
of injury severity in males than in females. However, the participation of HO-1
in sex-differences of iron-induced brain injury remains unknown. The present
results showed a higher level of HO-1 expression associated with more severe
injury in males compared with females after FC-infusion. Estradiol (E2)
contributed to lower levels of FC-induced HO-1 expression in females compared
with males. Heterozygote ho-1 KO decreased the levels of FC-induced injury
severity, histological lesions, behavioral deficits, autophagy and autophagic
cell death in the striatum of males but not in females. Moreover, ho-1 deficiency
enhanced the neuroprotection by E2 only in males. These results suggested that
over induction of HO-1 plays a harmful role in FC-induced brain injury in a male
specific manner. Suppression of HO-1 combined with E2 exhibits a synergistic
effect on neuroprotection against FC-induced striatal injury in males. These
findings open up the prospect for male-specific neuroprotection targeting HO-1
suppression for patients suffering from striatal iron overload.
PMID- 27198539
TI - Clinical assessment of enamel wear caused by monolithic zirconia crowns.
AB - The purpose of this study was to measure enamel wear caused by antagonistic
monolithic zirconia crowns and to compare this with enamel wear caused by
contralateral natural antagonists. Twenty monolithic zirconia full molar crowns
were placed in 20 patients. Patients with high activity of the masseter muscle at
night (bruxism) were excluded. For analysis of wear, vinylpolysiloxane
impressions were prepared after crown incorporation and at 6-, 12-, and 24-month
follow-up. Wear of the occlusal contact areas of the crowns, of their natural
antagonists, and of two contralateral natural antagonists (control teeth) was
measured by use of plaster replicas and a 3D laser-scanning device. Differences
of wear between the zirconia crown antagonists and the control teeth were
investigated by means of two-sided paired Student's t-tests and linear regression
analysis. After 2 years, mean vertical loss was 46 MUm for enamel opposed to
zirconia, 19-26 MUm for contralateral control teeth and 14 MUm for zirconia
crowns. Maximum vertical loss was 151 MUm for enamel opposed to zirconia, 75-115
MUm for control teeth and 60 MUm for zirconia crowns. Statistical analysis
revealed significant differences between wear of enamel by zirconia-opposed teeth
and by control teeth. Gender, which significantly affected wear, was identified
as a possible confounder. Monolithic zirconia crowns generated more wear of
opposed enamel than did natural teeth. Because of the greater wear caused by
other dental ceramics, the use of monolithic zirconia crowns may be justified.
PMID- 27198540
TI - One in three female clinician-researchers reports sexual harassment, US survey
finds.
PMID- 27198541
TI - Hypoalbuminaemia at admission predicts the poor outcomes in critically ill
children.
AB - BACKGROUND: Hypoalbuminaemia at admission is a common finding in patients
admitted to the Paediatric Intensive Care Unit (PICU) and it is thought that this
may predict morbidity and mortality. METHODS: A retrospective study was conducted
in the tertiary hospital. The medical records of critically ill children were
reviewed. The data were analyzed for the prevalence of hypoalbuminaemia and
outcomes. RESULTS: Two hundred and two patients were included in the analysis.
The incidence of hypoalbuminaemia at admission was 57.9%. These patients had a
mortality rate 4 times greater (adjusted odds ratio 3.8; 95% CI: 1.4-10.0), a
longer length of PICU stay (8.6 vs. 6.7 days, P = 0.04) and a longer period on a
ventilator (5.9 vs. 3.9 days, P = 0.04) than patients with normal albumin levels.
CONCLUSIONS: Hypoalbuminaemia at admission was a predictive factor of poor
outcome in critically ill children. It is associated with a higher mortality, a
longer length of stay in the PICU, as well as longer ventilator use.
PMID- 27198543
TI - Erratum: A pharmacological inhibitor of NLRP3 inflammasome prevents non-alcoholic
fatty liver disease in a mouse model induced by high fat diet.
PMID- 27198542
TI - Exercise as an alternative treatment for chronic insomnia (PEDro synthesis).
PMID- 27198544
TI - Persistent organic pollutants in tissues of the white-blooded Antarctic fish
Champsocephalus gunnari and Chaenocephalus aceratus.
AB - The global occurrence of persistent organic pollutants (POPs) continuously
contributes to their accumulation also in remote areas such as the Antarctic
Ocean. Antarctic fish, which hold high trophic positions but appear to possess
low endogenous elimination rates for chemicals, are expected to bioaccumulate
POPs with rising anthropogenic pollution. Using a chemical-analytical method, we
measured concentrations of PCBs, PBDEs, HCBs, HCH and DDTs and determined toxic
equivalents (TEQs) and bioanalytical equivalents (BEQs) in muscle and ovaries of
Antarctic icefish caught in the Southern Ocean around Elephant Island. We used
two species with different feeding habits and trophic web positions: the
planktivorous Champsocephalus gunnari and the piscivorous Chaenocephalus
aceratus. Our results revealed higher contaminant levels in ovary than in muscle
tissues of both species. Most analytes concentrations and the TEQs (0.2-0.5) and
BEQs (0.2) were lower as in temperate species. Comparison with literature data
points to higher PCB (20-22 ng g(-1) lipid weight (lw)) and DDT (7-19.5 ng g(-1)
lw) concentrations than those measured in icefish in the 90's. For the other
contaminants, we could not identify temporal trends. We found a higher
bioaccumulation of contaminants, particularly HCB and DDTs, in C. aceratus (6.2 &
19.5 ng g(-1) lw, respectively) than in C. gunnari (3.8 & 7.0 ng g(-1) lw,
respectively). However, there was no general species-specific accumulation
pattern of the different toxicant classes between the two icefish. Thus, the
expected link between contaminant burdens of C. aceratus and C. gunnari and their
ecological traits was only weakly supported for these species.
PMID- 27198545
TI - Poor tuberculosis treatment outcomes in Southern Mozambique (2011-2012).
AB - BACKGROUND: In Mozambique, there is limited data regarding the monitoring of
Tuberculosis (TB) treatment results and determinants of adverse outcomes under
routine surveillance conditions. The objectives of this study were to evaluate
treatment outcomes among TB patients, analyze factors associated with a fatal
outcome and determine the proportion of deaths attributable to TB in the district
of Manhica, Southern Mozambique. METHODS: This is a retrospective observational
study based on TB patients diagnosed in the period 2011-2012. We used three
different data sources: a) TB related variables collected by the National TB
Control Program in the district of Manhica for all TB cases starting treatment in
the period 2011-2012. b) Population estimates for the district were obtained
through the Mozambican National Statistics Institute. c) Deaths and other
relevant demographic variables were collected from the Health and Demographic
Surveillance System at Manhica Health Research Center. WHO guidelines were used
to define TB cases and treatment outcomes. RESULTS: Of the 1957 cases starting TB
treatment in the period 2011-2012, 294 patients (15.1 %) died during anti
tuberculous treatment. Ten per cent of patients defaulted treatment. The
proportion of patients considered to have treatment failure was 1.1 %. HIV
infection (OR 2.73; 95 % CI: 1.70-4.38), being male (OR: 1.39; 95 % CI 1.01-1.91)
and lack of laboratory confirmation (OR: 1.54; 95 % CI 1.12-2.13) were associated
with dying during the course of TB treatment (p value <0.05). The contribution of
TB to the overall death burden of the district for natural reasons was 6.5 % (95
% CI: 5.5-7.6), higher for males than for females (7.8 %; 95 % CI: 6.1-9.5 versus
5.4 %; 95 % CI: 4.1-6.8 respectively). The age group within which TB was
responsible for the highest proportion of deaths was 30-34 among males and 20-24
among females (20 % of all deaths in both cases). CONCLUSION: This study shows a
very high proportion of fatal outcomes among TB cases starting treatment. There
is a high contribution of TB to the overall causes of mortality. These results
call for action in order to improve TB (and TB/HIV) management and thus treatment
outcomes of TB patients.
PMID- 27198546
TI - Consumer reporting of adverse drug reactions: Systems that allow patients to
report side effects of the drugs they are taking have yielded valuable
information for improving drugs safety and health care.
PMID- 27198547
TI - Erratum to: 'Mediterranean versus vegetarian diet for cardiovascular disease
prevention (the CARDIVEG study): study protocol for a randomized controlled
trial'.
PMID- 27198550
TI - Prediction of the electronic structure of single-walled black phosphorus
nanotubes.
AB - Due to its high carrier mobility and tunable bandgap, phosphorene has been the
subject of immense interest recently. Herein, we show using density functional
theory based calculations that black phosphorus (BP) nanotubes are achievable.
Moreover, the electronic properties of BP nanotubes are explored. In contrast to
their monolayer and bulk counterparts, most BP nanotubes possess indirect band
gaps. In addition, strong anisotropic electronic behaviors are observed between
zigzag and armchair nanotubes. Semiconducting to semi-metallic transition occurs
only for zigzag tubes when its diameter shrinks to ~1.5 nm. This difference is
strongly related to the bond bending after the formation of the nanotubes which
governs the s-p hybridization, as well as electron distribution in different p
orbitals and this eventually determines the electronic structure of BP nanotubes.
PMID- 27198548
TI - Surgical flow disturbances in dedicated minimally invasive surgery suites: an
observational study to assess its supposed superiority over conventional suites.
AB - BACKGROUND: Minimally invasive surgery (MIS) is frequently compromised by
surgical flow disturbances due to technology- and equipment-related failures.
Compared with MIS in a conventional cart-based OR, performing MIS in a dedicated
integrated operating room (OR) is supposed to be beneficial to patient safety.
The aim of this study was to compare a conventional OR with an integrated OR with
regard to the incidence and effect of equipment-related surgical flow
disturbances during an advanced laparoscopic gynecological procedure
[laparoscopic hysterectomy (LH)]. METHODS: Using video recording, 40 LHs
performed between November 2010 and April 2012 (20 in a conventional cart-based
OR and 20 in an integrated OR) were analyzed by two different observers. Outcome
measures were the number, duration and effect (on a seven-point ordinal scale) of
the surgical flow disturbances (e.g., malfunctioning, intraoperative
repositioning, setup device). RESULTS: A total of 103 h and 45 min was observed.
The interobserver agreement was high (kappa .85, p < .001). Procedure time was
not significantly different (NS) [conventional OR vs. integrated OR, minutes +/-
standard deviation (SD), mean 161 +/- 27 vs. 150 +/- 34]. A total of 1651
surgical flow disturbances were observed (mean +/- SD per procedure 40.8 +/- 19.4
vs. 41.8 +/- 15.9, NS). The mean number of surgical flow disturbances per
procedure with regard to equipment was 6.3 +/- 3.7 versus 8.5 +/- 4.0, NS. No
clinically relevant differences in the mean effect of these disturbances on the
surgical flow between the two OR setups were observed. CONCLUSIONS: Performing LH
in an integrated OR did not reduce the number of surgical flow disturbances nor
the effect of these disturbances. Furthermore, in the integrated OR,
repositioning of the monitors was a frequent and time-consuming source of
disturbance. In order to maintain the high standard of surgical safety, the
entire surgical team has to be aware that by performing surgery in an integrated
OR different potential source for disruption arise.
PMID- 27198549
TI - Surgical advantages of reduced-port laparoscopic gastrectomy in gastric cancer.
AB - BACKGROUND: Although a few studies have reported the use of reduced-port
laparoscopic gastrectomy (RPG) in gastric cancer patients, the feasibility of
routinely using this technique remains unclear. It is therefore important to
evaluate the surgical advantages of this technique in this patient group.
METHODS: Between August 2010 and July 2015, 165 patients underwent RPGs at our
hospital, performed by a single surgeon. Of these patients, 88 underwent reduced
port laparoscopic distal gastrectomy (RPLDG) and 77 underwent reduced-port
laparoscopic total gastrectomy (RPLTG). In addition to short-term surgical
outcomes after RPG, survival times and the surgical learning curve were also
evaluated. RESULTS: Blood losses during lymph node dissection in the RPLDG and
RPLTG groups were not significantly different (p = 0.160). Conversion to open
surgery was necessary in only two patients. Postoperative morbidities were
observed in 14.8 % of the RPLDG group and 14.3 % of the RPLTG group, but there
were no deaths. Most patients expressed high cosmetic satisfaction in both
groups. In the RPLDG group, operation time during reconstruction decreased over
the first 50 cases and then plateaued, as the surgeon's experience of the
technique increased. In contrast, in the RPLTG group, operation times dropped
with surgical experience for both lymph node dissection, plateauing after 40
cases, and for reconstruction, plateauing after 30 cases. Only three patients
died of gastric cancer in the follow-up period and three patients died of other
diseases. Five-year overall survival and 5-year disease-specific survival were
95.6 and 98.0 %, respectively. CONCLUSIONS: We have shown that reduced-port
gastrectomy (RPG) could be an acceptable and satisfactory procedure for treating
gastric cancer for an experienced laparoscopic gastric surgeon who has sufficient
previous experience of conventional laparoscopic gastrectomies.
PMID- 27198551
TI - European Society of Radiology (ESR) and American College of Radiology (ACR)
report of the 2015 global summit on radiological quality and safety.
AB - The American College of Radiology (ACR) and the European Society of Radiology
(ESR) held the second joint Global Summit on Radiological Quality and Safety on
October 10-11, 2015 in Barcelona. The programme addressed the issues of safety,
professional performance, practice improvement and customer service. Participants
came from national and international radiological societies; partner medical
societies; global organisations such as the International Atomic Energy Agency
and the World Health Organisation; and patient advocacy groups. The objective was
to exchange ideas and develop common strategies to improve and harmonise quality
and safety in radiology on a global level. Participants debated and proposed
improvement initiatives at the conclusion of the meeting. MAIN MESSAGES: *
Radiologists must adapt to demonstrate their value to the healthcare system. *
Integration of quality and safety policies is crucial for our profession. *
Excellent patient care includes good communication and direct involvement in
clinical problem solving. * Culture is shifting towards clinical decision support
tools for appropriate use of imaging. * "Big data" is a great opportunity for
radiologists to improve the quality of patient care.
PMID- 27198552
TI - Combination of carmustine and selenite effectively inhibits tumor growth by
targeting androgen receptor, androgen receptor-variants, and Akt in preclinical
models: New hope for patients with castration resistant prostate cancer.
AB - Despite established androgen receptor (AR) antagonists, AR/AR-variants signaling
remain a major obstacle for the successful treatment of castration resistant
prostate cancer (CRPC). In addition, CRPC cells adapt to survive via AR
independent pathways to escape next generation therapies. Therefore, there is an
urgent need for drugs that can target these signaling pathways in CRPC. In this
study, we sought to determine whether carmustine and selenite in combination
could induce apoptosis and inhibit growth of CRPC in-vitro and in-vivo. CRPC
(22Rv1, VCaP, and PC-3) cell lines in culture and xenograft mouse were used.
Combination of carmustine and selenite treatment significantly increased reactive
oxygen species, apoptosis and growth inhibition in CRPC cells with down
regulation of anti-apoptotic (Bcl-2 and Mcl-1) and proliferative proteins (c-Myc
and cyclin-D1). This effect was associated with complete reduction of AR/AR
variants, AR-V7, PSA and significant induction of p27Kip1. Combination treatment
substantially abolished phospho-Akt, phospho-GSK-3beta, and anchorage-independent
growth in AR-positive and AR-negative cells. Consistent with in-vitro results,
combination treatment effectively induced apoptosis and completely inhibited
xenograft tumor growth and markedly reduced AR/AR-variants, AR-V7, PSA, and Bcl-2
in xenograft tumors without causing genotoxicity in host mice. Individual agent
treatment showed only partial effect. The combination treatment showed a
significant synergistic effect. The present study is the first to demonstrate
that the combination of carmustine and selenite treatment completely suppressed
CRPC tumor growth by reducing AR/AR-variants and Akt signaling. Our findings
suggest that the combination of carmustine and selenite could constitute a
promising next-generation therapy for successful treatment of patients with CRPC.
PMID- 27198553
TI - The Cannabis Policy Framework by the Centre for Addiction and Mental Health: A
proposal for a public health approach to cannabis policy in Canada.
PMID- 27198554
TI - Collaborative transition to a methadone formulation change in British Columbia,
Canada.
PMID- 27198556
TI - Alcohol-free spirits as novel alcohol placebo - A viable approach to reduce
alcohol-related harms?
PMID- 27198555
TI - Income inequality, drug-related arrests, and the health of people who inject
drugs: Reflections on seventeen years of research.
AB - This paper reviews and then discusses selected findings from a seventeen year
study about the population prevalence of people who inject drugs (PWID) and of
HIV prevalence and mortality among PWID in 96 large US metropolitan areas. Unlike
most research, this study was conducted with the metropolitan area as the level
of analysis. It found that metropolitan area measures of income inequality and of
structural racism predicted all of these outcomes, and that rates of arrest for
heroin and/or cocaine predicted HIV prevalence and mortality but did not predict
changes in PWID population prevalence. Income inequality and measures of
structural racism were associated with hard drug arrests or other properties of
policing. These findings, whose limitations and implications for further research
are discussed, suggest that efforts to respond to HIV and to drug injection
should include supra-individual efforts to reduce both income inequality and
racism. At a time when major social movements in many countries are trying to
reduce inequality, racism and oppression (including reforming drug laws), these
macro-social issues in public health should be both addressable and a priority in
both research and action.
PMID- 27198557
TI - Alcohol Interactive Toxicity Beliefs and ART Non-adherence Among HIV-Infected
Current Drinkers in Mbarara, Uganda.
AB - Interactive toxicity beliefs regarding mixing alcohol and antiretroviral therapy
(ART) may influence ART adherence. HIV-infected patients in Uganda completed
quarterly visits for 1 year, or one visit at 6 months, depending on study
randomization. Past month ART non-adherence was less than daily or <100 % on a
visual analog scale. Participants were asked if people who take alcohol should
stop taking their medications (belief) and whether they occasionally stopped
taking their medications in anticipation of drinking (behavior). Visits with self
reported alcohol use and ART use for >=30 days were included. We used logistic
regression to examine correlates of the interactive toxicity belief and behavior,
and to determine associations with ART non-adherence. 134 participants
contributed 258 study visits. The toxicity belief was endorsed at 24 %, the
behavior at 15 %, and any non-adherence at 35 % of visits. In multivariable
analysis, the odds of non-adherence were higher for those endorsing the toxicity
behavior [adjusted odds ratio (AOR) 2.06; 95 % confidence interval (CI) 0.97
4.36] but not the toxicity belief (AOR 0.63; 95 % CI 0.32-1.26). Clear messaging
about maintaining adherence, even if drinking, could benefit patients.
PMID- 27198558
TI - Prohibiting direct medical care by US military personnel in foreign disaster
relief: arguments from the Ebola disaster.
PMID- 27198559
TI - Changes in Retinal Nerve Fiber Layer Thickness in Obstructive Sleep
Apnea/Hypopnea Syndrome: A Meta-Analysis.
AB - PURPOSE: To evaluate and compare changes in retinal nerve fiber layer (RNFL)
thickness in patients with obstructive sleep apnea/hypopnea syndrome (OSAHS).
METHODS: The Cochrane Library, Medline, and Embase were screened using our key
words. Results were carefully reviewed to ensure that the included studies met
the inclusion/exclusion criteria, and the quality of the studies was assessed
using the Newcastle-Ottawa Scale. All included studies categorized patients with
OSAHS into 3 groups (mild, moderate, and severe), and measured average and 4
quadrant (temporal, superior, nasal, and inferior) RNFL thickness. All studies
included a healthy control group. The weighted mean differences and 95%
confidence intervals were calculated for the continuous outcomes. RESULTS: Ten
case-control studies were included in the meta-analysis, consisting of a total of
811 OSAHS group and 868 healthy eyes. A meta-analysis of the data showed that the
average RNFL thicknesses in the mild, moderate, and severe OSAHS groups were
significantly decreased compared to healthy controls. Additionally, RNFL
thickness was significantly reduced in all but the temporal quadrant in the
moderate and severe OSAHS groups when compared to healthy controls. CONCLUSIONS:
On the basis of these results, we suggest that peripapillary RNFL thickness as
measured by optical coherence tomography could be a useful tool to monitor and
assess the severity of OSAHS in patients. Further studies are required in order
to differentiate these RNFL changes from glaucomatous changes. This has not been
properly examined in any of the studies we were able to identify.
PMID- 27198561
TI - Trams, trains, planes and automobiles: logistics of conducting a statewide audit
of medical records.
AB - OBJECTIVE: This paper reports on the logistics of conducting a validation study
of a routinely collected dataset against medical records at hospitals to inform
planning of similar studies. METHOD: A stratified random sample of 15 hospitals
and two homebirth practitioners was included. Site visits were arranged following
consent. In addition to the validation of perinatal data, information was
collected regarding logistics. RESULTS: Records at 14 metropolitan and rural
hospitals up to 500 km from the research centre, and two homebirth practitioners,
were audited. Obtaining consent to participate took between 5 days and 10 months.
Auditors visited sites on 101 days, auditing 737 medical record pairs at 16
sites. Median audit time per record was 51.3 minutes; electronic records each
took 36 minutes longer than paper. Travel time accounted for nearly one-quarter
of audit time. CONCLUSIONS: Delays obtaining consents, long travel times and
electronic records prolonged audit duration and expense. Employment of experts
maximised use of available audit time. Conducting a validation study is a time
consuming and expensive exercise; however, confidence in the accuracy of public
health data is vital. IMPLICATIONS: Validation studies are unquestionably
important. Three alternative strategies have been proposed to make future studies
viable.
PMID- 27198560
TI - Drug penetration and metabolism in 3D cell cultures treated in a 3D printed
fluidic device: assessment of irinotecan via MALDI imaging mass spectrometry.
AB - Realistic in vitro models are critical in the drug development process. In this
study, a novel in vitro platform is employed to assess drug penetration and
metabolism. This platform, which utilizes a 3D printed fluidic device, allows for
dynamic dosing of three dimensional cell cultures, also known as spheroids. The
penetration of the chemotherapeutic irinotecan into HCT 116 colon cancer
spheroids was examined with MALDI imaging mass spectrometry (IMS). The active
metabolite of irinotecan, SN-38, was also detected. After twenty-four hours of
treatment, SN-38 was concentrated to the outside of the spheroid, a region of
actively dividing cells. The irinotecan prodrug localization contrasted with SN
38 and was concentrated to the necrotic core of the spheroids, a region
containing mostly dead and dying cells. These results demonstrate that this
unique in vitro platform is an effective means to assess drug penetration and
metabolism in 3D cell cultures. This innovative system can have a transformative
impact on the preclinical evaluation of drug candidates due to its cost
effectiveness and high throughput.
PMID- 27198562
TI - Signatures of single quantum dots in graphene nanoribbons within the quantum Hall
regime.
AB - We report on the observation of periodic conductance oscillations near quantum
Hall plateaus in suspended graphene nanoribbons. They are attributed to single
quantum dots that are formed in the narrowest part of the ribbon, in the valleys
and hills of a disorder potential. In a wide flake with two gates, a double-dot
system's signature has been observed. Electrostatic confinement is enabled in
single-layer graphene due to the gaps that are formed between the Landau levels,
suggesting a way to create gate-defined quantum dots that can be accessed with
quantum Hall edge states.
PMID- 27198563
TI - How to Achieve Transparency in Public-Private Partnerships Engaged in Hunger and
Malnutrition Reduction.
AB - Multi-stakeholder partnerships are important facilitators of improving nutrition
in developing countries to achieve the United Nations' Sustainable Development
Goals. Often, the role of industry is challenged and questions are raised as to
the ethics of involving for-profit companies in humanitarian projects. The Second
International Conference on Nutrition placed great emphasis on the role of the
private sector, including industry, in multi-stakeholder partnerships to reduce
hunger and malnutrition. Governments have to establish regulatory frameworks and
institutions to guarantee fair competition and invest in infrastructure that
makes investments for private companies attractive, eventually leading to
economic growth. Civil society organizations can contribute by delivering
nutrition interventions and behavioral change-related communication to consumers,
providing capacity, and holding governments and private sector organizations
accountable. Industry provides technical support, innovation, and access to
markets and the supply chain. The greatest progress and impact can be achieved if
all stakeholders cooperate in multi-stakeholder partnerships aimed at improving
nutrition, thereby strengthening local economies and reducing poverty and
inequality. Successful examples of public-private partnerships exist, as well as
examples in which these partnerships did not achieve mutually agreed objectives.
The key requirements for productive alliances between industry and civil society
organizations are the establishment of rules of engagement, transparency and
mutual accountability. The Global Social Observatory performed a consultation on
conflicts of interest related to the Scaling Up Nutrition movement and provided
recommendations to prevent, identify, manage and monitor potential conflicts of
interest. Multi-stakeholder partnerships can be successful models in improving
nutrition if they meet societal demand with transparent decision-making and
execution. Solutions to the issue of malnutrition are available. We have the
resources and knowledge, and we must act as a global community in the immediate
future. Transparency about the roles and contributions of each partner may be a
key factor for successful cooperation in multi-stakeholder partnerships.
PMID- 27198564
TI - Production of Glucaric Acid from Hemicellulose Substrate by Rosettasome Enzyme
Assemblies.
AB - Hemicellulose biomass is a complex polymer with many different chemical
constituents that can be utilized as industrial feedstocks. These molecules can
be released from the polymer and transformed into value-added chemicals through
multistep enzymatic pathways. Some bacteria produce cellulosomes which are
assemblies composed of lignocellulolytic enzymes tethered to a large protein
scaffold. Rosettasomes are artificial engineered ring scaffolds designed to mimic
the bacterial cellulosome. Both cellulosomes and rosettasomes have been shown to
facilitate much higher rates of biomass hydrolysis compared to the same enzymes
free in solution. We investigated whether tethering enzymes involved in both
biomass hydrolysis and oxidative transformation to glucaric acid onto a
rosettasome scaffold would result in an analogous production enhancement in a
combined hydrolysis and bioconversion metabolic pathway. Three different enzymes
were used to hydrolyze birchwood hemicellulose and convert the substituents to
glucaric acid, a top-12 DOE value added chemical feedstock derived from biomass.
It was demonstrated that colocalizing the three different enzymes to the
synthetic scaffold resulted in up to 40 % higher levels of product compared to
uncomplexed enzymes.
PMID- 27198565
TI - The Modified Heparin-Binding L-Asparaginase of Wolinella succinogenes.
AB - The modified asparaginase Was79 was derived from the recombinant wild-type L
asparaginase of Wolinella succinogenes. The Was79 contains the amino acid
substitutions V23Q and K24T responsible for the resistance to trypsinolysis and
the N-terminal heparin-binding peptide KRKKKGKGLGKKR responsible for the binding
to heparin and tumor K562 cells in vitro. When tested on a mouse model of Fischer
lymphadenosis L5178Y, therapeutic efficacy of Was79 was significantly higher than
that of reference enzymes at all single therapeutic doses used (125-8000 IU/kg).
At Was79 single doses of 500-8000 IU/kg, the complete remission rate of 100 % was
observed. The Was79 variant can be expressed intracellularly in E. coli as a less
immunogenic formyl-methionine-free form at high per cell production levels.
PMID- 27198566
TI - Proteomic Analysis in Esophageal Eosinophilia Reveals Differential Galectin-3
Expression and S-Nitrosylation.
AB - BACKGROUND AIMS: Esophageal eosinophilia (EE) can be caused by gastroesophageal
reflux disease (GERD), proton-pump inhibitor-responsive EE (PPI-REE) or
eosinophilic esophagitis (EoE). This study quantified protein expression and S
nitrosylation (SNO) post-translational modifications in EE to elucidate potential
disease biomarkers. METHODS: Proximal and distal esophageal (DE) biopsy proteins
in patients with EE and in controls were assayed for protein content and
fluorescence-labeled with and without ascorbate treatment. Protein SNO was
determined, and selected protein spots were identified by matrix-assisted laser
desorption ionization time-of-flight/mass spectrometry. Western blot and
ingenuity pathway analysis were performed. RESULTS: Ninety-one of 648 proteins
showed differential expression. There were significantly altered levels of
abundance for 11 proximal and 14 DE proteins. Hierarchal clustering revealed
differential SNO in inflamed tissues, indicating reactive nitrogen/oxygen species
involvement. Galectin-3 was upregulated in both proximal (p < 0.04) and distal (p
< 0.004) esophageal EE biopsies compared to controls. In distal EE samples,
galectin-3 was significantly S-nitrosylated (p < 0.004). Principal component
analysis revealed sample group discrimination distally. CONCLUSION: Proteomic
analysis in EE esophageal mucosa revealed a distinct abundance and nitrosylation
profile, most prominently in distal biopsies. Galectin-3 was upregulated in
expression and SNO, which may indicate its potential role in mucosal
inflammation. These results call for more studies to be performed to investigate
the role of galectin-3 in GERD, PPI-REE and EoE.
PMID- 27198567
TI - Region of interest identification and diagnostic agreement in breast pathology.
AB - A pathologist's accurate interpretation relies on identifying relevant
histopathological features. Little is known about the precise relationship
between feature identification and diagnostic decision making. We hypothesized
that greater overlap between a pathologist's selected diagnostic region of
interest (ROI) and a consensus derived ROI is associated with higher diagnostic
accuracy. We developed breast biopsy test cases that included atypical ductal
hyperplasia (n=80); ductal carcinoma in situ (n=78); and invasive breast cancer
(n=22). Benign cases were excluded due to the absence of specific abnormalities.
Three experienced breast pathologists conducted an independent review of the 180
digital whole slide images, established a reference consensus diagnosis and
marked one or more diagnostic ROIs for each case. Forty-four participating
pathologists independently diagnosed and marked ROIs on the images. Participant
diagnoses and ROI were compared with consensus reference diagnoses and ROI.
Regression models tested whether percent overlap between participant ROI and
consensus reference ROI predicted diagnostic accuracy. Each of the 44
participants interpreted 39-50 cases for a total of 1972 individual diagnoses.
Percent ROI overlap with the expert reference ROI was higher in pathologists who
self-reported academic affiliation (69 vs 65%, P=0.002). Percent overlap between
participants' ROI and consensus reference ROI was then classified into ordinal
categories: 0, 1-33, 34-65, 66-99 and 100% overlap. For each incremental change
in the ordinal percent ROI overlap, diagnostic agreement increased by 60% (OR
1.6, 95% CI (1.5-1.7), P<0.001) and the association remained significant even
after adjustment for other covariates. The magnitude of the association between
ROI overlap and diagnostic agreement increased with increasing diagnostic
severity. The findings indicate that pathologists are more likely to converge
with an expert reference diagnosis when they identify an overlapping diagnostic
image region, suggesting that future computer-aided detection systems that
highlight potential diagnostic regions could be a helpful tool to improve
accuracy and education.
PMID- 27198568
TI - Interobserver variability in intraductal papillary mucinous neoplasm subtypes and
application of their mucin immunoprofiles.
AB - Intraductal papillary mucinous neoplasm is considered a precursor lesion to
pancreatic adenocarcinoma. These are further classified into four histologic
subtypes: gastric, intestinal, pancreatobiliary, and oncocytic. The first aim of
this study was to assess the interobserver variability among five
gastrointestinal pathologists in diagnosing intraductal papillary mucinous
neoplasm subtypes by morphology alone. The second aim of the study was to compare
intraductal papillary mucinous neoplasm subtypes, which received consensus
diagnoses (>=80% agreement) with their respective mucin immunoprofiles (MUC1,
MUC2, MUC5AC, MUC6, and CDX2). A consensus histologic subtype was reached in 58%
of cases (29/50) among the five gastrointestinal pathologists. Overall there was
moderate agreement (kappa=0.41, P<0.01) in subtyping intraductal papillary
mucinous neoplasms without the use of immunohistochemistry. The histologic
subtype with the best interobserver agreement was intestinal type (kappa=0.56,
P<0.01) followed by pancreatobiliary, gastric, mixed, and oncocytic types
(kappa=0.43, P<0.01; kappa=0.38, P<0.01; kappa=0.17, P<0.01; kappa=0.08, P<0.04,
respectively). Both kappa values for mixed and oncocytic subtypes were likely
artificially low due to the underrepresentation of these subtypes in this study
and not a true indication of poor interobserver agreement. Following an
intradepartmental consensus meeting between two gastrointestinal pathologists,
68% of cases (34/50) received a consensus intraductal papillary mucinous neoplasm
subtype. Sixty-nine percent of cases (11/16) that did not receive a consensus
intraductal papillary mucinous neoplasm subtype could be classified based on
their respective immunoprofiles. Standardizing the use of immunohistochemistry
with a mucin immunopanel (MUC1, MUC2, MUC5AC, and MUC6) may improve the agreement
of diagnosing intraductal papillary mucinous neoplasm histologic subtypes.
PMID- 27198569
TI - PD-L1 expression in colorectal cancer is associated with microsatellite
instability, BRAF mutation, medullary morphology and cytotoxic tumor-infiltrating
lymphocytes.
AB - Programmed cell death 1 (PD-1) and its ligand (PD-L1) are key suppressors of the
cytotoxic immune response. PD-L1 expression on tumor cells may be induced by the
immune microenvironment, resulting in immune escape (adaptive immune resistance),
and an adverse prognosis in many malignancies. In colorectal carcinoma the
response to PD-1/PD-L1 inhibition is correlated with microsatellite instability.
However, little is known about the clinicopathologic, molecular, and prognostic
characteristics of colorectal carcinoma with PD-L1 expression. We performed
immunohistochemistry for PD-L1 on 181 cases of colorectal carcinoma with known
microsatellite instability and mutational status, and correlated PD-L1 expression
with clinicopathologic features including tumor-infiltrating lymphocyte
burden/immunophenotype, tumor mutational profile, and disease-specific survival.
PD-L1 was expressed in tumors from 16 patients (9%) who were more often older
(P=0.006) and female (P=0.035), with tumors exhibiting a larger size (P=0.013),
but lower stage (P<0.001). PD-L1 expression was associated with increased CD8 and
TBET-positive tumor-infiltrating lymphocytes, medullary phenotype, poor
differentiation, microsatellite instability, BRAF mutation (P<0.001 for each),
and a lower frequency of KRAS mutation (P=0.012). On multivariate analysis, PD-L1
expression was associated with medullary morphology and frequent CD8-positive
tumor-infiltrating lymphocytes, suggesting adaptive immune resistance. PD-L1
positivity was not predictive of survival in the entire cohort, but it was
associated with a lower disease-specific survival within the microsatellite
instability high cohort. PD-L1 expression in colorectal carcinoma is associated
with clinicopathologic and molecular features of the serrated pathway of
colorectal carcinogenesis, and is associated with a worse outcome within
microsatellite-unstable tumors. These findings support the role of PD-L1
expression in providing normally immunogenic colorectal carcinoma a means of
immune evasion and a more aggressive biology, provide a potential mechanistic
explanation for the favorable response of microsatellite-unstable colorectal
carcinoma to PD-1/PD-L1 pathway blockade, and suggest potential predictive and
prognostic roles of PD-L1 immunohistochemistry in colorectal carcinoma.
PMID- 27198572
TI - A Vitamin on the Mind: New Discoveries on Control of the Brain by Vitamin A.
AB - Vitamin A is essential for many physiological processes and is particularly
crucial during early life, when vitamin A deficiency increases mortality through
elevated rates of infection. This deadly aspect of vitamin A deficiency masks
other effects that, while not lethal, may nevertheless cause significant issues
if vitamin A insufficiency reoccurs during later childhood or in the adult. One
such effect is on the brain. Vitamin A is essential for several regions of the
brain, and this chapter focuses on two regions: the hippocampus, needed for
learning and memory, and the hypothalamus, necessary to maintain the body's
internal physiological balance. Vitamin A, through its active metabolite retinoic
acid, is required to support neuroplasticity in the hippocampus, and vitamin A
deficiency has a dramatic effect on depressing learning and memory. The effects
of vitamin A deficiency on the hypothalamus may lead to depression of appetite
and growth. Much of this research has relied on animal studies, and it will be
essential in the future to determine the full role of vitamin A in the human
brain.
PMID- 27198570
TI - Colorectal tumor molecular phenotype and miRNA: expression profiles and
prognosis.
AB - MiRNAs regulate gene expression by post-transcriptionally suppressing mRNA
translation or by causing mRNA degradation. It has been proposed that unique
miRNAs influence specific tumor molecular phenotype. In this paper, we test the
hypotheses that miRNA expression differs by tumor molecular phenotype and that
those differences may influence prognosis. Data come from population-based
studies of colorectal cancer conducted in Utah and the Northern California Kaiser
Permanente Medical Care Program. A total of 1893 carcinoma samples were run on
the Agilent Human miRNA Microarray V19.0 containing 2006 miRNAs. We assessed
differences in miRNA expression between TP53-mutated and non-mutated, KRAS
mutated and non-mutated, BRAF-mutated and non-mutated, CpG island methylator
phenotype (CIMP) high and CIMP low, and microsatellite instability (MSI) and
microsatellite stable (MSS) colon and rectal tumors. Using a Cox proportional
hazard model we evaluated if those miRNAs differentially expressed by tumor
phenotype influenced survival after adjusting for age, sex, and AJCC stage. There
were 22 differentially expressed miRNAs for TP53-mutated colon tumors and 5 for
TP53-mutated rectal tumors with a fold change of >1.49 (or <0.67). Additionally,
13 miRNAS were differentially expressed for KRAS-mutated rectal tumors, 8
differentially expressed miRNAs for colon CIMP high tumors, and 2 differentially
expressed miRNAs for BRAF-mutated colon tumors. The majority of differentially
expressed miRNAS were observed between MSI and MSS tumors (94 differentially
expressed miRNAs for colon; 41 differentially expressed miRNAs for rectal
tumors). Of these miRNAs differentially expressed between MSI and MSS tumors, the
majority were downregulated. Ten of the differentially expressed miRNAs were
associated with survival; after adjustment for MSI status, five miRNAS, miR-196b
5p, miR-31-5p, miR-99b-5p, miR-636, and miR-192-3p, were significantly associated
with survival. In summary, it appears that the majority of miRNAs that are
differentially expressed by tumor molecular phenotype are MSI tumors. However,
these miRNAs appear to have minimal effect on prognosis.
PMID- 27198571
TI - Microsurgical removal of craniopharyngioma: endoscopic and transcranial
techniques for complication avoidance.
AB - Craniopharyngioma remains a challenging entity for neurosurgeons because of its
midline, deep seated location and intimate relationship with critical
neurovascular structures. Although gross total resection is ideal, the need to
reduce surgical morbidity and preserve quality of life has led to a number of
neurosurgical approaches which have attained this goal. Here we discuss the
commonly used approaches for surgical resection and highlight technical
considerations to reduce the potential of complications. We also discuss the
mutually exclusive underlying genetic lesions in different histopathological
subtypes that will likely lead to future treatment options for these tumors.
PMID- 27198573
TI - Corrigendum: White-nose syndrome without borders: Pseudogymnoascus destructans
infection tolerated in Europe and Palearctic Asia but not in North America.
PMID- 27198574
TI - Nrf2/Keap1 system regulates vascular smooth muscle cell apoptosis for vascular
homeostasis: role in neointimal formation after vascular injury.
AB - Abnormal increases in vascular smooth muscle cells (VSMCs) in the intimal region
after a vascular injury is a key event in developing neointimal hyperplasia. To
maintain vascular function, proliferation and apoptosis of VSMCs is tightly
controlled during vascular remodeling. NF-E2-related factor 2 (Nrf2)/Kelch-like
ECH-associated protein 1 (Keap1) system, a key component of the oxidative stress
response that acts in maintaining homeostasis, plays an important role in
neointimal hyperplasia after a vascular injury; however, the role of Nrf2/Keap1
in VSMC apoptosis has not been clarified. Here we report that 14 days after
arterial injury in mice, TUNEL-positive VSMCs are detected in both the neointimal
and medial layers. These layers contain cells expressing high levels of Nrf2 but
low Keap1 expression. In VSMCs, Keap1 depletion induces features of apoptosis,
such as positive TUNEL staining and annexin V binding. These changes are
associated with an increased expression of nuclear Nrf2. Simultaneous Nrf2
depletion inhibits Keap1 depletion-induced apoptosis. At 14 days after the
vascular injury, Nrf2-deficient mice demonstrated fewer TUNEL-positive cells and
increased neointimal formation in the neointimal and medial areas. The results
suggest that the Nrf2/Keap1 system regulates VSMC apoptosis during neointimal
formation, thereby inhibiting neointimal hyperplasia after a vascular injury.
PMID- 27198575
TI - Oncologist pays $300 000 to settle US claims of billing for fake cancer drug.
PMID- 27198576
TI - Osteoblastic lesion screening with an advanced post-processing package enabling
in-plane rib reading in CT-images.
AB - BACKGROUND: To evaluate screening and diagnostic accuracy for the detection of
osteoblastic rib lesions using an advanced post-processing package enabling in
plane rib reading in CT-images. METHODS: We retrospectively assessed the CT-data
of 60 consecutive prostate cancer patients by applying dedicated software
enabling in-plane rib reading. Reading the conventional multiplanar
reconstructions was considered to be the reference standard. To simulate clinical
practice, the reader was given 10 s to screen for sclerotic rib lesions in each
patient applying both approaches. Afterwards, every rib was evaluated
individually with both approaches without a time limit. Sensitivities,
specificities, positive/negative predictive values and the time needed for
detection were calculated depending on the lesion's size (largest diameter < 5
mm, 5-10 mm, > 10 mm). RESULTS: In 53 of 60 patients, all ribs were properly
displayed in plane, in five patients ribs were partially displayed correctly, and
in two patients none of the ribs were displayed correctly. During the 10-s
screening approach all patients with sclerotic rib lesions were correctly
identified reading the in-plane images (including the patients without a correct
rib segmentation), whereas 14 of 23 patients were correctly identified reading
conventional multiplanar images. Overall screening sensitivity, specificity, and
positive/negative predictive values were 100/27.0/46.0/100 %, respectively, for
in-plane reading and 60.9/100/100/80.4 %, respectively, for multiplanar reading.
Overall diagnostic (no time limit) sensitivity, specificity, and
positive/negative predictive values of in-plane reading were 97.8/92.8/74.6/99.5
%, respectively. False positive results predominantly occurred for lesions <5 mm
in size. CONCLUSIONS: In-plane reading of the ribs allows reliable detection of
osteoblastic lesions for screening purposes. The limited specificity results from
false positives predominantly occurring for small lesions.
PMID- 27198577
TI - Effects of High-Definition and Conventional tDCS on Response Inhibition.
AB - BACKGROUND: Response inhibition is a critical executive function, enabling the
adaptive control of behavior in a changing environment. The inferior frontal
cortex (IFC) is considered to be critical for response inhibition, leading
researchers to develop transcranial direct current stimulation (tDCS) montages
attempting to target the IFC and improve inhibitory performance. However,
conventional tDCS montages produce diffuse current through the brain, making it
difficult to establish causality between stimulation of any one given brain
region and resulting behavioral changes. Recently, high-definition tDCS (HD-tDCS)
methods have been developed to target brain regions with increased focality
relative to conventional tDCS. OBJECTIVE: Remarkably few studies have utilized HD
tDCS to improve cognitive task performance, however, and no study has directly
compared the behavioral effects of HD-tDCS to conventional tDCS. METHODS: In the
present study, participants received either HD-tDCS or conventional tDCS to the
IFC during performance of a response inhibition task (stop-signal task, SST) or a
control task (choice reaction time task, CRT). A third group of participants
completed the same behavioral protocols, but received tDCS to a control site (mid
occipital cortex). Post-stimulation improvement in SST performance was analyzed
as a function of tDCS group and the task performed during stimulation using both
conventional and Bayesian parameter estimation analyses. RESULTS: Bayesian
estimation of the effects of HD- and conventional tDCS to IFC relative to control
site stimulation demonstrated enhanced response inhibition for both conditions.
No improvements were found after control task (CRT) training in any tDCS
condition. CONCLUSION: Results support the use of both HD- and conventional tDCS
to the IFC for improving response inhibition, providing empirical evidence that
HD-tDCS can be used to facilitate performance on an executive function task.
PMID- 27198578
TI - Low-frequency Subthalamic Stimulation in Parkinson's Disease: Long-term Outcome
and Predictors.
AB - BACKGROUND: Parkinson's disease patients undergoing subthalamic nucleus deep
brain stimulation (STN DBS) at standard frequency (>100 Hz) often develop gait
impairment, postural instability and speech difficulties. Low frequency
stimulation (<100 Hz, LFS) can improve such axial symptoms, but there are
concerns that improvement may be transient. OBJECTIVE: To identify long-term
outcome and predictors of low-frequency subthalamic stimulation in Parkinson's
disease. METHODS: Through a chart review we identified 85 out of 324 STN DBS
patients who received a trial of LFS and describe their characteristics and
outcome predictors. RESULTS: Patients were switched to LFS (<100 Hz) 3.8 +/- 3.3
years after surgery. Most patients (64%) attained a subjective improvement of
gait, speech or balance for 2.0 +/- 1.9 years. Motor scores improved within the
first year after the stimulation change and showed a slower progression over time
when compared to patients switched back to high frequency stimulation. UPDRS III
axial score on medication before surgery and the y-axis coordinate of the active
contact were independent predictors of LFS retention. CONCLUSIONS: This report
provides evidence that the use of LFS yields an enduring benefit in a
considerable percentage of patients who develop axial motor symptoms during
conventional stimulation.
PMID- 27198579
TI - An adaptive association test for microbiome data.
AB - There is increasing interest in investigating how the compositions of microbial
communities are associated with human health and disease. Although existing
methods have identified many associations, a proper choice of a phylogenetic
distance is critical for the power of these methods. To assess an overall
association between the composition of a microbial community and an outcome of
interest, we present a novel multivariate testing method called aMiSPU, that is
joint and highly adaptive over all observed taxa and thus high powered across
various scenarios, alleviating the issue with the choice of a phylogenetic
distance. Our simulations and real-data analyses demonstrated that the aMiSPU
test was often more powerful than several competing methods while correctly
controlling type I error rates. The R package MiSPU is available at
https://github.com/ChongWu-Biostat/MiSPU and CRAN.
PMID- 27198580
TI - Changes in Implantation Patterns and Therapy Rates of Implantable Cardioverter
Defibrillators over Time in Ischemic and Dilated Cardiomyopathy Patients.
AB - BACKGROUND: Clinical guidelines on implantable cardioverter defibrillator (ICD)
therapy changed significantly in the last decades with potential inherent effects
on therapy efficacy. We aimed to study therapy rates in time and the association
between therapies and mortality. METHODS: All patients receiving an ICD, primary
and secondary prevention, were included in a single-center retrospective
registry. Information on first appropriate and inappropriate therapies was
documented. Dates of implant were divided in P1: 1996-2001, P2: 2002-2008, and
P3: 2009-2014. RESULTS: A total of 727 patients, 84.9% male-66.4% ischemic
cardiomyopathy (ICM)-56% primary prevention-mean follow-up 5.2 +/- 4.1 years,
were included. There was a shift from secondary to primary prevention
indications, from ischemic to non-ICM, and from single chamber to cardiac
resynchronization therapy defibrillator devices. The annual 1- and 3-year
appropriate shock (AS) rate declined from 29.4% and 15.1% in P1, over 13.3% and
9.2% in P2 to 7.8% and 5.7% in P3 (log-rank P < 0.001), while inappropriate shock
(IAS) rates remained unchanged (log-rank P = 0.635). After multivariate
regression analysis a higher age at implant, lower left ventricular ejection
fraction, history of stroke, diabetes mellitus, intake of loop diuretics or
digitalis, higher creatinine, and longer QTc were independent predictors of
mortality. CONCLUSION: These changes in clinical practice with a shift to primary
prevention and rise in non-ICM implants caused a significant decrease in AS
incidence, while IAS remained stable. Receiving AS or IAS was not an independent
predictor of mortality in our real-life cohort.
PMID- 27198581
TI - Combined MEG and pT-TMS study in Parkinson's disease.
AB - Magnetoencephalographic (MEG) recordings of the brain in Parkinson's disease were
obtained in order to look for possible effect of pico-Tesla transcranial magnetic
stimulation (pT-TMS). We found a significant effect of an increase of frequencies
in the range of 2-7 Hz across subjects. In addition, we observed the mean peak
frequency difference within the 2-7 Hz band towards frequencies of less or equal
to those frequencies of the alpha frequency range (8-13 Hz).
PMID- 27198582
TI - Human Urine-Fueled Light-Driven NADH Regeneration for Redox Biocatalysis.
AB - Human urine is considered as an alternative source of hydrogen and electricity
owing to its abundance and high energy density. Here we show the utility of human
urine as a chemical fuel for driving redox biocatalysis in a photoelectrochemical
cell. Ni(OH)2 -modified alpha-Fe2 O3 is selected as a photoanode for the
oxidation of urea in human urine and black silicon (bSi) is used as a
photocathode material for nicotinamide cofactor (NADH: hydrogenated nicotinamide
adenine dinucleotide) regeneration. The electrons extracted from human urine are
used for the regeneration of NADH, an essential hydride mediator that is required
for numerous redox biocatalytic reactions. The catalytic reactions at both the
photoanode and the photocathode were significantly enhanced by light energy that
lowered the overpotential and generated high currents in the full cell system.
PMID- 27198583
TI - Adverse Drug Reactions in Patients Receiving Systemic Antifungal Therapy at a
High-Complexity Hospital.
AB - The aim of the present study was to determine the frequency of adverse drug
reactions (ADRs) associated with the use of systemic antifungal drugs in patients
hospitalized at a high-complexity hospital. In addition, factors associated with
ADRs were investigated. This cross-sectional retrospective study involved the
investigation of 183 medical records of patients receiving systemic antifungal
therapy. Antifungal drugs were classified using the fourth level of the
Anatomical Therapeutic Chemical System. ADR causality was classified using the
Naranjo algorithm. Drug interactions were assessed using DRUG-REAX software. Data
were analyzed with descriptive statistics and univariate and multivariate
logistic regression. A total of 53 patients (29.0%) had at least 1 ADR involving
antifungals. Ninety-six ADRs were detected. The main ADRs observed were an
infusion reaction in 24 patients (25.0%), hypokalemia in 22 (22.9%),
nephrotoxicity in 18 (18.7%), and hepatotoxicity in 15 (15.6%). Amphotericin B
and voriconazole were associated with ADRs of major clinical impact. Eleven of
the ADRs (11.4%) were related to drug interactions. The following 3 factors were
contributors to the multivariate model for the occurrence of ADRs caused by
antifungal drug use: neoplasm diagnosis (odds ratio [OR], 3.9; 1.9-7.9), length
of hospital stay (OR, 2.2; 1.1-4.5), and the use of >=13 drugs (OR, 3.4; 1.6
7.2). Our study revealed positive associations between the occurrence of ADRs and
diagnosis of a neoplasm, the length of stay, and the use of multiple drugs
concomitant with antifungals. These risk factors should be considered in
antifungal stewardship, among other actions, to promote the rational use of
antifungal agents.
PMID- 27198585
TI - Approaches to promoting the appropriate use of antibiotics through hospital
electronic prescribing systems: a scoping review.
AB - OBJECTIVE: To identify approaches of using stand-alone and more integrated
hospital ePrescribing systems to promote and support the appropriate use of
antibiotics, and identify gaps in order to inform future efforts in this area.
METHODS: A systematic scoping review of the empirical literature from 1997 until
2015, searching the following databases: MEDLINE, EMBASE, Cochrane Database of
Systematic Reviews, Google Scholar, Clinical Trials, International Standard
Randomised Controlled Trial Number Registry, Economic Evaluation database and
International Prospective Register of Systematic Reviews. Search terms related to
different components of systems, hospital settings and antimicrobial stewardship.
Two reviewers independently screened papers and mutually agreed papers for
inclusion. We undertook an interpretive synthesis. KEY FINDINGS: We identified
143 papers. The majority of these were single-centre observational studies from
North American settings with a wide range of system functionalities. Most
evidence related to computerised decision support (CDS) and computerised
physician order entry (CPOE) functionalities, of which many were extensively
customised. We also found some limited work surrounding integration with
laboratory results, pharmacy systems and organisational surveillance. Outcomes
examined included healthcare professional performance, patient outcomes and
health economic evaluations. We found at times conflicting conclusions
surrounding effectiveness, which may be due to heterogeneity of populations,
technologies and outcomes studied. Reports of unintended consequences were
limited. CONCLUSIONS: Interventions are centred on CPOE and CDS, but also include
additional functionality aiming to support various facets of the medicines
management process. Wider organisational dimensions appear important to
supporting adoption. Evaluations should consider processes, clinical, economic
and safety outcomes in order to generate generalisable insights into safety,
effectiveness and cost-effectiveness.
PMID- 27198584
TI - Inhibiting Hexamer Disassembly of Human UDP-Glucose Dehydrogenase by
Photoactivated Amino Acid Cross-Linking.
AB - The enzyme UDP-glucose dehydrogenase (UGDH) catalyzes the reaction of UDP-glucose
to UDP-glucuronate through two successive NAD(+)-dependent oxidation steps. Human
UGDH apoprotein is purified as a mixture of dimeric and hexameric species.
Addition of substrate and cofactor stabilizes the oligomeric state to primarily
the hexameric form. To determine if the dynamic conformations of hUGDH are
required for catalytic activity, we used site-specific unnatural amino acid
incorporation to facilitate cross-linking of monomeric subunits into
predominantly obligate oligomeric species. Optimal cross-linking was achieved by
encoding p-benzoyl-l-phenylalanine at position 458, normally a glutamine located
within the dimer-dimer interface, and exposing the enzyme to long wavelength
ultraviolet (UV) radiation in the presence of substrate and cofactor. Hexameric
complexes were purified by gel filtration chromatography and found to contain
significant fractions of dimer and trimer (approximately 50%) along with another
10% higher-molecular mass species. The activity of the cross-linked enzyme was
reduced by almost 60% relative to that of the un-cross-linked UGDH mutant, and UV
exposure had no effect on the activity of the wild-type enzyme. These results
support a model for catalysis in which the ability to dissociate the dimer-dimer
interface is as important for maximal enzyme function as has been previously
shown for the formation of the hexamer.
PMID- 27198586
TI - Effect of expiratory muscle strength training on swallowing-related muscle
strength in community-dwelling elderly individuals: a randomized controlled
trial.
AB - OBJECTIVE: This study aimed to investigate the effect of expiratory muscle
strength training (EMST) on swallowing-related muscle strength in community
dwelling elderly individuals. BACKGROUND: Expiratory muscle strength training is
an intervention for patients with oropharyngeal dysphagia. This training is
associated with respiration, coughing, speech and swallowing, and its
effectiveness has been proven in previous studies. However, the effects of EMST
on elderly individuals and evidence are still lacking. MATERIALS AND METHODS:
This study included 24 community-dwelling senior citizens aged >=65 years (12 men
and 12 women). The experimental group trained at the 70% threshold value of the
maximum expiratory pressure using an EMST device 5 days per week for 4 weeks and
comprised five sets of five breaths through the device for 25 breaths per day.
The placebo group trained with a resistance-free sham device. Post-intervention,
muscle strength of the bilateral buccinator and the orbicularis oris muscles
(OOM) was measured using the Iowa Oral Performance Instrument. Surface
electromyography was used to measure activation of the suprahyoid muscles (SM).
RESULTS: After intervention, the strength of the buccinator and the OOM in the
experimental group showed statistically significant improvement. There was also
statistically significant activation of the SM. In the placebo group, the
strength of the orbicularis oris muscle alone improved. No statistically
significant differences between groups were found for the strength of the
buccinator and the OOM and the activation of the SM. CONCLUSION: EMST had a
positive effect on swallowing-related muscle strength in elderly participants.
PMID- 27198587
TI - Nanoparticle-Enabled Selective Destruction of Prostate Tumor Using MRI-Guided
Focal Photothermal Therapy.
AB - BACKGROUND: The Magnetic Resonance Imaging (MRI)-guided focal laser therapy has
shown early promise in Phase 1 trial treating low/intermediate-risk localized
prostate cancer (PCa), but the lack of tumor selectivity and low efficiency of
heat generation remain as drawbacks of agent-free laser therapy. Intrinsic
multifunctional porphyrin-nanoparticles (porphysomes) have been exploited to
treat localized PCa by MRI-guided focal photothermal therapy (PTT) with
significantly improved efficiency and tumor selectivity over prior methods of
PTT, providing an effective and safe alternative to active surveillance or
radical therapy. METHODS: The tumor accumulation of porphysomes chelated with
copper-64 was determined and compared with the clinic standard (18) F-FDG in an
orthotropic PCa mouse model by positron emission tomography (PET) imaging,
providing quantitative assessment for PTT dosimetry. The PTT was conducted with
MRI-guided light delivery and monitored by MR thermometry, mimicking the clinical
protocol. The efficacy of treatment and adverse effects to surround tissues were
evaluated by histology analysis and tumor growth in survival study via MRI.
RESULTS: Porphysomes showed superior tumor-to-prostate selectivity over (18) F
FDG (6:1 vs. 0.36:1). MR thermometry detected tumor temperature increased to >=55
degrees C within 2 min (671 nm at 500 mW), but minimal increase in surrounding
tissues. Porphysome enabled effective PTT eradication of tumor without damaging
adjacent organs in orthotropic PCa mouse model. CONCLUSIONS: Porphysome-enabled
MRI-guided focal PTT could be an effective and safe approach to treat PCa at low
risk of progression, thus addressing the significant unmet clinical needs and
benefiting an ever-growing number of patients who may be over-treated and risk
unnecessary side effects from radical therapies. Prostate 76:1169-1181, 2016. (c)
2016 Wiley Periodicals, Inc.
PMID- 27198588
TI - Minimally invasive flapless vs. flapped approach for single implant placement: a
2-year randomized controlled clinical trial.
AB - PURPOSE: The purpose of this 2-year randomized controlled clinical trial was to
assess the differences in implant survival rates, soft tissue preservation,
patient centered outcome and crestal bone changes applying the minimally invasive
(MI) flapless approach for single implant placement compared to flapped implant
surgery (FS). MATERIALS AND METHODS: Subjects eligible for this study were
randomly assigned into two groups: MI or FS. Items of evaluation were the
following: implant installation position, soft tissue healing, post-surgical
pain, soft tissue outcome, marginal bone loss (MBL), and implant survival rate.
RESULTS: Forty subjects (14 women and 26 men, 20 in MI group and 20 in FS group
with a mean of 39 +/- 13.2 years old) were included in the study. None of the
implants demonstrated dehiscence or loss during the follow-up. Subjects in MI
group showed significantly lower post-surgical pain and significantly less wound
healing index scores at 1-week follow-up. The width of keratinized mucosa
decreased from a mean of 4.2 +/- 1.6 mm pre-surgically to 3.7 +/- 1.1 mm at crown
delivery but remained stable at 2-year follow-up in MI group. At every
appointment in the study, no statistical significant difference of PD and MBL was
found between the two groups. CONCLUSION: Compared with FS, single implants
placed applying the MI technique in selected subjects showed advantages in
improving patient comfort and decreasing post-implant placement soft tissue
reaction. Meanwhile, implants with MI approach have the same level of MBL and
high success rates as FS procedure at 2-year follow-up. The deduction of
keratinized mucosa is very limited and the width of KM remained stable with MI
approach at 2-year follow-up.
PMID- 27198589
TI - Dietary patterns and benign breast diseases: a case-control study.
AB - Several studies have investigated the relation between benign breast diseases
(BBD) and food intake. However, dietary patterns of these patients have not been
taken into consideration up to now. The aim of this study is to determine the
association between dietary patterns and BBD. In this case-control study, ninety
six patients with BBD and seventy controls were selected from women attending the
Iranian Center for Breast Cancer affiliated with Academic Center for Education,
Culture and Research. Demographic, physical activity and semi-quantitative FFQ
were completed. The main dietary patterns were extracted by factor analysis. Two
major dietary patterns emerged: Healthy dietary pattern including fish, poultry,
eggs, low-fat dairy products, vegetables, legumes, nuts and seeds, whole grains,
oil and mayonnaise, olives, fruits; and Unhealthy dietary pattern including red
meats, organ and processed meats, high-fat dairy products, refined grains, sweets
and desserts, animal and solid fats. After adjustment for age, BMI and energy
intake, the participants in the highest tertile of Healthy dietary pattern (OR
0.44; 95 % CI 0.20, 0.99) were less likely to have BBD compared with those in the
first tertile. After adjustment for other confounding variables, this
relationship still remained close to significant level. However, higher
consumption of Unhealthy dietary pattern was not associated with the risk of BBD.
In conclusion, Healthy dietary pattern might be inversely associated with the
risk of BBD; however, this result should be interpreted with caution. Future
studies are needed to confirm our findings.
PMID- 27198592
TI - Welcome Message.
PMID- 27198590
TI - Ranges of motion after reverse shoulder arthroplasty improve significantly the
first year after surgery in patients with rheumatoid arthritis.
AB - OBJECTIVES: To evaluate the trajectory of the change in range of motion after
reverse shoulder joint replacement during 3-year follow-up among patients with
rheumatoid arthritis. METHODS: Retrospective cohort longitudinal study of 76
shoulder replacements performed in a university clinic. The range of shoulder
motion was assessed by a physiotherapist using a manual goniometer with 5-degree
precision before the surgery and 1, 3, 6, 12, and 36 months postoperatively.
RESULTS: The shapes of the regression curves suggest that the improvement or
decline observed in joint motion was happening mostly during the first year after
surgery. After 1 year, the trajectories become flat and they remained unchanged
until the end of follow-up. CONCLUSIONS: After shoulder joint replacement, the
range of shoulder motion showed substantial changes during the first year only.
This should be taken into account when scheduling control visits, planning
rehabilitation, and predicting the use of community services after the surgery.
PMID- 27198598
TI - Poster Presentations.
PMID- 27198591
TI - A new framing approach in guideline development to manage different sources of
knowledge.
AB - RATIONALE: Contemporary guideline methodology struggles to consider context and
information from different sources of knowledge besides quantitative research.
Return to work programmes involve multiple components and stakeholders. If the
guideline is to be relevant and practical for a complex intervention such as
return to work, it is essential to use broad sources of knowledge. AIMS AND
OBJECTIVE: This paper reports on a new method in guideline development to manage
different sources of knowledge. METHODS: The method used framing for the return
to-work guidance within the Clinical Practice Guidelines for the Management of
Rotator Cuff Syndrome in the Workplace. The development involved was a multi
disciplinary working party of experts including consumers. The researchers
considered a broad range of research, expert (practice and experience) knowledge,
the individual's and workplace contexts, and used framing with the International
Classification of Functioning, Disability and Health. Following a systematic
database search on four clinical questions, there were seven stages of knowledge
management to extract, unpack, map and pack information to the ICF domains
framework. Companion graded recommendations were developed. RESULTS: The results
include practical examples, user and consumer guides, flow charts and six graded
or consensus recommendations on best practice for return to work intervention.
CONCLUSIONS: Our findings suggest using framing in guideline methodology with
internationally accepted frames such as the ICF is a reliable and transparent
framework to manage different sources of knowledge. Future research might examine
other examples and methods for managing complexity and using different sources of
knowledge in guideline development.
PMID- 27198596
TI - Symposiums.
PMID- 27198599
TI - Satellite Symposiums.
PMID- 27198601
TI - Clogging-free microfluidics for continuous size-based separation of
microparticles.
AB - In microfluidic filtration systems, one of the leading obstacles to efficient,
continuous operation is clogging of the filters. Here, we introduce a lateral
flow microfluidic sieving (MU-sieving) technique to overcome clogging and to
allow continuous operation of filter based microfluidic separation. A low
frequency mechanical oscillation was added to the fluid flow, which made possible
the release of aggregated unwanted polystyrene (PS) particles trapped between the
larger target PS particles in the filter demonstrating continuous MU-sieving
operation. We achieved collection of the target PS particles with 100% separation
efficiency. Also, on average, more than 98% of the filtered target particles were
retrieved after the filtration showing high retrieval rates. Since the
oscillation was applied to the fluid but not to the microfluidic filter system,
mechanical stresses to the system was minimized and no additional fabrication
procedures were necessary. We also applied the MU-sieving technique to the
separation of cancer cells (MDA-MB-231) from whole blood and showed that the
fluidic oscillations prevented the filters from being blocked by the filtered
cancer cells allowing continuous microfluidic separation with high efficiency.
PMID- 27198602
TI - Splicing factors control C. elegans behavioural learning in a single neuron by
producing DAF-2c receptor.
AB - Alternative splicing generates protein diversity essential for neuronal
properties. However, the precise mechanisms underlying this process and its
relevance to physiological and behavioural functions are poorly understood. To
address these issues, we focused on a cassette exon of the Caenorhabditis elegans
insulin receptor gene daf-2, whose proper variant expression in the taste
receptor neuron ASER is critical for taste-avoidance learning. We show that
inclusion of daf-2 exon 11.5 is restricted to specific neuron types, including
ASER, and is controlled by a combinatorial action of evolutionarily conserved
alternative splicing factors, RBFOX, CELF and PTB families of proteins. Mutations
of these factors cause a learning defect, and this defect is relieved by DAF-2c
(exon 11.5+) isoform expression only in a single neuron ASER. Our results provide
evidence that alternative splicing regulation of a single critical gene in a
single critical neuron is essential for learning ability in an organism.
PMID- 27198603
TI - Fluctuation of Viremia in Hepatitis B Virus-Infected Healthcare Workers
Performing Exposure-Prone Procedures in the Netherlands.
AB - OBJECTIVE: To determine the longitudinal changes in viral load of hepatitis B
virus (HBV)-infected healthcare workers (HCWs) and its consequences for exclusion
of infected HCWs performing exposure-prone procedures, various HBV DNA safety
thresholds, and the frequency of monitoring. DESIGN: Retrospective cohort study
June 1, 1996-January 31, 2013. Participants In the Netherlands, chronically HBV
infected HCWs performing exposure-prone procedures are notified to the Committee
for Prevention of Iatrogenic Hepatitis B. Of the 126 notified HCWs, 45 had 2 or
more HBV DNA levels determined without antiviral therapy. METHODS: A time-to
event analysis for HBV-infected HCWs categorized in various viremia levels
surpassing a HBV DNA threshold level of 1*105 copies/mL, above which exposure
prone procedures are not allowed in the Netherlands. RESULTS: Fluctuations of HBV
DNA in follow-up samples ranged from -5.4 to +2.2 log10 copies/mL. A high
correlation was seen for each HBV DNA level with the 3 previous levels. In a time
to-event analysis, after 6 months 7.2%, 6.5%, and 14.3% of individuals had
surpassed the threshold of 1*105 copies/mL for viral load categories 4.8*103 to
1.5*104; 1.5*104 to 4.0*104; and 4.0*104 to 1.0*105, respectively. CONCLUSIONS:
We propose standard retesting every 6 months, with more frequent retesting just
below the high threshold value (1*105 copies/mL), and prolonging this standard
interval to 1 year after 3 consecutive levels below the threshold in policies
with lower safety thresholds (1*103 or 1*104 copies/mL). Infect Control Hosp
Epidemiol 2016;37:655-660.
PMID- 27198604
TI - Predictors of Heavy Stethoscope Contamination Following a Physical Examination.
AB - BACKGROUND The degree of bacterial contamination of stethoscopes can vary
significantly following a physical examination. OBJECTIVE To conduct a
prospective study to investigate the impact of various environmental and patient
characteristics on stethoscope contamination. METHODS Following a standardized
examination, the levels of bacterial contamination of 4 regions of the
physicians' hands and 2 sections of the stethoscopes, and the presence of
different pathogenic bacteria, were assessed. Predictors of heavy stethoscope
contamination were identified through multivariate logistic regression. RESULTS
In total, 392 surfaces were sampled following examination of 56 patients. The
microorganisms most frequently recovered from hands and stethoscopes were
Enterococcus spp. (29% and 20%, respectively) and Enterobacteriaceae (16% and 7%,
respectively). Staphylococcus aureus (either methicillin susceptible or
resistant), extended-spectrum beta-lactamase-producing Enterobacteriaceae, and
Acinetobacter baumannii were recovered from 4%-9% of the samples from either
hands or stethoscopes. There was a correlation between the likelihood of
recovering these pathogens from the stethoscopes vs from the physicians' hands
(rho=0.79; P=.04). The level of patient's skin contamination was an independent
predictor of contamination of the stethoscope diaphragm (adjusted odds ratio
[aOR], 1.001; P=.007) and tube (aOR, 1.001; P=.003). Male sex (aOR, 28.24; P=.01)
and reception of a bed bath (aOR, 7.52; P=.048) were also independently
associated with heavy tube contamination. CONCLUSIONS Stethoscope contamination
following a single physical examination is not negligible and is associated with
the level of contamination of the patient's skin. Prevention of pathogen
dissemination is needed. Infect Control Hosp Epidemiol 2016;37:673-679.
PMID- 27198606
TI - Colonization With Vancomycin-Resistant Enterococci After Discharge From an
Epidemic Ward: Results of Outpatient Contact Screening by Visiting Nurses.
PMID- 27198605
TI - Sampling Surfaces for Ebola Virus Persistence After Cleaning Procedures in High
Level Isolation Settings: The Experience With 2 Patients at the Lazzaro
Spallanzani National Institute for Infectious Diseases.
PMID- 27198608
TI - Verbal Communication With Providers Improves Acceptance of Antimicrobial
Stewardship Interventions.
PMID- 27198607
TI - Antimicrobial Stewardship Programs: Engaging Project Managers to Increase
Productivity.
PMID- 27198610
TI - alpha-Galactosylceramide-activated murine NK1.1(+) invariant-NKT cells in the
myometrium induce miscarriages in mice.
AB - Innate immunity, which is unable to discriminate self from allo-antigens, is
thought to be important players in the induction of miscarriages. Here, we show
that the administration of IL-12 to syngeneic-mated C57BL/6 mice on gestation day
7.5 (Gd 7.5), drives significant miscarriages in pregnant females. Furthermore,
the administration on Gd 7.5 of alpha-galactosylceramide (alpha-GalCer), which is
known to activate invariant natural killer T (iNKT) cells, induced miscarriages
in both syngeneic-mated C57BL/6 mice and allogeneic-mated mice (C57BL/6 (?) *
BALB/c (?)). Surprisingly, the percentages of both DEC-205(+) DCs and CD1d
restricted NK1.1(+) iNKT cells were higher in the myometrium of pregnant mice
treated i.p. with alpha-GalCer than in the decidua. IL-12 secreted from alpha
GalCer-activated DEC-205(+) DCs stimulated the secretion of cytokines, including
IL-2, IL-4, IFN-gamma, TNF-alpha, perforin, and granzyme B, from the NK1.1(+)
iNKT cells in the myometrium, leading to fetal loss in pregnant mice. Finally,
the i.p. administration of IL-12 and/or alpha-GalCer in iNKT-deficient Jalpha18(
/-) (Jalpha18 KO) mice did not induce miscarriages. This study provides a new
perspective on the importance of the myometrium, rather than the decidua, in
regulating pregnancy and a mechanism of miscarriage mediated by activated DEC
205(+) DCs and NK1.1(+) iNKT cells in the myometrium of pregnant mice.
PMID- 27198612
TI - Using Brownian motion to measure shape asymmetry in mesoscopic matter using
optical tweezers.
AB - We propose a new method for quantifying shape asymmetry on the mesoscopic scale.
The method takes advantage of the intrinsic coupling between rotational and
translational Brownian motion (RBM and TBM, respectively) which happens in the
case of asymmetric particles. We determine the coupling by measuring different
correlation functions of the RBM and TBM for single, morphologically different,
weakly trapped red blood cells in optical tweezers. The cells have different
degrees of asymmetry that are controllably produced by varying the hypertonicity
of their aqueous environment. We demonstrate a clear difference in the nature of
the correlation functions both qualitatively and quantitatively for three types
of cells having a varying degree of asymmetry. This method can have a variety of
applications ranging from early stage disease diagnosis to quality control in
microfabrication.
PMID- 27198611
TI - MAGNETIC RESONANCE IMAGING SCORING OF AN EXPERIMENTAL MODEL OF POST-TRAUMATIC
OSTEOARTHRITIS IN THE EQUINE CARPUS.
AB - Magnetic resonance imaging (MRI) is the most sensitive imaging modality to detect
the early changes of osteoarthritis. Currently, there is no quantifiable method
to tract these pathological changes over time in the horse. The objective of this
experimental study was to characterize the progression of MRI changes in an
equine model of post-traumatic osteoarthritis using a semiquantitative scoring
system for whole-organ evaluation of the middle carpal joint. On day 0, an
osteochondral fragment was created in one middle carpal joint (OCI) and the
contralateral joint (CON) was sham-operated in 10 horses. On day 14, study horses
resumed exercise on a high-speed treadmill until the completion of the study (day
98). High-field MRI examinations were performed on days 0 (preosteochondral
fragmentation), 14, and 98 and scored by three blinded observers using consensus
agreement. Images were scored based on 15 independent articular features, and
scores were compared between and within-groups. On days 14 and 98, OCI joints had
significantly (P <= 0.05) higher whole-organ median scores (29.0 and 31.5,
respectively), compared to CON joints (21.5 and 20.0, respectively). On day 14,
OCI joints showed significant increases in high-signal bone lesion scores, and
osteochondral fragment number and size. On day 98, high-signal bone lesion, low
signal bone lesion, osteophyte formation, cartilage signal abnormality,
subchondral bone irregularity, joint effusion, and synovial thickening scores
were significantly increased in OCI joints. Study results suggest that the MRI
whole-organ scoring system reported here may be used to identify onset and
progression of pathological changes following osteochondral injury.
PMID- 27198615
TI - Asymmetric Michael Addition of Aldimino Esters with Chalcones Catalyzed by
Silver/Xing-Phos: Mechanism-Oriented Divergent Synthesis of Chiral Pyrrolines.
AB - The mechanism-oriented reaction design for the divergent synthesis of chiral
molecules from simple starting materials is highly desirable. In this work,
aromatic amide-derived nonbiarylatropisomer/silver (silver/Xing-Phos) complex was
used to catalyze the Michael addition of glycine aldimino esters to chalcones and
successfully applied to the subsequent cyclocondensation to afford substituted
cis-Delta(1)-pyrroline derivatives with up to 98 % ee. Besides the inherent
performance of the chiral Ag/Xing-Phos catalyst system, it was found that the
workup of such reactions played an important role for the stereoselective
construction of stereodivergent Delta(1)-pyrrolines, in which an epimerization of
the cis-Delta(1)-pyrrolines to the trans-isomers during was revealed.
PMID- 27198614
TI - Anti-C1q autoantibodies are linked to autoimmune thyroid disorders in pregnant
women.
AB - Anti-C1q antibodies (anti-C1q) have been implicated in the pathogenesis of
autoimmune diseases, including autoimmune thyroid disorders (AITD). The aim of
this study was to evaluate the association between anti-C1q and thyroid function
in pregnancy-associated AITD. In 96 pregnant women screened positive for AITD
(thyroid dysfunction and/or antibodies against thyroperoxidase - TPOAb), anti-C1q
were measured during the 9-11th gestational week and after delivery (median 16
months after delivery), and compared to the corresponding serum levels of thyroid
hormones. As controls, 80 healthy pregnant women, 72 non-pregnant AITD patients
and 72 blood donors were included. In the non-pregnant AITD group, two serum
samples >= 6 months apart were analysed. Compared to blood donors, anti-C1q
levels were substantially higher in all pregnant women analysed. In pregnancy,
anti-C1q levels were higher in the TPOAb-positive women than in controls (37
versus 17.5%, P < 0.0001). Anti-C1q-positive pregnant women screened positive for
AITD had higher thyroid-stimulating hormone (TSH) levels than anti-C1q-negative
women (2.41 versus 1.94 mU/l, P = 0.01), and TSH correlated positively with anti
C1q (r = 0.226, P = 0.045) in the TPOAb-positive women. After delivery, serum
levels of anti-C1q decreased in the positively screened TPOAb-negative women (8.8
versus 5.9 U/l, P = 0.002), but not in the TPOAb-positive ones, and they no
longer correlated with TSH. Anti-C1q antibody levels increase during pregnancy in
general and even more in the context of AITD, where they correlate with thyroid
stimulating hormone levels.
PMID- 27198616
TI - Homocysteine pre-treatment increases redox capacity in both endothelial and tumor
cells.
AB - OBJECTIVE: We studied the modulatory effects of homocysteine pre-treatment on the
disulfide reduction capacity of tumor and endothelial cells. METHODS: Human MDA
MB-231 breast carcinoma and bovine aorta endothelial cells were pre-treated for 1
24 hours with 0.5-5 mM homocysteine or homocysteine thiolactone. After washing to
eliminate any rest of homocysteine or homocysteine thiolactone, cell redox
capacity was determined by using a method for measuring disulfide reduction.
RESULTS: Homocysteine pre-treatments for 1-4 hours at a concentration of 0.5-5 mM
increase the disulfide reduction capacity of both tumor and endothelial cells.
This effect cannot be fully mimicked by either cysteine or homocysteine
thiolactone pre-treatments of tumor cells. DISCUSSION: Taken together, our data
suggest that homocysteine can behave as an anti-oxidant agent by increasing the
anti-oxidant capacity of tumor and endothelial cells.
PMID- 27198617
TI - Screening of a virtual mirror-image library of natural products.
AB - We established a facile access to an unexplored mirror-image library of chiral
natural product derivatives using d-protein technology. In this process, two
chemical syntheses of mirror-image substances including a target protein and hit
compound(s) allow the lead discovery from a virtual mirror-image library without
the synthesis of numerous mirror-image compounds.
PMID- 27198613
TI - Lights, camera, action! Capturing the spliceosome and pre-mRNA splicing with
single-molecule fluorescence microscopy.
AB - The process of removing intronic sequences from a precursor to messenger RNA (pre
mRNA) to yield a mature mRNA transcript via splicing is an integral step in
eukaryotic gene expression. Splicing is carried out by a cellular nanomachine
called the spliceosome that is composed of RNA components and dozens of proteins.
Despite decades of study, many fundamentals of spliceosome function have remained
elusive. Recent developments in single-molecule fluorescence microscopy have
afforded new tools to better probe the spliceosome and the complex, dynamic
process of splicing by direct observation of single molecules. These cutting-edge
technologies enable investigators to monitor the dynamics of specific splicing
components, whole spliceosomes, and even cotranscriptional splicing within living
cells. WIREs RNA 2016, 7:683-701. doi: 10.1002/wrna.1358 For further resources
related to this article, please visit the WIREs website.
PMID- 27198618
TI - Healthcare costs and productivity costs of hand and wrist injuries by external
cause: A population-based study in working-age adults in the period 2008-2012.
AB - BACKGROUND: Hand and wrist injuries are very common at the Emergency Departments
(ED), and among the most costly injury types in the working population. The
purpose of this study was to explore the causes of non-trivial hand and wrist
injuries (i.e., hand fractures, wrist fractures and complex soft-tissue injuries)
in working-age adults in order to identify target areas for prevention. METHODS:
Data were extracted from the Dutch Injury Surveillance System, from the National
Hospital Discharge Registry and from a patient follow-up survey in working-age
adults (aged 20-64 years) in the period 2008-2012. An incidence-based cost model
was used to estimate healthcare costs, and an absenteeism model for estimating
the productivity costs. Total costs were calculated by external cause, subdivided
in their main categories (home, sports, work, traffic and violence) and their
most important subclasses. RESULTS: Total costs of these injuries in The
Netherlands were US $410 million per year, of which 75% (US $307 million)
productivity costs. Males represented 66% (US $271 million) of the total costs.
Within the male group, the group 35-49 years had the highest contribution to
total costs (US $112 million), as well as the highest costs per case (US
$10,675). Work-related injuries showed the highest costs per case (US $11,797),
however, only 25% of the total costs were work-related. The top five causes in
terms of total costs were: accidents at home (falls 23%, contact with an object
17%), traffic (cycling 9%) and work (industrial work 4%, and construction work
4%). CONCLUSION: Hand and wrist injuries are a major cause of healthcare and
productivity costs in working-age adults. To reduce the costs to society,
prevention initiatives should be targeted at major contributing causes, that are
mainly related to activities at home (falls, contact with an object) and
accidents at the road (cycling).
PMID- 27198621
TI - The niobium oxoazides [NbO(N3)3], [NbO(N3)3.2CH3CN], [(bipy)NbO(N3)3],
Cs2[NbO(N3)5] and [PPh4]2[NbO(N3)5].
AB - Niobium oxotriazide, [NbO(N3)3], was prepared in a fluoride-azide exchange
reaction between [NbOF3] and an excess of Me3SiN3 in SO2 solution. In
acetonitrile solution, the fluoride-azide exchange resulted in the isolation of
the adduct [NbO(N3)3.2CH3CN]. The subsequent reaction of [NbO(N3)3] with 2,2'
bipyridine (bipy) resulted in the isolation of the bipyridine adduct
[(bipy)NbO(N3)3]. The pentaazido anion [NbO(N3)5](2-) was obtained by the
reaction of [NbO(N3)3] with two equivalents of ionic azide. The novel niobium
oxoazides were fully characterized by their vibrational spectra, impact, friction
and thermal sensitivity data and, in the case of [(bipy)NbO(N3)3], Cs2[NbO(N3)5],
and [PPh4]2[NbO2(N3)5] by their X-ray crystal structures.
PMID- 27198620
TI - Dentist shortage: an analysis of dentists, practices, and populations in the
underserved areas.
AB - OBJECTIVES: The objectives of this study are to identify and describe the
characteristics of dental underserved geographic areas. Understanding these
characteristics is an important step in addressing access to dental care
barriers. METHODS: Dental underserved areas were identified from the Health
Resources and Services Administration (HRSA) database and converted to census
tracts for analysis. Characteristics of dental underserved geographic areas were
compared with areas not designated as underserved. Dental practices included in
the Dun & Bradstreet Business information database were geocoded and analyzed
according to the underserved designation of their location and census demographic
data. Thus, the relationships between dental underserved status, practice, and
population characteristics were evaluated. RESULTS: Dental underserved areas are
more likely to comprise individuals with lower socio-economic status (income and
education levels), higher levels of underrepresented population groups, and have
lower population densities than non-underserved areas. The populations living in
dental underserved areas are more likely to experience geographic, financial, and
educational barriers to dental care. CONCLUSIONS: The study identifies the
geographic and financial barriers to dental care access. These findings suggest
that the likelihood of a market-driven solution to dental underserved geographic
areas is low and support public sector interventions to improve the status quo.
PMID- 27198623
TI - Pigment variations in Emiliania huxleyi (CCMP370) as a response to changes in
light intensity or quality.
AB - Many studies on photoacclimation examine the pigment responses to changes in
light intensity, but variations in light climate in the aquatic environment are
also related to changes in spectral composition. We have employed a high
performance liquid chromatography method with improved resolution towards
chlorophyll c and fucoxanthin-related xanthophylls to examine the pigment
composition of Emiliania huxleyi CCMP 370 under different light intensities and
spectral qualities. To maintain its photosynthetic performance, E. huxleyi
CCMP370 promotes drastic pigment changes that can be either the interconversion
of pigments in pools with the same basic chromophoric structure (Fucoxanthin type
or chlorophyll c type), or the ex novo synthesis (Diatoxanthin). These changes
are linked either to variations in light quality (Fucoxanthin related
xanthophylls) or in light intensity (chlorophyll c3 /Monovinyl chlorophyll c3 ,
Diadinoxanthin/Diatoxanthin, beta,E-carotene/ beta,beta-carotene). Fucoxanthin
and 19'-hexanoyloxyfucoxanthin proportions were highly dependent on spectral
conditions. Whereas Fucoxanthin dominated in green and red light, 19'
hexanoyloxyfucoxanthin prevailed under blue spectral conditions. Our results
suggest that the huge pigment diversity enhanced the photoacclimative capacities
of E. huxleyi to efficiently perform under changing light environments. The
ubiquity and success in the global ocean as well as the capacity of E. huxleyi to
form large surface blooms might be associated to the plasticity described here.
PMID- 27198619
TI - Coevolution analysis of Hepatitis C virus genome to identify the structural and
functional dependency network of viral proteins.
AB - A novel computational approach of coevolution analysis allowed us to reconstruct
the protein-protein interaction network of the Hepatitis C Virus (HCV) at the
residue resolution. For the first time, coevolution analysis of an entire viral
genome was realized, based on a limited set of protein sequences with high
sequence identity within genotypes. The identified coevolving residues constitute
highly relevant predictions of protein-protein interactions for further
experimental identification of HCV protein complexes. The method can be used to
analyse other viral genomes and to predict the associated protein interaction
networks.
PMID- 27198622
TI - The 2002 Chandigarh cholera outbreak revisited: utility of MALDI-TOF as a
molecular epidemiology tool.
AB - In July 2002, an outbreak of cholera occurred in north India with two separate
geographical foci. Pulsed field gel electrophoresis (PFGE) was previously used in
typing a representative sample of these isolates. This study evaluates the
usefulness of MALDI-TOF as an epidemiological tool for typing Vibrio cholerae
isolates in comparison with PFGE and Amplified fragment length polymorphisms
(AFLP). Forty-six isolates of V. cholerae isolated from stool of patients
affected in the July 2002 outbreak were typed using MALDI-TOF. To validate its
utility, clinical and environmental isolates previously characterized by PFGE and
AFLP were included for dendrogram analysis. All 46 isolates were correctly
identified by MALDI-TOF to species level. Two distinct clades appeared on
dendrogram using MALDI-TOF corresponding to the two geographical foci of the
outbreak. For the study of evolution of organisms from environment, AFLP was
superior as it clearly demarcated clinical and environmental isolates. The
outbreak was not due to a single clone but due to multiple clones circulating
simultaneously, as was seen with PFGE also. SIGNIFICANCE AND IMPACT OF THE STUDY:
MALDI-TOF appears to be a highly discriminatory, cost-effective and rapid
epidemiological typing technique that can be used in the investigation of cholera
outbreaks.
PMID- 27198624
TI - Using historical ecology to reassess the conservation status of coniferous
forests in Central Europe.
AB - Forests cover approximately one-third of Central Europe. Oak (Quercus) and
European beech (Fagus sylvatica) are considered the natural dominants at low and
middle elevations, respectively. Many coniferous forests (especially of Picea
abies) occur primarily at midelevations, but these are thought to have resulted
from forestry plantations planted over the past 200 years. Nature conservation
and forestry policy seek to promote broadleaved trees over conifers. However,
there are discrepancies between conservation guidelines (included in Natura 2000)
and historical and palaeoecological data with regard to the distribution of
conifers. Our aim was to bring new evidence to the debate on the conservation of
conifers versus broadleaved trees at midelevations in Central Europe. We created
a vegetation and land-cover model based on pollen data for a highland area of
11,300 km2 in the Czech Republic and assessed tree species composition in the
forests before the onset of modern forestry based on 18th-century archival
sources. Conifers dominated the study region throughout the entire Holocene
(approximately 40-60% of the area). Broadleaved trees were present in a much
smaller area than envisaged by current ideas of natural vegetation. Rather than
casting doubt on the principles of Central European nature conservation in
general, our results highlight the necessity of detailed regional investigations
and the importance of historical data in challenging established notions on the
natural distribution of tree species.
PMID- 27198625
TI - Evaluating renal function and age as predictors of amikacin clearance in
neonates: model-based analysis and optimal dosing strategies.
AB - AIMS: We aimed to compare the performance of renal function and age as predictors
of inter-individual variability (IIV) in clearance of amikacin in neonates
through parallel development of population pharmacokinetic (PK) models and their
associated impact on optimal dosing regimens. METHODS: Amikacin concentrations
were retrospectively collected for 149 neonates receiving amikacin (post-natal
age (PNA) between 4-89 days). Two population PK models were developed in
parallel, considering at least as predictors current body weight (WT), in
combination with either creatinine clearance (CLcr ) or age descriptors. Using
stochastic simulations for both renal function or age-based dosing, we identified
optimal dosing strategies that were based on attainment of optimal peak- (PCC)
and trough target concentration coverage (TCC) windows associated with efficacy
and toxicity. RESULTS: The CLcr and age-based population PK models both included
current body weight (WT) on CL, central distribution volume and
intercompartmental clearance, in combination with either CLcr or PNA as
predictors for IIV of clearance (CL). The WT-CLcr model explained 6.9% more IIV
in CL compared with the WT-PNA model. Both models successfully described an
external dataset (n = 53) of amikacin PK. The simulation analysis of optimal dose
regimens suggested similar performance of either CLcr or PNA based dosing.
CONCLUSION: CLcr predicted more IIV in CL, but did not translate into clinically
relevant improvements of target concentrations. Our optimized dose regimens can
be considered for further evaluation to optimize initial treatment with amikacin.
PMID- 27198626
TI - Improvement of beta-Carotene Bioaccessibility from Dietary Supplements Using
Excipient Nanoemulsions.
AB - The influence of excipient nanoemulsions on beta-carotene bioaccessibility from
commercial dietary supplements (tablets or soft gels) was studied employing an in
vitro gastrointestinal tract (GIT) model. Excipient nanoemulsions were formulated
from long or medium chain triglycerides (LCT or MCT) to determine the impact of
lipid type on carotenoid bioaccessibility. Dietary supplements were tested using
the GIT model in the absence or presence of excipient nanoemulsions. beta
carotene bioaccessibility from tablets (0.3%) or soft gels (2.4%) was low when
tested in isolation. LCT nanoemulsions greatly improved beta-carotene
bioaccessibility from tablets (20%) and slightly improved it from soft gels (5%),
whereas MCT nanoemulsions only slightly improved bioaccessibility. These results
were attributed to the ability of large carotenoid molecules to be incorporated
into large mixed micelles formed by LCT digestion but not by small ones formed by
MCT digestion. Our results indicate that excipient nanoemulsions have
considerable potential for improving nutraceutical bioavailability from dietary
supplements.
PMID- 27198627
TI - Reply to Letter to the Editor regarding anaplastic thyroid carcinoma.
PMID- 27198628
TI - No microplastics in benthic eelpout (Zoarces viviparus): An urgent need for
spectroscopic analyses in microplastic detection.
AB - Monitoring the ingestion of microplastics is challenging and suitable detection
techniques are insufficiently used. Thus, misidentifying natural for synthetic
microfibres cannot be avoided. As part of a framework to monitor the ingestion of
microplastics in eelpout, this short report addresses the accurate identification
of microfibres. We show that, following visual inspections, putatively synthetic
microfibres are indeed of natural origin, as ascertained by spectrometric
analyses. Consequently, we call for an inclusion of spectroscopic techniques in
standardized microplastic monitoring schemes.
PMID- 27198629
TI - Antibiotics versus no treatment for toxoplasma retinochoroiditis.
AB - BACKGROUND: Acute toxoplasma retinochoroiditis causes transient symptoms of
ocular discomfort and may lead to permanent visual loss. Antibiotic treatment
aims primarily to reduce the risk of permanent visual loss, recurrent
retinochoroiditis, and the severity and duration of acute symptoms. There is
uncertainty about the effectiveness of antibiotic treatment. OBJECTIVES: To
compare the effects of antibiotic treatment versus placebo or no treatment for
toxoplasma retinochoroiditis. SEARCH METHODS: We searched CENTRAL (which contains
the Cochrane Eyes and Vision group Trials Register) (2016, Issue 1), Ovid
MEDLINE, Ovid MEDLINE In-Process and Other Non-Indexed Citations, Ovid MEDLINE
Daily, Ovid OLDMEDLINE (January 1946 to February 2016), EMBASE (January 1980 to
February 2016), Latin American and Caribbean Health Sciences Literature Database
(LILACS) (January 1982 to February 2016), the ISRCTN registry
(www.isrctn.com/editAdvancedSearch), ClinicalTrials.gov (www.clinicaltrials.gov),
and the World Health Organization (WHO) International Clinical Trials Registry
Platform (ICTRP) (www.who.int/ictrp/search/en). We did not use any date or
language restrictions in the electronic searches for trials. We last searched the
electronic databases on 22 February 2016. We searched the reference lists of
identified articles and contacted pharmaceutical companies for unpublished
trials. SELECTION CRITERIA: We included randomised controlled trials that
compared any antibiotic treatment against placebo or no treatment. We excluded
trials that included immunocompromised participants. We considered any antibiotic
treatment known to be active against Toxoplasma gondii. Antibiotic treatment
could be given in any dose orally, by intramuscular injection, by intravenous
infusion, or by intravitreal injection. DATA COLLECTION AND ANALYSIS: The primary
outcomes for this review were visual acuity at least three months after treatment
and risk of recurrent retinochoroiditis. Secondary outcomes were improvement in
symptoms and signs of intraocular inflammation, size of lesion, and adverse
events. We used standard methodological procedures expected by Cochrane. MAIN
RESULTS: Four trials that randomised a total of 268 participants met the
inclusion criteria. In all four studies antibiotic was administered orally.One
study conducted in Brazil in both adults and children compared trimethoprim
sulfamexacocol over 20 months to no treatment and was judged to be at high risk
of performance, detection, and attrition bias. The other three studies compared
antibiotic treatment to placebo. We judged these three studies to be at a mixture
of low or unclear risk of bias due to poor reporting. One study conducted in the
US in adults studied pyrimethamine-trisulfapyrimidine for eight weeks; one study
conducted in the UK in children and adults evaluated pyrimethamine for four
weeks; and one study conducted in Brazil in adults investigated trimethoprim
sulfamethoxazole for 12 months. In the last study, all participants had active
retinochoroiditis and were treated with antibiotics for 45 days prior to
randomisation to trimethoprim-sulfamethoxazole versus placebo.Only the study in
Brazil of trimethoprim-sulfamethoxazole over 12 months, in participants with
healed lesions, reported the effect of treatment on visual acuity. People treated
with antibiotics may have a similar change in visual acuity compared with people
treated with placebo at one year (mean difference -1.00 letters, 95% confidence
interval (CI) -7.93 to 5.93 letters; 93 participants; low-quality
evidence).Treatment with antibiotics probably reduces the risk of recurrent
retinochoroiditis compared with placebo (risk ratio (RR) 0.26, 95% CI 0.11 to
0.63; 227 participants; 3 studies; I(2) = 0%; moderate-quality evidence); similar
results were seen for acute and chronic retinochoroiditis.The UK study of
pyrimethamine for four weeks reported an improvement in intraocular inflammation
in treated compared with control participants (RR 1.76, 95% CI 0.98 to 3.19; 29
participants; low-quality evidence). The study in Brazil of trimethoprim
sulfamethoxazole for 12 months stated that the severity of inflammation was
higher in the comparator group when compared to the antibiotic-treated group but
did not provide further details. In the US study of pyrimethamine
trisulfapyrimidine for eight weeks intraocular inflammation had almost completely
resolved by eight weeks in all participants, however in this study all
participants received steroid treatment.Two studies (UK and US studies) reported
an increased risk of adverse events in treated participants. These were a fall in
haemoglobin, leucocyte, and platelet count, nausea, loss of appetite, rash, and
arthralgia. AUTHORS' CONCLUSIONS: Treatment with antibiotics probably reduces the
risk of recurrent toxoplasma retinochoroiditis, but there is currently no good
evidence that this leads to better visual outcomes. However, absence of evidence
of effect is not the same as evidence of no effect. Further trials of people with
acute and chronic toxoplasma retinochoroiditis affecting any part of the retina
are required to determine the effects of antibiotic treatment on visual outcomes.
PMID- 27198630
TI - The Hidden Conformation of Lewis x, a Human Histo-Blood Group Antigen, Is a
Determinant for Recognition by Pathogen Lectins.
AB - Histo-blood group epitopes are fucosylated branched oligosaccharides with well
defined conformations in solution that are recognized by receptors, such as
lectins from pathogens. We report here the results of a series of experimental
and computational endeavors revealing the unusual distortion of histo-blood group
antigens by bacterial and fungal lectins. The Lewis x trisaccharide adopts a
rigid closed conformation in solution, while crystallography and molecular
dynamics reveal several higher energy open conformations when bound to the
Ralstonia solanacearum lectin, which is in agreement with thermodynamic and
kinetic measurements. Extensive molecular dynamics simulations confirm rare
transient Le(x) openings in solution, frequently assisted by distortion of the
central N-acetyl-glucosamine ring. Additional directed molecular dynamic
trajectories revealed the role of a conserved tryptophan residue in guiding the
fucose into the binding site. Our findings show that conformational adaptation of
oligosaccharides is of paramount importance in cell recognition and should be
considered when designing anti-infective glyco-compounds.
PMID- 27198631
TI - Cause of death in patients with chronic visceral and chronic neurovisceral acid
sphingomyelinase deficiency (Niemann-Pick disease type B and B variant):
Literature review and report of new cases.
AB - BACKGROUND: Acid sphingomyelinase deficiency (ASMD), [Niemann-Pick Disease Types
A and B (NPD A and B)], is an inherited metabolic disorder resulting from
deficiency of the lysosomal enzyme acid sphingomyelinase. Accumulation of
sphingomyelin in hepatocytes, reticuloendothelial cells, and in some cases
neurons, results in a progressive multisystem disease that encompasses a broad
clinical spectrum of neurological and visceral involvement, including: infantile
neurovisceral ASMD (NPD A) that is uniformly fatal by 3years of age; chronic
neurovisceral ASMD (intermediate NPD A/B; NPD B variant) that has later symptom
onset and slower neurological and visceral disease progression; and chronic
visceral ASMD (NPD B) that lacks neurological symptoms but has significant
disease-related morbidities in multiple organ systems. The purpose of this study
was to characterize disease-related morbidities and causes of death in patients
with the chronic visceral and chronic neurovisceral forms of ASMD. METHODS: Data
for 85 patients who had died or received liver transplant were collected by
treating physicians (n=27), or abstracted from previously published case studies
(n=58). Ages at symptom onset, diagnosis, and death; cause of death; organ
involvement, and morbidity were analyzed. RESULTS: Common disease-related
morbidities included splenomegaly (96.6%), hepatomegaly (91.4%), liver
dysfunction (82.6%), and pulmonary disease (75.0%). The overall leading causes of
death were respiratory failure and liver failure (27.7% each) irrespective of
age. For patients with chronic neurovisceral ASMD (31.8%), progression of
neurodegenerative disease was a leading cause of death along with respiratory
disease (both 23.1%) and liver disease (19.2%). Patients with chronic
neurovisceral disease died at younger ages than those with chronic visceral
disease (median age at death 8 vs. 23.5years). CONCLUSIONS: The analysis
emphasizes that treatment goals for patients with chronic visceral and chronic
neurovisceral ASMD should include reducing splenomegaly and improving liver
function and respiratory status, with the ultimate goal of decreasing serious
morbidity and mortality.
PMID- 27198633
TI - Enhanced surveillance of a lymphogranuloma venereum outbreak in Sydney 2010-2012.
AB - OBJECTIVES: To investigate an increase in lymphogranuloma venereum (LGV)
notifications in New South Wales (NSW). METHODS: Enhanced surveillance of
notified LGV cases in NSW between May 2010 and April 2012 using doctor and
patient questionnaires. RESULTS: Thirty-seven doctors who had diagnosed 67 (76%)
of 88 notified anorectal LGV infections were interviewed. The majority (n=33,
89%) of treating doctors were formally trained and accredited in HIV management
and prescribing, and most (n=32, 86%) worked in a public sexual health clinic or
a general practice with a high caseload of men who have sex with men (MSM). All
67 cases were MSM who resided in inner-city Sydney and all were serovar L2b. Anal
symptoms had been present in 64 cases (96%, 95%CI 87-99%) for a median of 8 days
(range 2-1,825) prior to presentation. Almost one-third (n=20) had another
concurrent STI diagnosed. Most (82%) of the 22 interviewed patients reported
being HIV positive and having other STIs diagnosed over the past year. In the
preceding month, all 22 men reported condomless anal sex and the median number of
casual sexual partners was 5 (range 0-100). CONCLUSIONS: Characteristics of LGV
cases in NSW are similar to those described worldwide, suggesting that a sexually
adventurous subgroup of MSM are at particular risk of infection. IMPLICATIONS:
Education of non-sexual-health clinicians on LGV risk factors, presentation,
testing and management may allow more timely diagnosis and notification of
contacts to reduce LGV transmission in the community.
PMID- 27198634
TI - The solubility of nickel and its migration through the cementitious backfill of a
geological disposal facility for nuclear waste.
AB - This work describes the solubility of nickel under the alkaline conditions
anticipated in the near field of a cementitious repository for intermediate level
nuclear waste. The measured solubility of Ni in 95%-saturated Ca(OH)2 solution is
similar to values obtained in water equilibrated with a bespoke cementitious
backfill material, on the order of 5*10(-7)M. Solubility in 0.02M NaOH is one
order of magnitude lower. For all solutions, the solubility limiting phase is
Ni(OH)2; powder X-ray diffraction and scanning transmission electron microscopy
indicate that differences in crystallinity are the likely cause of the lower
solubility observed in NaOH. The presence of cellulose degradation products
causes an increase in the solubility of Ni by approximately one order of
magnitude. The organic compounds significantly increase the rate of Ni transport
under advective conditions and show measurable diffusive transport through intact
monoliths of the cementitious backfill material.
PMID- 27198632
TI - Mechanisms in E. coli and Human Mismatch Repair (Nobel Lecture).
AB - DNA molecules are not completely stable, they are subject to chemical or
photochemical damage and errors that occur during DNA replication resulting in
mismatched base pairs. Through mechanistic studies Paul Modrich showed how
replication errors are corrected by strand-directed mismatch repair in
Escherichia coli and human cells.
PMID- 27198635
TI - Regulation of Genome Architecture and Function by Polycomb Proteins.
AB - Polycomb group (PcG) proteins dynamically define cellular identities through the
epigenetic repression of key developmental regulatory genes. PcG proteins are
recruited to specific regulatory elements to modify the chromatin surrounding
them. In addition, they regulate the organization of their target genes in the 3D
space of the nucleus, and this regulatory function of the 3D genome architecture
is involved in cell differentiation and the maintenance of cellular memory. In
this review we discuss recent advances in our understanding of how PcG proteins
are recruited to chromatin to induce local and global changes in chromosome
conformation and regulate their target genes.
PMID- 27198636
TI - Laser peripheral iridotomy changes anterior chamber architecture.
AB - PURPOSE: The pressure gradient between anterior and posterior chamber in acute
angle closure (AAC) and primary angle closure suspects is balanced by a
sufficient laser peripheral iridotomy (LPI). The anterior chamber changes induced
by LPI in patients with unilateral AAC were examined and compared to healthy eyes
to define threshold values, which may help to discriminate between healthy and
diseased eyes. METHODS: Using Scheimpflug photography, anterior chamber depth
(ACD), anterior chamber volume (ACV), anterior chamber angle (ACA), and central
corneal thickness (CCT) were measured before and after LPI in both eyes of
unilateral AAC cases. These measurements were compared to a group of healthy
control eyes to determine threshold values for ACD, ACV, and ACA. RESULTS: The
ACD, ACV, and ACA increased significantly in the 25 AAC eyes after LPI. The ACD,
ACV, ACA, and CCT values in the AAC eyes obtained before LPI were compared to a
control group of 59 healthy eyes with wide open chamber angles. The cutoff values
revealed by receiver operating characteristic analysis were 2.1 mm for ACD, 90.5
mm2 for ACV, and 27.25 degrees for ACA. CONCLUSIONS: Our results confirm the
significant changes of the anterior segments architecture induced by LPI in AAC
eyes. The found threshold values for ACD, ACV, and ACA may help in daily clinical
routine to discriminate between healthy eyes and those in need for a prophylactic
LPI.
PMID- 27198637
TI - Decreased hyaluronan concentration during primary rhegmatogenous retinal
detachment.
AB - PURPOSE: To evaluate the concentration and molecular weight of hyaluronan (HA)
polysaccharides as well as hyaluronidase activity in patients with rhegmatogenous
retinal detachment (RRD). METHODS: Twenty vitreous samples from 20 patients with
RRD and 19 samples from 19 patients with idiopathic epiretinal membrane, macular
hole, or vitreomacular traction syndrome were collected during surgical
management with pars plana vitrectomy. The molecular weight of various HA
fragments was assessed using agarose gel electrophoresis. Enzyme-linked
immunosorbent assay was employed for the measurement of HA (in MUg/mL).
Hyaluronidase activity was evaluated using substrate (HA) sodium dodecyl sulfate
polyacrylamide gel electrophoresis. RESULTS: Agarose gel electrophoresis showed
that the vitreous of the control group contained HA of high molecular mass, in
contrast with the patient group. Mean HA concentration in the patient group was
50.96 MUg/mL and differed significantly from that of the control group, which was
271.81 MUg/mL (p<0.0005). Hyaluronidase activity was significantly higher in the
vitreous of patients with RRD (p = 0.037). CONCLUSIONS: The vitreous of patients
with RRD is characterized by decreased HA concentration compared to controls of
the same age and sex and shows higher hyaluronidase catalytic activity.
Hyaluronan degradation could be associated with specific vitreous alterations
that potentially contribute to retinal break formation and consequently
detachment.
PMID- 27198639
TI - Impact of Introducing 2 Simple Technique Modifications on the Descemet Membrane
Endothelial Keratoplasty Learning Curve.
AB - Purpose To analyze the impact of performing premarking of the Descemet roll and
using SF6 20% on a surgeon's Descemet membrane endothelial keratoplasty (DMEK)
learning process. Methods A total of 30 consecutive eyes with endothelial
dysfunction undergoing DMEK during the learning curve of a surgeon were
retrospectively analyzed. Prior to the study, the surgeon had already performed
10 DMEKs. The first 15 consecutive patients were included in group 1 (no
premarking and air tamponade) and the other 15 consecutive patients were included
in group 2 (premarking and SF6 tamponade). Main outcome parameters were best
corrected visual acuity (BCVA), endothelial cell density (ECD) loss at 6 months,
and intraoperative and postoperative complications. Results Among the 2 groups,
BCVA and ECD loss at 6 months were similar. However, there was a statistically
significant reduction in primary graft failure (40% vs 0%) and need of rebubbling
due to complete or partial graft detachment (40% vs 6%) when comparing group 1
versus group 2. In group 1, half of the patients needing rebubbling had primary
graft failure. Conclusions Based on our personal experience, premarking the graft
to assess orientation and using a SF6 gas tamponade dramatically reduces the risk
of primary graft failure and the need for rebubbling even during the first stages
of the learning curve. These findings should encourage surgeons to safely change
from Descemet stripping automated endothelial keratoplasty to DMEK.
PMID- 27198638
TI - Anterior lamina cribrosa surface position in idiopathic intracranial hypertension
and glaucoma.
AB - PURPOSE: To compare the anterior lamina cribrosa (LC) surface position in
patients with idiopathic intracranial hypertension (IIH), primary open-angle
glaucoma (high-tension glaucoma [HTG] and normal-tension glaucoma [NTG]), and
healthy controls using enhanced depth imaging spectral-domain optical coherence
tomography (EDI-OCT). METHODS: This was a retrospective, cross-sectional
observational study of 11 eyes with IIH, 20 eyes with HTG, 20 eyes with NTG, and
37 control eyes. Serial horizontal B-scans of the optic nerve head were obtained
using EDI-OCT. The LC depth, defined as the distance from the Bruch membrane
opening plane to the anterior LC surface, was manually measured on selected B
scans covering the central three-quarters of the optic nerve head in each eye.
RESULTS: Mean LC depth in patients with IIH (325.2 +/- 92.1 um) was significantly
(p<0.01) decreased compared to control subjects (387.8 +/- 53.9 um). In HTG, the
mean LC depth (493.0 +/- 115.2 um) was significantly increased compared to NTG
(376.6 +/- 27.1 um, p<0.05) and control subjects (332.7 +/- 53.1 um, p<0.001).
The trans-LC pressure difference (TLPD) (intraocular pressure - cerebrospinal
fluid pressure) was positively correlated with the LC depth (r = 0.96, p<0.001)
and inversely correlated with visual field perimetric mean deviation in patients
with IIH (r = -0.88, p<0.001). CONCLUSIONS: The LC is positioned anteriorly in
patients with IIH and posteriorly in glaucomatous eyes compared to normal
controls. The positional changes of the LC could be a result of the TLPD between
the intraocular and intracranial pressure compartments.
PMID- 27198640
TI - Rod function in diabetic patients without and with early diabetic retinopathy.
AB - PURPOSE: To compare rod function among diabetic patients without and with mild
nonproliferative diabetic retinopathy (DR) and healthy controls by means of
scotopic microperimetry and dark-adapted electroretinography. METHODS: Sixty-one
diabetic patients and 30 age-matched controls (control group) underwent complete
ophthalmic examination, scotopic microperimetry, and dark-adapted 0.01
electroretinography (DA-ERG). RESULTS: In 32 eyes, DR was absent (no-DR group);
in 29 eyes, only microaneurysms were observed (DR group). No statistically
significant differences in fixation stability, fixation location, or scotopic
sensitivity among the 3 groups were observed. Implicit time and amplitude of the
DA-ERG b-wave of no-DR and DR groups were not different from controls. Scotopic
microperimetry showed a dense scotoma centered onto the fovea in all subjects,
consistent with the rod-free zone. Greater scotopic sensitivity was found in a
ring located 8 degrees from the fovea (9.33 +/- 1.33 dB). CONCLUSIONS: Diabetic
patients without DR and with mild nonproliferative DR did not show alterations in
rod-based function, as examined by microperimetry and confirmed by DA-ERG.
Scotopic microperimetry measures rod-based function and offers additional
information in the evaluation of the aspects of involvement of retinal cells in
diabetes.
PMID- 27198641
TI - Comparison of macular findings due to vitreomacular traction alone or in
association with epiretinal membrane.
AB - PURPOSE: To evaluate the anatomical and functional findings in patients with
vitreomacular traction (VMT) alone or in combination with epiretinal membrane
(ERM). METHODS: In this retrospective, cross-sectional study, we studied 102
patients with VMT, either alone (n = 37) or combined with ERM (n = 65). All
patients were examined with spectral-domain optical coherence tomography (SD
OCT). We recorded the vitreofoveal angle of VMT nasally and temporally, the
horizontal diameter of VMT, macular thickness, the presence, type, and location
of macular edema, the ellipsoid zone (EpsilonZeta)/external limiting membrane
(ELM) status, and the visual acuity. RESULTS: Patients with VMT combined with ERM
presented smaller vitreofoveal angle nasally and temporally, broader adhesion
diameter, and a greater extent of EZ defect compared to patients with VMT alone,
although there was no statistically significant difference in EZ and ELM
condition regarding the number of affected cases. There is also no statistically
significant difference between the 2 groups concerning the visual acuity. In the
majority of patients with VMT alone, cystoid macular edema was present mainly at
the foveal area. In cases where VMT coexisted with ERM, macular edema was mostly
found to be diffuse, while cystoid or mixed type, extending to the whole macular
area, was also present. CONCLUSIONS: Patients with VMT in association with ERM
have different characteristics in SD-OCT compared to those with VMT alone
regarding the type and location of macular edema, the extent of EZ defect, as
well as the vitreofoveal angle and the VMT diameter. Moreover, they presented
worse visual acuity compared to those with VMT alone, although the difference did
not reach statistical significance.
PMID- 27198642
TI - Prediction of the development of late enophthalmos in pure blowout fractures:
delayed orbital tissue atrophy plays a major role.
AB - PURPOSE: To retrospectively evaluate the risk factors for the development of late
enophthalmos in pure blowout fractures. METHODS: We reviewed 49 cases of pure
blowout fractures diagnosed in Ajou University Hospital, South Korea, from
January 2005 to June 2015. We assumed that several factors influence the
development of late enophthalmos, including bony defect size, volume of displaced
soft tissue, number of fracture sites, involvement of floor, soft tissue
incarceration through the bony defect, and patient age. RESULTS: Twenty-one
patients were diagnosed with late enophthalmos (group 1) while 28 patients were
not (group 2). Soft tissue incarceration, presumably causing the soft tissue
injury, was the only factor that increased the risk for late enophthalmos in this
study (p = 0.04, odds ratio 4.5). In contrast with previous studies, there were
no meaningful correlation between bony defect size or volume of displaced soft
tissue and development of late enophthalmos. Number of fracture sites,
involvement of floor, and patient age did not increase the risk for late
enophthalmos. CONCLUSIONS: We suggest that the delayed orbital tissue atrophy due
to soft tissue injury plays a more important role than other hypotheses in the
development of late enophthalmos. It is necessary to overcorrect to some extent
if there is soft tissue incarceration through the bony defect in the initial
computed tomography, and clinicians should warn patients about the development of
late enophthalmos despite orbital reconstructive surgery.
PMID- 27198643
TI - Novel multi-functional europium-doped gadolinium oxide nanoparticle aerosols
facilitate the study of deposition in the developing rat lung.
AB - Ambient ultrafine particulate matter (UPM), less than 100 nm in size, has been
linked to the development and exacerbation of pulmonary diseases. Age differences
in susceptibility to UPM may be due to a difference in delivered dose as well as
age-dependent differences in lung biology and clearance. In this study, we
developed and characterized aerosol exposures to novel metal oxide nanoparticles
containing lanthanides to study particle deposition in the developing postnatal
rat lung. Neonatal, juvenile and adult rats (1, 3 and 12 weeks old) were nose
only exposed to 380 MUg m(-3) of ~30 nm europium doped gadolinium oxide
nanoparticles (Gd2O3:Eu(3+)) for 1 h. The deposited dose in the nose,
extrapulmonary airways and lungs was determined using inductively-coupled plasma
mass spectroscopy. The dose of deposited particles was significantly greater in
the juvenile rats at 2.22 ng per g body weight compared to 1.47 ng per g and
0.097 ng per g for the adult and neonate rats, respectively. Toxicity was
investigated in bronchoalveolar lavage fluid (BALF) by quantifying recovered cell
types, and measuring lactate dehydrogenase activity and total protein. The
toxicity data suggests that the lanthanide particles were not acutely toxic or
inflammatory with no increase in neutrophils or lactate dehydrogenase activity at
any age. Juvenile and adult rats had the same mass of deposited NPs per gram of
lung tissue, while neonatal rats had significantly less NPs deposited per gram of
lung tissue. The current study demonstrates the utility of novel lanthanide-based
nanoparticles to study inhaled particle deposition in vivo and has important
implications for nanoparticles delivery to the developing lung either as
therapies or as a portion of particulate matter air pollution.
PMID- 27198645
TI - Doubling down on phosphorylation as a variable peptide modification.
AB - Some mass spectrometrists believe that searching for variable PTMs like
phosphorylation of serine or threonine when using database-search algorithms to
interpret peptide tandem mass spectra will increase false-positive matching. The
basis for this is the premise that the algorithm compares a spectrum to both a
nonphosphorylated peptide candidate and a phosphorylated candidate, which is
double the number of candidates compared to a search with no possible
phosphorylation. Hence, if the search space doubles, false-positive matching
could increase accordingly as the algorithm considers more candidates to which
false matches could be made. In this study, it is shown that the search for
variable phosphoserine and phosphothreonine modifications does not always double
the search space or unduly impinge upon the FDR. A breakdown of how one popular
database-search algorithm deals with variable phosphorylation is presented.
PMID- 27198644
TI - Siblings of children with complex care needs: their perspectives and experiences
of participating in everyday life.
AB - BACKGROUND: Participating in everyday life is essential to the healthy
development and emotional well-being of children. However, little is known about
siblings of children with complex care needs (CCN), and their perspectives and
experiences of participating in everyday life. The aim of this paper is to
present research findings that add to our understanding of how siblings of
children with CCN view and experience participation in everyday life. METHODS: To
arrive at a detailed and accurate understanding of the siblings' perspectives and
experiences, we used the qualitative research design of ethnography. Sixteen
siblings (seven brothers, nine sisters) of children with CCN were recruited. The
siblings ranged in age between 7 and 25 years, with a mean age of 14 years. All
siblings took part in opened-ended interviews and completed ecomaps to describe
how they participate. Five siblings also took part in the photovoice method.
Analysis involved several iterative steps, congruent with ethnography. RESULTS:
Four main themes emerged as follows: (1) participation is about being part of a
group; (2) it feels good; (3) I love my sibling but...; and (4) promoting
participation. Siblings of children with CCN identified challenges to
participation and also described ways that they participate that relate to the
care of their sibling. CONCLUSIONS: Siblings prioritized the relationship with
their sisters and brothers with CCN in their life, and a great deal of their
participation was chosen with their sibling in mind. Sibling-to-sibling
relationships were distinct and meaningful and, as a result, participation was
always done mindfully and with the family needs at the forefront. Nonetheless,
clinicians caring for children with CCN must keep in mind the challenges that
siblings of children with CCN experience and provide strategies to siblings that
will help to promote their participation in everyday life.
PMID- 27198646
TI - Medical humanitarianism: ethnographies of practice.
PMID- 27198647
TI - Author's reply.
PMID- 27198648
TI - Interobserver agreement on the echocardiographic parameters that estimate right
ventricular systolic function in the early postoperative period of cardiac
surgery.
AB - PRIMARY OBJECTIVE: To know the variability of transthoracic echocardiographic
parameters that assess right ventricular systolic function by analyzing
interobserver agreement in the early postoperative period of cardiovascular
surgery. SECONDARY OBJECTIVE: To assess the feasibility of these
echocardiographic measurements. DESIGN: A cross-sectional study, double-blind
pilot study was carried out from May 2011 to February 2013. SETTING:
Cardiovascular postoperative critical care at the National Institute of
Cardiology "Ignacio Chavez", Mexico City, Mexico. PATIENTS: Consecutive, non
probabilistic sampling. Fifty-six patients were studied in the postoperative
period of cardiac surgery. INTERVENTION: The first echocardiographic parameters
were obtained between 6-8hours after cardiac surgery, followed by blinded second
measurements. MAIN VARIABLES: Tricuspid annular plane systolic excursion (TAPSE),
tricuspid annular peak systolic velocity on tissue Doppler imaging (VSPAT),
diameters and right ventricular outflow area, tract fractional shortening. The
agreement was analyzed by the Bland-Altman method, and its magnitude was assessed
by the intraclass correlation coefficient (95% confidence interval). RESULTS:
Both observers evaluated TAPSE and VSPAT in 48 patients (92%). The average TAPSE
was 11.68+/-4.53mm (range 4-27mm). Right ventricular systolic dysfunction was
observed in 41 cases (85%) and normal TAPSE in 7 patients (15%). The average
difference and its limits according to TAPSE were -0.917+/-2.95 (-6.821, 4.988),
with a magnitude of 0.725 (0.552, 0.837); the tricuspid annular peak systolic
velocity on tissue Doppler imaging was -0.001+/-0.015 (-0.031, 0.030), and its
magnitude 0.825 (0.708, 0.898), respectively. CONCLUSIONS: VSPAT and TAPSE were
estimated by both observers in 92% of the patients, these parameters exhibiting
the lowest interobserver variability.
PMID- 27198649
TI - Points, skyrmions and torons in chiral nematic droplets.
AB - Chiral nematic droplets with perpendicular surface alignment of liquid
crystalline molecules frustrate the helical structure into convoluted 3D textures
with complex topology. We observe the droplets with fluorescent confocal
polarising microscopy (FCPM), and reconstruct and analyse for the first time the
topology of the 3D director field using a novel method of director reconstruction
from raw data. We always find an odd number of topological defects, which
preserve the total topological charge of the droplet of +1 regardless of
chirality. At higher chirality, we observe up to 5 point hedgehog defects, which
are elastically stabilized with convoluted twisted structures, reminiscent of 2D
skyrmions and toron-like structure, nested into a sphere.
PMID- 27198650
TI - The synergy between the insect-inspired claws and adhesive pads increases the
attachment ability on various rough surfaces.
AB - To attach reliably on various inclined rough surfaces, many insects have evolved
both claws and adhesive pads on their feet. However, the interaction between
these organs still remains unclear. Here we designed an artificial attachment
device, which mimics the structure and function of claws and adhesive pads, and
tested it on stiff spheres of different dimensions. The results show that the
attachment forces of claws decrease with an increase of the sphere radius. The
forces may become very strong, when the sphere radius is smaller or comparable to
the claw radius, because of the frictional self-lock. On the other hand, adhesive
pads generate considerable adhesion on large sphere diameter due to large contact
areas. The synergy effect between the claws and adhesive pads leads to much
stronger attachment forces, if compared to the action of claw or adhesive pads
independently (or even to the sum of both). The results carried out by our insect
inspired artificial attachment device clearly demonstrate why biological
evolution employed two attachment organs working in concert. The results may
greatly inspire the robot design, to obtain reliable attachment forces on various
substrates.
PMID- 27198651
TI - Multicriteria assessment of advanced treatment technologies for micropollutants
removal at large-scale applications.
AB - With the introduction and discharge of thousands of new micropollutants (MPs)
every year, traditional water and wastewater treatment plants may be incapable of
tackling them all. With their low concentrations and diversity in nature, MP
removal encounters numerous challenges. Although some MPs are effectively
eliminated via conventional treatment methods, most of them can easily escape and
are retained in the discharged effluent. Therefore, advanced methods such as (i)
adsorption, (ii) oxidation and advanced oxidation processes (O3 and O3-based
advanced oxidation processes, UV/H2O2), (iii) membrane processes, and (iv)
membrane bioreactors, become an inevitable approach. Despite the unsurprisingly
vast number of papers on MP treatment available at present, most of these studies
were carried out at a laboratory scale while only a few pilot- and full-scale
studies have experimented. Nevertheless, an in-depth assessment of real-world MP
treatment methods is extremely crucial for practitioners. To date, no paper has
been dedicated to look at this issue. Therefore, this paper aims to review these
large-scale treatment methods. First, the paper goes through the regulations and
standards which deal with MPs in water courses. It will then assess these methods
in various case-studies with reference to different criteria towards serving as a
reference for further practical applications.
PMID- 27198653
TI - Why there is no supernatural morality: response to Miller's opening statement.
AB - If one is going to argue that objective morality depends on an Archimedean point
outside the natural world, then it would seem to imply that this source is
necessarily supernatural. Thus, Christian Miller begins by defining precisely who
he thinks this supernatural moral law giver is: the omniscient, omnipotent, and
omnibenevolent creator of the universe who is still actively involved with human
affairs-Elohim, Jehovah, Yahweh, or Allah-aka God. Already I'm skeptical.
PMID- 27198652
TI - Home-Based Exercise Training Improves Left Ventricle Diastolic Function in
Survivors of Childhood ALL: A Tissue Doppler and Velocity Vector Imaging Study.
AB - BACKGROUND: Advanced echocardiographic methods may reveal signs of late
anthracycline cardiac toxicity (ACT) even in asymptomatic patients. We studied
echocardiographic tissue Doppler imaging (TDI) and velocity vector imaging (VVI)
in long-term survivors of childhood acute lymphoblastic leukemia (ALL) before and
after an exercise intervention. METHODS: Twenty-one asymptomatic, anthracycline
treated, long-term childhood ALL survivors with matched controls were studied at
baseline. Seventeen of the survivors participated in a 3-month home-based
exercise program. Echocardiography with TDI and VVI was performed. RESULTS: At
baseline, ejection fraction (60.7 +/- 4.7% vs. 62.3 +/- 3.7%, P = 0.22) and
fractional shortening (32.6 +/- 3.1% vs. 34.0 +/- 2.8%, P = 0.13) were similar in
survivors and controls. Lateral early diastolic mitral annulus velocity E' (32.81
+/- 5.71 cm/sec vs. 38.03 +/- 6.21 cm/sec, P = 0.01), E'/A' (1.60 +/- 0.48 vs.
2.07 +/- 0.63, P = 0.01), and E/E' (2.78 +/- 0.35 vs. 2.42 +/- 0.62, P = 0.04)
were impaired compared to controls. Peak circumferential strain and strain rate
were attenuated at apex (-24.50 +/- 3.46% vs. -28.06 +/- 4.39%, P = 0.01 and
1.47 +/- 0.22 sec(-1) vs. -1.68 +/- 0.33 sec(-1) , P = 0.02) compared to
controls. After the intervention, early diastolic mitral inflow velocity E (87.76
+/- 12.54 cm/s vs. 95.28 +/- 10.48 cm/s, P = 0.04) and E' increased (31.78 +/-
5.50 cm/s vs. 34.96 +/- 5.41 cm/s, P < 0.01). Peak circumferential systolic and
diastolic strain rates at mid-level (-1.22 +/- 0.21 sec(-1) vs. -1.35 +/- 0.24
sec(-1) , P = 0.04 and 1.25 +/- 0.25 sec(-1) vs. 1.48 +/- 0.35 sec(-1) , P <
0.01) improved after the exercise program. CONCLUSIONS: A simple home-based
exercise program improved cardiac function in asymptomatic childhood ALL
survivors. Adding TDI in routine echocardiographic examination may improve the
recognition of early signs of ACT, and VVI may bring additional information. The
improvements in cardiac function after the exercise program emphasize the
importance of physical activity in this population.
PMID- 27198654
TI - Elucidating dominant pathways of the nano-particle self-assembly process.
AB - Self-assembly processes play a key role in the fabrication of functional nano
structures with widespread application in drug delivery and micro-reactors. In
addition to the thermodynamics, the kinetics of the self-assembled nano
structures also play an important role in determining the formed structures.
However, as the self-assembly process is often highly heterogeneous, systematic
elucidation of the dominant kinetic pathways of self-assembly is challenging.
Here, based on mass flow, we developed a new method for the construction of
kinetic network models and applied it to identify the dominant kinetic pathways
for the self-assembly of star-like block copolymers. We found that the dominant
pathways are controlled by two competing kinetic parameters: the encounter time
Te, characterizing the frequency of collision and the transition time Tt for the
aggregate morphology change from rod to sphere. Interestingly, two distinct self
assembly mechanisms, diffusion of an individual copolymer into the aggregate core
and membrane closure, both appear at different stages (with different values of
Tt) of a single self-assembly process. In particular, the diffusion mechanism
dominates the middle-sized semi-vesicle formation stage (with large Tt), while
the membrane closure mechanism dominates the large-sized vesicle formation stage
(with small Tt). Through the rational design of the hydrophibicity of the
copolymer, we successfully tuned the transition time Tt and altered the dominant
self-assembly pathways.
PMID- 27198656
TI - Comment on: "Analysis of Silicones Released from Household Items and Baby
Articles by Direct Analysis in Real Time-Mass Spectrometry" by Jurgen H. Gross.
J. Am. Soc. Mass Spectrom. 26, 511-521 (2015).
PMID- 27198655
TI - Central nervous system relapse in patients with untreated HER2-positive
esophageal or gastroesophageal junction adenocarcinoma.
AB - Although HER2-positive breast cancers demonstrate a propensity for central
nervous system (CNS) metastasis, it is unknown whether other HER2-positive
tumors, including adenocarcinomas of the esophagus/gastroesophageal junction
(EAC), share this characteristic. Insight into this association may inform the
development of HER2-targeted therapies that penetrate the blood-brain barrier. We
examined HER2 overexpression and gene amplification in 708 patients with EAC who
underwent curative-intent surgery during a time period (1980-1997) when no
patient received HER2-targeted therapy. We identified patients whose site of
first cancer recurrence was CNS and those who had a CNS relapse at any time.
After a median follow-up of 61.2 months, 3.4% (24/708) of patients developed CNS
relapse (all involved the brain). Patients with HER2-positive (vs -negative)
primary tumors showed a higher 5-year cumulative incidence of CNS relapse as
first recurrence (5.8% vs. 1.2%; p = 0.0058) and at any time (8.3% vs. 2.4%; p =
0.0062). In a multivariable model that included covariates previously associated
with HER2 or with CNS relapse in breast cancer, HER2 positivity was the only
variable that was statistically significantly associated with shorter time to CNS
relapse as first recurrence (p = 0.0026) or at any time (hazard ratio 4.3 [95%
confidence interval 1.8 to 10.3]; p = 0.001). These are the first data in a non
breast cancer to demonstrate an association between HER2 positivity and higher
CNS relapse risk after surgery, and suggest that HER2-positive EACs have a
predilection for CNS metastases.
PMID- 27198657
TI - Halomarina salina sp. nov., isolated from a marine solar saltern.
AB - A halophilic archaeal strain, designated ZS-57-S(T), was isolated from Zhoushan
marine solar saltern, China. Cells were observed to be pleomorphic, stained Gram
negative and formed red pigmented colonies on agar plates. Optimal growth was
obtained at 3.9 M NaCl (range 1.4-4.8 M), 0.3 M MgCl2 (range 0-1.0 M), 30
degrees C (range 20-55 degrees C) and pH 6.5-7.5 (range 5.5-9.0). The cells were
found to lyse in distilled water and the minimal NaCl concentration to prevent
cell lysis was determined to be 5 % (w/v). The major polar lipids were identified
as C20C20 and C20C25 diether derivatives of phosphatidylglycerol,
phosphatidylglycerol phosphate methyl ester, phosphatidylglycerol sulfate,
glucosyl mannosyl glucosyl diether and two unidentified glycolipids. The 16S rRNA
gene and rpoB' gene of strain ZS-57-S(T) were phylogenetically related to the
corresponding genes of Halomarina oriensis JCM 16495(T) (98.2 and 93.7 %
similarities, respectively). The DNA G+C content of strain ZS-57-S(T) was
determined to be 67.1 mol% (T m). The phenotypic, chemotaxonomic and phylogenetic
properties suggested that strain ZS-57-S(T) (=CGMCC 1.12543(T) = JCM 30039(T))
represents a new species of the genus Halomarina, for which the name Halomarina
salina sp. nov. is proposed.
PMID- 27198658
TI - No Evidence of Human Polyomavirus 9, WU and KI DNA in Kidney and Urinary Bladder
Tumour Tissue Samples.
AB - BACKGROUND/AIMS: The oncogenic potential of human polyomaviruses (HPyVs) has been
proposed, but so far only Merkel cell carcinoma polyomavirus seems to be
associated with a human tumour. The role of BK polyomavirus (BKPyV) in human
tumourigenesis remains controversial. BKPyV establishes persistent infection in
the urinary tract, and renal and bladder neoplasms have been studied extensively,
but conflicting prevalence data are reported. KI, WU and HPyV9 were detected in
urine samples suggesting that these viruses may also infect the urinary tract,
but their presence in urinary tract tumours has not been studied. The aim of this
work was to examine the prevalence of KIPyV, WUPyV, HPyV9 and BKPyV by PCR in
renal and bladder neoplasms. METHODS: A total of 190 formalin-fixed paraffin
embedded renal neoplasms, bladder cancer and kidney biopsy samples were analysed
for the presence of BKPyV, KIPyV, WUPyV and HPyV9 DNA by real-time and nested
PCR. RESULTS: Amplifiable DNA was extracted from all the samples, but none of the
studied viruses were detected in benign renal neoplasia (0/23), malignant renal
tumours (0/89) or bladder cancer (0/76). CONCLUSION: Our study did not find any
evidence that BKPyV, KIPyV, WUPyV or HPyV9 are associated with bladder and renal
tumours.
PMID- 27198659
TI - Preparation of novel butyryl galactose ester-modified coix component
microemulsions and evaluation on hepatoma-targeting in vitro and in vivo.
AB - The butyryl galactose ester-modified coix component microemulsions (But-Gal-CMEs)
was developed for enhanced liver tumor-specific targeting. The study was aimed to
evaluate the hepatoma-targeting potential of But-Gal-CMEs in vitro and in vivo.
But-Gal-CMEs with a uniform spherical shape exhibited a small particle size
(56.68 +/- 0.07 nm), a narrow polydispersity (PDI, 0.144 +/- 0.005) and slightly
negative surface charge (-0.102 +/- 0.008 mV). In the cell uptake studies, But
Gal-CMEs showed a significant enhancement on the intracellular fluorescent
intensity on HepG2 cells model, which was 1.93-fold higher relative to coix
component microemulsions (CMEs). The IC50 of But-Gal-CMEs against HepG2 cells was
64.250 MUg/mL, which was notably stronger than that of CMEs. In the cell
apoptosis studies, compared with CMEs, But-Gal-CMEs (50 MUg/mL) treatment
resulted in a 1.34-fold rise in total apoptosis cells of HepG2. In the
biodistribution studies in vivo, the intratumorous fluorescence of Cy5-loaded But
Gal-CMEs was 1.43-fold higher relative to that of Cy5-loaded CMEs, suggesting an
obviously enhanced accumulation in the tumor sites. Taken as together, But-Gal
could be incorporated into the coix component microemulsions as a novel ligand
for realizing hepatoma-targeting drugs delivery.
PMID- 27198660
TI - Shaping plasticity: Alterations in glutamate transporter localization as a
pathophysiological mechanism in severe mental illness.
PMID- 27198661
TI - Public-Private Partnerships and Undernutrition: Examples and Future Prospects.
AB - In this chapter, we clarify what is meant by public-private partnerships (PPPs),
provide examples of both successful and less successful PPPs and describe some
broad lessons. We see scope for PPPs that would reduce aspects of undernutrition.
However, this optimism comes with significant caveats. First, while there would
appear to be a large body of evidence on this topic, closer examination shows
that there are few independent, rigorous assessments of the impact of commercial
sector engagement in nutrition. Considerable caution is therefore warranted when
assessing either commendations or criticisms of PPPs in nutrition. Second,
progress in this area requires that the private sector recognize that past and
current actions by some firms have created an environment of mistrust and that
the public sector accept that sustainable PPPs permit private firms to generate
profits. Progress also requires recognition that PPPs involving multiple firms
can be problematic either because such partnerships force competitors to
collaborate or because they create the potential for the involved firms to lock
out firms that are not members of the partnership. Lest this all sound too
negative, from a nutrition perspective, we note that there may be significant
scope for the involvement of the private sector in driving innovations that could
reduce undernutrition. More speculatively, there may also be scope for the
private sector to act as a financier of investments to improve children's
nutritional status. For PPPs to succeed, there must be open discussions of the
objectives, roles and expectations of all parties along with potential conflicts
of interest.
PMID- 27198663
TI - Chronic skin ulcer following defibrillation.
PMID- 27198662
TI - Functional assessment of glioma pathogenesis by in vivo multi-parametric magnetic
resonance imaging and in vitro analyses.
AB - Gliomas are aggressive brain tumors with poor prognosis. In this study, we report
a novel approach combining both in vivo multi-parametric MRI and in vitro cell
culture assessments to evaluate the pathogenic development of gliomas.
Osteopontin (OPN), a pleiotropic factor, has been implicated in the formation and
progression of various human cancers, including gliomas, through its functions in
regulating cell proliferation, survival, angiogenesis, and migration. Using rat
C6 glioma model, the combined approach successfully monitors the acquisition and
decrease of cancer hallmarks. We show that knockdown of the expression of OPN
reduces C6 cell proliferation, survival, viability and clonogenicity in vitro,
and reduces tumor burden and prolongs animal survival in syngeneic rats. OPN
depletion is associated with reduced tumor growth, decreased angiogenesis, and an
increase of tumor-associated metabolites, as revealed by T2-weighted images,
diffusion-weighted images, K(trans) maps, and 1H-MRS, respectively. These
strategies allow us to define an important role of OPN in conferring cancer
hallmarks, which can be further applied to assess the functional roles of other
candidate genes in glioma. In particular, the non-invasive multi-parametric MRI
measurement of cancer hallmarks related to proliferation, angiogenesis and
altered metabolism may serve as a useful tool for diagnosis and for patient
management.
PMID- 27198665
TI - Interactions of Multiple Atmospheric Circulation Drive the Drought in Tarim River
Basin.
AB - Global warming is likely to cause overall drying of land surfaces and aridity
increasing leading to expansion of dry climate zones. There is an increased risk
of extremely arid environment and large deserts developed progressively in the
central Asia. However, the key factors causing the drying in mid-Asia remain
inconclusive. Here, we analyzed the relationship among precipitation, water vapor
transportation in Tarim River Basin (TRB) and Multiple Atmospheric Circulation
(MAC) to explore the mechanism of MAC driving the drying in TRB, through
comparing MAC between abundant and scarce precipitation years. We found that
Westerly Circulation (WC) and Asian Summer Monsoon (ASM) are likely to promote
the precipitation respectively. Whereas, they not only have their own influence
but also restrict each other and facilitate the forming of peculiar water vapor
transport channel for TRB, which is probably to restrain the precipitation and
its distribution pattern and accelerate the drying in this region. Our results
enrich the findings on mechanisms of wet places becoming wetter while dry areas
getting drier under the global warming.
PMID- 27198664
TI - A phase IIIb study of ledipasvir/sofosbuvir fixed-dose combination tablet in
treatment-naive and treatment-experienced Korean patients chronically infected
with genotype 1 hepatitis C virus.
AB - BACKGROUND: The standard-of-care regimen for chronic hepatitis C virus (HCV)
infection in Korea, pegylated-interferon-alpha plus ribavirin, is poorly
tolerated. Ledipasvir/sofosbuvir is a two-drug, fixed-dose combination tablet
approved in the USA, European Union, and Japan for chronic genotype 1 HCV
infection. METHODS: This single-arm, phase IIIb study (NCT02021656) investigated
the efficacy and safety of ledipasvir/sofosbuvir fixed-dose combination tablet
for 12 weeks in treatment-naive and treatment-experienced Korean patients
chronically infected with genotype 1 HCV with or without compensated cirrhosis.
RESULTS: The proportion of patients with sustained virologic response 12 weeks
after treatment discontinuation (SVR12) was 99 % (92/93), with rates of 100 %
(46/46) and 98 % (46/47) in treatment-naive and treatment-experienced patients,
respectively. There were no on-treatment failures. One patient relapsed after the
end of treatment. The most common treatment-emergent adverse events were headache
(8 %, 7/93) and fatigue (6 %, 6/93). There were no grade 3 or 4 adverse events,
seven grade 3 laboratory abnormalities, and one premature discontinuation of
study treatment (due to nonserious mouth ulceration). None of the three reported
serious adverse events were related to treatment. CONCLUSIONS: These data suggest
that 12 weeks of ledipasvir/sofosbuvir is effective and well tolerated in
treatment-naive and treatment-experienced Korean patients with chronic genotype 1
HCV infection.
PMID- 27198666
TI - RIG-like Helicase Regulation of Chitinase 3-like 1 Axis and Pulmonary Metastasis.
AB - Chi3l1 is induced by a variety of cancers where it portends a poor prognosis and
plays a key role in the generation of metastasis. However, the mechanisms that
Chi3l1 uses to mediate these responses and the pathways that control Chi3l1
induced tumor responses are poorly understood. We characterized the mechanisms
that Chi3l1 uses to foster tumor progression and the ability of the RIG-like
helicase (RLH) innate immune response to control Chi3l1 elaboration and pulmonary
metastasis. Here we demonstrate that RLH activation inhibits tumor induction of
Chi3l1 and the expression of receptor IL-13Ralpha2 and pulmonary metastasis while
restoring NK cell accumulation and activation, augmenting the expression of IFN
alpha/beta, chemerin and its receptor ChemR23, p-cofilin, LIMK2 and PTEN and
inhibiting BRAF and NLRX1 in a MAVS-dependent manner. These studies demonstrate
that Chi3l1 is a multifaceted immune stimulator of tumor progression and
metastasis whose elaboration and tissue effects are abrogated by RLH innate
immune responses.
PMID- 27198669
TI - E-cigarettes classified as tobacco products in the USA.
PMID- 27198668
TI - Comparison of anesthetic agents on otoacoustic emissions in children: propofol vs
ketamine.
AB - BACKGROUND: Otoacoustic emission (OAE) tests are important evaluation tools for
diagnosis of peripheral auditory pathology. Sedation or general anesthesia may be
required for the performance of the OAE tests. The aim of this retrospective
study was to compare the effects of anesthetic agents, propofol and ketamine, on
OAEs in children. METHODS: Fifty healthy children who underwent tonsillectomy
and/or adenoidectomy under general anesthesia were included in this study. Three
anesthesia induction protocols were defined for this study and the
anesthesiologist applied his or her own choice. Transient evoked otoacoustic
emissions (TEOAEs) and distortion-product otoacoustic emissions (DPOAEs) were
automatically recorded in both ears of each patient prior to anesthetic (predrug)
and following the loss of consciousness 5 min later (postdrug) by an audiologist
blinded to the method of anesthesia. Acceptable TEOAEs were defined as signal
noise ratio (S/N) of above 3 dB SPL (decibel sound pressure level) and DPOAEs of
6 dB SPL or above. Between-group and within-group comparisons and correlations
were performed for statistical analysis. RESULTS: Retrospective review of the
anesthesia charts from 44 cases that completed the study showed that propofol,
ketamine, and sevoflurane induction protocols were used in 21, 18, and 5 cases,
respectively. Measurements of 36 ears in the propofol group and 34 ears in the
ketamine group were included in the final analysis. Postdrug TEOAE and DPOAE
amplitudes were significantly lower than predrug amplitudes except at 8 kHz in
the ketamine group. There was no significant statistical difference in postdrug
DPOAE measurements between propofol and ketamine groups but a significant
difference was observed at 2 and 3 kHz of postdrug TEOAE measurements. TEOAE
measurements were below 3 dB in 8 of 34 ears after ketamine and in 1 of 36 ears
after propofol administration. There was a significant difference between the
groups with respect to the incidence of successful measurements of TEOAEs. The
DPOAE measurements were affected less by these drugs. CONCLUSION: DPOAE
measurements were reduced similarly by propofol and ketamine anesthesia. Lower
false outcome ratio in TEOAE measurements made propofol a better option than
ketamine.
PMID- 27198667
TI - Concordant Patterns of Brain Structure in Mothers with Recurrent Depression and
Their Never-Depressed Daughters.
AB - BACKGROUND: A growing body of research has demonstrated that having a mother with
a history of major depressive disorder (MDD) is one of the strongest predictors
of depression in adolescent offspring. Few studies, however, have assessed neural
markers of this increased risk for depression, or examined whether risk-related
anomalies in adolescents at maternal risk for depression are related to neural
abnormalities in their depressed mothers. We addressed these questions by
examining concordance in brain structure in two groups of participants: mothers
with a history of depression and their never-depressed daughters, and never
depressed mothers and their never-depressed daughters. METHOD: We scanned mothers
with (remitted; RMD) and without (control; CTL) a history of recurrent episodes
of depression and their never-depressed daughters, computed cortical gray matter
thickness, and tested whether mothers' thickness predicted daughters' thickness.
RESULTS: Both RMD mothers and their high-risk daughters exhibited focal areas of
thinner cortical gray matter compared with their CTL/low-risk counterparts.
Importantly, the extent of thickness anomalies in RMD mothers predicted analogous
abnormalities in their daughters; this pattern was not present in CTL/low-risk
dyads. CONCLUSIONS: We identified neuroanatomical risk factors that may underlie
the intergenerational transmission of risk for MDD. Our findings suggest that
there is concordance in brain structure in dyads that is affected by maternal
depression, and that the location, direction, and extent of neural anomalies in
high-risk offspring mirror those of their recurrent depressed mothers.
PMID- 27198670
TI - Respiratory medicine: a call for papers.
PMID- 27198672
TI - Current Information Gaps in Micronutrient Research, Programs and Policy: How Can
We Fill Them?
AB - Micronutrient (MN) interventions have a very positive effect on public health and
have been a major focus of nutrition research and policy for over 3 decades. Most
MN policies are established by the World Health Organization based on available
evidence from well-designed trials. These include recommendations on iron + folic
acid supplements for pregnancy, high-dose vitamin A supplementation for children
<5 years, multiple MN supplementation in young children, food fortification, and
universal salt iodization. However, important gaps remain in the evidence base,
some periods of the life span have been paid insufficient attention, and some MN
policies are incomplete or inconsistent. Examples include the pending decision
about whether to recommend multiple MN supplementation in pregnancy or
preconception, a lack of information about whether supplementation of lactating
women improves breast milk quality and infant development, uncertainty about when
and where fortification of complementary foods or supplements is beneficial to
preschoolers, and whether folic acid fortification can be harmful in population
groups with a high prevalence of vitamin B12 deficiency. The most effective dose
of MNs has rarely been tested systematically. MN interventions alone are not very
effective for improving the growth and development of young children. Newer
methods for the analysis of MNs in breast milk are revealing low concentrations
in many populations, so more information is needed on the effects of different
interventions on milk nutrient content. We need to improve biomarkers of MN
status and should measure multiple biological responses to MN interventions using
modern nutritional science methods, including metabolomics, proteomics and
epigenetics; these will reveal effects of MNs that are not yet fully appreciated.
PMID- 27198671
TI - Reformulation of Fungizone by PEG-DSPE Micelles: Deaggregation and Detoxification
of Amphotericin B.
AB - PURPOSE: Fungizone(r) (AmB-SD), amphotericin B solubilized by sodium
deoxycholate, contains a highly aggregated form of the antifungal agent that
causes dose-limiting renal toxicity. With the aim of reducing the formulation's
toxicity by co-delivering monomeric amphotericin B (AmB) and sodium
supplementation, we deaggregated AmB-SD with FDA-approved excipient PEG-DSPE in
0.9% NaCl-USP. Herein, we describe a reformulated AmB-SD with PEG-DSPE micelles
that results in a less toxic drug with maintained antifungal activity. METHODS:
We compared the aggregation state and particle size of AmB-SD alone or combined
with PEG-DSPE micelles. In vitro hemolytic activity and in vivo renal toxicity
were measured to determine the toxicity of different formulations. In vitro
antifungal assays were performed to determine differences in efficacy among
formulations. RESULTS: PEG-DSPE micelles in saline deaggregated AmB-SD.
Deaggregated AmB-SD exhibited significantly reduced in vitro and in vivo
toxicity. In vitro antifungal studies showed no difference in minimum inhibitory
and fungicidal concentrations of AmB-SD combined with PEG-DSPE relative to the
drug alone. CONCLUSIONS: Reformulation of AmB-SD with PEG-DSPE micelles in saline
facilitates co-delivery of monomeric AmB and sodium supplementation, potentially
reducing the dose-limiting nephrotoxicity of AmB-SD. Ease of preparation and
commercially available components lead us to acknowledge its potential for
clinical use.
PMID- 27198673
TI - Asymmetric division of clonal muscle stem cells coordinates muscle regeneration
in vivo.
AB - Skeletal muscle is an example of a tissue that deploys a self-renewing stem cell,
the satellite cell, to effect regeneration. Recent in vitro studies have
highlighted a role for asymmetric divisions in renewing rare "immortal" stem
cells and generating a clonal population of differentiation-competent myoblasts.
However, this model currently lacks in vivo validation. We define a zebrafish
muscle stem cell population analogous to the mammalian satellite cell and image
the entire process of muscle regeneration from injury to fiber replacement in
vivo. This analysis reveals complex interactions between satellite cells and both
injured and uninjured fibers and provides in vivo evidence for the asymmetric
division of satellite cells driving both self-renewal and regeneration via a
clonally restricted progenitor pool.
PMID- 27198674
TI - Pore chemistry and size control in hybrid porous materials for acetylene capture
from ethylene.
AB - The trade-off between physical adsorption capacity and selectivity of porous
materials is a major barrier for efficient gas separation and purification
through physisorption. We report control over pore chemistry and size in metal
coordination networks with hexafluorosilicate and organic linkers for the purpose
of preferential binding and orderly assembly of acetylene molecules through
cooperative host-guest and/or guest-guest interactions. The specific binding
sites for acetylene are validated by modeling and neutron powder diffraction
studies. The energies associated with these binding interactions afford high
adsorption capacity (2.1 millimoles per gram at 0.025 bar) and selectivity (39.7
to 44.8) for acetylene at ambient conditions. Their efficiency for the separation
of acetylene/ethylene mixtures is demonstrated by experimental breakthrough
curves (0.73 millimoles per gram from a 1/99 mixture).
PMID- 27198675
TI - Targeting of cancer neoantigens with donor-derived T cell receptor repertoires.
AB - Accumulating evidence suggests that clinically efficacious cancer immunotherapies
are driven by T cell reactivity against DNA mutation-derived neoantigens.
However, among the large number of predicted neoantigens, only a minority is
recognized by autologous patient T cells, and strategies to broaden neoantigen
specific T cell responses are therefore attractive. We found that naive T cell
repertoires of healthy blood donors provide a source of neoantigen-specific T
cells, responding to 11 of 57 predicted human leukocyte antigen (HLA)-A*02:01
binding epitopes from three patients. Many of the T cell reactivities involved
epitopes that in vivo were neglected by patient autologous tumor-infiltrating
lymphocytes. Finally, T cells redirected with T cell receptors identified from
donor-derived T cells efficiently recognized patient-derived melanoma cells
harboring the relevant mutations, providing a rationale for the use of such
"outsourced" immune responses in cancer immunotherapy.
PMID- 27198676
TI - Glutathione S-transferase A1 - a sensitive marker of alcoholic injury on primary
hepatocytes.
AB - The primary hepatocytes were extracted and purified from mice through improved
Seglen two-step perfusion method. Ethanol-induced injury hepatocytes model in
mice was used to investigate the importance of glutathione S-transferase A1
(GSTA1) in hepatocytes injury by comparison with other indicators, such as
alanine aminotransferase, aspartate aminotransferase, malondialdehyde,
glutathione and superoxide dismutase. The release of GSTA1 was demonstrated to be
an earlier and more sensitive indicator of hepatocytes injury than other
indicators. Significant increases in GSTA1 were detected at 2 h after ethanol
exposure, while other indicators were undetected at this time. A markedly
difference in other indicators were observed at 6 and 8 h. The release of GSTA1
was significantly increased at a concentration of 50 mmol/L ethanol, the lowest
exposure concentration than that in other indicators. In contrast, other
indicators release was not statistically significant until concentrations of 75
mmol/L and 100 mmol/L ethanol. These results suggest that GSTA1 can be detected
at the early stage of low concentration ethanol exposure and that GSTA1 is more
sensitive and reliable marker in ethanol-induced hepatic injury.
PMID- 27198677
TI - Micronucleus as biomarkers of cancer risk in anabolic androgenic steroids users.
AB - The use of anabolic androgenic steroids (AAS) has grown among practitioners of
recreational bodybuilding, with significant contributions of designer steroids,
aiming muscle hypertrophy in healthy subjects. The abusive use of AAS in general
is associated with adverse effects; one of the most worrisome is cancer
development. The aim of this study was to evaluate the effectiveness of the
cytokinesis block micronucleus (CBMN) test in human lymphocytes in identifying
risk groups for cancer development in users of AAS. Blood was collected from 15
AAS users bodybuilders (G1), 20 non-users bodybuilders (G2) and 20 non-users
sedentary (G3). MN analysis was performed on a minimum of 1000 binucleated
lymphocytes. The occurrence of MN was significantly higher ( p < 0.05) in
individuals of G1 compared to G2 and G3. The results indicate the sensitivity of
CBMN in human lymphocytes in the identification of chromosomal damage in
consequence of AAS.
PMID- 27198678
TI - Comparison of cytotoxicity test models for evaluating resin-based composites.
AB - OBJECTIVES: This study compared different cytotoxicity test models for evaluating
resin-based composites (RBCs) and assessed the biocompatibility of standard and
bulk-fill RBCs. METHODS: A standard (spectrum TPH) and a bulk-fill (smart dentin
replacement (SDR)) RBC were selected. Disc-shaped specimens (7 mm diameter) of 2
and 4 mm thickness were polymerized for 20 s with a LED curing light of 700
mW/cm2 irradiance. The specimens ( n = 5) were subjected to micro-hardness
testing and three cytotoxicity test models (direct contact, indirect contact and
extract tests) with the established L-929 cell line. Hardness ratios of top and
bottom surfaces of specimens were computed to assess the effectiveness of cure.
For the direct and indirect contact tests, the cells were stained and zones of
inhibition were analyzed after material contact for 24 h. For the extract test,
cells were exposed to extracts for 24 h, and cell viability was measured. Data
was analyzed using analysis of variance/Scheffe's post hoc test and Pearson's
correlation ( p < 0.05). RESULTS: The lowest mean hardness ratio and highest
cytotoxicity were observed for TPH at 4 mm. At 4-mm thickness, SDR was found to
be biocompatible with all three models. Correlations between hardness ratio and
cell viability ranged from r = 0.89-0.96 for the various tests. A significant
correlation ( r = 0.97) was also observed between the three test models.
CONCLUSION: Our data indicated consistency between direct contact, indirect
contact and extract test models for cytotoxicity testing of RBCs. Bulk placement
and curing at 4 mm for the bulk-fill RBC evaluated did not result in undue
cytotoxicity.
PMID- 27198679
TI - Bioresorbable Scaffolds for the Management of Coronary Bifurcation Lesions.
AB - The use of bioresorbable scaffolds (BRS) may be associated with benefits
including restoration of endothelial function, positive vessel remodeling, and
reduced risk for very late (stent) thrombosis compared with metallic stents by
virtue of their complete absorption within 3 to 4 years of implantation. When
treating bifurcation lesions, these advantages may be even more pronounced. The
aim of this review is to summarize current experiences and technical
considerations of bifurcation treatment with BRS. Because of the physical
properties of current-generation BRS, there are concerns with regard to the
efficacy and safety of this novel technology for the treatment of bifurcations,
with the potential for increased rates of scaffold thrombosis and side-branch
occlusions, and as a consequence, bifurcations have been excluded from the major
BRS trials. Nevertheless, BRS have been used for this indication in clinical
practice, as evidenced by "real-world" registries. Considering the potential
limitations, specific technical considerations and modified bifurcation
strategies should be used in an attempt to attenuate problems and achieve optimal
procedural and clinical outcomes.
PMID- 27198680
TI - Angiographic and Clinical Outcomes After Everolimus-Eluting Stenting for
Unprotected Left Main Disease and High Anatomic Coronary Complexity.
AB - OBJECTIVES: This study determined angiographic and clinical outcomes after
everolimus-eluting stent (EES)-supported percutaneous coronary intervention for
unprotected left main disease (ULMD) and high SYNTAX (SYNergy between PCI with
TAXus and Cardiac Surgery) trial score (>=33). BACKGROUND: The SYNTAX trial has
shown the superiority of coronary surgery over percutaneous coronary intervention
(PCI) in patients with ULMD and complex coronary anatomy. It has been
hypothesized that, if newer generation drug-eluting stents had been used in the
SYNTAX trial, there would have been a significant reduction in clinical events.
METHODS: Patients had angiograms scored according to the SYNTAX score algorithm
and were divided into 2 groups: those with SYNTAX score of >=33 and those with
<33. The main endpoints were ULMD restenosis and 3-year cardiac mortality.
RESULTS: From May 2008 to July 2014, 393 patients underwent EES implantation for
ULMD (181 patients had a SYNTAX score >=33, whereas 212 patients had a SYNTAX
score <33). Overall, the restenosis rate was 4.9% (6% in SYNTAX patients scoring
>=33 and 4.1% in SYNTAX patients scoring <33; p = 0.399). On multivariate
analysis, the only variable related to restenosis was stent length (odds ratio
[OR]: 1.06; 95% confidence interval [CI]: 1.02 to 1.09; p = 0.002). Three-year
cardiac survival rates were 99 +/- 1% and 98 +/- 2% in patients with European
system for cardiac operative risk evaluation (EuroSCORE) <6 and SYNTAX <33 and
>=33, respectively, and 90 +/- 3% and 87 +/- 3% in patients with a EuroSCORE >6
and SYNTAX score <33 and >=33, respectively. EuroSCORE was strongly related to
cardiac mortality, while the SYNTAX score >=33 was not both in patients with a
EuroSCORE <6 or >=6, and there were no interactions between EuroSCORE and SYNTAX
score >=33. CONCLUSIONS: For ULMD patients, high anatomical complexity as defined
by a SYNTAX score >=33 is not predictive of clinical outcome after PCI. (TAXUS
Drug-Eluting Stent Versus Coronary Artery Bypass Surgery for the Treatment of
Narrowed Arteries [SYNTAX]; NCT00114972).
PMID- 27198681
TI - Navigating Optimal Revascularization for Unprotected Left Main Disease in
Changing Interventional Seas.
PMID- 27198682
TI - Utilizing Post-Intervention Fractional Flow Reserve to Optimize Acute Results and
the Relationship to Long-Term Outcomes.
AB - OBJECTIVES: This study sought to evaluate the impact of fractional flow reserve
(FFR) after percutaneous coronary intervention (PCI) on subsequent in-lab
interventional management vessels that had undergone pre-PCI FFR and its
prognostic value in predicting long-term (>1 year) outcomes. BACKGROUND: Post-PCI
FFR has been shown to be a predictor of intermediate-term (6 months) adverse
events. However, its impact on immediate post procedure clinical decision making
and long-term outcomes is not known. METHODS: Consecutive patients undergoing PCI
who had pre- and post-PCI FFR evaluations were followed for major adverse
cardiovascular events (MACE). RESULTS: In the study 574 patients (664 lesions)
were followed for 31 +/- 16 months. PCI led to significant improvement in FFR
from 0.65 +/- 0.14 to 0.87 +/- 0.08 (p < 0.0001). Despite satisfactory
angiographic appearance, 143 lesions (21%) demonstrated post-PCI FFR in the
ischemic range (FFR <=0.81). After subsequent interventions, FFR in this subgroup
increased from 0.78 +/- 0.08 to 0.87 +/- 0.06 (p < 0.0001). Final FFR cutoff of
<=0.86 had the best predictive accuracy for MACE and <=0.85 for TVR. Patients who
achieved final FFR >0.86 had significantly lower MACE compared to the final FFR
<=0.86 group (17% vs. 23%; log-rank p = 0.02). Final FFR <=0.86 had incremental
prognostic value over clinical and angiographic variables for MACE prediction.
CONCLUSIONS: Post-PCI FFR reclassified 20% of angiographically satisfactory
lesions, which required further intervention thereby providing an opportunity for
complete functional optimization at the time of the index procedure. This is
particularly important as FFR post-PCI FFR was a powerful independent predictor
of long-term outcomes.
PMID- 27198683
TI - Why Is Fractional Flow Reserve After Percutaneous Coronary Intervention Not
Always 1.0?
PMID- 27198684
TI - Optimal P2Y12 Inhibitor in Patients With ST-Segment Elevation Myocardial
Infarction Undergoing Primary Percutaneous Coronary Intervention: A Network Meta
Analysis.
AB - OBJECTIVES: The study sought to compare the clinical efficacy and safety of P2Y12
inhibitors in patients with ST-segment elevation myocardial infarction (STEMI)
undergoing primary percutaneous intervention (PPCI). BACKGROUND: Limited data
exist regarding the comparative efficacy and safety of P2Y12 inhibitors in STEMI
patients undergoing PPCI. METHODS: Clinical trials enrolling STEMI patients were
identified and relevant data was extracted. Major adverse cardiovascular events
(MACE) were defined as the composite of all cause mortality, MI, and target
vessel revascularization. Network meta-analysis was performed using Bayesian
methods. RESULTS: A total of 37 studies with 88,402 STEMI patients and 5,077 MACE
were analyzed. Outcomes at 1 month (22 studies and 60,783 patients) suggest that
prasugrel was associated with: lower MACE than clopidogrel (standard dose odds
ratio [OR]: 0.59, 95% confidence interval [CI]: 0.50 to 0.69; high-dose OR: 0.60,
95% CI: 0.51 to 0.71; upstream OR: 0.79, 95% CI: 0.66 to 0.94), and ticagrelor
(standard dose OR: 0.69, 95% CI: 0.56 to 0.84; upstream OR: 0.72, 95% CI: 0.50 to
1.05); lower mortality and MI than clopidogrel and standard ticagrelor; lower
stroke risk than standard clopidogrel and standard or upstream ticagrelor; and
lower stent thrombosis than standard or upstream clopidogrel. At 1-year (10
studies, n = 40,333) prasugrel was associated with lower mortality and MACE than
other P2Y12 inhibitors. MACE was particularly lower with prasugrel in studies
where patients received bivalirudin, drug-eluting stents, and but not
glycoprotein IIb/IIIa inhibitor. CONCLUSIONS: In STEMI patients undergoing PPCI,
prasugrel and ticagrelor are more efficacious than clopidogrel; in addition,
prasugrel was superior to ticagrelor particularly in conjunction with bivalirudin
and drug-eluting stents.
PMID- 27198685
TI - Optimal P2Y12 Inhibitor for Primary Percutaneous Coronary Intervention in ST
Segment Elevation Myocardial Infarction: Network Meta-Analysis in the Data-Free
Zone: Do You Believe in Magic?
PMID- 27198687
TI - Clinical Significance of Leaks Following Left Atrial Appendage Ligation With the
LARIAT Suture Delivery Device.
PMID- 27198686
TI - Clinical Implications of Leaks Following Left Atrial Appendage Ligation With the
LARIAT Device.
AB - OBJECTIVES: The aim of this study was to evaluate the incidence and clinical
implications of leaks (acute incomplete occlusion, early and late reopenings)
following LAA ligation with the LARIAT device. BACKGROUND: Percutaneous LAA
ligation with the LARIAT device may represent an alternative for stroke
prevention in high-risk patients with atrial fibrillation with contraindications
to oral anticoagulation. METHODS: This was a retrospective, multicenter study of
98 consecutive patients undergoing successful LAA ligation with the LARIAT
device. Leaks were defined as the presence of flow as evaluated by
transesophageal echocardiography (TEE). TEE was performed during the procedure,
at 6 and 12 months, and after thromboembolic events. RESULTS: Leaks were detected
in 5 (5%), 14 (15%), and 19 (20%) patients at the 3 time points. During follow
up, 5 patients developed neurological events (4 strokes and 1 transient ischemic
attack). Two occurred early (1 fatal stroke and 1 stroke with multiple
recurrences in the following months), and TEE was not repeated after the events.
The remaining 3 occurred late (after 6 months) and were associated with small
leaks (<5 mm). In 2 of 3 cases, such a small leak was missed by the standard
evaluation on 2-dimensional TEE, being evident only with the aid of 3-dimensional
imaging. CONCLUSIONS: Incomplete occlusion of the LAA after LARIAT ligation is
relatively common and may be associated with thromboembolic events. Proper long
term surveillance with careful TEE should be considered to detect leaks, which
can be managed with either resumption of oral anticoagulation or percutaneous
transcatheter closure.
PMID- 27198688
TI - Reply: Importance of Cost-Comparison Analysis in Comparing Operative and
Tanscatheter Closure of Atrial Septal Defects.
PMID- 27198689
TI - Importance of Cost-Comparison Analysis in Comparing Operative and Tanscatheter
Closure of Atrial Septal Defects.
PMID- 27198690
TI - So We Have Bioresorbable Scaffolds: Now What?
PMID- 27198691
TI - Acute Heart Failure Caused by Dislocation of a WATCHMAN Left Atrial Appendage
Occluder.
PMID- 27198692
TI - Helicobacter pylori promotes angiogenesis depending on Wnt/beta-catenin-mediated
vascular endothelial growth factor via the cyclooxygenase-2 pathway in gastric
cancer.
AB - BACKGROUND: Helicobacter pylori is an important pathogenic factor in gastric
carcinogenesis. Angiogenesis (i.e., the growth of new blood vessels) is closely
associated with the incidence and development of gastric cancer. Our previous
study found that COX-2 stimulates gastric cancer cells to induce expression of
the angiogenic growth factor VEGF through an unknown mechanism. Therefore, the
aim of this study was to clarify the role of angiogenesis in H. pylori-induced
gastric cancer development. METHODS: To clarify the relationship between H.
pylori infection and angiogenesis, we first investigated H. pylori colonization,
COX-2, VEGF, beta-catenin expression, and microvessel density (MVD) in gastric
cancer tissues from 106 patients. In addition, COX-2, phospho-beta-catenin, and
beta-catenin expression were measured by western blotting, and VEGF expression
was measured by ELISA in H. pylori-infected SGC7901 and MKN45 human gastric
cancer cells. RESULTS: H. pylori colonization occurred in 36.8 % of gastric
carcinoma samples. Furthermore, COX-2, beta-catenin, and VEGF expression, and MVD
were significantly higher in H. pylori-positive gastric cancer tissues than in H.
pylori-negative gastric cancer tissues (P < 0.01). H. pylori infection was not
related to sex or age in gastric cancer patients, but correlated with the depth
of tumor invasion, lymph node metastasis, and tumor-node-metastasis stage (P <
0.05) and correlated with the COX-2 expression and beta-catenin expression(P <
0.01). Further cell experiments confirmed that H. pylori infection upregulated
VEGF in vitro. Further analysis revealed that H. pylori-induced VEGF expression
was mediated by COX-2 via activation of the Wnt/beta-catenin pathway.
CONCLUSIONS: The COX-2/Wnt/beta-catenin/VEGF pathway plays an important role in
H. pylori-associated gastric cancer development. The COX-2/Wnt/beta-catenin
pathway is therefore a novel therapeutic target for H. pylori-associated gastric
cancers.
PMID- 27198693
TI - Comparative metabolomic analysis reveals a reactive oxygen species-dominated
dynamic model underlying chilling environment adaptation and tolerance in rice.
AB - Cold, a major environmental stress for plants, has been studied intensively for
decades. Its response system has been revealed, especially at the transcriptional
level. The mechanisms underlying recovery growth and environmental adaptation,
however, remain unknown. Taking advantage of a naturally existing system, two
subspecies of Asian cultivated rice (Oryza sativa) with significant divergence in
chilling tolerance, we analyzed representative japonica and indica varieties,
Nipponbare and 93-11, using comparative metabolomic analysis at six time points
covering chilling treatment and recovery. In total, 223 known metabolites were
detected. During chilling treatment, significant biochemical changes were
centered on antioxidation. During recovery, a wide-ranging chilling response was
observed. Large-scale amino acid accumulation occurred, consistent with the
appearance of chilling injury. At the mid-treatment stage, the accumulation of
antioxidation-related compounds appeared earlier in Nipponbare than in 93-11,
consistent with the higher reactive oxygen species (ROS) levels in japonica vs
indica varieties. A significant contribution of ROS-mediated gene regulation,
rather than the C-repeat binding factor/dehydration-responsive-element binding
factor (CBF/DREB) regulon, to the more vigorous transcriptional stress response
in Nipponbare was revealed by RNA-seq. Accordingly, during recovery, the
induction of stress-tolerant-related metabolites was more active in the chilling
tolerant variety Nipponbare. Senescence-related compounds accumulated only in the
chilling-sensitive variety 93-11. Our study uncovers the dynamic metabolic models
underlying chilling response and recovery, and reveals a ROS-dominated rice
adaptation mechanism to low-temperature environments.
PMID- 27198695
TI - Patient care worsens as NHS deficits persist.
PMID- 27198694
TI - Reconstruction of gene regulatory networks reveals chromatin remodelers and key
transcription factors in tumorigenesis.
AB - BACKGROUND: Alterations in genetic and epigenetic landscapes are known to
contribute to the development of different types of cancer. However, the
mechanistic links between transcription factors and the epigenome which
coordinate the deregulation of gene networks during cell transformation are
largely unknown. METHODS: We used an isogenic model of stepwise tumorigenic
transformation of human primary cells to monitor the progressive deregulation of
gene networks upon immortalization and oncogene-induced transformation. We
applied a systems biology approach by combining transcriptome and epigenome data
for each step during transformation and integrated transcription factor-target
gene associations in order to reconstruct the gene regulatory networks that are
at the basis of the transformation process. RESULTS: We identified 142
transcription factors and 24 chromatin remodelers/modifiers (CRMs) which are
preferentially associated with specific co-expression pathways that originate
from deregulated gene programming during tumorigenesis. These transcription
factors are involved in the regulation of divers processes, including cell
differentiation, the immune response, and the establishment/modification of the
epigenome. Unexpectedly, the analysis of chromatin state dynamics revealed
patterns that distinguish groups of genes which are not only co-regulated but
also functionally related. Decortication of transcription factor targets enabled
us to define potential key regulators of cell transformation which are engaged in
RNA metabolism and chromatin remodeling. CONCLUSIONS: We reconstructed gene
regulatory networks that reveal the alterations occurring during human cellular
tumorigenesis. Using these networks we predicted and validated several
transcription factors as key players for the establishment of tumorigenic traits
of transformed cells. Our study suggests a direct implication of CRMs in oncogene
induced tumorigenesis and identifies new CRMs involved in this process. This is
the first comprehensive view of the gene regulatory network that is altered
during the process of stepwise human cellular tumorigenesis in a virtually
isogenic system.
PMID- 27198696
TI - Loss of Biventricular Pacing: When Common Problems have Unusual Remedies.
PMID- 27198697
TI - Postnatal Development of the Degu (Octodon degus) Endocrine Stress Response Is
Affected by Maternal Care.
AB - Maternal stress and care significantly affect offspring's future behavior and
physiology. Studies in laboratory rats have shown that maternal stress decreases
maternal care and that low rates of certain maternal behaviors cause offspring to
develop hyperreactive stress responses. Plurally breeding rodents that practice
communal care, such as degus (Octodon degus), may be able to buffer some of these
effects since offspring receive care from multiple females. Directly after
parturition, 0% (Control group), 50% (Mixed group), or 100% (CORT group) per cage
of pair-housed female degus were implanted with 21-day release cortisol pellets.
The amount of maternal care provided by females was determined from video
recordings during the next 3 weeks. Females with cortisol implants did not alter
rates of maternal care. However, females recently introduced to captivity had low
rates of pup contact and pup retrievals compared to females of captive origin.
When pups reached 4 weeks of age, we determined their baseline and stress-induced
cortisol levels, in addition to assessing their negative feedback efficacy and
adrenal sensitivity. Pups from mothers recently introduced to captivity had weak
negative feedback. Within captive pups, those from CORT mothers weighed less
compared to pups from either Control or Mixed mothers. Captive CORT pups also had
weak adrenal sensitivity compared to captive Control pups. These findings
demonstrate that maternal care and glucocorticoid elevation impact certain
components of the degu pup stress response, but that plural breeding with
communal care may buffer some of these effects.
PMID- 27198698
TI - Antenatal diagnosis of idiopathic dilatation of pulmonary artery with 3D power
doppler imaging.
AB - We present a case of antenatal diagnosis of idiopathic dilatation of the
pulmonary artery by three-dimensional power Doppler imaging (HDliveFlow with
silhouette mode). Two-dimensional sonography clearly demonstrated a 10.4-mm
diameter fetal pulmonary artery (PA), whereas the aorta (Ao) diameter was 5.04
mm. HDliveFlow clearly demonstrated the spatial relationships and different sizes
of PA and Ao. The PA size returned to normal (8.0 mm) on the 11th day after birth
(Ao: 9.0 mm). HDliveFlow may be an adjunctive tool to two-dimensional sonography
to diagnose abnormalities of fetal great vessels. (c) 2016 Wiley Periodicals,
Inc. J Clin Ultrasound 45:121-123, 2017.
PMID- 27198699
TI - Arachnophobia alleviated by subthalamic nucleus stimulation for Parkinson's
disease.
AB - We report on a Parkinson patient with motor fluctuations and dyskinesias in whom
deep brain stimulation (DBS) of the subthalamic nucleus (STN) not only improved
motor symptoms but also pre-existing arachnophobia. Arachnophobia had been
unchanged by the course of Parkinson's disease but rapidly improved with STN-DBS.
Both, motor effects and the improvement of arachnophobia were stable during 2
years follow-up. To our knowledge this is the first report on STN stimulation
effects on a specific phobia.
PMID- 27198701
TI - Leadership metaphors.
AB - Purpose This paper aims to suggest that the language typically used about
leadership in healthcare tells us something important about how we see it.
Design/methodology/approach Three main metaphors currently adopted for healthcare
leadership purposes are explored - military, sporting and finance. Findings The
language used about leadership sustains the way the world is seen. A more life
affirming use of language is possible, which more accurately reflects what
healthcare is about. Originality/value The paper builds on the work of Gareth
Morgan in applying the use of metaphors to healthcare leadership.
PMID- 27198702
TI - Assessing the role of GPs in Nordic health care systems.
AB - Purpose This paper examines the changing role of general practitioners (GPs) in
Nordic countries of Sweden, Norway and Denmark. It aims to explore the "gate
keeping" role of GPs in the face of current changes in the health care delivery
systems in these countries. Design/methodology/approach Data were collected from
existing literature, interviews with GPs, hospital specialists and
representatives of Danish regions and Norwegian Medical Association. Findings The
paper contends that in all these changes, the position of the GPs in the medical
division of labor has been strengthened, and patients now have increased and
broadened access to choice. Research limitations/implications Health care cost
and high cancer mortality rates have forced Nordic countries of Sweden, Norway
and Denmark to rethink their health care systems. Several attempts have been made
to reduce health care cost through market reform and by strenghtening the
position of GPs. The evidence suggests that in Norway and Denmark, right
incentives are in place to achieve this goal. Sweden is not far behind. The paper
has limitations of a small sample size and an exclusive focus on GPs. Practical
implications Anecdotal evidence suggests that physicians are becoming extremely
unhappy. Understanding the changing status of primary care physicians will yield
valuable information for assessing the effectiveness of Nordic health care
delivery systems. Social implications This study has wider implications of how
GPs see their role as potential gatekeepers in the Nordic health care systems.
The role of GPs is changing as a result of recent health care reforms.
Originality/value This paper contends that in Norway and Denmark, right
incentives are in place to strengthen the position of GPs.
PMID- 27198700
TI - Disease-specific longevity of impulse generators in deep brain stimulation and
review of the literature.
AB - Deep brain stimulation (DBS) represents an established and internationally
approved therapy for movement disorders. In the present retrospective analysis,
we evaluated disease-specific longevity of dual channel impulse generators (IPG)
used in different movement disorders. We correlated the battery lifetime with
electrical stimulation settings, "total electrical energy delivered" (TEED),
stimulation modi (monopolar, double monopolar and bipolar) and targets.
Specifically, we reviewed the longevity and stimulation settings of 464 IPGs
implanted between 1996 until 2011 in a single university center. Disease entities
comprised Parkinson's disease (PD, n = 257), dystonia (n = 130) and essential
tremor (ET, n = 50). Further subanalyses aimed at assessing differential
longevity in different subtypes of PD and dystonia. The main finding relates to
longer IPG longevity in ET (thalamic DBS) and PD (subthalamic DBS) vs. dystonia
(pallidal DBS; 71.9 +/- 6.7 vs. 51.5 +/- 2.3 vs. 37 +/- 2 months). In PD the
tremor-dominant type was associated with a significant shorter battery survival
than in the akinetic-rigid type without tremor or the "balanced" type with
tremor, bradykinesia and rigidity (38.8 +/- 3.9 vs. 53.6 +/- 3.4 vs. 58.8 +/- 4.1
months), while there were no significant differences in longevity between the
subtypes of dystonia. Frequency, amplitude, pulse widths and TEED correlated
inversely with battery lifetime. Pallidal DBS in dystonia is associated with a
shorter lifetime of IPGs than subthalamic or thalamic DBS for PD or ET. The
present results may contribute to the rapidly evolving refinement of DBS devices.
Future studies that assess energy consumption both in patients with and without
IPG replacement could help to avoid potential underestimation of longevity of
IPGs.
PMID- 27198703
TI - Leading change: introducing an electronic medical record system to a paramedic
service.
AB - Purpose Leaders in health-care organizations introducing electronic medical
records (EMRs) face implementation challenges. The adoption of EMR by the
emergency medical and ambulance setting is expected to provide wide-ranging
benefits, but there is little research into the processes of adoption in this
sector. The purpose of this study is to examine the introduction of EMR in a
small emergency care organization and identify factors that aided adoption.
Design/methodology/approach Semi-structured interviews with selected paramedics
were followed up with a survey issued to all paramedics in the company. Findings
The user interfaces with the EMR, and perceived ease of use, were important
factors affecting adoption. Individual paramedics were found to have strong and
varied preferences about how and when they integrated the EMR into their
practice. As company leadership introduced flexibility of use, this enhanced both
individual and collective ability to make sense of the change and removed
barriers to acceptance. Research limitations/implications This is a case study of
one small organization. However, there may be useful lessons for other emergency
care organizations adopting EMR. Practical implications Leaders introducing EMR
in similar situations may benefit from considering a sense-making perspective and
responding promptly to feedback. Originality/value The study contributes to a
wider understanding of issues faced by leaders who seek to implement EMRs in
emergency medical services, a sector in which there has been to date very little
research on this issue.
PMID- 27198704
TI - Healthcare under the Panchayati Raj Institutions (PRIs) in a decentralised health
system.
AB - Purpose This paper aims to explore the challenges and benefits arising from the
involvement of Panchayati Raj Institutions (PRIs) in the provisioning of primary
healthcare in a decentralised health system of India. Design/methodology/approach
A qualitative study design was used in this study. Data were collected through
semi-structured interviews from 89 respondents selected from nine primary health
centres across the district. A thematic analytical framework approach was used to
analyse the data. Findings The research results indicate that there are several
challenges resulting from PRIs involvement, including prioritisation of service
providers and users, coercive unethical work and lack of communication. However,
there are some benefits associated with the involvement of the PRIs in service
provisioning, including improved availability and regularity of healthcare
providers at the health centres. Research limitations/implications The
implications of the findings suggest that the PRIs play an important role in
healthcare provisioning; however, their involvement is ineffective due to their
partial capabilities and approach, which creates a non-conducive environment.
Practical implications Health issues are among the most important human concerns,
and recognising and addressing the grassroot challenges help to locate, and
overcome the challenges that hinder the smooth healthcare provisioning process.
Originality/value National Rural Health Mission has recognised the PRIs as a
platform to promote decentralised health planning and for achieving its goals in
India. The PRIs are significantly involved in planning, monitoring and
provisioning of primary healthcare services at grassroot level. This paper
addresses the challenges and benefits that emerged due to their involvement.
PMID- 27198705
TI - Uncovering paradoxes from physicians' experiences of patient-centered ward-round.
AB - Purpose The purpose of this paper is to uncover paradoxes emerging from
physicians' experiences of a patient-centered and team-based ward round, in an
internal medicine department. Design/methodology/approach Abductive reasoning
relates empirical material to complex responsive processes theory in a
dialectical process to further understandings. Findings This paper found the
response from physicians, to a patient-centered and team-based ward round,
related to whether the new demands challenged or confirmed individual physician's
professional identity. Two empirically divergent perspectives on enacting the
role of physician during ward round emerged: We-perspective and I-perspective,
based on where the physician's professional identity was centered. Physicians
with more of a We-perspective experienced challenges with the new round, while
physicians with more of an I-perspective experienced alignment with their
professional identity and embraced the new round. When identity is challenged,
anxiety is aroused, and if anxiety is not catered to, then resistance is likely
to follow and changes are likely to be hampered. Practical implications For
change processes affecting physicians' professional identity, it is important for
managers and change leaders to acknowledge paradox and find a balance between new
knowledge that needs to be learnt and who the physician is becoming in this new
procedure. Originality/value This paper provides increased understanding about
how physicians' professional identity is interacting with a patient-centered ward
round. It adds to the knowledge about developing health care in line with recent
societal requests and with sustainable physician engagement.
PMID- 27198706
TI - Healthcare managers' leadership profiles in relation to perceptions of work
stressors and stress.
AB - Purpose The purpose of this study is to investigate the relationship between
leadership profiles and differences in managers' own levels of work stress
symptoms and perceptions of work stressors causing stress.
Design/methodology/approach Cross-sectional data were used. Healthcare managers (
n = 188) rated three dimensions of their leadership behavior and levels of work
stressors and stress. Hierarchical cluster analysis was performed to identify
leadership profiles based on leadership behaviors. Differences in stress-related
outcomes between profiles were assessed using one-way analysis of variance.
Findings Four distinct clusters of leadership profiles were found. They
discriminated in perception of work stressors and stress: the profile
distinguished by the lowest mean in all behavior dimensions, exhibited a pattern
with significantly more negative ratings compared to the other profiles.
Practical implications This paper proposes that leadership profile is an
individual factor involved in the stress process, including work stressors and
stress, which may inform targeted health promoting interventions for healthcare
managers. Originality/value This is the first study to investigate the
relationship between leadership profiles and work stressors and stress in
healthcare managers.
PMID- 27198707
TI - Exploring leadership in the context of dentistry in the UK.
AB - Purpose The purpose of this paper is to explore selective leadership approaches
in the context of dentistry in the UK. Design/methodology/approach This is a
conceptual paper utilising published sources from relevant literature about
leadership theory and practice and the policy background to dentistry in the UK.
Findings This paper suggests that there is merit in identifying and applying an
eclectic mix of leadership theory to the case of dentistry. It offers insight
into individual aspects of the leadership role for dentists and applies this to
the dental context. It also contrasts these individual approaches with shared
leadership and suggests this may also be relevant to dentistry. It highlights the
fact that leadership will be of growing concern for dentistry in the light of
recent policy changes. Research limitations/implications This paper points out
that there are developmental implications depending on the particular approach
taken. It argues that leadership development will become increasingly important
in dentistry in the UK. Originality/value This paper addresses a topic that has
so far received limited attention in the literature.
PMID- 27198708
TI - Indocyanine green videoangiography-assisted prediction of flap necrosis in the
rat epigastric flap using the flow(r) 800 tool.
AB - BACKGROUND: The decision to re-operate on a potentially ischemic free flap
remains challenging. Indocyanine green videoangiography (ICG) with the FLOW(r)
800 tool is a method which allows an immediate qualitative conclusion about the
patency of an anastomosis. Is it also able to predict the outcome of potentially
compromised vascular free flaps? MATERIALS AND METHODS: An epigastric flap was
raised and repositioned in 79 rats. Intraoperative fluorescence angiography was
performed using ICG videoangiography and the FLOW(r) 800 tool was applied. Six
regions of interest were positioned systematically over the flap, changes of the
ICG fluorescence were color coded with respect to time and 474 measurements were
performed. The flap was clinically monitored for one week and the resulting
necrotic areas were correlated with the ICG/FLOW(r) 800 results. RESULTS: Mean
intensity of clinically vital areas was 83.39 +/- 50.96 arbitrary units (AU) and
37.33 +/- 15.14 AU in necrotic areas. The receiver operating characteristic curve
and Youden-Index analysis revealed that the optimal cutoff for the maximal
intensity of ICG after FLOW(r) 800 analysis was <= 61.733 for the prediction of
flap necrosis and > 61.733 for the prediction of flap survival (P < 0.0001; 95%
CI = 0.85-0.91; Youden-Index: 0.67). The maximal intensity of ICG angiography had
a specificity of 96.1% and sensitivity of 71.4%. The positive predictive value
was 97.46% and the corresponding negative predictive value was 61.34%.
CONCLUSION: This demonstrates the potential additional value of ICG
videoangiography including FLOW(r) 800 analyses in the postoperative monitoring
of transplanted flaps. (c) 2016 Wiley Periodicals, Inc. Microsurgery 37:235-242,
2017.
PMID- 27198710
TI - Heme Trafficking and Modifications during System I Cytochrome c Biogenesis:
Insights from Heme Redox Potentials of Ccm Proteins.
AB - Cytochromes c require covalent attachment of heme via two thioether bonds at
conserved CXXCH motifs, a process accomplished in prokaryotes by eight integral
membrane proteins (CcmABCDEFGH), termed System I. Heme is trafficked from inside
the cell to outside (via CcmABCD) and chaperoned (holoCcmE) to the cytochrome c
synthetase (CcmF/H). Purification of key System I pathway intermediates allowed
the determination of heme redox potentials. The data support a model whereby heme
is oxidized to form holoCcmE and subsequently reduced by CcmF/H for thioether
formation, with Fe(2+) being required for attachment to CXXCH. Results provide
insight into mechanisms for the oxidation and reduction of heme in vivo.
PMID- 27198712
TI - Assessment of serum thiol/disulfide homeostasis in multiple myeloma patients by a
new method.
AB - OBJECTIVES: The etiology of multiple myeloma (MM) is not exactly known. This
study investigated the role of thiol/disulfide homeostasis in the
etiopathogenesis of MM. METHODS: Some 50 patients with MM (aged 39-84 years) and
50 sex-matched healthy volunteer controls (aged 50-91 years) participated in this
study. Venous blood samples were collected, and levels of native thiols, total
thiols, and disulfide were measured. RESULTS: Native and total thiol levels in
the control group were determined to be higher than in the study and patient
groups (P<0.001). Disulfide levels were found to be higher in the control group
than in the study group and higher in newly diagnosed patients than in
outpatients who were undergoing treatment (P=0.002). The ratios of thiol levels
were found to be similar in both the study and control groups (P>0.05).
DISCUSSION: The results of the study show that although there was a decrease in
the levels of disulfide, native thiol, and total thiol, the balance of
thiol/disulfide was maintained. This is the first study to research the
homeostasis of dynamic thiol/disulfide from the perspective of the new method
that was used. We hope that this study will encourage and facilitate further
studies in this area.
PMID- 27198713
TI - Highly Sensitive Homogeneous Immunoassays Based on Construction of Silver
Triangular Nanoplates-Quantum Dots FRET System.
AB - With growing concerns about health issues worldwide, elegant sensors with high
sensitivity and specificity for virus/antigens (Ag) detection are urgent to be
developed. Homogeneous immunoassays (HIA) are an important technique with the
advantages of small sample volumes requirement and pretreatment-free process. HIA
are becoming more favorable for the medical diagnosis and disease surveillance
than heterogeneous immunoassays. An important subset of HIA relies on the effect
of fluorescence resonance energy transfer (FRET) via a donor-acceptor (D-A)
platform, e.g., quantum dots (QDs) donor based FRET system. Being an excellent
plasmonic material, silver triangular nanoplates (STNPs) have unique advantages
in displaying surface plasmon resonance in the visible to near infrared spectral
region, which make them a better acceptor for pairing with QDs in a FRET-based
sensing system. However, the reported STNPs generally exhibited broad size
distributions, which would greatly restrict their application as HIA acceptor for
high detection sensitivity and specificity purpose. In this work, uniform STNPs
and red-emitting QDs are firstly applied to construct FRET nanoplatform in the
advanced HIA and further be exploited for analyzing virus Ag. The uniform
STNPs/QDs nanoplatform based medical sensor provides a straightforward and highly
sensitive method for Ag analysis in homogeneous form.
PMID- 27198711
TI - The ribosome in action: Tuning of translational efficiency and protein folding.
AB - The cellular proteome is shaped by the combined activities of the gene expression
and quality control machineries. While transcription plays an undoubtedly
important role, in recent years also translation emerged as a key step that
defines the composition and quality of the proteome and the functional activity
of proteins in the cell. Among the different post-transcriptional control
mechanisms, translation initiation and elongation provide multiple checkpoints
that can affect translational efficiency. A multitude of specific signals in
mRNAs can determine the frequency of translation initiation, choice of the open
reading frame, global and local elongation velocities, and the folding of the
emerging protein. In addition to specific signatures in the mRNAs, also
variations in the global pools of translation components, including ribosomes,
tRNAs, mRNAs, and translation factors can alter translational efficiencies. The
cellular outcomes of phenomena such as mRNA codon bias are sometimes difficult to
understand due to the staggering complexity of covariates that affect codon
usage, translation, and protein folding. Here we summarize the experimental
evidence on how the ribosome-together with the other components of the
translational machinery-can alter translational efficiencies of mRNA at the
initiation and elongation stages and how translation velocity affects protein
folding. We seek to explain these findings in the context of mechanistic work on
the ribosome. The results argue in favour of a new understanding of translation
control as a hub that links mRNA homeostasis to production and quality control of
proteins in the cell.
PMID- 27198715
TI - Organ siderosis and hemophagocytosis during acute graft-versus-host disease.
PMID- 27198716
TI - Whole exome sequencing reveals activating JAK1 and STAT3 mutations in breast
implant-associated anaplastic large cell lymphoma anaplastic large cell lymphoma.
PMID- 27198717
TI - Immunoglobulin heavy and light chain gene features are correlated with primary
cold agglutinin disease onset and activity.
PMID- 27198714
TI - RNA-Seq methods for transcriptome analysis.
AB - Deep sequencing has been revolutionizing biology and medicine in recent years,
providing single base-level precision for our understanding of nucleic acid
sequences in high throughput fashion. Sequencing of RNA, or RNA-Seq, is now a
common method to analyze gene expression and to uncover novel RNA species.
Aspects of RNA biogenesis and metabolism can be interrogated with specialized
methods for cDNA library preparation. In this study, we review current RNA-Seq
methods for general analysis of gene expression and several specific
applications, including isoform and gene fusion detection, digital gene
expression profiling, targeted sequencing and single-cell analysis. In addition,
we discuss approaches to examine aspects of RNA in the cell, technical challenges
of existing RNA-Seq methods, and future directions. WIREs RNA 2017, 8:e1364. doi:
10.1002/wrna.1364 For further resources related to this article, please visit the
WIREs website.
PMID- 27198718
TI - Real-world results of ibrutinib in patients with relapsed or refractory chronic
lymphocytic leukemia: data from 95 consecutive patients treated in a
compassionate use program. A study from the Swedish Chronic Lymphocytic Leukemia
Group.
AB - Ibrutinib, a Bruton's tyrosine kinase inhibitor is approved for
relapsed/refractory and del(17p)/TP53 mutated chronic lymphocytic leukemia.
Discrepancies between clinical trials and routine health-care are commonly
observed in oncology. Herein we report real-world results for 95 poor prognosis
Swedish patients treated with ibrutinib in a compassionate use program. Ninety
five consecutive patients (93 chronic lymphocytic leukemia, 2 small lymphocytic
leukemia) were included in the study between May 2014 and May 2015. The median
age was 69 years. 63% had del(17p)/TP53 mutation, 65% had Rai stage III/IV, 28%
had lymphadenopathy >=10cm. Patients received ibrutinib 420 mg once daily until
progression. At a median follow-up of 10.2 months, the overall response rate was
84% (consistent among subgroups) and 77% remained progression-free. Progression
free survival and overall survival were significantly shorter in patients with
del(17p)/TP53 mutation (P=0.017 and P=0.027, log-rank test); no other factor was
significant in Cox proportional regression hazards model. Ibrutinib was well
tolerated. Hematomas occurred in 46% of patients without any major bleeding.
Seven patients had Richter's transformation. This real-world analysis on
consecutive chronic lymphocytic leukemia patients from a well-defined
geographical region shows the efficacy and safety of ibrutinib to be similar to
that of pivotal trials. Yet, del(17p)/TP53 mutation remains a therapeutic
challenge. Since not more than half of our patients would have qualified for the
pivotal ibrutinib trial (RESONATE), our study emphasizes that real-world results
should be carefully considered in future with regards to new agents and new
indications in chronic lymphocytic leukemia.
PMID- 27198720
TI - Cux2 serves as a novel lineage marker of granule cell layer neurons from the
rhombic lip in mouse and chick embryos.
AB - BACKGROUND: The rhombic lip (RL), a germinal zone in the developing hindbrain,
gives rise to all of the excitatory neurons of the cerebellum. It is presently
unclear what factors distinguish between RL progenitor pools and play a role in
differentiating the multiple cell types that arise from this region. The
transcription factor Cux2 has been shown to play important roles in proliferation
and differentiation of distinct neuronal populations during embryogenesis, but
its role in cerebellar fate restriction is unknown. RESULTS: Through expression
analysis and genetic fate mapping studies we show that Cux2 is expressed in the
RL of the fetal brain and is restricted to a pool of cerebellar granule cell
precursors and unipolar brush cells. This restriction was remarkably specific
because regardless of the timing of Cux2 reporter gene activation in the RL, only
granule cell layer derivatives were labeled. However, the overexpression of Cux2
in naive hindbrain tissue was insufficient to force progenitor cells to adopt a
granule cell fate. CONCLUSIONS: Our results suggest that Cux2 delineates the pool
of cerebellar granule cell layer progenitors from other RL and ventricular zone
derivatives, and plays a role in fate restricting, but not differentiating, this
population. Developmental Dynamics 245:881-896, 2016. (c) 2016 Wiley Periodicals,
Inc.
PMID- 27198721
TI - Experimental conical-head abutment screws on the microbial leakage through the
implant-abutment interface: an in vitro analysis using target-specific DNA
probes.
AB - OBJECTIVES: The aim of this in vitro study was to identify and quantify up to 38
microbial species from human saliva penetrating through the implant-abutment
interface in two different implant connections, external hexagon and tri-channel
internal connection, both with conventional flat-head or experimental conical
head abutment screws. MATERIAL AND METHODS: Forty-eight two-part implants with
external hexagon (EH; n = 24) or tri-channel internal (TI; n = 24) connections
were investigated. Abutments were attached to implants with conventional flat
head or experimental conical-head screws. After saliva incubation, Checkerboard
DNA-DNA hybridization was used to identify and quantify up to 38 bacterial
colonizing the internal parts of the implants. Kruskal-Wallis test followed by
Bonferroni's post-tests for multiple comparisons was used for statistical
analysis. RESULTS: Twenty-four of thirty-eight species, including putative
periodontal pathogens, were found colonizing the inner surfaces of both EH and TI
implants. Peptostreptococcus anaerobios (P = 0.003), Prevotella melaninogenica (P
< 0.0001), and Candida dubliniensis (P < 0.0001) presented significant
differences between different groups. Means of total microbial count (*104 , +/
SD) for each group were recorded as follows: G1 (0.27 +/- 2.04), G2 (0 +/- 0), G3
(1.81 +/- 7.50), and G4 (0.35 +/- 1.81). CONCLUSIONS: Differences in the geometry
of implant connections and abutment screws have impacted the microbial leakage
through the implant-abutment interface. Implants attached with experimental
conical-head abutment screws showed lower counts of microorganisms when compared
with conventional flat-head screws.
PMID- 27198719
TI - Different spectra of recurrent gene mutations in subsets of chronic lymphocytic
leukemia harboring stereotyped B-cell receptors.
AB - We report on markedly different frequencies of genetic lesions within subsets of
chronic lymphocytic leukemia patients carrying mutated or unmutated stereotyped B
cell receptor immunoglobulins in the largest cohort (n=565) studied for this
purpose. By combining data on recurrent gene mutations (BIRC3, MYD88, NOTCH1,
SF3B1 and TP53) and cytogenetic aberrations, we reveal a subset-biased
acquisition of gene mutations. More specifically, the frequency of NOTCH1
mutations was found to be enriched in subsets expressing unmutated immunoglobulin
genes, i.e. #1, #6, #8 and #59 (22-34%), often in association with trisomy 12,
and was significantly different (P<0.001) to the frequency observed in subset #2
(4%, aggressive disease, variable somatic hypermutation status) and subset #4
(1%, indolent disease, mutated immunoglobulin genes). Interestingly, subsets
harboring a high frequency of NOTCH1 mutations were found to carry few (if any)
SF3B1 mutations. This starkly contrasts with subsets #2 and #3 where, despite
their immunogenetic differences, SF3B1 mutations occurred in 45% and 46% of
cases, respectively. In addition, mutations within TP53, whilst enriched in
subset #1 (16%), were rare in subsets #2 and #8 (both 2%), despite all being
clinically aggressive. All subsets were negative for MYD88 mutations, whereas
BIRC3 mutations were infrequent. Collectively, this striking bias and skewed
distribution of mutations and cytogenetic aberrations within specific chronic
lymphocytic leukemia subsets implies that the mechanisms underlying clinical
aggressiveness are not uniform, but rather support the existence of distinct
genetic pathways of clonal evolution governed by a particular stereotyped B-cell
receptor selecting a certain molecular lesion(s).
PMID- 27198722
TI - Multifaceted impact of trichothecene metabolites on plant-microbe interactions
and human health.
AB - Fungi present in rhizosphere produce trichothecene metabolites which are small in
size and amphipathic in nature and some of them may cross cell membranes
passively. Hypocreaceae family of rhizosphere fungi produce trichothecene
molecules, however it is not a mandatory characteristic of all genera. Some of
these molecules are also reported as growth adjuvant, while others are reported
as deleterious for the plant growth. In this review, we are exploring the roles
of these compounds during plant-microbe interactions. The three-way interaction
among the plants, symbiotic microbial agents (fungi and bacteria), and the
pathogenic microbes (bacteria, fungi) or multicellular pathogens like nematodes
involving these compounds may only help us to understand better the complex
processes happening in the microcosm of rhizosphere. These metabolites may
further modulate the activity of different proteins involved in the cell
signalling events of defence-related response in plants. That may induce the
defence system against pathogens and growth promoting gene expression in plants,
while in animal cells, these molecules have reported biochemical and
pharmacological effects such as inducing oxidative stress, cell-cycle arrest and
apoptosis, and may be involved in maintenance of membrane integrity. The
biochemistry, chemical structures and specific functional group-mediated activity
of these compounds have not been studied in details yet. Few of these molecules
are also recently reported as novel anti-cancer agent against human
chondrosarcoma cells.
PMID- 27198723
TI - beta-Glucosidase from the hyperthermophilic archaeon Thermococcus sp. is a salt
tolerant enzyme that is stabilized by its reaction product glucose.
AB - beta-Glucosidase (BG) is widely applied in the biofuel's industry, as part of a
cellulase cocktail to catalyze the hydrolysis of the beta-1,4 linkages that join
two glucose molecules in a cellulose polymer. The hydrolysis step is generally
recognized as the major limiting step in the development of efficient enzyme
based technologies for the conversion of lignocellulosic biomass to sugars and
the production of biofuels due to the accumulation of the reaction product,
glucose. Relieving this glucose inhibition of BG is therefore a major challenge.
In this study, O08324, a putative BG gene encoded in the hyperthermophilic
archaeon Thermococcus sp., was cloned and overexpressed in Escherichia coli.
O08324 showed maximum activity between pH 5-6.8 and at 78 degrees C and was
thermostable with a half-life of 860 min at 78 degrees C in the presence of 1.5
M glucose. O08324 was not inhibited by glucose up to the highest assayable
concentration of 4 M and also shows no decrease in activity in the presence of up
to 4 M of sodium chloride or potassium chloride. O08324 supplementation of
Trichoderma viride cellulase enhanced glucose production by more than 50 %
compared to a commercially available BG, when Avicel (10 %, w/v) was used as a
substrate at 37 degrees C. Multiple sequence alignments across previously
reported glucose-tolerant BGs shows that many conserved residues previously
implicated in glucose tolerance are not conserved in this BG suggesting a need
for a relook at understanding the molecular basis of glucose tolerance.
PMID- 27198724
TI - Fungal biotransformation of tanshinone results in [4+2] cycloaddition with
sorbicillinol: evidence for enzyme catalysis and increased antibacterial
activity.
AB - The biotransformation of tanshinone IIA to a new antibacterial agent
tanshisorbicin (1) by the fungus Hypocrea sp. (AS 3.17108) is described. The
structure of tanshisorbicin is a hybrid of tanshinone IIA (2) and sorbicillinol
(3). The latter is a metabolite produced by Hypocrea sp. The structure of
tanshisorbicin was determined using mass spectrometry, NMR spectroscopy, and ECD
calculations. The anti-MRSA activity of 1 was found to be significantly higher
than that of the parent substrate Tan IIA. Preliminary experiments indicate that
tanshisorbicin is formed via a [4+2] cycloaddition reaction that is likely
catalyzed by microbial enzyme.
PMID- 27198725
TI - Three important amino acids control the regioselectivity of flavonoid
glucosidation in glycosyltransferase-1 from Bacillus cereus.
AB - Glycosyltransferase-1 from Bacillus cereus (BcGT1) catalyzes a reaction that
transfers a glucosyl moiety to flavonoids, such as quercetin, kaempferol, and
myricetin. The enzymatic glucosidation shows a broad substrate specificity when
the reaction is catalyzed by wild-type BcGT1. Preliminary assays demonstrated
that the F240A mutant significantly improves the regioselectivity of enzymatic
glucosidation toward quercetin. To unveil and further to control the catalytic
function of BcGT1, mutation of F240 to other amino acids, such as C, E, G, R, Y,
W, and K, was performed. Among these mutants, F240A, F240G, F240R, and F240K
greatly altered the regioselectivity. The quercetin-3-O-glucoside, instead of
quercetin-7-O-glucoside as for the wild-type enzyme, was obtained as the major
product. Among these mutants, F240R showed nearly 100 % product specificity but
only retained 25 % catalytic efficiency of wild-type enzyme. From an inspection
of the protein structure, we found two other amino acids, F132 and F138, together
with F240, are likely to form a hydrophobic binding region, which is sufficiently
spacious to accommodate substrates with varied aromatic moieties. Through the
replacement of a phenylalanine by a tyrosine residue in the substrate-binding
region, the mutants may be able to fix the orientation of flavonoids, presumably
through the formation of a hydrogen bond between substrates and mutants. Multiple
mutants-F240R_F132Y, F240R_F138Y, and F240R_F132Y_F138Y-were thus constructed for
further investigation. The multiple points of mutants not only maintained the
high product specificity but also significantly improved the catalytic
efficiency, relative to F240R. The same product specificity was obtained when
kaempferol and myricetin were used as a substrate.
PMID- 27198727
TI - Improved shelf life of dried Beauveria bassiana blastospores using convective
drying and active packaging processes.
AB - The yeast form (blastospore) of the dimorphic insect-pathogenic fungus Beauveria
bassiana can be rapidly produced using liquid fermentation methods but is
generally unable to survive rapid dehydration processes or storage under non
refrigerated conditions. In this study, we evaluated the influence of two
convective drying methods, various modified atmosphere packaging systems, and
storage temperatures on the desiccation tolerance, storage stability, and
virulence of blastospores of B. bassiana ESALQ 1432. All blastospore formulations
were dried to <5 % water content equivalent to aw < 0.3. The viability of B.
bassiana blastospores after air drying and spray drying was greater than 80 %.
Vacuum-packaged blastospores remained viable longer when stored at 4 degrees C
compared with 28 degrees C with virtually no loss in viability over 9 months
regardless the drying method. When both oxygen and moisture scavengers were added
to sealed packages of dried blastospore formulations stored at 28 degrees C,
viability was significantly prolonged for both air- and spray-dried blastospores.
The addition of ascorbic acid during spray drying did not improve desiccation
tolerance but enhanced cell stability (~twofold higher half-life) when stored at
28 degrees C. After storage for 4 months at 28 degrees C, air-dried
blastospores produced a lower LC80 and resulted in higher mortality to whitefly
nymphs (Bemisia tabaci) when compared with spray-dried blastospores. These
studies identified key storage conditions (low aw and oxygen availability) that
improved blastospore storage stability at 28 degrees C and will facilitate the
commercial development of blastospores-based bioinsecticides.
PMID- 27198726
TI - Identification of catalysis, substrate, and coenzyme binding sites and
improvement catalytic efficiency of formate dehydrogenase from Candida boidinii.
AB - Formate dehydrogenases (FDHs) are continually used for the cofactor regeneration
in biocatalysis and biotransformation with hiring NAD(P)H-dependent
oxidoreductases. Major weaknesses of most native FDHs are their low activity and
operational stability in the catalytic reaction. In this work, the FDH from
Candida boidinii (CboFDH) was engineered in order to gain an enzyme with high
activity and better operational stability. Through comparing and analyzing its
spatial structure with other FDHs, the catalysis, substrate, and coenzyme binding
sites of the CboFDH were identified. To improve its performance, amino acids,
which concentrated on the enzyme active site or in the conserved NAD(+) and
substrate binding motif, were mutated. The mutant V120S had the highest catalytic
efficiency (k cat/K m ) with COONH4 as it enhanced the catalytic velocity (k cat)
and k cat/K m 3.48-fold and 1.60-fold, respectively, than that of the wild type.
And, the double-mutant V120S-N187D had the highest k cat/K m with NAD(+) as it
displayed an approximately 1.50-fold increase in k cat/K m . The mutants showed
higher catalytic efficiency than other reported FDHs, suggesting that the
mutation has achieved good results. The single and double mutants exhibited
higher thermostability than the wild type. The structure-function relationship of
single and double mutants was analyzed by homology models and site parsing.
Asymmetric synthesis of L-tert-leucine was executed to evaluate the ability of
cofactor regeneration of the mutants with about 100 % conversion rates. This work
provides a helpful theoretical reference for the evolution of an enzyme in vitro
and promotion of the industrial production of chiral compounds, e.g., amino acid
and chiral amine.
PMID- 27198729
TI - Density functional theory of nematic elasticity: softening from the polar order.
AB - Recent experiments have evidenced some unconventional features in the elasticity
of nematics, which cannot be explained by standard microscopic theories. Here, in
the framework of a second-virial density functional theory, we have developed a
general approach, relaxing the usual assumption that the angular distribution of
particles with respect to their local director is unaffected by the deformation.
We show that, for particles with polar symmetry, a new contribution to the splay
and bend deformation free energy arises, associated with the onset of polar
order. Calculations for conical and bent-shaped particles reveal dramatic
softening of the splay and the bend mode, respectively, which eventually may lead
to spontaneous deformation.
PMID- 27198728
TI - Optimal outcomes for hearing preservation in the management of small vestibular
schwannomas.
AB - OBJECTIVE: To undertake a systematic review of the role of microsurgery, in
relation to observation and stereotactic radiation, in the management of small
vestibular schwannomas with serviceable hearing. METHODS: The Medline database
was searched for publications that included the terms 'vestibular schwannoma'
and/or 'acoustic neuroma', occurring in conjunction with 'hearing'. Articles were
manually screened to identify those concerning vestibular schwannomas under 1.5
cm in greatest dimension. Thereafter, only publications discussing both pre
operative and post-operative hearing were considered. RESULTS: Twenty-six papers
were identified. Observation is an acceptable strategy for small tumours with
slow growth where hearing preservation is not a consideration. In contrast,
microsurgery, including the middle fossa approach, may provide excellent hearing
outcomes, particularly when a small tumour has begun to cause hearing loss.
Immediate post-operative hearing usually predicts long-term hearing. Recent data
on stereotactic radiation suggest long-term deterioration of hearing following
definitive therapy. CONCLUSION: In patients under the age of 65 years with small
vestibular schwannomas, microsurgery via the middle fossa approach offers durable
preservation of hearing.
PMID- 27198730
TI - A metal sulfide photocatalyst composed of ubiquitous elements for solar hydrogen
production.
AB - A visible-light-sensitive tin sulfide photocatalyst was designed based on a
ubiquitous element strategy and density functional theory (DFT) calculations.
Computational analysis suggested that tin monosulfide (SnS) would be more
efficient than SnS2 as a photocathode for hydrogen production because of the low
ionization potential and weak ionic character of SnS. To test this
experimentally, nanoparticles of SnS were loaded onto a mesoporous electrode
using a wet chemical method, and the bandgap of the synthesized SnS quantum dots
was found to be tunable by adjusting the number of successive ionic layer
adsorption and reaction (SILAR) cycles, which controls the magnitude of the
quantum confinement effect. Efficient hydrogen production was achieved when the
bandgap of SnS was wider than that of the bulk form.
PMID- 27198732
TI - Influence of S-Oxidation on Cytotoxic Activity of Oxathiole-Fused Chalcones.
AB - Synthesis, in vitro cytotoxic activity, and interaction with tubulin of oxidized,
isomeric 1-(5-alkoxybenzo[d][1,3]oxathiol-6-yl)-3-phenylprop-2-en-1-ones and 1-(6
alkoxybenzo[d][1,3]oxathiol-5-yl)-3-phenylprop-2-en-1-ones are described. Most of
the compounds demonstrated cytotoxic activity at submicromolar concentrations. It
was found that oxidation of sulfur atom of the oxathiole-fused chalcones strongly
influenced activity of the parent compounds, and that depending on relative
position of the sulfur atom in the molecule, the activity was either increased or
diminished. For isomers with sulfur atom para to the chalcone carbonyl group,
oxidation led to increase in activity, while for isomers with sulfur atom meta to
the carbonyl the activity dropped down. It was demonstrated that the compounds
interact with tubulin at the colchicine binding site, and the interaction was
evaluated using molecular modeling. It was concluded that the observed profound
influence of oxidation of the sulfur atom on cytotoxic activity cannot be solely
related to interaction of the compounds with tubulin.
PMID- 27198734
TI - Letter to the Editor regarding squamoid pattern of anaplastic thyroid carcinoma,
a poorly recognized entity.
PMID- 27198733
TI - Distinguishing internal property from external property in kidney
transplantation.
AB - What determines the ownership of human body parts? In this paper, I argue that
this question can be informed by an exploration of the cognitive distinction
between property external to the human body such as houses, cars or land, and
internal property such as organs that are located within anatomical body
confines. Each type of property has distinct brain representations and possibly
different effects on the sense of self. This distinction may help explain the
divergence in post-donation outcomes seen in different kidney donor populations.
Poor outcomes in some types of kidney donors may be due not only to a failure in
their proper selection by standard medical testing or post-donation care but may
also be a manifestation of differing effects on sense of self resulting from
transfer of their internal property. Because a kidney is internal property, a
hypothesis worth exploring is that those who experience good outcomes post
donation experience dopaminergic activation and a feeling of reward, while those
experiencing bad outcomes are instead overcoming cortisol or adrenergic-based
stress or fear responses without a corresponding feeling of reward, disrupting of
their sense of self. Discussions about the rules for internal property transfer
must be based not only on values and laws designed to govern external property
but also on cognitive science-based facts, values and judgments that discussions
of external property do not presently accommodate. Any future system of rules for
governing organ distribution requires a framework different from that of external
property to prevent harm to living kidney donors.
PMID- 27198731
TI - Ageing and inflammation in patients with HIV infection.
AB - Nowadays, HIV+ patients have an expected lifespan that is only slightly shorter
than healthy individuals. For this reason, along with the fact that infection can
be acquired at a relatively advanced age, the effects of ageing on HIV+ people
have begun to be evident. Successful anti-viral treatment is, on one hand,
responsible for the development of side effects related to drug toxicity; on the
other hand, it is not able to inhibit the onset of several complications caused
by persistent immune activation and chronic inflammation. Therefore, patients
with a relatively advanced age, i.e. aged more than 50 years, can experience
pathologies that affect much older citizens. HIV+ individuals with non-AIDS
related complications can thus come to the attention of clinicians because of the
presence of neurocognitive disorders, cardiovascular diseases, metabolic
syndrome, bone abnormalities and non-HIV-associated cancers. Chronic inflammation
and immune activation, observed typically in elderly people and defined as
'inflammaging', can be present in HIV+ patients who experience a type of
premature ageing, which affects the quality of life significantly. This
relatively new condition is extremely complex, and important factors have been
identified as well as the traditional behavioural risk factors, e.g. the toxicity
of anti-retroviral treatments and the above-mentioned chronic inflammation
leading to a functional decline and a vulnerability to injury or pathologies.
Here, we discuss the role of inflammation and immune activation on the most
important non-AIDS-related complications of chronic HIV infection, and the
contribution of aging per se to this scenario.
PMID- 27198735
TI - Characterization of PdH-C interactions in bis-dimethyldithiocarbamate
palladium(ii) and its deuterated analog by luminescence spectroscopy at variable
pressure.
AB - We present the variable-pressure d-d luminescence spectra of crystalline bis
dimethyldithiocarbamate palladium(ii) and its deuterated analog. The energies and
shifts of the band maxima provide evidence for intermolecular PdH-C interactions,
with quantitative differences observed for the deuterated complex. Shifts show
distinct interactions in three pressure ranges between 1 bar and 85 kbar.
PMID- 27198736
TI - Reduced lysosomal acid lipase activity - A potential role in the pathogenesis of
non alcoholic fatty liver disease in pediatric patients.
AB - BACKGROUND: Within the spectrum of nonalcoholic fatty liver disease (NAFLD),
recent evidence suggests that adult patients with nonalcoholic steatohepatitis
(NASH) have significantly lower blood lysosomal acid lipase (LAL) activity than
those with steatosis. This has not been studied in pediatric patients with NAFLD.
AIM: Investigate blood LAL activity in pediatric patients with NAFLD and assess
its correlation with histological severity. METHODS: We collected data on
consecutive children with biopsy-proven NAFLD including demographics,
anthropometrics, and routine laboratory tests. The histological features were
graded according to the NAFLD activity scoring proposed by Kleiner et al. Blood
LAL activity was measured prospectively using Lalistat 2. RESULTS: A total of 168
children were included for analysis. Mean age was 12.6+/-8.5 years, 60.1% were
males and 52.4% had NASH. Children with significant fibrosis (stage 2-3, n=64)
had a significantly lower LAL activity compared to those with mild fibrosis
(stage 0-1, n=104). There was no significant difference in LAL activity between
children with NASH compared to those without NASH. CONCLUSION: Reduced blood LAL
activity correlates with severity of liver fibrosis in children with NAFLD
indicating a potential role of reduced LAL activity in the pathogenesis of NAFLD
induced fibrosis.
PMID- 27198738
TI - Temperature-mediated phase transformation, pore geometry and pore hysteresis
transformation of borohydride derived in-born porous zirconium hydroxide
nanopowders.
AB - Development of in-born porous nature of zirconium hydroxide nanopowders through a
facile hydrogen (H2) gas-bubbles assisted borohydride synthesis route using
sodium borohydride (NaBH4) and novel information on the temperature-mediated
phase transformation, pore geometry as well as pore hysteresis transformation of
in-born porous zirconium hydroxide nanopowders with the help of X-ray diffraction
(XRD), Brunauer-Emmett-Teller (BET) isotherm and Transmission Electron Microscopy
(TEM) images are the main theme of this research work. Without any surfactants or
pore forming agents, the borohydride derived amorphous nature of porous powders
was stable up to 500 degrees C and then the seed crystals start to develop
within the loose amorphous matrix and trapping the inter-particulate voids, which
led to develop the porous nature of tetragonal zirconium oxide at 600 degrees C
and further sustain this porous nature as well as tetragonal phase of zirconium
oxide up to 800 degrees C. The novel hydrogen (H2) gas-bubbles assisted
borohydride synthesis route led to develop thermally stable porous zirconium
hydroxide/oxide nanopowders with an adequate pore size, pore volume, and surface
area and thus these porous materials are further suggested for promising use in
different areas of applications.
PMID- 27198739
TI - Numerical approach for unstructured quantum key distribution.
AB - Quantum key distribution (QKD) allows for communication with security guaranteed
by quantum theory. The main theoretical problem in QKD is to calculate the secret
key rate for a given protocol. Analytical formulas are known for protocols with
symmetries, since symmetry simplifies the analysis. However, experimental
imperfections break symmetries, hence the effect of imperfections on key rates is
difficult to estimate. Furthermore, it is an interesting question whether
(intentionally) asymmetric protocols could outperform symmetric ones. Here we
develop a robust numerical approach for calculating the key rate for arbitrary
discrete-variable QKD protocols. Ultimately this will allow researchers to study
'unstructured' protocols, that is, those that lack symmetry. Our approach relies
on transforming the key rate calculation to the dual optimization problem, which
markedly reduces the number of parameters and hence the calculation time. We
illustrate our method by investigating some unstructured protocols for which the
key rate was previously unknown.
PMID- 27198737
TI - Efficacy of a "contact center-based communication" in optimizing the care of
inflammatory bowel diseases.
AB - BACKGROUND: Telephone helplines are a useful vehicle for the management of
chronic diseases even though data on how these can ease management of
inflammatory bowel disease (IBD) is still scarce. AIM: to analyze our two-years'
experience with the first telephone helpline dedicated to IBD in Italy. METHODS:
The main outcomes of using a contact center (CC) at our Unit were analyzed; all
data was prospectively collected. Patients' requests were classified into medical
or non-medical. The percentage of hospitalized patients in the pre-CC period was
compared to that after CC activation, to assess the potential clinical gain of
using CC. The calls were divided into 5 categories to evaluate a potential
correlation between patients' number of calls and risk of hospitalization.
RESULTS: The CC received 11,080 calls and handled 11,972 requests. In particular,
63% of patients phoned monthly for a medical consultation, and 37% called for non
medical reasons. In 2012, the followed-up patients were 1658 with 230 IBD-caused
hospitalizations (14%); in 2014, the followed-up patients were 1962 with 182
hospitalizations (9%) (p<0.01). The risk of hospitalization exponentially
increased with the number of calls: from 3% for 0-5 calls to 41% with >30 calls
(p<0.01). CONCLUSION: A dedicated CC could provide additional clinical gain,
care, and support for IBD patients.
PMID- 27198740
TI - Effectual detection of group B streptococci with reduced penicillin
susceptibility (PRGBS) by commercially available methicillin-resistant
Staphylococcus aureus (MRSA)-selective agar.
AB - We evaluated the feasibility and efficacy of a commercially available methicillin
resistant Staphylococcus aureus (MRSA)-selective agar, chromID(TM) MRSA, to
detect group B streptococci with reduced penicillin susceptibility (PRGBS) in
this study. The results showed 72.4% (21/29) sensitivity and 98.4% (60/61)
specificity to detect PRGBS using this method.
PMID- 27198741
TI - Prospective multicenter surveillance identifies Staphylococcus aureus infections
caused by livestock-associated strains in an agricultural state.
AB - We conducted a surveillance study to investigate the epidemiology of
Staphylococcus aureus infections in Iowa, using a convenience sample. Diagnostic
laboratories submitted 20 S. aureus isolates per month for a 20-month period
between 2011 and 2013. Of the 2226 isolates analyzed, 73.6% were methicillin
resistant S. aureus (MRSA) and 26.4% were methicillin-susceptible S. aureus
(MSSA). S. aureus infections in 25 patients (1%) were caused by ST398- and ST9
associated strain types, and appeared to be a common occurrence in areas of the
state with the highest numbers of hogs and hog farms. Twenty nine (5.1%) of MSSA
isolates and 10 (40.0%) livestock-associated strains were multi-drug resistant.
PMID- 27198743
TI - Quality of care from the perspective of women with gestational diabetes in China.
AB - OBJECTIVE: To explore the quality of gestational diabetes mellitus (GDM) care
experienced by women in China and how it could be improved. METHODS: A
qualitative study was conducted at a municipal hospital in south east China.
Women who had been diagnosed with GDM at 34-38weeks of pregnancy were enrolled
during two periods; between May 1 and July 31, 2012, and between April 1 and July
31, 2013. Data regarding patient-perceived care quality were collected through
semi-structured individual interviews and were analyzed by qualitative content
analysis. RESULTS: The study enrolled 44 patients; the interviews recorded a lack
of professional care resources for GDM, a lack of high-quality personalized care
for women with GDM, and patients' suggestions regarding how to improve GDM care.
CONCLUSION: The participants reported a lack of high-quality GDM care, describing
the core problem as an imbalance between over-stretched hospitals and low
efficiency under-utilized primary healthcare centers. Clinical-practice reforms
identified, particularly in primary healthcare settings, included improving
services through increasing the number of health professionals and material
resources to comply with diabetes guidelines, and incorporating a humanistic
approach in the provision of care.
PMID- 27198744
TI - Maternal 25-hydroxyvitamin D level and the occurrence of neural tube defects in
Tunisia.
AB - OBJECTIVE: To determine whether low vitamin D levels in pregnant women are
associated with the occurrence of neural tube defects (NTDs) in Tunisia. METHODS:
In a prospective study, pregnant women were recruited at a center in Tunis
between January 1, 2012, and December 30, 2013. Women carrying a fetus with a
severe NTD were recruited before elective termination. Matched, healthy pregnancy
women were enrolled into a control group. Plasma levels of 25-hydroxyvitamin D
were measured by a competitive chemiluminescence immunoassay. RESULTS: Overall,
68 women formed the NTD group and 64 the control group. The mean maternal vitamin
D level was significantly lower in the NTD group (20.65+/-10.25nmol/L) than in
the control group (28.30+/-13.82nmol/L; P<0.001). Vitamin D deficiency was
recorded for 53 (78%) women in the NTD group and 39 (61%) in the control group.
Vitamin D insufficiency was recorded for 15 (22%) women in the NTD group and 20
(31%) in the control group. Vitamin D sufficiency was found only in the control
group (n=5 [8%]; P<0.001). CONCLUSION: The findings confirm an association
between a decreased vitamin D level in pregnant women and the risk of fetal NTDs.
PMID- 27198742
TI - Assessing the impact of common bile duct resection in the surgical management of
gallbladder cancer.
AB - BACKGROUND: Although radical re-resection for gallbladder cancer (GBC) has been
advocated, the optimal extent of re-resection remains unknown. The current study
aimed to assess the impact of common bile duct (CBD) resection on survival among
patients undergoing surgery for GBC. METHODS: Patients undergoing curative-intent
surgery for GBC were identified using a multi-institutional cohort of patients.
Multivariable Cox-proportional hazards regression was performed to identify risk
factors for a poor overall survival (OS). RESULTS: Among the 449 patients
identified, 26.9% underwent a concomitant CBD resection. The median number of
lymph nodes harvested did not differ based on CBD resection (CBD, 4 [IQR: 2-9]
vs. no CBD, 3 [IQR: 1-7], P = 0.108). While patients who underwent a CBD
resection had a worse OS, after adjusting for potential confounders, CBD
resection did not impact OS (HR = 1.40, 95%CI 0.87-2.27, P = 0.170). Rather, the
presence of advanced disease (T3: HR = 3.11, 95%CI 1.22-7.96, P = 0.018; T4: HR =
7.24, 95%CI 1.70-30.85, P = 0.007) and the presence of disease at the surgical
margin (HR = 2.58, 95%CI 1.26-5.31, P = 0.010) were predictive of a worse OS.
CONCLUSIONS: CBD resection did not yield a higher lymph node count and was not
associated with an improved survival. Routine CBD excision in the re-resection of
GBC is unwarranted and should only be performed selectively. J. Surg. Oncol.
2016;114:176-180. (c) 2016 Wiley Periodicals, Inc.
PMID- 27198745
TI - Effect of Different Human Milk Fortifiers on Milk Composition and Growth.
PMID- 27198746
TI - The Importance of Adequate Iodine during Pregnancy and Infancy.
AB - Iodine requirements are increased >=50% during pregnancy. Iodine deficiency
during pregnancy can cause maternal and fetal hypothyroidism and impair
neurological development of the fetus. The consequences depend upon the timing
and severity of the hypothyroidism; the most severe manifestation is cretinism.
In iodine-deficient areas, controlled studies have demonstrated that iodine
supplementation before or during early pregnancy eliminates new cases of
cretinism, increases birth weight, reduces rates of perinatal and infant
mortality and generally increases developmental scores in young children by 10
20%. Mild-to-moderate maternal iodine deficiency can cause thyroid dysfunction,
but whether it impairs cognitive and/or neurological function in the offspring
remains uncertain. In nearly all regions affected by iodine deficiency, salt
iodization is the most cost-effective way of delivering iodine and improving
maternal and infant health.
PMID- 27198747
TI - ERRATUM.
PMID- 27198748
TI - Natalizumab-treated patients at high risk for PML persistently excrete JC
polyomavirus.
AB - Sixty-three natalizumab-treated patients with relapsing multiple sclerosis were
screened for JC polyomavirus (JCV) viruria. Urinary-positive patients were
longitudinally sampled for up to 24 weeks. Using methods that distinguish
encapsidated virus from naked viral DNA, 17.5 % of patients were found to excrete
virus, consistent with the prevalence of urinary excretion in the general
population. Unexpectedly, urinary excretion was predominantly seen (>73 %) in
patients with high JC antibody index (>=2.0). Active JCV infection, therefore,
tends to occur in natalizumab patients that carry a high risk factor for the
development of disease, directly linking JC infection to the risk factors for PML
development.
PMID- 27198751
TI - Preliminaries.
PMID- 27198749
TI - The Swedish SCOPA-SLEEP for assessment of sleep disorders in Parkinson's disease
and healthy controls.
AB - PURPOSE: SCOPA-SLEEP is a rating scale for night-time sleep and daytime
sleepiness (DS) proposed for use among people with Parkinson's disease (PD) as
well as others. We translated it into Swedish and assessed its psychometric
properties in PD and age-matched healthy controls. METHODS: Following translation
according to the dual-panel approach, the Swedish SCOPA-SLEEP was field-tested
regarding comprehensibility, relevance and respondent burden (n = 20). It was
then psychometrically tested according to classical test theory (data
completeness, scaling assumptions, targeting, reliability and construct validity)
using data from 149 people with PD and 53 age-matched healthy controls from the
prospective Swedish BioFINDER study. RESULTS: SCOPA-SLEEP took a mean of 3.5 min
to complete and was considered easy to use and relevant. Missing item responses
were <8 %, corrected item-total correlations were >=0.47 (except for one DS item
among controls), factor analyses suggested one dimension per scale, floor/ceiling
effects were <=17 %, reliability was >=0.85 except for the DS scale among
controls (0.65) and construct validity was supported. CONCLUSIONS: Observations
concur with previous evaluations, thus providing initial support for the Swedish
SCOPA-SLEEP among people with PD. Further studies are needed to establish its
generic properties and to understand its measurement properties in better detail.
PMID- 27198752
TI - Social simulation theory: a framework to explain nurses' understanding of
patients' experiences of ill-health.
AB - A fundamental aim in caring practice is to understand patients' experiences of
ill-health. These experiences have a qualitative content and cannot, unlike
thoughts and beliefs with conceptual content, directly be expressed in words.
Nurses therefore face a variety of interpretive challenges when they aim to
understand patients' subjective perspectives on disease and illness. The article
argues that theories on social simulation can shed light on how nurses manage to
meet these challenges. The core assumption of social simulationism is that we do
not understand other people by forming mental representations of how they think,
but by putting ourselves in their situation in a more imaginative way. According
to simulationism, any attempt to understand a patient's behavior is made on the
basis of simulating what it is like to be that patient in the given context. The
article argues that this approach to social interpretation can clarify how nurses
manage to achieve aims of patient understanding, even when they have limited time
to communicate and incomplete knowledge of patients' perspectives. Furthermore,
simulation theory provides a normative framework for interpretation, in the sense
that its theoretical assumptions constitute ideals for how nurses should seek to
understand patients' experiences of illness.
PMID- 27198750
TI - Effects of Polybrominated Diphenyl Ethers on Rat and Human 11beta-Hydroxysteroid
Dehydrogenase 1 and 2 Activities.
AB - Polybrominated diphenyl ethers (PBDEs) are a class of brominated flame
retardants. PBDEs have been widely used in textiles, flexible polyurethane foams,
electronic components, electrical components, and plastics. 11beta-Hydroxysteroid
dehydrogenases, isoform 1 (HSD11B1) and isoform 2 (HSD11B2), have been
demonstrated to be the regulators of local glucocorticoid levels. In this study,
the potencies of 4 different PBDEs (BDE-3, BDE-47, BDE-100, and BDE-153) with 1-6
bromine atoms attached in inhibition of rat and human HSD11B1 and HSD11B2
activities were compared to 4-bromobiphenyl (BBP), a structurally similar
compound. All 4 PBDEs and BBP did not inhibit rat and human HSD11B1. BDE-3 and
BDE-47 potently inhibited rat HSD11B2, and BDE-47 and BDE-153 potently inhibited
human HSD11B2, with the half maximal inhibitory concentration values of 12.42,
5.95, 11.97, and 4.41 umol/l, respectively. All PBDEs noncompetitively inhibited
HSD11B2 when a steroid substrate was used. However, PBDEs exerted uncompetitive
inhibition when the cofactor NAD+ was used. In conclusion, some PBDEs are
selective inhibitors of HSD11B2, possibly causing excessive glucocorticoid action
in local tissues.
PMID- 27198754
TI - Functional Outcomes of Patients Treated with Intensive Medications for Bowel and
Pain Control for Low-Lying Rectal Cancer Who Received Preoperative
Chemoradiotherapy.
AB - PURPOSE: The aim of this study was to assess the functional outcomes of patients
treated with intensive medications for bowel and pain control for low-lying
rectal cancer who received preoperative chemoradiotherapy (CRT). METHODS: The
inclusion criterion was sphincter-preserving surgery following CRT for T3 middle
and low rectal cancer. Postoperative defecation control was conducted using
calcium polycarbophil and loperamide, and anal pain control was conducted using
oxycodone hydrochloride hydrate. The functional outcomes were determined by an
annual questionnaire after stoma closure. RESULTS: Of 64 patients evaluated, 33
were reconstructed using the double stapling technique (DST) and 31 were
reconstructed using the intersphincteric resection (ISR) technique. The median
Visual Analogue Scale at ISR was improved from 7 to 1.5 at 1 year after surgery.
The median Wexner scores were 6.0, 6.0, 5.0 and 5.0 for DST and 14.5, 12.0, 10.0
and 8.0 for ISR for the first 4 years, respectively. The only independent
predictor of a poor bowel function (Wexner score >10) according to a multivariate
analyses was pelvic infection (OR 3.994, 95% CI 1.235-13.52, p = 0.021), while
ISR was not a predictor. CONCLUSIONS: Anal pain following ISR can be controlled
with oxycodone hydrochloride hydrate therapy. ISR is feasible following CRT for
low-lying rectal cancer.
PMID- 27198756
TI - Editorial.
PMID- 27198755
TI - What Is the Value of Three-Parent IVF?
AB - In February 2016, the Institute of Medicine released a report, commissioned by
the United States Food and Drug Administration, on the ethical and social-policy
implications of so-called three-parent in vitro fertilization. The IOM endorses
commencement of clinical trials on three-parent IVF, subject to some initial
limitations. Also called mitochondrial replacement or transfer, three-parent IVF
is an intervention comprising two distinct procedures in which the genetic
materials of three people-the DNA of the father and mother and the mitochondrial
DNA of an egg donor-can be used to create a child. Three-parent IVF would enable
a woman with mitochondrial disease to have a genetically related child without
transmitting the disease to the child. The possibility for three-parent children
has prompted criticism from many corners. Critics have pointed to ethical issues
including safety concerns and risks to children, genetic and germline engineering
concerns, the potential exploitation of the third-parent egg donor, donor
anonymity and privacy, and objections to creating babies with three parents,
which undermines natural and traditional conceptions of procreation.
Additionally, developing the technology would involve experimenting on,
manipulating, and disposing of embryos. Although the IOM report considers the
ethical concerns about the value of the three-parent IVF technology, the IOM
failed to give due attention to an important objection to the development of this
technology: three-parent IVF lacks the social value necessary to make investment
of public resources in it ethical. Unlike the other concerns, this objection is
not based on conservativism about new reproductive technologies or default
favoritism of the status quo. I argue that the technology does not meet a
plausible social value standard to render public research investment into its
development ethical. Proponents of three-parent IVF make inaccurate and
exaggerated claims that it will eradicate mitochondrial disease and save lives.
Were these claims true, proponents would have a strong case for the social value
of the technology. But three-parent IVF alone will not eradicate mitochondrial
disease, and it will not save lives. Rather, it can create healthy lives. As I
discuss, the moral distinction is crucial. Most importantly, investment in three
parent IVF comes at the opportunity cost of researching treatment for
mitochondrial disease that would benefit actual, living disease sufferers.
PMID- 27198753
TI - The medication reconciliation process and classification of discrepancies: a
systematic review.
AB - AIMS: Medication reconciliation is a part of the medication management process
and facilitates improved patient safety during care transitions. The aims of the
study were to evaluate how medication reconciliation has been conducted and how
medication discrepancies have been classified. METHODS: We searched MEDLINE,
EMBASE, CINAHL, PubMed, International Pharmaceutical Abstracts (IPA), and Web of
Science (WOS), in accordance with the PRISMA statement up to April 2016. Studies
were eligible for inclusion if they evaluated the types of medication discrepancy
found through the medication reconciliation process and contained a
classification system for discrepancies. Data were extracted by one author based
on a predefined table, and 10% of included studies were verified by two authors.
RESULTS: Ninety-five studies met the inclusion criteria. Approximately one-third
of included studies (n = 35, 36.8%) utilized a 'gold' standard medication list.
The majority of studies (n = 57, 60%) used an empirical classification system and
the number of classification terms ranged from 2 to 50 terms. Whilst we
identified three taxonomies, only eight studies utilized these tools to
categorize discrepancies, and 11.6% of included studies used different patient
safety related terms rather than discrepancy to describe the disagreement between
the medication lists. CONCLUSIONS: We suggest that clear and consistent
information on prevalence, types, causes and contributory factors of medication
discrepancy are required to develop suitable strategies to reduce the risk of
adverse consequences on patient safety. Therefore, to obtain that information, we
need a well-designed taxonomy to be able to accurately measure, report and
classify medication discrepancies in clinical practice.
PMID- 27198757
TI - [Efficacy of sodium hydroxide at 2.5 %, chlorhexidine gluconate at 0.5 % and
calcium hydroxide against Candida albicans].
AB - INTRODUCTION: Endodontic flora is dominated in the apical part of the channels by
strict anaerobic and some facultative anaerobic bacteria but also by Candida
yeasts, especially Candida albicans species that are involved in the maintenance
and persistence of endodontic infections. Their elimination of the canal system
in practice by chemo-mechanical methods of disinfection is not always guaranteed.
Thus, this in vitro study was performed to determine the sensitivity of C.
albicans with sodium hypochlorite (NaOCl) dosed at 2.5 %, the chlorhexidine
digluconate 0.5 % and calcium hydroxide used in inter-session medication.
METHODS: The diffusion method was used initially to test the sensitivity of C.
albicans strains with the above products. Then a dilution technique has allowed
us to determine the minimum inhibitory concentration of these active products on
C. albicans. RESULTS: Strains from infected pulp teeth of patients showed a
sensitivity of C. albicans to sodium hypochlorite to a minimum inhibitory
concentration less than 70MUg/mL and 30MUg/mL for chlorhexidine. CONCLUSION: This
study demonstrated a sensitivity of C. albicans to sodium hypochlorite and
chlorhexidine.
PMID- 27198758
TI - Dynamic postural stability and muscle strength in patellofemoral pain: Is there a
correlation?
AB - BACKGROUND: Although females with patellofemoral pain (PFP) show a decrease in
hip and knee muscle strength, there is a lack of studies that associates this
with postural stability. The purpose of this study was to assess the dynamic
postural stability and muscle strength in the hips and knees of females with and
without PFP, and to verify the association between the postural stability and the
muscle strength in the PFP group. METHODS: Two groups were tested: one with 25
PFP and one with 25 asymptomatic. Postural stability was evaluated during
stepping up down tasks using a force platform to determine the center of pressure
(COP) excursion and velocity. A handheld dynamometer was used to assess the
muscles strength. The correlation analysis was conducted between the COP
variables and the muscle strength. RESULTS: The PFP group demonstrated greater
total and medial-lateral COP displacement (8887.7+/-761.7 vs. 8129.4+/-691.9mm,
P<0.001; 32.3+/-5.5 vs. 21.7+/-2.7mm, P<0.001) and a higher total of medial
lateral COP velocity (22.2+/-5.2 vs. 17.0+/-1.6 P=0.001). The PFP group showed
weaknesses in all muscles (P<0.05), and there was a good positive correlation
between the anterior-posterior displacement and the velocity of the extensor hip
muscle (r=0.52, P<0.01; r=0.55, P<0.001). CONCLUSIONS: Subjects with PFP have
frontal dynamic postural stability deficit and show an association between hip
extensor and sagittal plane stability.
PMID- 27198759
TI - The difference in clinical outcome of single-bundle anterior cruciate ligament
reconstructions with and without remnant preservation: A meta-analysis.
AB - BACKGROUND: The aim of this study was to compare the clinical performance and
complications between an ACL reconstruction with a remnant-preserving single
bundle technique and a standard single-bundle technique. METHODS: A search was
performed of RCTs comparing the clinical outcomes and complications of ACL
reconstruction with remnant-preserving and standard single-bundle techniques
during October 2014. Relevant data were extracted and CONSORT was used to assess
the methodological quality. Stata/SE 12.0 was used to perform a meta-analysis of
the clinical outcomes. RESULTS: Six RCTs were included, with a total of 378
patients: 190 in the remnant-preservation technique group and 188 patients in
standard-technique group. Assessing anterior stability, no difference was found
between the groups for the KT arthrometer, negative rate of Lachman, and the
pivot shift test. Assessing functional outcome, there was no significant
difference in IKCD scores and grades or Lysholm score. In terms of complications,
the percentage of tibial tunnel enlargement in the group of the remnant
preservation technique was significantly lower, despite no significant difference
in the incidence of cyclops lesions. CONCLUSIONS: The outcome of single-bundle
ACL reconstruction with the remnant-preservation technique is similar to that
with the standard technique in terms of anterior stability and functional
recovery of the knee. Remnant preservation in ACL reconstruction decreases the
percentage of tibial tunnel enlargement. Level of evidence is II.
PMID- 27198760
TI - The importance of early diagnosis in spontaneous osteonecrosis of the knee - A
case series with six year follow-up.
AB - BACKGROUND: Spontaneous osteonecrosis of the knee has an unknown aetiology.
Management options include conservative, surgical and pharmacological
interventions. The aim of this study was to report the experience of the authors
in conservative management of SONK using non-operative measures by analysing the
functional outcome and need for surgical intervention. MATERIALS AND METHODS: All
patients treated for SONK between 1st August 2001 and 1st April 2014 were
retrospectively reviewed. Treatment consisted of touch-down weight bearing for
around six weeks. MR imaging was evaluated for size of lesion, the condyles
involved and the time taken for resolution. Tegner Activity Scale, VAS pain,
Lysholm, WOMAC and IKDC scores were recorded at presentation and final follow-up
(mean six years, range six months to 13years). RESULTS: Forty cases were
included; the mean age of the group was 55.3years and 67.5% were male. The medial
femoral condyle was the most commonly affected (52.5%). A statistically
significant improvement was reported in all functional outcome measures
(p<0.001). Only one patient required arthroscopic surgical intervention and no
patients required arthroplasty during the follow-up period. CONCLUSION: Early
stage spontaneous osteonecrosis of the knee can be managed successfully without
surgery if diagnosed early. Thus early investigation of acute knee pain with MRI
scanning is recommended.
PMID- 27198762
TI - Tailoring nanoarchitectonics to control the release profile of payloads.
AB - We demonstrate here that the control over the release rate of payloads and on the
selectivity of the release can be achieved by designing nanomaterials with a
hierarchical structure. Redox-responsive silica nanocapsules are first
synthesized to allow for an accelerated release of the corrosion inhibitor 2
mercaptobenzothiazole as a payload upon chemical reduction and retarded release
upon oxidation. In a second step, we embedded the nanocapsules into nanofibers by
colloid-electrospinning, yielding a hierarchical composite structure. Remarkably,
the encapsulation of the nanocapsules in the fibers provides two decisive
advantages that are a higher selectivity of the release and a higher control over
the release rate of payloads.
PMID- 27198761
TI - Comparative study of magnetic resonance imaging (MRI) parameters in a Southeast
Asian population with symptomatic patellofemoral instability.
AB - BACKGROUND: MRI is performed for evaluation of soft tissue injuries associated
with patellar instability. METHODS: Retrospective review of MRI parameters from a
study group of 64 patients with symptomatic patellofemoral instability and a
control group of 64 patients with acute knee injury without concomitant
patellofemoral instability was performed. RESULTS: The study group demonstrated
statistically significant increase (P<0.05) in all the MRI measurements compared
to the control group. There were good inter-observer correlations between surgeon
and radiologist for all radiological measurements. CONCLUSIONS: It is prudent to
exercise caution in interpreting radiological parameters in different ethnic
groups bearing as current treatment protocols are not ethnic-group specific.
PMID- 27198763
TI - Interdependency of the maximum range of flexion-extension of hand
metacarpophalangeal joints.
AB - Mobility of the fingers metacarpophalangeal (MCP) joints depends on the posture
of the adjacent ones. Current Biomechanical hand models consider fixed ranges of
movement at joints, regardless of the posture, thus allowing for non-realistic
postures, generating wrong results in reach studies and forward dynamic analyses.
This study provides data for more realistic hand models. The maximum voluntary
extension (MVE) and flexion (MVF) of different combinations of MCP joints were
measured covering their range of motion. Dependency of the MVF and MVE on the
posture of the adjacent MCP joints was confirmed and mathematical models obtained
through regression analyses (RMSE 7.7 degrees ).
PMID- 27198764
TI - [Social suffering: How to look at health; it is not trivial].
PMID- 27198765
TI - Distinctive proteomic profiles among different regions of human carotid plaques
in men and women.
AB - The heterogeneity of atherosclerotic tissue has limited comprehension in
proteomic and metabolomic analyses. To elucidate the functional implications, and
differences between genders, of atherosclerotic lesion formation we investigated
protein profiles from different regions of human carotid atherosclerotic
arteries; internal control, fatty streak, plaque shoulder, plaque centre, and
fibrous cap. Proteomic analysis was performed using 2-DE with MALDI-TOF, with
validation using nLC-MS/MS. Protein mapping of 2-DE identified 52 unique
proteins, including 15 previously unmapped proteins, of which 41 proteins were
confirmed by nLC-MS/MS analysis. Expression levels of 18 proteins were
significantly altered in plaque regions compared to the internal control region.
Nine proteins showed site-specific alterations, irrespective of gender, with
clear associations to extracellular matrix remodelling. Five proteins display
gender-specific alterations with 2-DE, with two alterations validated by nLC
MS/MS. Gender differences in ferritin light chain and transthyretin were
validated using both techniques. Validation of immunohistochemistry confirmed
significantly higher levels of ferritin in plaques from male patients. Proteomic
analysis of different plaque regions has reduced the effects of plaque
heterogeneity, and significant differences in protein expression are determined
in specific regions and between genders. These proteomes have functional
implications in plaque progression and are of importance in understanding gender
differences in atherosclerosis.
PMID- 27198766
TI - Methanogenic paraffin degradation proceeds via alkane addition to fumarate by
'Smithella' spp. mediated by a syntrophic coupling with hydrogenotrophic
methanogens.
AB - Anaerobic microbial biodegradation of recalcitrant, water-insoluble substrates,
such as paraffins, presents unique metabolic challenges. To elucidate this
process, a methanogenic consortium capable of mineralizing long-chain n-paraffins
(C28 -C50 ) was enriched from San Diego Bay sediment. Analysis of 16S rRNA genes
indicated the dominance of Syntrophobacterales (43%) and Methanomicrobiales
(26%). Metagenomic sequencing allowed draft genome assembly of dominant
uncultivated community members belonging to the bacterial genus Smithella and the
archaeal genera Methanoculleus and Methanosaeta. Five contigs encoding homologs
of the catalytic subunit of alkylsuccinate synthase (assA) were detected.
Additionally, mRNA transcripts for these genes, including a homolog binned within
the 'Smithella' sp. SDB genome scaffold, were detected via RT-PCR, implying that
paraffins are activated via 'fumarate addition'. Metabolic reconstruction and
comparison with genome scaffolds of uncultivated n-alkane degrading 'Smithella'
spp. are consistent with the hypothesis that syntrophically growing 'Smithella'
spp. may achieve reverse electron transfer by coupling the reoxidation of ETFred
to a membrane-bound FeS oxidoreductase functioning as an ETF:menaquinone
oxidoreductase. Subsequent electron transfer could proceed via a periplasmic
formate dehydrogenase and/or hydrogenase, allowing energetic coupling to
hydrogenotrophic methanogens such as Methanoculleus. Ultimately, these data
provide fundamental insight into the energy conservation mechanisms that dictate
interspecies interactions salient to methanogenic alkane mineralization.
PMID- 27198768
TI - Knockdown of phosphoethanolamine transmethylation enzymes decreases viability of
Haemonchus contortus.
AB - The phosphobase methylation pathway, in which phosphoethanolamine N
methyltransferases (PMTs) successively catalyze the methylation of
phosphoethanolamine to phosphocholine, is essential in the free-living nematode
Caenorhabditis elegans. Two PMT-encoding genes (HcPMT1 and HcPMT2) cloned from
Haemonchus contortus have been shown, by in vitro assays, to possess enzymatic
characteristics similar to those of C. elegans PMTs, but their physiological
significance in H. contortus is yet to be elucidated. Therefore, in this study,
we endeavored to determine the importance of HcPMT1 and HcPMT2 in the survival of
H. contortus by adapting the use of phosphorodiamidate morpholino oligomers
(PPMO) antisense approach to block the translation of HcPMT1 and HcPMT2 in the
worms. We found that PPMOs targeting HcPMT1 and HcPMT2 down-regulated the
expression of HcPMT1 and HcPMT2 proteins in adult H. contortus. Analysis of the
effect of HcPMT1 and HcPMT2 knockdown showed that it significantly decreased worm
motility and viability, thus validating HcPMT1 and HcPMT2 as essential enzymes
for survival of H. contortus. Studies of gene function in H. contortus have been
constrained by limited forward and reverse genetic technologies for use in H.
contortus. Thus, our success in adaptation of use of PPMO antisense approach in
H. contortus provides an important reverse genetic technological advance for
studying this parasitic nematode of veterinary significance.
PMID- 27198769
TI - The role of wild ruminants as reservoirs of Besnoitia besnoiti infection in
cattle.
AB - Bovine besnoitiosis, a parasitic disease caused by Besnoitia besnoiti, has been
reported mainly in beef cattle raised under extensive pastoral systems and is
considered to be re-emerging in Western Europe. Horizontal transmission probably
occurs either by means of blood sucking arthropods or as a consequence of direct
contact between infected and non-infected cattle. However, the role that wild
ruminants (e.g., red deer (Cervus elaphus) and roe deer (Capreolus capreolus))
may play in the parasite life cycle as putative reservoirs remains elusive. Thus,
we investigated the presence of Besnoitia spp. infection in 2608 wild ruminants
located in areas where bovine besnoitiosis is present and identified the
Besnoitia species detected. First, a serosurvey was conducted in red deer
(n=309), roe deer (n=417), Pyrenean chamois (Rupicapra p. pyrenaica, n=383) and
Iberian wild goat (Capra pyrenaica hispanica, n=288) from two areas of Aragon,
northeastern Spain, where bovine besnoitiosis is endemic. Second, red deer
(n=820), roe deer (n=37), fallow deer (Dama dama, n=166), Iberian wild goat
(n=86) and European mouflon (Ovis orientalis musimon, n=102) from southwestern
Spain, where new outbreaks have recently been reported, were also sampled. The
presence of Besnoitia spp.-specific antibodies was confirmed by western blot in
one red deer and one roe deer from the Pyrenees, and Besnoitia spp. DNA was
detected by ITS1-PCR in the seropositive red deer. Besnoitia genotyping based on
6 microsatellite (MS) analyses was carried out in red deer samples and compared
with B. besnoiti genotypes from 7 in vitro isolates and 3 infected bovines, B.
tarandi (1 isolate) and B. bennetti (from tissues of an infected donkey) for
Besnoitia spp. assignation. Multilocus MS analysis of B. besnoiti, B. tarandi and
B. bennetti showed specific genotypes for each species. A restricted genetic
diversity with two genotypes by variation in a unique MS marker was revealed
among the 7 B. besnoiti isolates. Incomplete Besnoitia spp. genotype of 3 MS
markers from red deer samples entirely matched the B. besnoiti genotypes.
Accordingly, this work gives clues for the presence of B. besnoiti infection in
red deer from Western Europe. Further molecular genotyping is needed to confirm
that red deer may act as an intermediate host of B. besnoiti, although the low
prevalences that were found indicate that wild ruminant species do not pose a
significant risk of transmitting the infection to cattle.
PMID- 27198767
TI - Pretreatment neutrophil-to-lymphocyte ratio is correlated with response to
neoadjuvant chemotherapy as an independent prognostic indicator in breast cancer
patients: a retrospective study.
AB - BACKGROUND: A high neutrophil-to-lymphocyte ratio (NLR) may be related to
increased mortality in patients with lung, colorectal, stomach, liver, and
pancreatic cancer. To date, the utility of NLR to predict the response to
neoadjuvant chemotherapy (NAC) has not been studied. The aim of our study was to
determine whether the NLR is a predictor of response to NAC and to investigate
the prognostic impact of the NLR on relapse-free survival (RFS) and breast cancer
specific survival (BCSS) in patients with breast cancer who received NAC.
METHODS: We retrospectively studied patients who received NAC and subsequent
surgical therapy for stage II-III invasive breast carcinoma at Sun Yat-sen
Memorial Hospital between 2001 and 2010. The correlation of NLR with the
pathological complete response (pCR) rate of invasive breast cancer to NAC was
analyzed. Survival analysis was used to evaluate the predictive value of NLR.
RESULTS: A total of 215 patients were eligible for analysis. The pCR rate in
patients with lower pretreatment NLR (NLR < 2.06) was higher than those with
higher NLR (NLR >= 2.06) (24.5 % vs.14.3 %, p < 0.05). Those patients with higher
pretreatment NLR (NLR >= 2.1) had more advanced stages of cancer and higher
disease-specific mortality. Through a multivariate analysis including all known
predictive clinicopathologic factors, NLR >= 2.1 was a significant independent
parameter affecting RFS (HR: 1.57, 95 % CI: 1.05-3.57, p < 0.05) and BCSS (HR:
2.21, 95 % CI: 1.01-4.39, p < 0.05). Patients with higher NLR (NLR >= 2.1) before
treatment showed significantly lower relapse-free survival rate and breast cancer
specific survival rate than those with lower NLR (NLR <2.1) (log-rank p = 0.0242
and 0.186, respectively). CONCLUSIONS: Pretreatment NLR < 2.06 is associated with
pCR rate, suggesting that NLR may be an important factor predicting the response
to NAC in breast cancer patients. NLR is an independent predictor of RFS and BCSS
in breast cancer patients with NLR >= 2.1 who receive NAC. We suggest prospective
studies to evaluate NLR as a simple prognostic test for breast cancer.
PMID- 27198770
TI - Primary characterization of a putative novel TBC1 domain family member 13 from
Haemaphysalis qinghaiensis.
AB - A putative novel TBC1 domain family member 13 (HqTBC1D13) from Haemaphysalis
qinghaiensis was cloned using rapid amplification of the cDNA ends (RACE), the
HqTBC1D13 cDNA is 1702bp in length and encodes 396 amino acid residues with
predicted molecular weight of 46.09kDa. The TBC-domain containing protein has a
catalytic 'arginine finger' analogous to those of Ras and Rho family GAPs, which
is critical determinants of GAP activity. The amino acid sequences of TBC domain
were evolutionarily highly conserved across species. The partial coding sequence
of HqTBC1D13 with the predicted molecular weight of 37.2kDa was expressed and
purified in the PGEX-4T-1 vector. Real-time RT PCR analysis showed that the
HqTBC1D13 was extensively expressed in the tested organs (salivary glands,
midguts, ovaries and cuticles), and its transcriptional levels in salivary glands
were significantly up-regulate induced by blood-feeding. The recombinant
HqTBC1D13 protein vaccination in the rabbit model resulted in the extension of
the duration of feeding and the reduction of 37% female engorgement and 14.8%
oviposition compared to the control group. These results indicated that the
HqTBC1D13 in ticks could be invovled in the regulation of feeding and
oviposition.
PMID- 27198771
TI - Hair parasite load as a new biomarker for monitoring treatment response in canine
leishmaniasis.
AB - Canine leishmaniasis treatment focuses on the reduction of parasite load, the
clinical improvement of the animal, and the avoidance of relapses, in a scenario
where the definitive parasite clearance is not achievable. Therefore, monitoring
is crucial during the treatment of this disease. Quantitative PCR has been shown
as an ideal tool for the treatment monitoring when quantifying parasite load in
target organs such as lymph node or bone marrow, tissues that are too invasive
for regular evaluation. This study aims to prove the potential of hair parasite
load in the treatment monitoring of canine leishmaniasis. Six dogs were treated
with meglumine antimoniate and monitored up to four months after the end of the
treatment. Parasite loads in bone marrow, blood, lymph node and hair were
quantified by real-time quantitative PCR. Total IgG, IgG1, and IgG2 antibody
titres were analysed by immunofluorescent assay and a clinical assessment was
carried out. Treatment consisted of two 28-day courses of meglumine antimoniate
(100mg/kg/day) separated by an one-month interval. Analyses were performed before
(day 0), during (day 60) and after treatment (day 120), and at the end of a
follow-up period (day 210, four months after the end of treatment). Hair parasite
load turned out to be strongly correlated with bone marrow, lymph node and blood
parasite loads and with the clinical score and the IgG1 antibody titre. The
evolution of this biomarker reflects the evolution of the parasitological,
immunological and clinical state of the dog, highlighting its potential as a non
invasive marker for the treatment monitoring in canine leishmaniasis.
PMID- 27198772
TI - Efficacy and productive performance of moxidectin in feedlot calves infected with
nematodes resistant to ivermectin.
AB - Anthelmintic resistance (AR) of gastrointestinal nematodes to macrocyclic
lactones is an increasingly common worldwide phenomenon limiting cattle
production. This has motivated the search for alternatives, such as new active
compounds, added drug synergisms, different doses, and alternate administration
routes. The aim of this study was the assessment of moxidectin (MXD) performance
in feedlot calves with a history of AR to ivermectin (IVM). Crossbred female
calves aged 6-7 months and weighing 163kg (SD=34kg) were divided into 3 groups of
35 animals each. They were assigned to the following antiparasitic treatment
groups: IVM group (0.2mg/kg IVM); MXD group (0.2mg/kg MXD), and
ricobendazole+levamisole (RBZ+LEV) group (7.5mg/kg RBZ+8mg/kg LEV). On days 0,
26, and 47, fecal samples were taken and the weight of each animal was
registered. Anthelmintic efficacy (by fecal egg count reduction), total weight
gain (TWG) and average daily weight gain (AWG) were compared between the groups.
A mixed SAS procedure was used for statistical analysis. Fecal egg count
reduction 26 days post-treatment (PT) was calculated at 28% for the IVM group,
85% for the MXD group, and 99% for the RBZ+LEV group. AWGs (Standard Error) of
1.095g (56), 1.264g (49), and 1.340g (52) were registered for the IVM, MXD, and
RBZ+LEV groups, respectively (p<0.05). Coprocultures revealed that MXD more
effectively reduced Haemonchus spp. and Cooperia spp. egg counts than IVM. This
resulted in higher AWGs and TWGs for this group; similar results were seen for
the RBZ+LEV group as well. In this study, animals treated with MXD gained about
160 more g/day than animals treated with IVM. This represents a gain of 16 USD
per animal over the 47 day trial.
PMID- 27198773
TI - Profiling circulating miRNAs in serum from pigs infected with the porcine
whipworm, Trichuris suis.
AB - microRNAs (miRNAs) are recently discovered as key regulators of gene translation
and are becoming increasingly recognized for their involvement in various
diseases. This study investigates the miRNA profile in pig serum during the
course of an infection with the gastrointestinal parasite, Trichuris suis. Of
this panel, the expression of selected miRNAs in serum from T. suis infected and
uninfected pigs were determined by quantitative real time PCR using Exiqon Human
Panel assays at 0, 2, 4, 6, 8 and 10 weeks post first infection (wpi). One miRNA,
ssc-let-7d-3p, was significantly up-regulated in infected pigs 8 wpi.
Interestingly, ssc-let-7d-3p shows high complementary to tsu-let-7a, which is the
most highly transcribed miRNA in T. suis. The let-7 family miRNAs have been shown
to post-transcriptionally regulate the translation of the helminth-controlling
cytokine, IL-13, in a murine model for asthma and we hypothesize possible
interactions between these host- and parasite-derived miRNAs and their
immunomodulating roles.
PMID- 27198774
TI - Evaluation of high-throughput assays for in vitro drug susceptibility testing of
Tritrichomonas foetus trophozoites.
AB - Tritrichomonas foetus is a sexually transmitted protozoan parasite that causes
abortions in cattle and results in severe economic losses. In the United States,
there are no safe and effective treatments for this parasite and infected animals
are typically culled. In order to expedite drug discovery efforts, we
investigated in vitro trophozoite killing assays amenable to high-throughput
screening in 96 well plate formats. We evaluated the reduction of resorufin,
incorporation of propidium iodide, and a luminescence-based ATP detection assay.
Of these methods, reduction of resorufin was found to be the most reliable
predictor of trophozoite concentrations. We further validated this method by
conducting dose-response experiments suitable for calculation of EC50 values for
two established compounds with known activity against trophozoites in vitro,
namely, metronidazole and ronidazole. Our results demonstrate that the resorufin
method is suitable for high-throughput screening and could be used to enhance
efforts targeting new treatments for bovine trichomoniasis.
PMID- 27198775
TI - Metarhizium anisopliae for controlling Rhipicephalus microplus ticks under field
conditions.
AB - Metarril SP Organic is a product based on the fungus Metarhizium anisopliae,
which was developed for controlling agricultural pests. The present study
evaluated the effect of Metarril SP Organic plus 10% mineral oil, for controlling
Rhipicephalus microplus under field conditions. Three groups were formed: Control
group, which received no treatment; Oil control group, which was bathed only with
water, Tween 80 and mineral oil; and Metarril group, bathed in the oil-based
formulation Metarril SP Organic. Two treatments per group were performed and to
verify the effect of the treatments, all R. microplus ticks between 4.5 and 8.0mm
in length on the left side of the cattle were counted on days +7, +14 and +21
after each treatment, and a sample of engorged females was collected for
evaluation of biological parameters. The Metarril SP Organic oil formulation
showed efficacy ranging from 8.53 to 90.53%. The average efficacy of the oil
based formulation of Metarril SP Organic was 75.09 and 46.59% compared with the
groups Control and Oil control, respectively. There were no significant changes
in biological parameters of engorged R. microplus females collected from animals.
Although there was no significant difference in the amount of ticks between the
Oil control and Metarril groups, it is believed that the association of mineral
oil with Metarril SP Organic product is effective in R. microplus tick control in
field. Thus, this association has potential to be used in strategic control
programs of cattle tick.
PMID- 27198777
TI - Accuracy and precision of alternative estimators of ectoparasiticide efficacy.
AB - While there is consensus that the efficacy of parasiticides is properly assessed
using the Abbott formula, there is as yet no general consensus on the use of
arithmetic versus geometric mean numbers of surviving parasites in the formula.
The purpose of this paper is to investigate the accuracy and precision of various
efficacy estimators based on the Abbott formula which alternatively use
arithmetic mean, geometric mean and median numbers of surviving parasites; we
also consider a maximum likelihood estimator. Our study shows that the best
estimators using geometric means are competitive, with respect to root mean
squared error, with the conventional Abbott estimator using arithmetic means, as
they have lower average and lower median root mean square error over the
parameter scenarios which we investigated. However, our study confirms that
Abbott estimators using geometric means are potentially biased upwards, and this
upward bias is substantial in particular when the test product has substandard
efficacy (90% and below). For this reason, we recommend that the Abbott estimator
be calculated using arithmetic means.
PMID- 27198776
TI - In vitro and in vivo action of Piptadenia viridiflora (Kunth) Benth against
Haemonchus contortus in sheep.
AB - Anthelminthic resistant populations of Haemonchus contortus are a major problem
in sheep rearing, but plant extracts may offer viable alternative treatments. In
our preliminary studies, Piptadenia viridiflora was frequently selected by sheep
grazing in the Cerrado. The present research evaluated its in vitro and in vivo
anthelmintic activity. The HPLC chromatograms of P. viridiflora aqueous extract
(AE) and ethanolic extract (EE) showed the presence of flavonoids. The total
condensed tannin (proanthocyanidin) was 0.2 and 1.01% in AE and EE, respectively.
In an egg hatching inhibition (EHI) test, the LC90 of AE was 2.4mg/mL, and, of
EE, was 2.1mg/mL. After tannin extraction, higher EHI and lower LC90 were
observed. In a larval development inhibition test, the LC90 of AE was 13.66mg/g
of fecal culture. The highest dose of AE administered to mice (203.0mg/kg bw) was
well tolerated, suggesting low toxicity. In vivo, AE was orally administered to
lambs at 283mg/kg bw, and, at weeks one, two, and three post-treatment, the mean
fecal egg count (FEC) was significantly lower than in untreated lambs (P<0.05).
Blood parameters were normal and similar in untreated and treated sheep. For all
lamb groups, the mean total serum protein was significantly higher at week two
post-treatment than at other evaluated periods (P<0.05). Piptadenia viridiflora
extracts had low condensed tannin content and exhibited high anthelminthic
efficacy in vitro and significantly reduced FEC. Tannins were not shown to be the
principal components affecting EHI, hence it is necessary to isolate and
characterize the principal active P. viridiflora compounds, and to assess their
possible synergism.
PMID- 27198778
TI - First insights into the genetic diversity of Echinococcus granulosus sensu
stricto (s.s.) in Serbia.
AB - Cystic echinococcosis (CE) caused by Echinococcus granulosus sensu stricto (s.s.)
is a cosmopolitan zoonotic infection which is endemic in Serbia where it is
subject to mandatory reporting. However, information on the incidence of the
disease in humans and prevalence of hydatid infection in livestock remains
limited. We used sequenced data of the cytochrome c oxidase subunit 1 (cox 1)
mitochondrial gene to examine the genetic diversity and population structure of
E. granulosus (s.s.) from intermediate hosts from Serbia. We also compared our
generated nucleotide sequences with those reported for neighbouring European
countries. Echinococcus canadensis was molecularly confirmed from pig and human
hydatid isolates. E. granulosus (G1) was confirmed from sheep and cattle hydatid
isolates as well as the first molecular confirmation in Serbia of E. granulosus
G2 in sheep and E. granulosus G3 in sheep and cattle hydatid isolates. The
Serbian E. granulosus (s.s.) parsimony network displayed 2 main haplotypes (SB02
and SB05) which together with the neutrality indices were suggestive of
bottleneck and/or balancing selection. Haplotype analysis showed the presence of
the common E. granulosus haplotype described from other worldwide regions.
Investigation of the pairwise fixation (Fst) index suggested that Serbian
populations of E. granulosus (s.s.) from sheep and cattle hosts showed moderate
genetic differentiation. Six of the Serbian haplotypes (SB02-SB07) were shared
with haplotypes from Bulgaria, Hungary and/or Romania. Further studies using a
larger number of hydatid isolates from various locations across Serbia will
provide more information on the genetic structure of E. granulosus (s.s.) within
this region.
PMID- 27198780
TI - Assessment of resistance risk to fipronil and cross resistance to other
insecticides in the Musca domestica L. (Diptera: Muscidae).
AB - Fipronil, a phenyl-pyrazole insecticide has been used frequently for the control
of disease vector house flies, Musca domestica L., (Diptera: Muscidae) worldwide
including Pakistan. This experiment was performed to determine the selection and
assessment of fipronil resistance evolution along with cross resistance to other
three insecticides. After 26 generations of selection, the house fly strain
developed 430-fold resistance to fipronil compared to a susceptible strain.
Realized heritability (h(2)) of resistance to fipronil was 0.05. The projected
rate of resistance development revealed that if 30-90% house flies were selected
then a tenfold increase in lethal concentration 50 happened after 95.51-26.59
generations for fipronil (h(2)=0.05, Slope=2.34). At similar slope, if h(2)=0.15,
then 31.84-8.86 generations are required for tenfold increase in LC50 at 30-90%
selection intensity, respectively. Likewise, if h(2)=0.25, then similar would
occur in 19.10-5.32 generations. Differences in any of the variable would affect
the rate of resistance development. Selection with fipronil did not increase the
level of resistance to lambda-cyhalothrin, profenofos and indoxacarb, suggesting
no cross resistance to these insecticides. The results of our study concluded
that house flies have the potential to develop resistances following continued
selection pressure with fipronil.
PMID- 27198779
TI - Red fox (Vulpes vulpes) as a potential reservoir host of cardiorespiratory
parasites in Bosnia and Herzegovina.
AB - Red fox (Vulpes vulpes) is considered as reservoir of different cardiorespiratory
parasites of veterinary and medical importance. Since data on cardiorespiratory
parasites in foxes in Bosnia and Herzegovina are still lacking, the aims of the
present study were to (i) investigate the prevalence and geographical
distribution of these parasites, (ii) determine genetic diversity of detected
parasite species, and (iii) to estimate the role of foxes in the transmission
cycle to companion animals and humans. Four species, morphologically and
molecularly identified as Eucoleus boehmi (64.6%; 51/79), Eucoleus aerophilus
(69.7%; 154/221), Crenosoma vulpis (45.7%; 101/221) and Linguatula serrata (1.3%;
1/79) were retrieved from nasal cavity and lungs in 184 (83.3%) animals. The
occurrence of heartworms, Angiostrongylus vasorum and Dirofilaria immitis was not
detected by necropsy or PCR. Furthermore, three distinct haplotypes of E.
aerophilus (I, III, XV) and two of C. vulpis (I, II) previously reported in pet
animals and wild carnivores were confirmed in this study. A new haplotype of C.
vulpis (designated as haplotype V) was also identified based on 12S rRNA gene for
the first time. The present study indicates a high prevalence and wide
distribution of nasal and lung nematodes in fox population in Bosnia and
Herzegovina, and supports the existence of transmission patterns between wildlife
and pet animals.
PMID- 27198781
TI - Comparative evaluation of recombinant HSP70 (N & C-terminal) fragments in the
detection of equine trypanosomosis.
AB - Trypanosomosis (Surra) is an economically important disease caused by Trypanosoma
evansi which is an extracellular parasite present in the plasma, tissues and
other body fluids of a wide range of hosts including domesticated animals.
Currently, serological reports are based on detection of antibodies by ELISA
using whole cell lysate (WCL) antigen, which has a limitation of persistence of
anti-trypanosomal antibodies after successful treatment of the disease. Moreover,
it has some ethical issues also like requirement of mice for in vivo maintenance
of parasite for preparing the antigen. Therefore, in the present study, an
attempt was made to evaluate the in vitro production of recombinant heat shock
protein 70 (HSP70) for detection of antibodies in experimentally infected ponies.
The amino acid sequence analysis of HSP70 revealed that N-terminal region of the
protein was highly conserved while the C-terminal region was most divergent. The
four different regions of HSP70 protein viz. HSP-1, HSP-2, HSP-3 and HSP-4 were
cloned and expressed, among which HSP-1 (N-terminal region) & HSP-2 (C-terminal
region) were truncated while HSP-3 & HSP-4 were complete C-terminal proteins. The
recombinant fragments were probed with sequentially pooled experimental serum
samples where antibodies were detected in these fragments from 10(th) day post
infection till the termination of the experiment. Further, these recombinant
fragments were also comparatively evaluated with WCL antigen in ELISA using
experimental as well as field serum samples. It was observed that after
successful treatment of infected ponies, there was a sharp fall in antibodies
(within 90 days) when tested with recombinant HSP's fragments, while antibodies
persisted even after 469 days when tested against WCL antigen. The sensitivity
and specificity of all HSP70 fragments were also estimated from field serum
samples with reference to WCL antigen ELISA. The HSP-1 showed minimum sensitivity
(41.03%) among all the recombinant fragments. Among the C-terminal fragments,
maximum sensitivity was observed with the HSP-2 (61.54%) while minimum was
observed with HSP-4 (48.72%). The specificity increases for recombinant fragments
from N-terminal to C-terminal region of protein and maximum specificity was
observed with HSP-4 fragment (91.3%).
PMID- 27198782
TI - Toxoplasma gondii and Neospora caninum in wild small mammals: Seroprevalence, DNA
detection and genotyping.
AB - Generally, rodents and other small mammals are considered as one of the sources
of Toxoplasma gondii or Neospora caninum infection for cats and dogs as the
definitive hosts of these two parasites, respectively. The aim of the study was
to find out the prevalence of these two parasites in wild small mammals from the
Czech Republic and to characterize T. gondii isolates by methods of molecular
biology. A total of 621 wild small mammals were caught in the Czech Republic
during years 2002-2014. Antibodies to T. gondii were detected by latex
agglutination test in six (2.5%) of 240 small mammals (in two A. agrarius and
four A. flavicollis). Antibodies to N. caninum were detected by commercially
available competitive-inhibition enzyme-linked immunosorbent assay in one A.
flavicolis (0.4%). Three of 427 (0.7%) liver samples were positive for T. gondii
by PCR while negative for N. caninum. All embryo samples (n=102) were negative
for both T. gondii and N. caninum. The three liver samples positive for T. gondii
DNA (two from A. flavicollis and one from A. sylvaticus) were genotyped by 15
microsatellite markers and characterized as type II. To our knowledge, this is
the first information about genetic characterization of T. gondii isolates in
small mammals from Europe and the first detection of N. caninum antibodies in
wild rodents from the Czech Republic.
PMID- 27198783
TI - First report of Metastrongylus pudendotectus by the genetic characterization of
mitochondria genome of cox1 in pigs from Tibet, China.
AB - Lungworms, a world wild distributed parasites cause serious respiratory diseases
to the pigs. A high infection rate of Metastrongylus lungworms has been found in
Tibetan pigs being slaughtered in different slaughter houses of Tibet autonomous
region. The main aim of our study was to detect and confirm the lungworm parasite
by the genetic characterization of mitochondrial cox1genome isolated from the
lungs of Tibetan pig. The adult lungworms were collected from the lungs of
slaughtered pigs and identification was done through morphological examinations.
Total genomic DNA of the extracted worms was performed and a fragment (~450bp) of
the cox1 of mitochondrial (mt) gene was amplified. Amplicons were cloned into
PGEM((r))-T Easy vector and the positive clones were sequenced from a commercial
company. Sequence and phylogenetic analysis were performed by software of DNAMAN
and MEGA respectively. The results revealed that the lungworms infecting the
Tibetan pigs were Metastrongylus pudendotectus (M. pudendotectus). To our
knowledge, this is the first report for the isolation and identification for the
genetic characterization of mitochondria (mt) genome of cox1 of M. pudendotectus
derived from Tibetan pigs in Tibet, China.
PMID- 27198785
TI - Oxidative stress associated with pathological changes in the pancreas of cattle
naturally infected by Eurytrema coelomaticum.
AB - Although Eurytrema coelomaticum is considered a parasite with low pathogenicity,
it may be associated with mortality and loss of productive performance in animals
due to chronic pancreatitis. The aim of this study was to evaluate the occurrence
of oxidative stress caused by E. coelomaticum in naturally infected cattle,
correlating the biochemical findings with the parasite load and histopathological
changes. For this study, blood and pancreas samples from 51 cattle were
collected, and levels of the thiobarbituric acid reactive substances (TBARS),
advanced oxidation protein products (AOPP) and ferric reducing ability of plasma
(FRAP) were measured in the serum and pancreas, and superoxide dismutase (SOD)
activity was measured in total blood. Parasite burden was determined opening the
pancreatic ducts, and then fragments of pancreas were collected and fixed in 10%
buffered formalin and routinely processed for histopathology. From the 51
collected pancreas, 33 (63.5%) were parasitized. The average parasite burden per
pancreas was 532 (12-2,578). TBARS and FRAP showed higher levels in serum and
pancreas of infected animals (p<0.05), with a positive correlation between the
histopathological changes and the number of parasites. SOD level in blood was 42%
higher in parasitized group compared with control group (p<0.05), as well as AOPP
in serum. Based on these results, we concluded that in natural infection by E.
coelomaticum in cattle, oxidative stress occurs, characterized by the occurrence
of protein oxidation, lipid peroxidation and activation of antioxidant system.
PMID- 27198784
TI - Glyceraldehyde 3-phosphate dehydrogenase and galectin from Dirofilaria immitis
participate in heartworm disease endarteritis via plasminogen/plasmin system.
AB - The interaction between parasitic protozoa and helminths, both in the blood and
in tissues and the fibrinolytic system of their hosts is usually considered as a
survival parasite mechanism since this system is the physiological route
responsible for degrading fibrin clots. The broad-range proteolytic activity of
plasmin, the final enzyme of the route, implies that its recruitment by these
parasites is an important mechanism that mediates their invasion and
establishment in the hosts. However, recent studies have proposed a dual role for
plasmin by linking its over-production with pathological mechanisms at vascular
level. Most of these studies have been conducted in Dirofilaria immitis, a blood
borne parasite that survives in the pulmonary arteries of its host for years
while it produces a chronic inflammatory disease, whose main pathogenic mechanism
is the appearance of proliferative endarteritis. Recently, the participation of
two proteins from D. immitis, glyceraldehyde 3-phosphate dehydrogenase (DiGAPDH)
and galectin (DiGAL), in the activation of the fibrinolytic system of its host
has been demonstrated, which has been a priori associated with parasite survival
mechanisms. The aim of the present paper was to study the role of plasmin
generated by these proteins in the emergence of proliferative endarteritis. An in
vitro model of canine endothelial and smooth muscle cells, as well as the two
parasitic recombinant proteins were employed. The results show that DiGAPDH and
DiGAL stimulate the proliferation and migration of both cell types, as well as
the degradation of the extracellular matrix (ECM) via plasminogen (PLG)/plasmin
system, being all of these mechanisms related to the appearance of proliferative
endarteritis. Due to the high degree of evolutionary conservation of these
antigens, these data support the hypothesis of the survival/pathology ambivalence
in the interactions between parasites and the fibrinolytic system of their hosts
and represent an advance in the knowledge of the mechanisms involved in the
emergence of proliferative endarteritis in the cardiopulmonary dirofilariosis
(heartworm disease).
PMID- 27198786
TI - Modelling Cooperia oncophora: Quantification of key parameters in the parasitic
phase.
AB - Cooperia oncophora is one of the most common intestinal nematodes in cattle. It
is also the dose-limiting species for the most frequently used anthelmintics, and
consequently, the species usually involved in reports of anthelmintic resistance.
However, little information is available on its population dynamics, hindering
the parameterisation of transmission models to support understanding of the
impact of anthelmintic resistance, climate change and alternative control
strategies on nematode epidemiology. This systematic review and meta-analysis
provides estimates for key life history traits of the parasitic phase of C.
oncophora and investigates potential influences of acquired immunity on these
traits.
PMID- 27198787
TI - Immunoproteomic and bioinformatic approaches to identify secreted Leishmania
amazonensis, L. braziliensis, and L. infantum proteins with specific reactivity
using canine serum.
AB - Leishmania spp have a wide range of hosts, and each host can harbor several
Leishmania species. Dogs, for example, are frequently infected by Leishmania
infantum, where they constitute its main reservoir, but they also serve as hosts
for L. braziliensis and L. amazonensis. Serological tests for antibody detection
are valuable tools for diagnosis of L. infantum infection due to the high levels
of antibodies induced, unlike what is observed in L. amazonensis and L.
braziliensis infections. Likewise, serology-based antigen-detection can be useful
as an approach to diagnose any Leishmania species infection using different
corporal fluid samples. Immunogenic and secreted proteins constitute powerful
targets for diagnostic methods in antigen detection. As such, we performed
immunoproteomic (2-DE, western blot and mass spectrometry) and bioinformatic
screening to search for reactive and secreted proteins from L. amazonensis, L.
braziliensis, and L. infantum. Twenty-eight non-redundant proteins were
identified, among which, six were reactive only in L. amazonensis extracts, 10 in
L. braziliensis extracts, and seven in L. infantum extracts. After bioinformatic
analysis, seven proteins were predicted to be secreted, two of which were
reactive only in L. amazonensis extracts (52kDa PDI and the glucose-regulated
protein 78), one in L. braziliensis extracts (pyruvate dehydrogenase E1 beta
subunit) and three in L. infantum extracts (two conserved hypothetical proteins
and elongation factor 1-beta). We propose that proteins can be suitable targets
for diagnostic methods based on antigen detection.
PMID- 27198788
TI - Xenodiagnosis on dogs with visceral leishmaniasis: Canine and sand fly aspects
related to the parasite transmission.
AB - One of the main limitations for the effective control of canine leishmaniasis in
endemic areas is the difficulty in identifying infectious dogs. The objective of
this study was to determine factors, related to dogs and to parasite detection in
sand flies, which are associated with the positive xenodiagnosis of Leishmania
infantum using the vector Lutzomyia longipalpis. The xenodiagnosis was performed
in 50 owned dogs residing in endemic areas, which were divided into three
different groups: G1-26 dogs proved to be infected and classified by severity of
VL clinical signs on physical examination; G2-15 dogs proved to be infected and
classified by severity of clinical signs and intensity of laboratory
abnormalities; G3-nine dogs that were seropositive for anti-Leishmania IgG in
ELISA tests. Parasite search in the sand flies after having fed on dogs in the
xenodiagnosis was performed by both methodologies, PCR and dissection followed by
microscopy. In G1, 58% (15/26) of dogs were able to transmit Leishmania to the
vector, when parasite detection in sand flies were performed by PCR technique, 5
days after blood meal, whereas in G2, 53% (8/15) transmitted the parasite to the
vector, however, confirmation was performed by direct observation of parasite
through optical miscroscopy held 10 days after blood meal. Rate of infectiousness
of dogs to sand flies was positively associated to severity of disease (p=0.042
and p=0.040), regardless the method used for clinical classification or for
parasite detection in sand flies after xenodiagnosis. In G1 30% (3/10) of dogs
with subclinical infection were infectious to the vector, while 80% (12/16) of
dogs with clinical disease were also infectious. Even more, 17% (1/6) of dogs
that had moderate disease were infectious to the sand flies, while 78% (7/9) of
dogs with severe disease were infectious in G2. Still in G2, the proportion of
sand flies infected (grade of infectiousness) was significantly lower (p=0.0098)
when they fed on dogs with moderate disease (1%) in comparison with dogs with
severe disease (38%). The dogs from G3 presented a rate of infectiousness of 11%
(1/9), demonstrating that the indirect ELISA is not a good indicator of
infectiousness and, therefore, should not be used as a confirmatory test for the
euthanasia of dogs, as it is currently done in Brazil.
PMID- 27198789
TI - Involvement of TLR6 in the induction of COX-2, PGE2 and IL-10 in macrophages by
lipids from virulent S2P and attenuated R1A Babesia bovis strains.
AB - Toll like receptors (TLRs) are involved in the modulation of diverse host genes
expression through a complex network of signalling events that allow for an
appropriate response to a microbial pathogen. In the present work we used TLR6KO
mice in order to study the role of TLR6 in the immune discrimination of lipids
from two Babesia bovis strains, attenuated R1A (LA) and virulent S2P (LV), and
the consequent macrophage activation. We demonstrated that TLR6 is required for
lipid body induction in murine peritoneal macrophages by both LA and LV.
Interestingly, as regards IL-10 and COX-2/PGE2 pathway induction by LA and LV, we
observed differences in the biological effects produced by these lipid extracts.
Our results indicate a role of TLR6 in the down-modulation of these
immunoregulators only in the case of LA, whereas this receptor was not implicated
in pro-inflammatory TNFalpha, IL-6 and KC release induced by LA. Remarkably, LV
did not exert the down-modulatory effect observed for LA, supporting the notion
that LA and LV possess different lipid composition that could correlate with the
polar pathogenic effect of both B. bovis strains.
PMID- 27198790
TI - In vitro production of Trypanosoma equiperdum antigen and its evaluation for use
in serodiagnosis of dourine.
AB - A modified Baltz's in vitro cultivation system for the propagation of Trypanosoma
equiperdum strain OVI was established to develop a replacement for the
conventional production procedure of dourine diagnostic antigen in rats. To
increase trypanosome yields we designed an optimized culture medium by addition
of supplemental compounds. Trypanosomes were adapted to this medium by two
succeeding cultivation steps which led to a substantial proliferation rate and an
increased cell density tolerance, respectively. As a result, adapted parasites
could be propagated to maximum cell densities of >2*10(6) cells/ml, facilitating
in vitro antigen production in preparative quantities comparable to the
conventional method. A panel of 180 horse field sera, previously sent for testing
to the German National Reference Laboratory for Dourine, was tested by complement
fixation test using culture-derived as well as conventionally produced dourine
antigen. Cohen's kappa values for results obtained with two batches of culture
derived antigen as compared to conventional antigen were 0.91 (95% confidence
interval [CI]: 82.2-99.7) and 0.83 (95% CI: 70.3-95.3), respectively. Performance
of antigens for diagnostic purposes was characterized in an inter-laboratory
comparative study deploying 14 sera from horses with defined dourine statuses.
Complement fixation test results from 15 participating European laboratories
showed a diagnostic sensitivity of 94.1% (95% CI: 89.4-98.7) and a diagnostic
specificity of 96.2% (95% CI: 92.5-99.9) for conventional antigen and a slightly
higher diagnostic sensitivity of 96.0% (95% CI: 92.2-99.8) and a diagnostic
specificity of 97.1% (95% CI: 94.0-100) for culture-derived antigen. We conclude
that our novel approach for dourine antigen production from in vitro-grown
trypanosomes described and evaluated herein meets the requirements for the
prospective purpose in quantitative and qualitative terms and should be
considered by the competent authorities as an alternative for the animal
experiment currently prescribed by international standards.
PMID- 27198791
TI - Corrigendum to "Objective evaluation of two deworming regimens in young
Thoroughbreds using parasitological and performance parameters" [Vet. Parasitol.
221 (2016) 69-75].
PMID- 27198792
TI - Comparison of emergence traps of different shape and translucency in the trapping
of Culicoides (Diptera: Ceratopogonidae).
AB - Various types of emergence traps are available for investigations of the breeding
habitats of Culicoides (Diptera: Ceratopogonidae). In order to assess the
potential impact of the trap design on the trapping success, we compared the
efficiency of opaque and white (more translucent) emergence traps and two trap
shapes (cone-shaped and quadratic), to sample Culicoides emerging from cowpats.
Significantly higher numbers of Culicoides chiopterus and Culicoides dewulfi were
trapped with opaque traps, while there was no obvious effect of the trap shape.
There were no distinct differences in the microclimate among different trap
types.
PMID- 27198793
TI - Pathology, immunohistochemistry, and ultrastructural findings associated with
neurological sarcocystosis in cattle.
AB - Paraffin-embedded blocks of brain of a nine months old bull calf that died of
neurological signs in 1982 in Germany were restudied. Numerous schizonts and
merozoites were found associated with extensive but focal necrosis and severe
meningoencephalitis. Developing stages of schizonts as well as free merozoites
were identified. The schizonts were primarily in perivascular areas.
Ultrastructurally, schizonts were seen both in capillaries and in extravascular
space. Merozoites were often concentrated in adventitial layers of capillaries.
Schizonts divided by endopolygeny, the nucleus became multi-lobed, and at the
terminal stage nuclear lobes were incorporated into budding merozoites.
Individual merozoites were seen in neurons, astrocytes, oligodendrocytes,
leukocytes, and vascular endothelial cells. Occasionally merozoites were present
in the nucleus of mononuclear cells. Individual merozoites were ovoid, 3-5*2-3MUm
in size, and contained a prominent nucleus, numerous micronemes, a conoid, but no
rhoptries. Schizonts and merozoites did not react to polyclonal rabbit Neospora
caninum, Toxoplasma gondii, and Sarcocystis neurona antibodies but did react to
Sarcocystis cruzi antibodies. Because of morphological characteristics and the
type of lesions, the parasite was likely due to an unidentified Sarcocystis
species, different from S. cruzi.
PMID- 27198794
TI - Potential role for mucosal IgA in modulating Haemonchus contortus adult worm
infection in sheep.
AB - Haemonchus contortus (H. contortus) is a haematophagous parasite which causes
important economic losses in small ruminants. On the island of Gran Canaria, two
sheep breeds coexist which differ in their susceptibility to the infection with
H. contortus; the resistant Canaria Hair Breed (CHB) sheep and the susceptible
Canaria Sheep (CS) breed. The major target of resistance mechanisms in CHB sheep
are directed to the adult parasite stage, reducing the worm burden, and decreased
length and fecundity of surviving worms. Mucosal IgA (mIgA) has been shown to be
an important regulator of immunity in Haemonchus and Teladorsagia infections;
through correlations with larval stages where such mechanisms as antibody
dependent cell cytotoxicity and enzyme inhibition may mediate resistance. Here
for the first time, we demonstrate a significant negative correlation between
mIgA and adult worm length and fecundity only in the resistant CHB sheep. In
contrast, and as reported in other sheep breeds, mIgA was only negatively
correlated against the larval stage in the more susceptible CS breed. This study
suggests mIgA may play a role in resistance to both larval and adult stages.
PMID- 27198795
TI - First isolation and genotyping of Toxoplasma gondii from free-range chickens on
Sao Luis island, Maranhao state, Brazil, with a new genotype described.
AB - Toxoplasma gondii is a protozoan with worldwide distribution that infects warm
blooded vertebrates, including humans. Toxoplasma is considered to be
monospecific, but three classical clonal lineages (Types I-III) have been
described, especially for isolates from North America and Europe. However,
recently, several studies have shown that many isolates, particularly from South
America, are different from the classic types and highly diverse, with the
presence of specific clonal lineages and non-archetypal genotypes. In order to
isolate and genotypically characterize T. gondii, 60 free-range naturally
infected chickens (Gallus domesticus) from four municipalities on Sao Luis
island, state of Maranhao, Brazil, were first serologically screened for IgG anti
T. gondii antibodies by means of the immunofluorescent antibody test
(IFAT>=1:16). Heart and brain samples from seropositive chickens were bioassayed
in mice. The isolates obtained from bioassaying were genotyped by means of PCR
RFLP (restriction fragment length polymorphism) using 11 markers and by
microsatellites (MS) using 15 markers. The frequency of anti-T. gondii antibodies
in the chickens examined was 25.0% (15/60). Five isolates of T. gondii were
obtained and named TgCkBrMA1 to 5. Four genotypes were described. One of them is
reported for the first time. No classic clonal lineages of types I, II or III
were found, but the Brazilian clonal lineage BrI was identified. MS analysis
revealed five genotypes. The results corroborate studies already developed in
other regions of Brazil, thus indicating that T. gondii has high genetic
diversity in Brazil.
PMID- 27198796
TI - Pathological and ultrastructural observations and liver function analysis of
Eimeria stiedai-infected rabbits.
AB - To study the pathogenicity of Eimeria stiedai, sporulated oocysts were given
orally to coccidian-free two-month-old New Zealand rabbits(1000+/-20g). After
30days, blood samples from the rabbit hearts were collected for routine blood
tests, liver functions and four characteristics of blood coagulation.
Additionally, specimens of the liver, bile duct and duodenum were collected to
observe the changes in pathology and ultrastructure. E. stiedai severely
restricted the growth and development of rabbits. Blood tests showed that
glutamine transferase (GGT) and serum cholinesterase (ChE) were significantly
different from the non-infected controls. Other extremely significant differences
were observed in the biochemical indices of routine blood tests, liver function
and four blood coagulation characteristics, indicating that the liver functions
were significantly affected. Staining showed that, compared with the negative
control group, the liver, bile duct and duodenum contained significant numbers of
lesions, and organs and cell structures suffered severe damage in ultrastructure,
which greatly affecting bodily functions. E. stiedai-infected rabbits model was
successfully established, which might provide a theoretical basis for research on
the pathogenesis of rabbit coccidia, and the diagnosis and prevention of
coccidiosis in rabbits.
PMID- 27198797
TI - Culex pipiens as a potential vector for transmission of Dirofilaria immitis and
other unclassified Filarioidea in Southwest Spain.
AB - Dirofilaria immitis is one of the most frequently detected mosquito-transmitted
zoonotic filarioid nematode in mammals in Europe, being canine dirofilariosis a
major animal health problem, endemic in the Mediterranean area. This study,
focused on Southwest Spain, in order to bring new insights into (i) the
epidemiology of Dirofilaria spp., (ii) the species of Culicid vectors possibly
involved in their transmission and (iii) the genetic variability of those
potential vectors. A total of 881 adult female mosquitoes from 11 different
species, were captured during 2012-2013, and detection of filarioid DNA was
attempted by PCR using specific primers (ITS-2 and COI), followed by DNA
sequencing. In a single Culex pipiens specimen D. immitis DNA was detected both
in the head-thorax and abdomen sections. Filarioid nematode DNA was also detected
in eight additional Cx. pipiens specimens also in both the thorax and the
abdomen, but analysis of sequence data did not allow unambiguous assignment of
any of the obtained sequences to a previously defined species. All Cx. pipiens
with filarioid DNA were individually analysed by CQ11 to discriminate between
pipiens, molestus, and hybrid forms. Besides, rDNA ITS-2 sequence analysis
revealed the presence of haplotype H1 and H2 of Cx. pipiens. To our knowledge
this study revealed, for the first time in Spain, the occurrence of likely mature
infection of D. immitis in Cx. pipiens, as well as with other yet uncharacterized
nematodes, supporting its role as a potential vector of these filarids.
PMID- 27198798
TI - Morphology and genetic variability within Taenia multiceps in ruminants from
Italy.
AB - The aim of this study was to investigate the genetic variability and population
structure of Taenia multiceps, and to correlate morphological features of
individual coenuri with haplotypes. A total of 92 animals (86 sheep; 4 goats; 1
cattle; 1 mouflon, Ovis musimon) aged between 6-36 months showing clinical
symptoms of cerebral coenurosis were included in this study. T. multiceps coenuri
(n=118) sampled from live animals during routine surgery procedures or at post
mortem inspections were examined morphologically and molecularly identified.
Morphological features of the 52 coenuri selected for this study (number and size
of large and small hooks) were within the range reported in the literature. Fifty
two of the molecularly confirmed T. multiceps coenuri harboured by 47 animals
(sheep=41; cattle=1; goats=4; mouflon=1) were used to determine gene genealogies
and population genetic indices and were compared to the 3 T. multiceps genetic
variants, Tm1-Tm3 previously described from Sardinia, Italy. For the 379 bp cox1
dataset we identified 11 polymorphic sites of which 8 were parsimony informative.
A high haplotype diversity (0.664+/-0.067) was recorded for the cox1 sequences
defining 10 haplotypes (TM01-TM10). The comparison of haplotypes generated in
this study with published T. multiceps Tm1 variant pointed to the possible
existence of a common lineage for T. multiceps. No correlation was detected
between the size of the small and large hooks and the cox 1 haplotypes.
Polycystic infestation (2-9 coenuri) was recorded in 27.7% of animals (13/47). No
statistical correlation between polycystic T. multiceps infection and haplotypes
was detected.
PMID- 27198800
TI - Vertical transmission and fetal damage in animal models of congenital
toxoplasmosis: A systematic review.
AB - In humans, the probability of congenital infection and fetal damage due to
Toxoplasma gondii is dependent on the gestation period at which primary infection
occurs. Many animal models have been used for vaccine, drug testing, or studies
on host or parasite factors that affect transmission or fetal pathology, but few
works have directly tested fetal infection and damage rates along gestation. So,
the purpose of this work was to perform a systematic review of the literature to
determine if there is a model which reflects these changes as they occur in
humans. We looked for papers appearing between 1970 and 2014 in major databases
like Medline and Scopus, as well as gray literature. From almost 11,000 citations
obtained, only 49 papers fulfilled the criteria of having data of all independent
variables and at least one dependent datum for control (untreated) groups. Some
interesting findings could be extracted. For example, pigs seem resistant and
sheep susceptible to congenital infection. Also, oocysts cause more congenitally
infected offspring than tissue cysts, bradyzoites or tachyzoites. In spite of
these interesting findings, very few results on vertical transmission or fetal
damage rates were similar to those described for humans and only for one of the
gestation thirds, not all. Moreover, in most designs tissue cysts - with unknown
number of bradyzoites - were used, so actual dose could not be established. The
meta-analysis could not be performed, mainly because of great heterogeneity in
experimental conditions. Nevertheless, results gathered suggest that a model
could be designed to represent the increase in vertical transmission and decrease
in fetal damage found in humans under natural conditions.
PMID- 27198799
TI - Is the infectiousness of dogs naturally infected with Trypanosoma cruzi
associated with poly-parasitism?
AB - Interactions among different species of parasites co-infecting the same host
could be synergistic or antagonistic. These interactions may modify both the
frequency of infected hosts and their infectiousness, and therefore impact on
transmission dynamics. This study determined the infectiousness of Trypanosoma
cruzi-seropositive dogs (using xenodiagnosis) and their parasite load (quantified
by qPCR), and tested the association between both variables and the presence of
concomitant endoparasites. A cross-sectional serosurvey conducted in eight rural
villages from Pampa del Indio and neighboring municipalities (northeastern
Argentina) detected 32 T. cruzi-seropositive dogs out of 217 individuals examined
for infection. Both the infectiousness to the vector Triatoma infestans and
parasite load of T. cruzi-seropositive dogs examined were heterogeneous. A
statistically significant, nine-fold higher mean infectiousness was registered in
T. cruzi-seropositive dogs co-infected with Ancylostoma caninum and a trematode
than in T. cruzi-seropositive dogs without these infections. The median parasite
load of T. cruzi was also significantly higher in dogs co-infected with these
helminths. An opposite trend was observed in T. cruzi-seropositive dogs that were
serologically positive to Toxoplasma gondii or Neospora caninum relative to dogs
seronegative for these parasites. Using multiple logistic regression analysis
with random effects, we found a positive and significant association between the
infectiousness of T. cruzi-seropositive dogs and co-infections with A. caninum
and a trematode. Our results suggest that co-infections may be a modifier of host
infectiousness in dogs naturally infected with T. cruzi.
PMID- 27198801
TI - The prevalence, abundance and distribution of cyathostomins (small stongyles) in
horses from Western Romania.
AB - Forty seven working horses from Romania were post-mortem examined for small
strongyles (Cyathostominae) infections. All horses were found infected. The
overall cyathostomins intensity ranged from 390 to 13,010 and horses were
infected by 8-24 species. The intensity was higher in ventral colon (1531) and
dorsal colon (824), the lowest in the caecum (524). Twenty four species were
identified. Cyathostomum catinatum, Cylicocyclus insigne, and C. Nassatus had
100% of prevalence. Over 50% of horses were infected by Coronocyclus coronatus,
Cylicostephanus calicatus, C. goldi, and C. longibursatus. Other prevalent
species (34%-45%) were Cyathostomum tetracanthum, Cylicostephanus minutus and
Gyalocephalus capitatus. Coronocyclus labiatus, Parapoteriostomum mettami,
Poteriostomum imparidentatum and P. ratzii had the lowest prevalence. Most
species showed high organ preference with a niche breadth value between 1 and
1.96 while only 7 species (Coronocyclus labiatus, Cyathostomum tetracanthum, C.
brevicapsulatus, Cylicocyclus elongatus, C. insigne, C. leptostomum and C.
radiatus) showed a more generalist selection. The niche breadth of 10 species was
significantly (p<0.05) influenced by itself intensity (Coronocyclus labratus,
Cyathostomum pateratum, C. tetracanthum, Cylicocyclus elongatus, C. radiatus, C.
ultrajectinus, C. leptostomum, Cylicodontophorus euproctus, Poteriostomum
imparidentatum, P. ratzii). The niche breadth of Cylicocyclus nassatus was
positively (p<0.05) influenced by the summed intensity of the other species while
that of Cylicocyclus elongatus was negatively (p<0.05) influenced by the
intensity of the other species. The cluster analysis of the Cyathostominae
community composition showed a major cluster composed by the three dominant
species, followed by a cluster composed by Coronocyclus coronatus, while all the
other species presented a tree like structure.
PMID- 27198802
TI - Aberrant Ancylostoma sp. in the brain of a dog.
AB - A 14-month-old, male American Bulldog presented to Texas A&M University
Veterinary Medical Teaching Hospital in August of 2012 for anorexia, hydrophobia
and gradually worsening neurologic signs. Grossly hemorrhage on the left side of
the caudal cerebrum and cerebellum was observed and histologically corresponded
with necrohemorrhagic and lymphoplasmacytic encephalitis associated with adult
nematodes. Based on morphology and molecular analysis, these were identified as
Ancylostoma sp.
PMID- 27198803
TI - Trypanosoma teixeirae: A new species belonging to the T. cruzi clade causing
trypanosomosis in an Australian little red flying fox (Pteropus scapulatus).
AB - Little is known about the genetic diversity and pathogenicity of trypanosomes in
Australian bats. Recently a novel trypanosome species was identified in an adult
female little red flying fox (Pteropus scapulatus) with clinical and pathological
evidence of trypanosomosis. The present study used morphology and molecular
methods to demonstrate that this trypanosome is a distinct species and we propose
the name Trypanosoma teixeirae sp. n. Morphological comparison showed that its
circulating trypomastigotes were significantly different from those of
Trypanosoma pteropi and Trypanosoma hipposideri, two species previously described
from Australian bats. Genetic information was not available for T. pteropi and T.
hipposideri but phylogenetic analyses at the 18S ribosomal RNA (rRNA) and
glycosomal glyceraldehyde phosphate dehydrogenase (gGAPDH) loci indicated that T.
teixeirae sp. n. was genetically distinct and clustered with other bat-derived
trypanosome species within the Trypanosoma cruzi clade.
PMID- 27198804
TI - The uremic toxin oxythiamine causes functional thiamine deficiency in end-stage
renal disease by inhibiting transketolase activity.
AB - Decreased transketolase activity is an unexplained characteristic of patients
with end-stage renal disease and is linked to impaired metabolic and immune
function. Here we describe the discovery of a link to impaired functional
activity of thiamine pyrophosphate cofactor through the presence, accumulation,
and pyrophosphorylation of the thiamine antimetabolite oxythiamine in renal
failure. Plasma oxythiamine was significantly increased by 4-fold in patients
receiving continuous ambulatory peritoneal dialysis and 15-fold in patients
receiving hemodialysis immediately before the dialysis session (healthy
individuals, 0.18 [0.11-0.22] nM); continuous ambulatory peritoneal dialysis
patients, 0.64 [0.48-0.94] nM; and hemodialysis patients (2.73 [1.52-5.76] nM).
Oxythiamine was converted to the transketolase inhibitor oxythiamine
pyrophosphate. The red blood cell oxythiamine pyrophosphate concentration was
significantly increased by 4-fold in hemodialysis (healthy individuals, 15.9 nM
and hemodialysis patients, 66.1 nM). This accounted for the significant
concomitant 41% loss of transketolase activity (mU/mg hemoglobin) from 0.410 in
healthy individuals to 0.240 in hemodialysis patients. This may be corrected by
displacement with excess thiamine pyrophosphate and explain lifting of decreased
transketolase activity by high-dose thiamine supplementation in previous studies.
Oxythiamine is likely of dietary origin through cooking of acidic thiamine
containing foods. Experimentally, trace levels of oxythiamine were not formed
from thiamine degradation under physiologic conditions but rather under acidic
conditions at 100( degrees )C. Thus, monitoring of the plasma oxythiamine
concentration in renal failure and implementation of high-dose thiamine
supplements to counter it may help improve the clinical outcome of patients with
renal failure.
PMID- 27198805
TI - Better together for better dementia research and care.
PMID- 27198807
TI - Food Fraud and Adulteration, a Centuries-old Practice.
PMID- 27198806
TI - Central Line Associated Blood Stream Infections in Pediatric Hematology/Oncology
Patients With Different Types of Central Lines.
AB - BACKGROUND: Central line associated bloodstream infections (CLABSIs) are a
significant cause of morbidity and mortality in pediatric hematology/oncology
(PHO) patients. Understanding the differences in CLABSI rates by central line
(CL) type is important to inform clinical decisions. PROCEDURE: CLABSI, using
similar definitions, noted with three commonly used CL types (totally implanted
catheter [port], tunneled externalized catheter [TEC], peripherally inserted
central catheter [PICC]) and CL-specific line days were prospectively tracked
across 15 US PHO centers from May 2012 until April 2015 and CLABSI rates (CLABSI
per 1,000 CL-specific line days) were calculated. Host and organism
characterstics associated with the CLABSI events were analyzed. RESULTS: Over the
course of 2.8 million line days, 1,113 CLABSI events (397 in inpatients and 716
in ambulatory patients) were noted. The inpatient CLABSI rate was higher than the
ambulatory CLABSI rate for each of the CL types: 1.48 versus 0.16 for ports, 3.51
versus 1.38 for TECs, and 3.07 versus 1.16 for PICCs, respectively. TECs and
PICCs were associated with higher CLABSI rates than ports, inpatient and
ambulatory. CONCLUSIONS: We found that CLABSI rates were significantly higher for
inpatients compared to ambulatory PHO patients for all CL types. Among ambulatory
patients, TECs had the highest CLABSI rate and ports the lowest. Among
inpatients, TECs and PICCs had higher CLABSI rates than ports but were not
statistically different from one another. Cognizant that host and underlying
disease attributes may contribute to these differences, these results can still
inform CL choice in clinical practice.
PMID- 27198808
TI - Food Fraud Prevention: Policy, Strategy, and Decision-Making - Implementation
Steps for a Government Agency or Industry.
AB - This paper addresses the role of governments, industry, academics, and non
governmental organizations in Food Fraud prevention. Before providing strategic
concepts for governments and authorities, definitions of Food Fraud are reviewed
and discussed. Next there is a review of Food Fraud activities by the Global Food
Safety Initiative (GFSI), the Elliott Review in the United Kingdom, the European
Commission resolution on Food Fraud, and the US Food Safety Modernization Act
including the Preventative Controls Rule. Two key concepts for governments or a
company are: (1) formally, and specifically, mention food fraud as a food issue
and (2) create an enterprise-wide Food Fraud prevention plan. The research
includes a case study of the implementation of the concepts by a state or
provincial agency. This analysis provides a foundation to review the role of
science and technology in detection, deterrence and then contributing to
prevention.
PMID- 27198809
TI - Food Adulteration: From Vulnerability Assessment to New Analytical Solutions.
AB - Crises related to the presence of melamine in milk or horse meat in beef have
been a wake-up call to the whole food industry showing that adulteration of food
raw materials is a complex issue. By analysing the situation, it became clear
that the risk-based approach applied to ensure the safety related to chemical
contaminants in food is not adequate for food fraud. Therefore, a specific
approach has been developed to evaluate adulteration vulnerabilities within the
food chain. Vulnerabilities will require the development of new analytical
solutions. Fingerprinting methodologies can be very powerful in determining the
status of a raw material without knowing the identity of each constituent. Milk
adulterated by addition of adulterants with very different chemical properties
could be detected rapidly by Fourier-transformed mid-infrared spectroscopy (FT
mid-IR) fingerprinting technology. In parallel, a fast and simple multi-analytes
liquid-chromatography tandem mass-spectrometry (LC/MS-MS) method has been
developed to detect either high levels of nitrogen-rich compounds resulting from
adulteration or low levels due to accidental contamination either in milk or in
other sensitive food matrices. To verify meat species authenticity, DNA-based
methods are preferred for both raw ingredients and processed food. DNA macro
array, and more specifically the Meat LCD Array have showed efficient and
reliable meat identification, allowing the simultaneous detection of 32 meat
species. While the Meat LCD Array is still a targeted approach, DNA sequencing is
a significant step towards an untargeted one.
PMID- 27198810
TI - Food Adulteration in Switzerland: From 'Ravioli' over 'Springbok' to 'Disco
Sushi'.
AB - The driving force behind food adulteration is monetary profit and this has
remained unchanged for at least the last hundred years. Food adulterations were
and still are difficult to uncover because they occur mostly in an unpredictable
and unexpected way. Very often food falsifiers take advantage of modern
technology in such a way that food adulterations are difficult or sometimes even
impossible to detect. Targets for food adulteration were and still are highly
priced food items such as spirits, meat, seafood and olive oil. Although
difficult to detect, food adulterations were in the past strong driving forces
for the development of adequate detection methods in the official food control
laboratories and for the enforcement of the food law. A very prominent example in
this context is the 'Ravioli scandal' in Switzerland in the late 1970s which
showed that cheap second-class meat could be processed into products without
being discovered for long time. As a consequence the official food control
laboratories in Switzerland were reinforced with more laboratory equipment and
technical staff. With the introduction of new detection principles such as DNA
based analytical methods new kinds of food adulteration could and can be
uncovered. Analytical methods have their limits and in some cases of food fraud
there are no analytical means to detect them. In such cases the examination of
trade by checking of accounts is the method of choice.
PMID- 27198811
TI - Use of Isotope Ratio Determination (13C/12C) to Assess the Production Method of
Sparkling Wine.
AB - The production of a sparkling wine can be performed with different methods taking
from a few weeks to several years, which often justifies a difference in added
value for the consumer. This paper presents the use of isotope ratio delta(13)C
measurements combined with physico-chemical analyses for the determination of
mislabelling of sparkling wines produced by 'ancestral', 'traditional', 'closed
tank' or 'gasification' methods. This work shows that the isotope composition of
CO(2) compared with that of the corresponding dried residue of wine (DRW) can
assess whether carbonate CO(2) in a sparkling wine originates from alcohol
fermentation or from artificial gas addition. Isotopic ratios expressed as
delta(13)C(CO2) and delta(13)C(DRW) measurements have been obtained for each wine
by gasbench isotopic ratio mass spectroscopy and cavity ring down infrared
spectroscopy, respectively. When the difference between delta(13)C(CO2) and
delta(13)C(DRW) is negative, the presence of artificial CO(2) can be undoubtedly
inferred, which would exclude the production methods 'ancestral' or 'traditional'
for instance. Other parameters such as alcohol content, sugar and acid
distributions are also important to complete the analytical panel to aid fraud
tracking.
PMID- 27198812
TI - Locally Grown, Natural Ingredients? The Isotope Ratio Can Reveal a Lot!
AB - This communication gives an overview of selected isotope analyses applied to food
authenticity assessment. Different isotope ratio detection technologies such as
isotope ratio mass spectrometry (IRMS) and cavity ring down spectroscopy (CRDS)
are briefly described. It will be explained how delta(18)O of water contained in
fruits and vegetables can be used to assess their country of production. It will
be explained why asparagus grown in Valais, in the centre of the Alps carries
much less heavy water than asparagus grown closer to the sea coast. On the other
hand, the use of delta(13)C can reveal whether a product is natural or
adulterated. Applications including honey or sparkling wine adulteration
detection will be briefly presented.
PMID- 27198813
TI - Lactic Acid Bacteria as Markers for the Authentication of Swiss Cheeses.
AB - The manufacture of traditional Swiss-type cheeses adheres to strict rules, so as
to guarantee quality and purity of the end product. This raises production costs
and means consumers pay more. It also opens the door to cut-rate forgeries
claiming to be made to the stringent standards and causing considerable economic
losses to the entire dairy sector. In order to combat product counterfeiting,
Agroscope has developed proof-of-origin cultures that allow the identification of
copycats. Carefully selected lactic acid bacteria, having uniquely located
insertion sequence elements, are proliferated by fermentation and subsequently
dried by lyophilization. The proof-of-origin culture is added during the cheese
production process and sustains maturation. These so-called 'biological markers'
can be traced using polymerase chain reaction (PCR) methods, which allow
authentication even if the cheese is cut into pieces or grated. They do not lead
to any alteration of the cheese's taste or texture, and are compatible with the
strict 'protected designation of origin' (PDO) specifications. The proof-of
origin cultures are used for the protection of several traditional Swiss-cheese
varieties, such as Emmental PDO, Tete de Moine PDO, and Appenzeller((r)). A
market survey of Emmental PDO showed that the system is effective in revealing
fraud and has the power to enforce corrective measures.
PMID- 27198814
TI - Basmati Rice Fraud under the Magnifying Glass of DNA Analysis.
AB - Basmati rice is a perfumed rice of high commercial value which is easily
adulterated. A list of rice varieties accepted as true Basmati is the subject of
an agreement between Europe, Pakistan and India. Each rice variety can be
characterized by DNA profiling, thus offering to the control authorities a
powerful tool to detect fraud. Mixtures of authentic Basmati and non-Basmati
varieties can be quantified. During the last six years, about one third of the
analysed samples proved to be fraudulent.
PMID- 27198815
TI - Chemical Analysis: An Indispensable Means for Uncovering Severe Cases of Fraud
with Cosmetics and Tattoo Inks.
AB - Three cases of fraud with commodities containing illegal stealth compounds are
presented, which were uncovered by the State Laboratory Basel-City, Switzerland.
All three commodities, grapefruit seed extracts, a phytocosmetical skin cream,
and tattoo inks, were produced abroad, had forged declarations of ingredients
and, in the case of the extracts and the cream, were marketed with far-reaching
health claims. While inspections will identify suspicious products and would be
able to eliminate health claims to some extent, only chemical analysis can
uncover the illegal agents used and give law enforcement bodies the necessary
evidence to immediately clamp down on those brands, where the stealth agent
presents a serious health hazard to consumers.
PMID- 27198816
TI - Protocatechuic Acid Levels Discriminate Between Organic and Conventional Wheat
from Denmark.
AB - Organic wheat retails at higher market prices than the conventionally grown
counterparts. In view of fair competition and sustainable consumer confidence,
the organic nature of organic wheat needs to be assured. Amongst other controls
this requires analytical tests based on discriminating traits. In this paper,
phenolic acids were examined by liquid chromatography analysis as biomarkers for
discriminating between the two groups by means of a controlled grown full
factorial design Danish wheat sample set. By combining baseline and retention
time correction pre-treatments and principal component analysis, discrimination
between organic and conventional produce was found to be expressed in the first
principal component (93%), whilst the second principal component accounted for
the production year (4%). Upon examination of the loadings plot, a single
chromatographic peak was found to account for a large part in the discrimination
between the two wheat production systems. This was further underpinned by
statistically significant differences found in concentrations between the organic
and conventional production systems of this phenolic acid (ANOVA, P<0.05). The
phenolic acid was tentatively identified as protocatechuic acid by negative mode
mass spectrometry. The results obtained implied that protocatechuic acid may
serve as a single marker for discrimination between organic and conventional
produced wheat.
PMID- 27198819
TI - Synthesis and Electrophoretic Properties of Novel Nanoparticles for Colored
Electronic Ink and e-Paper Applications.
AB - A new approach based on non-pigmented, stable colored nanoparticles able to
migrate upon application of an electrical field (10-60 V) has been developed for
the improvement of the color brightness of e-displays. The scientific challenges
comprised the development of efficient syntheses of tri- and bifunctional
dendrimers including branching points for further extension and individual
decoration with dye (yellow, magenta, cyan). The covalent attachment of these
scaffolds to silica nanoparticles was performed via hydrosilylation and final in
situ charging generated attractive silica shells for the substractive CMY color
space model.
PMID- 27198820
TI - Biosorption at the HES-SO Valais-Wallis Sion Looking for Partners to Implement
Innovative Technologies.
AB - Water pollution contributes to a number of health problems and disorders in
humans, and dramatically affects wild animals and the environment as a whole.
Biosorption is a sound and sustainable way to remove pollutants like metals,
dyes, phenols, pesticides or drug metabolites from liquid effluents. But despite
active research worldwide, technical limitations still impede its widespread use.
At HES-SO Valais-Wallis in Sion, Prof. Simon Crelier is working on the
development of efficient, economically viable and scalable biosorption processes.
PMID- 27198824
TI - Organically modified titania having a metal catalyst: a new type of liquid-phase
hydrogen-transfer photocatalyst working under visible light irradiation and H2
free conditions.
AB - Organically modified titania having a metal catalyst (OMTC), 2,3
dihydroxynaphthalene-modified titania having palladium metal, successfully worked
as a hydrogen-transfer (C[double bond, length as m-dash]C hydrogenation)
photocatalyst in the presence of triethanolamine as the hydrogen source under
visible light irradiation and hydrogen-free conditions.
PMID- 27198823
TI - Maladaptive mood repair, atypical respiratory sinus arrhythmia, and risk of a
recurrent major depressive episode among adolescents with prior major depression.
AB - BACKGROUND: Because depressive illness is recurrent, recurrence prevention should
be a mainstay for reducing its burden on society. One way to reach this goal is
to identify malleable risk factors. The ability to attenuate sadness/dysphoria
(mood repair) and parasympathetic nervous system functioning, indexed as
respiratory sinus arrhythmia (RSA), are impaired during depression and after it
has remitted. The present study therefore tested the hypothesis that these two
constructs also may mirror risk factors for a recurrent major depressive episode
(MDE). METHOD: At time 1 (T1), 178 adolescents, whose last MDE had remitted, and
their parents, reported on depression and mood repair; youths' RSA at rest and in
response to sad mood induction also were assessed. MDE recurrence was monitored
until time 2 (T2) up to 2 years later. Mood repair at T1 (modeled as a latent
construct), and resting RSA and RSA response to sadness induction (RSA profile),
served to predict onset of first recurrent MDE by T2. RESULTS: Consistent with
expectations, maladaptive mood repair predicted recurrent MDE, above and beyond
T1 depression symptoms. Further, atypical RSA profiles at T1 were associated with
high levels of maladaptive mood repair, which, in turn, predicted increased risk
of recurrent MDE. Thus, maladaptive mood repair mediated the effects of atypical
RSA on risk of MDE recurrence. CONCLUSIONS: This study documented that a
combination of behavioral and physiological risk factors predicted MDE recurrence
in a previously clinically referred sample of adolescents with depression
histories. Because mood repair and RSA are malleable, both could be targeted for
modification to reduce the risk of recurrent depression in youths.
PMID- 27198822
TI - Polydopamine-Mediated Immobilization of Alginate Lyase to Prevent P. aeruginosa
Adhesion.
AB - Given alginate's contribution to Pseudomonas aeruginosa virulence, it has long
been considered a promising target for interventional therapies, which have been
performed by using the enzyme alginate lyase. In this work, instead of treating
pre-established mucoid biofilms, alginate lyase is immobilized onto a surface as
a preventive measure against P. aeruginosa adhesion. A polydopamine dip-coating
strategy is employed for functionalization of polycarbonate surfaces. Enzyme
immobilization is confirmed by surface characterization. Surfaces functionalized
with alginate lyase exhibit anti-adhesive properties, inhibiting the attachment
of the mucoid strain. Moreover, surfaces modified with this enzyme also inhibit
the adhesion of the tested non-mucoid strain. Unexpectedly, treatment with heat
inactivated enzyme also inhibits the attachment of mucoid and non-mucoid P.
aeruginosa strains. These findings suggest that the antibacterial performance of
alginate lyase functional coatings is catalysis-independent, highlighting the
importance of further studies to better understand its mechanism of action
against P. aeruginosa strains.
PMID- 27198825
TI - Do patient-reported measures of symptoms and health status predict mortality in
hemodialysis? An assessment of POS-S Renal and EQ-5D.
AB - Introduction Experience with the use of patient-reported outcome measures such as
EQ-5D and the symptom module of the Palliative care Outcome Scale-Renal Version
(POS-S Renal) as mortality prediction tools in hemodialysis is limited. Methods A
prospective survival study of people receiving hemodialysis (N = 362). The EQ-5D
and the POS-S Renal were used to assess symptom burden and self-rated health
(with a self-rated component). Participants were followed from instrument
completion to death or study end. Competing risks survival analysis was used to
evaluate associations with time to death, with renal transplant as a competing
risk. Findings 32% (N = 116) of participants died over a median (25th-75th
centile) of 2.6 (1.41-3.38) years. Factors most notably associated with mortality
adjusted hazard ratio (95%CI) included: lower EQ VAS score 2.7 (1.4, 5.2) P =
0.004 (lowest tertile), higher POS-S Renal score 2.4 (1.3, 4.3) P = 0.004
(highest tertile), and lower EQ-5D score 2.6 (1.3, 5.3) P = 0.01 (lowest tertile)
as well as the presence of: "problems with mobility?" 2 (1.1, 3.3) P = 0.01, or
"problems with usual activities?" 2.1 (1.4, 3.3), P < 0.001. After age adjustment
area under the receiver operating curves (AUC) (95%CI) for mortality were: 0.71
(0.62, 0.79) for EQ VAS score, 0.71 (0.63, 0.80) for POS-S Renal-S Renal score,
and 0.76 (0.68, 0.84) for EQ-5D score. AUC 95%CI was highest for our fourth model
at 0.79 (0.72, 0.86) comprised of individual elements from both instruments and
established risk factors. Discussion EQ VAS scores and predictive models based on
combinations of elements from the POS-S Renal and EQ-5D instruments may aid in
mortality discrimination and possibly in the delivery of supportive care
services.
PMID- 27198826
TI - Insights into seasonal dynamics of bacterial meningitis.
PMID- 27198827
TI - Bridging the knowledge gap for ocular surface squamous neoplasia: Africa and
beyond.
PMID- 27198828
TI - Task shifting rheumatic heart disease screening to non-experts.
PMID- 27198829
TI - Building health systems in fragile states: the instructive example of
Afghanistan.
PMID- 27198830
TI - Peruvian lessons for the transition from MDGs to SDGs.
PMID- 27198831
TI - Universal health coverage: political courage to leave no one behind.
PMID- 27198832
TI - Can India transition from informal abortion provision to safe and formal
services?
PMID- 27198833
TI - A vision for improved cancer screening in Nigeria.
PMID- 27198834
TI - Supporting research leadership in Africa.
PMID- 27198835
TI - Supporting research leadership in Africa.
PMID- 27198836
TI - Alternative vector control methods to manage the Zika virus outbreak: more haste,
less speed.
PMID- 27198837
TI - Alternative vector control methods to manage the Zika virus outbreak: more haste,
less speed.
PMID- 27198838
TI - Alternative vector control methods to manage the Zika virus outbreak: more haste,
less speed - Authors' reply.
PMID- 27198839
TI - Level of evidence of verbal autopsy.
PMID- 27198840
TI - Level of evidence of verbal autopsy - Authors' reply.
PMID- 27198841
TI - Seasonal dynamics of bacterial meningitis: a time-series analysis.
AB - BACKGROUND: Bacterial meningitis, which is caused mainly by Neisseria
meningitidis, Haemophilus influenzae, and Streptococcus pneumoniae, inflicts a
substantial burden of disease worldwide. Yet, the temporal dynamics of this
disease are poorly characterised and many questions remain about the ecology of
the disease. We aimed to comprehensively assess seasonal trends in bacterial
meningitis on a global scale. METHODS: We developed the first bacterial
meningitis global database by compiling monthly incidence data as reported by
country-level surveillance systems. Using country-level wavelet analysis, we
identified whether a 12 month periodic component (annual seasonality) was
detected in time-series that had at least 5 years of data with at least 40 cases
reported per year. We estimated the mean timing of disease activity by computing
the centre of gravity of the distribution of cases and investigated whether
synchrony exists between the three pathogens responsible for most cases of
bacterial meningitis. FINDINGS: We used country-level data from 66 countries,
including from 47 countries outside the meningitis belt in sub-Saharan Africa. A
persistent seasonality was detected in 49 (96%) of the 51 time-series from 38
countries eligible for inclusion in the wavelet analyses. The mean timing of
disease activity had a latitudinal trend, with bacterial meningitis seasons
peaking during the winter months in countries in both the northern and southern
hemispheres. The three pathogens shared similar seasonality, but time-shifts
differed slightly by country. INTERPRETATION: Our findings provide key insight
into the seasonal dynamics of bacterial meningitis and add to knowledge about the
global epidemiology of meningitis and the host, environment, and pathogen
characteristics driving these patterns. Comprehensive understanding of global
seasonal trends in meningitis could be used to design more effective prevention
and control strategies. FUNDING: Princeton University Health Grand Challenge, US
National Institutes of Health (NIH), NIH Fogarty International Center Research
and Policy for Infectious Disease Dynamics programme (RAPIDD), Bill & Melinda
Gates Foundation.
PMID- 27198842
TI - Topical fluorouracil after surgery for ocular surface squamous neoplasia in
Kenya: a randomised, double-blind, placebo-controlled trial.
AB - BACKGROUND: Ocular surface squamous neoplasia (OSSN) is an aggressive eye tumour
particularly affecting people with HIV in Africa. Primary treatment is surgical
excision; however, tumour recurrence is common. We assessed the effect of
fluorouracil 1% eye drops after surgery on recurrence. METHODS: We did this
multicentre, randomised, placebo-controlled trial in four centres in Kenya. We
enrolled patients with histologically proven OSSN aged at least 18 years. After
standard surgical excision, participants were randomly allocated to receive
either topical fluorouracil 1% or placebo four times a day for 4 weeks.
Randomisation was stratified by surgeon, and participants and trial personnel
were masked to assignment. Patients were followed up at 1 month, 3 months, 6
months, and 12 months. The primary outcome was clinical recurrence (supported by
histological assessment where available) by 1 year, and analysed by intention to
treat. The sample size was recalculated because events were more common than
anticipated, and trial enrolment was stopped early. The trial was registered with
Pan-African Clinical Trials Registry (PACTR201207000396219). FINDINGS: Between
August, 2012, and July, 2014, we assigned 49 participants to fluorouracil and 49
to placebo. Four participants were lost to follow-up. Recurrences occurred in
five (11%) of 47 patients in the fluorouracil group and 17 (36%) of 47 in the
placebo group (odds ratio 0.21, 95% CI 0.07-0.63; p=0.01). Adjusting for passive
smoking and antiretroviral therapy had little effect (odds ratio 0.23; 95% CI
0.07-0.75; p=0.02). Adverse effects occurred more commonly in the fluorouracil
group, although they were transient and mild. Ocular discomfort occurred in 43 of
49 patients in the fluorouracil group versus 36 of 49 in the placebo group,
epiphora occurred in 24 versus five, and eyelid skin inflammation occurred in
seven versus none. INTERPRETATION: Topical fluorouracil after surgery
substantially reduced recurrence of OSSN, was well-tolerated, and its use
recommended. FUNDING: British Council for Prevention of Blindness and the
Wellcome Trust.
PMID- 27198843
TI - Focused cardiac ultrasound screening for rheumatic heart disease by briefly
trained health workers: a study of diagnostic accuracy.
AB - BACKGROUND: Echocardiographic screening for rheumatic heart disease (RHD) can
identify individuals with subclinical disease who could benefit from antibiotic
prophylaxis. However, most settings have inadequate resources to implement
conventional echocardiography and require a feasible, accurate screening method.
We aimed to investigate the accuracy of screening by non-expert operators using
focused cardiac ultrasound (FoCUS). METHODS: In this prospective study of
diagnostic accuracy, we recruited schoolchildren aged 5 to 15 years in Fiji to
undergo two blinded tests. The index test was a FoCUS assessment of mitral and
aortic regurgitation, performed by nurses after an 8-week training programme. The
reference standard was the diagnosis of RHD by a paediatric cardiologist, based
on a standard echocardiogram performed by a skilled echocardiographer. The
primary outcome was the accuracy of the index test with use of the most sensitive
criteria (any regurgitation). FINDINGS: We included 2004 children in the study.
The index tests were done between September, 2012, and September, 2013, by seven
nurses in eight schools in Fiji. The diagnostic accuracy of the screening test
(area under receiver operator characteristic curve) was 0.89 (95% CI 0.83-0.94).
When the primary cut-off point (any regurgitation) was used for analysis,
sensitivity was 84.2% (72.1-92.5) and specificity was 85.6% (83.9-87.1). The
sensitivity of individual nurses ranged from 66.7% to 100% and specificity 74.0%
to 93.7%. INTERPRETATION: Screening by briefly trained nurses using FoCUS was
accurate for the diagnosis of RHD. Refinements to training and screening test
methods should be studied in a range of settings, and in parallel with
investigations of the long-term clinical and cost-effectiveness of screening for
RHD. FUNDING: Cure Kids, New Zealand; the Fiji Water Foundation provided funding
for portable ultrasound equipment; see acknowledgments for further details of
funders.
PMID- 27198844
TI - Achieving maternal and child health gains in Afghanistan: a Countdown to 2015
country case study.
AB - BACKGROUND: After the fall of the Taliban in 2001, Afghanistan experienced a
tumultuous period of democracy overshadowed by conflict, widespread insurgency,
and an inflow of development assistance. Although there have been several cross
sectional assessments of health gains over the last decade, there has been no
systematic analysis of progress and factors influencing maternal and child health
in Afghanistan. METHODS: We undertook a comprehensive, systematic assessment of
reproductive, maternal, newborn, and child health in Afghanistan over the last
decade. Given the paucity of high-quality data before 2001, we relied mainly on
11 nationally representative surveys conducted between 2003 and 2013. We
estimated national and subnational time trends for key reproductive, maternal,
and child health indicators, and used linear regression methods to determine
predictors of change in health-care service use. All analyses were weighted for
sampling and design effects. Additional information was collated and analysed
about health system performance from third party surveys and about human
resources from the Afghan Ministry of Public Health. FINDINGS: Between 2003 and
2015, Afghanistan experienced a 29% decline in mortality of children younger than
5 years. Although definite reductions in maternal mortality remain uncertain,
concurrent improvements in essential maternal health interventions suggest
parallel survival gains in mothers. In a little over a decade (2003-13
inclusive), coverage of several maternal care interventions increased-eg, for
antenatal care (16% to 53%), skilled birth attendance (14% to 46%), and births in
a health facility (13% to 39%). Childhood vaccination coverage rates for the
basic vaccines from the Expanded Programme of Immunisation (eg, BCG, measles,
diphtheria-tetanus-pertussis, and three doses of polio) doubled over this period
(about 40% to about 80%). Between 2005 and 2013, the number of deployed facility
and community-based health-care professionals also increased, including for
nurses (738 to 5766), midwives (211 to 3333), general physicians (403 to 5990),
and community health workers (2682 to 28 837). Multivariable analysis of factors
contributing to overall changes in skilled birth attendance and facility births
suggests independent contributions of maternal literacy, deployment of community
midwives, and proximity to a facility. INTERPRETATION: Despite conflict and
poverty, Afghanistan has made reasonable progress in its reproductive, maternal,
newborn, and child health indicators over the last decade based on contributions
of factors within and outside the health sector. However, equitable access to
health care remains a challenge and present delivery models have high
transactional costs, affecting sustainability. To maintain and further accelerate
health and development gains, future strategies in Afghanistan will need to focus
on investments in improving social determinants of health and targeted cost
effective interventions to address major causes of maternal and newborn
mortality. FUNDING: US Fund for UNICEF under the Countdown to 2015 for Maternal,
Newborn, and Child Survival grant from the Bill & Melinda Gates Foundation, and
from the Government of Canada, Foreign Affairs, Trade and Development Canada.
Additional direct and in-kind support was received from the UNICEF Country Office
Afghanistan, the Centre for Global Child Health, the Hospital for Sick Children,
Toronto, the Aga Khan University, and Mother and Child Care Trust (Pakistan).
PMID- 27198845
TI - Child health and nutrition in Peru within an antipoverty political agenda: a
Countdown to 2015 country case study.
AB - BACKGROUND: Peru is an upper-middle-income country with wide social and regional
disparities. In recent years, sustained multisectoral antipoverty programmes
involving governments, political parties, and civil society have included
explicit health and nutrition goals and spending increased sharply. We did a
country case study with the aim of documenting Peru's progress in reproductive,
maternal, neonatal, and child health from 2000-13, and explored the potential
determinants. METHODS: We examined the outcomes of health interventions coverage,
under-5 mortality, neonatal mortality, and prevalence of under-5 stunting. We
obtained data from interviews with key informants, a literature review of
published and unpublished data, national censuses, and governmental reports. We
obtained information on social determinants of health, including economic growth,
poverty, unmet basic needs, urbanisation, women's education, water supply,
fertility rates, and child nutrition from the annual national households surveys
and the Peruvian Demographic and Health Surveys. We obtained national mortality
data from the Interagency Group for Child Mortality Estimation, and calculated
subnational rates from 11 surveys. Analyses were stratified by region, wealth
quintiles, and urban or rural residence. We calculated coverage indicators for
the years 2000-13, and we used the Lives Saved Tool (LiST) to estimate the effect
of changes in intervention coverage and in nutritional status on mortality.
FINDINGS: From 2000 to 2013, under-5 mortality fell by 58% from 39.8 deaths per
1000 livebirths to 16.7. LiST, which was used to predict the decline in mortality
arising from changes in fertility rates, water and sanitation, undernutrition,
and coverage of indicators of reproductive, maternal, neonatal, and child health
predicted that the under-5 mortality rate would fall from 39.8 to 28.4 per 1000
livebirths, accounting for 49.2% of the reported reduction. Neonatal mortality
fell by 51% from 16.2 deaths per 1000 livebirths to 8.0. Stunting prevalence
remained stable at around 30% until 2007, decreasing to 17.5% by 2013, and the
composite coverage index for essential health interventions increased from 75.1%
to 82.6%, with faster increases among the poor, in rural areas, and in the Andean
region. Socioeconomic, urban-rural, and regional inequalities in coverage,
mortality, and stunting were substantially reduced. The proportion of the
population living below the poverty line reduced from 47.8% to 23.9%, women with
fewer than 4 years of schooling reduced from 11.5% to 6.9%, urbanisation
increased from 68.1% to 75.6%, and the total fertility rate decreased from 3.0
children per woman to 2.4. We interviewed 175 key informants and they raised the
following issues: economic growth, improvement of social determinants, civil
society empowerment and advocacy, out-of-health and within-health-sector changes,
and sustained implementation of evidence-based, pro-poor reproductive, maternal,
neonatal, and child health interventions. INTERPRETATION: Peru has made
substantial progress in reducing neonatal and under-5 mortality, and child
stunting. This country is a good example of how a combination of political will,
economic growth, broad societal participation, strategies focused on poor people,
and increased spending in health and related sectors can achieve significant
progress in reproductive, maternal, neonatal, and child health. The remaining
challenges include continuing to address inequalities in wealth distribution,
poverty, and access to basic services, especially in the Amazon and Andean rural
areas. FUNDING: Bill & Melinda Gates Foundation.
PMID- 27198846
TI - Effects of pectin on fermentation characteristics, carbohydrate utilization, and
microbial community composition in the gastrointestinal tract of weaning pigs.
AB - SCOPE: We aimed to investigate the effects of three different soluble pectins on
the digestion of other consumed carbohydrates, and the consequent alterations of
microbiota composition and SCFA levels in the intestine of pigs. METHODS AND
RESULTS: Piglets were fed a low-methyl esterified pectin enriched diet (LMP), a
high-methyl esterified pectin enriched diet (HMP), a hydrothermal treated soybean
meal enriched diet (aSBM) or a control diet (CONT). LMP significantly decreased
the ileal digestibility of starch resulting in more starch fermentation in the
proximal colon. In the ileum, low-methyl esterified pectin present was more
efficiently fermented by the microbiota than high-methyl esterified pectin
present which was mainly fermented by the microbiota in the proximal colon.
Treated soybean meal was mainly fermented in the proximal colon and shifted the
fermentation of cereal dietary fiber to more distal parts, resulting in high SCFA
levels in the mid colon. LMP, HMP, and aSBM decreased the relative abundance of
the genus Lactobacillus and increased that of Prevotella in the colon.
CONCLUSION: The LMP, HMP, and aSBM, differently affected the digestion processes
compared to the control diet and shaped the colonic microbiota from a
Lactobacillus-dominating flora to a Prevotella-dominating community, with
potential health-promoting effects.
PMID- 27198847
TI - Small angle neutron scattering contrast variation reveals heterogeneities of
interactions in protein gels.
AB - We propose a quantitative approach to probe the spatial heterogeneities of
interactions in macromolecular gels, based on a combination of small angle X-ray
(SAXS) and neutrons (SANS) scattering. We investigate the structure of model
gluten protein gels and show that the gels display radically different SAXS and
SANS profiles when the solvent is (at least partially) deuterated. The detailed
analysis of the SANS signal as a function of the solvent deuteration demonstrates
heterogeneities of sample deuteration at different length scales. The progressive
exchange between the protons (H) of the proteins and the deuteriums (D) of the
solvent is inhomogeneous and 60 nm large zones that are enriched in H are
evidenced. In addition, at low protein concentration, in the sol state, solvent
deuteration induces a liquid/liquid phase separation. Complementary biochemical
and structure analyses show that the denser protein phase is more protonated and
specifically enriched in glutenin, the polymeric fraction of gluten proteins.
These findings suggest that the presence of H-rich zones in gluten gels would
arise from the preferential interaction of glutenin polymers through a tight
network of non-exchangeable intermolecular hydrogen bonds.
PMID- 27198849
TI - Overcoming Challenges in CAR T-cell Product CGMP Release.
PMID- 27198852
TI - Multistage Delivery Technologies: Multifunctional, Interdisciplinary Approaches
to Nanomedicine.
PMID- 27198848
TI - A comprehensive tractography study of patients with bipolar disorder and their
unaffected siblings.
AB - BACKGROUND: Diffusion tensor imaging studies show reductions in fractional
anisotropy (FA) in individuals with bipolar disorder and their unaffected
siblings. However, the use of various analysis methods is an important source of
between-study heterogeneity. Using tract-based spatial statistics, we previously
demonstrated widespread FA reductions in patients and unaffected relatives. To
better interpret the neuroanatomical pattern of this previous finding and to
assess the influence of methodological heterogeneity, we here applied
tractography to the same sample. METHODS: Diffusion-weighted images were acquired
for 96 patients, 69 unaffected siblings and 56 controls. We applied TRACULA, an
extension of a global probabilistic tractography algorithm, to automatically
segment 18 major fiber tracts. Average FA within each tract and at each cross
section along each tract was compared between groups. RESULTS: Patients had
reduced FA compared to healthy controls and their unaffected siblings in general,
and in particular in the parietal part of the superior longitudinal fasciculus.
In unaffected siblings, FA was nominally reduced compared to controls in the
corpus callosum. Point-wise analyses indicated that similar effects were present
along extended sections, but with variable effect sizes. Current symptom severity
negatively correlated with FA in several fronto-limbic association tracts.
CONCLUSIONS: The differential sensitivity of analysis techniques likely explains
between-study heterogeneity in anatomical localization of FA reductions. The
present tractography analysis confirms the presence of overall FA reductions in
patients with bipolar disorder, which are most pronounced in the superior
longitudinal fasciculus. Unaffected siblings may display similar, albeit more
subtle and anatomically restricted FA reductions. Hum Brain Mapp 37:3474-3485,
2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27198853
TI - Endogenous Transposase Source in Human Cells Mobilizes piggyBac Transposons.
PMID- 27198854
TI - The Methylene Alkoxy Carbamate Self-Immolative Unit: Utilization for the Targeted
Delivery of Alcohol-Containing Payloads with Antibody-Drug Conjugates.
AB - A strategy for the conjugation of alcohol-containing payloads to antibodies has
been developed and involves the methylene alkoxy carbamate (MAC) self-immolative
unit. A series of MAC beta-glucuronide model constructs were prepared to evaluate
stability and enzymatic release, and the results demonstrated high stability at
physiological pH in a substitution-dependent manner. All the MAC model compounds
efficiently released alcohol drug surrogates under the action of beta
glucuronidase. To assess the MAC technology for ADCs, the potent microtubule
disrupting agent auristatin E (AE) was incorporated through the norephedrine
alcohol. Conjugation of the MAC beta-glucuronide AE drug linker to the anti-CD30
antibody cAC10, and an IgG control antibody, gave potent and immunologically
specific activities in vitro and in vivo. These studies validate the MAC self
immolative unit for alcohol-containing payloads within ADCs, a class that has not
been widely exploited.
PMID- 27198855
TI - Reducing-Agent-Free Instant Synthesis of Carbon-Supported Pd Catalysts in a Green
Leidenfrost Droplet Reactor and Catalytic Activity in Formic Acid
Dehydrogenation.
AB - The development of green synthesis methods for supported noble metal catalysts
remains important challenges to improve their sustainability. Here we first
synthesized carbon-supported Pd catalysts in a green Leidenfrost droplet reactor
without reducing agents, high-temperature calcination and reduction procedures.
When the aqueous solution containing Pd nitrate precursor, carbon support, and
water is dripped on a hot plate, vapor layer is formed between a solution droplet
and hot surface, which allow the solution droplet to be levitated on the hot
surface (Leidenfrost phenomena). Subsequently, Pd nanoparticles can be prepared
without reducing agents in a weakly basic droplet reactor created by the
Leidenfrost phenomena, and then the as-prepared Pd nanoparticles are loaded on
carbon supports during boiling down the droplet on hot surface. Compared to
conventional incipient wetness and chemical synthetic methods, the Leidenfrost
droplet reactor does not need energy-consuming, time-consuming, and
environmentally unfriendly procedures, which leads to much shorter synthesis
time, lower carbon dioxide emission, and more ecofriendly process in comparison
with conventional synthesis methods. Moreover, the catalysts synthesized in the
Leidenfrost droplet reactor provided much better catalytic activity for room
temperature formic acid decomposition than those prepared by the incipient
wetness method.
PMID- 27198856
TI - Curcumin-carboxymethyl chitosan (CNC) conjugate and CNC/LHR mixed polymeric
micelles as new approaches to improve the oral absorption of P-gp substrate
drugs.
AB - The low oral bioavailability of numerous drugs has been mostly attributed to the
significant effect of P-gp-mediated efflux on intestinal drug transport. Herein,
we developed mixed polymeric micelles (MPMs) comprised of curcumin-carboxymethyl
chitosan (CNC) conjugate, as a potential inhibitor of P-gp-mediated efflux and
gastrointestinal absorption enhancer, and low-molecular-weight heparin-all-trans
retinoid acid (LHR) conjugate, as loading material, with the aim to improve the
oral absorption of P-gp substrate drugs. CNC conjugate was synthesized by
chemical bonding of curcumin (Cur) and carboxymethyl chitosan (CMCS) taking
advantage of the inhibition of intestinal P-gp-mediated secretion by Cur and the
intestinal absorption enhancement by CMCS. The chemical structure of CNC
conjugate was characterized by 1H NMR with a degree of substitution of Cur of
4.52-10.20%. More importantly, CNC conjugate markedly improved the stability of
Cur in physiological pH. Cyclosporine A-loaded CNC/LHR MPMs (CsA-CNC/LHR MPMs)
were prepared by dialysis method, with high drug loading 25.45% and nanoscaled
particle size (~200 nm). In situ single-pass perfusion studies in rats showed
that both CsA + CNC mixture and CsA-CNC/LHR MPMs achieved significantly higher Ka
and Peff than CsA suspension in the duodenum and jejunum segments (p < 0.01),
which was comparable to verapamil coperfusion effect. Similarly, CsA + CNC
mixture and CsA-CNC/LHR MPMs significantly increased the oral bioavailability of
CsA as compared to CsA suspension. These results suggest that CNC conjugate might
be considered as a promising gastrointestinal absorption enhancer, while CNC/LHR
MPMs had the potential to improve the oral absorption of P-gp substrate drugs.
PMID- 27198857
TI - Arteriovenous oscillations of the redox potential: Is the redox state influencing
blood flow?
AB - OBJECTIVE: Studies on the regulation of human blood flow revealed several modes
of oscillations with frequencies ranging from 0.005 to 1 Hz. Several mechanisms
were proposed that might influence these oscillations, such as the activity of
vascular endothelium, the neurogenic activity of vessel wall, the intrinsic
activity of vascular smooth muscle, respiration, and heartbeat. These studies
relied typically on non-invasive techniques, for example, laser Doppler
flowmetry. Oscillations of biochemical markers were rarely coupled to blood flow.
METHODS: The redox potential difference between the artery and the vein was
measured by platinum electrodes placed in the parallel homonymous femoral artery
and the femoral vein of ventilated anesthetized pigs. RESULTS: Continuous
measurement at 5 Hz sampling rate using a digital nanovoltmeter revealed
fluctuating signals with three basic modes of oscillations: ~ 1, ~ 0.1 and ~ 0.01
Hz. These signals clearly overlap with reported modes of oscillations in blood
flow, suggesting coupling of the redox potential and blood flow. DISCUSSION: The
amplitude of the oscillations associated with heart action was significantly
smaller than for the other two modes, despite the fact that heart action has the
greatest influence on blood flow. This finding suggests that redox potential in
blood might be not a derivative but either a mediator or an effector of the blood
flow control system.
PMID- 27198858
TI - Frontal fibrosing alopecia: reflections and hypotheses on aetiology and
pathogenesis.
AB - Since first described by Kossard in 1994, frontal fibrosing alopecia (FFA) has
been something of an enigma. The clinical heterogeneity of FFA, its apparent
rarity and investigators' suboptimal access to phenotypically consistent patient
cohorts may all have had a negative impact on delineating disease pathogenesis.
Moreover, there is a relative paucity of epidemiological, interventional and
basic research studies, and there have been no advances in translational
therapeutics, unlike for other inflammatory dermatoses, such as alopecia areata
(AA). Dermatologists anecdotally describe an increasing incidence in FFA over the
last decade, which has led to the notion that the disorder may be induced by
unknown environmental triggers. On the other hand, segregation of FFA in some
families lends support to an unexplored genetic element implicated in disease
pathogenesis. We herein review what is known about the pathobiology of FFA and
formulate working hypotheses to advance insight into this intriguing hair
disorder.
PMID- 27198859
TI - The fundamentals behind solving for unknown molecular structures using computer
assisted structure elucidation: a free software package at the undergraduate and
graduate levels.
AB - The successful elucidation of an unknown compound's molecular structure often
requires an analyst with profound knowledge and experience of advanced
spectroscopic techniques, such as Nuclear Magnetic Resonance (NMR) spectroscopy
and mass spectrometry. The implementation of Computer-Assisted Structure
Elucidation (CASE) software in solving for unknown structures, such as isolated
natural products and/or reaction impurities, can serve both as elucidation and
teaching tools. As such, the introduction of CASE software with 112 exercises to
train students in conjunction with the traditional pen and paper approach will
strengthen their overall understanding of solving unknowns and explore of various
structural end points to determine the validity of the results quickly. Copyright
(c) 2016 John Wiley & Sons, Ltd.
PMID- 27198860
TI - Wolbachia endosymbionts in haplodiploid and diploid scolytine beetles
(Coleoptera: Curculionidae: Scolytinae).
AB - Haplodiploidy is a sex determination system in which fertilized diploid eggs
develop into females and unfertilized haploid eggs develop into males. The
evolutionary explanations for this phenomenon include the possibility that
haplodiploidy can be reinforced by infection with endosymbiotic bacteria, such as
Wolbachia. The subfamily Scolytinae contains species with haplodiploid and
diploid sex determination systems. Thus, we studied the association with
Wolbachia in 12 diploid and 11 haplodiploid scolytine beetles by analyzing wsp
and multilocus sequence typing (MLST) of five loci in this endosymbiont.
Wolbachia genotypes were compared with mitochondrial (COI) and nuclear (EF)
genotypes in the scolytines. Eight of the 23 scolytine species were infected with
Wolbachia, with haplodiploids at significantly higher rates than diploid species.
Cloning and sequencing detected multiple infections with up to six Wolbachia
strains in individual species. Phylogenetic analyses of wsp and five MLST genes
revealed different Wolbachia strains in scolytines. Comparisons between the
beetle and Wolbachia phylogenies revealed that closely related beetles were
infected with genetically different Wolbachia strains. These results suggest the
horizontal transmission of multiple Wolbachia strains between scolytines. We
discuss these results in terms of the evolution of different sex determination
systems in scolytine beetles.
PMID- 27198862
TI - Is Health Literacy Associated With Depressive Symptoms Among Korean Adults?
Implications for Mental Health Nursing.
AB - OBJECTIVE: This study investigated whether health literacy is associated with
depressive symptoms among Korean adults, when adjusting for relevant risk factors
for depression. METHODS: Data were collected from a sample of 585 community
dwelling Korean adults living in Seoul and Kwangju, South Korea, using a quota
sampling strategy. A cross-sectional, multivariate regression analysis was used
to investigate the association between health literacy and depressive symptoms.
RESULTS: When controlled for covariates, a lower level of health literacy was
significantly associated with a higher level of depressive symptoms. CONCLUSION:
Health literacy may play an important role in preventing and treating depression.
Future research is needed to determine if improving health literacy, through
health promotion interventions, can enhance community-dwelling Korean adults'
understanding of depressive symptoms and relevant treatment options.
PMID- 27198861
TI - Outcome Trends after US Military Concussive Traumatic Brain Injury.
AB - Care for US military personnel with combat-related concussive traumatic brain
injury (TBI) has substantially changed in recent years, yet trends in clinical
outcomes remain largely unknown. Our prospective longitudinal studies of US
military personnel with concussive TBI from 2008-2013 at Landstuhl Regional
Medical Center in Germany and twp sites in Afghanistan provided an opportunity to
assess for changes in outcomes over time and analyze correlates of overall
disability. We enrolled 321 active-duty US military personnel who sustained
concussive TBI in theater and 254 military controls. We prospectively assessed
clinical outcomes 6-12 months later in 199 with concussive TBI and 148 controls.
Global disability, neurobehavioral impairment, depression severity, and post
traumatic stress disorder (PTSD) severity were worse in concussive TBI groups in
comparison with controls in all cohorts. Global disability primarily reflected a
combination of work-related and nonwork-related disability. There was a modest
but statistically significant trend toward less PTSD in later cohorts.
Specifically, there was a decrease of 5.9 points of 136 possible on the Clinician
Administered PTSD Scale (-4.3%) per year (95% confidence interval, 2.8-9.0
points, p = 0.0037 linear regression, p = 0.03 including covariates in
generalized linear model). No other significant trends in outcomes were found.
Global disability was more common in those with TBI, those evacuated from
theater, and those with more severe depression and PTSD. Disability was not
significantly related to neuropsychological performance, age, education, self
reported sleep deprivation, injury mechanism, or date of enrollment. Thus, across
multiple cohorts of US military personnel with combat-related concussion, 6-12
month outcomes have improved only modestly and are often poor. Future focus on
early depression and PTSD after concussive TBI appears warranted. Adverse
outcomes are incompletely explained, however, and additional studies with
prospective collection of data on acute injury severity and polytrauma, as well
as reduced attrition before follow-up will be required to fully address the root
causes of persistent disability after wartime injury.
PMID- 27198863
TI - Proteinuria as a Therapeutic Target in Advanced Chronic Kidney Disease: a
Retrospective Multicenter Cohort Study.
AB - Current evidence of proteinuria reduction as a surrogate target in advanced
chronic kidney disease (CKD) is incomplete due to lack of patient-pooled
database. We retrospectively studied a multicenter cohort of 1891 patients who
were enrolled in the nationwide multidisciplinary pre-end stage renal disease
care program with a baseline glomerular filtration rate (GFR) <45 mL/min/1.73
m(2) and followed longitudinally to investigate the effect of the change in
proteinuria on renal death (defined as composite of dialysis and death occurring
before initiation of dialysis). The group with a change in proteinuria <=0.30 g/g
(n = 1261) had lower cumulative probabilities of renal death (p < 0.001). In a
linear regression model, a higher baseline proteinuria and a greater increase in
proteinuria were associated with faster annual GFR decline. Cox's analysis showed
that every 1 unit increase in natural log(baseline proteinuria, 10 g/g) and every
0.1 g/g increase in the change in proteinuria resulted in 67% (HR = 1.67, 95% CI:
1.46-1.91) and 1% (HR = 1.01, 95% CI: 1.01-1.01) greater risk of renal death
respectively after adjusting for the effects of the other covariates. Our study
provided a patient-based evidence to support proteinuria as a therapeutic target
in advanced CKD.
PMID- 27198865
TI - Self-control as generalized operant behavior by adults with autism spectrum
disorder.
AB - The present investigation attempted to teach self-control to 3 adults who had
been diagnosed with autism spectrum disorder. Using a self-control training
procedure that coupled a gradual fading of delay to access a large delayed
reinforcer with a concurrent work requirement, each participant was exposed to
conditions in which baseline levels of choices for large delayed reinforcers and
task engagement increased 10-fold. Furthermore, generalization effects were
partially demonstrated in a novel context.
PMID- 27198864
TI - Alternating Poly(ester-anhydride) by Insertion Polycondensation.
AB - We report on a synthetic method where polyanhydride is used as starting material
and the ester monomers are inserted through complete esterification, leading to
an alternating ester-anhydride copolymer. The molar ratio of ricinoleic acid (RA)
and sebacic acid (SA) was optimized until polysebacic acid is completely
converted to carboxylic acid-terminated RA-SA and RA-SA-RA ester-dicarboxylic
acids. These dimers and trimers were activated with acetic anhydride, polymerized
under heat and vacuum to yield alternating RA-SA copolymer. The resulting
alternating poly(ester-anhydride) have the RA at regular intervals. The regular
occurrences of RA side chains prevent anhydride interchange, enhancing hydrolytic
stability, which allows storage of the polymer at room temperature.
PMID- 27198866
TI - Insect-specific flaviviruses in Aedes mosquitoes in Greece.
AB - Mosquitoes of the genus Aedes are known vectors of pathogenic flaviviruses, and
insect-specific flaviviruses (ISFs) have been detected in members of this genus
in numerous parts of the world. In order to gain insight into whether Aedes
mosquitoes in Greece are infected by flaviviruses, 1173 Aedes spp. mosquitoes
collected in 2010 and 2012 were grouped in 53 pools and tested by RT nested PCR
using flavivirus generic primers. Eight pools (15.09 %) were found to be PCR
positive: five pools (5/53, 9.4 %) contained RNA sequences related to
Ochlerotatus caspius flavivirus (OCFV), an ISF previously detected in the Iberian
peninsula, two pools (2/53, 3.8 %) contained sequences related to a mosquito
flavivirus detected in Aedes vexans (AeveV) in Italy and the Czech Republic, and
one pool contained a DNA sequence that was too short to identify accurately. The
highest OCFV prevalence (12.9 %) was observed in August 2010 in the regional unit
of Thessaloniki. Similar sequences were later obtained from two Culex spp. pools
collected in 2013 in the same regions. A genetic difference of 0.2-1.4 % was seen
among the Greek OCFV strains, which differed by 2.2-4.1 % from the Iberian
strains and by 6.2-11.1 % from the Finnish Hanko virus. The genetic distances
among strains varied depending on the genome region (genes for E, NS3 and NS5
proteins), with NS3 being the most variable. The present study shows no evidence
of infection of Aedes mosquitoes with known pathogenic flaviviruses, but it
expands the geographic distribution of OCFV in the eastern Mediterranean area.
Any implication of ISFs for public health (either directly or through
interactions with other flaviviruses in the mosquitoes) remains to be elucidated.
PMID- 27198867
TI - Near-infrared in vivo bioimaging using a molecular upconversion probe.
AB - We demonstrate molecular upconversion probe, NRh-1, which shows excellent
photostability, high quantum yield up to 1.8%, and rapid excretion capability.
The NIR-to-NIR upconversion technique offers remarkably improved signal-to-noise
ratios and substantially higher tissue penetration depth.
PMID- 27198868
TI - Pay drug companies $1bn for each new antibiotic, says report.
PMID- 27198869
TI - Assessment of the Physiological Adaptations to Chronic Hypoxemia in Eisenmenger
Syndrome.
AB - OBJECTIVE: Eisenmenger syndrome is characterized by severe and lifelong hypoxemia
and pulmonary hypertension. Despite this, patients do surprisingly well and
report a reasonable quality of life. The aim of this study was to investigate
whether these patients undergo adaptation of their skeletal and cardiac muscle
energy metabolism which would help explain this paradox. DESIGN AND SETTING: Ten
patients with Eisenmenger syndrome and eight age- and sex-matched healthy
volunteers underwent symptom-limited treadmill cardiopulmonary exercise testing,
transthoracic echocardiography and (31) P magnetic resonance spectroscopy of
cardiac and skeletal muscle. Five subjects from each group also underwent near
infrared spectroscopy to assess muscle oxygenation. RESULTS: Despite having a
significantly lower peak VO2 , patients with Eisenmenger syndrome have a similar
skeletal muscle phosphocreatine (PCr) recovery, a measure of oxidative capacity,
when compared to healthy controls (34.9 s +/- 2.9 s vs. 35.2 s +/- 1.7 s, P =
.9). Furthermore their intracellular pH falls to similar levels during exercise
suggesting they are not reliant on early anaerobic metabolism (0.3 +/- 0.06 vs.
0.28 +/- 0.04, P = .7). While their right ventricular systolic function remained
good, the Eisenmenger group had a lower cardiac PCr/ATP ratio compared to the
control group (1.55 +/- 0.10 vs. 2.17 +/- 0.15, P < .05). CONCLUSIONS: These
results show that adult patients with Eisenmenger syndrome have undergone
beneficial physiological adaptations of both skeletal and cardiac muscle. This
may, in part, explain their surprisingly good survival despite a lifetime of
severe hypoxemia and adverse cardiopulmonary hemodynamics.
PMID- 27198871
TI - A short buttress plate fixation of posterior column through single ilioinguinal
approach for complex acetabular fractures.
AB - PURPOSE: The goal of this study was to evaluate the safety and efficacy of a new
technique for posterior column fixation through the standard ilioinguinal
approach. METHODS: We conducted a retrospective review involving 33 consecutive
patients with complex acetabular fractures treated using a short buttress plate
fixation of posterior column through single ilioinguinal approach. Radiographic
evaluation was performed using criteria described by Matta. Functional outcome
was assessed using modified Postel Merle D'Aubigne score. RESULTS: Between 2008
and 2013, 33 adult patients with mean age of 46 years and mean follow up of 37.5
months were enrolled. Anatomic reduction was obtained in 61 % of cases, imperfect
reduction in 24 % of cases and poor reduction in 15 % of cases. The average
modified Merle d'Aubigne score was 15: categorized as excellent in seven, good in
18, fair in three, and poor in four. One patient died at 15 days because of
pulmonary embolism. Four patients sustained temporary lateral femoral cutaneous
palsy. At final follow up, two patients had severe post-traumatic arthritis; one
of them underwent a total hip arthroplasty at 93 months. None of the patients had
loss of reduction. CONCLUSIONS: A short buttress plate fixation of posterior
column through single ilioinguinal approach for complex acetabular fractures is a
safe and effective method.
PMID- 27198872
TI - From the Editors.
PMID- 27198870
TI - Comparison of transforaminal verse interlaminar epidural steroid injection in low
back pain with lumbosacral radicular pain: a meta-analysis of the literature.
AB - OBJECTIVE: The object of the present meta-analysis is to compare the
effectiveness of transforaminal epidural steroid injection (TFESI) and
interlaminar epidural steroid injection (ILESI) for treating patients with low
back pain (LBP) secondary to lumbosacral radicular pain. METHODS: A systematic
search was performed in the PubMed and Embase databases and the Cochrane Library
for relevant literature published through January 2016. The randomized controlled
trials (RCTs) and controlled observational studies were selected, which did not
only compare TFESI with ILESI but also reported the available data. The Cochrane
Collaboration's Handbook and Newcastle-Ottawa Scale (NOS) were used for the
methodological quality assessments of the RCTs and observational studies
respectively. The meta-analysis was performed using the Revman 5.2 software.
RESULTS: A total of 931 patients from nine RCTs and four observational studies
were subjected to meta-analysis. In primary outcomes, the TFESI patients
experienced superior pain relief compared with the TFESI patients in RCTs (P =
0.01), but not in observational studies (p = 0.63). The pooled data of RCTs
showed that the TFESI group presented superior clinical results in terms of
visual analogue scale (VAS) than the ILESI group (p = 0.0005). Moreover, the
numeric rating scale (NRS) specifically favored TFESI in the RCTs (p < 0000.1).
Similar functional improvement and oswentry disability index (ODI) score were
observed between TFESI and ILESI in RCTs (P = 0.62). In secondary outcomes, meta
analysis of RCTs and observational studies revealed that there were no
statistically significant differences between both groups in regard to procedure
frequency, surgery rate, and ventral epidural spread. CONCLUSIONS: According to
the results of meta-analysis, TFESI to manage LBP provides superior short term
pain relief and equal functional improvement when compared to ILESI. It has not
shown a statistically significant difference between both groups with regard to
procedure frequency, surgery rate, and ventral epidural spread.
PMID- 27198873
TI - Advancing Methods for National and Global Risk Studies.
PMID- 27198874
TI - Response.
PMID- 27198875
TI - Risk Management Should Play a Stronger Role in Developing and Implementing Social
Responsibility Policies for Organizations.
PMID- 27198876
TI - Modeling Lung Carcinogenesis in Radon-Exposed Miner Cohorts: Accounting for
Missing Information on Smoking.
AB - Epidemiological miner cohort data used to estimate lung cancer risks related to
occupational radon exposure often lack cohort-wide information on exposure to
tobacco smoke, a potential confounder and important effect modifier. We have
developed a method to project data on smoking habits from a case-control study
onto an entire cohort by means of a Monte Carlo resampling technique. As a proof
of principle, this method is tested on a subcohort of 35,084 former uranium
miners employed at the WISMUT company (Germany), with 461 lung cancer deaths in
the follow-up period 1955-1998. After applying the proposed imputation technique,
a biologically-based carcinogenesis model is employed to analyze the cohort's
lung cancer mortality data. A sensitivity analysis based on a set of 200
independent projections with subsequent model analyses yields narrow
distributions of the free model parameters, indicating that parameter values are
relatively stable and independent of individual projections. This technique thus
offers a possibility to account for unknown smoking habits, enabling us to
unravel risks related to radon, to smoking, and to the combination of both.
PMID- 27198877
TI - Does Electrode Design Matter in Ablation Effect Assessments?
PMID- 27198878
TI - Parametric analysis of RNA-seq expression data.
AB - Various methods had been introduced for normalization and comparison of RNA-seq
count data. However, they lacked objectivity because they based on ad hoc
assumptions that were never verified their appropriateness. Here, we introduced a
method that assumes parsimony models on data distribution; the assumptions were
verified according to exploratory data analysis. As was expected, count data were
lognormally distributed. The level of noise in recent data appeared to be much
higher than those of microarrays. Still, the appropriate distribution model would
improve certainty and accuracy of normalization, by finding out the reliable
range of data. Primary cause of noise was not the principle of the methodology;
that is, each read is a trial that which transcript is read. Rather, the cause
would be overlooking of transcripts, and the overlooking occurred more often
among lower range of data. To find out genes likely to be overlooked, number of
replications would be more important than read depth, which will not prevent
overlooking. Both signal and noise in the reliable range of data were distributed
normally, showing the suitability to use generalized linear model to evaluate
differences in expression levels. In the framework, normalized data can be
compared and combined freely beyond studies.
PMID- 27198880
TI - Royal colleges welcome junior contract agreement.
PMID- 27198879
TI - Food-grade Pickering emulsions stabilised with solid lipid particles.
AB - Aqueous dispersions of tripalmitin particles (with a minimum size of 130 nm) were
produced, via a hot sonication method, with and without the addition of food
grade emulsifiers. Depending on their relative size and chemistry, the
emulsifiers altered the properties of the fat particles (e.g. crystal form,
dispersion state and surface properties) by two proposed mechanisms. Firstly,
emulsifiers modify the rate and/or extent of polymorphic transitions, resulting
in the formation of fat crystals with a range of polarities. Secondly, the
adsorption of emulsifiers at the particle interface modifies crystal surface
properties. Such emulsifier-modified fat particles were then used to stabilise
emulsions. As the behaviour of these particles was predisposed by the kind of
emulsifier employed for their manufacture, the resulting particles showed
different preferences to which of the emulsion phases (oil or water) became the
continuous one. The polarity of the fat particles decreased as follows: Whey
Protein Isolate > Soy Lecithin > Soy Lecithin + Tween 20 > Tween 20 >
Polyglycerol Polyricinoleate > no emulsifier. Consequently, particles stabilised
with WPI formed oil-in-water emulsions (O/W); particles stabilised solely with
lecithin produced a highly unstable W/O emulsion; and particles stabilised with a
mixture of lecithin and Tween 20 gave a stable W/O emulsion with drop size up to
30 MUm. Coalescence stable, oil-continuous emulsions (W/O) with drop sizes
between 5 and 15 MUm were produced when the tripalmitin particles were stabilised
with solely with Tween 20, solely with polyglycerol polyricinoleate, or with no
emulsifier at all. It is proposed that the stability of the latter three
emulsions was additionally enhanced by sintering of fat particles at the oil
water interface, providing a mechanical barrier against coalescence.
PMID- 27198882
TI - [Let's also check if clinical practice guidelines are correctly used and if they
do work. Authors reply].
PMID- 27198881
TI - Survey of the genome of Pogostemon cablin provides insights into its evolutionary
history and sesquiterpenoid biosynthesis.
AB - Pogostemon cablin (Blanco) Benth. (Patchouli) is an important traditional Chinese
medicinal plant that has both essential oil value and a broad range of
therapeutic effects. Here we report the first de novo assembled 1.15-Gb draft
genome sequence for P. cablin from next-generation sequencing technology. Our
assembly, with a misassembly rate of <4 bp per 100 kb, is ~73% of the predicted
genome size (1.57 Gb). Analysis of whole-genome sequences identified 3,147,333
heterozygous single-nucleotide polymorphisms and 490,407 insertions and
deletions, giving an estimated heterozygosity rate of 0.274%. A comprehensive
annotation pipeline indicated that repetitive sequences make up 58.55% of the
assemblies, and that there are estimated 45,020 genes. Comparative genomics
analysis showed that the Phrymaceae and Lamiaceae family split ~62.80 Mya, and
the divergence between patchouli and sesame occurred ~52.42 Mya, implying a
potentially shared recent whole-genome duplication event. Analysis of gene
homologs involved in sesquiterpenoid biosynthesis showed that patchouli contains
key genes involved in more sesquiterpenoid types and has more copies of genes for
each sesquiterpenoid type than several other related plant species. The patchouli
genome will facilitate future research on secondary metabolic pathways and their
regulation as well as potential selective breeding of patchouli.
PMID- 27198884
TI - [Difficulty in surrogate decision making in spinal muscular atrophy type 1].
PMID- 27198883
TI - [Renal scarring in children under 36 months hospitalised for acute
pyelonephritis].
AB - INTRODUCTION: Acute pyelonephritis (APN) is one of the most common causes of
serious bacterial infection in infants. Renal scarring is the most prevalent long
term complication. OBJECTIVES: To review the incidence of renal scarring within 6
months after an episode of APN in children under 36 months and its relationship
with imaging studies, clinical settings, and bacteriology. METHOD: A
retrospective study of previously healthy patients aged one to 36 months,
admitted for a first episode of APN, with a minimum follow-up of 6 months.
Demographic and clinical variables were collected along with bacteriology, renal
and bladder ultrasound scan, voiding cystourethrography, DMSA-scintigraphy, and
re-infection events. RESULTS: A total of 125 patients were included in the study,
of which 60% were male, the large majority (92%) febrile, and due to E. coli
(74.6%). There was a history of prenatal ultrasound scan changes in 15.4%.
Ultrasound scan found dilation of the urinary tract in 22.1%. Voiding
cystourethrography was performed on 70 patients: 54.3% no abnormalities, 12.8%
vesicoureteral reflux (VUR) grade i-iii, and 32.9% iv-v grade VUR. Six patients
had iv-v grade VUR with a normal ultrasound scan. Adherence to DMSA-scintigraphy
at 6 months was only 61% of that indicated. Renal scarring was found in 44.3% of
those in which it was performed (60 cases). CONCLUSIONS: Almost half (44%) DMSA
scintigraphy in children aged one to 36 months hospitalised for APN show renal
scarring at 6 months, which was found to be associated with the re-infection
events and the iv-v grade VUR. There was no relationship between scarring and the
bacteriology or the elevations of inflammatory biochemical markers.
PMID- 27198885
TI - Routine sampling of internal mammary lymph nodes during microsurgical breast
reconstruction-Experience based on 524 microsurgical breast reconstructions.
AB - PURPOSE: Exploration of the internal mammary vessels during microsurgical
reconstruction presents an ideal opportunity for identifying and sampling the
internal mammary lymph node (IMLN) basin. METHODS: A retrospective review of
patients undergoing microsurgical breast reconstruction using the internal
mammary vessels as recipient vessels was conducted from March 2000 to December
2014. Patient demographics, tumor characteristics, preoperative lymph node
mapping, reconstructive timing, and outcomes were studied. RESULTS: A total of
524 microsurgical breast reconstructions in 516 patients were performed using the
internal mammary vessels. IMLNs were sampled in 53 immediate and 42 delayed
breast reconstructions. Eight (seven in the immediate and one in the delayed
group) of the sampled nodes were positive for cancer metastasis, for an incidence
of 8.4% in identified lymph nodes. All patients with metastatic IMLNs
subsequently received local-regional radiation and chemotherapy. All patients
were alive, and six were disease-free at the conclusion of the study period,
which had an average follow up of 67.3 months. CONCLUSION: Incidentally
encountered IMLNs during microsurgical breast reconstruction are frequently
positive. With negligible downside and the possibility to provide additional
information for treatment, the procedure should be encouraged. J. Surg. Oncol.
2016;114:133-139. (c) 2016 Wiley Periodicals, Inc.
PMID- 27198886
TI - Treatment with botulinum toxin in children with cerebral palsy: a qualitative
study of parents' experiences.
AB - BACKGROUND: In children with cerebral palsy everyday movements such as walking,
standing and using one's hands can be difficult to perform because of spasticity.
Botulinum neurotoxin type A (BoNT-A) are often used to reduce spasticity. The aim
of this study was to describe how parents of children with cerebral palsy
experienced the child's treatment with BoNT-A, how the child was affected by the
treatment and how spasticity affected the child. METHODS: A qualitative study in
which 15 parents of children (6-13 years old) with cerebral palsy were
interviewed about their experiences of the BoNT-A treatment. The children had
received several BoNT-A treatments. An interview guide was used with topics: the
child's functions before and after the treatment, the outcomes of the treatment
and how they valued the BoNT-A treatment. Content analysis was used to analyse
the interviews. RESULTS: The analyses resulted in two themes: 'When softness
comes and goes' and 'Both want and do not want'. The reduction of spasticity -
softness - was described to promote motor functions, and facilitate the next step
in motor development. The children were described as being more active out of
their own initiative and having a happier mood. Spasticity, described as
stiffness, was described to make walking more strenuous as well as interfering
with activities. The BoNT-A injection procedure was perceived as troublesome and
painful for the child, and sometimes traumatic for both children and parents.
CONCLUSIONS: Treatment with BoNT-A was described as facilitating motor
development and activity. The children's and the parents' negative experiences of
the injection procedure should be addressed.
PMID- 27198887
TI - Implementation of dispersion-free slow acoustic wave propagation and phase
engineering with helical-structured metamaterials.
AB - The ability to slow down wave propagation in materials has attracted significant
research interest. A successful solution will give rise to manageable enhanced
wave-matter interaction, freewheeling phase engineering and spatial compression
of wave signals. The existing methods are typically associated with constructing
dispersive materials or structures with local resonators, thus resulting in
unavoidable distortion of waveforms. Here we show that, with helical-structured
acoustic metamaterials, it is now possible to implement dispersion-free sound
deceleration. The helical-structured metamaterials present a non-dispersive high
effective refractive index that is tunable through adjusting the helicity of
structures, while the wavefront revolution plays a dominant role in reducing the
group velocity. Finally, we numerically and experimentally demonstrate that the
helical-structured metamaterials with designed inhomogeneous unit cells can turn
a normally incident plane wave into a self-accelerating beam on the prescribed
parabolic trajectory. The helical-structured metamaterials will have profound
impact to applications in explorations of slow wave physics.
PMID- 27198888
TI - The DEAD-box RNA helicase 51 controls non-small cell lung cancer proliferation by
regulating cell cycle progression via multiple pathways.
AB - The genetic regulation of cell cycle progression and cell proliferation plays a
role in the growth of non-small cell lung cancer (NSCLC), one of the most common
causes of cancer-related mortality. Although DEAD-box RNA helicases are known to
play a role in cancer development, including lung cancer, the potential
involvement of the novel family member DDX51 has not yet been investigated. In
the current study we assessed the role of DDX51 in NSCLC using a siRNA-based
approach. DDX51 siRNA-expressing cells exhibited a slower cell proliferation rate
and underwent arrest in S-phase of the cell cycle compared with control cells.
Microarray analyses revealed that DDX51siRNA expression resulted in the
dysregulation of a number of cell signalling pathways. Moreover, injection of
DDX51 siRNA into an animal model resulted in the formation of smaller tumours
compared with the control group. We also assessed the expression of DDX51 in
patients with NSCLC, and the data revealed that the expression was correlated
with patient age but no other risk factors. Overall, our data suggest for the
first time that DDX51 aids cell cancer proliferation by regulating multiple
signalling pathways, and that this protein might be a therapeutic target for
NSCLC.
PMID- 27198889
TI - High seeding density of human chondrocytes in agarose produces tissue-engineered
cartilage approaching native mechanical and biochemical properties.
AB - Animal cells have served as highly controllable model systems for furthering
cartilage tissue engineering practices in pursuit of treating osteoarthritis.
Although successful strategies for animal cells must ultimately be adapted to
human cells to be clinically relevant, human chondrocytes are rarely employed in
such studies. In this study, we evaluated the applicability of culture techniques
established for juvenile bovine and adult canine chondrocytes to human
chondrocytes obtained from fresh or expired osteochondral allografts. Human
chondrocytes were expanded and encapsulated in 2% agarose scaffolds measuring ?3
4mm*2.3mm, with cell seeding densities ranging from 15 to 90*10(6)cells/mL.
Subsets of constructs were subjected to transient or sustained TGF-beta
treatment, or provided channels to enhance nutrient transport. Human
cartilaginous constructs physically resembled native human cartilage, and reached
compressive Young's moduli of up to ~250kPa (corresponding to the low end of
ranges reported for native knee cartilage), dynamic moduli of ~950kPa (0.01Hz),
and contained 5.7% wet weight (%/ww) of glycosaminoglycans (>= native levels) and
1.5%/ww collagen. We found that the initial seeding density had pronounced
effects on tissue outcomes, with high cell seeding densities significantly
increasing nearly all measured properties. Transient TGF-beta treatment was
ineffective for adult human cells, and tissue construct properties plateaued or
declined beyond 28 days of culture. Finally, nutrient channels improved construct
mechanical properties, presumably due to enhanced rates of mass transport. These
results demonstrate that our previously established culture system can be
successfully translated to human chondrocytes.
PMID- 27198890
TI - Quantifying thumb opposition kinematics using dynamic computed tomography.
AB - Current motion capture techniques all have shortcomings when applied to the 3D
quantitative evaluation of thumb base motion. Dynamic CT might overcome these
shortcomings but, so far, robustness of this technique in more than one specimen
has not yet been demonstrated. The aim of the current study is to further
evaluate the use of dynamic CT for quantification of thumb motion in a larger
cadaveric study using a protocol which is feasible in a clinical context. A
dynamic CT scan was acquired from six cadaveric human forearms, while a motion
simulator imposed thumb opposition. After image acquisition and segmentation,
carpal bone motion was quantified using helical axes. To enable comparisons
between specimens, intersection points of the instantaneous helical axis with an
anatomically defined plane were determined. Precision of the dynamic CT method,
measured as variation in distances between silicon nitride beads between frames
of a dynamic scan, was 0.43mm (+/-0.09mm) when fixed to the skin and 0.13mm (+/
0.04mm) when embedded into the bone. Absolute deviation between known and
measured distances were not larger than 0.34mm. We could demonstrate and quantify
that thumb opposition is associated with motion at the trapeziometacarpal and
scaphotrapezotrapezoidal joints. High consistency in motion patterns between
specimen were found, while the radiation dose was limited. We conclude that
dynamic CT can be used to visualize and quantify 3D thumb kinematics, making it a
promising method to explore kinematics in vivo.
PMID- 27198891
TI - Clinical, angiographic and procedural characteristics of longitudinal stent
deformation.
AB - Recently, longitudinal stent deformation (LSD) has been reported increasingly.
Even though the reported cases included almost all stent designs, most cases were
seen in the ElementTM stent design (Boston Scientific, Natick, MA, USA). It is
considered that stent design, lesion and procedural characteristics play a role
in the etiology of LSD. Yet, the effect of LSD on long-term clinical outcomes has
not been studied well. Element stents implanted between January 2013 and April
2015 in our hospital were examined retrospectively. Patients were grouped into
two according to the presence of LSD, and their clinical, lesion and procedural
characteristics were studied. Twenty-four LSD's were detected in 1812 Element
stents deployed in 1314 patients (1.83 % of PCI cases and 1.32 % of all Element
stents). LMCA lesions (16.7 % vs 1.6 %, p < 0.001), complex lesions (75 % vs 35.1
%, p < 0.001), bifurcation lesions (37.5 % vs 18.3 %, p = 0.017), ostial lesions
(33.3 % vs 12.8 %, p = 0.003), using of extra-support guiding catheter (54.2 % vs
22.3 %, p < 0.001) and extra-support guidewire (37.5 % vs 16.2 %, p = 0.005) were
found to be more frequent in cases with LSD than in cases without it. In
addition, the number of stents, stent inflation pressure and the use of post
dilatation were significantly different between the two groups. Two patients had
an adverse event during the follow-up period. LSD is a rarely encountered
complication, and is more common in complex lesions such as ostial, bifurcation
and LMCA lesions. The use of extra-support guiding catheter, extra-support
guidewires and low stent inflation pressure increases the occurrence of LSD.
Nevertheless, with increased awareness of LSD and proper treatment, unwanted long
term outcomes can be successfully prevented.
PMID- 27198892
TI - Quantitative diffusion-weighted magnetic resonance imaging in the assessment of
myocardial fibrosis in hypertrophic cardiomyopathy compared with T1 mapping.
AB - To identify myocardial fibrosis in hypertrophic cardiomyopathy (HCM) subjects
using quantitative cardiac diffusion-weighted imaging (DWI) and to compare its
performance with native T1 mapping and extracellular volume (ECV). Thirty-eight
HCM subjects (mean age, 53 +/- 9 years) and 14 normal controls (mean age, 51 +/-
8 years) underwent cardiac magnetic resonance imaging (CMRI) on a 3.0T magnetic
resonance (MR) machine with DWI, T1 mapping and late gadolinium enhancement (LGE)
imaging as the reference standard. The mean apparent diffusion coefficient (ADC),
native T1 value and ECV were determined for each subject. Overall, the HCM
subjects exhibited an increased native T1 value (1241.04 +/- 78.50 ms), ECV (0.31
+/- 0.03) and ADC (2.36 +/- 0.34 s/mm(2)) compared with the normal controls
(1114.60 +/- 37.99 ms, 0.24 +/- 0.04, and 1.62 +/- 0.38 s/mm(2), respectively) (p
< 0.05). DWI differentiated healthy and fibrotic myocardia with an area under the
curve (AUC) of 0.93, while the AUCs of the native T1 values (0.93), (p > 0.05)
and ECV (0.94), (p > 0.05) exhibited an equal differentiation ability. Both HCM
LGE+ and HCM LGE- subjects had an increased native T1 value, ECV and ADC compared
to the normal controls (p < 0.05). HCM LGE+ subjects exhibited an increased ECV
(0.31 +/- 0.04) and ADC (2.43 +/- 0.36 s/mm(2)) compared to HCM LGE- subjects (p
< 0.05). HCM LGE+ and HCM LGE- subjects had similar native T1 values (1250 +/-
76.36 ms vs. 1213.98 +/- 92.30 ms, respectively) (p > 0.05). ADC values were
linearly associated with increased ECV (R(2) = 0.36) and native T1 values (R(2) =
0.40) among all subjects. DWI is a feasible alternative to native T1 mapping and
ECV for the identification of myocardial fibrosis in patients with HCM. DWI and
ECV can quantitatively characterize the extent of fibrosis in HCM LGE+ and HCM
LGE- patients.
PMID- 27198894
TI - Erratum: Respiratory Motor Function in Individuals with Centronuclear Myopathies.
PMID- 27198895
TI - Erratum: Reply: Respiratory motor function in centronuclear myopathy.
PMID- 27198893
TI - Transcriptome shock invokes disruption of parental expression-conserved genes in
tetraploid wheat.
AB - Allopolyploidy often triggers phenotypic novelty and gene expression remolding in
the resulting polyploids. In this study, we employed multiple phenotypic and
genetic approaches to investigate the nature and consequences of
allotetraploidization between A- and S-subgenome of tetraploid wheat. Results
showed that karyotype of the nascent allopolyploid plants (AT2) is stable but
they showed clear novelty in multiple morphological traits which might have
positively contributed to the initial establishment of the tetraploids. Further
microarray-based transcriptome profiling and gene-specific cDNA-pyrosequencing
have documented that transcriptome shock was exceptionally strong in AT2, but a
substantial proportion of the induced expression changes was rapidly stabilized
in early generations. Meanwhile, both additive and nonadditive expression genes
showed extensive homeolog expression remodeling and which have led to the
subgenome expression dominance in leaf and young inflorescence of AT2. Through
comparing the homeolog-expressing patterns between synthetic and natural
tetraploid wheats, it appears that the shock-induced expression changes at both
the total expression level and subgenome homeolog partitioning are evolutionarily
persistent. Together, our study shed new light on how gene expression changes
have rapidly occurred at the initial stage following allotetraploidization, as
well as their evolutionary relevance, which may have implications for wheat
improvements.
PMID- 27198896
TI - Repair of large osteochondritis dissecans lesions using a novel multilayered
tissue engineered construct in an equine athlete.
AB - Osteochondral lesions resulting from osteochondritis dissecans are problematic to
treat and present a significant challenge for clinicians. The aims of this study
were to investigate the use of a scaffold-assisted microfracture approach,
employing a novel, multilayered, collagen-based, osteochondral graft substitute
in the treatment of severe osteochondritis dissecans of both lateral femoral
trochlear ridges in an equine athlete, and to assess the potential of this novel
scaffold to enhance repair of the osteochondral unit. A 15 month-old female filly
presented with large osteochondritis dissecans lesions involving both femoral
lateral trochlear ridges. After routine arthroscopic debridement and
microfracture of the subchondral bone, multilayered osteochondral defect repair
scaffolds were implanted into the fragmentation beds in both left and right
femoropatellar joints via mini-arthrotomies. Exploratory arthroscopy 5 months
postimplantation revealed smooth cartilaginous repair tissue, contiguous with the
adjacent cartilage, covering the defect. At 22-month follow up, the filly had no
signs of lameness and was exercising at her intended level. Radiographically,
although still slightly flattened, the femoral trochlear ridges were smooth, with
no evidence of osteoarthritis. Ultrasonographically, the defects were filled with
bone and covered with an overlying cartilaginous layer, with the trochlear ridge
contour almost entirely restored. This report demonstrates the effective clinical
use of this novel, multilayered, osteochondral defect repair scaffold in the
treatment of osteochondritis dissecans of an equine athlete. The successful
repair achieved here using this novel scaffold in an equine patient with large
bilateral lesions shows the potential for clinical translation in the treatment
of human patients presenting with osteochondral defects. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27198898
TI - Safe sleep, day and night: mothers' experiences regarding infant sleep safety.
AB - AIMS AND OBJECTIVES: To explore Canadian mothers' experiences with infant sleep
safety. BACKGROUND: Parents decide when, how and where to place their infants to
sleep. It is anticipated that they will follow international Sudden Infant Death
Syndrome prevention sleep safety guidelines. Limited evidence is available for
how parents take up guidelines; no studies have explored Canadian mothers'
experiences regarding infant sleep safety. DESIGN: An inductive qualitative
descriptive study using some elements of grounded theory, including concurrent
data collection and analysis and memoing. METHODS: Semi-structured interviews and
constant comparative analysis were employed to explore infant sleep safety
experiences of 14 Canadian mothers residing in Metro Vancouver. Data collection
commenced in December 2012 and ended in July 2013. FINDINGS: The core theme,
Infant Sleep Safety Cycle, represents a cyclical process encompassing sleep
safety from the prenatal period to the first six months of infants' lives. The
cyclical process includes five segments: mothers' expectations of sleep safety,
their struggles with reality as opposed to maternal visions, modifications of
expectations, provision of rationale for choices and shifts in mothers' views of
infants' developmental capabilities. Mothers' experiences were influenced by four
factors: perceptions of everyone's needs, familial influences, attitudes and
judgments from outsiders and resource availability and accessibility. CONCLUSION:
To manage infants' sleep, mothers reframed sleep safety guidelines and downplayed
the risk of Sudden Infant Death Syndrome for all forms of sleep at all times.
Healthcare providers can support mothers' efforts to manage their infants' sleep
challenges. During prenatal and postpartum periods, providers' interventions can
influence mothers' efforts to adhere to sleep safety principles. RELEVANCE TO
CLINICAL PRACTICE: The study findings support healthcare providers' efforts to
assist mothers to modify expectations and develop strategies to support sleep
safety principles while acknowledging their challenges.
PMID- 27198899
TI - Electroluminescent Materials Can Further Enhance the Nighttime Conspicuity of
Pedestrians Wearing Retroreflective Materials.
AB - OBJECTIVE: We investigated the nighttime conspicuity benefits of adding
electroluminescent (EL) panels to pedestrian clothing that contains
retroreflective elements. BACKGROUND: Researchers have repeatedly documented that
pedestrians are too often not sufficiently conspicuous to drivers at night and
that retroreflective materials can enhance the conspicuity of pedestrians.
However, because retroreflective elements in clothing are effective only when
they are illuminated by the headlamps of an approaching driver, they are not
useful for pedestrians who are positioned outside the beam pattern of an
approaching vehicle's headlamps. Electroluminescent materials-flexible luminous
panels that can be attached to clothing-have the potential to be well suited for
these conditions. METHOD: Using an open-road course at night, we compared the
distances at which observers responded to pedestrians who were positioned at one
of three lateral positions (relative to the vehicle's path) wearing one of two
high-visibility garments. RESULTS: The garment that included both EL and
retroreflective materials yielded longer response distances than the
retroreflective-only garment. This effect was particularly strong when the test
pedestrian was positioned farthest outside of the area illuminated by headlamps.
CONCLUSION: These findings suggest that EL materials can further enhance the
conspicuity of pedestrians who are wearing retroreflective materials.
APPLICATION: EL materials can be applied to garments. They may be especially
valuable to enhance the conspicuity of roadway workers, emergency responders, and
traffic control officers.
PMID- 27198897
TI - Preclinical to Clinical Translation of Antibody-Drug Conjugates Using PK/PD
Modeling: a Retrospective Analysis of Inotuzumab Ozogamicin.
AB - A mechanism-based pharmacokinetic/pharmacodynamic (PK/PD) model was used for
preclinical to clinical translation of inotuzumab ozogamicin, a CD22-targeting
antibody-drug conjugate (ADC) for B cell malignancies including non-Hodgkin's
lymphoma (NHL) and acute lymphocytic leukemia (ALL). Preclinical data was
integrated in a PK/PD model which included (1) a plasma PK model characterizing
disposition and clearance of inotuzumab ozogamicin and its released payload N-Ac
gamma-calicheamicin DMH, (2) a tumor disposition model describing ADC diffusion
into the tumor extracellular environment, (3) a cellular model describing
inotuzumab ozogamicin binding to CD22, internalization, intracellular N-Ac-gamma
calicheamicin DMH release, binding to DNA, or efflux from the tumor cell, and (4)
tumor growth and inhibition in mouse xenograft models. The preclinical model was
translated to the clinic by incorporating human PK for inotuzumab ozogamicin and
clinically relevant tumor volumes, tumor growth rates, and values for CD22
expression in the relevant patient populations. The resulting stochastic models
predicted progression-free survival (PFS) rates for inotuzumab ozogamicin in
patients comparable to the observed clinical results. The model suggested that a
fractionated dosing regimen is superior to a conventional dosing regimen for ALL
but not for NHL. Simulations indicated that tumor growth is a highly sensitive
parameter and predictive of successful outcome. Inotuzumab ozogamicin PK and N-Ac
gamma-calicheamicin DMH efflux are also sensitive parameters and would be
considered more useful predictors of outcome than CD22 receptor expression. In
summary, a multiscale, mechanism-based model has been developed for inotuzumab
ozogamicin, which can integrate preclinical biomeasures and PK/PD data to predict
clinical response.
PMID- 27198900
TI - Effects of Epinephrine Auto-Injector Shape and Size on Human Factors Influencing
Drug Delivery.
AB - OBJECTIVE: The aim of this study was to assess the effects of (a) auto-injector
form factor on maximum applied force capability and (b) auto-injector design and
instructions on force production and orientation. BACKGROUND: Effective delivery
of epinephrine through an auto-injector is the result of a multitude of design
factors. At minimum, the design needs to allow the user to apply sufficient force
for the needle to penetrate clothing and tissue. METHOD: Trainer devices for
three commercially available epinephrine auto-injectors with different form
factors (cylindrical, elliptical, prismatic) were tested in a laboratory-based
repeated-measures experiment with 20 adults. Participants applied their maximum
force onto a force plate positioned over their thigh and practiced an injection
using the trainer device after viewing training videos. Participants also rated
force confidence and preference. RESULTS: The maximum force varied significantly
across devices. The greatest force observed was 64 newtons with the elliptical
device, and the lowest force was 61 newtons with the cylindrical device.
Participants reported the highest force confidence when using the elliptical and
cylindrical devices, ranking the elliptical as their preferred device.
CONCLUSION: Force capability results for the elliptical device suggest that it
may be more successful in achieving the necessary force for drug delivery in a
larger set of adult users. APPLICATION: Results suggest that the auto-injector
with the elliptical form may enable more successful drug delivery among a larger
set of users.
PMID- 27198901
TI - Zinc Deficiency in Childhood and Pregnancy: Evidence for Intervention Effects and
Program Responses.
AB - Zinc is a key micronutrient of particular importance during childhood and
pregnancy. Zinc deficiency has been linked to increased infection and stunting
among children and is a risk factor for adverse pregnancy outcomes and preterm
delivery. Targeted interventions have the potential to alleviate the adverse
effects of zinc deficiency via therapeutic and preventive supplementation,
fortification and biofortification, but implementation is challenging. A growing
number of low- and middle- income countries have introduced national policies for
zinc treatment of diarrhea among children under 5 years in response to mounting
evidence of reduced episode duration and severity as well as reduced incidence in
the ensuing months, but coverage remains low in the absence of effective scale-up
efforts. Implementation of preventive zinc supplementation in young children has
also been slow, despite evidence linking routine daily supplementation and
treatment regimens with reductions in stunting and the incidence of diarrhea and
pneumonia. Acceptance of other zinc interventions, including traditional
fortification, fortification with micronutrient powders and biofortification, is
hindered by unclear evidence on efficacy. Additional research is therefore
warranted to ascertain the efficacy of delivering zinc through fortified and
biofortified foods and in combination with other micronutrients in supplements or
powders. Operations research is also necessary to establish best practices for
scale-up of therapeutic zinc supplementation for diarrhea.
PMID- 27198902
TI - Are paramedics prepared to perform needle cricothyroidotomy?
PMID- 27198903
TI - Potassium as a potential predictive biomarker of brain hypoxia in avalanche
victims: preanalytical recommendations.
PMID- 27198904
TI - Trends in bicycle crashes in California.
PMID- 27198905
TI - Blood potassium after avalanche-induced cardiac arrest: sampling method and
interpretation.
PMID- 27198906
TI - Relational Resilience in Maori, Pacific, and European Sole Parent Families: From
Theory and Research to Social Policy.
AB - This study reports findings and policy recommendations from a research project
that applied a relational resilience framework to a study of 60 sole parent
families in New Zealand, with approximately equal numbers of Maori, Pacific, and
European (White) participants. The sole parent families involved were already
known to be resilient and the study focused on identifying the relationships and
strategies underlying the achievement and maintenance of their resilience. The
study was carried out to provide an evidence base for the development and
implementation of policies and interventions to both support sole parent families
who have achieved resilience and assist those who struggle to do so. The three
populations shared many similarities in their pathways to becoming sole parents
and the challenges they faced as sole parents. The coping strategies underlying
their demonstrated resilience were also broadly similar, but the ways in which
they were carried out did vary in a manner that particularly reflected cultural
practices in terms of their reliance upon extended family-based support or
support from outside the family. The commonalities support the appropriateness of
the common conceptual framework used, whereas the differences underline the
importance of developing nuanced policy responses that take into account cultural
differences between the various populations to which policy initiatives are
directed.
PMID- 27198907
TI - Multisensory effects on somatosensation: a trimodal visuo-vestibular-tactile
interaction.
AB - Vestibular information about self-motion is combined with other sensory signals.
Previous research described both visuo-vestibular and vestibular-tactile
bilateral interactions, but the simultaneous interaction between all three
sensory modalities has not been explored. Here we exploit a previously reported
visuo-vestibular integration to investigate multisensory effects on tactile
sensitivity in humans. Tactile sensitivity was measured during passive whole body
rotations alone or in conjunction with optic flow, creating either purely
vestibular or visuo-vestibular sensations of self-motion. Our results demonstrate
that tactile sensitivity is modulated by perceived self-motion, as provided by a
combined visuo-vestibular percept, and not by the visual and vestibular cues
independently. We propose a hierarchical multisensory interaction that underpins
somatosensory modulation: visual and vestibular cues are first combined to
produce a multisensory self-motion percept. Somatosensory processing is then
enhanced according to the degree of perceived self-motion.
PMID- 27198908
TI - Acetophenone azine: a new allergen responsible for severe contact dermatitis from
shin pads.
AB - BACKGROUND: Contact dermatitis resulting from the use of shin pads is usually
caused by rubber components, dyes, benzoyl peroxide, or formaldehyde resins.
OBJECTIVES: To investigate and identify a new allergen in shin pads that was
responsible for severe contact dermatitis in a young football player. METHODS:
High-performance liquid chromatography (HPLC) of samples of shin pads was
performed. The boy was patch tested with pieces of shin pads and with
acetophenone azine, a chemical substance identified by HPLC in the foam of the
shin pads. RESULTS: HPLC identified acetophenone azine at concentrations of
approximately 20 ug/g of shin pad samples. Patch tests gave strongly positive
reactions to pieces of shin pads and to acetophenone azine down to 0.001% in
acetone, whereas acetophenone and hydrazine sulfate were both negative. Twenty
controls were negative for acetophenone azine 0.01% in acetone. CONCLUSIONS:
Acetophenone azine is a new, strong allergen of shin pads, and more generally of
other sport equipment based on ethylene vinyl acetate. It may be used as a
biocide, but this has to be confirmed. Further investigations are needed to
understand factors such as exposure, cross-reaction patterns, metabolism, and the
optimal patch test preparation.
PMID- 27198909
TI - Challenging 'girls only' publicly funded human papillomavirus vaccination
programmes.
AB - This analysis examines the 'girls only' policy for publicly funded human
papillomavirus (HPV) vaccination programmes. Current funding policy in most
Canadian provinces covers 'girls only' with the goal of reducing mortality and
morbidity rates of HPV-related cervical cancer. Recent studies indicate
increasing rates of other HPV-related cancers among cisgender men and women. The
HPV vaccine is proving effective against some of these cancers. Statistics on HPV
vaccine uptake among individuals with different gender expressions are scarce.
Critics argue that a 'girls only' HPV vaccine policy is inequitable. We add to
this critique by reflecting on the gender binary embedded in such policies and
produced through epidemiological studies that attend differentially to females,
reinforcing exclusionary practices that leave out those who form their gender
identities across the spectrum. We then draw on deontological (duties-based) and
utilitarian (utility-based) frameworks to show that these gendered policies are
also unethical. These challenges to the assumptions underlying 'girls only'
immunization programmes have implications for nurses and the healthcare system.
If we are to advance equitable and ethical health outcomes, we entreat nurses as
a collective to mobilize the public to lobby federal, provincial and territorial
governments to fund more inclusive HPV vaccination policies.
PMID- 27198910
TI - Examining the Contributions of Image-Charge Forces to Charge Reversal: Discrete
Versus Continuum Modeling of Surface Charges.
AB - The effects of both repulsive and attractive image-charge forces on the structure
of electric double layers are addressed by Monte Carlo determination, based on a
primitive model of electrolytes in contact with two types of identically charged
surfaces: one with a homogeneously smeared-out charge density and the other with
discrete interfacial groups. It is shown that the behavior of ions is closely
related to surface charge distributions. Moreover, charge reversal in the absence
of image charges witnesses an initial enhancement and then follows a fast
suppression with increasing valence of the interfacial groups. The situation is
quite similar to what are observed in the presence of repulsive image charges,
which can significantly facilitate counterion condensation by overcoming the
electrostatic barrier presented by the low dielectric substrate. With transition
to attractive image-charge interactions, however, charge reversal remains widely
unaffected in different surface charge representations, which even becomes much
weaker when compared to the corresponding cases of both no images and repulsive
images, provided that the interfacial groups have adequate valences. The overall
scenario is found to be independent of the surface charge density values under
study. These findings clearly illustrate the enormous improvement in our
quantitative understanding of the electric double layer structure and the
associated charge reversal phenomenon at the interface of various substrates.
PMID- 27198911
TI - Multidimensional symptom clusters: an exploratory factor analysis in advanced
chronic kidney disease.
AB - AIMS: To explore the existence of symptom clusters in advanced chronic kidney
disease. BACKGROUND: People with chronic kidney disease commonly report multiple
symptoms. However, the complex relationships among these symptoms are still
poorly understood. DESIGN: Cross-sectional. METHODS: A total of 436 people with
stage 4 and 5 chronic kidney disease were recruited from three tertiary hospitals
during 2013-2014. Participants completed the Chronic Kidney Disease-Symptom
Burden Index that assesses four symptom dimensions (occurrence, distress,
severity and frequency) of 32 symptoms. Exploratory factor analysis was used to
identify symptom clusters across each symptom dimension. Core symptoms in each
cluster were determined based on stability across dimensions and clinical
plausibility. A high cutoff of 0.50 for factor loading was used for all analyses.
RESULTS: Five symptom clusters were consistently identified across all symptom
dimensions: fluid volume symptoms, neuromuscular symptoms, gastrointestinal
symptoms, sexual symptoms and psychological symptoms. Overall, clusters ranged
from 2-10 symptoms. Several symptoms were also interconnected with multiple
clusters. Fatigue cross-loaded on all five clusters, whereas sleep disturbance
and restless leg symptoms cross-loaded across three clusters. CONCLUSIONS:
Adopting a symptom cluster approach has the potential to significantly advance
symptom assessment and nursing care for people in advanced stages of chronic
kidney disease. Routine clinical assessment and management strategies targeted at
the cluster level should have synergistic effects in reducing symptoms. Fatigue
is a pervasive symptom in advanced chronic kidney disease that is interconnected
with global symptom burden, suggesting better management of symptom clusters may
also reduce fatigue.
PMID- 27198912
TI - SAFO: A Systematic Partnership to Reduce Vitamin A Deficiency in Tanzania.
PMID- 27198913
TI - Sampling image segmentations for uncertainty quantification.
AB - In this paper, we introduce a method to automatically produce plausible image
segmentation samples from a single expert segmentation. A probability
distribution of image segmentation boundaries is defined as a Gaussian process,
which leads to segmentations which are spatially coherent and consistent with the
presence of salient borders in the image. The proposed approach is
computationally efficient, and generates visually plausible samples. The
variability between the samples is mainly governed by a parameter which may be
correlated with a simple Dice's coefficient, or easily set by the user from the
definition of probable regions of interest. The method is extended to the case of
several neighboring structures, but also to account for under or over
segmentation, and the presence of excluded regions. We also detail a method to
sample segmentations with more general non-stationary covariance functions which
relies on supervoxels. Furthermore, we compare the generated segmentation samples
with several manual clinical segmentations of a brain tumor. Finally, we show how
this approach can have useful applications in the field of uncertainty
quantification, and an illustration is provided in radiotherapy planning, where
segmentation sampling is applied to both the clinical target volume and the
organs at risk.
PMID- 27198915
TI - Target-object integration, attention distribution, and object orientation
interactively modulate object-based selection.
AB - The representational basis of attentional selection can be object-based. Various
studies have suggested, however, that object-based selection is less robust than
spatial selection across experimental paradigms. We sought to examine the manner
by which the following factors might explain this variation: Target-Object
Integration (targets 'on' vs. part 'of' an object), Attention Distribution
(narrow vs. wide), and Object Orientation (horizontal vs. vertical). In
Experiment 1, participants discriminated between two targets presented 'on' an
object in one session, or presented as a change 'of' an object in another
session. There was no spatial cue-thus, attention was initially focused widely
and the objects were horizontal or vertical. We found evidence of object-based
selection only when targets constituted a change 'of' an object. Additionally,
object orientation modulated the sign of object-based selection: We observed a
same-object advantage for horizontal objects, but a same-object cost for vertical
objects. In Experiment 2, an informative cue preceded a single target presented
'on' an object or as a change 'of' an object (thus, attention was initially
focused narrowly). Unlike in Experiment 1, we found evidence of object-based
selection independent of target-object integration. We again found that the sign
of selection was modulated by the objects' orientation. This result may reflect a
meridian effect, which emerged due to anisotropies in the cortical
representations when attention is oriented endogenously. Experiment 3 revealed
that object orientation did not modulate object-based selection when attention
was oriented exogenously. Our findings suggest that target-object integration,
attention distribution, and object orientation modulate object-based selection,
but only in combination.
PMID- 27198914
TI - Magnetic Resonance Elastography Demonstrating Low Brain Stiffness in a Patient
with Low-Pressure Hydrocephalus: Case Report.
AB - The authors describe the case of a 19-year-old female with shunted aqueductal
stenosis who presented with low-pressure hydrocephalus that responded to negative
pressure drainage. A magnetic resonance elastography scan performed 3 weeks later
demonstrated very low brain tissue stiffness (high brain tissue compliance). An
analysis of the importance of this finding in understanding this rare condition
is discussed.
PMID- 27198917
TI - Corrigendum to "Efficient detection of internal infestation in wheat based on
biophotonics" [J. Photochem. Photobiol., B 155 (February 2016) 137-143].
PMID- 27198916
TI - The availability of attentional resources modulates the inhibitory strength
related to weakly activated priming.
AB - The current study investigated the role of attention in inhibitory processes (the
inhibitory processes described in the current study refer only to those
associated with masked or flanked priming) using a mixed paradigm involving the
negative compatibility effect (NCE) and object-based attention. Accumulating
evidence suggests that attention can be spread more easily within the same
object, which increases the availability of attentional resources, than across
different objects. Accordingly, we manipulated distractor location (with primes
presented in the same object versus presented in different objects) together with
prime/target compatibility (compatible versus incompatible) and prime-distractor
stimulus onset asynchrony (SOA, 23 ms vs 70 ms). The aim was to investigate
whether inhibitory processes related to weakly activated priming, which have been
previously assumed to be automatic, depend on the availability of attentional
resources. The results of Experiment 1 showed a significant NCE for the 70-ms SOA
when the prime and distractor were presented in the same object (greater
attentional resource availability); however, reversed NCEs were obtained for all
other conditions. Experiment 2 was designed to disentangle whether the results of
Experiment 1 were affected by the prime position, and the results indicated that
the prime position did not modulate the NCE in Experiment 1. Together, these
results are consistent with the claim that the availability of attentional
resources modulates the inhibitory strength related to weakly activated priming.
Specifically, if attentional resources are assigned to the distractor when it is
presented in the same object as the prime, the strength of the inhibition
elicited by the distractor may increase and reverse the activation elicited by
the prime, which could lead to a significant NCE.
PMID- 27198918
TI - Etchant-free graphene transfer using facile intercalation of alkanethiol self
assembled molecules at graphene/metal interfaces.
AB - We report a novel etchant-free transfer method of graphene using the
intercalation of alkanethiol self-assembled monolayers (SAMs) at the graphene/Cu
interfaces. The early stage of intercalation proceeds through graphene grain
boundaries or defects within a few seconds at room temperature until stable SAMs
are formed after a few hours. The formation of SAMs releases the compressive
strain of graphene induced by Cu substrates and make graphene slightly n-doped
due to the formation of interface dipoles of the SAMs on metal surfaces. After
SAM formation, the graphene is easily delaminated off from the metal substrates
and transferred onto insulating substrates. The etchant-free process enables us
to decrease the density of charged impurities and the magnitude of potential
fluctuation in the transferred graphene, which suppress scattering of carriers.
We also demonstrate the removal of alkanethiol SAMs and reuse the substrate. This
method will dramatically reduce the cost of graphene transfer, which will benefit
industrial applications such as of graphene transparent electrodes.
PMID- 27198919
TI - A Novel System for Single-Port Laparoscopic Surgery: Preliminary Experience.
AB - AIMS: To present preliminary data of single-access laparoscopic surgery with a
new device for the treatment of benign adnexal pathologies. METHODS: Ten women
with benign adnexal pathologies underwent salpingectomy (n = 4) and ovarian/para
ovarian cyst enucleation (n = 6) using a laparo-endoscopic single-port approach
with an innovative advanced multiport reusable trocar inserted transumbilically
through a small wound retractor. Trocar introduction time, operative time,
estimated blood loss, conversion to standard laparoscopy, peri- and postoperative
complications, hospital stay and Visual Analog Scale score (as assessment of pain
and cosmesis) were analyzed. RESULTS: Port placement was successful in all
patients. Mean trocar introduction time was 4.4 min (range 3.4-5.3 min) and no
intra or postoperative complication occurred. The mean operating time was 50.0 +/
9.2 min and mean blood loss was 28.5 +/- 8.8 ml. The mean hospital stay
following surgery was 1.6 +/- 0.5 days and convalescence was complete in 1 week.
Neither scores for postoperative incisional pain nor cosmesis side effects have
been observed in any subjects. CONCLUSION: We concluded that adnexal single-port
surgery performed with this innovative advanced surgical instrumentation is a
feasible, safe, and effective technique that drastically reduces postoperative
pain and does not compromise cosmetic appearance. The isolation of the operative
field by means of the drape prevents the contamination of the port site that
occurs frequently, and mainly in adnexal pathologies of uncertain etiology. In
addition, the ease of insertion and the conformation of the new port access also
make the procedure feasible in obese patients.
PMID- 27198920
TI - Steroidal composition and cytotoxic activity from fruiting body of Cortinarius
xiphidipus.
AB - From the fruiting body of ectomycorrhizal fungi Cortinarius xiphidipus, sterols
were identified from the crude extract and the cytotoxic effect of ergosta-4, 6,
8(14), 22-tetraen-3-one (ergone) was evaluated. Ten sterols including ergosta
3,5,7,9(11),22-pentaene, (22E)-ergosta-5,7,9(11),22-tetraen-3b-ol, (3beta,22E)
ergosta-5,7,22-trien-3-ol, (22E)-ergosta-7,22-dien-3-ol, neoergosterol, (3beta)
ergosta-5,8-dien-3-ol, (3beta)-ergosta-7-en-3-ol, stigmasterol, stigmasterol
22,23-dihydro and (22E)-ergosta-4,6,8(14),22-tetraen-3-one were identified from
the crude extract. The cytotoxic activity of the sterol fraction containing
ergosta-4, 6, 8(14), 22-tetraen-3-one was assessed on four tumour cell lines
(Neuro-2a, Saos-2, MCF7 and LNCaP-C42). The cytotoxic activity against the four
tumour cell lines tested, being Neuro-2a and Saos-2 the most sensitive, with a
half-maximal inhibitory concentration (IC50) of 20.8 +/- 2.2 and 27.8 +/- 1.0
MUg/mL, respectively. This is the first report of this Antarctic fungi collected
in the Magallanes and Chilean Antarctica Region. This work represents a potential
source for the development of anticancer drugs.
PMID- 27198921
TI - [Prevalence and user profile of electronic cigarettes in Spain (2014)].
AB - OBJECTIVE: To describe the prevalence and user profile of electronic cigarettes
among Spanish adults and evaluate the potential dual use of these devices with
combustible or conventional tobacco in 2014 in Spain. METHODS: Cross-sectional
study of a representative sample of the Spanish adult (16-75 years old)
population (n=1,016). A computer-assisted telephone survey was conducted in 2014.
The prevalence and 95% confidence intervals (95% CI) for the use of electronic
cigarettes stratified by gender, age, tobacco consumption and social status were
calculated. The sample was weighted and a logistic regression model adjusted to
obtain the crude odds ratios (OR) adjusted by gender, age and social status.
RESULTS: 10.3% (95% CI: 8.6-12.4) of the Spanish adult population stated being
ever users of electronic cigarettes (2% current users, 3.2% past users and 5.1%
experimental users). Among current electronic cigarette users, 57.2% also smoked
combustible or conventional tobacco, 28% had never smoked and 14.8% were former
smokers. The prevalence of electronic cigarette use was higher in the younger
population (adjusted OR=23.8; 95% CI: 2.5-227.7) and smokers of combustible
tobacco (adjusted OR=10.1; 95% CI: 5.8-17.5). CONCLUSIONS: The use of electronic
cigarettes in Spain is scarce and is most prevalent among young people and
tobacco smokers. Nevertheless, one out of four current electronic cigarette users
have never smoked. Hence, the regulation of these devices should be reinforced to
avoid a possible gateway to nicotine products among never smokers.
PMID- 27198922
TI - Meta-analysis of studies using statins as a reducer for primary liver cancer
risk.
AB - A protective effect of statins on primary liver cancer (PLC) risk has been
suggested. However, issues about the dose-response relationship, the protective
effect of individual statins, and PLC risk reduction among at-risk populations
remain unsolved. Therefore, a meta-analysis was conducted. PubMed and EMBASE were
searched for studies providing the risk ratio (RR) on statins and PLC risk.
Summary RRs were calculated using a random-effects model. Twenty-five studies
were identified. Stain use was significantly associated with a reduced risk of
PLC (RR = 0.60, 95% confidence interval (CI) = 0.53-0.69). The summary RR for
every additional 50 cumulative defined daily doses per year was 0.87 (95% CI =
0.83-0.91). Evidence of a non-linear dose-response relationship between statins
and PLC risk was found (Pnon-linearity < 0.01). All individual statins
significantly reduced PLC risk, and the risk reduction was more evident with
rosuvastatin. The inverse association between statins and PLC risk remained among
populations with common risk factors. Subgroup analyses revealed more significant
reduction in PLC risk by statins in high- versus non-high-risk populations
(Pinteraction = 0.02). Overall, these findings add to our understanding of the
association between statins and PLC risk. Whether statin use is causally
associated with a reduced risk of PLC should be further studied.
PMID- 27198923
TI - Major cereal crops benefit from biological nitrogen fixation when inoculated with
the nitrogen-fixing bacterium Pseudomonas protegens Pf-5 X940.
AB - A main goal of biological nitrogen fixation research has been to expand the
nitrogen-fixing ability to major cereal crops. In this work, we demonstrate the
use of the efficient nitrogen-fixing rhizobacterium Pseudomonas protegens Pf-5
X940 as a chassis to engineer the transfer of nitrogen fixed by BNF to maize and
wheat under non-gnotobiotic conditions. Inoculation of maize and wheat with Pf-5
X940 largely improved nitrogen content and biomass accumulation in both
vegetative and reproductive tissues, and this beneficial effect was positively
associated with high nitrogen fixation rates in roots. 15 N isotope dilution
analysis showed that maize and wheat plants obtained substantial amounts of fixed
nitrogen from the atmosphere. Pf-5 X940-GFP-tagged cells were always reisolated
from the maize and wheat root surface but never from the inner root tissues.
Confocal laser scanning microscopy confirmed root surface colonization of Pf-5
X940-GFP in wheat plants, and microcolonies were mostly visualized at the
junctions between epidermal root cells. Genetic analysis using biofilm formation
related Pseudomonas mutants confirmed the relevance of bacterial root adhesion in
the increase in nitrogen content, biomass accumulation and nitrogen fixation
rates in wheat roots. To our knowledge, this is the first report of robust BNF in
major cereal crops.
PMID- 27198924
TI - A motion algorithm to extract physical and motion parameters of mobile targets
from cone-beam computed tomographic images.
AB - PURPOSE: A motion algorithm has been developed to extract length, CT number level
and motion amplitude of a mobile target from cone-beam CT (CBCT) images.
MATERIALS AND METHODS: The algorithm uses three measurable parameters: Apparent
length and blurred CT number distribution of a mobile target obtained from CBCT
images to determine length, CT-number value of the stationary target, and motion
amplitude. The predictions of this algorithm are tested with mobile targets
having different well-known sizes that are made from tissue-equivalent gel which
is inserted into a thorax phantom. The phantom moves sinusoidally in one
direction to simulate respiratory motion using eight amplitudes ranging 0-20 mm.
RESULTS: Using this motion algorithm, three unknown parameters are extracted that
include: Length of the target, CT number level, speed or motion amplitude for the
mobile targets from CBCT images. The motion algorithm solves for the three
unknown parameters using measured length, CT number level and gradient for a well
defined mobile target obtained from CBCT images. The motion model agrees with the
measured lengths which are dependent on the target length and motion amplitude.
The gradient of the CT number distribution of the mobile target is dependent on
the stationary CT number level, the target length and motion amplitude. Motion
frequency and phase do not affect the elongation and CT number distribution of
the mobile target and could not be determined. CONCLUSION: A motion algorithm has
been developed to extract three parameters that include length, CT number level
and motion amplitude or speed of mobile targets directly from reconstructed CBCT
images without prior knowledge of the stationary target parameters. This
algorithm provides alternative to 4D-CBCT without requirement of motion tracking
and sorting of the images into different breathing phases. The motion model
developed here works well for tumors that have simple shapes, high contrast
relative to surrounding tissues and move nearly in regular motion pattern that
can be approximated with a simple sinusoidal function. This algorithm has
potential applications in diagnostic CT imaging and radiotherapy in terms of
motion management.
PMID- 27198925
TI - Bacterial mutagenicity assays: Vehicle and positive control results from the
standard Ames assay, the 6- and 24-well miniaturized plate incorporation assays
and the Ames IITM assay.
AB - Bacterial mutation assays are conducted routinely as part of the safety
assessment of new chemicals. The OECD Test Guideline (TG) 471 describes the
conduct of the standard agar plate Ames assay, required for regulatory
submissions. Higher throughput non-OECD 471 TG assays, such as the miniaturized
plate incorporation and Ames IITM assays, can be used for prescreening purposes.
We have compiled historical vehicle and positive control data generated using
these methods. The historical database is comprised from experiments spanning 9
years and includes >1000 experiments from the standard Ames assay using the plate
incorporation and pre-incubation methods (TA98, TA100, TA1535, TA1537, and WP2
uvrA), >50 experiments from the 6-well (TA98, TA100, TA1535, TA97a, and WP2 uvrA)
and >100 experiments from the 24-well (TA98, TA100, TA102, TA1535, TA1537, and
TA97a) plate incorporation assays, and >1000 experiments from the Ames IITM assay
(TA98 and TAMix). Although miniaturization to a 24-well format made the
measurement of control revertant colonies in TA1537 and TA1535 more difficult;
this can be overcome by using an alternative strain with a higher spontaneous
reversion rate (i.e., using TA97a instead of TA1537) or by increasing the number
of replicate wells to 12 (for TA1535). All three miniaturized methods, including
the Ames IITM assay, were responsive to known mutagens and the responses were
reproducible over years of use. These data demonstrate the excellent
reproducibility of the standard and miniaturized bacterial mutation assays using
positive control chemicals. Environ. Mol. Mutagen. 57:483-496, 2016. (c) 2016
Wiley Periodicals, Inc.
PMID- 27198926
TI - Harnessing Thin-Film Continuous-Flow Assembly Lines.
AB - Inspired by nature's ability to construct complex molecules through sequential
synthetic transformations, an assembly line synthesis of alpha-aminophosphonates
has been developed. In this approach, simple starting materials are continuously
fed through a thin-film reactor where the intermediates accrue molecular
complexity as they progress through the flow system. Flow chemistry allows rapid
multistep transformations to occur via reaction compartmentalization, an approach
not amenable to using conventional flasks. Thin film processing can also access
facile in situ solvent exchange to drive reaction efficiency, and through this
method, alpha-aminophosphonate synthesis requires only 443 s residence time to
produce 3.22 g h(-1) . Assembly-line synthesis allows unprecedented reaction
flexibility and processing efficiency.
PMID- 27198927
TI - Incident osteoarthritis associated with increased allied health services use in
'baby boomer' Australian women.
AB - OBJECTIVE: To explore impact of incident osteoarthritis (OA) on health services
use by Australian women born 1946-51. METHODS: Secondary analysis of Australian
Longitudinal Study on Women's Health survey data linked to Medicare Australia
databases (2002 to 2011). Medicare services use was compared for two groups: OA
group (n=761) - reported incident OA in 2007; Never group (n=4346) - did not
report arthritis in time frame. Interrupted time series regression compared
health services use over time. RESULTS: The OA group had higher health services
use than the Never group. Rate of services use increased over time for both
groups. Rate of increase in quarterly doctor attendances was significantly lower
for the OA group after onset of OA, with no corresponding change for the Never
group. CONCLUSIONS: A pre-existing higher use of health services is associated
with reporting incident OA, compared to those who never report arthritis. After
onset of OA, rate of doctor use reduced and allied health use increased,
consistent with recommended Australian treatment guidelines. IMPLICATIONS: This
study provides a rare insight into change in healthcare use for people reporting
incident OA, against an appropriate comparison group, highlighting the importance
of early diagnosis of OA to optimise effective use of health services.
PMID- 27198928
TI - Optimal clinical risk prediction can be achieved by combining quantitative fetal
fibronectin and cervical length, and avoiding thresholds.
PMID- 27198929
TI - Review article: long-term safety of nucleoside and nucleotide analogues in HBV
monoinfected patients.
AB - BACKGROUND: Nucleos(t)ide analogues (NUCs) for chronic hepatitis B treatment
achieve high rates of viral suppression and are generally well tolerated.
Entecavir (ETV) and tenofovir disoproxil fumarate (TDF) are the currently
preferred first-line agents. The safety of these agents in clinical practice is
particularly relevant since long-term treatment is usually required. AIM: To
summarise and critically discuss recent real-world evidence on the safety of
treatment with ETV or TDF in hepatitis B virus (HBV)-monoinfected patients.
METHODS: PubMed and conference proceedings up to 15th June 2015 were searched
using the terms ((((Hepatitis_B) OR HBV) AND ((tenofovir) OR entecavir)) AND
(((lactic_acidosis) OR bone) OR renal)). RESULTS: In selected populations
included in registration studies, both ETV and TDF were well tolerated with no
clinically significant renal toxicity or lactic acidosis. Growing 'real-world'
clinical experience with these agents includes some reports of ETV-associated
lactic acidosis and TDF-associated renal impairment; however, evidence from
cohort studies appears to be conflicting. In the case of ETV-related lactic
acidosis, a small number of cases have been reported, all in patients with
decompensated cirrhosis. The degree of association between TDF treatment and
changes in markers of renal function varies between studies: discrepancies may
result from the use of different definitions and cut-offs for reporting renal
toxicities, and differences in patient populations. CONCLUSIONS: Pre-treatment
and on-treatment monitoring of eGFR and phosphorus, with prompt appropriate dose
adjustment or treatment switch can minimise the impact of NUC renal toxicity.
Standardisation of measures of renal impairment and identification of early
molecular markers remain an unmet need.
PMID- 27198933
TI - ICBS and ECBS Chemical Biology Meeting 2015 - Let Them Come to Berlin!
PMID- 27198934
TI - Morality is real, objective, and supernatural.
AB - The goal of this paper is to briefly introduce and defend the idea that God is
the source of our moral obligations. In contrast to Michael Shermer's paper,
which defends a naturalistic position about the foundations of morality, this
approach is explicitly supernaturalistic. The paper begins by defining how "God"
will be understood, and then spells out some of the details of how, on the
proposed view, moral obligations are to depend upon God. The third section
briefly reviews some of the leading arguments for this view, before the paper
concludes with a discussion of the Euthyphro dilemma.
PMID- 27198936
TI - FRET Imaging of Enzyme-Responsive HPMA Copolymer Conjugate.
AB - Fluorescence resonance energy transfer (FRET) is applied to investigate the
enzyme-responsive payload release from a macromolecular therapeutic. The donor
Cy5 is attached to the N-(2-hydroxypropyl)methacrylamide (HPMA) copolymer
backbone and the acceptor Cy7 is bound to the termini of enzyme-sensitive peptide
side chains. Upon exposure to an enzyme, the bond between the peptide and Cy7 is
cleaved, thereby leading to the loss of FRET signal. This enzyme response is
visualized at the cell, tissue and whole-body levels. The in vitro results
demonstrate that high expression of cathepsin B in tumor cells induces effective
release of the drug model from conjugates resulting in a high concentration of
payload inside tumor cells. The in vivo and ex vivo images show that the
conjugate releases drug model faster in the ovarian tumor than in the normal
tissues. The information will enhance the understanding of enzyme-responsive
polymer carriers and help to shape their design.
PMID- 27198937
TI - Visuospatial planning in unmedicated major depressive disorder and bipolar
disorder: distinct and common neural correlates.
AB - BACKGROUND: Cognitive impairments are an important feature of both remitted and
depressed major depressive disorder (MDD) and bipolar disorder (BD). In
particular, deficits in executive functioning may hamper everyday functioning.
Identifying the neural substrates of impaired executive functioning would improve
our understanding of the pathophysiology underlying these disorders, and may
eventually aid in discriminating between MDD and BD, which is often difficult
during depression and remission. To date, mostly medicated MDD and BD subjects
have been investigated, which may have influenced results. Therefore, we
investigated executive functioning in medication-free depressed and remitted MDD
and BD subjects. METHOD: We used the Tower of London (ToL) visuospatial planning
task to assess behavioural performance and blood oxygen-level dependent responses
in 35 healthy controls, 21 remitted MDD, 23 remitted BD, 19 depressed MDD and
nine depressed BD subjects. RESULTS: Visuospatial planning per se was associated
with increased frontostriatal activity in depressed BD compared to depressed MDD.
In addition, post-hoc analyses indicated that visuospatial planning load was
associated with increased parietal activity in depressed compared to remitted
subjects, and BD compared to MDD subjects. Task performance did not significantly
differ between groups. CONCLUSIONS: More severely affected, medication-free mood
disorder patients require greater parietal activity to perform in visuospatial
planning, which may be compensatory to maintain relatively normal performance.
State-dependent frontostriatal hyperactivity during planning may be a specific BD
characteristic, providing clues for further characterization of differential
pathophysiology in MDD v. BD. This could potentially provide a biomarker to aid
in the differentiation of these disorders.
PMID- 27198935
TI - The Role of Childhood Infections and Immunizations on Childhood Rhabdomyosarcoma:
A Report From the Children's Oncology Group.
AB - BACKGROUND: Rhabdomyosarcoma (RMS) is a rare, highly malignant tumor arising from
primitive mesenchymal cells that differentiate into skeletal muscle. Relatively
little is known about RMS susceptibility. Based on growing evidence regarding the
role of early immunologic challenges on RMS development, we evaluated the role of
infections and immunizations on this clinically significant pediatric malignancy.
PROCEDURE: RMS cases (n = 322) were enrolled from the third trial coordinated by
the Intergroup Rhabdomyosarcoma Study Group. Population-based controls (n = 322)
were pair matched to cases on race, sex, and age. The following immunizations
were assessed: diphtheria, pertussis, and tetanus (DPT); measles, mumps, and
rubella; and oral polio vaccine. We also evaluated if immunizations were complete
versus incomplete. We examined selected infections including chickenpox, mumps,
pneumonia, scarlet fever, rubella, rubeola, pertussis, mononucleosis, and lung
infections. Conditional logistic regression models were used to calculate an odds
ratio (OR) and 95% confidence interval (CI) for each exposure, adjusted for
maternal education and total annual income. RESULTS: Incomplete immunization
schedules (OR = 5.30, 95% CI: 2.47-11.33) and incomplete DPT immunization (OR =
1.56, 95% CI: 1.06-2.29) were positively associated with childhood RMS. However,
infections did not appear to be associated with childhood RMS. CONCLUSIONS: This
is the largest study of RMS to date demonstrating a possible protective effect of
immunizations against the development of childhood RMS. Further studies are
needed to validate our findings. Our findings add to the growing body of
literature, suggesting a protective role of routine vaccinations in childhood
cancer and specifically in childhood RMS.
PMID- 27198938
TI - Thickness-induced structural phase transformation of layered gallium telluride.
AB - The thickness-dependent electronic states and physical properties of two
dimensional materials suggest great potential applications in electronic and
optoelectronic devices. However, the enhanced surface effect in ultra-thin
materials might significantly influence the structural stability, as well as the
device reliability. Here, we report a spontaneous phase transformation of gallium
telluride (GaTe) that occurred when the bulk was exfoliated to a few layers.
Transmission electron microscopy (TEM) results indicate a structural variation
from a monoclinic to a hexagonal structure. Raman spectra suggest a critical
thickness for the structural transformation. First-principle calculations and
thermodynamic analysis show that the surface energy and the interlayer
interaction compete to dominate structural stability in the thinning process. A
two-stage transformation process from monoclinic (m) to tetragonal (T) and then
from tetragonal to hexagonal (h) is proposed to understand the phase
transformation. The results demonstrate the crucial role of interlayer
interactions in the structural stability, which provides a phase engineering
strategy for device applications.
PMID- 27198939
TI - Sleep disturbance as a proximal predictor of suicidal intent in recently
hospitalized attempters.
AB - OBJECTIVES: Insomnia and short self-reported sleep duration are associated with
suicidality, adjusting for concurrent depression. Yet, it is unknown whether they
correlate with attempters' suicidal intent and the lethality of suicidal acts.
This cross-sectional study in hospitalized suicide attempters aimed to
investigate whether temporally proximal self-reported sleep disturbance predicts
suicidal intent or exerts mediatory effects. METHODS: Attempters were
retrospectively assessed for insomnia severity (Athens Insomnia Scale [AIS]) and
average night sleep duration (ANSD) for 2 weeks preceding attempt. The effects of
insomnia or ANSD on suicidal intent (Beck's Suicide Intent Scale [BSIS]) were
explored in multiple regressions. Mediatory effects were investigated in
structural equation models (SEMs). RESULTS: A total of 127 adults (59.8% females)
were interviewed within two weeks post-suicide attempt. Major psychiatric
diagnoses included affective, psychotic, and alcohol-related disorders. Of the
participants, 38.6% had current major depression (MDE). A total of 62.2% reported
insomnia (AIS >= 6); 42.5% reported short ANSD (<=5 hours). BSIS was predicted by
AIS (p = 0.034), short ANSD (p = 0.015), or insomnia with short ANSD (p = 0.006).
In SEMs, indirect effects of current MDE, affective disorder, and alcohol-related
disorder diagnoses on BSIS via AIS tested significant; both AIS and short ANSD
partially mediated the effect of age on BSIS. CONCLUSION: Insomnia, short ANSD,
and, in particular, insomnia with short ANSD proximally predicted suicidal intent
in recent attempters. The effects of current depression and affective and alcohol
related disorder diagnoses on suicidal intent were partially mediated by
insomnia; both insomnia and short ANSD partially mediated the effect of age on
suicidal intent. Therefore, management of sleep disturbance in at-risk subjects
is important, as it may reduce unfavorable outcomes of suicidal acts.
PMID- 27198940
TI - Sleep-disordered breathing among acute ischemic stroke patients in Brazil.
AB - OBJECTIVES: Sleep-disordered breathing (SDB) is very common in acute stroke
patients and has been related to poor outcome. However, there is a lack of data
about the association between SDB and stroke in developing countries. The study
aims to characterize the frequency and severity of SDB in Brazilian patients
during the acute phase of ischemic stroke; to identify clinical and laboratorial
data related to SDB in those patients; and to assess the relationship between
sleep apnea and functional outcome after six months of stroke. METHODS: Clinical
data and laboratorial tests were collected at hospital admission. The
polysomnography was performed on the first night after stroke symptoms onset.
Functional outcome was assessed by the modified Rankin Scale (mRS). RESULTS: We
prospectively evaluated 69 patients with their first-ever acute ischemic stroke.
The mean apnea-hypopnea index (AHI) was 37.7 +/- 30.2. Fifty-three patients
(76.8%) exhibited an AHI >= 10 with predominantly obstructive respiratory events
(90.6%), and thirty-three (47.8%) had severe sleep apnea. Age (OR: 1.09; 95% CI:
1.03-1.15; p= 0.004) and hematocrit (OR: 1.18; 95% CI: 1.03-1.34; p= 0.01) were
independent predictors of sleep apnea. Age (OR: 1.13; 95% CI: 1.03-1.24; p=
0.01), body mass index (OR: 1.54; 95% CI: 1.54-2.18; p= 0.01), and hematocrit
(OR: 1.19; 95% CI: 1.01-1.40; p= 0.04) were independent predictors of severe
sleep apnea. The National Institutes of Health Stroke Scale (NIHSS; OR: 1.30; 95%
CI: 1.1-1.5; p= 0.001) and severe sleep apnea (OR: 9.7; 95% CI: 1.3-73.8; p=
0.03) were independently associated to mRS >2 at six months, after adjusting for
confounders. CONCLUSION: Patients with acute ischemic stroke in Brazil have a
high frequency of SDB. Severe sleep apnea is associated with a poor long-term
functional outcome following stroke in that population.
PMID- 27198941
TI - Sleep duration and risk of myocardial infarction and all-cause death in a Chinese
population: the Kailuan study.
AB - OBJECTIVE: Although sleep is one of the most important health-related factors,
the association of sleep duration with incidence of myocardial infarction or all
cause death has not been fully understood, especially in the general Chinese
population. The objective of this study was to explore the relationship between
sleep duration and risk of myocardial infarction and all-cause death in China.
METHODS: Out of the 101,510 participants who were originally included into the
Kailuan prospective cohort study and followed up for an average of 3.98 years,
95,903 participants were actually sampled to evaluate the impacts of sleep
duration on myocardial infarction and all-cause death. Sleep duration was
categorized as <=5, 6, 7, and 8 hours/night, and >=9 hours per night. Cox
proportional hazards models were used to analyze the association of sleep
duration with the incidence of myocardial infarction and all-cause death.
RESULTS: After a mean follow-up period of 3.98 years, 423 participants developed
myocardial infarction and 1793 participants died. A U-shape association between
sleep duration and all-cause death was found. The age- and gender-adjusted hazard
ratios (95% confidence interval [CI]) of all-cause death (with seven hours of
daily sleep being considered for the reference group) for individuals reporting
<=5, 6, and 8 hours, and >=9 hours were 1.29 (1.08-1.55), 0.97 (0.82-1.14), 1.11
(0.97-1.27), and 1.77 (1.31-2.38), respectively, with a p <= 0.01. However, no
significant association was seen between sleep duration and myocardial
infarction. CONCLUSION: Both short and long duration of sleep might be potential
predictors/markers for all-cause death, but not for myocardial infarction.
PMID- 27198942
TI - Clinical course of H1N1-vaccine-related narcolepsy.
AB - OBJECTIVE: To follow and analyze the clinical course and quality of life of
Pandemrix H1N1-vaccine-related narcolepsy (pNT1). METHODS: Twenty-six drug-naive
confirmed pNT1 subjects completed Epworth Sleepiness Scale (ESS), Ullanlinna
Narcolepsy Scale (UNS), Swiss Narcolepsy Scale (SNS), Rimon's Brief Depression
scale (RDS), and WHO-5 Well-being index questionnaires near the disease onset and
in a follow-up a minimum of two years later. The number of cataplexies and body
mass index (BMI) were recorded. The effects of hypocretin-1 levels and sleep
recording results were analyzed. The findings at the follow-up visit were
compared with 25 non-vaccine-related type 1 narcolepsy (NT1) subjects. RESULTS:
In pNT1, RDS score decreased significantly (mean 10.2, SD 4.7 vs mean 6.7, SD
4.5, p = 0.003). Median of BMI increased from 20.8 kg m(-2) to 23.4 kg m(-2), p
<0.001. There were no significant differences in other sleep scores. However,
deviation and range in questionnaire scores at the follow-up were wide. Subjects
with very low or undetectable hypocretin-1 levels had worse scores in UNS (mean
26.4, SD 6.95 vs mean 19.1, SD 3.83, p = 0.006) and ESS (mean 17.9, SD = 4.29 vs
mean 14.1, SD = 3.70, p = 0.047) than those with hypocretin-1 levels of 20-110
pg/mL. Most disabling symptoms were excessive daytime sleepiness and disturbed
sleep. There were no significant differences between the scores in pNT1 and NT1.
CONCLUSIONS: Clinical course of pNT1 is heterogeneous but the evolution of pNT1
seems similar to NT1. Lower hypocretin levels in pNT1 are associated with a more
severe phenotype.
PMID- 27198943
TI - Continuous positive airway pressure and survival of very elderly persons with
moderate to severe obstructive sleep apnea.
AB - OBJECTIVE: There is evidence of a beneficial effect of long-term continuous
positive airway pressure (CPAP) on survival in elderly persons with obstructive
sleep apnea (OSA), although the usual age cut off is between 60 and 70 years of
age. Our objective was to determine this effect in very elderly patients (ie,
those >=80 years of age). METHODS: An observational study of a historic cohort of
very elderly persons with moderate to severe OSA (apnea-hypopnea index >=20) and
the effect of long-term CPAP on their survival was performed. Two groups were
formed: one prescribed CPAP treatment (>=4 hours per night), and one without CPAP
treatment. Survival analyses, including Kaplan-Meier curves and Cox models, were
carried out to determine the association of long-term CPAP with longer survival,
RESULTS: A total of 155 very elderly persons (84 men and 71 women, mean age 81.5
+/- 1.5 years) were followed up for 53 months (interquartile range, 41-77
months); 83 deaths occurred. CPAP was prescribed to 132 patients, and adherence
was observed in 79 (60%). Kaplan-Meier curves showed longer survival in the
treated OSA group (91 months, 95% confidence interval [CI] = 76-106) than in the
untreated OSA group (52 months, 95% CI 41-64), which was statistically
significant (log-rank 16.9, p < 0.0001). Although history of stroke was
significantly associated with higher mortality (hazard ratio [HR] = 2.18, 95% CI
= 1.14-4.17, p = 0.02), CPAP treatment was associated with higher survival rates
(HR = 0.46, 95% CI = 0.27-0.78, p = 0.004) in an adjusted Cox analysis.
CONCLUSIONS: CPAP treatment might be associated with a longer survival in very
elderly persons with moderate to severe OSA.
PMID- 27198944
TI - It's not just obstructive sleep apnea.
PMID- 27198946
TI - Autonomic dysfunction in primary sleep disorders.
AB - The autonomic nervous system plays an important role in the coordination of many
important physiologic functions during sleep. Many patients with untreated sleep
disorders will describe symptoms of autonomic impairment, and a majority of
patients with autonomic impairment have some form of sleep disorder. This article
will explore possible explanations for this connection, as well as review the
current literature on autonomic impairment in common primary sleep disorders
including obstructive sleep apnea, insomnia, restless legs syndrome, periodic
limb movement disorder, narcolepsy, and rapid eye movement sleep behavior
disorder.
PMID- 27198945
TI - The influence of school time on sleep patterns of children and adolescents.
AB - OBJECTIVE: This epidemiological study evaluated the impact of school time on
sleep parameters of children and adolescents. METHODS: This cross-sectional study
involved 639 elementary and high school students (mean age 13.03 years, range 8
18, 58.5% female) from the south of Brazil. Participants answered the Morningness
Eveningness Questionnaire (MEQ), and were asked about their sleeping habits on
weekdays and weekends. Sleep deficit was defined as the difference between sleep
duration on weekdays and weekends. RESULTS: The morning-school-time students
presented significantly higher age, bedtime and wake up differences, sleep
deficits, and social jetlag. The sleep deficit presented by girls was greater
than that observed in boys of the same age. The difference between weekday and
weekend waking times was also significantly greater in girls than in boys aged 13
18 years. Sleep deficit was significantly positively correlated with age and
differences in wake up times, and significantly negatively correlated with MEQ
scores, social jetlag, difference between weekday and weekend bedtimes, midpoint
of sleep on weekends, and midpoint of sleep on weekends corrected for sleep
deficit. A step-by-step multivariate logistic regression identified social
jetlag, the difference between waking times on weekdays and weekends, and the
midpoint of sleep on weekends as significant predictors of sleep deficit
(Adjusted R(2) = 0.95; F = 1606.87; p <0.001). CONCLUSION: The results showed
that school time influences the sleep parameters. The association of school
schedules and physiological factors influence the sleep/wake cycle.
PMID- 27198947
TI - Efficacy of gabapentin enacarbil in adult patients with severe primary restless
legs syndrome.
AB - AIM: Assess efficacy and tolerability of gabapentin enacarbil (GEn) in adults
with severe primary restless legs syndrome (RLS). METHODS: We pooled data from
three 12-week, double-blind, placebo-controlled, randomized trials (NCT00298623,
NCT00365352, NCT01332305) across GEn 600-mg, GEn 1200-mg, and placebo treatment
groups for severe primary RLS (baseline International Restless Legs Scale (IRLS)
total score >=24). Co-primary end points at week 12 were mean change from
baseline in IRLS total score and proportion of responders ("much"/very much"
improved) on the investigator-rated Clinical Global Impression - Improvement (CGI
I) Scale. Outcomes for individual IRLS items (eg, sleep, mood, quality of life,
pain, safety) were assessed. RESULTS: A total of 309 patients had severe primary
RLS (placebo, n = 110; GEn 600 mg, n = 80; GEn 1200 mg, n = 119). GEn 600 mg and
1200 mg significantly improved least-squares mean IRLS total scores versus
placebo at week 12 (placebo, -12.3; GEn 600 mg, -16.3; GEn 1200 mg, -18.0;
treatment difference vs. placebo, both p <0.01). Significantly more patients with
severe primary RLS treated with GEn 600 mg (64%) and 1200 mg (74%) were CGI-I
responders at week 12 versus placebo (42%; p <0.01 for both GEn doses). Both GEn
doses led to significant improvements in the other outcomes explored versus
placebo at week 12. The most frequent treatment-emergent adverse events (TEAEs)
were somnolence (GEn, 21-24%; placebo, 3%) and dizziness (GEn, 14-19%; placebo,
3%). CONCLUSIONS: GEn (600 mg or 1200 mg) once daily significantly improved RLS
symptoms and consequences of these symptoms in severe primary RLS. The most
frequent TEAEs were somnolence and dizziness.
PMID- 27198948
TI - Mood disorders in healthy elderly with obstructive sleep apnea: a gender effect.
AB - OBJECTIVE: Previous studies have suggested an association between obstructive
sleep apnea (OSA) and anxiety and depression, but it remains unclear as to
whether this is due to OSA or other factors. The aim of this study was to
evaluate this association in a large sample of healthy elderly with unrecognized
OSA. METHODS: 825 healthy elderly (aged >=65 years) undergoing clinical,
respiratory home polygraphic study and completion of questionnaires related to
depression, anxiety and sleepiness were examined. According to the apnea-hypopnea
index (AHI), the subjects were stratified into no-OSA, mild-moderate and severe
OSA cases. RESULTS: Anxiety was present in 38% of the sample and depression in
8%. Anxiolytic treatment was reported by 9% of the population and antidepressant
treatment in 5%. Women had high scores for anxiety and depression and they were
more frequently taking anxiolytic and antidepressant medications. No differences
were found for anxiety and depression scores and medication intake in the three
groups of subjects stratified according to the AHI. Regression analyses adjusted
for age, gender, body mass index, hypertension (HT), AHI, and indices of
hypoxemia revealed that females were 5.44 times more likely to have depression
with a low contribution of the time with SaO2 < 90%. CONCLUSIONS: In a large
sample of healthy elderly with OSA, neither the existence nor the severity of the
OSA was associated with anxiety and depression score, with women having higher
anxiety and depression scores. This finding supports the hypothesis that
depressive symptoms originate from factors other than measures of OSA severity.
PMID- 27198949
TI - Sleep bruxism in children: sleep studies correlate poorly with parental reports.
AB - The prevalence of sleep bruxism (SB) is usually reported as highest during
childhood and decreases with age. However, this is based on parental reports and
self-reports in the absence of quantitative data. Moreover, although SB signs,
symptoms, and cutoff criteria have been established in the adult population, they
remain unassessed in the pediatric population. OBJECTIVES: This study aims to
classify SB in children according to sleep variables and rhythmic masticatory
muscle activity (RMMA) frequency indexes and to determine associations with
objective signs and symptoms of SB in comparison with parental reports. MATERIALS
AND METHODS: Thirty-two children (11.5 +/- 0.3 years) recruited at the
orthodontic clinic underwent a dental assessment and ambulatory sleep recording
(type II). Parents responded to a validated screening questionnaire on tooth
clenching and grinding. A two-step cluster analysis was performed to classify
participants into RMMA frequency groups, as described subsequently, followed by
one-way analysis of variance (ANOVA) to compare groups. Fisher's exact test was
performed for analyzing the associations between the signs and symptoms according
to RMMA. RESULTS: Three RMMA frequency groups were identified: low (n = 12),
moderate-high (n = 13), and control (n = 7). Between-group comparisons for
episodes per hour and bursts/hour were significant (p <0.001). No relationships
were found between RMMA (presence/absence) and clinically assessed tooth wear or
reports of tooth clenching or grinding or craniofacial complaints. CONCLUSIONS:
RMMA frequency classification differs slightly between children and adults. No
association was observed between parental reports and RMMA, suggesting the need
to improve parental knowledge of children's SB.
PMID- 27198951
TI - Evaluating the clinical value of oscillatory cardiopulmonary coupling in patients
with obstructive sleep apnea hypopnea syndrome by impedance cardiogram.
AB - OBJECTIVES: For patients with obstructive sleep apnea hypopnea syndrome (OSAHS),
chronic inflammation and hemodynamic oscillations caused by respiratory events
contribute to cardiovascular disease (CVD). In this study, a physiological marker
named oscillatory coupling factor (OCF) exacted from cardiac output (CO) was
introduced. This study aimed to evaluate the clinical value of OCF and
tentatively explore its predictive value of cardiovascular prognosis in OSAHS
patients. METHODS: An impedance cardiogram (ICG) was used to continuously obtain
the participants' CO with simultaneous polysomnography. Participants were divided
into three groups: an OSAHS-CVD- group (n = 19); an OSAHS + CVD- group (n = 34);
and an OSAHS + CVD + group (n = 36). The OCF was exacted from the CO by using
empirical mode decompensation-based detrended fluctuation analysis (EMD-DFA).
RESULTS: The OCF values were: OSAHS + CVD + group [1.20 (0.98-1.78)] > OSAHS +
CVD- group [1.14 (1.02-1.94)] > OSAHS-CVD- group [0.95 (0.56-1.16)], (p = 0.001).
A Spearman test showed that OCF was positively correlated with age,
apnea/hypopnea index (AHI), microarousal index (MAI), oxygen desaturation index
(ODI), and negatively correlated with the lowest SpO2. Ten participants were
treated by one-night continuous positive airway pressure (CPAP): their AHI
decreased from 44.9 (18.0-72.9)/hour to 1.25 (0.0-7.5)/hour, and their OCF fell
from 1.17 (1.10-1.69) to 1.08 (0.96-1.23) (p = 0.038). Seventy-seven participants
were effectively followed up. Seven participants developed CVD events or newly
diagnosed CVD; their OCFs were distributed on a relatively high level [1.18 (1.01
1.56)]. CONCLUSION: The OSAHS participants had higher OCFs than those without
OSAHS, while CVD made the OCFs even higher; CPAP could rectify this change.
Oscillatory coupling factor may be a physiological marker of cardiopulmonary
coupling and have potential cardiovascular prognostic value for people with
OSAHS.
PMID- 27198952
TI - Criteria for nap identification in infants and young children using 24-h
actigraphy and agreement with parental diary.
AB - STUDY OBJECTIVES: The study aimed to determine if an automated algorithm, capable
of batch scoring, could extract naps and other 24-h sleep-wake variables from
actigraphy without the need for parental sleep diaries, which rely heavily on
parental awareness of child sleep. DESIGN: A cross-sectional design was used for
the study. SETTING: The study examined healthy infants/children in their home
setting. PARTICIPANTS: A total of 160 infants/children in five age groups (6
months, and 1, 2, 31/2 and 5 years) participated in the study. MEASUREMENTS AND
RESULTS: Participants wore actigraphs for 5-7 days, and parents completed sleep
diaries over 2 consecutive days. Three criteria were applied to find the minimum
sleep time (20, 30 and 40 min) yielding the best nap agreement between diaries
and actigraphy for nap/no-nap identification. Best agreements were 72.1% (20 min
minimum), 78.4% (20 min), 91.0% (30 min) and 93.3% (30 min) for ages 6 months, 1,
2 and 31/2 years, respectively. Kappa statistics classified nap-nap agreement as
'slight' for 6-month data but 'moderate' or 'almost perfect' for older age
groups. The number of daytime naps extracted at each age group yielded no
significant discrepancies between the methods. Diaries generally returned
significantly earlier sleep onset, later sleep offset, longer sleep duration and
fewer night wakings at 6 months and 1 and 2 years, but this was not significant
at 31/2 or 5 years of age. CONCLUSIONS: Minimum age-specific sleep time
thresholds are recommended to improve nap identification in actigraphy across
infant and toddler age groups. The findings strengthen our confidence in the
ability to collect actigraphy data in the absence of parental diaries, in 31/2-
and 5-year-olds, at least.
PMID- 27198953
TI - Effects of suvorexant on sleep architecture and power spectral profile in
patients with insomnia: analysis of pooled phase 3 data.
AB - BACKGROUND: The orexin receptor antagonist, suvorexant, is approved for treating
insomnia at a maximum dose of 20 mg. We evaluated its effects on sleep
architecture. METHODS: The analyses included pooled polysomnography data from two
similar randomized, double-blind, placebo-controlled, 3-month trials evaluating
two age-adjusted (non-elderly/elderly) dose regimes of 20/15 mg and 40/30 mg in
1482 patients with insomnia. Polysomnography was recorded at baseline and on
three nights during the treatment: Night-1, Month-1, and Month-3. Effects on non
REM sleep stages 1 (N1), 2 (N2), 3 (N3)/slow wave sleep (SWS), and REM sleep were
evaluated. A power spectral analysis of non-REM sleep was also performed.
RESULTS: Suvorexant increased the time (in minutes) spent in all sleep stages
compared with placebo. When suvorexant and placebo were compared in terms of
changes in percentage of total sleep time spent in each stage, there were small
decreases of <=1%, <=2.2%, and <=0.8% for N1, N2, and N3/SWS on average,
respectively, and an average increase of <=3.9% in REM. The largest differences
from placebo were observed at Night-1 and generally diminished over time.
Suvorexant reduced REM latency (number of non-REM 30-s epochs from lights-off to
the first REM epoch) compared with placebo; the reduction was greater at Night-1
(~40-50 non-REM epochs) in comparison to later time points (~12-25 non-REM epochs
at Month-3). The spectral analysis of non-REM showed a small decrease in power of
3-6% in the gamma and beta bands, and a small increase of 4-8% in the delta band,
at Night-1 for suvorexant relative to placebo; these effects were not apparent at
the later Month-1 and Month-3 time points. CONCLUSION: Overall sleep architecture
appears to be preserved in insomnia patients taking suvorexant. The power
spectral profile of suvorexant is generally similar to placebo.
PMID- 27198954
TI - Association between stress-related sleep reactivity and cognitive processes in
insomnia disorder and insomnia subgroups: preliminary results.
AB - OBJECTIVE: Stress-related sleep reactivity, sleep-related cognitions, and
psychological factors play an important role in insomnia. The aim was to
investigate their possible association in Insomnia Disorder, insomnia subgroups,
and healthy subjects. METHODS: The cross-sectional study consisted of 93 subjects
who met diagnostic criteria for Insomnia Disorder according to Diagnostic and
Statistical Manual of Mental Disorders, 5th Edition (DSM-5) and of 30 healthy
subjects. Survey instruments included the Insomnia Severity Index (ISI),
Pittsburgh Sleep Quality Index (PSQI), Ford Insomnia Response to Stress Test
(FIRST), Dysfunctional Beliefs about Sleep scale (DBAS), Beck Depression
Inventory (BDI), and Zung Self-Rating Anxiety Scale (SAS). Descriptive
statistics, Pearson correlations, chi(2)-test, and multiple linear regression
were performed. RESULTS: FIRST and SAS best determined the insomnia subjects vs
good sleepers (FIRST chi(2) = 109.6, p <0.001, SAS chi(2) = 120.3, p <0.001).
FIRST was best predicted by DBAS (p <0.001), PSQI (p <0.001), and SAS by PSQI (p
<0.001), ISI (p <0.05), BDI (p <0.001). In the sleep onset subgroup FIRST was
related to ISI, PSQI, and DBAS and in the combined subgroup with DBAS. In both
subgroups SAS was related to PSQI, ISI, and BDI. CONCLUSIONS: Findings suggest
potential implications: (1) among the factors that may contribute to insomnia,
stress-related sleep reactivity, and psychological factors, such as anxiety
symptoms, may distinguish insomnia subjects from good sleepers; (2) sleep
reactivity and sleep-related cognitions seem interrelated, unhelpful beliefs may
affect the stress reactivity; (3) psychological factors may influence sleep
quality and the severity of insomnia; (4) these important sleep-related variables
may have similar associations in insomnia subgroups; they may constitute the core
factors for insomnia development and maintenance.
PMID- 27198950
TI - The association between sleep-disordered breathing and aortic stiffness in a
community cohort.
AB - OBJECTIVE: Sleep-disordered breathing is associated with hypertension and
cardiovascular disease. Increased aortic stiffness is one possible linking
mechanism. We evaluated the association between sleep-disordered breathing and
aortic stiffness in a community-based sample. METHODS: Our community-based cross
sectional observational study included 381 participants from the Framingham Heart
Study (55% women, mean age 58.0 S.D. = 9.4 years, 51% ethnic minorities).
Polysomnographically derived apnea-hypopnea index and CT90% (cumulative % sleep
time with oxyhemoglobin saturation <90%) quantified sleep-disordered breathing
severity. Carotid-femoral pulse wave velocity, the gold-standard measure of
aortic stiffness, was calculated using arterial applanation tonometry-derived
waveforms and body surface measured transit distance. We assessed associations
between sleep-disordered breathing and carotid-femoral pulse wave velocity using
multivariable regression. We adjusted for age, sex, race, body mass index,
diabetes, alcohol consumption, hormone replacement therapy, cholesterol/high
density lipoprotein, lipid-lowering therapy, anti-hypertensive medication,
smoking, hypertension, and prevalent cardiovascular disease. RESULTS: After
multivariable adjustment, carotid-femoral pulse wave velocity was associated with
both apnea-hypopnea index (beta = 0.03, 95% CI: 0.002-0.07, p= 0.04) and CT90%
(beta = 0.05, 95% CI: 0.005-0.1, p= 0.03). The adjusted mean carotid-femoral
pulse wave velocity was 9.43 (95% CI: 9.12-9.74), 9.76 (95% CI: 9.25-10.26), and
10.15 (95% CI: 9.37-10.92) m/s, respectively, in subjects with apnea-hypopnea
index <5, 5-14.9, and >=15 events/h. CONCLUSIONS: In a community-based sample of
middle aged and older men and women, sleep-disordered breathing was associated
with increased carotid-femoral pulse wave velocity, a strong predictor of
cardiovascular risk.
PMID- 27198955
TI - Relationship between insomnia symptoms, perceived stress and coping strategies in
subjects with arterial hypertension: psychological factors may play a modulating
role.
AB - OBJECTIVE: The aim of the study was to evaluate perceived stress and coping
strategies in people with hypertension, according to the presence of insomnia
symptoms and by using a set of variables that included anxiety and depressive
symptoms evaluation. METHODS: A total of 371 hypertensive patients were enrolled
during their first visit to the Hypertension Outpatient Unit. The Perceived
Stress Scale (PSS), Brief-COPE, Insomnia Severity Index (ISI), Beck Depression
Inventory (BDI), Self-rating Anxiety Scale (SAS), and State-Trait Anxiety
Inventory (STAI) were administered. Patients with other sleep disorders or with
incomplete data (n = 41) were excluded. RESULTS: Data from 330 hypertensive
patients were analyzed (males 51%, mean age 57 +/- 13 years). Those with insomnia
symptoms (n = 70, 21%) were older (p = 0.02), more frequently females (p = 0.01),
and presented with higher PSS (p < 0.001), BDI (p < 0.0001), SAS (p = 0.0003),
and STAI (p < 0.0001) scores than those without insomnia symptoms. In a linear
regression trait, anxiety (p < 0.0001) and depressive symptoms (p < 0.05) were
independent predictors of high PSS. Patients with insomnia symptoms showed lower
scores in coping strategies, such as positive reframing (p = 0.03) and emotional
support (p = 0.04), and an increased score in behavioral disengagement (p =
0.03). Trait anxiety and insomnia severity were independent predictors of less
effective coping strategies. CONCLUSIONS: People with hypertension and insomnia
symptoms showed higher perceived stress and less effective coping strategies than
non-insomniacs; psychological factors such as trait anxiety and depressive
symptoms may play a modulating role in these relationships. Prevention and
treatment of insomnia symptoms and psychological factors should receive high
attention for people with hypertension.
PMID- 27198956
TI - Prevalence of insomnia and its risk factors in older individuals: a community
based study in four cities of Hebei Province, China.
AB - OBJECTIVE: To examine the epidemiology of sleep problems and insomnia among the
community older individuals in Hebei Province, China, and to investigate the
potential sociodemographic and clinical correlates and medication use. METHODS:
This cross-sectional study was conducted with community adults, aged 60 years or
older, who resided in four major cities in Hebei province. Basic sociodemographic
and clinical data were collected and analyzed. A total of 3176 older adults (1292
men, 70.2 +/- 6.8 years; 1884 women, 68.8 +/- 6.7 years) were interviewed. All of
the participants were interviewed with a standardized questionnaire and underwent
insomnia screening. RESULTS: The prevalence of insomnia was 37.75%. The most
common type of sleep disturbance was difficulty maintaining sleep, followed by
difficulty initiating sleep and early morning awakening. Never smoking,
experiencing the loss of a parent, a history of coronary heart disease, and
depression symptoms were independent risk factors for insomnia in men. Occasional
drinking was an independent protective factor against insomnia in men. Older age,
depression symptoms, a history of cerebral hemorrhage, hyperlipidemia, living
without a spouse, and having mild cognitive impairment were independent risk
factors for insomnia in women. Only 11.1% of the sample with insomnia were taking
sleeping medications regularly. CONCLUSION: Insomnia is highly prevalent among
the community older population in Hebei Province. The percentage of individuals
regularly taking sleeping medication is low among those with insomnia.
Individuals with complaints of insomnia frequently have poor physical and mental
health and may need more medical attention. Comprehensive measures that involve
psychosocial and personal behaviors should be implemented to alleviate insomnia
in older individuals.
PMID- 27198959
TI - In Memoriam, Elio Lugaresi, MD (July 1, 1926-December 22, 2015).
PMID- 27198960
TI - Reversible thrombotic aortic valve restenosis after valve-in-valve transcatheter
aortic valve replacement.
AB - Thrombotic aortic valve restenosis following transcatheter aortic valve
replacement (TAVR) has not been extensively reported and the rates of TAVR valve
thrombosis are not known. We present three cases of valve-in-valve (VIV)
restenosis following TAVR with the balloon expandable transcatheter heart valves,
presumably due to valve thrombosis that improved with anticoagulation. (c) 2016
Wiley Periodicals, Inc.
PMID- 27198966
TI - Polymer globule with fractal properties caused by intramolecular nanostructuring
and spatial constrains.
AB - By means of computer simulation, we studied macromolecules composed of N dumbbell
amphiphilic monomer units with attractive pendant groups. In poor solvents, these
macromolecules form spherical globules that are dense in the case of short chains
(the gyration radius RG~N(1/3)), or hollow inside and obey the RG~N(1/2) law when
the macromolecules are sufficiently long. Due to the specific intramolecular
nanostructuring, the vesicle-like globules of long amphiphilic macromolecules
posses some properties of fractal globules, by which they (i) could demonstrate
the same scaling statistics for the entire macromolecule and for short subchains
with m monomer units and (ii) possess a specific territorial structure. Within a
narrow slit, the globule loses its inner cavity, takes a disk-like shape and
scales as N(1/2) for much shorter macromolecules. However, the field of end-to
end distance r(m) ~m(1/2) dependence for subchains becomes visibly smaller. The
results obtained were compared with the homopolymer case.
PMID- 27198965
TI - Automated alignment of perioperative MRI scans: A technical note and application
in pediatric epilepsy surgery.
AB - Conventional image registration utilizing brain voxel information may be
erroneous in a neurosurgical setting due to pathology and surgery-related
anatomical distortions. We report a novel application of an automated image
registration procedure based on skull segmentation for magnetic resonance imaging
(MRI) scans acquired before, during and after surgery (i.e., perioperative). The
procedure was implemented to assist analysis of intraoperative brain shift in 11
pediatric epilepsy surgery cases, each of whom had up to five consecutive
perioperative MRI scans. The procedure consisted of the following steps: (1)
Skull segmentation using tissue classification tools. (2) Estimation of rigid
body transformation between image pairs using registration driven by the skull
segmentation. (3) Composition of transformations to provide transformations
between each scan and a common space. The procedure was validated using locations
of three types of reference structural landmarks: the skull pin sites, the eye
positions, and the scalp skin surface, detected using the peak intensity
gradient. The mean target registration error (TRE) scores by skull pin sites and
scalp skin rendering were around 1 mm and <1 mm, respectively. Validation by eye
position demonstrated >1 mm TRE scores, suggesting it is not a reliable reference
landmark in surgical scenarios. Comparable registration accuracy was achieved
between opened and closed skull scan pairs and closed and closed skull scan
pairs. Our procedure offers a reliable registration framework for processing
intrasubject time series perioperative MRI data, with potential of improving
intraoperative MRI-based image guidance in neurosurgical practice. Hum Brain Mapp
37:3530-3543, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27198961
TI - Size quantization of Dirac fermions in graphene constrictions.
AB - Quantum point contacts are cornerstones of mesoscopic physics and central
building blocks for quantum electronics. Although the Fermi wavelength in high
quality bulk graphene can be tuned up to hundreds of nanometres, the observation
of quantum confinement of Dirac electrons in nanostructured graphene has proven
surprisingly challenging. Here we show ballistic transport and quantized
conductance of size-confined Dirac fermions in lithographically defined graphene
constrictions. At high carrier densities, the observed conductance agrees
excellently with the Landauer theory of ballistic transport without any
adjustable parameter. Experimental data and simulations for the evolution of the
conductance with magnetic field unambiguously confirm the identification of size
quantization in the constriction. Close to the charge neutrality point, bias
voltage spectroscopy reveals a renormalized Fermi velocity of ~1.5 * 10(6) m s(
1) in our constrictions. Moreover, at low carrier density transport measurements
allow probing the density of localized states at edges, thus offering a unique
handle on edge physics in graphene devices.
PMID- 27198967
TI - Chromoselective Photocatalysis: Controlled Bond Activation through Light-Color
Regulation of Redox Potentials.
AB - Catalysts that can be regulated in terms of activity and selectivity by external
stimuli may allow the efficient multistep synthesis of complex molecules and
pharmaceuticals. Herein, we report the light-color regulation of the redox
potential of a photocatalyst to control the activation of chemical bonds. Light
color control of the redox power of a photocatalyst introduces a new selectivity
parameter to photoredox catalysis: Instead of changing the catalyst or ligand,
alteration of the color of the visible-light irradiation adjusts the selectivity
in catalytic transformations. By using this principle, the selective activation
of aryl-halide bonds for C-H arylation and the sequential conversion of
functional groups with different reduction potentials is possible by simply
applying different colors of light for excitation of the photocatalyst.
PMID- 27198969
TI - Primary total hip arthroplasty using 3rd generation ceramic-on-ceramic
articulation.
AB - PURPOSE: Ceramic-on-ceramic (CoC) is currently a popular bearing combination in
young patients in primary total hip arthroplasty (THA). The purpose of this study
was to evaluate clinical and radiographic results and complications of cementless
THA with 3rd generation CoC articulation. MATERIALS AND METHODS: From April 2001
to January 2008, 310 primary THAs were performed in 300 patients using 3rd
generation CoC articulation. The mean follow-up period was 8.9 years and the mean
age at index surgery was 54.6 years. Patient clinical outcome was evaluated with
the Harris Hip Score. Radiographic evaluations was performed to analyse
osteolysis, implant fixation and loosening. RESULTS: Mean Harris Hip Score at
last follow-up was 95.4 (76-100). Radiographic analysis demonstrated no evidence
of stem or cup loosening and there were no cases of osteolysis. Ceramic wear was
not detectable on the plain radiograph. Complications requiring revision occurred
in 12 cases; 2 ceramic head fractures, 4 dislocations, 2 deep infections and 4
cases of periprosthetic fracture. The cohort had an overall revision rate of
3.9%. CONCLUSIONS: Clinical outcomes using cementless THA with 3rd generation CoC
articulation were satisfactory. Although the mechanical properties of ceramic
materials have improved, there are still problems such as ceramic fracture and
squeaking. More clinical study and investigation for alternative bearing are
necessary to reduce complications. 4th generation CoC or ceramic on cross linked
polyethylene may address some of these issues.
PMID- 27198968
TI - Nanomolar pyrophosphate detection and nucleus staining in living cells with
simple terpyridine-Zn(II) complexes.
AB - Great efforts have been made to develop fluorescent probes for pyrophosphate
(PPi) detection. Nucleus staining with fluorescence microscopy has been also
widely investigated. But fluorescent probes for PPi detection with high
sensitivity in water medium and nucleus staining with low-cost non-precious metal
complexes in living cells are still challenging. Herein, we report simple
terpyridine-Zn(II) complexes for selective nanomolar PPi detection over ATP and
ADP in water based on aggregation induced emission (AIE) and intramolecular
charge transfer (ICT). In addition, these terpyridine-Zn(II) complexes were
successfully employed for nucleus staining in living cells. These results
demonstrated simply obtained terpyridine-Zn(II) complexes are powerful tool for
PPi detection and the development of PPi-related studies.
PMID- 27198970
TI - Quality of reduction and prognosis of developmental dysplasia of the hip: a
retrospective study.
AB - INTRODUCTION: Using limited MRI we evaluated the quality of closed reduction and
prognosis in a group of patients with developmental dysplasia of the hip (DDH).
METHODS: Limited MRI was performed on 28 DDH patients (41 hips) after closed
reduction. All the hips were divided into deep and incomplete concentric
reduction groups according to the femoral head-acetabular distance (FAD) and the
shape of the labrum on limited MRI. The abduction angle of the hips, and the
initial and final acetabular index (AI) were measured. Presence or absence of the
ossification centre of the femoral head before treatment, the Tonnis
classification and avascular necrosis of the femoral head and types (Bucholz and
Ogden type) were recorded. The data of the 2 groups were analysed with SPSS
software. RESULTS: We found no significant differences in age, gender, side,
preoperative ossification centre of the femoral head, preoperative AI, decreased
postoperative AI and abduction angles of hips between the 2 groups. There were
significant statistical differences in the preoperative Tonnis grade, FAD after
reduction, AI at the final follow-up, severe residual deformity and severe
avascular necrosis of the femoral head (p<0.05). The cure rate showed a
significant trend (p = 0.052). CONCLUSIONS: Limited MRI enables effective
determination of the quality of reduction immediately after closed reduction. The
prognosis of the deep concentric reduction group was better than the group with
incomplete concentric reduction.
PMID- 27198971
TI - Sodium trimetaphosphate enhances the effect of 250 p.p.m. fluoride toothpaste
against enamel demineralization in vitro.
AB - This in vitro study investigated the effect of sodium trimetaphosphate (TMP),
added to toothpaste containing 250 p.p.m. fluoride, on enamel demineralization.
Bovine enamel blocks (n = 96) were subjected to five pH cycles over a 7-d period
and treatment with suspensions of toothpastes containing 0, 250, 500, and 1,100
p.p.m. fluoride (as sodium fluoride), as well as with 250 p.p.m. fluoride
containing TMP at 0.25, 0.5, 1.0, and 3.0%. Treatment with toothpaste suspensions
was performed under agitation twice a day, for 1 min. Surface and cross-sectional
hardness, and fluoride firmly bound to enamel, were quantified. Data were
subjected to one-way anova, followed by Tukey's test. Low-fluoride toothpastes
containing TMP at 0.25-1.0% resulted in enamel mineral loss similar to that seen
for the toothpaste containing 1,100 p.p.m. fluoride. Also, the addition of TMP to
the toothpaste containing 250 p.p.m. fluoride promoted enamel fluoride
concentrations similar to those obtained for the 500 p.p.m. fluoride group. The
toothpaste containing 250 p.p.m. fluoride and 0.25% TMP led to the lowest mineral
loss among all groups. It was concluded that the addition of as little as 0.25%
TMP to a toothpaste containing 250 p.p.m. fluoride can reduce enamel
demineralization to levels similar to those seen for a conventional toothpaste
containing 1,100 p.p.m. fluoride, in vitro.
PMID- 27198972
TI - Prediction of beef color using time-domain nuclear magnetic resonance (TD-NMR)
relaxometry data and multivariate analyses.
AB - Time-domain nuclear magnetic resonance and chemometrics were used to predict
color parameters, such as lightness (L*), redness (a*), and yellowness (b*) of
beef (Longissimus dorsi muscle) samples. Analyzing the relaxation decays with
multivariate models performed with partial least-squares regression, color
quality parameters were predicted. The partial least-squares models showed low
errors independent of the sample size, indicating the potentiality of the method.
Minced procedure and weighing were not necessary to improve the predictive
performance of the models. The reduction of transverse relaxation time (T2 )
measured by Carr-Purcell-Meiboom-Gill pulse sequence in darker beef in comparison
with lighter ones can be explained by the lower relaxivity Fe2+ present in
deoxymyoglobin and oxymyoglobin (red beef) to the higher relaxivity of Fe3+
present in metmyoglobin (brown beef). These results point that time-domain
nuclear magnetic resonance spectroscopy can become a useful tool for quality
assessment of beef cattle on bulk of the sample and through-packages, because
this technique is also widely applied to measure sensorial parameters, such as
flavor, juiciness and tenderness, and physicochemical parameters, cooking loss,
fat and moisture content, and instrumental tenderness using Warner Bratzler shear
force. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27198973
TI - Improvements in health-related quality of life with liraglutide 3.0 mg compared
with placebo in weight management.
AB - Obesity has a negative impact on health-related quality of life (HRQoL). The
SCALE Obesity and Prediabetes study investigated the effect of liraglutide 3.0
mg, as adjunct to diet and exercise, on HRQoL in patients with obesity [body mass
index (BMI) >= 30 kg m(-2) ] or overweight (BMI >= 27 kg m(-2) ) with
comorbidity. Participants were advised on a 500 kcal d(-1) deficit diet and a 150
min week(-1) exercise programme and were randomised 2:1 to once-daily
subcutaneous liraglutide 3.0 mg or placebo. HRQoL was assessed using the Impact
of Weight on Quality of Life-Lite (IWQOL-Lite) and Short-Form 36 (SF-36) v2
health questionnaires. Individuals on liraglutide 3.0 mg (n = 2046) had
significantly greater improvements in IWQOL-Lite total score (10.6 +/- 13.3) vs.
placebo (n = 1020) (7.7 +/- 12.8) and SF-36 physical (PCS) and mental (MCS)
component summary scores (PCS, 3.6 +/- 6.8; MCS, 0.2 +/- 8.1) vs. placebo (PCS,
2.2 +/- 7.7; MCS, -0.9 +/- 9.1). The estimated treatment differences were IWQOL
Lite total score 3.1 (95% CI: 2.2; 4.0), P < 0.0001; SF-36 PCS 1.7 (95% CI: 1.2;
2.2), P < 0.0001 and MCS 0.9 (95% CI: 0.3; 1.5), P = 0.003. All subscales of the
IWQOL-Lite and SF-36 were significantly improved with liraglutide 3.0 mg vs.
placebo. More patients on liraglutide 3.0 mg experienced meaningful improvement
on the IWQOL-Lite total (P < 0.0001) and the SF-36 PCS (P < 0.0001) scores.
PMID- 27198974
TI - Functional nanocarriers by miniaturization of polymeric materials.
PMID- 27198975
TI - Evaluation of the Psychometric Properties of the Mental Vulnerability
Questionnaire in Undergraduate Students.
AB - PURPOSE: Translate, adapt the language, and assess the psychometric properties of
the Mental Vulnerability Questionnaire (MVQ) in a Portuguese population sample of
young adults. DESIGN AND METHODS: A psychometric validation study was performed.
The sample comprised 166 undergraduate students. Factor analysis was applied to
extract three indicators. FINDINGS: The MVQ showed divergent validity with the
Positive Mental Health Questionnaire (p < .001) and convergent validity with the
Mental Health Inventory including five items (p < .001). Reliability was verified
through the assessment of internal consistency, evidencing positive outcomes
(Cronbach's alpha = 0.81). PRACTICE IMPLICATIONS: The MVQ shows psychometric
properties enabling its adaptation to clinical practice and research, essential
to an effective screening of mental vulnerability.
PMID- 27198976
TI - Elevated plasma levels of Th17-related cytokines are associated with increased
risk of atrial fibrillation.
AB - We performed a matched case-control study using a propensity score matching, to
assess the association of Th17-related cytokines, including interleukin (IL) 17A
(IL-17A), IL-17F, IL-21, IL-22 and IL-6, along with interferon-gamma (IFN-gamma),
IL-10, IL-9, and IL-4, with the risk of AF. A total of 336 patients with AF were
matched 1:1 with patients without AF. Plasma levels of cytokines were measured
using Luminex xMAP assays. The plasma levels of all examined cytokines were
significantly higher in AF patients than controls (P < 0.05), and these cytokines
were highly correlated with each other (P < 0.01). A multivariate conditional
logistic regression analysis showed that elevated plasma levels of IL-17A, IL
17F, IL-21, IL-22, IFN-gamma, IL-10, IL-9 and IL-6 were significantly associated
with AF risk independently of potential confounders. There were no significant
differences in plasma levels of examined cytokines between paroxysmal and chronic
AF patients. IL-17A, IL-21, IL-10 and IL-6 levels were positively correlated with
left atrial diameter; IL-17F level was negatively correlated with left ventricle
ejection fraction among AF patients (P < 0.05). Elevated plasma levels of Th17
related cytokines were independently associated with increased an risk of AF;
hence, Th17-related cytokines may be involved in the pathogenesis of AF.
PMID- 27198978
TI - Progress in improving provincial plans for nutrition through targeted technical
assistance and local advocacy in Vietnam.
AB - Vietnam has been decentralizing nutrition planning to provinces, which could help
with local relevance and accountability. Assessment in 2009 found a continuing
top-down approach, limited human capacity, and difficulty in integrating multiple
sectors. Alive and Thrive (A&T) provided targeted assistance and capacity
building for 15 provincial plans for nutrition (PPNs). We aimed to (i) assess PPN
content and quality improvements 2009-2014, and (ii) explain processes through
which change occurred. Data consisted of interview-based assessments of
provincial planning processes, annual PPN assessments, and tracking of A&T
involvement. At endline, some provinces produced higher quality plans. Local
planning skills improved, but capacity remained insufficient. Awareness of and
support for nutrition improved, but some policy and legal environments were
contradictory. Objectives were clearer, but use of data for planning remained
inconsistent. Provinces became more proactive and creative, but remained
constrained by slow approval processes and insufficient funding. Targeted
assistance and local advocacy can improve decentralized planning, with success
dependent on policy and programming contexts and ability to overcome constraints
around capacity, investment, data use and remnants of centralized planning. We
recommend strong engagement with planners at the national level to understand how
to unblock major constraints; solutions must take into consideration the
particular political, financial and administrative context.
PMID- 27198977
TI - The influence of health system organizational structure and culture on
integration of health services: the example of HIV service monitoring in South
Africa.
AB - Administrative integration of disease control programmes (DCPs) within the
district health system has been a health sector reform priority in South Africa
for two decades. The reforms entail district managers assuming authority for the
planning and monitoring of DCPs in districts, with DCP managers providing
specialist support. There has been little progress in achieving this, and a
dearth of research exploring why. Using a case study of HIV programme monitoring
and evaluation (M&E), this article explores whether South Africa's health system
is configured to support administrative integration. The article draws on data
from document reviews and interviews with 54 programme and district managers in
two of nine provinces, exploring their respective roles in decision-making
regarding HIV M&E system design and in using HIV data for monitoring uptake of
HIV interventions in districts. Using Mintzberg's configurations framework, we
describe three organizational parameters: (a) extent of centralization (whether
district managers play a role in decisions regarding the design of the HIV M&E
system); (b) key part of the organization (extent to which sub-national programme
managers vs district managers play the central role in HIV monitoring in
districts); and (c) coordination mechanisms used (whether highly formalized and
rules-based or more output-based to promote agency). We find that the health
system can be characterized as Mintzberg's machine bureaucracy. It is centralized
and highly formalized with structures, management styles and practices that
promote programme managers as lead role players in the monitoring of HIV
interventions within districts. This undermines policy objectives of district
managers assuming this leadership role. Our study enhances the understanding of
organizational factors that may limit the success of administrative integration
reforms and suggests interventions that may mitigate this.
PMID- 27198979
TI - Recent trends in working with the private sector to improve basic healthcare: a
review of evidence and interventions.
AB - The private sector provides the majority of health care in Africa and Asia. A
number of interventions have, for many years, applied different models of
subsidy, support and engagement to address social and efficiency failures in
private health care markets. We have conducted a review of these models, and the
evidence in support of them, to better understand what interventions are
currently common, and to what extent practice is based on evidence. Using
established typologies, we examined five models of intervention with private
markets for care: commodity social marketing, social franchising, contracting,
accreditation and vouchers. We conducted a systematic review of both published
and grey literature, identifying programmes large enough to be cited in
publications, and studies of the listed intervention types. 343 studies were
included in the review, including both published and grey literature. Three
hundred and eighty programmes were identified, the earliest having begun
operation in 1955. Commodity social marketing programmes were the most common
intervention type, with 110 documented programmes operating for condoms alone at
the highest period. Existing evidence shows that these models can improve access
and utilization, and possibly quality, but for all programme types, the overall
evidence base remains weak, with practice in private sector engagement
consistently moving in advance of evidence. Future research should address key
questions concerning the impact of interventions on the market as a whole, the
distribution of benefits by socio-economic status, the potential for scale up and
sustainability, cost-effectiveness compared to relevant alternatives and the risk
of unintended consequences. Alongside better data, a stronger conceptual basis
linking programme design and outcomes to context is also required.
PMID- 27198980
TI - Sparking, supporting and steering change: grounding an accountability framework
with viewpoints from Nigerian routine immunization and primary health care
government officials.
AB - Existing accountability efforts in Nigeria primarily serve as retrospective
policing. To enable accountability to guide change prospectively and
preemptively, we drew from a literature review to develop a framework that
highlights mutually reinforcing dimensions of accountability in health systems
along three counterbalancing axes. The axis of power sparks change by wielding
'sticks' that curb the potential abuse of power, but also by offering 'carrots'
that motivate constructive agency. The axis of ability supports change by
enabling service delivery actors with formal rules that appropriately expand
their authority to act, but also the informal norms and inputs for improved
performance. Last, the axis of justice orients the strategic direction of change,
balancing political representation, community ownership and social equity, so
that accountability measures are progressive, rather than being captured by self
interests. We consulted Nigerian government officials to understand their
viewpoints on accountability and mapped their responses to our evolving
framework. All government officials (n = 36) participating in three zonal
workshops on routine immunization filled out questionnaires that listed the top
three opportunities and challenges to strengthening accountability. Thematically
coded responses highlighted dimensions of accountability within the axes of
ability and power: clarifying formal roles and responsibilities; transparency,
data and monitoring systems; availability of skilled health personnel that are
motivated and supervised; addressing informal norms and behaviours; and
availability of inputs regarding funding and supplies. Other dimensions of
accountability were mentioned but were not as critical from their viewpoints:
managerial discretion; sanctions and enforcements; political influence and
community engagement. Strikingly, almost no respondents mentioned social equity
as being an important aspect of accountability, although a few mentioned broad
development concerns that reflected community perspectives. Reframing
accountability as a means of sparking, supporting and steering change can
highlight different dimensions of health systems that need reform, particularly
depending on the positionality of the viewpoints consulted.
PMID- 27198981
TI - Using microfinance to facilitate household investment in sanitation in rural
Cambodia.
AB - Improved sanitation access is extremely low in rural Cambodia. Non-governmental
organizations have helped build local supply side latrine markets to promote
household latrine purchase and use, but households cite inability to pay as a key
barrier to purchase. To examine the extent to which microfinance can be used to
facilitate household investment in sanitation, we applied a two-pronged
assessment: (1) to address the gap between interest in and use of microfinance,
we conducted a pilot study to assess microfinance demand and feasibility of
integration with a sanitation marketing program and (2) using a household survey
(n = 935) at latrine sales events in two rural provinces, we assessed attitudes
about microfinance and financing for sanitation. We found substantial stated
intent to use a microfinance institution (MFI) loan to purchase a latrine (27%).
Five percent of current owners used an MFI loan for latrine purchase. Credit
officers attended 159 events, with 4761 individuals attending. Actual loan
applications were low, with 4% of sales events attendees applying for a loan
immediately following the event (mean = 1.7 loans per event). Ongoing
coordination was challenging, requiring management commitment from the sanitation
marketing program and commitment to social responsibility from the MFI. Given the
importance of improving sanitation coverage and concomitant health impacts,
linking functional sanitation markets to already operational finance markets has
the potential to give individuals and households more financial flexibility.
Further product research and better integration of private vendors and financing
modalities are necessary to create a scalable microfinance option for sanitation
markets.
PMID- 27198983
TI - Anti-tumor activity of heat-killed Lactobacillus plantarum BF-LP284 on Meth-A
tumor cells in BALB/c mice.
AB - Probiotics exert numerous effects on human well-being. Here, heat-killed
Lactobacillus plantarum BF-LP284 (H-Lp) was isolated as a potent immuno-modulator
among 15 strains of lactobacilli in terms of TNF-alpha induction ability in
peritoneal macrophages. In vitro TNF-alpha and IFN-gamma induction in Peyer's
patch (PP) cells was higher when incubated with H-Lp than with live L. plantarum
BF-LP284 (L-Lp). Suppression of syngeneic Meth-A tumors in a murine model by oral
administration of H-Lp was also greater than that of L-Lp and of controls. H-Lp
stimulated IFN-gamma production in spleen cells, which displayed inhibited tumor
growth in Winn assays when treated with H-Lp. Moreover, H-Lp increased the ratio
of CD3(+ )cells among peripheral blood mononuclear cells in Meth-A tumor-bearing
mice, suggesting an H-Lp-mediated anti-tumor mechanism whereby immune cells that
are activated by H-Lp in PP and acquire anti-tumor activity in the spleen migrate
to tumor sites through lymphocyte homing to inhibit tumor growth.
PMID- 27198985
TI - The Use of Azoles Containing Natural Products in Cancer Prevention and Treatment:
An Overview.
AB - BACKGROUND & OBJECTIVE: Cancer is one of the leading causes of death worldwide.
In view of ever increasing number associated with cancer related death, there is
an urgent need to find out a novel compound especially of natural origin (better
efficacy, less or non-toxic and cost effective) that could serve against the
treatment of all forms of cancer. Currently, available treatment options related
to cancer have their certain limitations especially in the case of solid tumors.
METHOD: In search of the natural anticancer compound, alkaloids, in general, have
been exploited by the scientist working in this field of research. Among these
alkaloids, azoles (secondary metabolite) have been significantly highlighted in
literature because of their anticancer potential and better efficacy against
various forms of cancer. RESULTS: Their mechanism of action includes induction in
the cleavage of poly-ADP ribose polymerase (PARP), induction of caspase 3 and
caspase 9, modulation of nuclear factor kappa B, damage to DNA, cell cycle arrest
at G1 and G2/M stage, apoptosis and c-Myb inhibition. In the current article, we
have tried to cover various azoles especially from oxazoles, thiazoles and
carbazoles class that have been reported for their anticancer potential.
CONCLUSION: Based on our article, we believe that, soon, the scientific community
will come up with certain azole which will work against cancer at large rather
than a specific type of cancer.
PMID- 27198984
TI - Effect of PUFAs Oral Administration on the Amount of Apoptotic Caspases Enzymes
in Gastric Cancer Patients Undergoing Chemotherapy.
AB - OBJECTIVE: Gastric cancer is the fourth most common cancer and the second cause
of death in the world. According to the studies, the gastric cancer is relatively
sensitive to chemotherapy. The aim of this study was to investigate the
association of oral administer PUFAs with Caspase enzymes in patients with
gastric cancer under chemotherapy. METHODS: This study was a Clinical Trial in
which the target group consisted of the patients recognized with gastric cancer
for the first time and cured under chemotherapy. Thirty-four patients were
selected and categorized randomly into two groups. The case group included the
patients taking PUFAs along with chemotherapeutic agent. In these patients,
chemotherapy started with Cis-Platin plus PUFAs supplement in the scale of 3600
mg daily and in three courses. In control group, the individuals were under the
same chemotherapy protocol without PUFAs. Biopsy samples from tumor were taken
from the patients before and after chemotherapy. Levels of mRNA and protein
expression of caspase 3, 8, 9 were measured in biopsy samples by Real-Time PCR
and Frozen Section methods. The levels of apoptosis were determined using DNA
damage colorimetric assay. RESULTS: In the case group, caspase 3 showed a
significant increase in both gene and protein expression levels after
administration of PUFAs supplement in comparison with those of the control group
(p=0.006 for gene, p=0.001 for protein). PUFAs induced caspase-9 gene expression
level in these patients (p<0.0001). Caspase-9 protein level also revealed a
marked elevation when PUFAs were administered along with chemotherapeutic agent
(p<0.0001). DNA damage in gastric tissue from the patients under PUFAs treatment
plus Cis-Platin was significantly higher than that of control group (p=0.003).
PUFAs showed no significant changes in caspase-8 both at the gene and protein
levels in the patients. CONCLUSION: According to the results of present study, it
appears that oral administration of PUFAs can elevate the efficacy of
chemotherapy agent in individuals' mitochondria-dependent apoptosis. As PUFAs
enhances caspase-3 and 9 genes expression levels, which is an important induce
the mitochondrial dependent apoptosis process. The study was registered in Iran
clinical trials registry center under No. IRCT2014031016922N1.
PMID- 27198986
TI - The Role of Tumor Associated Macrophages in the Tumor Microenvironment: Mechanism
and Functions.
AB - During tumor progression, several types of inflammatory cells are recruited into
the tumor site, where they participate in tumor-associated inflammation, such as
fibroblasts, granulocytes, lymphocytes and macrophages. Macrophages infiltrating
into the tumor microenvironment are termed tumorassociated macrophages (TAMs). In
response to multiple stimuli, macrophages undergo recruitment and polarization,
and play an important role in further tumor development. In this article, we
present a review of the mechanism of macrophage' recruitment, and the role that
TAMs play in promoting immunosuppression, tumor progress and metastasis, as well
as chemoresistance and future therapeutic strategies.
PMID- 27198987
TI - The cytotoxic effect of GW843682X on nasopharyngeal carcinoma.
AB - BACKGROUND: GW843682X is a publicly available anti-cancer compound by inhibiting
Polo-like kinase. Previous studies revealed that GW843682X inhibited the
proliferation of various tumor cell lines. In this study, the cytotoxic effect of
GW843682X was investigated on cell proliferation, cell cycle and apoptosis of
nasopharyngeal carcinoma 5-8F cells. METHODS: Cell morphological changes were
observed by inverted microscopy. Cell proliferation was tested by CCK8 assay.
Cell cycle arrest and apoptosis were tested by flow cytometry. The mechanism of
apoptosis was investigated by RT-PCR to determine the mRNA expression of IAP-1,
IAP-2, XIAP, and survivin. RESULTS: GW843682X resulted in remarkable cell
morphological changes with the increase of drug concentrations. CCK8 assay
revealed that GW843682X inhibited the proliferation and induced apoptosis of 5-8F
cells in a dose-dependent manner (IC50=62.5-125nmol/L). After treating 5-8F cells
with different doses of GW843682X for 12 h, G2/M phase cells significantly
increased while G0/G1 phase cells remarkably decreased. Interestingly, GW843682X
significantly inhibited the mRNA expression of IAP-1 and survivin, which function
as key regulators of mitosis and programmed cell death, and is overexpressed in
many tumor types. The mechanism of cytotoxic effect is partially due to the
inhibition of IAP gene expression. CONCLUSION: These findings indicated that
GW843682X exhibited remarkable cytotoxic effects on nasopharyngeal carcinoma 5-8F
cells by down-regulating IAP gene expression, suggesting that GW843682X may
become a novel therapeutic agent for nasopharyngeal carcinoma.
PMID- 27198988
TI - In Vivo Inhibition of Proteasome Activity and Tumour Growth by Murraya koenigii
Leaf Extract in Breast Cancer Xenografts and by Its Active Flavonoids in Breast
Cancer Cells.
AB - Inhibition of the 26S proteasome is an attractive approach for anticancer
therapy. Proteasome inhibitors are known to selectively target cancer cells and
make them more sensitive to chemotherapeutic agents. Murraya koenigii is a
medicinally important herb of Asian origin and a rich source of bioactive
compounds such as flavonoids and alkaloids. In the present study, we investigated
the proteasome inhibitory and apoptotic effect of M. koenigii leaf extract in
vivo in a xenograft tumor mouse model, and also assessed the toxicity if any in
normal mice. M. koenigii extract did not lead to any toxicity in mice. Analysis
of extract revealed the presence of flavonoid compounds which act as proteasome
inhibitors. Quercetin treatment led to the decrease in the cell viability and
arrest of cells in G2/M phase. Quercetin, Apigenin, Kaempferol and Rutin;
flavonoids present in the leaf extract, dose-dependently inhibited the endogenous
26S proteasome activity in MDA-MB-231 cells. Reduction in tumor growth was
associated with a decrease in proteasomal enzyme activities in the treated
groups. Increased caspase-3 activity and TUNEL-positive cells indicated enhanced
apoptosis with Murraya leaf extract treatment. Decreased expression of angiogenic
and anti-apoptotic gene markers is indicative of inhibition of angiogenesis and
promotion of apoptosis in the leaf extract treated tumors.
PMID- 27198989
TI - Epithelial-to-Mesenchymal Transition in Paclitaxel-Resistant Ovarian Cancer Cells
Is Downregulated by Luteolin.
AB - Ovarian cancer (OVCA) is the deadliest of all gynecological cancers which is
attributed to late presentation, persistence, and development of chemoresistance.
The objectives were to evaluate the association between OVCA paclitaxel
resistance and epithelial-to-mesenchymal transition (EMT) and to determine the
capability of luteolin to chemosensitize OVCA cells. X10 and X22 cells were 11.8
25.3-fold and 7.8-8.6-fold resistant to paclitaxel than 1AP cells. X10 and X22
cells exhibited a mesenchymal phenotype, while 1AP has an epithelial
characteristics. Furthermore, the expression of the epithelial marker E-cadherin
was downregulated, while mesenchymal markers Vimentin and N-cadherin were
upregulated in X10 and X22 cells when compared to 1AP cells. Transcription
factors Snail, Slug, and Twist1 were upregulated in X10 cells, while Twist1 was
highly expressed in X22 cells. Luteolin treatment caused cytotoxicity being most
potent to X10 OVCA cells. Treatment of non-cytotoxic dose of luteolin at 15.625
MUM chemosensitized X10 and X22 OVCA cells to paclitaxel as evidenced by reduced
ED50 values from 11.8 to 0.2 MUM and 8.6 to 3.6 MUM for X10 and X22 cells,
respectively. Moreover, luteolin treatment led to a more epithelial phenotype of
X10 and X22 cells and modification of EMT markers indicating reversal of EMT. The
mechanism involved is through reduction of phosphorylation of FAK and ERK leading
to reduced nuclear translocation of p65. Our results highlight the significance
of EMT in OVCA resistance to paclitaxel and warrant the investigation of luteolin
as a potential therapeutic agent in chemoresistant OVCA. J. Cell. Physiol. 232:
391-401, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27198990
TI - Small molecule recognition of mephedrone using an anthracene molecular clip.
AB - An anthracene molecular probe has been synthesised and shown to target
mephedrone, a stimulant drug from the cathinone class of new psychoactive
substances (NPS). A protocol has been developed to detect mephedrone via the
probe using NMR spectroscopy in a simulated street sample containing two of the
most common cutting agents, benzocaine and caffeine.
PMID- 27198991
TI - The effects of emotion priming on visual search in socially anxious adults.
AB - This study examined the effects of emotion priming on visual search in
participants characterised for different levels of social anxiety. Participants
were primed with five facial emotions (angry, fear, happy, neutral, and
surprised) and one scrambled face immediately prior to visual search trials
involving finding a slanted coloured line amongst distractors, as reaction times
and accuracy to target detection were recorded. Results suggest that for
individuals low in social anxiety, being primed with an angry, surprised, or
fearful face facilitated visual search compared to being primed with scrambled,
neutral or happy faces. However, these same emotions degraded visual search in
participants with high levels of social anxiety. This study expands on previous
research on the impact of emotion on attention, finding that amongst socially
anxious individuals, the effects of priming with threat extend beyond initial
attention capture or disengagement, degrading later visual search.
PMID- 27198982
TI - Retroviral DNA Integration.
AB - The integration of a DNA copy of the viral RNA genome into host chromatin is the
defining step of retroviral replication. This enzymatic process is catalyzed by
the virus-encoded integrase protein, which is conserved among retroviruses and
LTR-retrotransposons. Retroviral integration proceeds via two integrase
activities: 3'-processing of the viral DNA ends, followed by the strand transfer
of the processed ends into host cell chromosomal DNA. Herein we review the
molecular mechanism of retroviral DNA integration, with an emphasis on reaction
chemistries and architectures of the nucleoprotein complexes involved. We
additionally discuss the latest advances on anti-integrase drug development for
the treatment of AIDS and the utility of integrating retroviral vectors in gene
therapy applications.
PMID- 27198992
TI - Tracking online poker problem gamblers with player account-based gambling data
only.
AB - The aim was to develop and validate an instrument to track online problem poker
gamblers with player account-based gambling data (PABGD). We emailed an
invitation to all active poker gamblers on the online gambling service provider
Winamax. The 14,261 participants completed the Problem Gambling Severity Index
(PGSI). PGSI served as a gold standard to track problem gamblers (i.e., PGSI >=
5). We used a stepwise logistic regression to build a predictive model of problem
gambling with PABGD, and validated it. Of the sample 18% was composed of online
poker problem gamblers. The risk factors of problem gambling included in the
predictive model were being male, compulsive, younger than 28 years, making a
total deposit > 0 euros, having a mean loss per gambling session > 1.7 euros,
losing a total of > 45 euros in the last 30 days, having a total stake > 298
euros, having > 60 gambling sessions in the last 30 days, and multi-tabling. The
tracking instrument had a sensitivity of 80%, and a specificity of 50%. The
quality of the instrument was good. This study illustrates the feasibility of a
method to develop and validate instruments to track online problem gamblers with
PABGD only. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27198993
TI - The Pain Attitudes and Beliefs Scale for Physiotherapists: Dimensionality and
Internal Consistency of the Norwegian Version.
AB - BACKGROUND AND PURPOSE: The Pain Attitudes and Beliefs Scale for Physiotherapists
(PABS-PT) is a self-administered instrument developed to assess the strength of
two possible treatment orientations of physiotherapists towards the management of
low back pain. The aim of this study was to translate the PABS-PT into Norwegian
from the original 36-item Dutch version and to examine its dimensionality and
internal consistency. METHODS: The Norwegian version was generated in a forward
backward translation procedure. To examine construct validity, a cross-sectional
web-based survey was conducted. A convenience sample of 3849 physiotherapists was
invited to fill out the Norwegian PABS-PT, together with demographic and
professional data. Only therapists who had been involved in back pain management
for the last 6 months were included. Principal factor and Cronbach's alpha
analyses were performed to determine the factor structure and internal
consistency, respectively. RESULTS: The PABS-PT was successfully translated into
Norwegian. Responses from 921 therapists were obtained (response rate 24.8%), and
of these, 647 could be included in the factor analysis. Analysis revealed two
factors, labelled 'biomedical' and 'biopsychosocial' treatment orientation, which
confirmed the structure of the original Dutch version. Thirty-six items were
reduced to 19, with 13 items loading on factor I and six items on factor II,
explaining 18.1% and 7.1%, respectively, of the total variance. Cronbach's alpha
of the biomedical sub-scale was 0.79 and 0.57 for the bio-psychosocial sub-scale.
CONCLUSION: The Norwegian version of the PABS-PT appears to be equivalent to the
original Dutch version, showing a similar structure and internal consistency. The
two factors accounted for low explained variance, which may be indicative for
problematic construct validity. Psychometric properties and usefulness will be
further examined. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27198994
TI - Parental understanding and self-blame following sudden infant death: a mixed
methods study of bereaved parents' and professionals' experiences.
AB - OBJECTIVES: Improvements in our understanding of the role of modifiable risk
factors for sudden infant death syndrome (SIDS) mean that previous reassurance to
parents that these deaths were unpreventable may no longer be appropriate. This
study aimed to learn of bereaved parents' and healthcare professionals'
experiences of understanding causes of death following detailed sudden unexpected
death in infancy (SUDI) investigations. The research questions were: How do
bereaved parents understand the cause of death and risk factors identified during
detailed investigation following a sudden unexpected infant death? What is the
association between bereaved parents' mental health and this understanding? What
are healthcare professionals' experiences of sharing such information with
families? DESIGN: This was a mixed-methods study using a Framework Approach.
SETTING: Specialist paediatric services. PARTICIPANTS: Bereaved parents were
recruited following detailed multiagency SUDI investigations; 21/113 eligible
families and 27 professionals participated giving theoretical saturation of data.
DATA COLLECTION: We analysed case records from all agencies, interviewed
professionals and invited parents to complete the Hospital Anxiety and Depression
Scale (HADS) and questionnaires or in-depth interviews. RESULTS: Nearly all
bereaved parents were able to understand the cause of death and several SIDS
parents had a good understanding of the relevant modifiable risk factors even
when these related directly to their actions. Paediatricians worried that
discussing risk factors with parents would result in parental self-blame and some
deliberately avoided these discussions. Over half the families did not mention
blame or blamed no one. The cause of death of the infants of these families
varied. 3/21 mothers expressed overwhelming feelings of self-blame and had
clinically significant scores on HADS. CONCLUSIONS: Bereaved parents want
detailed information about their child's death. Our study suggests parents want
health professionals to explain the role of risk factors in SIDS. We found no
evidence that sharing this information is a direct cause of parental self-blame.
PMID- 27198995
TI - Renoprotection in acute kidney injury - quoi de neuf ?
PMID- 27198996
TI - Nipple-Sparing Mastectomy with Immediate Breast Reconstruction in Breast Cancer
Patients with Tumour-Nipple Distance Less Than 2.0 cm: The Jury is Still Out.
PMID- 27198997
TI - The Influence of the Appendiceal Base Diameter on Appendix Stump Closure in
Laparoscopic Appendectomy.
AB - BACKGROUND: Closure of the appendiceal stump is the most critical part of
laparoscopic appendectomy. Establishing the average diameter of the inflamed
appendix, and forming the appropriate size of clip, endoloop or stapler length,
would make this critical phase of laparoscopic appendectomy easier. METHODS: One
hundred and fifty consecutive patients, with the diagnosis of acute appendicitis,
were included in this study and divided into three groups according to the
histological verification of the status of the infection, as follows:
phlegmonous, gangrenous and perforated forms of acute appendicitis. The external
diameter of the appendiceal base was measured, and the widest part of the
appendix with the mesoappendix and the tip, with the help of Vernier callipers,
and the measurement was expressed in millimetres. RESULTS: The average size of
the appendiceal base in the phlegmonous form was 10.29 +/- 3.13, in the
gangrenous form 12.41 +/- 3.56, and in the perforated form 12.42 +/- 3.64. The
maximal size of base was observed in the perforated form, 23.13 mm. The
dimensions of the appendiceal base, the central part and the tip in the
phlegmonous form were statistically significantly smaller than in the gangrenous
and perforated forms of acute appendicitis. The size of the appendix did not
differ statistically significantly in the gangrenous and perforated forms of
acute appendicitis. CONCLUSION: In view of the price, the size of the opening,
radiological advantage and biocompatibility, the Hem-o-lok clip is the most
effective, although its internal diameter should be increased. The DS clip is
also effective, but the size of the opening sometimes makes application
difficult, and possibly increasing the length of the legs and the opening would
make this clip ideal. Staplers have the best characteristics, but their price
means they are an option only for forms where it is not possible to close the
stump using other methods.
PMID- 27198998
TI - Association Between Cholecystectomy and Nonalcoholic Fatty Liver Disease: A Meta
analysis: Reply.
PMID- 27199001
TI - Lymphocyte to monocyte ratio and prognostic nutritional index predict survival
outcomes of hepatitis B virus-associated hepatocellular carcinoma patients after
curative hepatectomy.
AB - INTRODUCTION: Lymphocytes are an integral part of lymphocyte to monocyte ratio
(LMR) and prognostic nutritional index (PNI). Both LMR and PNI which reflect
body's inflammatory and nutritional status can be obtained from routine blood and
biochemical test conveniently. Little evidence concerning the prognostic value of
LMR and PNI in hepatocellular carcinoma (HCC) patients has been published. This
study aimed to investigate the prognostic value of LMR and PNI in hepatitis B
virals (HBV)-associated HCC patients who underwent curative hepatectomy. METHODS:
Between January 2008 and June 2013, 450 surgically treated HCC patients were
retrospectively analyzed. Clinicopathological parameters, LMR and PNI were
collected and compared. The multivariate analysis was performed to indentify
independent prognostic factors. Overall survival (OS) and recurrence-free
survival (RFS) rates were also compared. RESULTS: Tumor size, vascular invasion,
alpha fetoprotein level, LMR and PNI were independent prognostic factors for OS.
Tumor number, tumor size, vascular invasion, LMR and PNI were independent
prognostic factors for RFS. Either a high LMR or PNI could predict favorable OS
and RFS in surgically treated HCC patients and vice versa. CONCLUSIONS: Both LMR
and PNI were significant independent predictors that can predict survival
outcomes in HBV-associated HCC patients who received curative hepatectomy. J.
Surg. Oncol. 2016;114:202-210. (c) 2016 Wiley Periodicals, Inc.
PMID- 27199000
TI - Antibiotics Versus Appendicectomy for the Treatment of Uncomplicated Acute
Appendicitis: An Updated Meta-Analysis of Randomised Controlled Trials.
AB - BACKGROUND: Uncomplicated acute appendicitis has been managed traditionally by
early appendicectomy. However, recently, there has been increasing interest in
the potential for primary treatment with antibiotics, with studies finding this
to be associated with fewer complications than appendicectomy. The aim of this
study was to compare outcomes of antibiotic therapy with appendicectomy for
uncomplicated acute appendicitis. METHOD: This meta-analysis of randomised
controlled trials included adult patients presenting with uncomplicated acute
appendicitis treated with antibiotics or appendicectomy. The primary outcome
measure was complications. Secondary outcomes included treatment efficacy,
hospital length of stay (LOS), readmission rate and incidence of complicated
appendicitis. RESULTS: Five randomised controlled trials with a total of 1430
participants (727 undergoing antibiotic therapy and 703 undergoing
appendicectomy) were included. There was a 39 % risk reduction in overall
complication rates in those treated with antibiotics compared with those
undergoing appendicectomy (RR 0.61, 95 % CI 0.44-0.83, p = 0.002). There was no
significant difference in hospital LOS (mean difference 0.25 days, 95 % CI -0.05
to 0.56, p = 0.10). In the antibiotic cohort, 123 of 587 patients initially
treated successfully with antibiotics were readmitted with symptoms suspicious of
recurrent appendicitis. The incidence of complicated appendicitis was not
increased in patients who underwent appendicectomy after "failed" antibiotic
treatment (10.8 %) versus those who underwent primary appendicectomy (17.9 %).
CONCLUSION: Increasing evidence supports the primary treatment of acute
uncomplicated appendicitis with antibiotics, in terms of complications, hospital
LOS and risk of complicated appendicitis. Antibiotics should be prescribed once a
diagnosis of acute appendicitis is made or considered.
PMID- 27198999
TI - Distal Pancreatectomy with En Bloc Resection of the Celiac Axis with Preservation
or Reconstruction of the Left Gastric Artery in Patients with Pancreatic Body
Cancer.
AB - BACKGROUND: A distal pancreatectomy with en bloc celiac axis resection (DP-CAR)
is indicated for left-sided locally advanced pancreatic ductal adenocarcinoma.
However, ischemic complication resulting from the sacrifice of the common hepatic
artery and left gastric artery (LGA) remain problematic. The aim of this study
was to analyze the feasibility of DP-CAR with preservation or reconstruction of
the left gastric artery. METHOD: Between April 2011 and December 2014, we treated
17 cases using DP-CAR with preservation or reconstruction of the LGA. If the
tumor had involved the LGA, the LGA was dissected and reconstructed using the
middle colic artery. We retrospectively analyzed the feasibility of this
procedure. RESULTS: Among 17 consecutive patients who underwent DP-CAR, the LGA
was preserved in 13 patients and reconstructed in four patients. Major
postoperative complications were observed in seven cases (41 %). A pancreatic
fistula (grade B/C) or delayed gastric emptying (grade B/C) occurred in 7 (41 %)
and 2 (12 %) cases, respectively. The overall R0 resection rate was 94 % (16/17).
Eleven cases developed recurrences (liver, n = 4; lymph nodes, n = 2; peritoneal
dissemination, n = 2; lung, n = 2; local recurrence, n = 1). The overall 1- and 3
year postoperative survival rates were 74 and 45 %, respectively. CONCLUSIONS:
Our preliminary data showed that DP-CAR with preservation or reconstruction of
the LGA is a safe and feasible approach, and that this procedure may reduce the
risk of ischemic complications.
PMID- 27199003
TI - Relationship between retinal distance and object field angles for finite
schematic eyes.
AB - AIM: Retinal anatomical studies have used the Drasdo & Fowler three-refracting
surface schematic eye to convert between retinal distances and object field
angles. We compared its performance at this task with those of more sophisticated
four-refracting surface schematic eyes. METHOD: Raytracing was performed for
Drasdo & Fowler, Lotmar, Navarro, Liou & Brennan, Kooijman and Atchison schematic
eyes, and some of their variants. RESULTS: The Drasdo & Fowler eye gives a
greater rate of change of object field angle with retinal distance at the retinal
centre of about 5% than the other schematic eyes. This rate of change also
increases much more quickly into the peripheral retina for the Drasdo & Fowler
eye than for the other eyes. The reason for these differences is only that the
Drasdo & Fowler eye is shorter than the other eyes. The relationship between
retinal distance and visual field angle appears robust to changes in retinal
radius of curvature when the retina is spherical. The retinal asphericity of
Kooijman and Atchison eyes appears to play a role beyond 14 mm (~50 degrees ).
CONCLUSION: Changing the length of the Drasdo & Fowler eye, to match those of the
four-refracting surface schematic eyes, gives similar relationships between
retinal distance and object field angle up to a retinal distance of approximately
14 mm (~50 degrees ). The relationship will change with refractive error as this
is related to axial length and to retinal shape, and this should be taken into
consideration for accurate conversions. For distances and angles beyond 14 mm and
~50 degrees , retinal shape should be taken into account.
PMID- 27199002
TI - Electrical stimulation influences chronic intermittent hypoxia-hypercapnia
induction of muscle fibre transformation by regulating the microRNA/Sox6 pathway.
AB - Chronic obstructive pulmonary disease can cause muscle fibre transformation due
to chronic intermittent hypoxia-hypercapnia (CIHH). Studies have shown that high
expression of Sox6 in muscle could suppress type-I fibres through downregulating
the PPARbeta (peroxisome proliferator-activated receptor beta)/ERRgamma
(oestrogen-related receptor gamma)/microRNA pathway. However, whether this
pathway is involved in CIHH-induced muscle fibre transformation is unknown.
Electrical stimulation (ES) is an effective approach to ameliorate muscle
dysfunction. Here, we explored the effects of ES on CIHH-induced muscle fibre
transformation and the microRNA/Sox6 pathway. After CIHH exposure, both the
soleus (SOL) and gastrocnemius (GC) muscles showed decreased type-I fibres. The
PPARbeta/ERRgamma/mir-499&208b (PEM, for GC) and PPARbeta/mir-499&208b (PM, for
SOL) signalling cascades were suppressed, followed by elevated Sox6 expression.
Low frequency electrical stimulation (LFES) activated the PEM/PM pathway and
enhanced type-I fibre numbers through suppressing Sox6 in SOL and GC. High
frequency electrical stimulation (HFES) promoted type-I fibre expression through
activating the PEM pathway in GC. Although PPARbeta expression and type-I fibres
were suppressed in SOL after HFES, no significant change was found in mir
499&208b/Sox6 expression. These results suggest that the microRNA/Sox6 pathway is
disturbed after CIHH. Both low and high frequency electrical stimulations induce
muscle fibre transformation partly through regulating the microRNA/Sox6 pathway.
PMID- 27199004
TI - New contract will "strengthen morale" of junior doctors, says health secretary.
PMID- 27199005
TI - Extruded whole grain diets based on brown, soaked and germinated rice. Effects on
cecum health, calcium absorption and bone parameters of growing Wistar rats. Part
I.
AB - The influence of diets with whole rice processed ingredients on cecum health,
calcium absorption and bone parameters was studied using an animal model. Thirty
two male Wistar rats were fed with Control (C), extruded Brown rice (B), extruded
Soaked whole rice (S) and extruded Germinated whole rice (G) diets for 60 days.
The cecum weight, cecal content pH, cecal sIgA content, and beta-glucosidase and
beta-glucuronidase activities were determined. Calcium apparent absorption, total
bone mineral content and density and right femur parameters (ashes, organic
content, calcium and P) were evaluated. The results showed that animals fed with
whole grain diets have lower food intake in comparison with the C diet, and
decreased cecal content pH (7.06 vs. 6.33) and beta-glucosidase activity (1.66
vs. 0.21 MUmol p-nitrophenol g(-1) cc h(-1)). Even though calcium apparent
absorption was not different among treatments (~70%), none of the whole grain
diets improved calcium related bone parameters over the control fed rats
(cellulose as dietary fibre).
PMID- 27199006
TI - Atlas of Gynecologic Cytopathology with Histopathologic Correlations.
PMID- 27199008
TI - The status of the species Moorella thermoautotrophica Wiegel et al. 1981. Request
for an Opinion.
AB - Based on the results of DNA-DNA hybridization and 16S rRNA gene sequence
analyses, it was ascertained that the type strain of Moorella thermoautotrophica
does not exist in any established culture collection or with the authors who
originally described this species. Therefore, this species cannot be included in
any further scientific studies. It is proposed that the Judicial Commission place
the name Moorella thermoautotrophica on the list of rejected names if a suitable
type strain is not found or a neotype is not proposed within two years following
the publication of this Request for an Opinion.
PMID- 27199009
TI - Separating hydrogen and oxygen evolution in alkaline water electrolysis using
nickel hydroxide.
AB - Low-cost alkaline water electrolysis has been considered a sustainable approach
to producing hydrogen using renewable energy inputs, but preventing
hydrogen/oxygen mixing and efficiently using the instable renewable energy are
challenging. Here, using nickel hydroxide as a redox mediator, we decouple the
hydrogen and oxygen production in alkaline water electrolysis, which overcomes
the gas-mixing issue and may increase the use of renewable energy. In this
architecture, the hydrogen production occurs at the cathode by water reduction,
and the anodic Ni(OH)2 is simultaneously oxidized into NiOOH. The subsequent
oxygen production involves a cathodic NiOOH reduction (NiOOH->Ni(OH)2) and an
anodic OH(-) oxidization. Alternatively, the NiOOH formed during hydrogen
production can be coupled with a zinc anode to form a NiOOH-Zn battery, and its
discharge product (that is, Ni(OH)2) can be used to produce hydrogen again. This
architecture brings a potential solution to facilitate renewables-to-hydrogen
conversion.
PMID- 27199007
TI - Embryonic development and inviability phenotype of chicken-Japanese quail F1
hybrids.
AB - Interspecific hybrid incompatibility, including inviability and sterility, is
important in speciation; however, its genetic basis remains largely unknown in
vertebrates. Crosses between male chickens and female Japanese quails using
artificial insemination can generate intergeneric hybrids; however, the hatching
rate is low, and hatched hybrids are only sterile males. Hybrid development is
arrested frequently during the early embryonic stages, and the sex ratio of
living embryos is male-biased. However, the development and sex ratio of hybrid
embryos have not been comprehensively analyzed. In the present study, we observed
delayed embryonic development of chicken-quail hybrids during the early stage,
compared with that of chickens and quails. The survival rate of hybrids decreased
markedly during the blastoderm-to-pre-circulation stage and then decreased
gradually through the subsequent stages. Hybrid females were observed at more
than 10 d of incubation; however, the sex ratio of hybrids became male-biased
from 10 d of incubation. Severely malformed embryos were observed frequently in
hybrids. These results suggest that developmental arrest occurs at various stages
in hybrid embryos, including a sexually non-biased arrest during the early stage
and a female-biased arrest during the late stage. We discuss the genetic basis
for hybrid inviability and its sex bias.
PMID- 27199010
TI - What Is the Optimal Setting for a Continuous-Flow Left Ventricular Assist Device
in Severe Mitral Regurgitation?
AB - Excessive left ventricular (LV) volume unloading can affect right ventricular
(RV) function by causing a leftward shift of the interventricular septum in
patients with mitral regurgitation (MR) receiving left ventricular assist device
(LVAD) support. Optimal settings for the LVAD should be chosen to appropriately
control the MR without causing RV dysfunction. In this study, we assessed the
utility of our electrocardiogram-synchronized rotational speed (RS) modulation
system along with a continuous-flow LVAD in a goat model of MR. We implanted
EVAHEART devices after left thoracotomy in six adult goats weighing 66.4 +/- 10.7
kg. Severe MR was induced through inflation of a temporary inferior vena cava
filter placed within the mitral valve. We evaluated total flow (TF; the sum of
aortic flow and pump flow [PF]), RV fractional area change (RVFAC) calculated by
echocardiography, left atrial pressure (LAP), LV end-diastolic pressure (LVEDP),
LV end-diastolic volume (LVEDV), and LV stroke work (LVSW) with a bypass rate (PF
divided by TF) of 100% under four conditions: circuit-clamp, continuous mode, co
pulse mode (increased RS during systole), and counter-pulse mode (increased RS
during diastole). TF tended to be higher in the counter-pulse mode. Moreover,
RVFAC was significantly higher in the counter-pulse mode than in the co-pulse
mode, whereas LAP was significantly lower in all driving modes than in the
circuit-clamp condition. Furthermore, LVEDP, LVEDV, and LVSW were significantly
lower in the counter-pulse mode than in the circuit-clamp condition. The counter
pulse mode of our RS modulation system used with a continuous-flow LVAD may offer
favorable control of MR while minimizing RV dysfunction.
PMID- 27199011
TI - Transforming our settings: make it happen everywhere.
PMID- 27199012
TI - International perspectives on healthy settings: critical reflections, innovations
and new directions.
PMID- 27199013
TI - The development and achievement of a healthy cities network in Taiwan: sharing
leadership and partnership building.
AB - The World Health Organization (WHO) Healthy Cities (HC) projects are the best
known of the settings-based approaches to health promotion. They engage local
governments in health development through a process of political commitment,
institutional change, capacity-building, partnership-based planning and
innovative projects. Many cities have promoted HC projects in Taiwan since 2002.
In 2008, the Taiwan Alliance for Healthy Cities (TAHC) was launched to assist
local governments in effectively establishing, operating and promoting HC
projects. In this article, we share our experiences of establishing a platform
and network to promote the HC program in Taiwan. Based on individual city
profiles and governance in Taiwan, the TAHC developed a well-organized framework
and model to encourage strong leadership in local governments and to promote
participation and engagement in their communities. In the last 6 years, leaders
from Taiwan's local governments in HC networks have integrated the HC concepts
into their governance models, actively engaging and combining various resources
with practical expertise and private sectors. The network of health in Taiwan
allows each city to develop its unique perspective on the HC projects. Using this
method, not only local government meets its needs, but also increases governance
efficiency and effectiveness, resulting in the promotion of its citizens' overall
sustainable urban health development. This HC network in Taiwan has partnerships
with government and non-governmental organizations (NGOs), with academic support
and citizen involvement, a dynamic data collection system and demonstrated
leadership in the sharing of information in the Asian region.
PMID- 27199015
TI - Advances in health promotion in Asia-Pacific: promoting health through hospitals.
AB - Since 1990, the WHO Health Promoting Hospital (HPH) movement has tried to
facilitate and support hospitals to assume a core responsibility in health
promotion. The Taiwan HPH Network was established in December 2006, and became
the largest HPH network in the world in 2013. Compared to Europe where the HPH
has been more established, the pace of HPH development has been much more rapid.
This rapid development provides an inspiring example for research and health
promotion practice. Systematic data and empirical information have been collected
about HPH in Taiwan, allowing for research to be published about the achievements
of the HPH movement. This paper provides an overview of the existing literature
on current progress of the HPH project according to the four main perspectives of
the WHO-HPH movement: promoting the health of patients, promoting the health of
staff, changing the organization to a health-promoting setting, and promoting the
health of the community in the catchment area of the hospital. The assessment can
serve as a stepping stone in understanding current HPH development in Taiwan and
as a reference for future research.
PMID- 27199014
TI - Health-promoting educational settings in Taiwan: development and evaluation of
the Health-Promoting School Accreditation System.
AB - INTRODUCTION: The Taiwan Ministry of Health and Welfare and Ministry of Education
launched the Health-Promoting School (HPS) program in 2002. One of the most
significant barriers to evaluating HPS is the absence of adequate instruments.
The main aim of this study is to develop the Taiwan Health-Promoting School
Accreditation System (HPSAS) framework and then evaluate its accreditation
effectiveness. METHODS: The HPSAS accreditation standards were derived mainly
from the World Health Organization (WHO) publication, WHO Health Promoting
Schools: A Framework for Action in 2008 and the Taiwan School Health Act. Delphi
technique and pilot test were used to confirm the availability and acceptability
of the standards and procedures for HPSAS in 2011. After that, two rounds of
school evaluations were completed in 2012 (214 participant schools) and 2014 (182
participant schools). The accreditation operation process included documentary
reviews, national and international accredited commissioners conducted on-site
visits. Descriptive analyses were used to indicate HPS award level distribution.
RESULTS: The study established six key HPSAS standards. Each standard had at
least two components; overall, there were 21 components and 47 scoring elements.
Of the participating schools evaluated in 2012, four were at the gold, 14 silver,
and 120 bronze levels, compared with five, 20, and 31, respectively, of schools
evaluated in 2014. The study showed that schools at different award levels had
different full-score rates in six standards. The schools at the gold level
performed exceptionally well. The worst performance among the six standards at
each award level was in the skill-based health curriculum. CONCLUSION: The HPSAS
is an objective instrument used to evaluate the process and outcomes of the HPS
program. In the future, combinations of different types of data (e.g. students'
health behaviors, school climate, or teachers' health-teaching innovations) will
enable further validation of the HPS effectiveness.
PMID- 27199016
TI - Following the trend for a comprehensive healthy workplace in Taiwan.
AB - To promote workers' health and boost corporate productivity and national
competitiveness, workplace health promotion is an international trend and a vital
part of national policies. Prior to 2000, Taiwan's workplace issues focused on
industrial hygiene and safety improvements. Since 2003, the Health Promotion
Administration (HPA) at the Ministry of Health and Welfare has established
coaching centers for workplace health promotion and dispatched trained experts
for teaching health promotion skills; including promoting the tobacco control
program, preventing important chronic diseases, driving comprehensive programs,
advocating workplace health promotion with the Ministry of Labor, establishing
certification mechanisms for workplace health promotion, recognizing outstanding
health-promoting workplaces, and conducting a nationwide survey for monitoring
the practices of healthy behaviors and health conditions of workers. Through
2014, 12,439 workplaces have been accredited.Since 2003, the efforts of the HPA
in workplace health promotion projects has shifted society's focus on workplace
health from occupational diseases and injury prevention to workplace health
promotion, resulting in the revision of the Occupational Safety and Health Act in
2013 by the Ministry of Labor to detail employers' responsibilities in protecting
and promoting employees' health and well-being.
PMID- 27199017
TI - Implementing the Health Promoting University approach in culturally different
contexts: a systematic review.
AB - INTRODUCTION: Universities represent a valuable opportunity to promote health and
well-being. Based on the setting approach, the Health Promoting Universities
concept has been developed in different countries and contexts. However, the
implementation process remains poorly documented. This systematic review aims to
describe how universities have implemented the Health Promoting University
concept in different cultural contexts. METHODS: Pubmed, Medline, Lilacs and
Scielo were searched for articles on Health Promoting Universities, published
between 1995 and 2015. Studies detailing the implementation of a Health Promoting
University approach were included. Selected articles were content analysed paying
attention to: (a) the definition of a Health Promoting University; (b) priority
areas of action; (c) items of work; (d) coordination of the project; (e)
evaluation; and (f) adaptation to the cultural context. RESULTS: Twelve studies
were identified for in-depth analysis. Of those, three were theoretical papers,
and nine were intervention studies. The programmes described in the selected
studies are mostly based on the guidelines of the Edmonton Charter. They
incorporated the main areas of action and items of works proposed by the Health
Promoting University framework. The implementation of healthy policies and
incorporation of health promotion in the curriculum are remaining challenges.
Strategies to facilitate adaptation to context include: stakeholder participation
in planning and implementation, adaptation of educational material and analysis
of needs. CONCLUSIONS: The review suggests that most of the universities work
towards similar goals, relying on the Health Promoting University framework, yet
that the way in which initiatives are implemented depends on the context.
PMID- 27199018
TI - Healthy universities: an example of a whole-system health-promoting setting.
AB - The health-promoting settings approach is well established in health promotion,
with organisational settings being understood as complex systems able to support
human wellbeing and flourishing. Despite the reach and evident importance of
higher education as a sector, 'healthy universities' has not received high-level
international leadership comparable to many other settings programmes. This study
explores how the concept of a healthy university is operationalised in two case
study universities. Data collection methods included documentary analysis,
observation field notes and semi-structured interviews with staff and students.
Staff and students understood the characteristics of a healthy university to
pertain to management processes relating to communication and to a respectful
organisational ethos. Enhancers of health and wellbeing were feeling valued,
being listened to, having skilled and supportive line managers and having a
positive physical environment. Inhibitors of health and wellbeing were having a
sense of powerlessness and a lack of care and concern. The concept of the healthy
university has been slow to be adopted in contrast to initiatives such as healthy
schools. In addition to challenges relating to lack of theorisation, paucity of
evidence and difficulties in capturing the added value of whole-system working,
this study suggests that this may be due to both their complex organisational
structure and the diverse goals of higher education, which do not automatically
privilege health and wellbeing. It also points to the need for a whole-university
approach that pays attention to the complex interactions and interconnections
between component parts and highlights how the organisation can function
effectively as a social system.
PMID- 27199019
TI - Health-promoting prisons: theory to practice.
AB - As a setting, prisons offer a unique opportunity to invest in the health of
disadvantaged and marginalised populations and address health inequalities and
social exclusion - thereby achieving sustainable improvements in well-being for
offenders and their families and in turn, helping to reduce rates of re
offending. This article draws on English and French experiences and doctoral
research to advocate a shift from a pathogenic model towards a salutogenic model
of health as a helpful way to address inequalities and thus, by promoting joined
up working across justice and wider systems, impact positively beyond 'health'
for the effective resettlement of prisoners. The paper utilises examples from
horticulture to further argue the powerful role of nature in the prison setting
in mediating aspects of culture particularly relating to processes of
socialisation. Critical success lies in bridging across systems and a commitment
to joined-up working at all levels across and beyond prison.
PMID- 27199020
TI - Piecing the puzzle together: case studies of international research in health
promoting sports clubs.
AB - This paper seeks to review the current international health-promoting sports club
(HPSC) research, drawing together findings based on case studies from various
countries to illustrate the status of HPSCs. In addition, future challenges for
HPSC research and implementation are considered. The review includes six case
studies from five countries. In summary, there are two major research themes in
this area, namely 'research into HPSC activity' and 'research into HPSC
networks'. The first theme investigates the extent to which sports clubs and/or
national sports organisations invest in health promotion (HP) - both in policy
and practice. The latter theme is driven by an intention to widen the scope of
HPSCs to reach novel internal actors, like parents, siblings, etc., and/or
external non-sporting bodies, like communities, schools, etc. The future
challenges for HPSC research require a better understanding of the motives,
barriers and capacities of sports clubs and coaches. Sports organisations, clubs
and coaches generally support the intent of the HPSC concept, but even with the
best evidence- or theory-based HP programmes/guidelines/standards, nothing will
happen in practice if the nature and capacities of sports clubs are not better
acknowledged. Therefore, a call for embracing implementation science is finally
made to enhance implementation.
PMID- 27199021
TI - The East Asian age-friendly cities promotion - Taiwan's experience and the need
for an oriental paradigm.
AB - It is the consensus that the rapid increase of the ageing population has become a
global phenomenon. In 2007, the World Health Organization (WHO) advanced a
handbook called Global Age-friendly Cities: A Guide The core concept of the age
friendly cities (AFC) movement is 'active ageing'. Taiwan became one of the few
Asian countries that initially responded to the WHO AFC movement in 2010.
Following the guidance set by the WHO, Taiwan began its promotion at a national
level, and with local authorities. However, during the advocacy process, the
fundamental differences between Eastern and Western cultures in terms of family
values and deep-rooted respect for the elderly have raised an awareness of the
need for an oriental paradigm. This paper identifies three key elements for AFC
promotion in East Asian countries based on an analysis of Taiwan's experience:
during needs assessment take collectivism into consideration, during action plans
at the community level community leaders' views will be more important
(particularism), and when promoting AFC at the institutional level a top-down
approach will be more acceptable (high power distance concept).
PMID- 27199022
TI - Healthy Cities and the Transition movement: converging towards ecological well
being?
AB - This commentary identifies similarities, differences and opportunities for
synergy and mutual learning between the Healthy Cities and the Transition
movements. We outline what we consider to be the 'pressing issues' facing
humanity and the planet in the early 21(st) century; consider the extent to which
health promotion has engaged with and addressed these issues; compare Healthy
Cities and the Transition movement; and conclude by suggesting possibilities for
moving forward.
PMID- 27199023
TI - Equity, sustainability and governance in urban settings.
AB - In this commentary the urban setting is explored from the perspective of
ecological sustainability and social equity. Urban-related issues are highlighted
related to social inequality, deficits in urban infrastructures, behavior-related
illnesses and risks, global ecological changes, and urban sprawl. Approaches to
addressing these issues are described from the perspective of urban governance,
urban planning and design, social determinants of health, health promotion, and
personal and community empowerment. Examples of successful strategies are
provided from Latin America, including using participatory instruments
(assessments, evaluation, participatory budgeting, etc.), establishing
intersectoral committees, increasing participation of civil society
organizations, and developing virtual forums and networks to channel
participatory and collaborative processes. A way forward is proposed, using the
urban setting to show the imperative of creating intersectoral policies and
programs that produce environments that are both healthy and sustainable. It will
be important to include new forms of social participation and use social media to
facilitate citizen decision-making and active participation of all sectors of
society, especially excluded groups.
PMID- 27199025
TI - Perspectives internationales sur les lieux de vie favorables a la sante :
reflexions critiques, innovations et nouvelles orientations.
PMID- 27199026
TI - Transformar nuestros entornos: haremos que suceda en todas partes.
PMID- 27199029
TI - The influence of family pattern abnormalities in the early stages of life on the
course of inflammatory bowel diseases.
AB - Crohn's disease (CD) and ulcerative colitis (UC) belong to the group of
inflammatory bowel diseases (IBD), chronic immune mediated diseases of the
gastrointestinal (GI) tract with significant negative impact on patients' quality
of life. CD and UC are related with the development of chronic inflammatory
lesions in the GI tract, causing digestive and absorption disorders. Typical
symptoms of IBD are: abdominal pain, vomiting, diarrhea, rectal bleeding, and
weight loss. In addition, IBD are often associated with the extraintestinal
manifestations, including arthritis and dermatoses. While the cause of IBD is
still not fully understood, the psychological aspects are regarded as possible
trigger factors. Moreover, most recent studies suggest that family pattern
abnormalities associated with stress at the early stages of life may strongly
affect health balance. In this paper, the most relevant studies focusing on the
association between early life stress and IBD, found in MEDLINE, Cochrane Library
and EMBASE are discussed. Possible effects of the early life stress on IBD
progression and response to undertaken therapies are analyzed.
PMID- 27199030
TI - Inducing Protein Degradation as a Therapeutic Strategy.
PMID- 27199028
TI - LAMP Detection Assays for Boxwood Blight Pathogens: A Comparative Genomics
Approach.
AB - Rapid and accurate molecular diagnostic tools are critical to efforts to minimize
the impact and spread of emergent pathogens. The identification of diagnostic
markers for novel pathogens presents several challenges, especially in the
absence of information about population diversity and where genetic resources are
limited. The objective of this study was to use comparative genomics datasets to
find unique target regions suitable for the diagnosis of two fungal species
causing a newly emergent blight disease of boxwood. Candidate marker regions for
loop-mediated isothermal amplification (LAMP) assays were identified from draft
genomes of Calonectria henricotiae and C. pseudonaviculata, as well as three
related species not associated with this disease. To increase the probability of
identifying unique targets, we used three approaches to mine genome datasets,
based on (i) unique regions, (ii) polymorphisms, and (iii) presence/absence of
regions across datasets. From a pool of candidate markers, we demonstrate LAMP
assay specificity by testing related fungal species, common boxwood pathogens,
and environmental samples containing 445 diverse fungal taxa. This comparative
genomics-based approach to the development of LAMP diagnostic assays is the first
of its kind for fungi and could be easily applied to diagnostic marker
development for other newly emergent plant pathogens.
PMID- 27199031
TI - Accuracy of the pacemaker-mediated tachycardia algorithm in Boston Scientific
devices.
AB - INTRODUCTION: The incidence of pacemaker-mediated tachycardia (PMT) varies as a
function of patient characteristics, device programming and algorithm
specificities. We investigated the efficacy of the Boston Scientific algorithm by
reviewing PMT episodes in a large device population. METHODS: In this multicenter
study, we included 328 patients implanted with a Boston Scientific device: 157
non-dependent patients with RYTHMIQTM activated (RYTHMIQ group), 76 patients with
permanent AV-conduction disorder (AV-block group) and 95 Cardiac
Resynchronization Therapy patients (CRT group). For each patient, we reviewed the
last 10 remote monitoring-transmitted EGMs diagnosed as PMT. RESULTS: We analyzed
784 PMT episodes across 118 patients. In the RYTHMIQ group, the diagnosis of PMT
was correct in most episodes (80%) of which 69% was directly related to the
prolongation of the AV-delay associated with the RYTHMIQ algorithm. The usual
triggers for PMT were also observed (PVC 16%, PAC 9%). The remainder of the
episodes (20%) in RYTHMIQ patients and most episodes of AV-block (66%) and CRT
patients (74%) were incorrectly diagnosed as PMT during sinus tachycardia at the
maximal tracking rate. The inappropriate intervention of the algorithm during
exercise causes non-conducted P-waves, loss of CRT (sustained in six patients)
and may have been pro-arrhythmogenic in one patient (induction of ventricular
tachycardia). CONCLUSION: Algorithms to minimize ventricular pacing can
occasionally have unintended consequences such as PMT. The PMT algorithm in
Boston Scientific devices is associated with a high rate of incorrect PMT
diagnosis during exercise resulting in inappropriate therapy with non-conducted P
waves, loss of CRT and limited risk of pro-arrhythmic events.
PMID- 27199032
TI - Interview with E. Harvey Estes.
PMID- 27199033
TI - Effects of CYP2C19 variants on methadone metabolism in vitro.
AB - CYP2C19 is an important member of the cytochrome P450 (CYP450) enzyme super
family and is responsible for clearing approximately 10% of commonly used
clinical drugs that undergo phase I metabolism. Genetic polymorphisms of CYP2C19
significantly influence the efficacy and safety of some drugs, which might cause
undesirable adverse effects or cure failure at standard dosages. The aim of this
study was to clarify the catalytic activities of 31 CYP2C19 alleles on the
oxidative in vitro metabolism of methadone. Insect microsomes expressing the
CYP2C19 alleles were incubated with 50-2000 MUM methadone for 30 min at 37
degrees C and terminated by cooling to -80 degrees C immediately. Methadone and
its metabolite EDDP were analyzed by an ultra performance liquid chromatography
tandem mass spectrometry (UPLC-MS/MS) system. Of the 31 tested CYP2C19 allelies
variants, CYP2C19*1 is the wild-type. Compared with CYP2C19*1, two CYP2C19
variants (CYP2C19*3 and *35FS) had no detectable enzyme activity, one variant
L16F exhibited slightly increased intrinsic clearance values, and one variant
N277K showed no significant difference. In addition, 26 variants exhibited
significantly decreased values (from 1.48% to 80.40%). These findings suggest
that more attention should be paid in clinical administration of methadone to
individuals carrying these CYP2C19 alleles. Copyright (c) 2016 John Wiley & Sons,
Ltd.
PMID- 27199035
TI - Key role of scale morphology in flatfishes (Pleuronectiformes) in the ability to
keep sand.
AB - Flatfishes bury themselves for camouflage and protection. Whereas species
specific preferences for certain sediments were previously shown, the role of
scales in interaction with sediment has not been investigated. Here, scale
morphology and sediment friction were examined in four European pleuronectiforms:
Limanda limanda, Platichthys flesus, Pleuronectes platessa, and Solea solea. All
species had different scale types ranging from cycloid to ctenoid scales. On the
blind side, the number of scales is higher and scales have less ctenial spines
than on the eye side. The critical angle of sediment sliding (static friction)
significantly depended on the grain size and was considerably higher on the eye
side. The effect of mucus was excluded by repeated measurements on resin replicas
of the skin. Our results demonstrate the impact of scale morphology on sediment
interaction and give an insight about the ability of scales to keep sand. Exposed
scales and a higher number of ctenial spines on the eye side lead to an increase
of friction forces, especially for sediments with a smaller grain size. Our
results suggest that the evolution of scales was at least partly driven by their
interactions with sediment which confirms the relevance of sediment for the
distribution and radiation of Pleuronectiformes.
PMID- 27199034
TI - The gut microbiota of the pine weevil is similar across Europe and resembles that
of other conifer-feeding beetles.
AB - The pine weevil (Hylobius abietis, Coleoptera: Curculionidae) is an important
pest of conifer seedlings in Europe. Despite its economic importance, little is
known about the composition of its gut microbial community and the role it plays
in mediating the weevil's ability to utilize conifers as a food source. Here, we
characterized the gut bacterial communities of different populations of H.
abietis across Europe and compared them to those of other beetles that occupy
similar ecological niches. We demonstrate that the microbial community of H.
abietis is similar at higher taxonomic levels (family and genus) across locations
in Europe, with Wolbachia as the dominant microbe, followed by Enterobacteria and
Firmicutes. Despite this similarity, we observed consistent differences between
countries and locations, but not sexes. Our meta-analysis demonstrates that the
gut bacterial community of the pine weevil is very similar to that of bark
beetles that also exploit conifers as a food source. The Enterobacteriaceae
symbionts of both host taxa are especially closely related phylogenetically.
Conversely, the microbiota of H. abietis is distinct from that of closely related
weevils feeding on nonconifer food sources, suggesting that the microbial
community of the pine weevil is determined by the environment and may be relevant
to host ecology. Furthermore, several H. abietis-associated members of the
Enterobacteriaceae family are known to contain genes involved in terpenoid
degradation. As such, we hypothesize that the gut microbial community is
important for the utilization of conifer seedlings as a food source, either
through the detoxification of plant secondary metabolites or through the
supplementation of essential nutrients.
PMID- 27199037
TI - Tackling AMR: time to turn ideas into action.
PMID- 27199038
TI - BVA renews calls for mandatory CCTV in slaughterhouses.
PMID- 27199039
TI - Awards celebrate the best in veterinary marketing.
PMID- 27199040
TI - Bluetongue: UK risk level remains the same as outbreaks continue in France.
PMID- 27199042
TI - Encouraging debate on the EU referendum.
PMID- 27199043
TI - Taking control of work-life balance.
AB - For veterinary professionals, a key component of maintaining good wellbeing is
being able to get to the end of a day feeling happy, content and in control.
This, however, can prove challenging to achieve. Kristy Ebanks reports on a
series of sessions from this year's BSAVA congress which focused on improving
wellbeing.
PMID- 27199044
TI - Incorporating reflection into veterinary practice.
AB - New graduates are encouraged to reflect on their progress during their
Professional Development Phase, but what does reflection really mean, and how can
veterinary professionals use it to better their day-to-day practice? This was a
topic discussed during an afternoon of sessions at the recent BSAVA congress in
Birmingham. Georgina Mills reports.
PMID- 27199045
TI - Dogs Trust rewards the best EMS reports.
PMID- 27199046
TI - Northern Ireland disease surveillance report, January to March 2016.
AB - Abomasitis in calvesAortic aneurysm in a dairy cowAbortion due to Salmonella
Brandenberg in ewesStreptococcus dysgalactia polyarthritis in lambs These are
among matters discussed in the Northern Ireland animal disease surveillance
quarterly report for January to March 2016.
PMID- 27199047
TI - Technical innovation in equine radiology: what's changed?
PMID- 27199048
TI - Dog bites - are vets missing an educational opportunity?
AB - Veterinary surgeons have a key role to play in tackling the public health problem
of dog bites, say CHRISTOPHER MANNION: and DANIELLE GREENBERG: , who argue that a
multiprofessional approach to the problem is needed.
PMID- 27199049
TI - Hospice and palliative care.
PMID- 27199050
TI - Hospice and palliative care.
PMID- 27199051
TI - Welfare of exotic pets.
PMID- 27199052
TI - Brachycephalic dog breeds.
PMID- 27199053
TI - Research opens more doors.
AB - Having gained some clinical experience before pursuing a research career, Lucy
Davison is now seeking the best of both worlds as a clinician scientist.
PMID- 27199054
TI - Outcomes in Moderate Mixed Aortic Valve Disease: Is it Time for a Paradigm Shift?
AB - BACKGROUND: A direct comparison of outcomes between moderate mixed aortic valve
disease (MAVD) and isolated aortic stenosis (AS) or aortic regurgitation (AR) has
not been performed, making evidence-based recommendations difficult in patients
with MAVD. OBJECTIVES: This study sought to determine adverse event (AE)
occurrence (the primary endpoint), defined as New York Heart Association
functional class III/IV symptoms, aortic valve replacement, or cardiac death, and
to compare AE rates between MAVD and isolated AS or AR. METHODS: Asymptomatic
patients were identified with moderate MAVD and an ejection fraction >=50% and
were followed at Mayo Clinic from 1994 to 2013. Moderate MAVD was defined as a
combination of moderate AS and moderate AR. Age- and sex-matched control groups
were selected with isolated moderate AR (n = 117), moderate AS (n = 117), or
severe AS (n = 117). RESULTS: At 9.1 +/- 4.2 years of follow-up, patients with
moderate MAVD (n = 251) had a mean age of 63 +/- 11 years, 73% were male, and 38%
had bicuspid valve. AE occurred in 193 (77%) patients in this group, including
symptom development (69%), aortic valve replacement (67%), and cardiac death
(4%). Predictors of AE were older age (hazard ratio [HR]: 1.71 per decade; 95%
confidence interval [CI]: 1.38 to 1.97 per decade; p = 0.001), and relative wall
thickness >0.42 (HR: 2.01; 95% CI: 1.86 to 2.33; p = 0.002). AE rates were
similar in the MAVD and severe AS group (71% vs. 68% at 5 years; p = 0.49), but
were significantly higher compared with the moderate AS and AR groups.
CONCLUSIONS: MAVD patients had outcomes comparable to those with severe AS, and
preserved ejection fraction and should be monitored closely for symptoms.
PMID- 27199055
TI - The Simple Arithmetic of Mixed Aortic Valve Disease: LVH + Volume Load = Trouble.
PMID- 27199056
TI - Papillary Muscle Approximation Versus Restrictive Annuloplasty Alone for Severe
Ischemic Mitral Regurgitation.
AB - BACKGROUND: Guidelines recommend surgery for patients with severe ischemic mitral
regurgitation (MR). Nonrandomized studies suggest that subvalvular repair is
associated with longer survival, but randomized studies are lacking. OBJECTIVES:
This study sought to investigate the benefit of papillary muscle surgery on long
term clinical outcomes of patients with ischemic MR. METHODS: Ninety-six patients
with severe ischemic MR were randomized to either undersizing restrictive mitral
annuloplasty (RA) or papillary muscle approximation with undersizing restrictive
mitral annuloplasty (PMA) associated with complete surgical myocardial
revascularization. The primary endpoint was change in left ventricular end
diastolic diameter (LVEDD) after 5 years, measured as the absolute difference
from baseline, which was evaluated by paired Student t tests. Secondary endpoints
included changes in echocardiographic parameters, overall mortality, the
composite cardiac endpoint (major adverse cardiac and cerebrovascular events
[MACCE]), and quality of life (QOL) during the 5-year follow-up. RESULTS: At 5
years, mean LVEDD was 56.5 +/- 5.7 mm with PMA versus 60.6 +/- 4.6 mm with RA
(mean change from baseline -5.8 +/- 4.1 mm and -0.2 +/- 2.3 mm, respectively; p <
0.001). Ejection fraction was 44.1 +/- 6% in the PMA group versus 39.9 +/- 3.9%
in the RA group (mean change from baseline 8.8 +/- 5.9% and 2.5 +/- 4.3%,
respectively; p < 0.001). There was no statistically significant difference in
mortality at 5 years, but freedom from MACCE favored PMA in the last year of
follow-up. PMA significantly reduced tenting height, tenting area, and
interpapillary distance soon after surgery and for the long-term, and
significantly lowered moderate-to-severe MR recurrence. No differences were found
in QOL measures. CONCLUSIONS: Compared with RA only, PMA exerted a long-term
beneficial effect on left ventricular remodeling and more effectively restored
the mitral valve geometric configuration in ischemic MR, which improved long-term
cardiac outcomes, but did not produce differences in overall mortality and QOL.
PMID- 27199057
TI - How Do We Ensure a "Good" Repair in Ischemic Mitral Regurgitation?
PMID- 27199059
TI - The Complex Interaction Between Left Ventricular Ejection Fraction, Flow, and
Gradient in Patients Undergoing TAVR.
PMID- 27199058
TI - Impact of Ejection Fraction and Aortic Valve Gradient on Outcomes of
Transcatheter Aortic Valve Replacement.
AB - BACKGROUND: In patients with aortic stenosis undergoing transcatheter aortic
valve replacement (TAVR), studies have suggested that reduced left ventricular
(LV) ejection fraction (LVEF) and low aortic valve gradient (AVG) are associated
with worse long-term outcomes. Because these conditions commonly coexist, the
extent to which they are independently associated with outcomes after TAVR is
unknown. OBJECTIVES: The purpose of this study was to evaluate the impact of LVEF
and AVG on clinical outcomes after TAVR and to determine whether the effect of
AVG on outcomes is modified by LVEF. METHODS: Using data from 11,292 patients who
underwent TAVR as part of the Transcatheter Valve Therapies Registry, we examined
rates of 1-year mortality and recurrent heart failure in patients with varying
levels of LV dysfunction (LVEF <30% vs. 30% to 50% vs. >50%) and AVG (<40 mm Hg
vs. >=40 mm Hg). Multivariable models were used to estimate the independent
effect of AVG and LVEF on outcomes. RESULTS: During the first year of follow-up
after TAVR, patients with LV dysfunction and low AVG had higher rates of death
and recurrent heart failure. After adjustment for other clinical factors, only
low AVG was associated with higher mortality (hazard ratio: 1.21; 95% confidence
interval: 1.11 to 1.32; p < 0.001) and higher rates of heart failure (hazard
ratio: 1.52; 95% confidence interval: 1.36 to 1.69; p <0.001), whereas the effect
of LVEF was no longer significant. There was no evidence of effect modification
between AVG and LVEF with respect to either endpoint. CONCLUSIONS: In this series
of real-world patients undergoing TAVR, low AVG, but not LV dysfunction, was
associated with higher rates of mortality and recurrent heart failure. Although
these findings suggest that AVG should be considered when evaluating the risks
and benefits of TAVR for individual patients, neither severe LV dysfunction nor
low AVG alone or in combination provide sufficient prognostic discrimination to
preclude treatment with TAVR.
PMID- 27199060
TI - Pheochromocytoma Is Characterized by Catecholamine-Mediated Myocarditis, Focal
and Diffuse Myocardial Fibrosis, and Myocardial Dysfunction.
AB - BACKGROUND: Pheochromocytoma is associated with catecholamine-induced cardiac
toxicity, but the extent and nature of cardiac involvement in clinical cohorts is
not well-characterized. OBJECTIVES: This study characterized the cardiac
phenotype in patients with pheochromocytoma using cardiac magnetic resonance
(CMR). METHODS: A total of 125 subjects were studied, including patients with
newly diagnosed pheochromocytoma (n = 29), patients with previously surgically
cured pheochromocytoma (n = 31), healthy control subjects (n = 51), and
hypertensive control subjects (HTN) (n = 14), using CMR (1.5-T) cine, strain
imaging by myocardial tagging, late gadolinium enhancement, and native T1 mapping
(Shortened Modified Look-Locker Inversion recovery [ShMOLLI]). RESULTS: Patients
who were newly diagnosed with pheochromocytoma, compared with healthy and HTN
control subjects, had impaired left ventricular (LV) ejection fraction (<56% in
38% of patients), peak systolic circumferential strain (p < 0.05), and diastolic
strain rate (p < 0.05). They had higher myocardial T1 (974 +/- 25 ms, as compared
with 954 +/- 16 ms in healthy and 958 +/- 23 ms in HTN subjects; p < 0.05), areas
of myocarditis (median 22% LV with T1 >990 ms, as compared with 1% in healthy and
2% in HTN subjects; p < 0.05), and focal fibrosis (59% had nonischemic late
gadolinium enhancement, as compared with 14% in HTN subjects). Post-operatively,
impaired LV ejection fraction typically normalized, but systolic and diastolic
strain impairment persisted. Focal fibrosis (median 5% LV) and T1 abnormalities
(median 12% LV) remained, the latter of which may suggest some diffuse fibrosis.
Previously cured patients demonstrated abnormal diastolic strain rate (p <
0.001), myocardial T1 (median 12% LV), and small areas of focal fibrosis (median
1% LV). LV mass index was increased in HTN compared with healthy control subjects
(p < 0.05), but not in the 2 pheochromocytoma groups. CONCLUSIONS: This first
systematic CMR study characterizing the cardiac phenotype in pheochromocytoma
showed that cardiac involvement was frequent and, for some variables, persisted
after curative surgery. These effects surpass those of hypertensive heart disease
alone, supporting a direct role of catecholamine toxicity that may produce subtle
but long-lasting myocardial alterations.
PMID- 27199061
TI - Stressing the Importance of Cardiac Assessment in Pheochromocytoma.
PMID- 27199063
TI - Closing the Gap Between Clinical Trials and Practice: A Global Imperative.
PMID- 27199062
TI - Association of Guideline-Based Admission Treatments and Life Expectancy After
Myocardial Infarction in Elderly Medicare Beneficiaries.
AB - BACKGROUND: Guideline-based admission therapies for acute myocardial infarction
(AMI) significantly improve 30-day survival, but little is known about their
association with long-term outcomes. OBJECTIVES: This study evaluated the
association of 5 AMI admission therapies (aspirin, beta-blockers, acute
reperfusion therapy, door-to-balloon [D2B] time <=90 min, and time to
fibrinolysis <=30 min) with life expectancy and years of life saved after AMI.
METHODS: We analyzed data from the Cooperative Cardiovascular Project, a study of
Medicare beneficiaries hospitalized for AMI, with 17 years of follow-up. Life
expectancy and years of life saved after AMI were calculated using Cox
proportional hazards regression with extrapolation using exponential models.
RESULTS: Survival for recipients and non-recipients of the 5 guideline-based
therapies diverged early after admission and continued to diverge during 17-year
follow-up. Receipt of aspirin, beta-blockers, and acute reperfusion therapy on
admission was associated with longer life expectancy of 0.78 (standard error
[SE]: 0.05), 0.55 (SE: 0.06), and 1.03 (SE: 0.12) years, respectively. Patients
receiving primary percutaneous coronary intervention (PCI) within 90 min lived
1.08 (SE: 0.49) years longer than patients with D2B times >90 min, and door-to
needle (D2N) times <=30 min were associated with 0.55 (SE: 0.12) more years of
life. A dose-response relationship was observed between longer D2B and D2N times
and shorter life expectancy after AMI. CONCLUSIONS: Guideline-based therapy for
AMI admission is associated with both early and late survival benefits, and
results in meaningful gains in life expectancy and large numbers of years of life
saved in elderly patients.
PMID- 27199064
TI - Statin-Associated Side Effects.
AB - Hydroxy-methyl-glutaryl-coenzyme A (HMG-CoA) reductase inhibitors or statins are
well tolerated, but associated with various statin-associated symptoms (SAS),
including statin-associated muscle symptoms (SAMS), diabetes mellitus (DM), and
central nervous system complaints. These are "statin-associated symptoms" because
they are rare in clinical trials, making their causative relationship to statins
unclear. SAS are, nevertheless, important because they prompt dose reduction or
discontinuation of these life-saving mediations. SAMS is the most frequent SAS,
and mild myalgia may affect 5% to 10% of statin users. Clinically important
muscle symptoms, including rhabdomyolysis and statin-induced necrotizing
autoimmune myopathy (SINAM), are rare. Antibodies against HMG-CoA reductase
apparently provoke SINAM. Good evidence links statins to DM, but evidence linking
statins to other SAS is largely anecdotal. Management of SAS requires making the
possible diagnosis, altering or discontinuing the statin treatment, and using
alternative lipid-lowering therapy.
PMID- 27199067
TI - Pharmacokinetics and Pharmacodynamics of Dabigatran 75 mg b.i.d. in Patients With
Severe Chronic Kidney Disease.
PMID- 27199065
TI - Heavy Metals, Cardiovascular Disease, and the Unexpected Benefits of Chelation
Therapy.
AB - This review summarizes evidence from 2 lines of research previously thought to be
unrelated: the unexpectedly positive results of TACT (Trial to Assess Chelation
Therapy), and a body of epidemiological data showing that accumulation of
biologically active metals, such as lead and cadmium, is an important risk factor
for cardiovascular disease. Considering these 2 areas of work together may lead
to the identification of new, modifiable risk factors for atherosclerotic
cardiovascular disease. We examine the history of chelation up through the report
of TACT. We then describe work connecting higher metal levels in the body with
the future risk of cardiovascular disease. We conclude by presenting a brief
overview of a newly planned National Institutes of Health trial, TACT2, in which
we will attempt to replicate the findings of TACT and to establish that removal
of toxic metal stores from the body is a plausible mechanistic explanation for
the benefits of edetate disodium treatment.
PMID- 27199068
TI - Underutilization of Anticoagulation for Stroke Prevention in Atrial Fibrillation.
PMID- 27199066
TI - Assessment of Administrative Data to Identify Acute Myocardial Infarction in
Electronic Health Records.
PMID- 27199069
TI - Inconsistency of Hemodynamic Data in Low-Gradient Severe Aortic Stenosis.
PMID- 27199070
TI - Paradoxical Low-Gradient Aortic Stenosis: The HFpEF of Aortic Stenosis.
PMID- 27199071
TI - Reply: Inconsistency of Hemodynamic Data in Low-Gradient Severe Aortic Stenosis:
Paradoxical Low-Gradient Aortic Stenosis: The HFpEF of Aortic Stenosis.
PMID- 27199072
TI - Mechanical Thrombectomy for Ischemic Stroke.
PMID- 27199073
TI - Reply: Mechanical Thrombectomy for Ischemic Stroke.
PMID- 27199074
TI - Pharmacological rescue of hERG currents carried out by G604S and wide type hERG
co-expression.
AB - Mutations in human ether-a-go-go-related gene (hERG) can lead to type 2 long-QT
syndrome (LQT2). The authors previously identified the hERG mutation G604S
results in a loss of function and obviously decreased current amplitude and
impaired channel protein trafficking when co-expressed with WT-hERG. The present
study further investigates the biological and electrophysiological consequences
of pharmacologic chaperones in HEK293 cells expressing G604S-hERG or co
expressing G604S-hERG and WT-hERG. It was found that a low temperature (27
degrees C), thapsigargin, NS1643 and E-4031 fail to rescue the G604S mutation.
Interestingly, only E-4031 treatment resulted in a significant increase in hERG
currents in cells co-expressing G604S-hERG and WT-hERG, correspondingly more
mature protein band at 155 kDa by Western blotting and an increased membrane
staining by confocal microscopy. In addition, E-4031 treatment shifted the steady
state half maximal activation voltage (V1/2 ) of the inactivation curve by +8 mV
in cells co-expressing G604S-hERG and WT-hERG. The present experimental results
suggest that a G604S mutation is resistant to pharmacological rescue. E-4031
treatment resulted in a significant increase in hERG currents by promoting the
hERG channel processing and trafficking in cells co-expressing G604S-hERG and WT
hERG.
PMID- 27199076
TI - Introduction.
PMID- 27199077
TI - Cytological diagnosis of metastatic malignant melanoma by fine-needle aspiration
biopsy.
AB - Despite increased surveillance and public awareness, the incidence of melanoma is
increasing. Frequently, fine-needle aspiration is employed for the diagnosis of
metastatic disease, and aspirated material is used for cytogenetic and molecular
studies to guide treatment options. The pairing of a significant diagnosis with
the numerous morphologic variants of melanoma can make the cytologic evaluation
disquieting. We present selected examples of our experiences and a brief review
of the literature to provide cytodiagnostic clues for this malignancy. The
clinical history is foremost, although the fine-needle aspiration (FNA) of
metastatic melanoma can provide a diagnosis before identification of the primary
lesion in up to 20% of cases. If a history of melanoma is assured, negative
results in sampling of pulmonary and subcutaneous nodules should be suspected as
false negatives. The smearing pattern usually features poorly cohesive cells.
Frankly malignant, spindled, and epithelioid cell shapes are most common, and
cytoplasmic vacuoles, if sought on Romanowsky-stained specimens, can usually be
found. The telltale feature of melanin production, although diagnostic, is only
present in 50% of cases. Finally, eccentric placement of nuclei, nucleoli, and
nuclear pseudoinclusions are accessory features for the cytologic interpretation
of melanoma. Numerous morphologic patterns of melanoma are potentially seen, but
a stepwise approach to diagnosis usually produces a successful result.
PMID- 27199075
TI - TNF and IL-1beta exposure increases airway narrowing but does not alter the
bronchodilatory response to deep inspiration in airway segments.
AB - BACKGROUND AND OBJECTIVE: While chronic inflammation of the airway wall and the
failure of deep inspiration (DI) to produce bronchodilation are both common to
asthma, whether pro-inflammatory cytokines modulate the airway smooth muscle
response to strain during DI is unknown. The primary aim of the study was to
determine how an inflammatory environment (simulated by the use of pro
inflammatory cytokines) alters the bronchodilatory response to DI. METHODS: We
used whole porcine bronchial segments in vitro that were cultured in medium
containing tumour necrosis factor and interleukin-1beta for 2 days. A custom
built servo-controlled syringe pump and pressure transducer was used to measure
airway narrowing and to simulate tidal breathing with intermittent DI manoeuvres.
RESULTS: Culture with tumour necrosis factor and interleukin-1beta increased
airway narrowing to acetylcholine but did not affect the bronchodilatory response
to DI. CONCLUSION: The failure of DI to produce bronchodilation in patients with
asthma may not necessarily involve a direct effect of pro-inflammatory cytokines
on airway tissue. A relationship between inflammation and airway hyper
responsiveness is supported, however, regulated by separate disease processes
than those which attenuate or abolish the bronchodilatory response to DI in
patients with asthma.
PMID- 27199078
TI - Blue nevus and "malignant blue nevus:" A concise review.
AB - Blue nevi are a heterogeneous group of lesions that can display a variety of
different clinicopathological characteristics. Although attempts are made to
classify each lesion into defined subtypes, there can be overlap between the
subtypes. The clinical and histolopathologic features of common blue nevi and
cellular blue nevi are discussed, as well as blue nevi with atypical features and
malignant lesions with features of blue nevi.
PMID- 27199079
TI - van der Waals epitaxy and photoresponse of two-dimensional CdSe plates.
AB - Here we demonstrate the first growth of two-dimensional (2D) single-crystalline
CdSe plates on mica substrates via van der Waals epitaxy. The as-synthesized 2D
plates exhibit hexagonal, truncated triangular and triangular shapes with the
lateral size around several microns. Photodetectors based on 2D CdSe plates
present a fast response time of 24 ms, revealing that 2D CdSe is a promising
building block for ultrathin optoelectronic devices.
PMID- 27199080
TI - A bulk sub-femtoliter in vitro compartmentalization system using super-fine
electrosprays.
AB - The extreme miniaturization of biological and chemical assays in aqueous-droplet
compartments enables spatiotemporal control for large-scale parallel
experimentation and can thus permit new capabilities for "digitizing" directed
molecular evolution methodologies. We report a remarkably facile bulk method to
generate mega-scale monodisperse sub-femtoliter aqueous droplets by electrospray,
using a prototype head with super-fine inkjet technology. Moreover, the
electrostatic inkjet nozzle that injects the aqueous phase when immersed within
an immiscible phase (an optimized oil/surfactant mixture) has the advantage of
generating cell-like sub-femtoliter compartments for biomolecule encapsulation
and successive biological and chemical reactions. Sub-femtoliter droplets of both
liquid (water-in-oil, volumes ranging from 0.2 to 6.4 fL) and gel bead (agarose
in-oil, volume ranging from 0.3 to 15.6 fL) compartments with average sizes of
1.3 MUm and 1.5 MUm, respectively, were successfully generated using an inkjet
nozzle at a speed of more than 10(5) droplets per second. We demonstrated the
applicability of this system by synthesizing fluorescent proteins using a cell
free expression system inside electrosprayed sub-femtoliter droplets at an
accelerated rate, thereby extending the utility of in vitro compartmentalization
with improved analytical performance for a top-down artificial cellular system.
PMID- 27199081
TI - Knr4: a disordered hub protein at the heart of fungal cell wall signalling.
AB - The most highly connected proteins in protein-protein interactions networks are
called hubs; they generally connect signalling pathways. In Saccharomyces
cerevisiae, Knr4 constitutes a connecting node between the two main signal
transmission pathways involved in cell wall maintenance upon stress: the cell
wall integrity and the calcium-calcineurin pathway. Knr4 is required to enable
the cells to resist many cell wall-affecting stresses, and KNR4 gene deletion is
synthetic lethal with the simultaneous deletion of numerous other genes involved
in morphogenesis and cell wall biogenesis. Knr4 has been shown to engage in
multiple physical interactions, an ability conferred by the intrinsic structural
adaptability of major disordered regions present in the N-terminal and C-terminal
parts of the protein. Taking all together, Knr4 is an intrinsically disordered
hub protein. Available data from other fungi indicate the conservation of Knr4
homologs cellular function and localization at sites of polarized growth among
fungal species, including pathogenic species. Because of their particular role in
morphogenesis control and of their fungal specificity, these proteins could
constitute interesting new pharmaceutical drug targets for antifungal combination
therapy.
PMID- 27199083
TI - Pressure dependent low temperature kinetics for CN + CH3CN: competition between
chemical reaction and van der Waals complex formation.
AB - The gas phase reaction between the CN radical and acetonitrile CH3CN was
investigated experimentally, at low temperatures, with the CRESU apparatus and a
slow flow reactor to explore the temperature dependence of its rate coefficient
from 354 K down to 23 K. Whereas a standard Arrhenius behavior was found at T >
200 K, indicating the presence of an activation barrier, a dramatic increase in
the rate coefficient by a factor of 130 was observed when the temperature was
decreased from 168 to 123 K. The reaction was found to be pressure independent at
297 K unlike the experiments carried out at 52 and 132 K. The work was
complemented by ab initio transition state theory based master equation
calculations using reaction pathways investigated with highly accurate
thermochemical protocols. The role of collisional stabilization of a CNCH3CN van
der Waals complex and of tunneling induced H atom abstractions were also
considered. The experimental pressure dependence at 52 and 132 K is well
reproduced by the theoretical calculations provided that an anharmonic state
density is considered for the van der Waals complex CH3CNCN and its Lennard-Jones
radius is adjusted. Furthermore, these calculations indicate that the
experimental observations correspond to the fall-off regime and that tunneling
remains small in the low-pressure regime. Hence, the studied reaction is
essentially an association process at very low temperature. Implications for the
chemistry of interstellar clouds and Titan are discussed.
PMID- 27199082
TI - Magnetic susceptibility anisotropy outside the central nervous system.
AB - Magnetic-susceptibility-based MRI has made important contributions to the
characterization of tissue microstructure, chemical composition, and organ
function. This has motivated a number of studies to explore the link between
microstructure and susceptibility in organs and tissues throughout the body,
including the kidney, heart, and connective tissue. These organs and tissues have
anisotropic magnetic susceptibility properties and cellular organizations that
are distinct from the lipid organization of myelin in the brain. For instance,
anisotropy is traced to the epithelial lipid orientation in the kidney, the
myofilament proteins in the heart, and the collagen fibrils in the knee
cartilage. The magnetic susceptibility properties of these and other tissues are
quantified using specific MRI tools: susceptibility tensor imaging (STI),
quantitative susceptibility mapping (QSM), and individual QSM measurements with
respect to tubular and filament directions determined from diffusion tensor
imaging. These techniques provide complementary and supplementary information to
that produced by traditional MRI methods. In the kidney, STI can track tubules in
all layers including the cortex, outer medulla, and inner medulla. In the heart,
STI detected myofibers throughout the myocardium. QSM in the knee revealed three
unique layers in articular cartilage by exploiting the anisotropic susceptibility
features of collagen. While QSM and STI are promising tools to study tissue
susceptibility, certain technical challenges must be overcome in order to realize
routine clinical use. This paper reviews essential experimental findings of
susceptibility anisotropy in the body, the underlying mechanisms, and the
associated MRI methodologies. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27199084
TI - Dual trigger with gonadotropin-releasing hormone agonist and recombinant human
chorionic gonadotropin improves in vitro fertilization outcome in gonadotropin
releasing hormone antagonist cycles.
AB - AIM: The aim of this study was to evaluate whether dual trigger with leuprolide
acetate plus recombinant human chorionic gonadotropin (hCG) improves in vitro
fertilization outcome in gonadotropin-releasing hormone antagonist cycles.
METHODS: A total of 156 patients diagnosed with mild male factor, unexplained or
tubal factor infertility were enrolled in the study. All subjects were allocated
into one of two groups: the dual trigger group (leuprolide acetate 500 MUg +
recombinant hCG 250 MUg) and the standard group (recombinant hCG 250 MUg)
according to the selected trigger method. Oocyte trigger was performed when at
least three follicles >17 mm were observed. Pregnancy rate, number of collected
oocytes, number of metaphase II oocytes, number of grade-A embryos, cycle
cancellation rate, and ovarian hyperstimulation syndrome rate were the main
outcome measures for the study. RESULTS: The mean number of grade-A embryos (1.6
+/- 1.5 vs 1.1 +/- 1.4, P = 0.01) and of metaphase II oocytes (7.9 +/- 4.6 vs 6.3
+/- 5.8, P = 0.02) was significantly higher in the dual-trigger group. Pregnancy
rate was significantly higher in the dual-trigger group than in the standard
group (54.8 vs 37.5%, P = 0.006). Two cases of mild ovarian hyperstimulation
syndrome were observed in each group. CONCLUSION: This novel and more
physiological trigger approach using 500 MUg leuprolide acetate plus 250 MUg
recombinant hCG may lead to an increase in the number of metaphase II oocytes,
grade-A embryos, and may improve pregnancy rates.
PMID- 27199085
TI - Alternative perspectives of safety in home delivered health care: a sequential
exploratory mixed method study.
AB - AIM: The aim of this study was to discover and describe how patients, carers and
case management nurses define safety and compare it to the traditional risk
reduction and harm avoidance definition of safety. BACKGROUND: Care services are
increasingly being delivered in the home for patients with complex long-term
conditions. However, the concept of safety remains largely unexplored. DEIGN: A
sequential, exploratory mixed method design. METHODS: A qualitative case study of
the UK National Health Service case management programme in the English UK
National Health Service was deployed during 2012. Thirteen interviews were
conducted with patients (n = 9) and carers (n = 6) and three focus groups with
nurses (n = 17) from three community care providers. The qualitative element
explored the definition of safety. Data were subjected to framework analysis and
themes were identified by participant group. Sequentially, a cross-sectional
survey was conducted during 2013 in a fourth community care provider (patient n =
35, carer n = 19, nurse n = 26) as a form of triangulation. FINDINGS: Patients
and carers describe safety differently to case management nurses, choosing to
focus on meeting needs. They use more positive language and recognize the role
they have in safety in home-delivered health care. In comparison, case management
nurses described safety similarly to the definitions found in the literature.
However, when offered the patient and carer definition of safety, they
preferentially selected this definition to their own or the literature
definition. CONCLUSION: Patients and carers offer an alternative perspective on
patient safety in home-delivered health care that identifies their role in
ensuring safety and is more closely aligned with the empowerment philosophy of
case management.
PMID- 27199086
TI - Is STAN monitoring associated with a significant decrease in metabolic acidosis
at birth compared with cardiotocography alone? Review of the three meta-analyses
that included the recent US trial.
PMID- 27199088
TI - Systemic Gene Transfer of a Hexosaminidase Variant Using an scAAV9.47 Vector
Corrects GM2 Gangliosidosis in Sandhoff Mice.
AB - GM2 gangliosidosis is a group of neurodegenerative diseases caused by beta
hexosaminidase A (HexA) enzyme deficiency. There is currently no cure. HexA is
composed of two similar, nonidentical subunits, alpha and beta, which must
interact with the GM2 activator protein (GM2AP), a substrate-specific cofactor,
to hydrolyze GM2 ganglioside. Mutations in either subunit or the activator can
result in the accumulation of GM2 ganglioside within neurons throughout the
central nervous system. The resulting neuronal cell death induces the primary
symptoms of the disease: motor impairment, seizures, and sensory impairments.
This study assesses the long-term effects of gene transfer in a Sandhoff (beta
subunit knockout) mouse model. The study utilized a modified human beta
hexosaminidase alpha-subunit (MU-subunit) that contains critical sequences from
the beta-subunit that enables formation of a stable homodimer (HexM) and
interaction with GM2AP to hydrolyze GM2 ganglioside. We investigated a self
complementary adeno-associated viral (scAAV) vector expressing HexM, through
intravenous injections of the neonatal mice. We monitored one cohort for 8 weeks
and another cohort long-term for survival benefit, behavioral, biochemical, and
molecular analyses. Untreated Sandhoff disease (SD) control mice reached a humane
endpoint at approximately 15 weeks, whereas treated mice had a median survival
age of 40 weeks, an approximate 2.5-fold survival advantage. On behavioral tests,
the treated mice outperformed their knockout age-matched controls and perform
similarly to the heterozygous controls. Through the enzymatic and GM2 ganglioside
analyses, we observed a significant decrease in the GM2 ganglioside level, even
though the enzyme levels were not significantly increased. Molecular analyses
revealed a global distribution of the vector between brain and spinal cord
regions. In conclusion, the neonatal delivery of a novel viral vector expressing
the human HexM enzyme is effective in ameliorating the SD mouse phenotype for
long-term. Our data could have implications not only for treatment of SD but also
for Tay-Sachs disease (alpha-subunit deficiency) and similar brain disorders.
PMID- 27199089
TI - A two-step approach to achieve secondary amide transamidation enabled by nickel
catalysis.
AB - A long-standing challenge in synthetic chemistry is the development of the
transamidation reaction. This process, which involves the conversion of one amide
to another, is typically plagued by unfavourable kinetic and thermodynamic
factors. Although some advances have been made with regard to the transamidation
of primary amide substrates, secondary amide transamidation has remained elusive.
Here we present a simple two-step approach that allows for the elusive overall
transformation to take place using non-precious metal catalysis. The methodology
proceeds under exceptionally mild reaction conditions and is tolerant of amino
acid-derived nucleophiles. In addition to overcoming the classic problem of
secondary amide transamidation, our studies expand the growing repertoire of new
transformations mediated by base metal catalysis.
PMID- 27199090
TI - A Comparison of the neural correlates that underlie rule-based and information
integration category learning.
AB - The influential competition between verbal and implicit systems (COVIS) model
proposes that category learning is driven by two competing neural systems-an
explicit, verbal, system, and a procedural-based, implicit, system. In the
current fMRI study, participants learned either a conjunctive, rule-based (RB),
category structure that is believed to engage the explicit system, or an
information-integration category structure that is thought to preferentially
recruit the implicit system. The RB and information-integration category
structures were matched for participant error rate, the number of relevant
stimulus dimensions, and category separation. Under these conditions,
considerable overlap in brain activation, including the prefrontal cortex, basal
ganglia, and the hippocampus, was found between the RB and information
integration category structures. Contrary to the predictions of COVIS, the medial
temporal lobes and in particular the hippocampus, key regions for explicit
memory, were found to be more active in the information-integration condition
than in the RB condition. No regions were more activated in RB than information
integration category learning. The implications of these results for theories of
category learning are discussed. Hum Brain Mapp 37:3557-3574, 2016. (c) 2016
Wiley Periodicals, Inc.
PMID- 27199087
TI - Pediatric Sarcomas Are Targetable by MR-Guided High Intensity Focused Ultrasound
(MR-HIFU): Anatomical Distribution and Radiological Characteristics.
AB - BACKGROUND: Despite intensive therapy, children with metastatic and recurrent
sarcoma or neuroblastoma have a poor prognosis. Magnetic resonance guided high
intensity focused ultrasound (MR-HIFU) is a noninvasive technique allowing the
delivery of targeted ultrasound energy under MR imaging guidance. MR-HIFU may be
used to ablate tumors without ionizing radiation or target chemotherapy using
hyperthermia. Here, we evaluated the anatomic locations of tumors to assess the
technical feasibility of MR-HIFU therapy for children with solid tumors.
PROCEDURE: Patients with sarcoma or neuroblastoma with available cross-sectional
imaging were studied. Tumors were classified based on the location and
surrounding structures within the ultrasound beam path as (i) not targetable,
(ii) completely or partially targetable with the currently available MR-HIFU
system, and (iii) potentially targetable if a respiratory motion compensation
technique was used. RESULTS: Of the 121 patients with sarcoma and 61 patients
with neuroblastoma, 64% and 25% of primary tumors were targetable at diagnosis,
respectively. Less than 20% of metastases at diagnosis or relapse were targetable
for both sarcoma and neuroblastoma. Most targetable lesions were located in
extremities or in the pelvis. Respiratory motion compensation may increase the
percentage of targetable tumors by 4% for sarcomas and 10% for neuroblastoma.
CONCLUSIONS: Many pediatric sarcomas are localized at diagnosis and are
targetable by current MR-HIFU technology. Some children with neuroblastoma have
bony tumors targetable by MR-HIFU at relapse, but few newly diagnosed children
with neuroblastoma have tumors amenable to MR-HIFU therapy. Clinical trials of MR
HIFU should focus on patients with anatomically targetable tumors.
PMID- 27199091
TI - MY APPROACH to the use of chelation therapy.
PMID- 27199092
TI - Temperature variability is a key component in accurately forecasting the effects
of climate change on pest phenology.
AB - BACKGROUND: Models describing the effects of climate change on arthropod pest
ecology are needed to help mitigate and adapt to forthcoming changes. Challenges
arise because climate data are at resolutions that do not readily synchronize
with arthropod biology. Here we explain how multiple sources of climate and
weather data can be synthesized to quantify the effects of climate change on pest
phenology. RESULTS: Predictions of phenological events differ substantially
between models that incorporate scale-appropriate temperature variability and
models that do not. As an illustrative example, we predicted adult emergence of a
pest of sunflower, the sunflower stem weevil Cylindrocopturus adspersus
(LeConte). Predictions of the timing of phenological events differed by an
average of 11 days between models with different temperature variability inputs.
Moreover, as temperature variability increases, developmental rates accelerate.
CONCLUSION: Our work details a phenological modeling approach intended to help
develop tools to plan for and mitigate the effects of climate change. Results
show that selection of scale-appropriate temperature data is of more importance
than selecting a climate change emission scenario. Predictions derived without
appropriate temperature variability inputs will likely result in substantial
phenological event miscalculations. Additionally, results suggest that increased
temperature instability will lead to accelerated pest development. (c) 2016
Society of Chemical Industry.
PMID- 27199093
TI - Editorial overview: Remapping the body of the world: Exploring interactions at
the interface of microbial ecology, biological engineering and information
science.
PMID- 27199094
TI - Kinetics of formation of bile salt micelles from coarse-grained Langevin dynamics
simulations.
AB - We examine the mechanism of formation of micelles of dihydroxy bile salts using a
coarse-grained, implicit solvent model and Langevin dynamics simulations. We find
that bile salt micelles primarily form via addition and removal of monomers,
similarly to surfactants with typical head-tail molecular structures, and not via
a two-stage mechanism - involving formation of oligomers and their subsequent
aggregation to form larger micelles - originally proposed for bile salts. The
free energy barrier to removal of single bile monomers from micelles is ~2kBT,
much less than what has been observed for head-tail surfactants. Such a low
barrier may be biologically relevant: it allows for rapid release of bile
monomers into the intestine, possibly enabling the coverage of fat droplets by
bile salt monomers and subsequent release of micelles containing fats and bile
salts - a mechanism that is not possible for ionic head-tail surfactants of
similar critical micellar concentrations.
PMID- 27199095
TI - Immediate Closures and Violations Identified During Routine Inspections of Public
Aquatic Facilities - Network for Aquatic Facility Inspection Surveillance, Five
States, 2013.
AB - PROBLEM/CONDITION: Aquatic facility-associated illness and injury in the United
States include disease outbreaks of infectious or chemical etiology, drowning,
and pool chemical-associated health events (e.g., respiratory distress or burns).
These conditions affect persons of all ages, particularly young children, and can
lead to disability or even death. A total of 650 aquatic facility-associated
outbreaks have been reported to CDC for 1978-2012. During 1999-2010, drownings
resulted in approximately 4,000 deaths each year in the United States. Drowning
is the leading cause of injury deaths in children aged 1-4 years, and
approximately half of fatal drownings in this age group occur in swimming pools.
During 2003-2012, pool chemical-associated health events resulted in an estimated
3,000-5,000 visits to U.S. emergency departments each year, and approximately
half of the patients were aged <18 years. In August 2014, CDC released the Model
Aquatic Health Code (MAHC), national guidance that can be adopted voluntarily by
state and local jurisdictions to minimize the risk for illness and injury at
public aquatic facilities. REPORTING PERIOD COVERED: 2013. DESCRIPTION OF SYSTEM:
The Network for Aquatic Facility Inspection Surveillance (NAFIS) was established
by CDC in 2013. NAFIS receives aquatic facility inspection data collected by
environmental health practitioners when assessing the operation and maintenance
of public aquatic facilities. This report presents inspection data that were
reported by 16 public health agencies in five states (Arizona, California,
Florida, New York, and Texas) and focuses on 15 MAHC elements deemed critical to
minimizing the risk for illness and injury associated with aquatic facilities
(e.g., disinfection to prevent transmission of infectious pathogens, safety
equipment to rescue distressed bathers, and pool chemical safety). Although these
data (the first and most recent that are available) are not nationally
representative, 15.7% of the estimated 309,000 U.S. public aquatic venues are
located in the 16 reporting jurisdictions. RESULTS: During 2013, environmental
health practitioners in the 16 reporting NAFIS jurisdictions conducted 84,187
routine inspections of 48,632 public aquatic venues. Of the 84,187 routine
inspection records for individual aquatic venues, 78.5% (66,098) included data on
immediate closure; 12.3% (8,118) of routine inspections resulted in immediate
closure because of at least one identified violation that represented a serious
threat to public health. Disinfectant concentration violations were identified
during 11.9% (7,662/64,580) of routine inspections, representing risk for aquatic
facility-associated outbreaks of infectious etiology. Safety equipment violations
were identified during 12.7% (7,845/61,648) of routine inspections, representing
risk for drowning. Pool chemical safety violations were identified during 4.6%
(471/10,264) of routine inspections, representing risk for pool chemical
associated health events. INTERPRETATION: Routine inspections frequently resulted
in immediate closure and identified violations of inspection items corresponding
to 15 MAHC elements critical to protecting public health, highlighting the need
to improve operation and maintenance of U.S. public aquatic facilities. These
findings also underscore the public health function that code enforcement,
conducted by environmental health practitioners, has in preventing illness and
injury at public aquatic facilities. PUBLIC HEALTH ACTION: Findings from the
routine analyses of aquatic facility inspection data can inform program planning,
implementation, and evaluation. At the state and local level, these inspection
data can be used to identify aquatic facilities and venues in need of more
frequent inspections and to select topics to cover in training for aquatic
facility operators. At the national level, these data can be used to evaluate
whether the adoption of MAHC elements minimizes the risk for aquatic facility
associated illness and injury. These findings also can be used to prioritize
revisions or updates to the MAHC. To optimize the collection and analysis of
aquatic facility inspection data and thus application of findings, environmental
health practitioners and epidemiologists need to collaborate extensively to
identify public aquatic facility code elements deemed critical to protecting
public health and determine the best way to assess and document compliance during
inspections.
PMID- 27199096
TI - Toward a science of morality: response to Christian Miller's critique.
AB - In developing a science of morality, many examples are provided not only to
document the moral progress that has been made over the centuries, but also the
reasons why and how this progress has been made. Instead of moralizing about
human action and social problems that we find deplorable or undesirable, ever
since the Scientific Revolution and the Enlightenment we started seeing them as
problems to be solved. A science of morality begins with the discovery of rights
and other moral values and emotions, starting with the recognition of the
individual as an autonomous moral agent with an evolved natural desire to survive
and flourish.
PMID- 27199097
TI - ESSCA results with the baseline series, 2002-2012: p-phenylenediamine.
AB - BACKGROUND: Allergic contact dermatitis caused by p-phenylenediamine (PPD) is
common among all age groups and both sexes. Monitoring of prevalence and
intensity of sensitization is important. OBJECTIVE: To evaluate contact allergy
to PPD in Europe, and to compare reaction intensities in different European
regions. METHODS: Data collected by the European Surveillance System on Contact
Allergies (ESSCA) network between 2002 and 2012 from 12 European countries were
analysed regarding prevalence, grading of positive reactions to PPD, and
relevance. RESULTS: A total of 99 926 PPD-positive patients from 63 departments
were included for analysis. The overall (2002-2012) prevalence of PPD
sensitization in Europe is ~4%. Stratified for country, the highest overall
standardized prevalence was found in Lithuania, and the lowest in Slovenia. The
prevalence of PPD sensitization did not decline over the years. In the southern
European countries, higher numbers of strong and extremely strong reactions were
registered. The clinical relevance of PPD sensitization varied from half to three
quarters. CONCLUSION: The prevalence of PPD sensitization has not changed over
time. Strong and extremely strong patch test reactions are seen more often in the
South, probably because of the higher number of PPD-containing hair dye products.
PMID- 27199098
TI - Decoupled form and function in disparate herbivorous dinosaur clades.
AB - Convergent evolution, the acquisition of morphologically similar traits in
unrelated taxa due to similar functional demands or environmental factors, is a
common phenomenon in the animal kingdom. Consequently, the occurrence of similar
form is used routinely to address fundamental questions in morphofunctional
research and to infer function in fossils. However, such qualitative assessments
can be misleading and it is essential to test form/function relationships
quantitatively. The parallel occurrence of a suite of morphologically convergent
craniodental characteristics in three herbivorous, phylogenetically disparate
dinosaur clades (Sauropodomorpha, Ornithischia, Theropoda) provides an ideal test
case. A combination of computational biomechanical models (Finite Element
Analysis, Multibody Dynamics Analysis) demonstrate that despite a high degree of
morphological similarity between representative taxa (Plateosaurus engelhardti,
Stegosaurus stenops, Erlikosaurus andrewsi) from these clades, their
biomechanical behaviours are notably different and difficult to predict on the
basis of form alone. These functional differences likely reflect dietary
specialisations, demonstrating the value of quantitative biomechanical approaches
when evaluating form/function relationships in extinct taxa.
PMID- 27199099
TI - Ultrahigh-Power-Factor Carbon Nanotubes and an Ingenious Strategy for
Thermoelectric Performance Evaluation.
AB - An ingenious strategy is put forward to evaluate accurately the thermoelectric
performance of carbon nanotube (CNT) thin films, including thermal conductivity,
electrical conductivity, and Seebeck coefficient in the same direction. The
results reveal that the as-prepared CNT interconnected films and CNT fibers
possess enormous potential of thermoelectric applications because of their
ultrahigh power factors.
PMID- 27199100
TI - Preexposure Prophylaxis Modality Preferences Among Men Who Have Sex With Men and
Use Social Media in the United States.
AB - BACKGROUND: Preexposure prophylaxis (PrEP) is available as a daily pill for
preventing infection with the human immunodeficiency virus (HIV). Innovative
methods of administering PrEP systemically or topically are being discussed and
developed. OBJECTIVE: The objective of our study was to assess attitudes toward
different experimental modalities of PrEP administration. METHODS: From April to
July 2015, we recruited 1106 HIV-negative men who have sex with men through
online social media advertisements and surveyed them about their likelihood of
using different PrEP modalities. Participants responded to 5-point Likert-scale
items indicating how likely they were to use each of the following PrEP
modalities: a daily oral pill, on-demand pills, periodic injection, penile gel
(either before or after intercourse), rectal gel (before/after), and rectal
suppository (before/after). We used Wilcoxon signed rank tests to determine
whether the stated likelihood of using any modality differed from daily oral
PrEP. Related items were combined to assess differences in likelihood of use
based on tissue or time of administration. Participants also ranked their
interest in using each modality, and we used the modified Borda count method to
determine consensual rankings. RESULTS: Most participants indicated they would be
somewhat likely or very likely to use PrEP as an on-demand pill (685/1105,
61.99%), daily oral pill (528/1036, 50.97%), injection (575/1091, 52.70%), or
penile gel (438/755, 58.01% before intercourse; 408/751, 54.33% after). The
stated likelihoods of using on-demand pills (median score 4) and of using a
penile gel before intercourse (median 4) were both higher than that of using a
daily oral pill (median 4, P<.001 and P=.001, respectively). Compared with a
daily oral pill, participants reported a significantly lower likelihood of using
any of the 4 rectal modalities (Wilcoxon signed rank test, all P<.001). On 10
point Likert scales created by combining application methods, the reported
likelihood of using a penile gel (median 7) was higher than that of using a
rectal gel (median 6, P<.001), which was higher than the likelihood of using a
rectal suppository (median 6, P<.001). The modified Borda count ranked on-demand
pills as the most preferred modality. There was no difference in likelihood of
use of PrEP (gel or suppository) before or after intercourse. CONCLUSIONS:
Participants typically prefer systemic PrEP and are less likely to use a modality
that is administered rectally. Although most of these modalities are seen as
favorable or neutral, attitudes may change as information about efficacy and
application becomes available. Further data on modality preference across risk
groups will better inform PrEP development.
PMID- 27199101
TI - A multi-ingredient dietary supplement abolishes large-scale brain cell loss,
improves sensory function, and prevents neuronal atrophy in aging mice.
AB - Transgenic growth hormone mice (TGM) are a recognized model of accelerated aging
with characteristics including chronic oxidative stress, reduced longevity,
mitochondrial dysfunction, insulin resistance, muscle wasting, and elevated
inflammatory processes. Growth hormone/IGF-1 activate the Target of Rapamycin
known to promote aging. TGM particularly express severe cognitive decline. We
previously reported that a multi-ingredient dietary supplement (MDS) designed to
offset five mechanisms associated with aging extended longevity, ameliorated
cognitive deterioration and significantly reduced age-related physical
deterioration in both normal mice and TGM. Here we report that TGM lose more than
50% of cells in midbrain regions, including the cerebellum and olfactory bulb.
This is comparable to severe Alzheimer's disease and likely explains their
striking age-related cognitive impairment. We also demonstrate that the MDS
completely abrogates this severe brain cell loss, reverses cognitive decline and
augments sensory and motor function in aged mice. Additionally, histological
examination of retinal structure revealed markers consistent with higher numbers
of photoreceptor cells in aging and supplemented mice. We know of no other
treatment with such efficacy, highlighting the potential for prevention or
amelioration of human neuropathologies that are similarly associated with
oxidative stress, inflammation and cellular dysfunction. Environ. Mol. Mutagen.
57:382-404, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27199102
TI - Epidemiology and use of compression treatment in venous leg ulcers: nationwide
claims data analysis in Germany.
AB - Chronic venous diseases are the most common causes of leg ulcers. Compression
treatment (CT) is a central component of venous leg ulcer (VLU) therapy along
with prevention based on guidelines and clinical evidence. However, large-scale
data on the use of CT are rare. In particular, there have not yet been published
nationwide data for Germany. We analysed data from a large German statutory
health insurance (SHI) on incident VLU between 2010 and 2012. VLUs were
identified by ICD-10 diagnoses. The status of active disease was defined by wound
specific treatments. Compression stockings and bandages were identified by SHI
medical device codes. The overall estimated incident rate of active VLU of all
insured persons was 0.34% from 2010 to 2012. Adapted to the overall German
population, n = 229 369 persons nationwide had an incident VLU in 2010-2012.
Among all VLU patients, only 40.6% received CT within 1 year, including 83.3%
stockings, 31.8% bandages and 3.1% multi-component compression systems.
Compression rates showed significant differences by gender and age. Large
regional variations were observed. Validity of data is suggested by high
concordance with a primary cohort study. Although recommended by guidelines,
there is still a marked under-provision of care, with CT in incident VLUs in
Germany requiring active measures.
PMID- 27199103
TI - Review article: treatment as prevention - targeting people who inject drugs as a
pathway towards hepatitis C eradication.
AB - BACKGROUND: Hepatitis C virus (HCV) is a leading cause of chronic liver disease
worldwide. HCV predominates in people who inject drugs; a group in whom anti
viral therapy has previously been withheld on the basis of chaotic lifestyles and
associated risks of reinfection. New research has emerged which suggests that by
specifically targeting HCV-infected people who inject drugs for treatment, the
pool of HCV would deplete, thus reducing overall transmission and eventually
leading to HCV eradication. AIM: To outline the requirements for HCV eradication
and review the evidence that this is achievable. METHODS: Expert review of the
literature. RESULTS: The achievement of HCV eradication using 'treatment as
prevention' is supported by numerous epidemiological modelling studies employing
a variety of models in several contexts including people who inject drugs, men
who have sex with men and prisoners. More recent studies also incorporate the
newer, more efficacious direct-acting anti-viral drugs. These drugs have been
shown to be safe and effective in people who inject drugs in clinical trials.
There is no empirical evidence of the impact of treatment as prevention
strategies on population prevalence. CONCLUSIONS: This review highlights the
efforts to control HCV and evaluates the possibilities of achieving eradication
of HCV. Currently, the technologies required to achieve HCV eradication exist,
but the infrastructure to deliver them is not generally available or of
insufficient scale outside of specific areas. Such areas are yet to demonstrate
that elimination is possible, but results of studies in these areas are awaited.
Such a demonstration would be proof of principle for eradication. Although we are
aspiring towards HCV eradication, elimination is the more realistic prospect.
PMID- 27199104
TI - Fast Responsive and Controllable Liquid Transport on a Magnetic Fluid/Nanoarray
Composite Interface.
AB - Controllable liquid transport on surface is expected to occur by manipulating the
gradient of surface tension/Laplace pressure and external stimuli, which has been
intensively studied on solid or liquid interface. However, it still faces
challenges of slow response rate, and uncontrollable transport speed and
direction. Here, we demonstrate fast responsive and controllable liquid transport
on a smart magnetic fluid/nanoarray interface, i.e., a composite interface, via
modulation of an external magnetic field. The wettability of the composite
interface to water instantaneously responds to gradient magnetic field due to the
magnetically driven composite interface gradient roughness transition that takes
place within a millisecond, which is at least 1 order of magnitude faster than
that of other responsive surfaces. A water droplet can follow the motion of the
gradient composite interface structure as it responds to the gradient magnetic
field motion. Moreover, the water droplet transport direction can be controlled
by modulating the motion direction of the gradient magnetic field. The composite
interface can be used as a pump for the transport of immiscible liquids and other
objects in the microchannel, which suggests a way to design smart interface
materials and microfluidic devices.
PMID- 27199107
TI - Pulsed laser deposited GeTe-rich GeTe-Sb2Te3 thin films.
AB - Pulsed laser deposition technique was used for the fabrication of Ge-Te rich GeTe
Sb2Te3 (Ge6Sb2Te9, Ge8Sb2Te11, Ge10Sb2Te13, and Ge12Sb2Te15) amorphous thin
films. To evaluate the influence of GeTe content in the deposited films on
physico-chemical properties of the GST materials, scanning electron microscopy
with energy-dispersive X-ray analysis, X-ray diffraction and reflectometry,
atomic force microscopy, Raman scattering spectroscopy, optical reflectivity, and
sheet resistance temperature dependences as well as variable angle spectroscopic
ellipsometry measurements were used to characterize as-deposited (amorphous) and
annealed (crystalline) layers. Upon crystallization, optical functions and
electrical resistance of the films change drastically, leading to large optical
and electrical contrast between amorphous and crystalline phases. Large changes
of optical/electrical properties are accompanied by the variations of thickness,
density, and roughness of the films due to crystallization. Reflectivity contrast
as high as ~0.21 at 405 nm was calculated for Ge8Sb2Te11, Ge10Sb2Te13, and
Ge12Sb2Te15 layers.
PMID- 27199108
TI - [3 + 1]- and [3 + 2]-Cycloadditions of Azaoxyallyl Cations and Sulfur Ylides.
AB - A new formal [3 + 1]-cycloaddition reaction of azaoxyallyl cation intermediates,
generated in situ from alpha-halo hydroxamates bearing alpha-alkyl groups, and
sulfur ylides is reported, furnishing useful beta-lactams (dr >19:1) in fair to
modest yields. In contrast, an unexpected formal [3 + 2]-cycloaddition reaction
occurs to give gamma-lactam derivatives for alpha-halo hydroxamates with alpha
aryl groups and sulfur ylides in the presence of bases.
PMID- 27199109
TI - Open surgery versus stent placement in failed primary surgical treatment of
esophageal perforation - a single institutional experience.
AB - BACKGROUND: Surgical treatment is an accepted method to manage esophageal
perforation, but in many cases it may result in failure. This paper compares the
efficacy of surgical treatment and stenting in patients after previous surgical
intervention for esophageal perforation. METHODS: A single-institution
retrospective study was performed in a group of patients treated for esophageal
perforation admitted to our centre from 2010 to 2015. Seventy eight patients
(76.5%) with esophageal perforation received surgical treatment. In this group of
patients, the mean time between perforation and treatment was 80.6 h (24-240 h).
Spontaneous and iatrogenic perforation was observed in 33 (42.3%) and 45 (57.7%)
patients, respectively. Partial esophageal resection was performed in 11 cases
(14.1%). The perforation site was sutured in the remaining 67 patients (85.9%).
Surgical treatment failed in 29 cases (37.2%). RESULTS: In patients with failed
previous surgical treatment, revision surgery was performed in 14 patients
(48.3%) (group A), and a large-diameter self-expandable stent was implanted in 15
cases (51.7%) (group B). Perforation in the thoracic and distal esophagus was
observed in 5 (35.7%) and 9 (64.3%) patients from group A, and in 7 (46.7%) and 8
(53.3%) patients from group B, respectively. The mean intubation time in both
groups was 30.3 and 12.5 days (p < 0.001), respectively. The mean daily drainage
within five days after the intervention was 350 mL in group A, and 500 mL in
group B (p < 0.02). In both groups hospitalisation time was 41.5 and 19.4 days,
respectively (p < 0.001). Six patients died (42.8%) following revision surgery,
and 2 (13.3%) patients died after stent implantation (p < 0.001). CONCLUSIONS:
Intubation time, hospitalization, and the rate of fatal complications in patients
who underwent stent implantations were significantly lower compared to reoperated
patients; however, the rate of prolonged drainage was lower in patients who
underwent revision surgery. In conclusion, stent implantation is a significantly
superior method to treat persistent leakage following failure of previous
surgical treatment.
PMID- 27199110
TI - Paternal Caregivers' Parenting Practices and Psychological Functioning among
African American Youth Living in Urban Public Housing.
AB - Structural factors associated with public housing contribute to living
environments that expose families to adverse life events that may in turn
directly impact parenting and youth outcomes. However, despite the growth in
research on fathers, research on families in public housing has practically
excluded fathers and the role fathers play in the well-being of their
adolescents. Using a sample of 660 African American adolescents recruited from
public housing, we examined the relationship between paternal caregivers' (i.e.,
fathers' and father figures') parenting practices and adolescents' depressive
symptoms, attitudes toward deviance, and self-efficacy. Using a latent profile
analysis (LPA), we confirmed a four-class model of paternal parenting practices
ranging from high to low levels of monitoring and encouragement. Results from a
one-way ANOVA indicated that paternal caregivers with high (compared to moderate)
levels of encouragement and monitoring were associated with youth who reported
less depressive symptoms, higher levels of self-efficacy, and less favorable
attitudes toward deviance. Discriminant analysis results indicated that
approximately half of the sample were correctly classified into two paternal
caregiver classes. The findings provide evidence that some of these caregivers
engage in parenting practices that support youths' psychological functioning.
More research is needed to determine what accounts for the variability in levels
of paternal encouragement and supervision, including environmental influences,
particularly for paternal caregivers exhibiting moderate-to-low levels of
paternal encouragement and monitoring.
PMID- 27199112
TI - Females have greater left ventricular twist mechanics than males during acute
reductions to preload.
AB - Compared to males, females have smaller left ventricular (LV) dimensions and
volumes, higher ejection fractions (EF), and higher LV longitudinal and
circumferential strain. LV twist mechanics determine ventricular function and are
preload-dependent. Therefore, the sex differences in LV structure and myocardial
function may result in different mechanics when preload is altered. This study
investigated sex differences in LV mechanics during acute challenges to preload.
With the use of conventional and speckle-tracking echocardiography, LV structure
and function were assessed in 20 males (24 +/- 6.2 yr) and 20 females (23 +/- 3.1
yr) at baseline and during progressive levels of lower body negative pressure
(LBNP). Fourteen participants (8 males, 6 females) were also assessed following a
rapid infusion of saline. LV end-diastolic volume, end-systolic volume, stroke
volume (SV), and EF were reduced in both groups during LBNP (P < 0.001). While
males had greater absolute volumes (P < 0.001), there were no sex differences in
allometrically scaled volumes at any stage. Sex differences were not detected at
baseline in basal rotation, apical rotation, or twist. Apical rotation and twist
increased in both groups (P < 0.001) with LBNP. At -60 mmHg, females had greater
apical rotation (P = 0.009), twist (P = 0.008), and torsion (P = 0.002) and
faster untwisting velocity (P = 0.02) than males. There were no differences in
mechanics following saline infusion. Females have larger LV twist and a faster
untwisting velocity than males during large reductions to preload, supporting
that females have a greater reliance on LV twist mechanics to maintain SV during
severe reductions to preload.
PMID- 27199113
TI - Microvascular endothelial cells from preeclamptic women exhibit altered
expression of angiogenic and vasopressor factors.
AB - Preeclampsia (PE) is a severe complication of pregnancy associated with maternal
and fetal morbidity and mortality. The underlying pathophysiology involves
maternal systemic vascular and endothelial dysfunction associated with
circulating antiangiogenic factors, although the specific etiology of the disease
remains elusive. Our aim was to investigate the maternal endothelium in PE by
exploring the expression of genes involved with endothelial function in a novel
platform of maternal primary endothelial cells. Adipose tissue was sampled at the
time of caesarean section from both normal and preeclamptic patients. Maternal
microvascular endothelial cells were isolated by tissue digestion and CD31
magnetic Dynabeads. Cell purity was confirmed by immunofluorescence microscopy
and flow cytometry. Western analyses revealed VEGF activation of VEGF receptor 2
(VEGFR2) and ERK in primary cells. Quantitative PCR analyses revealed
significantly altered mRNA levels of various genes involved with angiogenesis and
blood pressure control in preeclamptic cells, including soluble fms-like tyrosine
kinase-1, endoglin, VEGFR2, angiotensin receptor 1, and endothelin compared with
cells isolated from normal pregnancies. Overall, maternal endothelial cells from
preeclamptic patients exhibit extensive alteration of expression of factors
associated with antiangiogenic and vasoconstrictive phenotypes, shedding light on
the underlying mechanisms associated with the vascular dysfunction characteristic
of PE.
PMID- 27199111
TI - Discordant signaling and autophagy response to fasting in hearts of obese mice:
Implications for ischemia tolerance.
AB - Autophagy is regulated by nutrient and energy status and plays an adaptive role
during nutrient deprivation and ischemic stress. Metabolic syndrome (MetS) is a
hypernutritive state characterized by obesity, dyslipidemia, elevated fasting
blood glucose levels, and insulin resistance. It has also been associated with
impaired autophagic flux and larger-sized infarcts. We hypothesized that diet
induced obesity (DIO) affects nutrient sensing, explaining the observed cardiac
impaired autophagy. We subjected male friend virus B NIH (FVBN) mice to a high
fat diet, which resulted in increased weight gain, fat deposition, hyperglycemia,
insulin resistance, and larger infarcts after myocardial ischemia-reperfusion.
Autophagic flux was impaired after 4 wk on a high-fat diet. To interrogate
nutrient-sensing pathways, DIO mice were subjected to overnight fasting, and
hearts were processed for biochemical and proteomic analysis. Obese mice failed
to upregulate LC3-II or to clear p62/SQSTM1 after fasting, although mRNA for LC3B
and p62/SQSTM1 were appropriately upregulated in both groups, demonstrating an
intact transcriptional response to fasting. Energy- and nutrient-sensing signal
transduction pathways [AMPK and mammalian target of rapamycin (mTOR)] also
responded appropriately to fasting, although mTOR was more profoundly suppressed
in obese mice. Proteomic quantitative analysis of the hearts under fed and fasted
conditions revealed broad changes in protein networks involved in oxidative
phosphorylation, autophagy, oxidative stress, protein homeostasis, and
contractile machinery. In many instances, the fasting response was quite
discordant between lean and DIO mice. Network analysis implicated the peroxisome
proliferator-activated receptor and mTOR regulatory nodes. Hearts of obese mice
exhibited impaired autophagy, altered proteome, and discordant response to
nutrient deprivation.
PMID- 27199114
TI - Physiological levels of thrombospondin-1 decrease NO-dependent vasodilation in
coronary microvessels from aged rats.
AB - Aging and cardiovascular disease are associated with the loss of nitric oxide
(NO) signaling and a decline in the ability to increase coronary blood flow
reserve (CFR). Thrombospondin-1 (Thbs-1), through binding of CD47, has been shown
to limit NO-dependent vasodilation in peripheral vascular beds via formation of
superoxide (O2 (-)). The present study tests the hypothesis that, similar to the
peripheral vasculature, blocking CD47 will improve NO-mediated vasoreactivity in
coronary arterioles from aged individuals, resulting in improved CFR. Isolated
coronary arterioles from young (4 mo) or old (24 mo) female Fischer 344 rats were
challenged with the NO donor, DEA-NONO-ate (1 * 10(-7) to 1 * 10(-4) M), and
vessel relaxation and O2 (-) production was measured before and after Thbs-1,
alphaCD47, and/or Tempol and catalase exposure. In vivo CFR was determined in
anesthetized rats (1-3% isoflurane-balance O2) via injected microspheres
following control IgG or alphaCD47 treatment (45 min). Isolated coronary
arterioles from young and old rats relax similarly to exogenous NO, but addition
of 2.2 nM Thbs-1 inhibited NO-mediated vasodilation by 24% in old rats, whereas
young vessels were unaffected. Thbs-1 increased O2 (-) production in coronary
arterioles from rats of both ages, but this was exaggerated in old rats. The
addition of CD47 blocking antibody completely restored NO-dependent vasodilation
in isolated arterioles from aged rats and attenuated O2 (-) production.
Furthermore, alphaCD47 treatment increased CFR from 9.6 +/- 9.3 (IgG) to 84.0 +/-
23% in the left ventricle in intact, aged animals. These findings suggest that
the influence of Thbs-1 and CD47 on coronary perfusion increases with aging and
may be therapeutically targeted to reverse coronary microvascular dysfunction.
PMID- 27199115
TI - Distinct right ventricle remodeling in response to pressure overload in the rat.
AB - Pulmonary arterial hypertension (PAH), the most serious chronic disorder of the
pulmonary circulation, is characterized by pulmonary vasoconstriction and
remodeling, resulting in increased afterload on the right ventricle (RV). In
fact, RV function is the main determinant of prognosis in PAH. The most
frequently used experimental models of PAH include monocrotaline- and chronic
hypoxia-induced PAH, which primarily affect the pulmonary circulation.
Alternatively, pulmonary artery banding (PAB) can be performed to achieve RV
overload without affecting the pulmonary vasculature, allowing researchers to
determine the RV-specific effects of their drugs/interventions. In this work,
using two different degrees of pulmonary artery constriction, we characterize, in
full detail, PAB-induced adaptive and maladaptive remodeling of the RV at 3 wk
after PAB surgery. Our results show that application of a mild constriction
resulted in adaptive hypertrophy of the RV, with preserved systolic and diastolic
function, while application of a severe constriction resulted in maladaptive
hypertrophy, with chamber dilation and systolic and diastolic dysfunction up to
the isolated cardiomyocyte level. By applying two different degrees of
constriction, we describe, for the first time, a reliable and short-duration PAB
model in which RV adaptation can be distinguished at 3 wk after surgery. We
characterize, in full detail, structural and functional changes of the RV in its
response to moderate and severe constriction, allowing researchers to better
study RV physiology and transition to dysfunction and failure, as well as to
determine the effects of new therapies.
PMID- 27199116
TI - Simultaneous exposure to nitric oxide inhibition and angiotensin II overload: is
it a murine model of mitochondrial dysfunction in nonischemic heart failure?
PMID- 27199117
TI - The endothelial glycocalyx promotes homogenous blood flow distribution within the
microvasculature.
AB - Many common diseases involve impaired tissue perfusion, and heterogeneous
distribution of blood flow in the microvasculature contributes to this pathology.
The physiological mechanisms regulating homogeneity/heterogeneity of
microvascular perfusion are presently unknown. Using established empirical
formulations for blood viscosity modeling in vivo (blood vessels) and in vitro
(glass tubes), we showed that the in vivo formulation predicts more homogenous
perfusion of microvascular networks at the arteriolar and capillary levels. Next,
we showed that the more homogeneous blood flow under simulated in vivo conditions
can be explained by changes in red blood cell interactions with the vessel wall.
Finally, we demonstrated that the presence of a space-filling, semipermeable
layer (such as the endothelial glycocalyx) at the vessel wall can account for the
changes of red blood cell interactions with the vessel wall that promote
homogenous microvascular perfusion. Collectively, our results indicate that the
mechanical properties of the endothelial glycocalyx promote homogeneous
microvascular perfusion. Preservation or restoration of normal glycocalyx
properties may be a viable strategy for improving tissue perfusion in a variety
of diseases.
PMID- 27199118
TI - TXNIP regulates myocardial fatty acid oxidation via miR-33a signaling.
AB - Myocardial fatty acid beta-oxidation is critical for the maintenance of energy
homeostasis and contractile function in the heart, but its regulation is still
not fully understood. While thioredoxin-interacting protein (TXNIP) has recently
been implicated in cardiac metabolism and mitochondrial function, its effects on
beta-oxidation have remained unexplored. Using a new cardiomyocyte-specific TXNIP
knockout mouse and working heart perfusion studies, as well as loss- and gain-of
function experiments in rat H9C2 and human AC16 cardiomyocytes, we discovered
that TXNIP deficiency promotes myocardial beta-oxidation via signaling through a
specific microRNA, miR-33a. TXNIP deficiency leads to increased binding of
nuclear factor Y (NFYA) to the sterol regulatory element binding protein 2
(SREBP2) promoter, resulting in transcriptional inhibition of SREBP2 and its
intronic miR-33a. This allows for increased translation of the miR-33a target
genes and beta-oxidation-promoting enzymes, carnitine octanoyl transferase
(CROT), carnitine palmitoyl transferase 1 (CPT1), hydroxyacyl-CoA dehydrogenase/3
ketoacyl-CoA thiolase/enoyl-CoA hydratase-beta (HADHB), and AMPKalpha and is
associated with an increase in phospho-AMPKalpha and phosphorylation/inactivation
of acetyl-CoA-carboxylase. Thus, we have identified a novel TXNIP-NFYA-SREBP2/miR
33a-AMPKalpha/CROT/CPT1/HADHB pathway that is conserved in mouse, rat, and human
cardiomyocytes and regulates myocardial beta-oxidation.
PMID- 27199119
TI - A myosin activator improves actin assembly and sarcomere function of human
induced pluripotent stem cell-derived cardiomyocytes with a troponin T point
mutation.
AB - We have investigated cardiac myocytes derived from human-induced pluripotent stem
cells (iPSC-CMs) from two normal control and two family members expressing a
mutant cardiac troponin T (cTnT-R173W) linked to dilated cardiomyopathy (DCM).
cTnT is a regulatory protein of the sarcomeric thin filament. The loss of this
basic charge, which is strategically located to control tension, has consequences
leading to progressive DCM. iPSC-CMs serve as a valuable platform for
understanding clinically relevant mutations in sarcomeric proteins; however,
there are important questions to be addressed with regard to myocyte adaptation
that we model here by plating iPSC-CMs on softer substrates (100 kPa) to create a
more physiologic environment during recovery and maturation of iPSC-CMs after
thawing from cryopreservation. During the first week of culture of the iPSC-CMs,
we have determined structural and functional characteristics as well as actin
assembly dynamics. Shortening, actin content, and actin assembly dynamics were
depressed in CMs from the severely affected mutant at 1 wk of culture, but by 2
wk differences were less apparent. Sarcomeric troponin and myosin isoform
composition were fetal/neonatal. Furthermore, the troponin complex, reconstituted
with wild-type cTnT or recombinant cTnT-R173W, depressed the entry of cross
bridges into the force-generating state, which can be reversed by the myosin
activator omecamtiv mecarbil. Therapeutic doses of this drug increased both
contractility and the content of F-actin in the mutant iPSC-CMs. Collectively,
our data suggest the use of a myosin activation reagent to restore function
within patient-specific iPSC-CMs may aid in understanding and treating this
familial DCM.
PMID- 27199120
TI - Matrix metalloproteinase-2 in oncostatin M-induced sarcomere degeneration in
cardiomyocytes.
AB - Cardiomyocyte dedifferentiation may be an important source of proliferating
cardiomyocytes facilitating cardiac repair. Cardiomyocyte dedifferentiation and
proliferation induced by oncostatin-M (OSM) is characterized by sarcomere
degeneration. However, the mechanism underlying sarcomere degeneration remains
unclear. We hypothesized that this process may involve matrix metalloproteinase-2
(MMP-2), a key protease localized at the sarcomere in cardiomyocytes. We tested
the hypothesis that MMP-2 is involved in the sarcomere degeneration that
characterizes cardiomyocyte dedifferentiation. Confocal immunofluorescence and
biochemical methods were used to explore the role of MMP-2 in OSM-induced
dedifferentiation of neonatal rat ventricular myocytes (NRVM). OSM caused a
concentration- and time-dependent loss of sarcomeric alpha-actinin and troponin-I
in NRVM. Upon OSM-treatment, the mature sarcomere transformed to a phenotype
resembling a less-developed sarcomere, i.e., loss of sarcomeric proteins and Z
disk transformed into disconnected Z bodies, characteristic of immature
myofibrils. OSM dose dependently increased MMP-2 activity. Both the pan-MMP
inhibitor GM6001 and the selective MMP-2 inhibitor ARP 100 prevented sarcomere
degeneration induced by OSM treatment. OSM also induced NRVM cell cycling and
increased methyl-thiazolyl-tetrazolium (MTT) staining, preventable by MMP
inhibition. These results suggest that MMP-2 mediates sarcomere degeneration in
OSM-induced cardiomyocyte dedifferentiation and thus potentially contributes to
cardiomyocyte regeneration.
PMID- 27199122
TI - Recycling KATP channels for cardioprotection.
PMID- 27199121
TI - Differential effects of nebivolol vs. metoprolol on microvascular function in
hypertensive humans.
AB - Use of beta-adrenergic receptor (AR) blocker is associated with increased risk of
fatigue and exercise intolerance. Nebivolol is a newer generation beta-blocker,
which is thought to avoid this side effect via its vasodilating property.
However, the effects of nebivolol on skeletal muscle perfusion during exercise
have not been determined in hypertensive patients. Accordingly, we performed
contrast-enhanced ultrasound perfusion imaging of the forearm muscles in 25
untreated stage I hypertensive patients at rest and during handgrip exercise at
baseline or after 12 wk of treatment with nebivolol (5-20 mg/day) or metoprolol
succinate (100-300 mg/day), with a subsequent double crossover for 12 wk.
Metoprolol and nebivolol each induced a reduction in the resting blood pressure
and heart rate (130.9 +/- 2.6/81.7 +/- 1.8 vs. 131.6 +/- 2.7/80.8 +/- 1.5 mmHg
and 63 +/- 2 vs. 64 +/- 2 beats/min) compared with baseline (142.1 +/- 2.0/88.7
+/- 1.4 mmHg and 75 +/- 2 beats/min, respectively, both P < 0.01). Metoprolol
significantly attenuated the increase in microvascular blood volume (MBV) during
handgrip at 12 and 20 repetitions/min by 50% compared with baseline (mixed-model
P < 0.05), which was not observed with nebivolol. Neither metoprolol nor
nebivolol affected microvascular flow velocity (MFV). Similarly, metoprolol and
nebivolol had no effect on the increase in the conduit brachial artery flow as
determined by duplex Doppler ultrasound. Thus our study demonstrated a first
direct evidence for metoprolol-induced impairment in the recruitment of
microvascular units during exercise in hypertensive humans, which was avoided by
nebivolol. This selective reduction in MBV without alteration in MFV by
metoprolol suggested impaired vasodilation at the precapillary arteriolar level.
PMID- 27199123
TI - Guanylyl cyclase can't stand the HETE.
PMID- 27199124
TI - Sex dimorphisms of crossbridge cycling kinetics in transgenic hypertrophic
cardiomyopathy mice.
AB - Familial hypertrophic cardiomyopathy (HCM) is a disease of the sarcomere and may
lead to hypertrophic, dilated, restrictive, and/or arrhythmogenic cardiomyopathy,
congestive heart failure, or sudden cardiac death. We hypothesized that hearts
from transgenic HCM mice harboring a mutant myosin heavy chain increase the
energetic cost of contraction in a sex-specific manner. To do this, we assessed
Ca(2+) sensitivity of tension and crossbridge kinetics in demembranated cardiac
trabeculas from male and female wild-type (WT) and HCM hearts at an early time
point (2 mo of age). We found a significant effect of sex on Ca(2+) sensitivity
such that male, but not female, HCM mice displayed a decrease in Ca(2+)
sensitivity compared with WT counterparts. The HCM transgene and sex
significantly impacted the rate of force redevelopment by a rapid release
restretch protocol and tension cost by the ATPase-tension relationship. In each
of these measures, HCM male trabeculas displayed a gain-of-function when compared
with WT counterparts. In addition, cardiac remodeling measured by
echocardiography, histology, morphometry, and posttranslational modifications
demonstrated sex- and HCM-specific effects. In conclusion, female and male HCM
mice display sex dimorphic crossbridge kinetics accompanied by sex- and HCM
dependent cardiac remodeling at the morphometric, histological, and cellular
level.
PMID- 27199125
TI - Disrupted NOS signaling in lymphatic endothelial cells exposed to chronically
increased pulmonary lymph flow.
AB - Associated abnormalities of the lymphatic circulation are well described in
congenital heart disease. However, their mechanisms remain poorly elucidated.
Using a clinically relevant ovine model of a congenital cardiac defect with
chronically increased pulmonary blood flow (shunt), we previously demonstrated
that exposure to chronically elevated pulmonary lymph flow is associated with: 1)
decreased bioavailable nitric oxide (NO) in pulmonary lymph; and 2) attenuated
endothelium-dependent relaxation of thoracic duct rings, suggesting disrupted
lymphatic endothelial NO signaling in shunt lambs. To further elucidate the
mechanisms responsible for this altered NO signaling, primary lymphatic
endothelial cells (LECs) were isolated from the efferent lymphatic of the caudal
mediastinal node in 4-wk-old control and shunt lambs. We found that shunt LECs (n
= 3) had decreased bioavailable NO and decreased endothelial nitric oxide
synthase (eNOS) mRNA and protein expression compared with control LECs (n = 3).
eNOS activity was also low in shunt LECs, but, interestingly, inducible nitric
oxide synthase (iNOS) expression and activity were increased in shunt LECs, as
were total cellular nitration, including eNOS-specific nitration, and
accumulation of reactive oxygen species (ROS). Pharmacological inhibition of iNOS
reduced ROS in shunt LECs to levels measured in control LECs. These data support
the conclusion that NOS signaling is disrupted in the lymphatic endothelium of
lambs exposed to chronically increased pulmonary blood and lymph flow and may
contribute to decreased pulmonary lymphatic bioavailable NO.
PMID- 27199128
TI - High-throughput drug profiling with voltage- and calcium-sensitive fluorescent
probes in human iPSC-derived cardiomyocytes.
AB - Cardiomyocytes derived from human embryonic stem cells (hESCs) or induced
pluripotent stem cells (hiPSCs) are increasingly used for in vitro assays and
represent an interesting opportunity to increase the data throughput for drug
development. In this work, we describe a 96-well recording of synchronous
electrical activities from spontaneously beating hiPSC-derived cardiomyocyte
monolayers. The signal was obtained with a fast-imaging plate reader using a
submillisecond-responding membrane potential recording assay, FluoVolt, based on
a newly derived voltage-sensitive fluorescent dye. In our conditions, the
toxicity of the dye was moderate and compatible with episodic recordings for >3
h. We show that the waveforms recorded from a whole well or from a single cell
sized zone are equivalent and make available critical functional parameters that
are usually accessible only with gold standard techniques like intracellular
microelectrode recording. This approach allows accurate identification of the
electrophysiological effects of reference drugs on the different phases of the
cardiac action potential as follows: fast depolarization (lidocaine), early
repolarization (nifedipine, Bay K8644, and veratridine), late repolarization
(dofetilide), and diastolic slow depolarization (ivabradine). Furthermore, the
data generated with the FluoVolt dye can be pertinently complemented with a
calcium-sensitive dye for deeper characterization of the pharmacological
responses. In a semiautomated plate reader, the two probes used simultaneously in
96-well plates provide an easy and powerful multiparametric assay to rapidly and
precisely evaluate the cardiotropic profile of compounds for drug discovery or
cardiac safety.
PMID- 27199127
TI - The contributions of dipeptidyl peptidase IV to inflammation in heart failure.
AB - Circulating dipeptidyl peptidase IV (DPPIV) activity correlates with cardiac
dysfunction in humans and experimental heart failure (HF) models. Similarly,
inflammatory markers are associated with poorer outcomes in HF patients. However,
the contributions of DPPIV to inflammation in HF remain elusive. Therefore, this
study aimed to investigate whether the cardioprotective effects of DPPIV
inhibition after myocardial injury are accompanied by reduced cardiac
inflammation, whether circulating DPPIV activity correlates with the levels of
systemic inflammatory markers in HF patients, and whether leukocytes and/or
splenocytes may be one of the sources of circulating DPPIV in HF. Experimental HF
was induced in male Wistar rats by left ventricular myocardial injury after
radiofrequency catheter ablation. The rats were divided into three groups: sham,
HF, and HF + DPPIV inhibitor (sitagliptin). Six weeks after surgery, cardiac
function, perfusion and inflammatory status were evaluated. Sitagliptin treatment
improved cardiac function and perfusion, reduced macrophage infiltration, and
diminished the levels of inflammatory biomarkers including TNF-alpha, IL-1beta,
and CCL2. In HF patients, serum DPPIV activity correlated with CCL2, suggesting
that leukocytes may be the source of circulating DPPIV in HF. Unexpectedly, DPPIV
release was higher in splenocytes from HF rats and similar in HF circulating
mononuclear cells compared with those from sham, suggesting an organ-specific
modulation of DPPIV in HF. Collectively, our data provide new evidence that the
cardioprotective effects of DPPIV inhibition in HF may be due to suppression of
inflammatory cytokines. Moreover, they suggest that a vicious circle between
DPPIV and inflammation may contribute to HF development and progression.
PMID- 27199126
TI - Selective downregulation of mitochondrial electron transport chain activity and
increased oxidative stress in human atrial fibrillation.
AB - Mitochondria are critical for maintaining normal cardiac function, and a deficit
in mitochondrial energetics can lead to the development of the substrate that
promotes atrial fibrillation (AF) and its progression. However, the link between
mitochondrial dysfunction and AF in humans is still not fully defined. The aim of
this study was to elucidate differences in the functional activity of
mitochondrial oxidative phosphorylation (OXPHOS) complexes and oxidative stress
in right atrial tissue from patients without (non-AF) and with AF (AF) who were
undergoing open-heart surgery and were not significantly different for age, sex,
major comorbidities, and medications. The overall functional activity of the
electron transport chain (ETC), NADH:O2 oxidoreductase activity, was reduced by
30% in atrial tissue from AF compared with non-AF patients. This was
predominantly due to a selective reduction in complex I (0.06 +/- 0.007 vs. 0.09
+/- 0.006 nmol.min(-1).citrate synthase activity(-1), P = 0.02) and II (0.11 +/-
0.012 vs. 0.16 +/- 0.012 nmol.min(-1).citrate synthase activity(-1), P = 0.003)
functional activity in AF patients. Conversely, complex V activity was
significantly increased in AF patients (0.21 +/- 0.027 vs. 0.12 +/- 0.01
nmol.min(-1).citrate synthase activity(-1), P = 0.005). In addition, AF patients
exhibited a higher oxidative stress with increased production of mitochondrial
superoxide (73 +/- 17 vs. 11 +/- 2 arbitrary units, P = 0.03) and 4
hydroxynonenal level (77.64 +/- 30.2 vs. 9.83 +/- 2.83 ng.mg(-1) protein, P =
0.048). Our findings suggest that AF is associated with selective downregulation
of ETC activity and increased oxidative stress that can contribute to the
progression of the substrate for AF.
PMID- 27199129
TI - Impact of high-fat, low-carbohydrate diet on myocardial substrate oxidation,
insulin sensitivity, and cardiac function after ischemia-reperfusion.
AB - High-fat, low-carbohydrate Diet (HFLCD) impairs the myocardial response to
ischemia-reperfusion, but the underlying mechanisms remain elusive. We sought to
determine the magnitude of diet-induced alterations in intrinsic properties of
the myocardium (including insulin sensitivity and substrate oxidation) and
circulating substrate and insulin differences resulting from diet, leading to
this impaired response. Rats were fed HFLCD (60% kcal from fat/30% protein/10%
carbohydrate) or control diet (CONT) (16%/19%/65%) for 2 wk. Isolated hearts
underwent global low-flow ischemia followed by reperfusion (I/R). Carbon-13 NMR
spectroscopy was used to determine myocardial substrate TCA cycle entry.
Myocardial insulin sensitivity was assessed as dose-response of Akt
phosphorylation. There was a significant effect of HFLCD and I/R with both these
factors leading to an increase in free fatty acid (FFA) oxidation and a decrease
in carbohydrate or ketone oxidation. Following I/R, HFLCD led to decreased ketone
and increased FFA oxidation; the recovery of left ventricular (LV) function was
decreased in HFLCD and was negatively correlated with FFA oxidation and
positively associated with ketone oxidation. HFLCD also resulted in reduced
insulin sensitivity. Under physiologic ranges, there were no direct effects of
buffer insulin and ketone levels on oxidation of any substrate and recovery of
cardiac function after I/R. An insulin-ketone interaction exists for myocardial
substrate oxidation characteristics. We conclude that the impaired recovery of
function after ischemia-reperfusion with HFLCD is largely due to intrinsic diet
effects on myocardial properties, rather than to diet effect on circulating
insulin or substrate levels.
PMID- 27199132
TI - The alpha11 integrin mediates fibroblast-extracellular matrix-cardiomyocyte
interactions in health and disease.
AB - Excessive cardiac interstitial fibrosis impairs normal cardiac function. We have
shown that the alpha11beta1 (alpha11) integrin mediates fibrotic responses to
glycated collagen in rat myocardium by a pathway involving transforming growth
factor-beta. Little is known of the role of the alpha11 integrin in the
developing mammalian heart. Therefore, we examined the impact of deletion of the
alpha11 integrin in wild-type mice and in mice treated with streptozotocin (STZ)
to elucidate the role of the alpha11 integrin in normal cardiac homeostasis and
in the pathogenesis of diabetes-related fibrosis. As anticipated, cardiac
fibrosis was reduced in alpha11 integrin knockout mice (alpha11(-/-); C57BL/6
background) treated with STZ compared with STZ-treated wild-type mice (P < 0.05).
Unexpectedly, diastolic function was impaired in both vehicle and STZ-treated
alpha11(-/-) mice, as shown by the decreased minimum rate of pressure change and
prolonged time constant of relaxation in association with increased end-diastolic
pressure (all P < 0.05 compared with wild-type mice). Accordingly, we examined
the phenotype of untreated alpha11(-/-) mice, which demonstrated a reduced
cardiomyocyte cross-sectional cell area and myofibril thickness (all P < 0.05
compared with wild-type mice) and impaired myofibril arrangement. Immunostaining
for desmin and connexin 43 showed abnormal intermediate filament organization at
intercalated disks and impaired gap-junction development. Overall, deletion of
the alpha11 integrin attenuates cardiac fibrosis in the mammalian mouse heart and
reduces ECM formation as a result of diabetes. Furthermore, alpha11 integrin
deletion impairs cardiac function and alters cardiomyocyte morphology. These
findings shed further light on the poorly understood interaction between the
fibroblast-cardiomyocyte and the ECM.
PMID- 27199131
TI - Androgen-sensitive hypertension associated with soluble guanylate cyclase-alpha1
deficiency is mediated by 20-HETE.
AB - Dysregulated nitric oxide (NO) signaling contributes to the pathogenesis of
hypertension, a prevalent and often sex-specific risk factor for cardiovascular
disease. We previously reported that mice deficient in the alpha1-subunit of the
NO receptor soluble guanylate cyclase (sGCalpha1 (-/-) mice) display sex- and
strain-specific hypertension: male but not female sGCalpha1 (-/-) mice are
hypertensive on an 129S6 (S6) but not a C57BL6/J (B6) background. We aimed to
uncover the genetic and molecular basis of the observed sex- and strain-specific
blood pressure phenotype. Via linkage analysis, we identified a suggestive
quantitative trait locus associated with elevated blood pressure in male
sGCalpha1 (-/-)S6 mice. This locus encompasses Cyp4a12a, encoding the predominant
murine synthase of the vasoconstrictor 20-hydroxy-5,8,11,14-eicosatetraenoic acid
(20-HETE). Renal expression of Cyp4a12a in mice was associated with genetic
background, sex, and testosterone levels. In addition, 20-HETE levels were higher
in renal preglomerular microvessels of male sGCalpha1 (-/-)S6 than of male
sGCalpha1 (-/-)B6 mice. Furthermore, treating male sGCalpha1 (-/-)S6 mice with
the 20-HETE antagonist 20-hydroxyeicosa-6(Z),15(Z)-dienoic acid (20-HEDE) lowered
blood pressure. Finally, 20-HEDE rescued the genetic background- and testosterone
dependent impairment of acetylcholine-induced relaxation in renal interlobar
arteries associated with sGCalpha1 deficiency. Elevated Cyp4a12a expression and
20-HETE levels render mice susceptible to hypertension and vascular dysfunction
in a setting of sGCalpha1 deficiency. Our data identify Cyp4a12a as a candidate
sex-specific blood pressure-modifying gene in the context of deficient NO-sGC
signaling.
PMID- 27199130
TI - Pregnancy-associated adaptations in [Ca2+]i-dependent and Ca2+ sensitization
mechanisms of venous contraction: implications in pregnancy-related venous
disorders.
AB - Pregnancy is associated with significant adaptations in the maternal hemodynamics
and arterial circulation, but the changes in the venous mechanisms during
pregnancy are less clear. We hypothesized that pregnancy is associated with
alterations in venous function, intracellular free Ca(2+) concentration
([Ca(2+)]i), and Ca(2+)-dependent mechanisms of venous contraction. Circular
segments of inferior vena cava (IVC) from virgin and late pregnant (Preg, day 19)
Sprague-Dawley rats were suspended between two hooks, labeled with fura-2, and
placed in a cuvet inside a spectrofluorometer for simultaneous measurement of
contraction and [Ca(2+)]i (fura-2 340/380 ratio). KCl (96 mM), which stimulates
Ca(2+) influx, caused less contraction (35.6 +/- 6.3 vs. 92.6 +/- 19.9 mg/mg
tissue) and smaller increases in [Ca(2+)]i (1.67 +/- 0.12 vs. 2.19 +/- 0.11) in
Preg vs. virgin rat IVC. The alpha-adrenergic receptor agonist phenylephrine
(Phe; 10(-5) M) caused less contraction (23.8 +/- 3.4 vs. 70.9 +/- 12.9 mg/mg
tissue) and comparable increases in [Ca(2+)]i (1.76 +/- 0.10 vs. 1.89 +/- 0.08)
in Preg vs. virgin rat IVC. At increasing extracellular Ca(2+) concentrations
([Ca(2+)]e) (0.1, 0.3, 0.6, 1, and 2.5 mM), KCl and Phe induced [Ca(2+)]e
contraction and [Ca(2+)]e-[Ca(2+)]i curves that were reduced in Preg vs. virgin
IVC, supporting reduced Ca(2+) entry mechanisms. The [Ca(2+)]e-contraction and
[Ca(2+)]e-[Ca(2+)]i curves were used to construct the [Ca(2+)]i-contraction
relationship. Despite reduced contraction and [Ca(2+)]i in Preg IVC, the Phe
induced [Ca(2+)]i-contraction relationship was greater than that of KCl and was
enhanced in Preg vs. virgin IVC, suggesting parallel activation of Ca(2+)
sensitization pathways. The Ca(2+) channel blocker diltiazem, protein kinase C
(PKC) inhibitor GF-109203X, and Rho-kinase (ROCK) inhibitor Y27632 inhibited KCl-
and Phe-induced contraction and abolished the shift in the Phe [Ca(2+)]i
contraction relationship in Preg IVC, suggesting an interplay between the
decrease in Ca(2+) influx and possible compensatory activation of PKC- and ROCK
mediated Ca(2+)-sensitization pathways. The reduced [Ca(2+)]i and [Ca(2+)]i
dependent contraction in Preg rat IVC, despite the parallel rescue activation of
Ca(2+)-sensitization pathways, suggests that the observed reduction in [Ca(2+)]i
dependent contraction mechanisms is likely underestimated, and that the veins
without the rescue Ca(2+)-sensitization pathways could be even more prone to
dilation during pregnancy. These pregnancy-associated reductions in Ca(2+) entry
dependent mechanisms of venous contraction, if occurring in human lower extremity
veins and if not adequately compensated by Ca(2+)-sensitization pathways, may
play a role in pregnancy-related venous disorders.
PMID- 27199135
TI - Influence of anatomical dominance and hypertension on coronary conduit arterial
and microcirculatory flow patterns: a multiscale modeling study.
AB - Coronary hemodynamics are known to be affected by intravascular and extravascular
factors that vary regionally and transmurally between the perfusion territories
of left and right coronary arteries. However, despite clinical evidence that left
coronary arterial dominance portends greater cardiovascular risk, relatively
little is known about the effects of left or right dominance on regional conduit
arterial and microcirculatory blood flow patterns, particularly in the presence
of systemic or pulmonary hypertension. We addressed this issue using a multiscale
numerical model of the human coronary circulation situated in a closed-loop
cardiovascular model. The coronary model represented left or right dominant
anatomies and accounted for transmural and regional differences in vascular
properties and extravascular compression. Regional coronary flow dynamics of the
two anatomical variants were compared under normotensive conditions, raised
systemic or pulmonary pressures with maintained flow demand, and after accounting
for adaptations known to occur in acute and chronic hypertensive states. Key
findings were that 1) right coronary arterial flow patterns were strongly
influenced by dominance and systemic/pulmonary hypertension; 2) dominance had
minor effects on left coronary arterial and all microvascular flow patterns
(aside from mean circumflex flow); 3) although systemic hypertension favorably
increased perfusion pressure, this benefit varied regionally and transmurally and
was offset by increased left ventricular and septal flow demands; and 4)
pulmonary hypertension had a substantial negative effect on right ventricular and
septal flows, which was exacerbated by greater metabolic demands. These findings
highlight the importance of interactions between coronary arterial dominance and
hypertension in modulating coronary hemodynamics.
PMID- 27199133
TI - Vascular smooth muscle desensitization in rabbit epigastric and mesenteric
arteries during hemorrhagic shock.
AB - The decompensatory phase of hemorrhage (shock) is caused by a poorly defined
phenomenon termed vascular hyporeactivity (VHR). VHR may reflect an acute in vivo
imbalance in levels of contractile and relaxant stimuli favoring net vascular
smooth muscle (VSM) relaxation. Alternatively, VHR may be caused by intrinsic VSM
desensitization of contraction resulting from prior exposure to high levels of
stimuli that temporarily adjusts cell signaling systems. Net relaxation, but not
desensitization, would be expected to resolve rapidly in an artery segment
removed from the in vivo shock environment and examined in vitro in a fresh
solution. Our aim was to 1) induce shock in rabbits and apply an in vitro
mechanical analysis on muscular arteries isolated pre- and postshock to determine
whether VHR involves intrinsic VSM desensitization, and 2) identify whether net
VSM relaxation induced by nitric oxide and cyclic nucleotide-dependent protein
kinase activation in vitro can be sustained for some time after relaxant stimulus
washout. The potencies of phenylephrine- and histamine-induced contractions in in
vitro epigastric artery removed from rabbits posthemorrhage were decreased by
~0.3 log units compared with the control contralateral epigastric artery removed
prehemorrhage. Moreover, a decrease in KCl-induced tonic, relative to phasic,
tension of in vitro mesenteric artery correlated with the degree of shock
severity as assessed by rates of lactate and K(+) accumulation. VSM
desensitization was also caused by tyramine in vivo and PE in vitro, but not by
relaxant agents in vitro. Together, these results support the hypothesis that VHR
during hemorrhagic decompensation involves contractile stimulus-induced long
lasting, intrinsic VSM desensitization.
PMID- 27199137
TI - In vitro thrombogenesis resulting from decreased shear rate and blood
coagulability.
AB - In vitro antithrombogenic testing with mock circulation is a useful type of pre
evaluation in ex vivo testing of mechanical assist devices. For effective in
vitro testing, we have been developing a clear quantitative thrombogenesis model
based on shear stress and blood coagulability. Bovine blood was used as the test
medium. The activating clotting time (ACT) was adjusted with trisodium citrate
and calcium chloride from 200 to 1,000 seconds. The blood was then applied to a
rheometer and subjected to shear at 50 to 2,880 s-1. Blood coagulation time and
degree of thrombogenesis were measured by the torque sensor of the rheometer.
Prothrombin time (PT) and activated partial thromboplastin time (APTT) of the
test blood were also measured after the application of shear. Blood coagulation
time increased, and the degree of thrombogenesis decreased, with increases in
shear rate to between 50 and 2,880 s-1. for test bloods with ACTs of 200 to 250
seconds. An ACT of 200 to 250 seconds is thus appropriate for in vitro
antithrombogenic testing under a shear rate of 2,880 s-1. APTT was prolonged,
whereas PT did not change, with increasing shear rate: that is, increasing the
shear rate reduced thrombogenesis related to the intrinsic clotting pathway. An
ACT of 200 to 250 seconds was suitable for in vitro antithrombogenic testing, and
increasing the shear stress generated in the mechanical assist device reduced
thrombogenesis via the intrinsic clotting pathway.
PMID- 27199134
TI - Sarcomere neutralization in inherited cardiomyopathy: small-molecule proof-of
concept to correct hyper-Ca2+-sensitive myofilaments.
AB - The sarcomere is the functional unit of the heart. Alterations in sarcomere
activation lead to disease states such as hypertrophic and restrictive
cardiomyopathy (HCM/RCM). Mutations in many of the sarcomeric genes are causal
for HCM/RCM. In most cases, these mutations result in increased Ca(2+)
sensitivity of the sarcomere, giving rise to altered systolic and diastolic
function. There is emerging evidence that small-molecule sarcomere neutralization
is a potential therapeutic strategy for HCM/RCM. To pursue proof-of-concept, W7
was used here because of its well-known Ca(2+) desensitizer biochemical effects
at the level of cardiac troponin C. Acute treatment of adult cardiac myocytes
with W7 caused a dose-dependent (1-10 MUM) decrease in contractility in a Ca(2+)
independent manner. Alkalosis was used as an in vitro experimental model of
acquired heightened Ca(2+) sensitivity, resulting in increased live cell
contractility and decreased baseline sarcomere length, which were rapidly
corrected with W7. As an inherited cardiomyopathy model, R193H cardiac troponin I
(cTnI) transgenic myocytes showed significant decreased baseline sarcomere length
and slowed relaxation that were rapidly and dose-dependently corrected by W7.
Langendorff whole heart pacing stress showed that R193H cTnI transgenic hearts
had elevated end-diastolic pressures at all pacing frequencies compared with
hearts from nontransgenic mice. Acute treatment with W7 rapidly restored end
diastolic pressures to normal values in R193H cTnI hearts, supporting a sarcomere
intrinsic mechanism of dysfunction. The known off-target effects of W7
notwithstanding, these results provide further proof-of-concept that small
molecule-based sarcomere neutralization is a potential approach to remediate
hyper-Ca(2+)-sensitive sarcomere function.
PMID- 27199136
TI - The design of a modular voluntary opening prosthetic hand: ongoing research and
preliminary results.
AB - INTRODUCTION: This work presents the mechanical design of an artificial hand in
order to address the need for a commercially feasible prosthetic hand. METHODS:
The hand has 3 compliant fingers and a thumb attached to a reconfigurable palm.
The fingers and palm are a novel design, which is based on the natural arches of
the hand. The design is modular, minimizing the number of parts and reducing
assembly time. RESULTS: This hand and the expandable socket are designed for
transradial amputees. It has 9 degrees of freedom (DOFs), 2 of them for the
reconfigurable palm. The kinematics and adequate excursion for the fingers and
palm are illustrated by finite element method (FEM). CONCLUSIONS: The study
implements the mechanical parts by using rapid prototyping to assess preliminary
results.
PMID- 27199138
TI - About a painful wrist after a forced dorsiflexion trauma.
PMID- 27199140
TI - BET 1: Give prehospital blood and save a life?
AB - A short cut review was carried out to establish whether prehospital blood
transfusion in the trauma patient with active haemorrhage can reduce mortality.
11 directly relevant papers were found using the reported search strategy. Of
these two presented the best evidence to answer the clinical question. The
author, date and country of publication, patient group studied, study type,
relevant outcomes, results and study weaknesses of these best papers are
tabulated. It is concluded that prehospital blood transfusion may reduce short
term mortality in these patients, but that the evidence level is low and further
definitive randomised controlled trials are needed to prove benefit.
PMID- 27199139
TI - A woman with painful lesions of the legs.
PMID- 27199142
TI - BET 2: Should real resuscitationists use airway checklists?
AB - A short cut review was carried out to establish whether the use of preprocedural
checklists prior to intubation of critically ill patients outside a theatre
environment can reduce the incidence of adverse events. Four directly relevant
papers were found using the reported search strategy and presented the best
evidence to answer the clinical question. The author, date and country of
publication, patient group studied, study type, relevant outcomes, results and
study weaknesses of these papers are tabulated. It is concluded that
preprocedural checklists may reduce adverse events in these patients, but that
the evidence level is low and further matched cohort studies are needed to prove
effectiveness.
PMID- 27199143
TI - Novosphingobium naphthae sp. nov., from oil-contaminated soil.
AB - During the study of hydrocarbon-degrading bacteria in the oil-contaminated soil
of Gunsan, North Jeolla Province, South Korea, a light-grey-pigmented, Gram
staining-negative, aerobic, non-motile and rod-shaped bacterium, designated
strain D39T, was isolated. This strain was non-sporulating, catalase-negative and
oxidase-positive. It was able to grow at 12-42 degrees C, pH 5.5-8.5 and with 0
1 % (w/v) NaCl. This strain was characterized taxonomically by a polyphasic
approach. Based on the results of 16S rRNA gene sequence analysis, strain D39T
belongs to the genus Novosphingobium and is closely related to 'Novosphingobium
ginsenosidimutans' FW-6 (97.30 % sequence similarity), Novosphingobium mathurense
SM117T (97.17 % sequence similarity) and Novosphingobium aquiterrae E-II-3T
(97.01 % sequence similarity). The only respiratory quinone was ubiquinone-10 and
the major polyamine was spermidine. The polar lipid profile revealed the presence
of phosphatidylglycerol, diphosphatidylglycerol, phosphatidylethanolamine,
phosphatidylcholine, sphingoglycolipid and phosphatidyl-N-methylethanolamine. The
predominant fatty acids of strain D39T were summed feature 8 (C18 : 1omega7c
and/or C18 : 1omega6c), summed feature 3 (C16 : 1omega7c and/or C16 : 1omega6c),
C17 : 1omega6c and C14 : 0 2-OH. The genomic DNA G+C content of this novel strain
was 66.7 mol%. The DNA-DNA relatedness between strain D39T and 'N.
ginsenosidimutans' KACC 16615, N. mathurense KACC 14598T, N. aquiterrae KACC
17599T and Novosphingobium kunmingense DSM 25975T were 33.7 %, 29.0 %, 22.3 % and
18.3 %, respectively. The morphological, physiological, chemotaxonomic and
phylogenetic analyses clearly distinguished this strain from its closest
phylogenetic neighbours. Thus, strain D39T represents a novel species of the
genus Novosphingobium, for which the name Novosphingobium naphthae sp. nov. is
proposed. The type strain is D39T (=KEMB 9005-346T=KACC 18593T=JCM 31158T).
PMID- 27199145
TI - Selection of asset investment models by hospitals: examination of influencing
factors, using Switzerland as an example.
AB - Hospitals are responsible for a remarkable part of the annual increase in
healthcare expenditure. This article examines one of the major cost drivers, the
expenditure for investment in hospital assets. The study, conducted in
Switzerland, identifies factors that influence hospitals' investment decisions. A
suggestion on how to categorize asset investment models is presented based on the
life cycle of an asset, and its influencing factors defined based on transaction
cost economics. The influence of five factors (human asset specificity, physical
asset specificity, uncertainty, bargaining power, and privacy of ownership) on
the selection of an asset investment model is examined using a two-step fuzzy-set
Qualitative Comparative Analysis. The research shows that outsourcing-oriented
asset investment models are particularly favored in the presence of two
combinations of influencing factors: First, if technological uncertainty is high
and both human asset specificity and bargaining power of a hospital are low.
Second, if assets are very specific, technological uncertainty is high and there
is a private hospital with low bargaining power, outsourcing-oriented asset
investment models are favored too. Using Qualitative Comparative Analysis, it can
be demonstrated that investment decisions of hospitals do not depend on isolated
influencing factors but on a combination of factors. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27199146
TI - Graphitic Carbon Nitride (g-C3N4)-Based Photocatalysts for Artificial
Photosynthesis and Environmental Remediation: Are We a Step Closer To Achieving
Sustainability?
AB - As a fascinating conjugated polymer, graphitic carbon nitride (g-C3N4) has become
a new research hotspot and drawn broad interdisciplinary attention as a metal
free and visible-light-responsive photocatalyst in the arena of solar energy
conversion and environmental remediation. This is due to its appealing electronic
band structure, high physicochemical stability, and "earth-abundant" nature. This
critical review summarizes a panorama of the latest progress related to the
design and construction of pristine g-C3N4 and g-C3N4-based nanocomposites,
including (1) nanoarchitecture design of bare g-C3N4, such as hard and soft
templating approaches, supramolecular preorganization assembly, exfoliation, and
template-free synthesis routes, (2) functionalization of g-C3N4 at an atomic
level (elemental doping) and molecular level (copolymerization), and (3)
modification of g-C3N4 with well-matched energy levels of another semiconductor
or a metal as a cocatalyst to form heterojunction nanostructures. The
construction and characteristics of each classification of the heterojunction
system will be critically reviewed, namely metal-g-C3N4, semiconductor-g-C3N4,
isotype g-C3N4/g-C3N4, graphitic carbon-g-C3N4, conducting polymer-g-C3N4,
sensitizer-g-C3N4, and multicomponent heterojunctions. The band structures,
electronic properties, optical absorption, and interfacial charge transfer of g
C3N4-based heterostructured nanohybrids will also be theoretically discussed
based on the first-principles density functional theory (DFT) calculations to
provide insightful outlooks on the charge carrier dynamics. Apart from that, the
advancement of the versatile photoredox applications toward artificial
photosynthesis (water splitting and photofixation of CO2), environmental
decontamination, and bacteria disinfection will be presented in detail. Last but
not least, this comprehensive review will conclude with a summary and some
invigorating perspectives on the challenges and future directions at the
forefront of this research platform. It is anticipated that this review can
stimulate a new research doorway to facilitate the next generation of g-C3N4
based photocatalysts with ameliorated performances by harnessing the outstanding
structural, electronic, and optical properties for the development of a
sustainable future without environmental detriment.
PMID- 27199147
TI - Systematically Improving Tobacco Cessation Patient Services Through Electronic
Medical Record Integration.
AB - The Health Information Act of 2010 has presented an opportunity to discuss,
establish, and promote innovative ways to incorporate tobacco cessation
assistance to patients in the health care setting. This article provides an
overview of the development and implementation of an electronic tobacco cessation
protocol (the eTobacco protocol), into an electronic medical record (EMR) system,
while evaluating the barriers and benefits encountered. The protocol was
developed to facilitate the process of electronically referring patients to a
state-funded quitline service by establishing a one-click connect for providers
to use within the EMR system. While evaluating the implementation of the
protocol, findings indicate that several barriers were encountered including
disruption of clinic workflow, EMR limitations, and training complications. In
spite of the barriers, the protocol shows promising results by increasing
referrals to the quitline from 7 patients the year prior to implementation to
1,254 patients after the implementation of the electronic solution. Health care
systems that have the ability to modify their EMR system can help lower tobacco
use rates among their patients while meeting Meaningful Use requirements. Future
research should examine if referrals through the eTobacco protocol are directly
associated with patients' tobacco cessation rates.
PMID- 27199144
TI - Transintestinal transport of the anti-inflammatory drug 4F and the modulation of
transintestinal cholesterol efflux.
AB - The site and mechanism of action of the apoA-I mimetic peptide 4F are
incompletely understood. Transintestinal cholesterol efflux (TICE) is a process
involved in the clearance of excess cholesterol from the body. While TICE is
responsible for at least 30% of the clearance of neutral sterols from the
circulation into the intestinal lumen, few pharmacological agents have been
identified that modulate this pathway. We show first that circulating 4F
selectively targets the small intestine (SI) and that it is predominantly
transported into the intestinal lumen. This transport of 4F into the SI lumen is
transintestinal in nature, and it is modulated by TICE. We also show that
circulating 4F increases reverse cholesterol transport from macrophages and
cholesterol efflux from lipoproteins via the TICE pathway. We identify the cause
of this modulation of TICE either as 4F being a cholesterol acceptor with respect
to enterocytes, from which 4F enhances cholesterol efflux, or as 4F being an
intestinal chaperone with respect to TICE. Our results assign a novel role for 4F
as a modulator of the TICE pathway and suggest that the anti-inflammatory
functions of 4F may be a partial consequence of the codependent intestinal
transport of both 4F and cholesterol.
PMID- 27199148
TI - A Public Policy Advocacy Project to Promote Food Security: Exploring
Stakeholders' Experiences.
AB - To achieve food security in Canada, comprehensive approaches are required, which
involve action at the public policy level. This qualitative study explored the
experiences of 14 stakeholders engaging in a 9-month participatory public policy
advocacy project to promote community food security in the province of Alberta
through the initiation of a campaign to develop a Universal School Food Strategy.
Through this exploration, four main themes were identified; a positive and open
space to contribute ideas, diversity and common ground, confidence and capacity,
and uncertainty. Findings from this study suggest that the participatory advocacy
project provided a positive and open space for stakeholders to contribute ideas,
through which the group was able to narrow its focus and establish a goal for
advocacy. The project also seems to have contributed to the group's confidence
and capacity to engage in advocacy by creating a space for learning and knowledge
sharing, though stakeholders expressed uncertainty regarding some aspects of the
project. Findings from this study support the use of participatory approaches as
a strategy for facilitating engagement in public policy advocacy and provide
insight into one group's advocacy experience, which may help to inform community
based researchers and advocates in the development of advocacy initiatives to
promote community food security elsewhere.
PMID- 27199149
TI - Impact of a Community-Based Physical Activity Program on Fitness and Adiposity
Among Overweight and Obese Children.
AB - This study tested whether overweight/obese children's attendance in a community
based physical activity (PA) program was associated with changes in
cardiorespiratory fitness (CRF) and adiposity and whether in-program activity
levels influenced those associations. Program sessions (offered twice/week, 2
hours/session, over 9 months) included structured exercise/sports. At baseline
and follow-up, CRF was measured as Progressive Aerobic Cardiorespiratory
Endurance Run (PACER) laps, height/weight were measured, and body mass index
(BMI) was calculated. Attendance was recorded as sessions attended. Children wore
pedometers in 10 representative sessions; in-program activity was calculated as
mean steps/minute across sessions. Linear mixed models tested associations
between attendance and changes in PACER score and BMI and the influence of in
program activity on those associations. A total of 101 participants (56% male,
93% Hispanic) completed baseline and one or two follow-up fitness/adiposity
measurements. Attendance was associated with PACER change (beta = .093, p = .01)
but not BMI change (beta = .00026, p = .97). There were significant interactions
between attendance and in-program activity: Attendance more favorably affected
PACER (p < .0001) and BMI (p = .03) as in-program activity levels increased.
Attending community-based PA programs may improve CRF among overweight/obese
children, particularly when participants are highly active during program time.
Community practitioners should not only enroll overweight/obese children in PA
programs but also promote adequate attendance/in-program activity levels.
PMID- 27199151
TI - Exploring Instructional Strategies and Learning Theoretical Foundations of
eHealth and mHealth Education Interventions.
AB - This qualitative study aimed at exploring how health professionals use theories
and models from the field of education to create ehealth and mhealth education
interventions in an effort to provide insights for future research and practice
on the development and implementation of health promotion initiatives. A
purposeful sample of 12 participants was selected, using criterion and
snowballing sampling strategies. Data were collected and analyzed from
semistructured interviews, planning materials, and artifacts. The findings
revealed that none of the participants used a specific learning theory or an
instructional model in their interventions. However, based on participants'
description, three themes emerged: (1) connections to behaviorist approaches to
learning, (2) connections to cognitivist approaches to learning, and (3)
connections to constructivist approaches to learning. Suggested implications for
practice are (1) the design of a guidebook on the interplay of learning theories,
instructional models, and health education and (2) the establishment of
communities of practice. Further research can (1) investigate how learning
theories and models intertwine with health behavior theories and models, (2)
evaluate how the different instructional strategies presented in this study
affect learning outcomes and health behavior change processes, and (3)
investigate factors behind the instructional strategies choices made by health
professionals.
PMID- 27199150
TI - Motivational Interviewing in an Obesity Prevention Program for Children.
AB - After-school programs are an ideal setting for childhood obesity prevention
interventions. This qualitative study examined the implementation of a training
technique in the Children's Healthy Eating and Exercise Program: motivational
interviewing. Participants included 19 children in Grades 3 through 5, nine
coaches enrolled in university health education classes, and four parents. Nine
lessons were presented during the fall session (N = 5) and eight during the
spring (N = 14), with five individual coaching sessions per child. From
September, 2014 through April 2015, child and coach perceptions were assessed
using goal sheets, surveys, a focus group, and the analysis of the video
recording of a health habit commercial created by teams of children grouped by
gender. Children developed weekly eating and exercise goals with coaches and
reported on their progress the following week. Following the intervention,
children reported improved eating and exercise habits and coaches reported they
learned more about healthy food options for themselves. Overall, children
responded positively to the motivational interviewing. Involving teachers may
allow for dissemination of lessons and reinforcement for healthy choices during
the school day. Involving parents in training may remove roadblocks to healthy
lifestyle changes for children for nonschool hours and when packing lunches.
PMID- 27199152
TI - Libby Wilson.
PMID- 27199153
TI - Modeling Psychologists' Ethical Intention: Application of an Expanded Theory of
Planned Behavior.
AB - At the core of all therapeutic and medical practice lies ethics. By applying an
expanded Ajzen's Theory of Planned Behavior formulation, the present
investigation tested a model for explaining psychologists' intention to behave
ethically. In the pretest, dual relationships and money conflicts were seen as
the most prevalent dilemmas. A total of 395 clinical psychologists filled out
questionnaires containing either a dual relationship dilemma describing a
scenario where a psychologist was asked to treat a son of a colleague or a money
focused dilemma where he or she was asked to treat a patient unable to pay for
the service. Results obtained from applying the expanded Ajzen's model to each
dilemma, generally, supported the study hypotheses. In particular, attitudes were
seen as the most important predictor in both dilemmas followed by a morality
component, defined here as the commitment of the psychologist to the patient
included here as an additional predictor in the model. The expanded model
provided a better understanding of ethical intention. Practical implications were
also discussed.
PMID- 27199154
TI - Emotional Resilience Mediates the Relationship Between Mindfulness and Emotion.
AB - Previous studies have shown that mindfulness promotes positive mood states and
reduces negative ones; however, the underlying mechanisms are still
controversial. This study assessed the role of emotional resilience as a mediator
between mindfulness and emotional regulation. A total of 421 college students (M
age = 20.0 year, SD = 2.0; males/females/missing are 152/248/4) completed the
Five-Facet Mindfulness Questionnaire, Profile of Mood States, and Adolescents'
Emotional Resilience Questionnaire (AERQ). The ability to generate positive
emotion (GP) and the ability to recover from negative emotion (RN) are two
subscales of the AERQ. A Structural Equation Modeling analysis indicated that
emotional resilience mediated the connection between mindfulness and emotion.
Specifically, GP mediated the relationship between mindfulness and both positive
and negative emotions while RN mainly mediated the relationship between
mindfulness and negative emotions. These findings suggest that mindfulness may
play a role in regulating positive and negative emotions through the two
different aspects of emotional resilience.
PMID- 27199155
TI - Nanotechnology: 21st century revolution in restorative healthcare.
PMID- 27199156
TI - Nurses Teaching Prison Officers: A Workshop to Reduce the Stigmatization of
Prison Inmates With Mental Illness.
AB - PURPOSE: This report describes and evaluates the effectiveness of a nurse-led
workshop designed to improve correctional officers' stigmatizing attitude toward
inmates with mental illness. DESIGN AND METHODS: Eighty-three prison officers
attended a 6-day workshop targeting the cognitive, psychoeducational, and
behavioral components of publicly expressed stigma, and combining theoretical
learning, observational experience on psychiatric wards, frontal lectures, case
reviews, discussions, peer supervision, and simulations. The workshop's impact
was measured systematically using a structured self-administered questionnaire
examining cognitive, affective, and behavioral components of stigmatization.
FINDINGS: The workshop significantly increased perceived knowledge and decreased
stigmatizing attitudes. IMPLICATIONS FOR NURSING PRACTICE: The evaluation
presented here demonstrates a less-known aspect of the possible contribution of
expert psychiatric nurses to mental health education in a wider context.
PMID- 27199157
TI - Lumen-apposing metal stents for drainage of pancreatic fluid collections: When
and for whom?
AB - BACKGROUND AND AIM: Although lumen-apposing metal stents (LAMS) are increasingly
being used for drainage of pancreatic fluid collections (PFC), their advantage
over plastic stents is unclear. METHODS: In this retrospective case-control
study, 20 patients who underwent PFC drainage using LAMS were matched with 40
patients treated with plastic stents according to PFC type (walled-off necrosis
[WON] vs pseudocyst) and procedural technique (conventional vs multi-gate). Main
outcome measures were treatment success, reintervention, clinical and stent
related adverse events, procedural duration, length of hospital stay (LOS) and
hospital costs. RESULTS: At median follow up of 570 days, except for median
procedural duration (8.5 vs 25 min, P < 0.001), there was no significant
difference in treatment success (95.0 vs 92.5%, P = 0.99), reintervention (25.0
vs 30.0 %, P = 0.77), clinical (10.0 vs 12.5 %, P = 0.99) and stent-related
adverse events (10.0 vs 2.5 %, P = 0.26) or median LOS (2 [IQR 1-5] vs 2 [IQR 1
7] days, P = 0.58) between patients treated with LAMS versus plastic stents.
Although there was no difference for WON ($16 708 for LAMS vs $17 221 for plastic
stents, P = 0.90), mean hospital costs were significantly lower for pseudocysts
using plastic stents ($18 996 vs $58 649, P = 0.03). CONCLUSIONS: Although there
is no difference in clinical outcomes, treating pseudocysts using plastic stents
is less expensive. It is also possible that the short procedural duration is a
surrogate marker for procedural complexity and this may drive the use of LAMS in
sicker patients.
PMID- 27199159
TI - Cytopathology of Hepatobiliary-Related Actinomycosis.
AB - BACKGROUND: The clinical diagnosis of hepatobiliary-related actinomycosis can be
challenging owing to its rarity and variable presentation. Moreover,
actinomycotic pseudotumors may mimic malignancy and result in unnecessary
surgical resection. Herein, we present the clinical and cytopathological features
of 3 cases with hepatobiliary-related actinomycosis. CASES: The first patient was
a 73-year-old man who presented with an exophytic liver lesion. The second
patient was a 78-year-old woman who presented with a biliary stricture. The third
patient was an 88-year-old woman with a right flank mass extending to the liver.
The aspirate smears in these 3 cases demonstrated 'cotton ball' clusters of
filamentous microorganisms and abscesses. The cell blocks of 2 of the patients
showed sulfur granules with peripheral filamentous microorganisms positive with a
Gram stain but negative with an acid fast stain, consistent with Actinomyces
species. All patients were elderly and shared a past surgical history of
laparoscopic cholecystectomy. CONCLUSION: These cases demonstrate the
complementary role of cytology in the diagnosis of hepatobiliary actinomycosis. A
cytologic diagnosis of actinomycosis is minimally invasive and quick. It can
prompt proper culture medium selection and avoid unnecessary or extensive
surgery. Based on our experience, laparoscopic cholecystectomy may be a
precipitating factor for the subsequent development of hepatobiliary-related
actinomycosis.
PMID- 27199158
TI - Effect of two bakery products on short-term food intake and gut-hormones in young
adults: a pilot study.
AB - The aim of this study is to compare the effect of conventional bread and a whole
grain bread on appetite and energy intake, satiety and satiety gut-hormones. A
randomized controlled crossover pilot study was carried out in 11 university
students (age: 18.7 +/- 0.9 years; body mass index: 22.7 +/- 2.7 kg/m(2)).
Participants consumed two different mid-morning cereal-based snacks, including a
conventional or whole grain bread. Two testing days were completed, including
satiety questionnaires, blood sampling and consumption of standardized breakfast,
mid-morning test-snacks and ad libitum lunch. Several gut-hormones were analysed
and satiation was assessed using Visual Analogue Scale scores. The consumption of
whole grain bread increased satiety perception, decreased the remained energy
intake during the testing day, and decreased the postprandial response of peptide
YY, compared with conventional bread (p < 0.005). These data suggest that the
consumption of whole grain bread might be a useful strategy to improve satiety.
PMID- 27199160
TI - Hierarchically Mesoporous o-Hydroxyazobenzene Polymers: Synthesis and Their
Applications in CO2 Capture and Conversion.
AB - The synthesis of hierarchically mesoporous polymers with multiple functionalities
is challenging. Herein we reported a template-free strategy for synthesis of
phenolic azo-polymers with hierarchical porous structures based on diazo-coupling
reaction in aqueous solution under mild conditions. The resultant polymers have
surface areas up to 593 m(2) g(-1) with the mesopore ratio of >80 %, and a good
ability to complex with metal ions, such as Cu(2+) , Zn(2+) ,Ni(2+) , achieving a
metal loading up to 26.24 wt %. Moreover, the polymers complexed with Zn showed
excellent performance for catalyzing the reaction of CO2 with epoxide, affording
a TOF of 2570 h(-1) in the presence of tetrabutyl ammonium bromide (7.2 mol %).
The polymer complexed with Cu could catalyze the oxidation of alcohol with high
efficiency.
PMID- 27199161
TI - The Effect of Specimen Preparation on Post-Excision and Post-Fixation Dimensions,
Translation, and Distortion of Canine Cadaver Skin-Muscle-Fascia Specimens.
AB - OBJECTIVE: To assess the effect of various tissue apposition techniques on
specimens after formalin fixation, and to assess canine cadaveric composite skin
muscle-fascia specimen changes after excision without and with addition of a
tumor model. STUDY DESIGN: Canine cadaveric study; unbalanced paired design.
ANIMALS: Fresh canine cadavers (n = 30) METHODS: Experiment 1: Paired 7 cm
diameter, circular skin-muscle-fascia specimens were harvested bilaterally from
three sites (thorax, abdomen, and thigh) on 10 cadavers. For each pair, the skin
to-fascia was sutured with a circumferential continuous pattern in one specimen
and left unsutured in the other during excision. Specimen length and width were
measured post-excision and post-fixation. Specimen rotation, translation, and
distortion was measured post-fixation. Experiment 2: A subcutaneous tumor model
was implanted at 2 sites (neck, thorax) on 20 cadavers and specimens were then
excised with 2 cm lateral margins and a deep fascial plane. Three suturing
techniques of the skin-to-fascia at both sites were performed during excision:
unsutured versus circumferential continuous suture (10 pairs) or circumferential
versus 4 interrupted quadrant sutures (10 pairs). Lateral margins were compared
post-excision and post-fixation. RESULTS: Experiment 1: There was significant
reduction in the length and width of the fascia and skin post-excision, but no
further reduction after fixation. The circumferential suture decreased rotation
and translation of the tissue layers but did not significantly affect specimen
shrinkage or distortion compared with the unsutured specimen. Experiment 2: All 4
lateral margins decreased significantly post-excision and post-fixation compared
with the planned pre-excision margins. No difference was found between any of the
4 lateral margins for any skin-to-fascia suture technique. CONCLUSION: Canine
skin-muscle-fascia specimens significantly decrease in size from gross pre
excision dimensions after removal. Suturing the specimen during surgery before
excision will significantly improve alignment of tissue planes without creating
distortion of the sample, but may alter the length, width, and lateral margins of
the specimen.
PMID- 27199163
TI - High-resolution Imaging of pH in Alkaline Sediments and Water Based on a New
Rapid Response Fluorescent Planar Optode.
AB - A new dual-lumophore optical sensor combined with a robust RGB referencing method
was developed for two-dimensional (2D) pH imaging in alkaline sediments and
water. The pH sensor film consisted of a proton-permeable polymer (PVC) in which
two dyes with different pH sensitivities and emission colors: (1) chloro phenyl
imino propenyl aniline (CPIPA) and (2) the coumarin dye Macrolex((r))
fluorescence yellow 10 GN (MFY-10 GN) were entrapped. Calibration experiments
revealed the typical sigmoid function and temperature dependencies. This sensor
featured high sensitivity and fast response over the alkaline working ranges from
pH 7.5 to pH 10.5. Cross-sensitivity towards ionic strength (IS) was found to be
negligible for freshwater when IS <0.1 M. The sensor had a spatial resolution of
approximately 22 MUm and aresponse time of <120 s when going from pH 7.0 to 9.0.
The feasibility of the sensor was demonstrated using the pH microelectrode. An
example of pH image obtained in the natrual freshwater sediment and water
associated with the photosynthesis of Vallisneria spiral species was also
presented, suggesting that the sensor held great promise for the field
applications.
PMID- 27199164
TI - The Effects of Tumstatin on Vascularity, Airway Inflammation and Lung Function in
an Experimental Sheep Model of Chronic Asthma.
AB - Tumstatin, a protein fragment of the alpha-3 chain of Collagen IV, is known to be
significantly reduced in the airways of asthmatics. Further, there is evidence
that suggests a link between the relatively low level of tumstatin and the
induction of angiogenesis and inflammation in allergic airway disease. Here, we
show that the intra-segmental administration of tumstatin can impede the
development of vascular remodelling and allergic inflammatory responses that are
induced in a segmental challenge model of experimental asthma in sheep. In
particular, the administration of tumstatin to lung segments chronically exposed
to house dust mite (HDM) resulted in a significant reduction of airway small
blood vessels in the diameter range 10(+)-20 MUm compared to controls. In
tumstatin treated lung segments after HDM challenge, the number of eosinophils
was significantly reduced in parenchymal and airway wall tissues, as well as in
the bronchoalveolar lavage fluid. The expression of VEGF in airway smooth muscle
was also significantly reduced in tumstatin-treated segments compared to control
saline-treated segments. Allergic lung function responses were not attenuated by
tumstatin administration in this model. The data are consistent with the concept
that tumstatin can act to suppress vascular remodelling and inflammation in
allergic airway disease.
PMID- 27199162
TI - Microglia-derived purines modulate mossy fibre synaptic transmission and
plasticity through P2X4 and A1 receptors.
AB - Recent data have provided evidence that microglia, the brain-resident macrophage
like cells, modulate neuronal activity in both physiological and
pathophysiological conditions, and microglia are therefore now recognized as
synaptic partners. Among different neuromodulators, purines, which are produced
and released by microglia, have emerged as promising candidates to mediate
interactions between microglia and synapses. The cellular effects of purines are
mediated through a large family of receptors for adenosine and for ATP (P2
receptors). These receptors are present at brain synapses, but it is unknown
whether they can respond to microglia-derived purines to modulate synaptic
transmission and plasticity. Here, we used a simple model of adding immune
challenged microglia to mouse hippocampal slices to investigate their impact on
synaptic transmission and plasticity at hippocampal mossy fibre (MF) synapses
onto CA3 pyramidal neurons. MF-CA3 synapses show prominent forms of presynaptic
plasticity that are involved in the encoding and retrieval of memory. We
demonstrate that microglia-derived ATP differentially modulates synaptic
transmission and short-term plasticity at MF-CA3 synapses by acting,
respectively, on presynaptic P2X4 receptors and on adenosine A1 receptors after
conversion of extracellular ATP to adenosine. We also report that P2X4 receptors
are densely located in the mossy fibre tract in the dentate gyrus-CA3 circuitry.
In conclusion, this study reveals an interplay between microglia-derived purines
and MF-CA3 synapses, and highlights microglia as potent modulators of presynaptic
plasticity.
PMID- 27199165
TI - Multifunctional hydrogel nano-probes for atomic force microscopy.
AB - Since the invention of the atomic force microscope (AFM) three decades ago, there
have been numerous advances in its measurement capabilities. Curiously,
throughout these developments, the fundamental nature of the force-sensing probe
the key actuating element-has remained largely unchanged. It is produced by long
established microfabrication etching strategies and typically composed of silicon
based materials. Here, we report a new class of photopolymerizable hydrogel nano
probes that are produced by bottom-up fabrication with compressible replica
moulding. The hydrogel probes demonstrate excellent capabilities for AFM imaging
and force measurement applications while enabling programmable, multifunctional
capabilities based on compositionally adjustable mechanical properties and facile
encapsulation of various nanomaterials. Taken together, the simple, fast and
affordable manufacturing route and multifunctional capabilities of hydrogel AFM
nano-probes highlight the potential of soft matter mechanical transducers in
nanotechnology applications. The fabrication scheme can also be readily utilized
to prepare hydrogel cantilevers, including in parallel arrays, for nanomechanical
sensor devices.
PMID- 27199167
TI - Child diarrhoea and nutritional status in rural Rwanda: a cross-sectional study
to explore contributing environmental and demographic factors.
AB - OBJECTIVE: To explore associations of environmental and demographic factors with
diarrhoea and nutritional status among children in Rusizi district, Rwanda.
METHODS: We obtained cross-sectional data from 8847 households in May-August 2013
from a baseline survey conducted for an evaluation of an integrated health
intervention. We collected data on diarrhoea, water quality, and environmental
and demographic factors from households with children <5, and anthropometry from
children <2. We conducted log-binomial regression using diarrhoea, stunting and
wasting as dependent variables. RESULTS: Among children <5, 8.7% reported
diarrhoea in the previous 7 days. Among children <2, stunting prevalence was
34.9% and wasting prevalence was 2.1%. Drinking water treatment (any method) was
inversely associated with caregiver-reported diarrhoea in the previous 7 days (PR
= 0.79, 95% CI: 0.68-0.91). Improved source of drinking water (PR = 0.80, 95% CI:
0.73-0.87), appropriate treatment of drinking water (PR = 0.88, 95% CI: 0.80
0.96), improved sanitation facility (PR = 0.90, 95% CI: 0.82-0.97), and complete
structure (having walls, floor and roof) of the sanitation facility (PR = 0.65,
95% CI: 0.50-0.84) were inversely associated with stunting. None of the exposure
variables were associated with wasting. A microbiological indicator of water
quality was not associated with diarrhoea or stunting. CONCLUSIONS: Our findings
suggest that in Rusizi district, appropriate treatment of drinking water may be
an important factor in diarrhoea in children <5, while improved source and
appropriate treatment of drinking water as well as improved type and structure of
sanitation facility may be important for linear growth in children <2. We did not
detect an association with water quality.
PMID- 27199168
TI - Molecular dynamics of anhydrous glycolipid self-assembly in lamellar and
hexagonal phases.
AB - The molecular dynamics of a synthetic branched chain glycolipid, 2-decyl
tetradecyl-beta-d-maltoside (C14-10G2), in the dry assemblage of smectic and
columnar liquid crystal phases has been studied by dielectric spectroscopy as a
function of frequency and temperature during the cooling process. Strong
relaxation modes were observed corresponding to the tilted smectic and columnar
phases, respectively. At low frequency (~900 Hz to 1 kHz) in the smectic phase,
Process I* was observed due to the tilted sugar bilayer structure. The process
continued in the columnar phase (Process I) with an abrupt dynamic change due to
phase transition in the frequency range of ~1.3 kHz to 22 kHz. An additional
process (Process II) was observed in the columnar phase with a broader relaxation
in the frequency range of ~10 Hz to 1 kHz. A bias field dependence study was
performed in the columnar phase and we found that the relaxation strength rapidly
decreased with increased applied dc bias field. This relaxation originates from a
collective motion of polar groups within the columns. The results of dielectric
spectroscopy were supported by a molecular dynamics simulation study to identify
the origin of the relaxation processes, which could be related to the chirality
and hydrogen bonds of the sugar lipid.
PMID- 27199169
TI - Legionnaires' disease caused by Legionella longbeachae: Clinical features and
outcomes of 107 cases from an endemic area.
AB - BACKGROUND AND OBJECTIVE: Legionella longbeachae is a predominant cause of
Legionnaires' disease in some parts of the world, particularly in Australasia.
Clinical reports of L. longbeachae infection are limited to case reports or small
case series, and culture-confirmed cases. METHODS: We reviewed the clinical
characteristics and outcomes of L. longbeachae pneumonia in a large case series
from Christchurch, New Zealand during a 4-year period when both PCR and cultures
were used as routine diagnostic tools for Legionnaires' disease. Cases of
Legionella pneumophila pneumonia were reviewed for comparison. RESULTS: A total
of 107 cases of L. longbeachae infection were identified by PCR and/or culture.
The median age was 65 years (range 25-90 years), 63% were male, and most became
unwell during spring or summer. Presenting clinical features were similar to
those reported for community-acquired pneumonia, with headache, myalgia and
diarrhoea being common. Elevated C-reactive protein, hyponatraemia and abnormal
liver function tests were also common. History of productive cough, involvement
of both lungs, and high bacterial load were independently associated with culture
of Legionella from lower respiratory samples. One quarter required intensive care
unit admission, and 5% died. Among patients given antimicrobial therapy before
admission, those given agents without anti-Legionella activity were more likely
to be admitted to the intensive care unit. Limited comparisons were made with the
19 L. pneumophila cases over the same time period. CONCLUSION: Characteristics of
L. longbeachae pneumonia are broadly similar to those reported for community
acquired pneumonia from a variety of other populations, except for the
spring/summer seasonality.
PMID- 27199166
TI - Skeletal muscle fiber type: using insights from muscle developmental biology to
dissect targets for susceptibility and resistance to muscle disease.
AB - Skeletal muscle fibers are classified into fiber types, in particular, slow
twitch versus fast twitch. Muscle fiber types are generally defined by the
particular myosin heavy chain isoforms that they express, but many other
components contribute to a fiber's physiological characteristics. Skeletal muscle
fiber type can have a profound impact on muscle diseases, including certain
muscular dystrophies and sarcopenia, the aging-induced loss of muscle mass and
strength. These findings suggest that some muscle diseases may be treated by
shifting fiber type characteristics either from slow to fast, or fast to slow
phenotypes, depending on the disease. Recent studies have begun to address which
components of muscle fiber types mediate their susceptibility or resistance to
muscle disease. However, for many diseases it remains largely unclear why certain
fiber types are affected. A substantial body of work has revealed molecular
pathways that regulate muscle fiber type plasticity and early developmental
muscle fiber identity. For instance, recent studies have revealed many factors
that regulate muscle fiber type through modulating the activity of the muscle
regulatory transcription factor MYOD1. Future studies of muscle fiber type
development in animal models will continue to enhance our understanding of
factors and pathways that may provide therapeutic targets to treat muscle
diseases. WIREs Dev Biol 2016, 5:518-534. doi: 10.1002/wdev.230 For further
resources related to this article, please visit the WIREs website.
PMID- 27199170
TI - Planning to make economic decisions in the future, but choosing impulsively now:
are preference reversals related to symptoms of ADHD and depression?
AB - A preference for smaller immediate rewards over larger delayed rewards (delay
discounting, DD) is common in attention deficit hyperactivity disorder (ADHD),
but rarely investigated in depression. Whether this preference is due to
sensitivity to reward immediacy or delay aversion remains unclear. To investigate
this, we examined whether ADHD and depressive symptoms are associated with
preference reversals: a switch from smaller immediate rewards to larger delayed
rewards when smaller rewards are also delayed. We also examined whether these
symptoms differentially affect DD of losses. In Study 1 undergraduates completed
a questionnaire about ADHD symptoms, and performed a hypothetical DD task. In the
NOW condition, participants were presented with choices between a small reward
available today and a large reward available after one year. In the FUTURE
condition both rewards were delayed with +1 year. In Study 2 undergraduates
completed questionnaires about ADHD and depressive symptoms and performed a DD
task with gains and losses. Participants showed preference reversals in both
studies and tasks. Losses were less steeply discounted than gains. ADHD and
depressive symptoms did not influence these effects. Depressive symptoms, but not
ADHD symptoms, were associated with less economic choices in general. These
findings suggest that impulsive choice in depression is not explained by
sensitivity to reward immediacy. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27199171
TI - "It's like a puzzle": Pregnant women's perceptions of professional support in
midwifery care.
AB - PROBLEM: Pregnant women are not always satisfied with the professional support
they receive during their midwifery care. More knowledge is needed to understand
what professional support pregnant women need for childbirth and parenting.
BACKGROUND: Childbearing and the transition to becoming a parent is a sensitive
period in one's life during which one should have the opportunity to receive
professional support. Professional support does not always correspond to pregnant
women's needs. To understand pregnant women's needs for professional support
within midwifery care, it is crucial to further illuminate women's experiences of
this support. AIM: To explore pregnant women's perceptions of professional
support in midwifery care. METHODS: A qualitative study using semi-structured
interviews. Fifteen women were interviewed during gestational weeks 36-38. Data
was analysed using phenomenography. FINDINGS: The women perceived professional
support in midwifery care to be reassuring and emotional, to consist of reliable
information, and to be mediated with pedagogical creativity. The professional
support facilitated new social contacts, partner involvement and contributed to
mental preparedness. The findings of the study were presented in six categories
and the category Professional support contributes to mental preparedness was
influenced by the five other categories. CONCLUSION: Pregnant women prepare for
childbirth and parenting by using several different types of professional support
in midwifery care: a strategy that could be described as piecing together a
puzzle. When the women put the puzzle together, each type of professional support
works as a valuable piece in the whole puzzle. Through this, professional support
could contribute to women's mental preparedness for childbirth and parenting.
PMID- 27199172
TI - An overview of the first 'no exit' midwifery group practice in a tertiary
maternity hospital in Western Australia: Outcomes, satisfaction and perceptions
of care.
AB - BACKGROUND: Midwifery group practice (MGP) is a care model offered by a primary
midwife in a small team. Evidence confirms MGP is acceptable to women, safe and
cost effective. METHODS: We aimed to provide a systematic overview of the first
'no exit' MGP in a Western Australian (WA) tertiary maternity hospital, using a
mixed methods approach, involving four phases. Between July 2013 and June 2014:
phase one assessed MGP characteristics, obstetric and neonatal outcomes by
parity; phase two examined women's satisfaction by mode of delivery; and phase
three qualitatively explored perceptions of care. Phase four compared the
proportion of MGP women and the 2012 WA birthing population. FINDINGS: Phase one
included 232 MGP women; 87% achieved a vaginal birth. Phase two included 97% (226
of 232) women, finding 98% would recommend the service. Phase three analysis of
62 interviews revealed an overarching theme 'Continuity with Midwives'
encompassing six sub-themes: only a phone call away; home away from home; knowing
me; a shared view; there for me; and letting it happen. Phase four compared the
MGP cohort to 33,393 WA women. Intrapartum MGP women were more likely than the WA
population to have a vaginal birth (87% vs 65%, P<=0.001) and intact perineum
(49% vs 36%, P<=0.001) and less likely to use epidural/spinal analgesia (34% vs
59%, P<=0.001), or have a caesarean (13% vs 35%, P<=0.001). CONCLUSIONS: Mixed
methods enabled systematic examination of this new 'no exit' MGP confirming
safety and acceptability. Findings contribute to our knowledge of MGP models.
PMID- 27199174
TI - Mandibular reconstruction with costochondral grafts in children: a case report.
PMID- 27199173
TI - A Small Molecule Inhibitor of PDK1/PLCgamma1 Interaction Blocks Breast and
Melanoma Cancer Cell Invasion.
AB - Strong evidence suggests that phospholipase Cgamma1 (PLCgamma1) is a suitable
target to counteract tumourigenesis and metastasis dissemination. We recently
identified a novel signalling pathway required for PLCgamma1 activation which
involves formation of a protein complex with 3-phosphoinositide-dependent protein
kinase 1 (PDK1). In an effort to define novel strategies to inhibit PLCgamma1
dependent signals we tested here whether a newly identified and highly specific
PDK1 inhibitor, 2-O-benzyl-myo-inositol 1,3,4,5,6-pentakisphosphate (2-O-Bn
InsP5), could affect PDK1/PLCgamma1 interaction and impair PLCgamma1-dependent
cellular functions in cancer cells. Here, we demonstrate that 2-O-Bn-InsP5
interacts specifically with the pleckstrin homology domain of PDK1 and impairs
formation of a PDK1/PLCgamma1 complex. 2-O-Bn-InsP5 is able to inhibit the
epidermal growth factor-induced PLCgamma1 phosphorylation and activity,
ultimately resulting in impaired cancer cell migration and invasion. Importantly,
we report that 2-O-Bn-InsP5 inhibits cancer cell dissemination in zebrafish
xenotransplants. This work demonstrates that the PDK1/PLCgamma1 complex is a
potential therapeutic target to prevent metastasis and it identifies 2-O-Bn-InsP5
as a leading compound for development of anti-metastatic drugs.
PMID- 27199175
TI - Accurate genial tubercle capturing method using computer-assisted virtual surgery
for genioglossus advancement.
PMID- 27199176
TI - Genetics: Defining driver mutations in the genomic landscape of breast cancer.
PMID- 27199177
TI - Gynaecological cancer: Chemoresistance - a little help from friends.
PMID- 27199178
TI - Health policy: Overcoming cost barriers to clinical trial participation.
PMID- 27199179
TI - The Intersession Process in Psychotherapy for Anorexia Nervosa: Characteristics
and Relation to Outcome.
AB - OBJECTIVE: The "inter session process" (ISP) is defined as therapy-related
conscious thoughts, memories, and emotions that patients and therapists
experience between psychotherapy sessions. It indicates how the participants
process and use treatment. The main aim of this study is to describe the ISP
characteristics of patients in outpatient treatment for anorexia nervosa (AN). An
additional aim is to explore the relation between patients' ISP and treatment
outcome. METHOD: Patients taking part in a randomized controlled trial on
outpatient psychotherapy for AN (ANTOP) filled in the Intersession Experience
Questionnaire before each of the 40 psychotherapy sessions. Trajectories of
different aspects of the ISP were analyzed with growth curve models based on
orthogonal polynomials and tested for differences between 3 outcome categories
(recovery, partially recovered, full syndrome AN). RESULTS: Data from 108 cases
were available for analysis. ISP facets showed diverse, mostly nonlinear,
trajectories over the course of treatment. Less favorable outcomes were
associated with higher levels of patients' experiencing negative emotions when
recalling therapeutic dialogue, thinking about therapy during dreaming/drowsy
states, and applying therapeutic learning (in the second half of treatment).
CONCLUSIONS: Findings confirm an overall relation between ISP and treatment
outcome. In outpatient treatment in AN, patients with a less successful course
seem to be more preoccupied with therapy and therapist between sessions. For the
ISP facet "applying therapeutic learning," findings point to an optimal range
dependent on treatment phase. Growth curve modeling is required to describe the
nonlinear trajectories of ISP facets.
PMID- 27199180
TI - Can data in optometric practice be used to provide an evidence base for
ophthalmic public health?
AB - PURPOSE: The purpose of this paper is to investigate the potential of using
primary care optometry data to support ophthalmic public health, research and
policy making. METHODS: Suppliers of optometric electronic patient record systems
(EPRs) were interviewed to gather information about the data present in
commercial software programmes and the feasibility of data extraction.
Researchers were presented with a list of metrics that might be included in an
optometric practice dataset via a survey circulated by email to 102 researchers
known to have an interest in eye health. Respondents rated the importance of each
metric for research. A further survey presented the list of metrics to 2000
randomly selected members of the College of Optometrists. The optometrists were
asked to specify how likely they were to enter information about each metric in a
routine sight test consultation. They were also asked if data were entered as
free text, menus or a combination of these. RESULTS: Current EPRs allowed the
input of data relating to the metrics of interest. Most data entry was free text.
There was a good match between high priority metrics for research and those
commonly recorded in optometric practice. CONCLUSIONS: Although there were plenty
of electronic data in optometric practice, this was highly variable and often not
in an easily analysed format. To facilitate analysis of the evidence for public
health purposes a UK based minimum dataset containing standardised clinical
information is recommended. Further research would be required to develop
suitable coding for the individual metrics included. The dataset would need to
capture information from all sectors of the population to ensure effective
planning of any future interventions.
PMID- 27199181
TI - The role of vagal pathway and NK1 and NK2 receptors in cardiovascular and
respiratory effects of neurokinin A.
AB - Neurokinin A (NKA) is a peptide neurotransmitter that participates in the
regulation of breathing and the cardiovascular system. The purpose of the current
study was to determine the cardiorespiratory pattern exerted by the systemic
injection of NKA, to look at the contribution of neurokinin NK1 and NK2
receptors, and to establish the engagement of the vagal pathway in mediation of
these responses. The effects of intravenous injections of NKA (50 MUg/kg) were
studied in anaesthetized, spontaneously breathing rats in the following
experimental schemes: in neurally intact rats; and vagotomized at either
midcervical or supranodosal level. Intravenous injections of NKA in the intact
rats evoked sudden and short-lived increase in the respiratory rate concomitant
with drop in tidal volume, followed by a prolonged depression, coupled with
continuous augmentation of the tidal volume. Respiratory alterations were
accompanied by transient tachycardia and prolonged hypotension. Midcervical
vagotomy eliminated respiratory rate response and augmentation of tidal volume.
Section of supranodosal vagi abrogated all respiratory reactions. NK2 receptor
blockade abolished respiratory changes without affecting cardiovascular effects,
whereas NK1 receptor blockade significantly reduced hypotension and increase in
heart rate with no impact on the respiratory system. These results indicate that
NKA induced changes in the breathing resulting from an excitation of the NK2
receptors on the vagal endings. A fall in blood pressure triggered by NKA occurs
outside of the vagus nerve and is probably mediated via its direct action on
vascular smooth muscles supplied with NK1 receptors.
PMID- 27199183
TI - Erratum: Predictive analytics of environmental adaptability in multi-omic network
models.
PMID- 27199184
TI - Charge transport-driven selective oxidation of graphene.
AB - Due to the tunability of the physical, electrical, and optical characteristics of
graphene, precisely controlling graphene oxidation is of great importance for
potential applications of graphene-based electronics. Here, we demonstrate a
facile and precise way for graphene oxidation controlled by photoexcited charge
transfer depending on the substrate and bias voltage. It is observed that
graphene on TiO2 is easily oxidized under UV-ozone treatment, while graphene on
SiO2 remains unchanged. The mechanism for the selective oxidation of graphene on
TiO2 is associated with charge transfer from the TiO2 to the graphene. Raman
spectra were used to investigate the graphene following applied bias voltages on
the graphene/TiO2 diode under UV-ozone exposure. We found that under a reverse
bias of 0.6 V on the graphene/TiO2 diode, graphene oxidation was accelerated
under UV-ozone exposure, thus confirming the role of charge transfer between the
graphene and the TiO2 that results in the selective oxidation of the graphene.
The selective oxidation of graphene can be utilized for the precise, nanoscale
patterning of the graphene oxide and locally patterned chemical doping, finally
leading to the feasibility and expansion of a variety of graphene-based
applications.
PMID- 27199185
TI - Electronic state-lifetime interference in resonant Auger spectra: a tool to
disentangle overlapping core-excited states.
AB - We have measured resonant-Auger decay following Cl 1s(-1) excitations in HCl and
CH3Cl molecules, and extracted the pseudo-cross sections of different Cl 2p(-2)
final states. These cross sections show clear evidence of shake processes as well
as contributions of electronic state-lifetime interference (ELI). To describe the
spectra we developed a fit approach that takes into account ELI contributions and
ultrafast nuclear dynamics in dissociative core-excited states. Using this
approach we utilized the ELI contributions to obtain the intensity ratios of the
overlapping states Cl 1s(-1)4ppi/1s(-1)4psigma in HCl and Cl 1s(-1)4pe/1s(-1)4pa1
in CH3Cl. The experimental value for HCl is compared with theoretical results
showing satisfactory agreement.
PMID- 27199182
TI - The molecular spectrum and distribution of haemoglobinopathies in Cyprus: a 20
year retrospective study.
AB - Haemoglobinopathies are the most common monogenic diseases, posing a major public
health challenge worldwide. Cyprus has one the highest prevalences of
thalassaemia in the world and has been the first country to introduce a
successful population-wide prevention programme, based on premarital screening.
In this study, we report the most significant and comprehensive update on the
status of haemoglobinopathies in Cyprus for at least two decades. First, we
identified and analysed all known 592 beta-thalassaemia patients and 595 Hb H
disease patients in Cyprus. Moreover, we report the molecular spectrum of alpha-,
beta- and delta-globin gene mutations in the population and their geographic
distribution, using a set of 13824 carriers genotyped from 1995 to 2015, and
estimate relative allele frequencies in carriers of beta- and delta-globin gene
mutations. Notably, several mutations are reported for the first time in the
Cypriot population, whereas important differences are observed in the
distribution of mutations across different districts of the island.
PMID- 27199186
TI - Risk perception in pregnancy: a concept analysis.
AB - AIM: To report an analysis of the concept of risk perception in pregnancy.
BACKGROUND: Pregnant women are increasingly exposed to the view that pregnancy
and childbirth are intrinsically dangerous, requiring medical monitoring and
management. Societal pressures are applied to women that dictate appropriate
behaviours during pregnancy. These changes have resulted in increased perception
of risk for pregnant women. DESIGN: Walker and Avant's method was selected to
guide this analysis. DATA SOURCES: Peer-reviewed articles published in English
from CINAHL, Scopus, PubMed and Psychinfo. No date limits were applied. METHODS:
Thematic analysis was conducted on 79 articles. Attributes, antecedents and
consequences of the concept were identified. RESULTS: The attributes of the
concept are the possibility of harm to mother or infant and beliefs about the
severity of the risk state. The physical condition of pregnancy combined with the
cognitive ability to perceive a personal risk state is antecedents. Risk
perception in pregnancy influences women's affective state and has an impact on
decision-making about pregnancy and childbirth. There are limited empirical
referents with which to measure the concept. CONCLUSION: Women today know more
about their developing infant than at any other time in history; however, this
has not led to a sense of reassurance. Nurses and midwives have a critical role
in assisting pregnant women, and their families make sense of the information
they are exposed to. An understanding of the complexities of the concept of risk
perception in pregnancy may assist in enabling nurses and midwives to reaffirm
the normalcy of pregnancy.
PMID- 27199187
TI - Endothelium-dependent hyperpolarizations in health and disease.
PMID- 27199188
TI - Congratulations on the 25th Anniversary of the IMIA Yearbook!
PMID- 27199190
TI - Towards Clinical Bioinformatics: Redux 2015.
AB - In 2004, medical informatics as a scientific community recognized an emerging
field of "clinical bioinformatics" that included work bringing bioinformatics
data and knowledge into the clinic. In the intervening decade, "translational
biomedical informatics" has emerged as the umbrella term for the work that brings
together biological entities and clinical entities. The major challenges
continue: understanding the clinical significance of basic 'omics' (and other)
measurements, and communicating this to increasingly empowered patients/consumers
who often have access to this information outside usual medical channels. It has
become clear that basic molecular information must be combined with environmental
and lifestyle data to fully define, predict, and manage health status..
PMID- 27199189
TI - Silver Anniversary: 25 Editions of the IMIA Yearbook.
AB - OBJECTIVES: To provide an editorial introduction into the special 25th
anniversary edition of the IMIA Yearbook of Medical Informatics with discussion
of the significance of the Yearbook, past and current editorial teams, and a look
into the future. METHODS: A brief overview of the 2016 anniversary edition of the
Yearbook allows for a discussion of the significance and value of the Yearbook to
the Biomedical Informatics community as well as a review of changes in Yearbook
team and format over time. RESULTS: The IMIA Yearbook celebrates its 25th edition
bearing witness to the quality of the IMIA brand, the Yearbook content, as well
as to the dedication of and the inordinate amount of labor from the authors and
editors of the Yearbook. Editorial teams are to be applauded for their hard work
and for their foresight in steering the Yearbook from a paperback to an open
access online publication. The special edition provides reviews of past
editorials with the knowledge of today. CONCLUSIONS: The IMIA Yearbook celebrates
a remarkable milestone providing a testament to the maturity of the Biomedical
Informatics field. Informaticians across the world are encouraged to thank past
editorial teams and celebrate with IMIA.
PMID- 27199191
TI - Medical Imaging Informatics: Towards a Personalized Computational Patient.
AB - Medical Imaging Informatics has become a fast evolving discipline at the crossing
of Informatics, Computational Sciences, and Medicine that is profoundly changing
medical practices, for the patients' benefit.
PMID- 27199192
TI - Reflections on the Yearbook from the 1990's to the Present.
AB - The authors highlight IMIA's progress over the past twenty years as a key
bridging organization that translates health informatics theory into practice. In
contrast, they describe that electronic health record (EHR) systems built in the
20th Century are not meeting the needs of clinical users. Moreover, these EHRs
are not architected to keep pace with the rapid changes in the evolving health
ecosystem. They conclude that 21st Century health IT systems need to be
architected into an ecosystem-wide suite of interacting complex adaptive systems
that support individuals, clinicians, managers and policy-makers with the high
value/high usability computing paradigm that dominates the Internet today.
PMID- 27199193
TI - Preface - Access to Knowledge Revisited.
AB - OBJECTIVE: To review and update the Preface to the 1998 Yearbook of Medical
Informatics, which had as its Special Topic "Health Informatics and the
Internet". METHOD: Assessment of the accuracy of predictions made in 1998 and
consideration of key developments in informatics since that time. RESULTS:
Predictions made in 1998 were generally accurate regarding reduced dependence on
keyboards, expansion of multimedia, medical data privacy policy development,
impact of molecular biology on knowledge and treatment of neoplasms, and use of
imaging and informatics to advance understanding of brain structure and function.
Key developments since 1998 include the huge increase in publicly available
electronic information; acknowledgement by leaders in government and science of
the importance of biomedical informatics to societal goals for health, health
care, and scientific discovery; the influence of the public in promoting clinical
research transparency and free access to government-funded research results; the
long-awaited arrival of electronic health records; and the "Cloud" as a 21st
century reformulation of contracting out the computer center. CONCLUSIONS: There
are many challenging and important problems that deserve the attention of the
informatics community. Informatics researchers will be best served by embracing a
very broad definition of medical informatics and by promoting public
understanding of the field.
PMID- 27199194
TI - Integration of Information for Patient Care: 2015 Redux.
AB - Medical workstations are getting more and more powerful - however for the last
decades they have been slow in making their way into the everyday life in medical
care. In some resource rich organisations or smaller countries, their advance has
gone further than elsewhere - however, a tipping point has not been reached.
Again and again, new technologies and developments are pushing the need for
integration of workstations into medical processes - currently the quantified
self wave - however more options lead to more complexity and this growing
complexity makes it more difficult to integrate the power of medical workstations
in given culturally primed scenarios.
PMID- 27199195
TI - The Renewed Promise of Medical Informatics.
AB - The promise of the field of Medical Informatics has been great and its impact has
been significant. In 1999, the Yearbook editors of the International Medical
Informatics Association (IMIA) - also the authors of the present paper - sought
to assess this impact by selecting a number of seminal papers in the field, and
asking experts to comment on these articles. In particular, it was requested
whether and how the expectations, represented by these papers, had been fulfilled
since their publication several decades earlier. Each expert was also invited to
comment on what might be expected in the future. In the present paper, these
areas are briefly reviewed again. Where did these early papers have an impact and
where were they not as successful as originally expected? It should be noted that
the extraordinary developments in computer technology observed in the last two
decades could not have been foreseen by these early researchers. In closing, some
of the possibilities and limitations of research in medical informatics are
outlined in the context of a framework that considers six levels of computer
applications in medicine and health care. For each level, some predictions are
made for the future, concluded with thoughts on fruitful areas for ongoing
research in the field.
PMID- 27199196
TI - Consumer Health Informatics: Past, Present, and Future of a Rapidly Evolving
Domain.
AB - OBJECTIVES: Consumer Health Informatics (CHI) is a rapidly growing domain within
the field of biomedical and health informatics. The objective of this paper is to
reflect on the past twenty five years and showcase informatics concepts and
applications that led to new models of care and patient empowerment, and to
predict future trends and challenges for the next 25 years. METHODS: We discuss
concepts and systems based on a review and analysis of published literature in
the consumer health informatics domain in the last 25 years. RESULTS: The field
was introduced with the vision that one day patients will be in charge of their
own health care using informatics tools and systems. Scientific literature in the
field originally focused on ways to assess the quality and validity of available
printed health information, only to grow significantly to cover diverse areas
such as online communities, social media, and shared decision-making. Concepts
such as home telehealth, mHealth, and the quantified-self movement, tools to
address transparency of health care organizations, and personal health records
and portals provided significant milestones in the field. CONCLUSION: Consumers
are able to actively participate in the decision-making process and to engage in
health care processes and decisions. However, challenges such as health literacy
and the digital divide have hindered us from maximizing the potential of CHI
tools with a significant portion of underserved populations unable to access and
utilize them. At the same time, at a global scale consumer tools can increase
access to care for underserved populations in developing countries. The field
continues to grow and emerging movements such as precision medicine and the
sharing economy will introduce new opportunities and challenges.
PMID- 27199199
TI - Platelets and Inflammatory Parameters Do Not Affect Long-Term Survival after
Acute Stroke.
AB - RATIONALE: According to literature, the inflammatory response and platelets are
associated with coronary heart disease mortality. In this study, we examine if
similar relationships exist after acute cerebral infarctions. DESIGN: Between
2005 and 2007, individuals (n = 61) hospitalized with acute stroke were
investigated 2.1 +/- .3 (SD) days after hospital admission. After 9.3 +/- .7 (SD)
years, 29 patients (age 79 +/- 8 [SD]; 12 women) had died. They were compared
with survivors (age 69 +/- 9 [SD]; 9 women) with respect to inflammatory
parameters and platelet features such as activity and reactivity. RESULTS AND
CONCLUSION: Inflammation and platelets at the acute event do not forecast long
term survival of stroke sufferers.
PMID- 27199198
TI - Population-Attributable Risk of Risk Factors for Recurrent Wheezing in Moderate
Preterm Infants During the First Year of Life.
AB - BACKGROUND: Recurrent wheezing in young infants has a high prevalence, influences
quality of life, and generates substantial health care costs. We previously
showed that respiratory syncytial virus infection is an important mechanism of
recurrent wheezing in moderate preterm infants. We aimed to provide population
attributable risks (PAR) of risk factors for recurrent wheezing during the first
year of life in otherwise healthy moderate preterm infants. METHODS: RISK is a
multicentre prospective birth cohort study of 4424 moderate preterm infants born
at 32-35 weeks gestation. We estimated PAR of risk factors for recurrent
wheezing, which was defined as three or more parent-reported wheezing episodes
during the first year of life. RESULTS: We evaluated 3952 (89%) children at 1
year of age, of whom 705 infants (18%) developed recurrent wheezing. Fourteen
variables were independently associated with recurrent wheezing. Hospitalisation
for respiratory syncytial virus bronchiolitis had a strong relationship with
recurrent wheezing (RR 2.6; 95% confidence interval, CI, 2.2, 3.1), but a
relative modest PAR (8%; 95% CI 6, 11%) which can be explained by a low
prevalence (13%). Day-care attendance showed a strong relationship with recurrent
wheezing (RR 1.9; 95% CI 1.7, 2.2) and the highest PAR (32%; 95% CI 23, 37%) due
to a high prevalence (67%). The combined adjusted PAR for the 14 risk factors
associated with recurrent wheezing was 49% (95% CI 46, 52%). CONCLUSIONS: In
moderate preterm infants, day-care attendance has the largest PAR for recurrent
wheezing. Trial evidence is needed to determine the potential benefit of delayed
day-care attendance in this population.
PMID- 27199200
TI - Predictors of Hospital Length of Stay and Readmissions in Ischemic Stroke
Patients and the Impact of Inpatient Medication Management.
AB - OBJECTIVE: This study was designed to evaluate predictors of hospital length of
stay (LOS) and readmissions among nonsurgical ischemic stroke patient, and the
impact of inpatient medication management. METHODS: This retrospective cohort
study includes adult patients (>=18 years) hospitalized with a diagnosis of
nonsurgical ischemic stroke from November 2007 to March 2013. In November 2011,
an inpatient medication management model was implemented in the stroke unit. At
the end of the study period, patients were matched before and after
implementation of the inpatient medication management model (non-PHC [pharmacist
hospitalist collaborative] and PHC, respectively) to evaluate change in outcomes.
The primary outcome of the study is an evaluation of predictive factors affecting
LOS and readmissions. Additionally, changes in LOS and all-cause readmission at
30, 60, and 90 days when compared between PHC and non-PHC were evaluated.
FINDINGS: A total of 151 PHC patients were matched to 248 non-PHC patients. There
was no difference in LOS between the PHC and non-PHC patients (mean adjusted
difference -.14; P = .66). Similar finding was observed for readmissions (P >
.05). Insurance type was a significant predictor of LOS, with Medicare patients
having an extended LOS compared to patients with private insurance (mean
difference -1.00; P = .005). Patients taking statins and patients aged less than
80 years had a lower 30-day readmission rate compared to nonstatin users and
patients aged 80 years or older, respectively (P < .05). CONCLUSIONS: Insurance
type and severity of illness are important predictors of LOS, whereas
readmissions are mostly influenced by age and statin use.
PMID- 27199201
TI - Analysis of Factors Associated with Progression and Long-Term Outcomes of
Penetrating Artery Territory Infarction: A Retrospective Study.
AB - BACKGROUND: Patients with penetrating artery territory infarction occasionally
show progressive motor deficits during the acute stage with poor prognosis.
Predictive indices or medical therapies for suppressing the symptomatic
progression (SP) of penetrating artery infarction have not been established. In
this study, we investigated SP-related clinical factors and functional outcomes,
specifically improvement 3 months post ictus in patients with penetrating artery
infarction. METHODS: We retrospectively examined acute stroke patients with
penetrating artery infarction admitted at 7 collaborative hospitals. SP was
defined as an increase by 1 point or higher in the National Institutes of Health
Stroke Scale score. Functional improvement was assessed based on the modified
Rankin Scale at 3 months. The influence of factors, such as patient
characteristics, clinical data, medical treatment during the acute stage and on
SP, and functional improvement was statistically analyzed. RESULTS: Four hundred
eighty-eight patients (310 men; mean age, 70 years) were examined. Sixty-eight
patients (14%) exhibited SP. Multivariate logistic regression analysis revealed
that higher hemoglobin A1c (odds ratio [OR], 1.30; 95% confidence interval [CI],
1.10-1.55), body mass index (BMI; OR, .85; 95% CI, .77-.94), and systolic blood
pressure on admission (OR, 1.63; 95% CI, 1.19-2.25) were independent predictors
of SP in penetrating artery infarction. Dual antiplatelet therapy (DAPT; OR,
3.48; 95% CI, 1.52-8.38) independently influenced functional improvement.
CONCLUSIONS: Initial high blood pressure, diabetes, and low BMI on admission were
associated with early progression of penetrating artery infarction. DAPT during
the acute stage may contribute to functional improvement.
PMID- 27199203
TI - Thromboembolism in adults with primary immune thrombocytopenia: a systematic
literature review and meta-analysis.
AB - Adults with primary immune thrombocytopenia (ITP) may be susceptible to
thromboembolism (TE). The objective of this systematic review was to evaluate
studies that reported the prevalence and risk of developing TE in the ITP
population from ITP diagnosis and splenectomy. We searched several bibliographic
databases and included 29 studies. Using meta-analytical techniques, the pooled
prevalence of TE before ITP diagnosis was 7.84% (arterial 6.25%; venous 1.95%).
The pooled 'annualised' cumulative incidence (without prior TE) and cumulative
risk (irrespective of prior TE) were 1.29%/yr and 3.00%/yr, respectively.
Splenectomised patients had pooled cumulative risk of arterial TE (ATE) and
venous TE (VTE) of 0.19%/yr and 1.10%/yr, respectively. In cohorts, regardless of
a history of TE, the pooled relative risk (RR) of any TE was 1.60 (1.34, 1.86)
for ITP vs. ITP-free individuals [arterial: 1.52 (1.25, 1.80); venous: 1.70
(0.96, 2.43)]. Splenectomised patients were at higher risk of venous events,
pooled RR 2.39 (1.61, 3.17). To conclude, we found an increased risk of TE
(mainly ATE) among ITP individuals and a higher risk of VTEs after splenectomy.
How intrinsic (ITP pathophysiology, age, gender) and extrinsic factors
(treatment) contribute to this risk could not be investigated here but is a task
for future studies.
PMID- 27199202
TI - Pharmacokinetic investigations of the marker active metabolites 4-methylamino
antipyrine and 4-amino-antipyrine after intramuscular injection of metamizole in
healthy piglets.
AB - Metamizole (MT) is a pyrazolone nonsteroidal anti-inflammatory drug labelled for
humans and animals. The aim of this study was to assess the pharmacokinetics of
its active metabolites 4-methylamino-antipyrine (MAA) and 4-amino-antipyrine (AA)
in male piglets after a single intramuscular injection of MT. Eight healthy male
piglets were administered MT (100 mg/kg) intramuscularly. Blood was sampled at
scheduled time intervals, and drug plasma concentrations evaluated by a validated
HPLC method. MAA and AA plasma concentrations were quantitatively detectable from
0.25 to 48 h and 0.50 to 72 h, respectively, in 6 of 8 and 7 of 8 animals. The
average maximum concentrations of MAA and AA were of 47.59 and 4.94 mg/mL,
respectively. The average half-lives were 8.57 and 13.3 h for MAA and AA,
respectively. This study showed that the amount of MAA and AA produced in piglets
is different to that in the animal species previously investigated. Further
studies are necessary to understand whether these differences in MAA and AA
plasma concentrations between animal species necessitate diverse therapeutic drug
dosing.
PMID- 27199197
TI - Electronic Health Records: Then, Now, and in the Future.
AB - OBJECTIVES: Describe the state of Electronic Health Records (EHRs) in 1992 and
their evolution by 2015 and where EHRs are expected to be in 25 years. Further to
discuss the expectations for EHRs in 1992 and explore which of them were realized
and what events accelerated or disrupted/derailed how EHRs evolved. METHODS:
Literature search based on "Electronic Health Record", "Medical Record", and
"Medical Chart" using Medline, Google, Wikipedia Medical, and Cochrane Libraries
resulted in an initial review of 2,356 abstracts and other information in papers
and books. Additional papers and books were identified through the review of
references cited in the initial review. RESULTS: By 1992, hardware had become
more affordable, powerful, and compact and the use of personal computers, local
area networks, and the Internet provided faster and easier access to medical
information. EHRs were initially developed and used at academic medical
facilities but since most have been replaced by large vendor EHRs. While EHR use
has increased and clinicians are being prepared to practice in an EHR-mediated
world, technical issues have been overshadowed by procedural, professional,
social, political, and especially ethical issues as well as the need for
compliance with standards and information security. There have been enormous
advancements that have taken place, but many of the early expectations for EHRs
have not been realized and current EHRs still do not meet the needs of today's
rapidly changing healthcare environment. CONCLUSION: The current use of EHRs
initiated by new technology would have been hard to foresee. Current and new EHR
technology will help to provide international standards for interoperable
applications that use health, social, economic, behavioral, and environmental
data to communicate, interpret, and act intelligently upon complex healthcare
information to foster precision medicine and a learning health system.
PMID- 27199204
TI - [Determinants of controlled hypertension in patients treated with
antihypertensive drugs in France: The French League Against Hypertension Survey
(FLAHS 2015)].
AB - OBJECTIVE: The FLASH 2015 survey sought the determinants of hypertension control
in subjects treated with antihypertensive drugs in France. METHOD: Sending self
administered questionnaire by mail to a representative sample of the population
living in metropolitan France (MetaScope basis, TNS Sofres, France). Patients who
declare taking antihypertensive drugs are considered treated hypertensives. A
home blood pressure monitoring during 3 days was asked in subjects owing a blood
pressure monitor and the average of 18 measurements was calculated. RESULTS: A
total of 6379 subjects aged 55 and older were included with 2814 (44.1%) treated
with antihypertensive drugs. Home blood pressure was obtained from 1455 subjects
including 882 (60.6%) treated. SBP/DBP are 129.3+/-13.5/75.5+/-9.0mmHg and are
higher in treated subjects in each age category. BP<135/85 was observed in 55.4%
and BP<140/90 in 71.7%. Determinants of BP control (<135/85) are the number of
measurement for averaging (18 measures over 3 days vs 3 measurements on a
morning; 55.4%/44.3%), age (55-64 years vs 80 years old; 57.6%/49.1%), gender
(women vs men; 60.3%/50.1%), BMI (<25 vs >30; 63.1%/46.1%). In the 80 and older,
a SBP<145 was observed in 74.7% of subjects. The control was 61% when considering
an SBP/DBP<135/85 in 55-79 years and SBP<145 among 80 and older. CONCLUSION: The
control of hypertension evaluated by home blood pressure on a representative
population living in metropolitan France is estimated at between 44.3% and 74.7%
and several determinants influence the control of blood pressure like age, gender
and BMI.
PMID- 27199206
TI - On Shermer on morality.
AB - This is my critical commentary on Michael Shermer's paper "Morality is real,
objective, and natural." Shermer and I agree that morality is both real and
objective. Here I raise serious reservations about both Shermer's account of
where morality comes from and his account of what morality tells us to do. His
approach to the foundations of morality would allow some very disturbing
behaviors to count as moral, and his approach to what morality says does not
provide the action guidance we need from a moral theory.
PMID- 27199207
TI - [Coronary artery disease in women: True specificities to know in order to improve
management and outcome].
AB - Coronary artery disease is the leading death for women in Europe and developed
countries. It kills seven times more than breast cancer. The number of deaths
from coronary artery disease increase and affects also younger women (< 55 years
old). Coronary artery disease in women is a major public health problem, often
underestimated. Women should become a priority target of prevention. Traditional
vision of coronary artery disease as myocardial ischemia due to obstruction of
major coronary arteries do not represent all the aspects of ischemic disease in
women. Myocardial ischemia without obstruction of major coronary arteries,
described as microvascular dysfunction is often unknown and ignored. It is yet a
situation at high cardiovascular risk. Presentation and symptoms of coronary
artery disease are misleading in women. Coronary artery disease in women remains
under-diagnosed and under-treated. It is necessary to improve management of women
at cardiovascular risk, whose inequalities contribute to the excess of female
mortality from coronary artery disease. Coronary artery disease in women needs
new diagnostic and therapeutic approaches. It must take into account specific
risk stratification, evaluation of particular chest pain and reduced performance
of non-invasive testing.
PMID- 27199205
TI - A key role for the N/OFQ-NOP receptor system in modulating nicotine taking in a
model of nicotine and alcohol co-administration.
AB - Alcohol and nicotine are often co-abused. Although the N/OFQ-NOP receptor system
is considered a potential target for development of drug abuse pharmacotherapies,
especially for alcoholism, little is known about the role of this system in
nicotine dependence. Furthermore, the effect of prior history of nicotine
dependence on subsequent nicotine and alcohol taking is understudied. Using an
operant co-administration paradigm, in which rats concurrently self-administer
nicotine and alcohol, we found that nicotine dependent rats increased nicotine
self-administration over time as compared to non-dependent animals, while
patterns of alcohol lever pressing did not change between groups. Pretreatment
with the potent NOP receptor agonist AT-202 (0.3-3 mg/kg) increased nicotine
lever pressing of both dependent and non-dependent groups, whereas the selective
antagonist SB612111 (1-10 mg/kg) elicited a clear reduction of nicotine
responses, in both dependent and non-dependent rats. In parallel, AT-202 only
produced minor changes on alcohol responses and SB612111 reduced alcohol taking
at a dose that also reduced locomotor behavior. Results indicate that a history
of nicotine dependence affects subsequent nicotine- but not alcohol-maintained
responding, and that NOP receptor antagonism, rather than agonism, blocks
nicotine self-administration, which strongly suggests a critical role for the
endogenous N/OFQ in the modulation of nicotine reinforcement processes.
PMID- 27199209
TI - Identification of a novel HLA-A*02 variant, HLA-A*02:614, in a Taiwanese
individual.
AB - One nucleotide substitution at residue 806 of HLA-A*02:01:01:01 results in a new
allele, HLA-A*02:614.
PMID- 27199208
TI - Real-world surgical outcomes of a gelatin-hemostatic matrix in women requiring a
hysterectomy: a matched case-control study.
AB - INTRODUCTION: The aim of this study was to compare adverse events and surgical
outcomes of hysterectomy with or without use of a gelatin-hemostatic matrix
(SURGIFLO((r)) ). MATERIALS AND METHODS: Prospective case-control study (Canadian
Task Force classification II2) of total hysterectomy (Piver Type 1) provided by
surgeons in Australia between November 2005 and May 2015. Data were collected via
SurgicalPerformance, a web-based data project which aims to provide confidential
feedback to surgeons about their surgical outcomes. Of 2440 records of women who
received a hysterectomy, 1351 were eligible for these analyses; 107 received
SURGIFLO((r)) hemostatic matrix to prevent postoperative blood loss and 1244 did
not. RESULTS: Patients with or without SURGIFLO((r)) differed in age, Charlson
comorbidity index, and American Society of Anesthesiologists physical status
classification system score (ASA), and also differed in clinical outcomes. After
matching for patient's age and ASA at surgery, patients with and without
SURGIFLO((r)) had comparable baseline characteristics. Matched patients with and
without SURGIFLO((r)) had comparable clinical outcomes including risk of
developing vault hematoma, return to the operating room, transfusion of red
cells, surgical site infection (pelvis), readmission within 30 days and unplanned
ICU admission. CONCLUSIONS: In a sample matched by age and ASA, SURGIFLO((r))
neither prevented nor caused additional adverse events in women undergoing
hysterectomy. Surgeons used SURGIFLO((r)) more commonly among women who were
older, had more comorbidities and a higher ASA score. This indicates that it may
be most useful in complicated surgery or cases.
PMID- 27199210
TI - Microwave temperature measurement in microfluidic devices.
AB - In spite of various existing thermometry methods for microfluidic applications,
it remains challenging to measure the temperature of individual droplets in
segmented flow since fast moving droplets do not allow sufficient exposure time
demanded by both fluorescence based techniques and resistance temperature
detectors. In this contribution, we present a microwave thermometry method that
is non-intrusive and requires minimal external equipment. This technique relies
on the correlation of fluid temperature with the resonance frequency of a
microwave sensor that operates at a GHz frequency range. It is a remote yet
direct sensing technique, eliminating the need for mixing fluorescent dyes with
the working fluid. We demonstrated that the sensor operates reliably over
multiple tests and is capable of both heating and sensing. It measures
temperature to within +/-1.2 degrees C accuracy and can detect the temperature
of individual droplets.
PMID- 27199212
TI - Prediction of the risk of cesarean delivery after labor induction in twin
gestations based on clinical and ultrasound parameters.
AB - AIMS: To develop a model based on clinical and ultrasound parameters to predict
the risk of cesarean delivery after labor induction in near-term twin gestations.
METHODS: This retrospective cohort study included 189 consecutive women with twin
gestations at >= 36.0 weeks scheduled for labor induction. The Bishop score and
transvaginal ultrasonographic measurements of cervical length were obtained
immediately before labor induction. Parameters studied included maternal age,
height, weight, parity, gestational age, Bishop score, cervical length, epidural
analgesia, method of conception, chorionicity and birth weight. Prostaglandin E2
(dinoprostone) and oxytocin were used for labor induction. Logistic regression
analysis and receiver operating characteristic curve were used to generate a
predictive model for cesarean delivery. RESULTS: Fifty (26.5%) of the 189 women
had cesarean deliveries. According to logistic regression analysis, maternal
height (P = 0.004), parity (P = 0.005) and cervical length (P = 0.016), but not
Bishop score (P = 0.920), were identified as independent predictors of cesarean
delivery. A risk score based on a model of these three parameters was calculated
for each patient. The model was shown to have an adequate goodness of fit (P =
0.201) and the area under the curve was 0.722, indicating fairly good
discrimination. CONCLUSIONS: Maternal height, parity and cervical length were
independent parameters for predicting the risk of cesarean delivery after labor
induction in twin gestations. A predictive model using these parameters may
provide useful information for deciding whether or not to induce labor.
PMID- 27199211
TI - Fabrication, characterization, and in vitro evaluation of silver-containing
arabinoxylan foams as antimicrobial wound dressing.
AB - Arabinoxylan ferulate (AXF) foams were fabricated via enzymatic
peroxidase/hydrogen peroxide crosslinking reaction followed by freeze-drying and
studied as a potential wound dressing material. The AXF foam's rheological,
morphological, porous, and swelling properties were examined. AXF foams were
found to be a viscoelastic material that proved to be highly porous and water
absorbent. AXF foams possessed low endotoxin levels and were cytocompatible with
fibroblasts. Silver was successfully integrated into AXF foams and slowly
released over 48 h. AXF foams impregnated with silver demonstrated efficacy
inhibiting bacterial growth according to a modified Kirby-Bauer disk diffusion
susceptibility test. Overall, AXF foams possess appropriate material properties
and the silver-loaded AXF foams showed antimicrobial activity necessary to be a
candidate material in wound dressing development. (c) 2016 Wiley Periodicals,
Inc. J Biomed Mater Res Part A: 104A: 2456-2465, 2016.
PMID- 27199213
TI - Efficacy and Safety of Percutaneous Left Atrial Appendage Occlusion for Stroke
Prevention in Nonvalvular Atrial Fibrillation: A Meta-analysis of Contemporary
Studies.
AB - BACKGROUND: Percutaneous left atrial appendage (LAA) occlusion has emerged as an
important treatment for patients with nonvalvular atrial fibrillation (NVAF) who
are at high stroke risk and have contraindications for anticoagulation. However,
literature about the efficacy and safety of LAA occlusion is minimal to date. We
performed a meta-analysis to assess the rates of stroke events and adverse events
for patients treated with occlusion devices. METHODS: We conducted a
comprehensive search on PubMed, Web of Science, OVID, SCOPUS databases and the
Cochrane Central Register of Controlled Trials databases from inception to
December 31, 2014 for studies of percutaneous LAA occlusion for patients with
NVAF. Studies were included in the meta-analysis if at least 10 patients were
studied with six months or more of follow-up period and reported at least one
outcome of interest. RESULTS: A total of 2779 patients in 25 studies were
included in the meta-analysis. Two were randomised control trials (RCTs), others
were cohort studies. The adjusted incidence rate of stroke was 1.2/100 person
years (PY) (95% confidence interval [CI], 0.9-1.6/100 PY). The ischaemic and
haemorrhagic stroke rates were 1.1/100 PY (95% CI, 0.8-1.4/100 PY) and 0.2/100 PY
(95% CI, 0.1-0.3/100 PY), respectively. The combined efficacy outcomes (stroke or
transient ischaemic attacks [TIAs], systemic embolism, or cardiovascular death)
was 2.7/100 PY (95% CI, 1.9- 3.4/100 PY). Major bleeding and pericardial
effusions were the most commonly observed adverse events at a rate of 2.6% (95%
CI, 1.5%-3.6%) and 2.5% (95% CI, 1.8%-3.2%), respectively. CONCLUSIONS:
Percutaneous LAA occlusion is a reasonably efficacious and safe therapeutic
option in patients with NVAF who are at high risk for stroke and contraindicated
for long-term anticoagulation.
PMID- 27199214
TI - Eosinophil progenitor levels are increased in patients with active pediatric
eosinophilic esophagitis.
PMID- 27199215
TI - Growth responses of Ulva prolifera to inorganic and organic nutrients:
Implications for macroalgal blooms in the southern Yellow Sea, China.
AB - The marine macrophyte Ulva prolifera is the dominant green-tide-forming seaweed
in the southern Yellow Sea, China. Here we assessed, in the laboratory, the
growth rate and nutrient uptake responses of U. prolifera to different nutrient
treatments. The growth rates were enhanced in incubations with added organic and
inorganic nitrogen [i.e. nitrate (NO3(-)), ammonium (NH4(+)), urea and glycine]
and phosphorus [i.e. phosphate (PO4(3-)), adenosine triphosphate (ATP) and
glucose 6-phosphate (G-6-P)], relative to the control. The relative growth rates
of U. prolifera were higher when enriched with dissolved organic nitrogen (urea
and glycine) and phosphorus (ATP and G-6-P) than inorganic nitrogen (NO3(-) and
NH4(+)) and phosphorus (PO4(3-)). In contrast, the affinity was higher for
inorganic than organic nutrients. Field data in the southern Yellow Sea showed
significant inverse correlations between macroalgal biomass and dissolved organic
nutrients. Our laboratory and field results indicated that organic nutrients such
as urea, glycine and ATP, may contribute to the development of macroalgal blooms
in the southern Yellow Sea.
PMID- 27199216
TI - Magnetic Core-Shell Silica Nanoparticles with Large Radial Mesopores for siRNA
Delivery.
AB - A novel type of magnetic core-shell silica nanoparticles is developed for small
interfering RNA (siRNA) delivery. These nanoparticles are fabricated by coating
super-paramagnetic magnetite nanocrystal clusters with radial large-pore
mesoporous silica. The amine functionalized nanoparticles have small particle
sizes around 150 nm, large radial mesopores of 12 nm, large surface area of 411
m(2) g(-1) , high pore volume of 1.13 cm(3) g(-1) and magnetization of 25 emu g(
1) . Thus, these nanoparticles possess both high loading capacity of siRNA (2
wt%) and strong magnetic response under an external magnetic field. An acid
liable coating composed of tannic acid can further protect the siRNA loaded in
these nanoparticles. The coating also increases the dispersion stability of the
siRNA-loaded carrier and can serve as a pH-responsive releasing switch. Using the
magnetic silica nanoparticles with tannic acid coating as carriers, functional
siRNA has been successfully delivered into the cytoplasm of human osteosarcoma
cancer cells in vitro. The delivery is significantly enhanced with the aid of the
external magnetic field.
PMID- 27199217
TI - Tumor regression grade in gastric cancer: Predictors and impact on outcome.
AB - BACKGROUND: The clinical value and prognostic implications of histologic response
to neoadjuvant chemotherapy in gastric cancer is unknown. METHODS: Tumor
regression grade (TRG) was recorded in 58 gastric cancer patients identified from
two institutional surgical databases. TRG 1a/b represented histologic responders
(<10% viable tumor), while TRG 2/3 represented non-responders (>10% viable
tumor). RESULTS: TRG 1a/b was recorded in 10 patients (17%), while 48 patients
(83%) had a TRG 2/3 response. Larger tumor size (OR 0.24; 95%CI 0.09, 0.64; P =
0.004) and clinical downstaging (OR 30.0; 95%CI 3.26, 276; P = 0.003) were the
only factors predictive of histologic response. TRG 1a/b responders had 3-year
survival of 70.0% and an estimated overall survival of >69.8 months compared to
38.2% and 22.8 months in non-responders; however, this trend was not
statistically significant (P = 0.535). While TRG could not predict survival (OR
2.40; 95%CI 0.46, 12.57; P = 0.300), patient age (OR 1.06; 95%CI 1.00, 1.11; P =
0.035), and the number of positive lymph nodes (>=7; OR 0.05; 95%CI 0.07, 0.27; P
< 0.001) were independent predictors of survival. CONCLUSIONS: Few gastric
cancers demonstrate histologic response to neoadjuvant chemotherapy. While TRG
may be a valid marker for treatment response, its predictive value and clinical
application in gastric cancer remains unclear. J. Surg. Oncol. 2016;114:434-439.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27199218
TI - Genomic evidence for ecological divergence against a background of population
homogeneity in the marine snail Chlorostoma funebralis.
AB - The balance between natural selection, gene flow and genetic drift is difficult
to resolve in marine invertebrates with extensive dispersal and fluctuating
population sizes. The intertidal snail Chlorostoma funebralis has planktonic
larvae and previous work using mtDNA polymorphism reported no genetic population
structure. Nevertheless, recent studies have documented differences in thermal
tolerance and transcriptomic responses to heat stress between northern and
southern California, USA, populations. To gain insight into the dynamics
influencing adaptive divergence, we used double-digest restriction site
associated DNA (ddRAD) sequencing to identify 1861 genomewide, quality-filtered
single-nucleotide polymorphism (SNP) loci for C. funebralis collected from three
northern and three southern California sites (15 individuals per population).
Considering all SNPs, there was no evidence for genetic differentiation among
populations or regions (average FST = 0.0042). However, outlier tests revealed
34 loci putatively under divergent selection between northern and southern
populations, and structure and SNP tree analyses based on these outliers show
clear genetic differentiation between geographic regions. Three of these outliers
are known or hypothesized to be involved in stress granule formation, a response
to environmental stress such as heat. Combined with previous work that found
thermally tolerant southern populations show high baseline expression of stress
response genes, these results further suggest that thermal stress is a strong
selective pressure across C. funebralis populations. Overall, this study
increases our understanding of the factors constraining local adaptation in
marine organisms, while suggesting that ecologically driven, strong
differentiation can occur at relevant loci in a species with planktonic larvae.
PMID- 27199219
TI - Trastuzumab-targeted gene delivery to Her2-overexpressing breast cancer cells.
AB - We describe a novel gene delivery system that specifically targets human
epidermal growth factor receptor 2 (Her2)-overexpressing breast cancer cells. The
targeting complexes consist of a PEGylated polylysine core that is bound to DNA
molecules coding for either green fluorescent protein or shrimp luciferase. The
complex is disulfide linked to the monoclonal antibody trastuzumab and to a pore
forming protein, Listeriolysin O (LLO). Trastuzumab is responsible for specific
targeting of Her2 receptors and uptake of the gene delivery complex into
endosomes of recipient cells, whereas LLO ensures that the DNA molecules are
capable of transit from the endosomes into the cytoplasm. Omission of either
trastuzumab or LLO from the nanocomplexes results in minimal gene product in
targeted cells. Treatment of isogeneic MCF7 and MCF7/Her18 cell lines, differing
only in number of Her2 receptors, with the complete gene delivery system results
in a 30-fold greater expression of luciferase activity in the Her2-overexpressing
MCF7/Her18 cells. Our nanocomplexes are small (150-250 nm), stable to storage,
nontoxic and generic in make-up such that any plasmid DNA or antibody specific
for cell-surface receptors can be coupled to the PEGylated polylysine core.
PMID- 27199220
TI - Locked nucleic acid inhibits miR-92a-3p in human colorectal cancer, induces
apoptosis and inhibits cell proliferation.
AB - MicroRNAs (miRNAs) are a type of small noncoding RNAs that have a vital role in
basic biological processes such as cellular growth, division and apoptosis. A
change in the expression of miRNAs can induce many diseases. Recently, the role
of miRNA in some of the cancers as a tumor suppressor and oncogene has been
recognized. Several studies have proved that miR-92a-3p acts as an oncogene in
colorectal cancer (CRC). We studied CRC by inhibiting miR-92a-3p in SW48 cells
(human colorectal cancer cell line) that were transfected with locked nucleic
acid (LNA). At different times, the expression level of miR-92a-3p, cell
vitality, apoptosis and necrosis were studied by qRT-PCR, MTT, Annexin-V and
propidiumiodide. Our results showed that the expression of miR-92a-3p and
proliferation of SW48 cells were decreased, and also a high percentage of SW48
cells were exposed to apoptosis and necrosis (P?0.005). Our study showed that the
inhibition of miR-92a-3p with LNA inhibited cell proliferation and induced
apoptosis and necrosis in CRC.
PMID- 27199221
TI - Tumor radiosensitization by gene therapy against endoglin.
AB - Gene electrotransfer of plasmid encoding shRNA against endoglin exerts antitumor
efficacy, predominantly by vascular targeted effect. As vascular targeting
therapies can promote radiosensitization, the aim of this study was to explore
this gene therapy approach with single and split dose of irradiation in an
endoglin non-expressing TS/A mammary adenocarcinoma tumor model to specifically
study the vascular effects. Intratumoral gene electrotransfer of plasmids
encoding shRNA against endoglin, under the control of a constitutive or tissue
specific promoter for endothelial cells, combined with a single or three split
doses of irradiations was evaluated for the antitumor efficacy and
histologically. Both plasmids proved to be equally effective in tumor
radiosensitization with 40-47% of tumor cures. The combined treatment induced a
significant decrease in the number of blood vessels and proliferating cells, and
an increase in levels of necrosis, apoptosis and hypoxia; therefore, the
antitumor efficacy was ascribed to the interaction of vascular targeted effect of
gene therapy with irradiation. Endoglin silencing by the shRNA technology,
combined with electrotransfer and the use of a tissue-specific promoter for
endothelial cells, proved to be a feasible and effective therapeutic approach
that can be used in combined treatment with tumor irradiation.
PMID- 27199223
TI - Putting Meaning into Meaningful Use: A Roadmap to Successful Integration of
Evidence at the Point of Care.
AB - Pressures to contain health care costs, personalize patient care, use big data,
and to enhance health care quality have highlighted the need for integration of
evidence at the point of care. The application of evidence-based medicine (EBM)
has great promise in the era of electronic health records (EHRs) and health
technology. The most successful integration of evidence into EHRs has been
complex decision tools that trigger at a critical point of the clinical visit and
include patient specific recommendations. The objective of this viewpoint paper
is to investigate why the incorporation of complex CDS tools into the EMR is
equally complex and continues to challenge health service researchers and
implementation scientists. Poor adoption and sustainability of EBM guidelines and
CDS tools at the point of care have persisted and continue to document low rates
of usage. The barriers cited by physicians include efficiency, perception of
usefulness, information content, user interface, and over-triggering. Building on
the traditional EHR implementation frameworks, we review keys strategies for
successful CDSs: (1) the quality of the evidence, (2) the potential to reduce
unnecessary care, (3) ease of integrating evidence at the point of care, (4) the
evidence's consistency with clinician perceptions and preferences, (5)
incorporating bundled sets or automated documentation, and (6) shared decision
making tools. As EHRs become commonplace and insurers demand higher quality and
evidence-based care, better methods for integrating evidence into everyday care
are warranted. We have outlined basic criteria that should be considered before
attempting to integrate evidenced-based decision support tools into the EHR.
PMID- 27199224
TI - Fluoride: a risk factor for inflammatory bowel disease?
AB - Although the association between inflammatory bowel disease (IBD) and oral
hygiene has been noticed before, there has been little research on prolonged
fluoride exposure as a possible risk factor. In the presented cases, exposure to
fluoride seems indirectly associated with higher incidence of IBD. Fluoride
toxicology and epidemiology documents frequent unspecific chronic
gastrointestinal symptoms and intestinal inflammation. Efflux genes that confer
resistance to environmental fluoride may select for IBD associated gut microbiota
and therefore be involved in the pathogenesis. Together these multidisciplinary
results argue for further investigation on the hypothesis of fluoride as a risk
factor for IBD.
PMID- 27199222
TI - Tumor-associated GM-CSF overexpression induces immunoinhibitory molecules via
STAT3 in myeloid-suppressor cells infiltrating liver metastases.
AB - Assumptions that liver immune cells and immunosuppressive pathways are similar to
their counterparts in other spaces have led to gaps in our understanding of
intrahepatic neoplasm aggressiveness. Myeloid-derived suppressor cells (MDSCs)
are potent inhibitors of antitumor immunity and pose a major obstacle to solid
tumor treatment. Liver MDSCs (L-MDSCs) associated with liver metastases (LM) are
particularly problematic by contributing to intrahepatic immunosuppression that
promotes tumor progression. L-MDSCs have been reported to expand in response to
granulocyte-macrophages colony-stimulating factor (GM-CSF) and suppress antitumor
immunity in LM. To extend these findings, we examined mechanisms of intrahepatic
immunosuppression exploited by L-MDSCs. We found that the majority of L-MDSCs co
expressed GM-CSF receptor (GM-CSF-R), indoleamine 2,3-dioxygenase (IDO) and
programmed death ligand 1 (PD-L1), while demonstrating high levels of signal
transducer and activator of transcription factor 3 (STAT3) activation. GM-CSF
secreting tumor cells induced STAT3 phosphorylation in L-MDSCs in addition to
expression of IDO and PD-L1. GM-CSF or GM-CSF-R blockade markedly reduced L-MDSC
IDO and PD-L1 expression, implicating tumor-derived GM-CSF in supporting L-MDSC
immunoinhibitory molecule expression. Small-molecule inhibitors of Janus
activated kinase 2 (JAK2) and STAT3 also dramatically diminished IDO and PD-L1
expression in L-MDSCs. We determined that STAT3 exerts transcriptional control
over L-MDSC IDO and PD-L1 expression by binding to the IDO1 and PD-L1 promoters.
Our data suggest that the GM-CSF/JAK2/STAT3 axis in L-MDSCs drives
immunosuppression in a model of LM and blockade of this pathway may enable rescue
of intrahepatic antitumor immunity.
PMID- 27199225
TI - Visible Light Initiated Hantzsch Synthesis of 2,5-Diaryl-Substituted Pyrroles at
Ambient Conditions.
AB - Irradiation of a mixture of enamines and alpha-bromo ketones, with a catalytic
amount of Ir(ppy)3 by visible light (lambda = 450 nm), enables the production of
various 2,5-diaryl-substituted pyrroles in good to excellent yields. The key
intermediates in this reaction have been identified as alkyl radicals, generated
from single-electron transfer from the photoexcited Ir(ppy)3* to alpha-bromo
ketones, which subsequently react with a broad range of enamines to undergo the
Hantzsch reaction rapidly at ambient conditions.
PMID- 27199226
TI - Numerical Study on Behavior of Groundwater Flow in Single Rough Fractures Under
Mechanical Effect.
AB - This paper numerically investigates the characteristics of groundwater flow in
spatially correlated variable aperture fractures under the mechanical effect.
Spatially correlated aperture distributions are generated using the
geostatistical method (i.e., turning bands algorithm in this study). To represent
a nonlinear relationship between the effective normal stress and the fracture
aperture, a simple mechanical formula is combined with a local flow model.
Numerical results indicate that the groundwater flow is significantly affected by
the geometry of aperture distribution, varying with the applied effective normal
stress as well as the spatial correlation length of aperture distribution.
Moreover, using the flow results simulated in this study, two empirical formulae
are proposed: (1) the first one (modified Louis formula) is to represent the
relationship between the effective normal stress and the effective permeability
of fracture and (2) the second one is to represent the relationship between
relative roughness and effective permeability.
PMID- 27199227
TI - Vibrio algivorus sp. nov., an alginate- and agarose-assimilating bacterium
isolated from the gut flora of a turban shell marine snail.
AB - An agarose- and alginate-assimilating, Gram-reaction-negative, non-motile, rod
shaped bacterium, designated strain SA2T, was isolated from the gut of a turban
shell sea snail (Turbo cornutus) collected near Noto Peninsula, Ishikawa
Prefecture, Japan. The 16S rRNA gene sequence of strain SA2T was 99.59 %
identical to that of Vibrio rumoiensis DSM 19141T and 98.19 % identical to that
of Vibrio litoralis DSM 17657T. This suggested that strain SA2T could be a
subspecies of V. rumoiensis or V. litoralis. However, DNA-DNA hybridization
results showed only 37.5 % relatedness to DSM 19141T and 44.7 % relatedness to
DSM 17657T, which was far lower than the 70 % widely accepted to define common
species. Strain SA2T could assimilate agarose as a sole carbon source, whereas
strains DSM 19141T and DSM 17657T could not assimilate it at all. Furthermore,
results using API 20NE and API ZYM kits indicated that their enzymic and
physiological phenotypes were also different. These results suggested that strain
SA2T represented a novel species within the genus Vibrio. The major isoprenoid
quinone in SA2T was Q-8, and its major polar lipids were phosphatidylethanolamine
and phosphatidylglycerol. The major fatty acids were summed feature 3,
(comprising C16 : 1omega6c and/or C16 : 1omega7c), C16 : 0, and summed feature 8
(comprising C18 : 1omega6c and/or C18 : 1omega7c). The DNA G+C content of SA2T
was 40.7 mol%. The name proposed for this novel species of the genus Vibrio is
Vibrio algivorus sp. nov., with the type strain designated SA2T (=DSM 29824T=NBRC
111146T).
PMID- 27199228
TI - Diagnosis and treatment of cardiac echinococcosis.
AB - Cardiac echinococcosis is a rare manifestation of cystic echinococcosis (CE)
caused by the tapeworm Echinococcus granulosus Among all patients suffering from
CE, only 0.5%-2% exhibit a cardiac involvement. In addition, during the past
years the number of CE cases reported in Western Europe remained roughly
unchanged. However, we postulate that cases of CE in Western Europe will increase
due to a growing number of refugees coming from endemic areas such as Southern
Europe, Eastern Europe and the Middle East. Importantly, although cardiac
echinococcosis is rare the disease can lead to many clinical complications, for
instance acute heart failure and life-threatening arrhythmias. With respect to
the increasing relevance of cardiac echinococcosis in Western Europe and the
danger of fulminant disease courses, here we review diagnosis strategies and
treatment options of the disease. Diagnosis of cardiac echinococcosis requires a
detailed evaluation of the patients' case history, specific laboratory analyses
and radiological imaging methods. Ultrasound, MRI and CT are key imaging tools
for diagnosis, therapy control, prognosis estimation and disease course control.
For the therapy of cardiac echinococcosis, a combination of surgical removal and
drug treatment should be applied to symptomatic as well as asymptomatic patients.
The complete surgical removal of the cyst(s) is the major prognosis factor of the
cardiac manifestation of CE.
PMID- 27199229
TI - Ethosomes and Transfersomes: Principles, Perspectives and Practices.
AB - BACKGROUND: The success story of liposomes in the treatment of systemic
infectious diseases and various carcinomas lead the scientists to the innovation
of elastic vesicles to achieve similar success through transdermal route. In this
direction, ethosomes and transfersomes were developed with the objective to
design the vesicles that could pass through the skin. However, there is a lack of
systematic review outlining the principles, method of preparation, latest
advancement and applications of ethosomes and transfersomes. This review covers
various aspects that would be helpful to scientists in understanding advantages
of these vesicular systems and designing a unique nano vesicular delivery system.
METHODS: Structured search of bibliographic databases for previously published
peer-reviewed research papers was explored and data was culminated in terms of
principle of these vesicular delivery systems, composition, mechanism of actions,
preparation techniques, methods for their characterization and their application.
RESULTS: A total of 182 papers including both, research and review articles, were
included in this review in order to make the article comprehensive and readily
understandable. The mechanism of action and composition of ethosomes and
transfersomes was extensively discussed. Various methods of preparation such as,
rotary film evaporation method, reverse phase evaporation method, vortex/
sonication method, ethanol injection method, freeze thaw methods, along with
their advantages has been discussed. It was also discussed that both these
elastic nanocarriers offer unique advantages of ferrying the drug across
membranes, sustaining drug release as well as protecting the encapsulated bio
actives from external environment. The enhanced bioavailability and skin
penetration of ethosomes as compared to conventional vesicular delivery systems
is attributed to the presence of ethanol in the bilayers while that for
transfersomes accrues due to their elasticity along with their ability to retain
their shape because of the presence of edge activators. Successful delivery of
synthetic drugs as well as phytomedicines has been extensively reported through
these vesicles. CONCLUSION: Though these vesicular systems offer a good potential
for rational drug delivery, a thoughtfully designed process is required to
optimize the process variables involved. Industrial scale production of
efficacious, safe, cost effective and stable formulations of both these delivery
systems appears to be a pre-requisite to ensure their utility as the trans-dermal
vehicles.
PMID- 27199230
TI - Photothermal Ablation of Cancer Cells Using Folate-Coated Gold/ Graphene Oxide
Composite.
AB - OBJECTIVE: A new tumor targeted polymer-coated gold/graphene hybrid has been
developed for achieving simultaneously thermoablation and chemoterapy of folate
receptor-positive cancer cells. METHODS: The gold/graphene hybrid was prepared by
depositing gold nanospheres onto graphene oxide and coating it with an inulin
folate conjugate. Paclitaxel was loaded by sonication. The hybrid was
characterized by UV-Vis spectroscopy, DSC analysis and SEM microscopy. The
cytotoxicity, thermoablation and anticancer activity were evaluated in vitro on
MCF-7 and 16 HBE. RESULTS: In vitro tests showed that the paclitaxel-loaded
hybrid improved the effectiveness of the drug especially after photothermal
treatments. CONCLUSION: On the whole, while gold/graphene composite provided an
excellent time-dependent photothermal effect, the loading of paclitaxel allowed a
suitable chemotherapy, thus killing cancer cells both via a selective laser beam
thermoablation and hyperthermia-triggered chemotherapy.
PMID- 27199231
TI - Challenges in atrial fibrillation beyond stroke prevention: keeping patients out
of the hospital.
PMID- 27199232
TI - Metastatic spinal cord compression: diagnosis and management.
PMID- 27199233
TI - Use of Bromine and Bromo-Organic Compounds in Organic Synthesis.
AB - Bromination is one of the most important transformations in organic synthesis and
can be carried out using bromine and many other bromo compounds. Use of molecular
bromine in organic synthesis is well-known. However, due to the hazardous nature
of bromine, enormous growth has been witnessed in the past several decades for
the development of solid bromine carriers. This review outlines the use of
bromine and different bromo-organic compounds in organic synthesis. The
applications of bromine, a total of 107 bromo-organic compounds, 11 other
brominating agents, and a few natural bromine sources were incorporated. The
scope of these reagents for various organic transformations such as bromination,
cohalogenation, oxidation, cyclization, ring-opening reactions, substitution,
rearrangement, hydrolysis, catalysis, etc. has been described briefly to
highlight important aspects of the bromo-organic compounds in organic synthesis.
PMID- 27199234
TI - Valid statistical inference methods for a case-control study with missing data.
AB - The main objective of this paper is to derive the valid sampling distribution of
the observed counts in a case-control study with missing data under the
assumption of missing at random by employing the conditional sampling method and
the mechanism augmentation method. The proposed sampling distribution, called the
case-control sampling distribution, can be used to calculate the standard errors
of the maximum likelihood estimates of parameters via the Fisher information
matrix and to generate independent samples for constructing small-sample
bootstrap confidence intervals. Theoretical comparisons of the new case-control
sampling distribution with two existing sampling distributions exhibit a large
difference. Simulations are conducted to investigate the influence of the three
different sampling distributions on statistical inferences. One finding is that
the conclusion by the Wald test for testing independency under the two existing
sampling distributions could be completely different (even contradictory) from
the Wald test for testing the equality of the success probabilities in
control/case groups under the proposed distribution. A real cervical cancer data
set is used to illustrate the proposed statistical methods.
PMID- 27199237
TI - Future of haemophilia outcome assessment: registries are key to optimized
treatment.
PMID- 27199235
TI - Safety and Efficacy of Thrombolysis in Cervical Artery Dissection-Related
Ischemic Stroke: A Meta-Analysis of Observational Studies.
AB - BACKGROUND: Although thrombolysis is considered to be the first-line treatment
for ischemic stroke, there remains an ongoing controversy on the safety and
efficacy of thrombolysis in cervical artery dissection (CAD). The aim of this
meta-analysis was to assess observational data related to the safety and efficacy
of thrombolysis in CAD-related ischemic stroke. METHODS: We performed a
systematic search of the efficacy of thrombolysis treatment in CAD-related
ischemic stroke with appropriate observational studies identified for the study.
The meta-analysis models in Comprehensive Meta-Analysis V2 software were applied
to calculate the merged rates of favorable outcome (modified Rankin Scale, mRS 0
2), excellent outcome (mRS 0-1), intracranial hemorrhage (ICH), symptomatic ICH
(SICH), mortality and recurrent stroke between thrombolysis and non-thrombolysis
in CAD-related stroke. The difference of outcomes and adverse events between the
2 groups was compared by analyzing the pooled OR value and chi-square test using
the software SPSS. RESULTS: A total of 846 patients were identified from 10
studies (174 with thrombolysis; 672 with non-thrombolysis). The meta-analysis
detected no significant statistical difference in the proportion of CAD-related
stroke patients enjoying a favorable outcome at the 3 months' follow-up between
the thrombolysis and non-thrombolysis groups (53.7 vs. 58.2%, OR 0.782, x03C7;2 =
0.594, p > 0.05); non-thrombolysis was slightly superior than thrombolysis in
terms of excellent outcome (52.4 vs. 34.4%, OR 0.489, x03C7;2 = 9.143, p =
0.002). There was no significant difference in SICH, mortality and recurrent
stroke rates between the 2 groups (all p > 0.05). ICH rate was higher in the
thrombolysis group of CAD-related stroke patients compared to that in the non
thrombolysis group (12.3 vs. 7.4%, OR 2.647, x03C7;2 = 4.127, p = 0.042).
CONCLUSION: Thrombolysis seems to be equally safe and will achieve an efficacy
similar to the efficacy of non-thrombolysis in patients with acute ischemic
stroke due to CAD. It is also as effective as thrombolysis in stroke from
miscellaneous causes. Therefore, CAD patients experiencing a stroke should not be
denied thrombolysis therapy. However, this will need to be confirmed in large
scale randomized studies, especially involving intravenous thrombolysis
treatment.
PMID- 27199238
TI - Granulocytic sarcoma of ovary in a post allogenic bone marrow transplant patient
A diagnostic challenge to the anatomic pathologist. Case report and review of
literature.
PMID- 27199239
TI - ELATE: an open-source online application for analysis and visualization of
elastic tensors.
AB - We report on the implementation of a tool for the analysis of second-order
elastic stiffness tensors, provided with both an open-source Python module and a
standalone online application allowing the visualization of anisotropic
mechanical properties. After describing the software features, how we compute the
conventional elastic constants and how we represent them graphically, we explain
our technical choices for the implementation. In particular, we focus on why a
Python module is used to generate the HTML web page with embedded Javascript for
dynamical plots.
PMID- 27199240
TI - Seasonal Variations in the Severity of ADHD Symptoms in the Dutch General
Population.
AB - OBJECTIVE: This is the first study to examine self-reported seasonal differences
in the severity of ADHD symptoms in adults from the general population. METHOD:
Data were analyzed from N = 5,303 respondents participating in the second wave of
the Netherlands Mental Health Survey and Incidence Study-2, a population-based
study on mental health. ADHD symptoms were assessed using the Adult ADHD Self
Report Scale Screener. As indicators of the severity of ADHD symptoms, the total
ADHD symptom score and inattention and hyperactivity subscale scores were
examined. RESULTS: Compared with participants who were assessed in autumn, total
ADHD and inattention subscale scores were significantly higher among participants
who were assessed in spring or summer; the hyperactivity subscale score was
significantly higher in spring. CONCLUSION: We found seasonal variations in the
severity of ADHD symptoms, which was highest in those assessed in spring and
summer. Researchers should be aware of this in the diagnostic process.
PMID- 27199241
TI - The GRIN2B and GRIN2A Gene Variants Are Associated With Continuous Performance
Test Variables in ADHD.
AB - OBJECTIVE: To examine the association between variants of N-methyl-D-aspartate
(NMDA) receptor subunit-encoding genes (GRIN2A and GRIN2B) and continuous
performance test (CPT) variables in ADHD and healthy controls. METHOD: In all,
253 ADHD patients and 98 controls were recruited. The diagnosis, genotype, and
diagnosis-genotype interaction effects for the CPT variables were examined.
RESULTS: Significant diagnosis effects were detected for all CPT variables. There
were significant genotype and interaction effects on response time variability
(RTV) by the GRIN2B variant. The C/C subgroup had higher RTV than the C/T + T/T
subgroup in ADHD, but not in controls. There were significant genotype effects on
omission errors by the GRIN2A variant. The G/G subgroup had more omission errors
than the G/A + A/A subgroup in ADHD patients, but not in controls. CONCLUSION:
These results suggest that the genetic variants of GRIN2B and GRIN2A confer an
increased susceptibility to attentional impairment in ADHD patients.
PMID- 27199242
TI - Stable Aqueous Photoelectrochemical CO2 Reduction by a Cu2 O Dark Cathode with
Improved Selectivity for Carbonaceous Products.
AB - Photocatalytic reduction of CO2 to produce fuels is a promising way to reduce CO2
emission and address the energy crisis. However, the H2 evolution reaction
competes with CO2 photoreduction, which would lower the overall selectivity for
carbonaceous products. Cu2 O has emerged as a promising material for suppressing
the H2 evolution. However, it suffers from poor stability, which is commonly
regarded as the result of the electron-induced reduction of Cu2 O. This paper
describes a simple strategy using Cu2 O as a dark cathode and TiO2 as a
photoanode to achieve stable aqueous CO2 reduction with a high Faradaic
efficiency of 87.4 % and a selectivity of 92.6 % for carbonaceous products. We
have shown that the photogenerated holes, instead of the electrons, primarily
account for the instability of Cu2 O. Therefore, Cu2 O was used as a dark cathode
to minimize the adverse effects of holes, by which an improved stability was
achieved compared to the Cu2 O photocathode under illumination. Additionally,
direct exposure of the Cu2 O surface to the electrolyte was identified as a
critical factor for the high selectivity for carbonaceous products.
PMID- 27199243
TI - Memristive Sisyphus circuit for clock signal generation.
AB - Frequency generators are widely used in electronics. Here, we report the design
and experimental realization of a memristive frequency generator employing a
unique combination of only digital logic gates, a single-supply voltage and a
realistic thresholdtype memristive device. In our circuit, the oscillator
frequency and duty cycle are defined by the switching characteristics of the
memristive device and external resistors. We demonstrate the circuit operation
both experimentally, using a memristor emulator, and theoretically, using a model
memristive device with threshold. Importantly, nanoscale realizations of
memristive devices offer small-size alternatives to conventional quartz-based
oscillators. In addition, the suggested approach can be used for mimicking some
cyclic (Sisyphus) processes in nature, such as "dripping ants" or drops from
leaky faucets.
PMID- 27199244
TI - Diabetes: Human iPSC-derived beta-like cells rescue diabetic mice.
PMID- 27199245
TI - Trends in clinical success rates.
PMID- 27199246
TI - Neurodegenerative diseases: Laying the groundwork for remyelination.
PMID- 27199247
TI - Alan Shuldiner.
PMID- 27199248
TI - Infectious diseases: TIEing down vascular integrity during sepsis.
PMID- 27199249
TI - Patent watch: Diagnostic patents at risk after Federal Circuit decisions.
PMID- 27199250
TI - Enhancement of island size by dynamic substrate disorder in simulations of
graphene growth.
AB - We demonstrate a new mechanism in the early stages of sub-monolayer epitaxial
island growth, using Monte Carlo simulations motivated by experimental
observations on the growth of graphene on copper foil. In our model, the
substrate is "dynamically rough", by which we mean (i) the interaction strength
between Cu and C varies randomly from site to site, and (ii) these variable
strengths themselves migrate from site to site. The dynamic roughness provides a
simple representation of the near-molten state of the Cu substrate in the case of
real graphene growth. Counterintuitively, the graphene island size increases when
dynamic roughness is included, compared to a static and smooth substrate. We
attribute this effect to destabilisation of small graphene islands by
fluctuations in the substrate, allowing them to break up and join larger islands
which are more stable against roughness. In the case of static roughness, when
process (ii) is switched off, island growth is strongly inhibited and the scale
free behaviour of island size distributions, present in the smooth-static and
rough-dynamic cases, is destroyed. The effects of the dynamic substrate roughness
cannot be mimicked by parameter changes in the static cases.
PMID- 27199252
TI - Phyllotaxis: from patterns of organogenesis at the meristem to shoot
architecture.
AB - The primary architecture of the aerial part of plants is controlled by the shoot
apical meristem, a specialized tissue containing a stem cell niche. The iterative
generation of new aerial organs, (leaves, lateral inflorescences, and flowers) at
the meristem follows regular patterns, called phyllotaxis. Phyllotaxis has long
been proposed to self-organize from the combined action of growth and of
inhibitory fields blocking organogenesis in the vicinity of existing organs in
the meristem. In this review, we will highlight how a combination of
mathematical/computational modeling and experimental biology has demonstrated
that the spatiotemporal distribution of the plant hormone auxin controls both
organogenesis and the establishment of inhibitory fields. We will discuss recent
advances showing that auxin likely acts through a combination of biochemical and
mechanical regulatory mechanisms that control not only the pattern of
organogenesis in the meristem but also postmeristematic growth, to shape the
shoot. WIREs Dev Biol 2016, 5:460-473. doi: 10.1002/wdev.231 For further
resources related to this article, please visit the WIREs website.
PMID- 27199254
TI - Inverted Gabor holography principle for tailoring arbitrary shaped three
dimensional beams.
AB - It is well known that by modifying the wavefront in a certain manner, the light
intensity can be turned into a certain shape. However, all known light modulation
techniques allow for limited light modifications only: focusing within a
restricted region in space, shaping into a certain class of parametric curves
along the optical axis or bending described by a quadratic-dependent deflection
as in the case of Airy beams. We show a general case of classical light wavefront
shaping that allows for intensity and phase redistribution into an arbitrary
profile including pre-determined switching-off of the intensity. To create an
arbitrary three-dimensional path of intensity, we represent the path as a
sequence of closely packed individual point-like absorbers and simulate the in
line hologram of the created object set; when such a hologram is contrast
inverted, thus giving rise to a diffractor, it creates the pre-determined three
dimensional path of intensity behind the diffractor under illumination. The
crucial parameter for a smooth optical path is the sampling of the predetermined
curves, which is given by the lateral and axial resolution of the optical system.
We provide both, simulated and experimental results to demonstrate the power of
this novel method.
PMID- 27199251
TI - Clonal evolution in patients with chronic lymphocytic leukaemia developing
resistance to BTK inhibition.
AB - Resistance to the Bruton's tyrosine kinase (BTK) inhibitor ibrutinib has been
attributed solely to mutations in BTK and related pathway molecules. Using whole
exome and deep-targeted sequencing, we dissect evolution of ibrutinib resistance
in serial samples from five chronic lymphocytic leukaemia patients. In two
patients, we detect BTK-C481S mutation or multiple PLCG2 mutations. The other
three patients exhibit an expansion of clones harbouring del(8p) with additional
driver mutations (EP300, MLL2 and EIF2A), with one patient developing trans
differentiation into CD19-negative histiocytic sarcoma. Using droplet
microfluidic technology and growth kinetic analyses, we demonstrate the presence
of ibrutinib-resistant subclones and estimate subclone size before treatment
initiation. Haploinsufficiency of TRAIL-R, a consequence of del(8p), results in
TRAIL insensitivity, which may contribute to ibrutinib resistance. These findings
demonstrate that the ibrutinib therapy favours selection and expansion of rare
subclones already present before ibrutinib treatment, and provide insight into
the heterogeneity of genetic changes associated with ibrutinib resistance.
PMID- 27199255
TI - Superhydrophobic SERS chip based on a Ag coated natural taro-leaf.
AB - Surface-enhanced Raman spectroscopy (SERS) substrates based on plasmonic
nanostructures allow for label-free and fingerprinting molecular detection with
ultrahigh sensitivity and selectivity, but their complicated and high-cost
fabrication remains a challenge for practical applications and commercialization
of SERS technology. Herein, we developed a facile and low-cost natural SERS
substrate based on silver coated taro leaf (Taro-leaf@Ag) that exhibits ordered
micro-papillae and secondary crossed nanoplates. The micro-papillae exhibited
superior superhydrophobicity for analyte enrichment and the secondary crossed
nanoplates provided rich SERS hot spots, which together lead to highly sensitive
SERS detection with a detection limit as low as 10(-8) M. Moreover, the crossed
nanoplates were uniformly distributed such that reproducible SERS measurements
with a 9.7% variation over 1274 spectra was achieved. The high SERS sensitivity
and reproducibility as well as the facile and low-cost fabrication make the Taro
leaf@Ag a promising natural SERS substrate for future practical biochemical
detection methods.
PMID- 27199253
TI - Bridging the gap: heparan sulfate and Scube2 assemble Sonic hedgehog release
complexes at the surface of producing cells.
AB - Decision making in cellular ensembles requires the dynamic release of signaling
molecules from the producing cells into the extracellular compartment. One
important example of molecules that require regulated release in order to signal
over several cell diameters is the Hedgehog (Hh) family, because all Hhs are
synthesized as dual-lipidated proteins that firmly tether to the outer membrane
leaflet of the cell that produces them. Factors for the release of the vertebrate
Hh family member Sonic Hedgehog (Shh) include cell-surface sheddases that remove
the lipidated terminal peptides, as well as the soluble glycoprotein Scube2 that
cell-nonautonomously enhances this process. This raises the question of how
soluble Scube2 is recruited to cell-bound Shh substrates to regulate their
turnover. We hypothesized that heparan sulfate (HS) proteoglycans (HSPGs) on the
producing cell surface may play this role. In this work, we confirm that HSPGs
enrich Scube2 at the surface of Shh-producing cells and that Scube2-regulated
proteolytic Shh processing and release depends on specific HS. This finding
indicates that HSPGs act as cell-surface assembly and storage platforms for Shh
substrates and for protein factors required for their release, making HSPGs
critical decision makers for Scube2-dependent Shh signaling from the surface of
producing cells.
PMID- 27199257
TI - Evaluation of the Red Blood Cell Advanced Software Application on the CellaVision
DM96.
AB - INTRODUCTION: The CellaVision Advanced Red Blood Cell (RBC) Software Application
is a new software for advanced morphological analysis of RBCs on a digital
microscopy system. Upon automated precharacterization into 21 categories, the
software offers the possibility of reclassification of RBCs by the operator. We
aimed to define the optimal cut-off to detect morphological RBC abnormalities and
to evaluate the precharacterization performance of this software. METHODS: Thirty
eight blood samples of healthy donors and sixty-eight samples of hospitalized
patients were analyzed. Different methodologies to define a cut-off between
negativity and positivity were used. Sensitivity and specificity were calculated
according to these different cut-offs using the manual microscopic method as the
gold standard. Imprecision was assessed by measuring analytical within-run and
between-run variability and by measuring between-observer variability. RESULTS:
By optimizing the cut-off between negativity and positivity, sensitivities
exceeded 80% for 'critical' RBC categories (target cells, tear drop cells,
spherocytes, sickle cells, and parasites), while specificities exceeded 80% for
the other RBC morphological categories. Results of within-run, between-run, and
between-observer variabilities were all clinically acceptable. CONCLUSION: The
CellaVision Advanced RBC Software Application is an easy-to-use software that
helps to detect most RBC morphological abnormalities in a sensitive and specific
way without increasing work load, provided the proper cut-offs are chosen.
However, evaluation of the images by an experienced observer remains necessary.
PMID- 27199258
TI - 30-day readmission after pediatric upper extremity surgery: Analysis of the NSQIP
database.
AB - PURPOSE: To determine the incidence and risk factors of complications,
reoperations and 30-day unplanned readmission after pediatric upper extremity
surgery. METHODS: Retrospective analysis of the 2013 National Surgery Quality
Improvement Program pediatric database to identify procedures that met the CPT
code of a primary upper extremity procedure. A univariate and multivariate
analysis was performed to identify patient- and surgery-related risk factors for
complications, reoperations and 30-day unplanned readmissions. RESULTS: Upper
extremity pediatric surgeries have low complication (1.70%), reoperation (0.5%)
and readmission rates (0.78%). Procedures requiring reoperation, inpatient
procedures, and those complicated by surgical site infection were more likely to
be readmitted. Patients with complex medical histories such as cardiac disease,
gastrointestinal disease, pulmonary disease, or a central nervous system disorder
were more likely to be readmitted. CONCLUSIONS: Pediatric upper extremity surgery
is safe and associated with low complication and readmission rates. Algorithms
focusing on decreasing surgical site infection and optimizing complex pediatric
medical problems may limit or further decrease complication and readmission
rates. TYPE OF STUDY/LEVEL OF EVIDENCE: Prognostic III.
PMID- 27199256
TI - Patterns of cell death in the perinatal mouse forebrain.
AB - The importance of cell death in brain development has long been appreciated, but
many basic questions remain, such as what initiates or terminates the cell death
period. One obstacle has been the lack of quantitative data defining exactly when
cell death occurs. We recently created a "cell death atlas," using the detection
of activated caspase-3 (AC3) to quantify apoptosis in the postnatal mouse ventral
forebrain and hypothalamus, and found that the highest rates of cell death were
seen at the earliest postnatal ages in most regions. Here we have extended these
analyses to prenatal ages and additional brain regions. We quantified cell death
in 16 forebrain regions across nine perinatal ages from embryonic day (E) 17 to
postnatal day (P) 11 and found that cell death peaks just after birth in most
regions. We found greater cell death in several regions in offspring delivered
vaginally on the day of parturition compared with those of the same
postconception age but still in utero at the time of collection. We also found
massive cell death in the oriens layer of the hippocampus on P1 and in regions
surrounding the anterior crossing of the corpus callosum on E18 as well as the
persistence of large numbers of cells in those regions in adult mice lacking the
pro-death Bax gene. Together these findings suggest that birth may be an
important trigger of neuronal cell death and identify transient cell groups that
may undergo wholesale elimination perinatally. J. Comp. Neurol. 525:47-64, 2017.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27199259
TI - Functional reprogramming of human prostate cancer to promote local attraction of
effector CD8(+) T cells.
AB - BACKGROUND: Local infiltration of CD8(+) T cells (CTLs) in tumor lesions predicts
overall clinical outcomes and the clinical benefit of cancer patients from immune
checkpoint blockade. In the current study, we evaluated local production of
different classes of chemokines in prostate cancer lesions, and the feasibility
of their modulation to promote selective entry of CTLs into prostate tumors.
METHODS: Chemokine expression in prostate cancer lesion was analyzed by TaqMan
based quantitative PCR, confocal fluorescence microscopy and ELISA. For ex vivo
chemokine modulation analysis, prostate tumor explants from patients undergoing
primary prostate cancer resections were cultured for 24 hr, in the absence or
presence of the combination of poly-I:C, IFNalpha, and celecoxib (PAC). The
numbers of cells producing defined chemokines in the tissues were analyzed by
confocal microscopy. Chemotaxis of effector CD8(+) T cells towards the untreated
and PAC-treated tumor explant supernatants were evaluated in a standard in vitro
migration assays, using 24 well trans-well plates. The number of effector cells
that migrated was enumerated by flow cytometry. Pearson (r) correlation was used
for analyzing correlations between chemokines and immune filtrate, while paired
two tailed students t-test was used for comparison between treatment groups.
RESULTS: Prostate tumors showed uniformly low levels of CTL/NK/Th1-recruiting
chemokines (CCL5, CXCL9, CXCL10) but expressed high levels of chemokines
implicated in the attraction of myeloid derived suppressor cells (MDSC) and
regulatory T cells (Treg ): CCL2, CCL22, and CXCL12. Strong positive correlations
were observed between CXCL9 and CXCL10 and local CD8 expression. Tumor expression
levels of CCL2, CCL22, and CXCL12 were correlated with intratumoral expression of
MDSC/Treg markers: FOXP3, CD33, and NCF2. Treatment with PAC suppressed
intratumoral production of the Treg -attractant CCL22 and Treg /MDSC-attractant,
CXCL12, while increasing the production of the CTL attractant, CXCL10. These
changes in local chemokine production were accompanied by the reduced ability of
the ex vivo-treated tumors to attract CD4(+) FOXP3(+) Treg cells, and strongly
enhanced attraction of the CD8(+) Granzyme B(+) CTLs. CONCLUSIONS: Our data
demonstrate that the chemokine environment in prostate cancer can be reprogrammed
to selectively enhance the attraction of type-1 effector immune cells and reduce
local attraction of MDSCs and Tregs . Prostate 76:1095-1105, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27199260
TI - Mapping the ecological dimensions and potential distributions of endangered relic
shrubs in western Ordos biodiversity center.
AB - Potential distributions of endemic relic shrubs in western Ordos were poorly
mapped, which hindered our implementation of proper conservation. Here we
investigated the applicability of ecological niche modeling for endangered relic
shrubs to detect areas of priority for biodiversity conservation and analyze
differences in ecological niche spaces used by relic shrubs. We applied
ordination and niche modeling techniques to assess main environmental drivers of
five endemic relic shrubs in western Ordos, namely, Ammopiptanthus mongolicus,
Amygdalus mongolica, Helianthemum songaricum, Potaninia mongolica, and Tetraena
mongolica. We calculated niche overlap metrics in gridded environmental spaces
and compared geographical projections of ecological niches to determine
similarities and differences of niches occupied by relic shrubs. All studied taxa
presented different responses to environmental factors, which resulted in a
unique combination of niche conditions. Precipitation availability and soil
quality characteristics play important roles in the distributions of most shrubs.
Each relic shrub is constrained by a unique set of environmental conditions, the
distribution of one species cannot be implied by the distribution of another,
highlighting the inadequacy of one-fits-all type of conservation measure. Our
stacked habitat suitability maps revealed regions around Yellow River, which are
highly suitable for most species, thereby providing high conservation value.
PMID- 27199261
TI - Pleistocene footprints show intensive use of lake margin habitats by Homo erectus
groups.
AB - Reconstructing hominin paleoecology is critical for understanding our ancestors'
diets, social organizations and interactions with other animals. Most
paleoecological models lack fine-scale resolution due to fossil hominin scarcity
and the time-averaged accumulation of faunal assemblages. Here we present data
from 481 fossil tracks from northwestern Kenya, including 97 hominin footprints
attributed to Homo erectus. These tracks are found in multiple sedimentary layers
spanning approximately 20 thousand years. Taphonomic experiments show that each
of these trackways represents minutes to no more than a few days in the lives of
the individuals moving across these paleolandscapes. The geology and associated
vertebrate fauna place these tracks in a deltaic setting, near a lakeshore
bordered by open grasslands. Hominin footprints are disproportionately abundant
in this lake margin environment, relative to hominin skeletal fossil frequency in
the same deposits. Accounting for preservation bias, this abundance of hominin
footprints indicates repeated use of lakeshore habitats by Homo erectus. Clusters
of very large prints moving in the same direction further suggest these hominins
traversed this lakeshore in multi-male groups. Such reliance on near water
environments, and possibly aquatic-linked foods, may have influenced hominin
foraging behavior and migratory routes across and out of Africa.
PMID- 27199262
TI - Adaptation and Validation of the Spanish Version of OSA-18, a Quality of Life
Questionnaire for Evaluation of Children with Sleep Apnea-Hypopnea Syndrome.
AB - OBJECTIVES: To analyze the reliability and validity of the Spanish version of the
OSA-18 quality of life questionnaire in children with apnea-hypopnea syndrome
(SAHS). METHOD: Children with suspected SAHS were studied with polysomnography
(PSG) before and after adenotonsillectomy (AA). Age, gender, clinical data, PSG,
anthropometric data, and Mallampati and Brodsky scales were analyzed. OSA-18 was
administered at baseline and 3-6months post AA. After translation and
backtranslation by bilingual professionals, the internal consistency,
reliability, construct validity, concurrent validity, predictive validity and
sensitivity to change of the questionnaire was assessed. RESULTS: In total, 45
boys and 15 girls were evaluated, showing BMI 18+/-4, neck 28+/-5, Brodsky (0:
7%; <25%: 12%; 25-50%: 27%; >50 to <75%: 45%; >75%: 6%), AHI 12+/-7 pre AA.
Global Cronbach alpha was 0.91. Correlations between domains were significant
except for emotional aspects, although the total scores correlated with all
domains (0.50 to 0.90). The factorial analysis was virtually identical to the
original structure. The total scores showed good correlation for concurrent
validity (0.2-0.45). With regard to predictive validity, the questionnaire
adequately differentiated levels of severity according to Mallampati (ANOVA
P=.002) and apnea-hypopnea index (ANOVA P=.006). Test-retest reliability was
excellent, as was sensitivity to change, both in the total scores (P<.001) and in
each domain (P<.001). CONCLUSIONS: The Spanish adaptation of the OSA-18 and its
psychometric characteristics suggest that the Spanish version is equivalent to
the original and can be used in Spanish-speaking countries.
PMID- 27199263
TI - Ligand-to-ligand charge transfer in heteroleptic Ir-complexes: comprehensive
investigations of its fast dynamics and mechanism.
AB - To gain new insights into ligand-to-ligand charge-transfer (LLCT) dynamics, we
synthesised two heteroleptic Ir(3+) complexes: (Ir(dfppy)2(tpphz)) and
(Ir(dfppy)2(dpq)), where dfppy, tpphz, and dpq are 2-(4,6
difluorophenyl)pyridine, tetrapyrido[3,2-a:2',3'-c:3'',2''-h:2''',3'''
j]phenazine, and 2,3-bis-(2-pyridyl)-quinoxaline, respectively. The tpphz and dpq
ligands have longer pi-conjugation than dfppy. Therefore, the excited ligand
centred (LC) state and the metal-to-ligand charge transfer (MLCT) state of dfppy
are higher than those of tpphz and dpq. The LLCT dynamics from dfppy to tpphz (or
dpq) was probed using femtoscond transient absorption (TA) spectroscopy after the
selective excitation of dfppy. The TA band for the LC/MLCT state of dfppy is
observed at 480 nm. Because of the LLCT process, the TA bands related to the MLCT
states of tpphz and dpq ligands increased, whereas those of dfppy decreased. The
time constants for the LLCT process were 17 ps for Ir(dfppy)2(tpphz) and 5 ps for
Ir(dfppy)2(dpq). The MLCT emission of Ir(dfppy)2(tpphz) showed strong temperature
dependence, indicating that the LLCT process has a significant energy barrier. In
comparison, the temperature weakly influenced the emission of Ir(dfppy)2(dpq),
and thus, its LLCT process may have a smaller barrier. The anomalous
rigidochromism and photodynamic behaviours can be explained in terms of the
barrier between cyclometalating and ancillary ligands.
PMID- 27199265
TI - Emended description of the family Beijerinckiaceae and transfer of the genera
Chelatococcus and Camelimonas to the family Chelatococcaceae fam. nov.
AB - The family Beijerinckiaceae was circumscribed in 2005 to accommodate four genera
of phylogenetically related alphaproteobacteria: Beijerinckia, Chelatococcus,
Methylocella and Methylocapsa. Later, four additional genera, i.e.
Methylovirgula, Methyloferula, Methylorosula and Camelimonas, were described and
assigned to this family, which now accommodates 21 species with validly published
names. Members of this family possess strikingly different lifestyles, including
chemoheterotrophy, facultative methylotrophy, obligate methanotrophy and
facultative methanotrophy. Levels of 16S rRNA gene sequence similarity among most
of these bacteria range from 96 to 98 %, suggesting a common evolutionary origin.
The genera Chelatococcus and Camelimonas, however, are not monophyletic with the
other described genera based on 16S rRNA gene sequence phylogeny, and instead
form a distant cluster more closely related to the Methylobacteriaceae.
Physiologically these two genera also lack several properties common to the other
Beijerinckiaceae. On the other hand, the genus Rhodoblastus, presently considered
a member of the Bradyrhizobiaceae, affiliates with high confidence to the
Beijerinckiaceae. Here, we propose to transfer the genera Chelatococcus and
Camelimonas to the family Chelatococcaceae fam. nov., and present an emended
description of the family Beijerinckiaceae, including the genus Rhodoblastus.
PMID- 27199264
TI - Activity of aldehyde dehydrogenase in B-cell and plasma cell subsets of
monoclonal gammopathy patients and healthy donors.
AB - BACKGROUND: Aldehyde dehydrogenase (ALDH) is highly active in physiological stem
cells as well as in tumor-initiating cells of some malignancies including
multiple myeloma (MM). Finding higher activity of ALDH in some cell subsets in
monoclonal gammopathies (MG) could identify potential source of myeloma
initiating cells (MICs). METHODS: Bone marrow of 12 MM, 9 monoclonal gammopathy
of undetermined significance (MGUS), and 10 healthy donors (HD) were analyzed by
flow cytometry. ALDH activity of B-cells and plasma cells (PC) was analyzed using
Aldefluor. RESULTS: Similar changes of ALDH activity were found during B-cell
development in HD and MG. Decreasing of ALDH activity from immature to naive B
cells was found. In postgerminal stages, the activity started to increase, and in
PCs, the ALDH activity was the same as in immature B-cells. Increased ALDH
activity of all PC subsets compared to naive B-cells was found in MM as well as
in HD, while in MGUS, only CD19- PCs have higher ALDH activity. In HD, ALDH
activity was higher in CD19+ PCs compared with MG. CONCLUSIONS: Our results
indicate that changes of ALDH activity are the natural phenomenon in B-cell
development; thus, high ALDH activity as a single marker is not appropriate for
MICs identification.
PMID- 27199266
TI - Nano-Enabled Approaches for Stem Cell-Based Cardiac Tissue Engineering.
AB - Cardiac diseases are the most prevalent causes of mortality in the world, putting
a major economic burden on global healthcare system. Tissue engineering
strategies aim at developing efficient therapeutic approaches to overcome the
current challenges in prolonging patients survival upon cardiac diseases. The
integration of advanced biomaterials and stem cells has offered enormous promises
for regeneration of damaged myocardium. Natural or synthetic biomaterials have
been extensively used to deliver cells or bioactive molecules to the site of
injury in heart. Additionally, nano-enabled approaches (e.g., nanomaterials,
nanofeatured surfaces) have been instrumental in developing suitable scaffolding
biomaterials and regulating stem cells microenvironment to achieve functional
therapeutic outcomes. This review article explores tissue engineering strategies,
which have emphasized on the use of nano-enabled approaches in combination with
stem cells for regeneration and repair of injured myocardium upon myocardial
infarction (MI). Primarily a wide range of biomaterials, along with different
types of stem cells, which have utilized in cardiac tissue engineering will be
presented. Then integration of nanomaterials and surface nanotopographies with
biomaterials and stem cells for myocardial regeneration will be presented. The
advantages and challenges of these approaches will be reviewed and future
perspective will be discussed.
PMID- 27199268
TI - Charting the Future of SPN: The SPN Strategic Plan.
PMID- 27199267
TI - Membrane Topology and Structural Insights into the Peptide Pheromone Receptor
ComD, A Quorum-Sensing Histidine Protein Kinase of Streptococcus mutans.
AB - Quorum sensing activation by signal pheromone (CSP) in Streptococcus mutans
depends on the membrane-associated receptor ComD, which senses the signal and
triggers the signaling cascade for bacteriocin production and other cell density
dependent activities. However, the mechanism of the signal recognition via the
ComD receptor in this species is nearly unexplored. Here, we show that the
membrane domain of the ComD protein forms six transmembrane segments with three
extracellular loops, loopA, loopB and loopC. By structural and functional
analyses of these extracellular loops, we demonstrate that both loopC and loopB
are required for CSP recognition, while loopA plays little role in CSP detection.
A deletion or substitution mutation of four residues NVIP in loopC abolishes CSP
recognition for quorum sensing activities. We conclude that both loopC and loopB
are required for forming the receptor and residues NVIP of loopC are essential
for CSP recognition and quorum sensing activation in S. mutans.
PMID- 27199269
TI - Olanzapine for the prophylaxis and rescue of chemotherapy-induced nausea and
vomiting (CINV): a retrospective study.
AB - BACKGROUND: While the efficacy of olanzapine in the prophylaxis of chemotherapy
induced nausea and vomiting (CINV) has been documented, the literature on the use
of olanzapine as a rescue medication for breakthrough CINV has been scarce. The
following study retrospectively evaluated the safety and efficacy of olanzapine
for the treatment of breakthrough CINV. The efficacy and safety of olanzapine in
the prophylactic setting was also examined in a smaller cohort. METHODS:
Electronic medical records of adult patients aged >17 years receiving a
prescription for olanzapine from the Odette Cancer Centre Pharmacy at Sunnybrook
Hospital between January 2013 and June 2015 were reviewed retrospectively.
Inclusion criteria required receiving one or more doses of olanzapine for the
rescue or prophylaxis of CINV and documentation of the outcome. RESULTS: A total
of 154 patients and 193 treatment cycles were included in the breakthrough
setting, while a total of 16 patients and 20 treatment cycles were included in
the prophylaxis setting. In the breakthrough setting, 88% of cases experienced
improved nausea, while 21% of cases reported improved vomiting. In the
prophylactic setting, 100% of cases experienced improved nausea, while 65%
achieved improved vomiting. A total of 43% of cases in the breakthrough setting
and 65% of cases in the prophylactic setting experienced sedation. CONCLUSIONS:
Olanzapine is effective in improving CINV in both the prophylactic and
breakthrough settings. The safety, efficacy, and appropriate dosage of olanzapine
for the rescue of breakthrough CINV should be prospectively evaluated in a
randomized controlled trial (RCT).
PMID- 27199270
TI - Quality of life with Brain Symptom and Impact Questionnaire in patients with
brain metastases.
AB - BACKGROUND: To examine the baseline characteristics of patients who underwent
different treatments for brain metastases. METHODS: Allocated into group A [whole
brain radiation therapy (WBRT) alone], or group B [stereotactic radiosurgery
(SRS) or neurosurgery with or without WBRT], brain metastases patients with
assigned treatment completed the Brain Symptom and Impact Questionnaire (BASIQ).
Items of BASIQ were arranged as a symptom score or function score. RESULTS: Lung,
breast, melanoma and renal cancer were the most prevalent primary cancer site
among the study population, with 91 (53%), 25 (15%), 17 (10%) and 15 (9%)
patients, respectively. Baseline BASIQ results were obtained before patients were
treated with WBRT, neurosurgery, or SRS. Seventy-six (44%) and 96 patients (56%)
were grouped to A and B, respectively. Group A reported lower quality of life
(QOL) in all function scores (P<0.0001) and all symptom scores (P values from
<0.0001 to 0.005) with the exception of energy (P=0.1). CONCLUSIONS: Baseline QOL
in patients assigned WBRT alone was statistically worse as compared to patients
assigned SRS, neurosurgery with or without WBRT.
PMID- 27199271
TI - Child's symptom burden and depressive symptoms among caregivers of children with
cancers: an argument for early integration of pediatric palliative care.
AB - BACKGROUND: Childhood cancers evoke various emotional reactions in caregivers
which can impair their well-being and roles. Little is known about caregiving and
which cancer-associated factors are related to caregiver's depression in resource
restricted settings. We sought to investigate if child's symptom burden is
related to depressive symptoms in caregivers. METHODS: Seventy-two caregivers and
children with cancers were administered questionnaires to elicit socio
demographic and disease-related data. Subsequently, the child's symptoms were
profiled with Memorial Symptom Assessment Scale (MSAS 7-12); while screening for
depressive symptoms in caregivers was done using the Center for Epidemiologic
Studies Depression Scale-Revised (CES-DR). RESULTS: All the caregivers were
parents, and largely mothers (83.7%). The mean ages of caregivers and children
were 39+/-2 and 10+/-2 years respectively. Majority of caregivers (90.3%) were
either ignorant or attributed spiritual causation to the cancers. The common
symptoms with prevalence >50% in the children included pain, nausea, worry, and
lack of energy (LE); symptoms' prevalence ranged from LE (68%) to itching (32%).
Approximately, one-third each of the children reported hair and weight loss which
were considered 'unusual' in the design of MSAS 7-12. The symptoms showed
variability in distress, frequency and intensity. In particular, pain, lack of
appetite (LA) and feeling sad (FS) were reported as most burdensome in >50% of
the children. More than one-third of caregivers (38.2%) screened positive for
significant depressive symptoms. The global symptom burden (r=0.58) and
individual symptom correlated positively with depressive symptoms in caregivers
(P<0.05). CONCLUSIONS: Our findings suggest the need for improved awareness
creation on childhood cancers to obviate late presentations and poor access to
care. Again, early integration of pediatric palliative care in childhood cancer
care to ensure symptom management as well as its extended benefits on caregivers'
wellbeing is desirable. The pattern of certain "unusual" symptoms in children
with cancer in our work suggests the need to put into consideration 'novel'
symptoms which were not captured in existing instruments. Future research on
supportive care in pediatric cancers is indicated.
PMID- 27199272
TI - Solitary brain metastasis from prostate cancer: a case report.
AB - Brain metastases arising from prostate cancer are exceedingly rare and typically
occur late in the course of the disease. Most patients have widespread metastatic
disease before developing brain metastases from prostate cancer. We report the
case of a 67-year-old male with prostate cancer presenting with an isolated
symptomatic brain metastasis. Aggressive treatment of the metastatic site
included tumor resection and adjuvant stereotactic radiation treatment (RT) to
the surgical bed, resulting in a favorable outcome.
PMID- 27199273
TI - Translation Initiation is Controlled by RNA Folding Kinetics via a Ribosome
Drafting Mechanism.
AB - RNA folding plays an important role in controlling protein synthesis as well as
other cellular processes. Existing models have focused on how RNA folding
energetics control translation initiation rate under equilibrium conditions but
have largely ignored the effects of nonequilibrium RNA folding. We introduce a
new mechanism, called "ribosome drafting", that explains how a mRNA's folding
kinetics and the ribosome's binding rate collectively control its translation
initiation rate. During cycles of translation, ribosome drafting emerges whenever
successive ribosomes bind to a mRNA faster than the mRNA can refold, maintaining
it in a nonequilibrium state with an acceleration of protein synthesis. Using
computational design, time-correlated single photon counting, and expression
measurements, we demonstrate that slow-folding and fast-folding RNA structures
with equivalent folding energetics can vary protein synthesis rates by 1000-fold.
We determine the necessary conditions for ribosome drafting by characterizing
mRNAs with rationally designed ribosome binding rates, folding kinetics, and
folding energetics, confirming the predictions of a nonequilibrium Markov model
of translation. Our results have widespread implications, illustrating how
competitive folding and assembly kinetics can shape the gene expression
machinery's sequence-structure-function relationship inside cells.
PMID- 27199275
TI - The weekend effect-how strong is the evidence?
PMID- 27199274
TI - Care of patients using progestogen-only injectables.
PMID- 27199277
TI - An electrochemical platform for localized pH control on demand.
AB - Solution pH is a powerful tool for regulating many kinds of chemical activity,
but is generally treated as a static property defined by a pre-selected buffer.
Introducing dynamic control of pH in space, time, and magnitude can enable richer
and more efficient chemistries, but is not feasible with traditional methods of
titration or buffer exchange. Recent reports have featured electrochemical
strategies for modifying bulk pH in constrained volumes, but only demonstrate
switching between two preset values and omit spatial control entirely. Here, we
use a combination of solution-borne quinones and galvanostatic excitation to
enable quantitative control of pH environments that are highly localized to an
electrode surface. We demonstrate highly reproducible acidification and
alkalinization with up to 0.1 pH s(-1) (+/-0.002 pH s(-1)) rate of change across
the dynamic range of our pH sensor (pH 4.5 to 7.5) in buffered solutions. Using
dynamic current control, we generate and sustain 3 distinct pH microenvironments
simultaneously to within +/-0.04 pH for 13 minutes in a single solution, and we
leverage these microenvironments to demonstrate spatially-resolved, pH-driven
control of enzymatic activity. In addition to straightforward applications of
spatio-temporal pH control (e.g. efficiently studying pH-dependencies of chemical
interactions), the technique opens completely new avenues for implementing
complex systems through dynamic control of enzyme activation, protein binding
affinity, chemical reactivity, chemical release, molecular self-assembly, and
many more pH-controlled processes.
PMID- 27199276
TI - Interfraction Anatomical Variability Can Lead to Significantly Increased Rectal
Dose for Patients Undergoing Stereotactic Body Radiotherapy for Prostate Cancer.
AB - Stereotactic body radiotherapy for prostate cancer is rapidly growing in
popularity. Stereotactic body radiotherapy plans mimic those of high-dose rate
brachytherapy, with tight margins and inhomogeneous dose distributions. The
impact of interfraction anatomical changes on the dose received by organs at risk
under these conditions has not been well documented. To estimate anatomical
variation during stereotactic body radiotherapy, 10 patients were identified who
received a prostate boost using robotic stereotactic body radiotherapy after
completing 25 fractions of pelvic radiotherapy with daily megavoltage computed
tomography. Rectal and bladder volumes were delineated on each megavoltage
computed tomography, and the stereotactic body radiotherapy boost plan was
registered to each megavoltage computed tomography image using a point-based
rigid registration with 3 fiducial markers placed in the prostate. The volume of
rectum and bladder receiving 75% of the prescription dose (V75%) was measured for
each megavoltage computed tomography. The rectal V75% from the daily megavoltage
computed tomographies was significantly greater than the planned V75% (median
increase of 0.93 cm3, P < .001), whereas the bladder V75% on megavoltage computed
tomography was not significantly changed (median decrease of -0.12 cm3, P = .57).
Although daily prostate rotation was significantly correlated with bladder V75%
(Spearman rho = .21, P = .023), there was no association between rotation and
rectal V75% or between prostate deformation and either rectal or bladder V75%.
Planning organ-at-risk volume-based replanning techniques using either a 6-mm
isotropic expansion of the plan rectal contour or a 1-cm expansion from the
planning target volume in the superior and posterior directions demonstrated
significantly improved rectal V75% on daily megavoltage computed tomographies
compared to the original stereotactic body radiotherapy plan, without
compromising plan quality. Thus, despite tight margins and full translational and
rotational corrections provided by robotic stereotactic body radiotherapy, we
find that interfraction anatomical variations can lead to a substantial increase
in delivered rectal doses during prostate stereotactic body radiotherapy. A
planning organ-at-risk volume-based approach to treatment planning may help
mitigate the impact of daily organ motion and reduce the risk of rectal toxicity.
PMID- 27199278
TI - Concurrent oocyte retrieval and hysteroscopy: a novel approach in assisted
reproduction freeze-all cycles.
AB - In this matched-controlled study (n = 300), the effect of hysteroscopic surgery
performed concurrently with oocyte retrieval on the reproductive outcomes of
intracytoplasmic sperm injection (ICSI) freeze-all cycles was investigated in
patients screened for intrauterine anomalies. Conventionally, hysterscopic
surgery is performed in a different cycle from IVF, delaying treatment completion
and increasing patient anxiety. One hundred and fifty patients who had
hysteroscopic surgery concurrently with oocyte retrieval (hysteroscopy group) in
ICSI freeze-all cycles were matched according to age and oocyte number with 150
ICSI freeze-all cycles, in which the patients required no hysteroscopy (control
group). In the hysteroscopy group, hysteroscopy was performed for diagnostic (n =
5) and therapeutic (n = 145) purposes. Blastocyst culture and Cryotop
vitrification was performed in both groups. Frozen embryo transfer (FET) was
successfully performed in the hysteroscopy group from 35 days after oocyte
retrieval. No significant differences were observed for implantation, pregnancy,
clinical pregnancy and early pregnancy loss rates in the hysteroscopy and control
groups (48.9%, 72.0%, 61.3% and 14.8% versus 48.3%, 75.3%, 64.7% and 14.3%,
respectively). Performing hysteroscopic surgery concurrently with oocyte
retrieval in a segmented-IVF programme has no negative impact on reproductive
outcomes, increases efficiency, and provides patients with low-risk treatment.
PMID- 27199279
TI - Children's science learning: A core skills approach.
AB - BACKGROUND: Research has identified the core skills that predict success during
primary school in reading and arithmetic, and this knowledge increasingly informs
teaching. However, there has been no comparable work that pinpoints the core
skills that underlie success in science. AIMS AND METHOD: The present paper
attempts to redress this by examining candidate skills and considering what is
known about the way in which they emerge, how they relate to each other and to
other abilities, how they change with age, and how their growth may vary between
topic areas. RESULTS: There is growing evidence that early-emerging tacit
awareness of causal associations is initially separated from language-based
causal knowledge, which is acquired in part from everyday conversation and shows
inaccuracies not evident in tacit knowledge. Mapping of descriptive and
explanatory language onto causal awareness appears therefore to be a key
development, which promotes unified conceptual and procedural understanding.
CONCLUSIONS: This account suggests that the core components of initial science
learning are (1) accurate observation, (2) the ability to extract and reason
explicitly about causal connections, and (3) knowledge of mechanisms that explain
these connections. Observational ability is educationally inaccessible until
integrated with verbal description and explanation, for instance, via
collaborative group work tasks that require explicit reasoning with respect to
joint observations. Descriptive ability and explanatory ability are further
promoted by managed exposure to scientific vocabulary and use of scientific
language. Scientific reasoning and hypothesis testing are later acquisitions that
depend on this integration of systems and improved executive control.
PMID- 27199280
TI - Marinomonas gallaica sp. nov. and Marinomonas atlantica sp. nov., isolated from
reared clams (Ruditapes decussatus).
AB - Three Gram-negative bacterial strains (Cmf 17.2T, Rd 20.33 and Cmf 18.22T)
isolated from reared clams in Galicia were subjected to a taxonomic study, based
on genetic and phenotypic characterization. Analysis of the 16S rRNA gene allowed
the identification of the strains as members of the genus Marinomonas, sharing
the highest similarity with Marinomonas aquimarina CECT 5080T (97.8 %-98.5 % 16S
rRNA gene sequence similarity). Phylogenetic analysis of the sequences showed
that the three isolates formed two different groups distantly related to their
closest relative, M. aquimarina. DNA-DNA hybridizations were performed to confirm
the taxonomic position and the results were below the recommended threshold for
species delimitation, specifically 44.5 % (Cmf 17.2T with M. aquimarina CECT
5080T) and 55 % (Cmf 18.22Twith M. aquimarina CECT 5080T). Furthermore, the
average nucleotide identity (ANIb, ANIm and OrthoANI) and in silico estimated DNA
DNA reassociation values among Cmf 17.2T, Cmf 18.22T and M. aquimarina CECT 5080T
were in all cases below the respective threshold for species differentiation. The
estimated G+C content of the genomic DNA was found to be 45.3 % (Cmf 17.2T) and
44.6 % (Cmf 18.22T). The principal fatty acids of the strains were found to be
summed feature 3 (C16 : 1 omega7c/C16 : 1omega6c), summed feature 8 (C18 :
1omega7c/C18 : 1omega6c), C16 : 0, C12 : 0 and C10 : 0 3-OH. The results obtained
on the characterization of the clam isolates indicate that they represent two
novel species of the genus Marinomonas, for which the names Marinomonas gallaica
sp. nov. (type strain Cmf 17.2T=CECT 9049T=LMG 29243T) and Marinomonas atlantica
sp. nov. (type strain Cmf 18.22T=CECT 9050T=LMG 29244T) are proposed.
PMID- 27199281
TI - How can mental health and faith-based practitioners work together? A case study
of collaborative mental health in Gujarat, India.
AB - Despite the knowledge that people with mental illness often seek care from
multiple healing systems, there is limited collaboration between these systems.
Greater collaboration with existing community resources could narrow the
treatment gap and reduce fragmentation by encouraging more integrated care. This
paper explores the origins, use, and outcomes of a collaborative programme
between faith-based and allopathic mental health practitioners in India. We
conducted 16 interviews with key stakeholders and examined demographic and
clinical characteristics of the user population. Consistent with previous
research, we found that collaboration is challenging and requires trust, rapport
building, and open dialogue. The collaboration reached a sizeable population, was
reviewed favourably by key stakeholders-particularly on health improvement and
livelihood restoration-and perhaps most importantly, views the client
holistically, allowing for both belief systems to play a shared role in care and
recovery. Results support the idea that, despite differing practices,
collaboration between faith-based and allopathic mental health practitioners can
be achieved and can benefit clients with otherwise limited access to mental
health care.
PMID- 27199282
TI - Potential Involvement of Draxin in the Axonal Projection of Cranial Nerves,
Especially Cranial Nerve X, in the Chick Hindbrain.
AB - The appropriate projection of axons within the nervous system is a crucial
component of the establishment of neural circuitry. Draxin is a repulsive axon
guidance protein. Draxin has important functions in the guidance of three
commissures in the central nervous system and in the migration of neural crest
cells and dI3 interneurons in the chick spinal cord. Here, we report that the
distribution of the draxin protein and the location of 23C10-positive areas have
a strong temporal and spatial correlation. The overexpression of draxin,
especially transmembrane draxin, caused 23C10-positive axon bundles to misproject
in the dorsal hindbrain. In addition, the overexpression of transmembrane draxin
caused abnormal formation of the ganglion crest of the IX and X cranial nerves,
misprojection of some anti-human natural killer-1 (HNK-1)-stained structures in
the dorsal roof of the hindbrain, and a simultaneous reduction in the efferent
nerves of some motoneuron axons inside the hindbrain. Our data reveal that draxin
might be involved in the fascicular projection of cranial nerves in the
hindbrain.
PMID- 27199284
TI - Correction to Use of a Lipid-Coated Mesoporous Silica Nanoparticle Platform for
Synergistic Gemcitabine and Paclitaxel Delivery to Human Pancreatic Cancer in
Mice.
PMID- 27199283
TI - MicroRNA-30 inhibits neointimal hyperplasia by targeting Ca(2+)/calmodulin
dependent protein kinase IIdelta (CaMKIIdelta).
AB - The multifunctional Ca(2+)/calmodulin-dependent protein kinase II delta-isoform
(CaMKIIdelta) promotes vascular smooth muscle (VSM) proliferation, migration, and
injury-induced vascular wall neointima formation. The objective of this study was
to test if microRNA-30 (miR-30) family members are endogenous regulators of
CaMKIIdelta expression following vascular injury and whether ectopic expression
of miR-30 can inhibit CaMKIIdelta-dependent VSM cell function and neointimal VSM
hyperplasia induced by vascular injury. The CaMKIIdelta 3'UTR contains a
consensus miR-30 binding sequence that is highly conserved across species. A
significant decrease in miR-30 family members and increase in CaMKIIdelta2
protein expression, with no change in CaMKIIdelta mRNA expression, was observed
in medial layers of VSM 7 days post-injury. In vitro, overexpression of miR-30c
or miR-30e inhibited CaMKIIdelta2 protein expression by ~50% in cultured rat
aortic VSM cells, and inhibited VSM cell proliferation and migration. In vivo,
lenti-viral delivery of miR-30c into injured rat carotid arteries prevented the
injury-induced increase in CaMKIIdelta2. Furthermore, neointima formation was
dramatically inhibited by lenti-viral delivery of miR-30c in the injured medial
smooth muscle. These studies define a novel mechanism for regulating CaMKIIdelta
expression in VSM and provide a new potential therapeutic strategy to reduce
progression of vascular proliferative diseases, including atherosclerosis and
restenosis.
PMID- 27199285
TI - Selenium-Doped Black Phosphorus for High-Responsivity 2D Photodetectors.
AB - Se-doped black phosphorus (BP) crystal, in centimeter scale, is synthesized by a
scalable gas-phase growth method under mild conditions. The Se-doped BP exhibits
high quality with excellent electrical properties. The Se dope induces over 20
fold enhancement of responsivity (R) for BP-based 2D photodetectors, resulting in
a high R and external quantum efficiency of 15.33 A W-1 and 2993%, respectively.
PMID- 27199286
TI - Prevention of antipsychotic-induced hyperglycaemia by vitamin D: a data mining
prediction followed by experimental exploration of the molecular mechanism.
AB - Atypical antipsychotics are associated with an increased risk of hyperglycaemia,
thus limiting their clinical use. This study focused on finding the molecular
mechanism underlying antipsychotic-induced hyperglycaemia. First, we searched for
drug combinations in the FDA Adverse Event Reporting System (FAERS) database
wherein a coexisting drug reduced the hyperglycaemia risk of atypical
antipsychotics, and found that a combination with vitamin D analogues
significantly decreased the occurrence of quetiapine-induced adverse events
relating diabetes mellitus in FAERS. Experimental validation using mice revealed
that quetiapine acutely caused insulin resistance, which was mitigated by dietary
supplementation with cholecalciferol. Further database analysis of the relevant
signalling pathway and gene expression predicted quetiapine-induced
downregulation of Pik3r1, a critical gene acting downstream of insulin receptor.
Focusing on the phosphatidylinositol 3-kinase (PI3K) signalling pathway, we found
that the reduced expression of Pik3r1 mRNA was reversed by cholecalciferol
supplementation in skeletal muscle, and that insulin-stimulated glucose uptake
into C2C12 myotube was inhibited in the presence of quetiapine, which was
reversed by concomitant calcitriol in a PI3K-dependent manner. Taken together,
these results suggest that vitamin D coadministration prevents antipsychotic
induced hyperglycaemia and insulin resistance by upregulation of PI3K function.
PMID- 27199287
TI - Progress in the care of common inherited atherogenic disorders of apolipoprotein
B metabolism.
AB - Familial hypercholesterolaemia, familial combined hyperlipidaemia (FCH) and
elevated lipoprotein(a) are common, inherited disorders of apolipoprotein B
metabolism that markedly accelerate the onset of atherosclerotic cardiovascular
disease (ASCVD). These disorders are frequently encountered in clinical
lipidology and need to be accurately identified and treated in both index
patients and their family members, to prevent the development of premature ASCVD.
The optimal screening strategies depend on the patterns of heritability for each
condition. Established therapies are widely used along with lifestyle
interventions to regulate levels of circulating lipoproteins. New therapeutic
strategies are becoming available, and could supplement traditional approaches in
the most severe cases, but their long-term cost-effectiveness and safety have yet
to be confirmed. We review contemporary developments in the understanding,
detection and care of these highly atherogenic disorders of apolipoprotein B
metabolism.
PMID- 27199288
TI - Diabetes: Hypoglycaemia risk with dual use of DPP-4 inhibitors and
sulphonylureas.
PMID- 27199289
TI - Obesity: Consequences of AMPK activation.
PMID- 27199290
TI - Control of puberty onset and fertility by gonadotropin-releasing hormone neurons.
AB - The gonadotropin-releasing hormone (GnRH) neuronal network generates pulse and
surge modes of gonadotropin secretion critical for puberty and fertility. The
arcuate nucleus kisspeptin neurons that innervate the projections of GnRH neurons
in and around their neurosecretory zone are key components of the pulse generator
in all mammals. By contrast, kisspeptin neurons located in the preoptic area
project to GnRH neuron cell bodies and proximal dendrites and are involved in
surge generation in female rodents (and possibly other species). The hypothalamic
pituitary-gonadal axis develops embryonically but, apart from short periods of
activation immediately after birth, remains suppressed through a combination of
gonadal and non-gonadal mechanisms. At puberty onset, the pulse generator
reactivates, probably owing to progressive stimulatory influences on GnRH neurons
from glial and neurotransmitter signalling, and the re-emergence of stimulatory
arcuate kisspeptin input. In females, the development of pulsatile gonadotropin
secretion enables final maturation of the surge generator that ultimately
triggers the first ovulation. Representation of the GnRH neuronal network as a
series of interlocking functional modules could help conceptualization of its
functioning in different species. Insights into pulse and surge generation are
expected to aid development of therapeutic strategies ameliorating pubertal
disorders and infertility in the clinic.
PMID- 27199292
TI - Thyroid gland: Genetic insight into antithyroid drug-induced agranulocytosis in a
European population.
PMID- 27199293
TI - Pituitary gland: Sex difference in comorbidity burden associated with
nonfunctioning pituitary adenomas.
PMID- 27199294
TI - Epidemiology: The diabetes mellitus tsunami: worse than the 'Spanish flu'
pandemic?
PMID- 27199295
TI - What consumers don't know about genetically modified food, and how that affects
beliefs.
AB - In the debates surrounding biotechnology and genetically modified (GM) food, data
from consumer polls are often presented as evidence for precaution and labeling.
But how much do consumers actually know about the issue? New data collected from
a nationwide U.S. survey reveal low levels of knowledge and numerous
misperceptions about GM food. Nearly equal numbers of consumers prefer mandatory
labeling of foods containing DNA as do those preferring mandatory labeling of GM
foods. When given the option, the majority of consumers prefer that decisions
about GM food be taken out of their hands and be made by experts. After answering
a list of questions testing objective knowledge of GM food, subjective, self
reported knowledge declines somewhat, and beliefs about GM food safety increase
slightly. Results suggest that consumers think they know more than they actually
do about GM food, and queries about GM facts cause respondents to reassess how
much they know. The findings question the usefulness of results from opinion
polls as a motivation for creating public policy surrounding GM food.-McFadden,
B. R., Lusk, J. L. What consumers don't know about genetically modified food, and
how that affects beliefs.
PMID- 27199291
TI - Hypothalamic AMPK: a canonical regulator of whole-body energy balance.
AB - AMP-activated protein kinase (AMPK) has a major role in the modulation of energy
balance. AMPK is activated in conditions of low energy, increasing energy
production and reducing energy consumption. The AMPK pathway is a canonical route
regulating energy homeostasis by integrating peripheral signals, such as hormones
and metabolites, with neuronal networks. Current evidence has implicated AMPK in
the hypothalamus and hindbrain with feeding, brown adipose tissue thermogenesis
and browning of white adipose tissue, through modulation of the sympathetic
nervous system, as well as glucose homeostasis. Interestingly, several potential
antiobesity and/or antidiabetic agents, some of which are currently in clinical
use such as metformin and liraglutide, exert some of their actions by acting on
AMPK. Furthermore, the orexigenic and weight-gain effects of commonly used
antipsychotic drugs are also mediated by hypothalamic AMPK. Overall, this
evidence suggests that hypothalamic AMPK signalling is an interesting target for
drug development, but is this approach feasible? In this Review we discuss the
current understanding of hypothalamic AMPK and its role in the central regulation
of energy balance and metabolism.
PMID- 27199296
TI - Dendritic cells primed with a chimeric plasmid containing HIV-1-gag associated
with lysosomal-associated protein-1 (LAMP/gag) is a potential therapeutic vaccine
against HIV.
AB - The decline in number and function of T cells is a hallmark of HIV infection, and
preservation or restoration of HIV-specific cellular immune response is a major
goal of AIDS treatment. Dendritic cells (DCs) play a key role in the initiation
and maintenance of the immune response, and their use as a vaccine vehicle is a
promising strategy for enhancing vaccine efficacy. We evaluated the potential of
DC-mediated immunization with a DNA vaccine consisting of HIV-1-p55gag (gag,
group-specific antigen) associated to lysosomal associated protein (LAMP)
sequence (LAMP/gag vaccine). Immunization of mice with mouse DCs transfected with
LAMP/gag (Lg-mDCs) stimulated more potent B- and T-cell responses than naked DNA
or DCs pulsed with inactivated HIV. Anti-Gag antibody levels were sustained for
at least 3 mo after immunization, and recall T-cell responses were also strongly
detected at this time point. Human DCs transfected with LAMP/gag (Lg-hDCs) were
also activated and able to stimulate greater T-cell response than native gag
transfected DCs. Coculture between Lg-hDCs and T lymphocytes obtained from
patients with HIV resulted in upregulation of CD38, CD69, HLA-DR, and granzyme B
by CD4(+) and CD8(+) T cells, and increased IFN-gamma and TNF-alpha production.
These results indicate that the use of LAMP/gag-DC may be an efficient strategy
for enhancing immune function in patients with HIV.-Lucas, C. G. D. O.,
Matassoli, F. L., Pecanha, L. M. T., Santillo, B. T., Oliveira, L. M. D. S.,
Oshiro, T. M., Marques, E. T. D. A., Jr., Oxenius, A., de Arruda, L. B. Dendritic
cells primed with a chimeric plasmid containing HIV-1-gag associated with
lysosomal-associated protein-1 (LAMP/gag) is a potential therapeutic vaccine
against HIV.
PMID- 27199297
TI - Proposal for a transmon-based quantum router.
AB - We propose an implementation of a quantum router for microwave photons in a
superconducting qubit architecture consisting of a transmon qubit, SQUIDs and a
nonlinear capacitor. We model and analyze the dynamics of operation of the
quantum switch using quantum Langevin equations in a scattering approach and
compute the photon reflection and transmission probabilities. For parameters
corresponding to up-to-date experimental devices we predict successful operation
of the router with probabilities above 94%.
PMID- 27199298
TI - Preserving medical correctness, readability and consistency in de-identified
health records.
AB - A health record database contains structured data fields that identify the
patient, such as patient ID, patient name, e-mail and phone number. These data
are fairly easy to de-identify, that is, replace with other identifiers. However,
these data also occur in fields with doctors' free-text notes written in an
abbreviated style that cannot be analyzed grammatically. If we replace a word
that looks like a name, but isn't, we degrade readability and medical
correctness. If we fail to replace it when we should, we degrade confidentiality.
We de-identified an existing Danish electronic health record database, ending up
with 323,122 patient health records. We had to invent many methods for de
identifying potential identifiers in the free-text notes. The de-identified
health records should be used with caution for statistical purposes because we
removed health records that were so special that they couldn't be de-identified.
Furthermore, we distorted geography by replacing zip codes with random zip codes.
PMID- 27199299
TI - Evaluation of Ki-67 antigen expression in the vaginal epithelium of castrated
female rats treated with raloxifene and tamoxifen: a comparative study.
AB - OBJECTIVE: The aim of this study was to evaluate the effects of raloxifene and
tamoxifen on Ki-67 antigen expression in the vaginal epithelium of castrated
rats. MATERIAL AND METHODS: Thirty-nine virgin, adult, castrated female Wistar
Hannover rats were randomly divided into three groups: Group I (control, n = 13),
Group II (raloxifene, n = 13) and Group III (tamoxifen, n = 13). After
confirmation of their hypoestrogenic state, the rats were given 0.5 ml of
propylene glycol (vehicle), 750 MUg of raloxifene or 250 MUg of tamoxifen,
respectively, by gavage, for 30 days. On the 31st day, the rats were euthanized
and their vaginas removed and fixed in 10% buffered formalin for of Ki-67
immunohistochemical evaluation. Data were analyzed using Levene's test and
Tukey's method (p < 0.05). RESULTS: Mean Ki-67 expression in groups I, II and III
was 27 +/- 2.6, 32.3 +/- 1.9 and 43.7 +/- 3.5, respectively. In Group III
(tamoxifen), there was a greater proportion of stained cells compared to Groups I
and II (p < 0.0003), with no statistically significant difference between Groups
I and II (p = 0.3626). CONCLUSIONS: The present results show that tamoxifen
significantly increased cell proliferation in the vaginal epithelium of the
castrated rats and no difference between the raloxifene and control groups.
PMID- 27199300
TI - Predicting global invasion risks: a management tool to prevent future
introductions.
AB - Predicting regions at risk from introductions of non-native species and the
subsequent invasions is a fundamental aspect of horizon scanning activities that
enable the development of more effective preventative actions and planning of
management measures. The Asian cyprinid fish topmouth gudgeon Pseudorasbora parva
has proved highly invasive across Europe since its introduction in the 1960s. In
addition to direct negative impacts on native fish populations, P. parva has
potential for further damage through transmission of an emergent infectious
disease, known to cause mortality in other species. To quantify its invasion
risk, in regions where it has yet to be introduced, we trained 900 ecological
niche models and constructed an Ensemble Model predicting suitability, then
integrated a proxy for introduction likelihood. This revealed high potential for
P. parva to invade regions well beyond its current invasive range. These included
areas in all modelled continents, with several hotspots of climatic suitability
and risk of introduction. We believe that these methods are easily adapted for a
variety of other invasive species and that such risk maps could be used by policy
makers and managers in hotspots to formulate increased surveillance and early
warning systems that aim to prevent introductions and subsequent invasions.
PMID- 27199301
TI - Microfluidic paper-based biomolecule preconcentrator based on ion concentration
polarization.
AB - Microfluidic paper-based analytical devices (MUPADs) for molecular detection have
great potential in the field of point-of-care diagnostics. Currently, a critical
problem being faced by MUPADs is improving their detection sensitivity. Various
preconcentration processes have been developed, but they still have complicated
structures and fabrication processes to integrate into MUPADs. To address this
issue, we have developed a novel paper-based preconcentrator utilizing ion
concentration polarization (ICP) with minimal addition on lateral-flow paper. The
cation selective membrane (i.e., Nafion) is patterned on adhesive tape, and this
tape is then attached to paper-based channels. When an electric field is applied
across the Nafion, ICP is initiated to preconcentrate the biomolecules in the
paper channel. Departing from previous paper-based preconcentrators, we maintain
steady lateral fluid flow with the separated Nafion layer; as a result,
fluorescent dyes and proteins (FITC-albumin and bovine serum albumin) are
continuously delivered to the preconcentration zone, achieving high
preconcentration performance up to 1000-fold. In addition, we demonstrate that
the Nafion-patterned tape can be integrated with various geometries (multiplexed
preconcentrator) and platforms (string and polymer microfluidic channel). This
work would facilitate integration of various ICP devices, including
preconcentrators, pH/concentration modulators, and micro mixers, with steady
lateral flows in paper-based platforms.
PMID- 27199302
TI - Tunable coating of gold nanostars: tailoring robust SERS labels for cell imaging.
AB - Surface modification of noble metal nanoparticles with mixed molecular monolayers
is one of the most powerful tools in nanotechnology, and is used to impart and
tune new complex surface properties. In imaging techniques based on surface
enhanced Raman spectroscopy (SERS), precise and controllable surface
modifications are needed to carefully design reproducible, robust and adjustable
SERS nanoprobes. We report here the attainment of SERS labels based on gold
nanostars (GNSs) coated with a mixed monolayer composed of a poly ethylene glycol
(PEG) thiol (neutral or negatively charged) that ensure stability in biological
environments, and of a signalling unit 7-Mercapto-4-methylcoumarin as a Raman
reporter molecule. The composition of the coating mixture is precisely controlled
using an original method, allowing the modulation of the SERS intensity and
ensuring overall nanoprobe stability. The further addition of a positively
charged layer of poly (allylamine hydrocloride) on the surface of negatively
charged SERS labels does not change the SERS response, but it promotes the
penetration of GNSs in SH-SY5Y neuroblastoma cells. As an example of an
application of such an approach, we demonstrate here the internalization of these
new labels by means of visualization of cell morphology obtained with SERS
mapping.
PMID- 27199303
TI - Academic assessment of arterial pulse contour analysis: missing the forest for
the trees?
PMID- 27199304
TI - Applied cardiovascular physiology in theatre: measuring the cardiovascular
effects of propofol anaesthesia.
PMID- 27199305
TI - Monitoring consciousness under anaesthesia: the 21st century isolated forearm
technique.
PMID- 27199306
TI - Anaesthesia for awake craniotomy.
PMID- 27199307
TI - Platelet function in paediatric cardiac surgery.
PMID- 27199308
TI - New guideline for perioperative management of people with inherited salt-wasting
alkaloses.
PMID- 27199309
TI - Methodology of method comparison studies evaluating the validity of cardiac
output monitors: a stepwise approach and checklist.
AB - The validity of each new cardiac output (CO) monitor should be established before
implementation in clinical practice. For this purpose, method comparison studies
investigate the accuracy and precision against a reference technique. With the
emergence of continuous CO monitors, the ability to detect changes in CO, in
addition to its absolute value, has gained interest. Therefore, method comparison
studies increasingly include assessment of trending ability in the data analysis.
A number of methodological challenges arise in method comparison research with
respect to the application of Bland-Altman and trending analysis. Failure to face
these methodological challenges will lead to misinterpretation and erroneous
conclusions. We therefore review the basic principles and pitfalls of Bland
Altman analysis in method comparison studies concerning new CO monitors. In
addition, the concept of clinical concordance is introduced to evaluate trending
ability from a clinical perspective. The primary scope of this review is to
provide a complete overview of the pitfalls in CO method comparison research,
whereas other publications focused on a single aspect of the study design or data
analysis. This leads to a stepwise approach and checklist for a complete data
analysis and data representation.
PMID- 27199310
TI - Efficacy and safety of intravenous lidocaine for postoperative analgesia and
recovery after surgery: a systematic review with trial sequential analysis.
AB - BACKGROUND: Improvement of postoperative pain and other perioperative outcomes
remain a significant challenge and a matter of debate among perioperative
clinicians. This systematic review aims to evaluate the effects of perioperative
i.v. lidocaine infusion on postoperative pain and recovery in patients undergoing
various surgical procedures. METHODS: CENTRAL, MEDLINE, EMBASE, and CINAHL
databases and ClinicalTrials.gov, and congress proceedings were searched for
randomized controlled trials until May 2014, that compared patients who did or
did not receive continuous perioperative i.v. lidocaine infusion. RESULTS: Forty
five trials (2802 participants) were included. Meta-analysis suggested that
lidocaine reduced postoperative pain (visual analogue scale, 0 to 10 cm) at 1-4 h
(MD -0.84, 95% CI -1.10 to -0.59) and at 24 h (MD -0.34, 95% CI -0.57 to -0.11)
after surgery, but not at 48 h (MD -0.22, 95% CI -0.47 to 0.03). Subgroup
analysis and trial sequential analysis suggested pain reduction for patients
undergoing laparoscopic abdominal surgery or open abdominal surgery, but not for
patients undergoing other surgeries. There was limited evidence of positive
effects of lidocaine on postoperative gastrointestinal recovery, opioid
requirements, postoperative nausea and vomiting, and length of hospital stay.
There were limited data available on the effect of systemic lidocaine on adverse
effects or surgical complications. Quality of evidence was limited as a result of
inconsistency (heterogeneity) and indirectness (small studies). CONCLUSIONS:
There is limited evidence suggesting that i.v. lidocaine may be a useful adjuvant
during general anaesthesia because of its beneficial impact on several outcomes
after surgery.
PMID- 27199311
TI - The effect of propofol on haemodynamics: cardiac output, venous return, mean
systemic filling pressure, and vascular resistances.
AB - BACKGROUND: Although arterial hypotension occurs frequently with propofol use in
humans, its effects on intravascular volume and vascular capacitance are
uncertain. We hypothesized that propofol decreases vascular capacitance and
therefore decreases stressed volume. METHODS: Cardiac output (CO) was measured
using Modelflow((r)) in 17 adult subjects after upper abdominal surgery. Mean
systemic filling pressure (MSFP) and vascular resistances were calculated using
venous return curves constructed by measuring steady-state arterial and venous
pressures and CO during inspiratory hold manoeuvres at increasing plateau
pressures. Measurements were performed at three incremental levels of targeted
blood propofol concentrations. RESULTS: Mean blood propofol concentrations for
the three targeted levels were 3.0, 4.5, and 6.5 ug ml(-1). Mean arterial
pressure, central venous pressure, MSFP, venous return pressure, Rv, systemic
arterial resistance, and resistance of the systemic circulation decreased, stroke
volume variation increased, and CO was not significantly different as propofol
concentration increased. CONCLUSIONS: An increase in propofol concentration
within the therapeutic range causes a decrease in vascular stressed volume
without a change in CO. The absence of an effect of propofol on CO can be
explained by the balance between the decrease in effective, or stressed, volume
(as determined by MSFP), the decrease in resistance for venous return, and
slightly improved heart function. CLINICAL TRIAL REGISTRATION: Netherlands Trial
Register: NTR2486.
PMID- 27199312
TI - Greater intravenous fluid volumes are associated with prolonged recovery after
colorectal surgery: a retrospective cohort study.
AB - BACKGROUND: We carried out a retrospective assessment of whether perioperative
fluid volume was associated with length of hospital stay (LOS) after colorectal
surgery. METHODS: A single-centre chart review was conducted on colorectal
surgeries that took place between January 2008 and December 2013. The primary
outcome was LOS, with prolonged LOS defined as greater than median LOS. Secondary
outcomes included postoperative pulmonary oedema, acute renal failure, myocardial
infarction, and mortality. Univariate analysis, multivariable logistic
regression, and quantile regression analyses were conducted to examine the
association between perioperative fluid volume and prolonged LOS. RESULTS: Of the
1242 procedures, 57% were elective, 62% oncological, and 31% laparoscopic. The
median LOS was 8.2 days (interquartile range 5.2, 14.7). Patients received 3.2
(sd 1.5) litres of fluid in the perioperative period (operating and recovery
rooms), predominantly crystalloid. The volume (in litres) of perioperative fluid
was independently associated with prolonged LOS (odds ratio 1.23, 95% confidence
interval 1.10-1.36, P<0.01). This association persisted across the spectrum of
definitions for prolonged LOS (10th-90th percentile). Logistic regression
analysis also revealed that prolonged LOS was associated with age >65 yr,
Charlson Co-morbidity Index >=3, use of colloids, emergent surgery, estimated
blood loss >200 ml, preoperative anaemia, erythrocyte transfusion, open
surgeries, and surgical duration >4 h (C-statistic=0.79, Hosmer-Lemeshow=0.36).
CONCLUSIONS: Greater perioperative fluid volume was independently associated with
prolonged duration of recovery across a spectrum of surgical risk profiles. Fluid
restriction should be considered a part of the care package in enhanced recovery
after surgery programmes for colorectal surgery.
PMID- 27199313
TI - Perioperative monitoring of platelet function in paediatric cardiac surgery by
thromboelastometry, or platelet aggregometry?
AB - BACKGROUND: Impaired platelet function increases the risk of bleeding
complications in cardiac surgery. Reliable assessment of platelet function can
improve treatment. We investigated whether thromboelastometry detects clinically
significant preoperative, perioperative, and postoperative adenosine diphosphate
(ADP)-dependent platelet dysfunction in paediatric cardiac surgery patients.
METHODS: Fifty-seven children were included in a single-centre prospective
observational study. Clot formation (modified rotational thromboelastometry with
heparinase, HEPTEM) and platelet aggregation (multiple electrode aggregometry)
were analysed at five time points before, during, and after surgery. The accuracy
of thromboelastometric indices of platelet function [maximal clot firmness (MCF)
and clot formation time (CFT)] to detect ADP-dependent platelet dysfunction
(defined as ADP-induced aggregation <=30 units) was calculated with receiver
operating characteristics analysis, which also identified optimal cut-off levels.
Positive and negative predictive values for the identified cut-off levels
(CFT>=166 s; MCF<=43 mm) to detect platelet function were determined. RESULTS:
The MCF and CFT were highly accurate in predicting platelet dysfunction during
cardiopulmonary bypass [CPB; area under the aggregation curve 0.89 (95%
confidence interval 0.80-0.97) and 0.86 (0.77-0.96), respectively] but not
immediately after CPB [0.64 (0.48-0.79) and 0.67 (0.52-0.82), respectively] or on
arrival at the intensive care unit [0.53 (0.37-0.69) and 0.60 (0.44-0.77),
respectively]. The positive and negative predictive values were acceptable during
CPB (87 and 67%, respectively, for MCF<=43 mm; 80 and 100% for CFT>=166 s) but
markedly lower after surgery. CONCLUSION: In paediatric cardiac surgery,
thromboelastometry has acceptable ability to detect ADP-dependent platelet
dysfunction during, but not after, CPB.
PMID- 27199315
TI - Increasing positive end-expiratory pressure (re-)improves intraoperative
respiratory mechanics and lung ventilation after prone positioning.
AB - BACKGROUND: Turning a patient prone, changes the respiratory mechanics and
potentially the level of positive end-expiratory pressure (PEEP) that is
necessary to prevent alveolar collapse. In this prospective clinical study we
examined the impact of PEEP on the intratidal respiratory mechanics and regional
lung aeration in the prone position. We hypothesized that a higher PEEP is
required to maintain compliance and regional ventilation in the prone position.
METHODS: After ethical approval, 45 patients with healthy lungs undergoing lumbar
spine surgery were examined in the supine position at PEEP 6 cm H2O and in the
prone position at PEEP (6, 9 and 12 cm H2O). Dynamic compliance (CRS) and
intratidal compliance-volume curves were determined and regional ventilation was
measured using electrical impedance tomography. The compliance-volume curves were
classified to indicate intratidal derecruitment, overdistension, or neither.
RESULTS: CRS did not differ between postures and PEEP levels (P>0.28). At a PEEP
of 6 cm H2O a compliance-volume profile indicating neither derecruitment nor
overdistension was observed in 38 supine, but only in 20 prone positioned
patients (P<0.001). The latter increased to 33 and 37 (both P<0.001) when
increasing PEEP to 9 and 12 cm H2O, respectively. Increasing PEEP from 6 to 9 cm
H2O in the prone position increased peripheral ventilation significantly.
CONCLUSIONS: Respiratory system mechanics change substantially between supine and
prone posture, which is not demonstrated in routine measurements. The intratidal
compliance analysis suggests that in most patients a PEEP above commonly used
settings is necessary to avoid alveolar collapse in the prone position. CLINICAL
TRIAL REGISTRATION: DRKS 00005692.
PMID- 27199314
TI - The role of peripheral afferents in persistent inguinal postherniorrhaphy pain: a
randomized, double-blind, placebo-controlled, crossover trial of ultrasound
guided tender point blockade.
AB - BACKGROUND: Severe, persistent inguinal postherniorrhaphy pain (PIPP) is a
debilitating condition that develops in 2-5% of patients. PIPP may be neuropathic
in nature, yet the lesion in the peripheral nervous system has not been located.
Most PIPP-patients demonstrate a tender point (TP) in the medial aspect of the
inguinal region that triggers pain upon minimal pressure. As TPs may play a role
in the pathophysiology of PIPP, the aim of this trial was to investigate the
analgesic effects of local anaesthetic TP-blockade. METHODS: A randomized, double
blind, placebo-controlled, crossover trial was performed in 14 PIPP-patients and
six healthy volunteers. All participated in two sessions, seven days apart,
receiving 10 ml of 0.25% bupivacaine or normal saline via an ultrasound-guided
fascial plane block at the TP. The TP-area was used for pain assessments (at
rest, on movement, with 100 kPa pressure-algometry) and quantitative sensory
testing (pressure pain thresholds, thermal detection/pain thresholds, supra
threshold heat perception), before and after the TP-blockade. RESULTS: The median
(95% CI) reduction in pain was 63% (44.1 to 73.6%) after bupivacaine compared
with 36% (11.6 to 49.7%; P=0.003) after placebo. Significant increases in cool
detection (P=0.01) and pressure pain thresholds (P=0.009) with decreases in supra
threshold heat pain perception (P=0.003) were seen after bupivacaine only. In
four out of six volunteers, increased thermal and evoked-pain thresholds after
bupivacaine compared with placebo, was demonstrated. CONCLUSIONS: This trial
demonstrates that peripheral afferent input from the TP-area is important for
maintenance of spontaneous and evoked pain in PIPP. CLINICAL TRIAL REGISTRATION:
NCT02065219.
PMID- 27199316
TI - Immediate postoperative extubation in bilateral lung transplantation: predictive
factors and outcomes.
AB - BACKGROUND: We reviewed our experience with tracheal extubation in the operating
room (E-OR) among cystic fibrosis patients requiring bilateral lung
transplantation to evaluate safety and determine predictive factors of E-OR.
METHODS: The charts of 89 recipients (from May 2007 to June 2013) were analysed.
Patients were divided into E-OR and E-ICU (intensive care unit extubation)
groups. Data are expressed as numbers (percentages) or medians [25th-75th
percentiles]. RESULTS: There were 41 patients in the E-OR group (46%). Donor and
recipient characteristics were similar between groups. Intraoperative
complications occurred less frequently in the E-OR group, and fluid and
transfusion requirements were lower. Postoperative courses were different in the
E-OR group, including a lower rate of grade 3 primary graft dysfunction (0
compared with 19 patients, P<0.0001) and shorter ICU (5.0 [3.7-7.2] compared with
11.5 [7.0-15.5] days) and hospital stays (22.0 [18.0-25.5] compared with 33.0
[25.0-56.5] days, respectively; P<0.0001 for both). The 1 yr survival rates were
similar: 95% in the E-OR group and 98% in the E-ICU group. A statistical model
built on a development cohort of 60 randomly selected patients predicted 95% of E
OR instances in this cohort and 82% of E-OR instances in the validation cohort
(28 patients). Predictive factors were complications during single-lung
ventilation (second graft implantation), complications during bipulmonary
ventilation (end of surgery), and the ratio of arterial partial pressure of
oxygen to fractional inspired oxygen (end of surgery). CONCLUSIONS: Our protocol
allowed for extubation of 46% of bilateral lung transplant patients without
increased postoperative risks.
PMID- 27199317
TI - Effect of positive end-expiratory pressure on pulmonary shunt and dynamic
compliance during abdominal surgery.
AB - BACKGROUND: General anaesthesia decreases pulmonary compliance and increases
pulmonary shunt due to the development of atelectasis. The presence of
capnoperitoneum during laparoscopic surgery may further decrease functional
residual capacity, promoting an increased amount of atelectasis compared with
laparotomy. The aim of this study was to evaluate the effects of different levels
of positive end-expiratory pressure (PEEP) in both types of surgery and to
investigate whether higher levels of PEEP should be used during laparoscopic
surgery. METHODS: This prospective observational study included 52 patients
undergoing either laparotomy or laparoscopic surgery. Three levels of PEEP were
applied in random order: (1) zero (ZEEP), (2) 5 cmH2O and (3) 10 cmH2O. Pulmonary
shunt and ventilation/perfusion mismatch were assessed by the automatic lung
parameter estimator system. RESULTS: Pulmonary shunt was similar in both groups.
However, in laparotomy, a PEEP of 5 cmH2O significantly decreased shunt when
compared with ZEEP (12 vs 6%; P=0.001), with additional PEEP having no further
effect. In laparoscopic surgery, a significant reduction in shunt (13 vs 6%;
P=0.001) was obtained only at a PEEP of 10 cmH2O. Although laparoscopic surgery
was associated with a lower pulmonary compliance, increasing levels of PEEP were
able to ameliorate it in both groups. CONCLUSION: Both surgeries have similar
negative effects on pulmonary shunt, while the presence of capnoperitoneum
reduced only the pulmonary compliance. It appears that a more aggressive PEEP
level is required to reduce shunt and to maximize compliance in case of
laparoscopic surgery.
PMID- 27199319
TI - Isoflurane enhances the malignant potential of glioblastoma stem cells by
promoting their viability, mobility in vitro and migratory capacity in vivo.
AB - BACKGROUND: Isoflurane is one of the most common general anaesthetics used during
surgical procedures, including tumour resection. However, the effects of
isoflurane on the viability and migration capacity of cancer cells, specifically
in the context of brain cancer cells, remain unclear. Therefore, the aim of this
study was to evaluate the influence that isoflurane has on the function of
glioblastoma stem cells (GCSs) in regards to cell proliferation, survival and
migration. METHOD: U251-GSCs were exposed to isoflurane at clinically relevant
concentrations and incubation times. The effects on proliferation, survival and
migration capacities of the cells were evaluated in vitro. The potential risk was
assessed in mice by intracranial injection of U251-GSCs pretreated with
isoflurane. Furthermore, the average tumour volume and migration distance of U251
GSCs from the tumour centre were calculated. RESULTS: Exposure of U251-GSCs to
1.2% isoflurane for 6 h resulted in increased proliferation (P<0.05) and
decreased apoptosis rate (P<0.05) when compared with the control group. In
addition, isoflurane exposure caused increased migration capacity in vitro
(P<0.05) and the distance migrated was increased in vivo (P<0.05). CONCLUSION:
Clinically relevant concentrations and incubation times of isoflurane could
promote the viability and mobility of U251-GSCs, suggesting this general
anaesthetic may have detrimental effects in glioblastoma by facilitating its
growth and migration.
PMID- 27199318
TI - Detection of optimal PEEP for equal distribution of tidal volume by volumetric
capnography and electrical impedance tomography during decreasing levels of PEEP
in post cardiac-surgery patients.
AB - BACKGROUND: Homogeneous ventilation is important for prevention of ventilator
induced lung injury. Electrical impedance tomography (EIT) has been used to
identify optimal PEEP by detection of homogenous ventilation in non-dependent and
dependent lung regions. We aimed to compare the ability of volumetric capnography
and EIT in detecting homogenous ventilation between these lung regions. METHODS:
Fifteen mechanically-ventilated patients after cardiac surgery were studied.
Ventilator settings were adjusted to volume-controlled mode with a fixed tidal
volume (Vt) of 6-8 ml kg(-1) predicted body weight. Different PEEP levels were
applied (14 to 0 cm H2O, in steps of 2 cm H2O) and blood gases, Vcap and EIT were
measured. RESULTS: Tidal impedance variation of the non-dependent region was
highest at 6 cm H2O PEEP, and decreased significantly at 14 cm H2O PEEP
indicating decrease in the fraction of Vt in this region. At 12 cm H2O PEEP,
homogenous ventilation was seen between both lung regions. Bohr and Enghoff dead
space calculations decreased from a PEEP of 10 cm H2O. Alveolar dead space
divided by alveolar Vt decreased at PEEP levels <=6 cm H2O. The normalized slope
of phase III significantly changed at PEEP levels <=4 cm H2O. Airway dead space
was higher at higher PEEP levels and decreased at the lower PEEP levels.
CONCLUSIONS: In postoperative cardiac patients, calculated dead space agreed well
with EIT to detect the optimal PEEP for an equal distribution of inspired volume,
amongst non-dependent and dependent lung regions. Airway dead space reduces at
decreasing PEEP levels.
PMID- 27199322
TI - Inhaled sedation in acute brain injury patients.
PMID- 27199321
TI - Paradox of the incidence of postoperative nausea and vomiting after xenon-based
anaesthesia.
PMID- 27199320
TI - High inspired oxygen concentration increases the speed of onset of remifentanil
induced respiratory depression.
PMID- 27199323
TI - Reply.
PMID- 27199324
TI - Intrajugular ballon catheter will not abolish venous air embolism or its
consequences.
PMID- 27199325
TI - Do we need a manual jugular venous compression manoeuvre?
PMID- 27199326
TI - More evidence is needed regarding intraoperative hypotension and delirium after
cardiac surgery.
PMID- 27199327
TI - Intraoperative hypotension and postoperative delirium: no confusion on
confounding.
PMID- 27199328
TI - Reliable critical care: how knowledge translation can result in patient harm.
PMID- 27199330
TI - VentrainTM ejector ventilator: it ejects more than air.
PMID- 27199329
TI - Reply.
PMID- 27199331
TI - VentrainTM does not make up for needle cricothyroidotomy deficiencies.
PMID- 27199332
TI - Misuse of 'trend' to describe 'almost significant' differences in anaesthesia
research.
PMID- 27199333
TI - Reply.
PMID- 27199334
TI - 'Trend' and 'almost significant' in anaesthesia research.
PMID- 27199335
TI - Reply.
PMID- 27199336
TI - Most of the time, P is an unreliable marker, so we need no exact cut-off.
PMID- 27199344
TI - Femtosecond laser-controlled self-assembly of amorphous-crystalline nanogratings
in silicon.
AB - Self-assembly (SA) of molecular units to form regular, periodic extended
structures is a powerful bottom-up technique for nanopatterning, inspired by
nature. SA can be triggered in all classes of solid materials, for instance, by
femtosecond laser pulses leading to the formation of laser-induced periodic
surface structures (LIPSS) with a period slightly shorter than the laser
wavelength. This approach, though, typically involves considerable material
ablation, which leads to an unwanted increase of the surface roughness. We
present a new strategy to fabricate high-precision nanograting structures in
silicon, consisting of alternating amorphous and crystalline lines, with almost
no material removal. The strategy can be applied to static irradiation
experiments and can be extended into one and two dimensions by scanning the laser
beam over the sample surface. We demonstrate that lines and areas with parallel
nanofringe patterns can be written by an adequate choice of spot size, repetition
rate and scan velocity, keeping a constant effective pulse number (N eff) per
area for a given laser wavelength. A deviation from this pulse number leads
either to inhomogeneous or ablative structures. Furthermore, we demonstrate that
this approach can be used with different laser systems having widely different
wavelengths (1030 nm, 800 nm, 400 nm), pulse durations (370 fs, 100 fs) and
repetition rates (500 kHz, 100 Hz, single pulse) and that the grating period can
also be tuned by changing the angle of laser beam incidence. The grating
structures can be erased by irradiation with a single nanosecond laser pulse,
triggering recrystallization of the amorphous stripes. Given the large
differences in electrical conductivity between the two phases, our structures
could find new applications in nanoelectronics.
PMID- 27199343
TI - Understanding Intratumoral Heterogeneity: Lessons from the Analysis of At-Risk
Tissue and Premalignant Lesions in the Colon.
AB - Advances in DNA sequencing have created new opportunities to better understand
the biology of cancers. Attention is currently focused on precision medicine:
does a cancer carry a mutation that is targetable with already available drugs?
But, the timing at which multiple, targetable mutations arise during the adenoma
to carcinoma sequence remains unresolved. Borras and colleagues identified
mutations and allelic imbalance in at-risk mucosa and early polyps in the human
colon. Their analyses indicate that mutations in key genes can arise quite early
during tumorigenesis and that polyps are often multiclonal with at least two
clones. These results are consistent with the "Big Bang" model of tumorigenesis,
which postulates that intratumoral heterogeneity is a consequence of a mutational
burst in the first few cell divisions following initiation that drives divergence
from a single founder with unique but related clones coevolving. Emerging
questions center around the ancestry of the tumor and impact of early
intratumoral heterogeneity on tumor establishment, growth, progression, and most
importantly, response to therapeutic intervention. Additional sequencing studies
in which samples, especially at-risk tissue and premalignant neoplasms, are
analyzed from animal models and humans will further our understanding of
tumorigenesis and lead to more effective strategies for prevention and treatment.
Cancer Prev Res; 9(8); 638-41. (c)2016 AACRSee related article by Borras, et al.,
Cancer Prev Res 2016;9(6):417-427.
PMID- 27199345
TI - Paraburkholderia nodosa is the main N2-fixing species trapped by promiscuous
common bean (Phaseolus vulgaris L.) in the Brazilian 'Cerradao'.
AB - The bacterial genus Burkholderia comprises species occupying several habitats,
including a group of symbionts of leguminous plants-also called beta-rhizobia
that has been recently ascribed to the new genus Paraburkholderia We used common
bean (Phaseolus vulgaris L.) plants to trap rhizobia from an undisturbed soil of
the Brazilian Cerrado under the vegetation type 'Cerradao'. Genetic
characterization started with the analyses of 181 isolates by BOX-PCR, where the
majority revealed unique profiles, indicating high inter- and intra-species
diversity. Restriction fragment length polymorphism-PCR of the 16S rRNA of
representative strains of the BOX-PCR groups indicated two main clusters, and
gene-sequencing analysis identified the minority (27%) as Rhizobium and the
majority (73%) as Paraburkholderia Phylogenetic analyses of the 16S rRNA and
housekeeping (recA and gyrB) genes positioned all strains of the second cluster
in the species P. nodosa, and the phylogeny of a symbiotic gene-nodC-was in
agreement with the conserved genes. All isolates were stable vis-a-vis nodulating
common bean, but, in general, with a low capacity for fixing N2, although some
effective strains were identified. The predominance of P. nodosa might be
associated with the edaphic properties of the Cerrado biome, and might represent
an important role in terms of maintenance of the ecosystem, which is
characterized by acid soils with high saturation of aluminum and low N2 content.
PMID- 27199347
TI - Promiscuous and specific bacterial symbiont acquisition in the amoeboid genus
Nuclearia (Opisthokonta).
AB - We isolated 17 strains of the amoeboid genus Nuclearia (Opisthokonta) from five
Swiss lakes. Eight of these nucleariid isolates were associated with bacterial
endosymbionts and/or ectosymbionts. Amoebae were characterized morphologically
and by their 18S rRNA genes. Phylogeny based on molecular data resulted in four
established monophyletic branches and two new clusters. A heterogeneous picture
emerged by highlighting nucleariids with associated bacteria. Apart from one
cluster which consisted of only isolates with and three groups of amoebae without
symbionts, we also found mixed clusters. The picture got even more 'blurred' by
regarding the phylogeny of symbiotic bacteria. Although seven different bacterial
strains could be identified, it seems that we still are only scratching the
surface of symbionts' diversity. Furthermore, types of symbioses might be
different depending on host species. Strains of Nuclearia thermophila harboured
the same endosymbiont even when isolated from different lakes. This pointed to a
specific and obligate interaction. However, two isolates of N. delicatula were
associated with different endosymbiotic bacteria. Here the symbiont acquisition
seemed to be rather promiscuous. This behaviour regarding symbiotic associations
is especially remarkable considering the phylogenetic position of these basal
opisthokonts.
PMID- 27199346
TI - Role of secondary metabolites in the interaction between Pseudomonas fluorescens
and soil microorganisms under iron-limited conditions.
AB - Microorganisms can be versatile in their interactions with each other, being
variously beneficial, neutral or antagonistic in their effect. Although this
versatility has been observed among many microorganisms and in many environments,
little is known regarding the mechanisms leading to these changes in behavior. In
the present work, we analyzed the mechanism by which the soil bacterium
Pseudomonas fluorescens BBc6R8 shifts from stimulating the growth of the
ectomycorrhizal fungus Laccaria bicolor S238N to killing the fungus. We show that
among the three secondary metabolites produced by the bacterial strain-the
siderophores enantio-pyochelin and pyoverdine, and the biosurfactant viscosin-the
siderophores are mainly responsible for the antagonistic activity of the
bacterium under iron-limited conditions. While the bacterial strain continues to
produce beneficial factors, their effects are overridden by the action of their
siderophores. This antagonistic activity of the strain P. fluorescens BBC6R8 in
iron-depleted environments is not restricted to its influence on L. bicolor,
since it was also seen to inhibit the growth of the actinomycete Streptomyces
ambofaciens ATCC23877. We show that the strain P. fluorescens BBc6R8 uses
different strategies to acquire iron, depending on certain biotic and abiotic
factors.
PMID- 27199351
TI - The jump-into-contact effect in biased AFM probes on dielectric films and its
application to quantify the dielectric permittivity of thin layers.
AB - The jump-into-contact (JIC) phenomenon in biased atomic force microscopy (AFM)
probes on dielectric films is studied. The influence of the film thickness on the
position at which the AFM tip collapses irreversibly into the sample surface was
theoretically analyzed using a widely accepted analytical expression of the probe
sample electrostatic interaction force. It was demonstrated that for relatively
high values of voltage (V > 10-20 V) applied between the probe and the substrate
the cantilever deflection at the JIC is independent of the dielectric film
thickness for thin-ultrathin layers (h < 10-50 nm). Under the same conditions the
z-piezo distance at the JIC follows approximately a linear behavior with the film
thickness. Based on this effect an empirical model was formulated to estimate the
dielectric permittivity of thin/ultrathin dielectric films using the jump-into
contact distance. The procedure was successfully applied on thin PVD-SiO2 films,
obtaining good agreement with a dielectric constant value previously reported for
the same material.
PMID- 27199348
TI - Metastasis Stimulation by Hypoxia and Acidosis-Induced Extracellular Lipid Uptake
Is Mediated by Proteoglycan-Dependent Endocytosis.
AB - Hypoxia and acidosis are inherent stress factors of the tumor microenvironment
and have been linked to increased tumor aggressiveness and treatment resistance.
Molecules involved in the adaptive mechanisms that drive stress-induced disease
progression constitute interesting candidates of therapeutic intervention. Here,
we provide evidence of a novel role of heparan sulfate proteoglycans (HSPG) in
the adaptive response of tumor cells to hypoxia and acidosis through increased
internalization of lipoproteins, resulting in a lipid-storing phenotype and
enhanced tumor-forming capacity. Patient glioblastoma tumors and cells under
hypoxic and acidic stress acquired a lipid droplet (LD)-loaded phenotype, and
showed an increased recruitment of all major lipoproteins, HDL, LDL, and VLDL.
Stress-induced LD accumulation was associated with increased spheroid-forming
capacity during reoxygenation in vitro and lung metastatic potential in vivo On a
mechanistic level, we found no apparent effect of hypoxia on HSPGs, whereas
lipoprotein receptors (VLDLR and SR-B1) were transiently upregulated by hypoxia.
Importantly, however, using pharmacologic and genetic approaches, we show that
stress-mediated lipoprotein uptake is highly dependent on intact HSPG expression.
The functional relevance of HSPG in the context of tumor cell stress was
evidenced by HSPG-dependent lipoprotein cell signaling activation through the
ERK/MAPK pathway and by reversal of the LD-loaded phenotype by targeting of
HSPGs. We conclude that HSPGs may have an important role in the adaptive response
to major stress factors of the tumor microenvironment, with functional
consequences on tumor cell signaling and metastatic potential. Cancer Res;
76(16); 4828-40. (c)2016 AACR.
PMID- 27199349
TI - miR-29b Mediates NF-kappaB Signaling in KRAS-Induced Non-Small Cell Lung Cancers.
AB - A global understanding of miRNA function in EGFR signaling pathways may provide
insights into improving the management of KRAS-mutant lung cancers, which remain
relatively recalcitrant to treatment. To identify miRNAs implicated in EGFR
signaling, we transduced bronchial epithelial BEAS-2B cells with retroviral
vectors expressing KRAS(G12V) and monitored miRNA expression patterns by
microarray analysis. Through this approach, we defined miR-29b as an important
target for upregulation by mutant KRAS in non-small cell lung cancers. Cell
biologic analyses showed that pharmacologic inhibition of EGFR or MEK was
sufficient to reduce levels of miR-29b, while PI3K inhibition had no effect. In
KRAS(G12V)-transduced BEAS-2B cells, introduction of anti-miR-29b constructs
increased the sensitivity to apoptosis, arguing that miR-29b mediated apoptotic
resistance conferred by mutant KRAS. Mechanistic investigations traced this
effect to the ability of miR-29b to target TNFAIP3/A20, a negative regulator of
NF-kappaB signaling. Accordingly, overexpression of an miR-29b-refractory isoform
of TNFAIP3 restored NF-kappaB and extrinsic apoptosis, confirming that TNFAIP3 is
a functionally relevant target of miR-29b. We also noted that miR-29b could
confer sensitivity to intrinsic apoptosis triggered by exposure to cisplatin, a
drug used widely in lung cancer treatment. Thus, miR-29b expression may tilt
cells from extrinsic to intrinsic mechanisms of apoptosis. Overall, our results
reveal a complexity in cancer for miR-29b, which can act as either an oncogene or
tumor suppressor gene depending on signaling context. Cancer Res; 76(14); 4160-9.
(c)2016 AACR.
PMID- 27199352
TI - Strain-modulated electronic and thermal transport properties of two-dimensional O
silica.
AB - Silica is one of the most abundant materials in the Earth's crust and is a
remarkably versatile and important engineering material in various modern science
and technology. Recently, freestanding and well-ordered two-dimensional (2D)
silica monolayers with octahedral (O-silica) building blocks were found to be
theoretically stable by (Wang G et al 2015 J. Phys. Chem. C 119 15654-60). In
this paper, by performing first-principles calculations, we systematically
investigated the electronic and thermal transport properties of 2D O-silica and
also studied how these properties can be tuned by simple mechanical stretching.
Unstrained 2D O-silica is an insulator with an indirect band gap of 6.536 eV. The
band gap decreases considerably with bilateral strain up to 29%, at which point a
semiconductor-metal transition occurs. More importantly, the in-plane thermal
conductivity of freestanding 2D O-silica is found to be unusually high, which is
around 40 to 50 times higher than that of bulk alpha-quartz and more than two
orders of magnitude higher than that of amorphous silica. The thermal
conductivity of O-silica decreases by almost two orders of magnitude when the
bilateral stretching strain reaches 10%. By analyzing the mode-dependent phonon
properties and phonon-scattering channel, the phonon lifetime is found to be the
dominant factor that leads to the dramatic decrease of the lattice thermal
conductivity under strain. The very sensitive response of both band gap and
phonon transport properties to the external mechanical strain will enable 2D O
silica to easily adapt to the different environment of realistic applications.
Our study is expected to stimulate experimental exploration of further physical
and chemical properties of 2D silica systems, and offers perspectives on
modulating the electronic and thermal properties of related low-dimensional
structures for applications such as thermoelectric, photovoltaic, and
optoelectronic devices.
PMID- 27199350
TI - Industrial production of acetone and butanol by fermentation-100 years later.
AB - Microbial production of acetone and butanol was one of the first large-scale
industrial fermentation processes of global importance. During the first part of
the 20th century, it was indeed the second largest fermentation process,
superseded in importance only by the ethanol fermentation. After a rapid decline
after the 1950s, acetone-butanol-ethanol (ABE) fermentation has recently gained
renewed interest in the context of biorefinery approaches for the production of
fuels and chemicals from renewable resources. The availability of new methods and
knowledge opens many new doors for industrial microbiology, and a comprehensive
view on this process is worthwhile due to the new interest. This thematic issue
of FEMS Microbiology Letters, dedicated to the 100th anniversary of the first
industrial exploitation of Chaim Weizmann's ABE fermentation process, covers the
main aspects of old and new developments, thereby outlining a model development
in biotechnology. All major aspects of industrial microbiology are exemplified by
this single process. This includes new technologies, such as the latest
developments in metabolic engineering, the exploitation of biodiversity and
discoveries of new regulatory systems such as for microbial stress tolerance, as
well as technological aspects, such as bio- and down-stream processing.
PMID- 27199353
TI - Use of Simultaneous 18F-FDG PET/MRI for the Detection of Spondylodiskitis.
AB - The diagnosis of spondylodiskitis is often challenging. MRI is quite sensitive
but lacks specificity, and distinction from erosive osteochondritis is often
difficult. We sought to assess the diagnostic value of (18)F-FDG PET combined
with MRI (combined (18)F-FDG PET/MRI) in patients with suspected spondylodiskitis
and an inconclusive clinical or MRI presentation. METHODS: In a prospective
study, 30 patients with previous inconclusive MRI results and suspected
spondylodiskitis underwent combined (18)F-FDG PET/MRI, including precontrast and
postcontrast standard spine MRI sequences. The image datasets were evaluated on
dedicated workstations by 2 radiology residents and 1 board-certified nuclear
medicine physician independently and then in consensus. Because of severe
susceptibility artifacts, only 28 of 30 image datasets were evaluable, with a
total of 29 regions of suspected spondylodiskitis. SUV ratios (affected
disk/reference disk) were determined. The imaging results were compared with
histopathology or clinical follow-up as a reference standard and subjected to
statistical analysis. RESULTS: The reference standards identified
spondylodiskitis in 12 disks and excluded spondylodiskitis in 17 disks. For MRI
alone, the sensitivity was 50%, the specificity was 71%, the positive predictive
value was 54%, and the negative predictive value was 67%. Adding the PET data
resulted in sensitivity, specificity, positive predictive value, and negative
predictive value of 100%, 88%, 86%, and 100%, respectively. In a receiver
operating characteristic curve analysis, an SUVmax ratio threshold of 2.1
resulted in 92% sensitivity and 88% specificity (area under the receiver
operating characteristic curve, 0.95). Neither the level of C-reactive protein
nor the leukocyte count at the time of PET/MRI was related to the reference
standard diagnosis of spondylodiskitis. CONCLUSION: In patients with inconclusive
clinical or MRI findings, the use of (18)F-FDG PET/MRI significantly increased
diagnostic certainty for the detection of spondylodiskitis.
PMID- 27199354
TI - Imaging the Biodistribution and Performance of Transplanted Stem Cells with PET.
PMID- 27199355
TI - Noninvasive PET Imaging and Tracking of Engineered Human Muscle Precursor Cells
for Skeletal Muscle Tissue Engineering.
AB - Transplantation of human muscle precursor cells (hMPCs) is envisioned for the
treatment of various muscle diseases. However, a feasible noninvasive tool to
monitor cell survival, migration, and integration into the host tissue is still
missing. METHODS: In this study, we designed an adenoviral delivery system to
genetically modify hMPCs to express a signaling-deficient form of human dopamine
D2 receptor (hD2R). The gene expression levels of the receptor were evaluated by
reverse transcriptase polymerase chain reaction, and infection efficiency was
evaluated by fluorescent microscopy. The viability, proliferation, and
differentiation capacity of the transduced cells, as well as their myogenic
phenotype, were determined by flow cytometry analysis and fluorescent microscopy.
(18)F-fallypride and (18)F-fluoromisonidazole, two well-established PET
radioligands, were assessed for their potential to image engineered hMPCs in a
mouse model and their uptakes were evaluated at different time points after cell
inoculation in vivo. Biodistribution studies, autoradiography, and PET
experiments were performed to determine the extent of signal specificity. To
address feasibility for tracking hMPCs in an in vivo model, the safety of the
adenoviral gene delivery was evaluated. Finally, the harvested tissues were
histologically examined to determine whether survival of the transplanted cells
was sustained at different time points. RESULTS: Adenoviral gene delivery was
shown to be safe, with no detrimental effects on the primary human cells. The
viability, proliferation, and differentiation capacity of the transduced cells
were confirmed, and flow cytometry analysis and fluorescent microscopy showed
that their myogenic phenotype was sustained. (18)F-fallypride and (18)F
fluoromisonidazole were successfully synthesized. Specific binding of (18)F
fallypride to hD2R hMPCs was demonstrated in vitro and in vivo. Furthermore, the
(18)F-fluoromisonidazole signal was high at the early stages. Finally, sustained
survival of the transplanted cells at different time points was confirmed
histologically, with formation of muscle tissue at the site of injection.
CONCLUSION: Our proposed use of a signaling-deficient hD2R as a potent reporter
for in vivo hMPC PET tracking by (18)F-fallypride is a significant step toward
potential noninvasive tracking of hD2R hMPCs and bioengineered muscle tissues in
the clinic.
PMID- 27199357
TI - Advanced Molecular Imaging for Exploring Classic Conditioning and Extinction.
PMID- 27199358
TI - Highlights from the Updated Joint ASNC/SNMMI PET Myocardial Perfusion and
Metabolism Clinical Imaging Guidelines.
PMID- 27199356
TI - Preclinical Evaluation of 18F-PF-05270430, a Novel PET Radioligand for the
Phosphodiesterase 2A Enzyme.
AB - The enzyme phosphodiesterase 2A (PF-05270430) is a potential target for
development of novel therapeutic agents for the treatment of cognitive
impairments. The goal of the present study was to evaluate the PDE2A ligand (18)F
PF-05270430, 4-(3-fluoroazetidin-1-yl)-7-methyl-5-(1-methyl-5-(4
(trifluoromethyl)phenyl)-1H-pyrazol-4-yl)imidazo[1,5-f][1,2,4]triazine, in
nonhuman primates. METHODS: (18)F-PF-05270430 was radiolabeled by 2 methods via
nucleophilic substitution of its tosylate precursor. Tissue metabolite analysis
in rodents and PET imaging in nonhuman primates under baseline and blocking
conditions were performed to determine the pharmacokinetic and binding
characteristics of the new radioligand. Various kinetic modeling approaches were
assessed to select the optimal method for analysis of imaging data. RESULTS:
(18)F-PF-05270430 was synthesized in greater than 98% radiochemical purity and
high specific activity. In the nonhuman primate brain, uptake of (18)F-PF
05270430 was fast, with peak concentration (SUVs of 1.5-1.8 in rhesus monkeys)
achieved within 7 min after injection. The rank order of uptake was striatum >
neocortical regions > cerebellum. Regional time-activity curves were well fitted
by the 2-tissue-compartment model and the multilinear analysis-1 (MA1) method to
arrive at reliable estimates of regional distribution volume (VT) and binding
potential (BPND) with 120 min of scan data. Regional VT values (MA1) ranged from
1.28 mL/cm(3) in the cerebellum to 3.71 mL/cm(3) in the putamen, with a BPND of
0.25 in the temporal cortex and 1.92 in the putamen. Regional BPND values
estimated by the simplified reference tissue model (SRTM) were similar to those
from MA1. Test-retest variability in high-binding regions (striatum) was 4% +/-
6% for MA1 VT, 13% +/- 6% for MA1 BPND, and 13% +/- 7% SRTM BPND, respectively.
Pretreatment of animals with the PDE2A inhibitor PF-05180999 resulted in a dose
dependent reduction of (18)F-PF-05270430 specific binding, with a half maximal
effective concentration of 69.4 ng/mL in plasma PF-05180999 concentration.
CONCLUSION: (18)F-PF-05270430 displayed fast and reversible kinetics in nonhuman
primates, as well as specific binding blockable by a PDE2A inhibitor. This is the
first PET tracer with desirable imaging properties and demonstrated ability to
image and quantify PDE2A in vivo.
PMID- 27199359
TI - Reply: Risk of Breast Cancer in Patients with Thyroid Cancer Receiving 131I
Treatment: Is There an Immortal Time Bias?
PMID- 27199361
TI - 18F-Fluciclovine (FACBC) and Its Potential Use for Breast Cancer Imaging.
PMID- 27199360
TI - Ex Vivo Tracing of NMDA and GABA-A Receptors in Rat Brain After Traumatic Brain
Injury Using 18F-GE-179 and 18F-GE-194 Autoradiography.
AB - In vivo imaging of N-methyl-d-aspartate (NMDA) glutamate receptor and gamma
aminobutyric acid (GABA)-A receptor during progression of brain pathology is
challenging because of the lack of imaging tracers with high affinity and
specificity. METHODS: We monitored changes in NMDA receptor and GABA-A receptor
in a clinically relevant model of traumatic brain injury (TBI) induced by lateral
fluid percussion in adult rats, using 2 new ligands for PET: (18)F-GE-179 for the
open/active state of the NMDA receptor ion channel and (18)F-GE-194 for GABA-A
receptor. Ex vivo brain autoradiography of radioligands was performed at subacute
(5-6 d) and chronic (40-42 d) time points after TBI. RESULTS: At 5-6 d after TBI,
(18)F-GE-179 binding was higher in the cortical lesion area, in the lesion core,
and in the hippocampus than in the corresponding contralateral regions; this
increase was probably related to increased permeability of the blood-brain
barrier. At 40-42 d after TBI, (18)F-GE-179 binding was significantly higher in
the medial cortex, in the corpus callosum, and in the thalamus than in the
corresponding contralateral regions. Five to 6 days after TBI, (18)F-GE-194
binding was significantly higher in the lesion core and significantly lower in
the ipsilateral thalamus. By 40-42 d after TBI, the reduction in (18)F-GE-194
binding extended to the cortical lesion, including the perilesional cortex around
the lesion core. The reduction in thalamic binding was more extensive at 40-42 d
than at 5-6 d after TBI, suggesting a progressive decrease in thalamic GABA-A
receptor density. Immunohistochemistry against GABA-A alpha1 subunit revealed a
similar decrease to (18)F-GE-194 binding, particularly during the chronic phase.
CONCLUSION: Our data support the validity of novel (18)F-GE-179 and (18)F-GE-194
radioligands for the detection of changes in active NMDA receptor and GABA-A
receptor in the injured brain. These tools are useful for follow-up evaluation of
secondary postinjury pathologies.
PMID- 27199362
TI - 124I PET Assessment of Response of Bone Metastases to Initial Radioiodine
Treatment of Differentiated Thyroid Cancer.
AB - : Iodine-positive bone metastases (BMs) are often resistant after initial
radioiodine therapy applying the standard-activity approach. A comprehensive
lesion-based response study for BMs has not, to our knowledge, yet been
performed. In this study, pretherapy and follow-up 124I PET/CT data on BMs from
differentiated thyroid cancer patients were retrospectively analyzed to assess
the relationship between absorbed dose (AD) of radiation and response after
initial radioiodine treatment. METHODS: Before and after initial radioiodine
therapy, patients underwent serial PET/CT scanning after administration of 20-40
MBq of 124I. The pretherapy PET data were used to segment BM volumes and to
predict the average ADs after administration of dosimetry-guided 131I activity.
The lower volume limit of determinability of the applied segmentation method was
a sphere volume of 0.16 mL. This volume limit classified the BMs into known
volume and fixed-volume groups with their respective average and minimum ADs.
Follow-up 124I and 18F-FDG PET/CT data after treatment were analyzed to assess
lesion-based therapy response. Response rates at different AD thresholds were
calculated and were expressed as the percentage of completely responding BMs
above the respective AD threshold. BMs with a maximum extent greater than twice
the PET spatial resolution were visually scored for nonuniformity. RESULTS: In
total, 61 BMs in 10 patients were included, of which 46 and 15 comprised the
known-volume group and the fixed-volume group, respectively. The median follow-up
time was 5.6 mo (range, 3.7-23.2 mo). The median average and median minimum ADs
in therapy were 183 Gy (range, 39-3,600 Gy) and 270 Gy (range, 63-1,300 Gy),
respectively. A range of response rate of 70%-80% was achieved at an AD threshold
range of 350-650 Gy. There were 26 BMs that were amenable to visual assessment of
nonuniformity, of which two thirds (17/26) were scored as clearly nonuniform, and
the majority (11/17) of these nonuniform BMs responded incompletely. CONCLUSION:
Both the high AD threshold associated with high response rates and the low median
AD per unit of 131I activity elucidate the difficulty in achieving therapeutic
efficacy for BMs when a single standard activity is administered. The relatively
high AD threshold range is possibly a result of distinct levels of spatial
nonuniformity in ADs.
PMID- 27199363
TI - Dual-Modality Activity-Based Probes as Molecular Imaging Agents for Vascular
Inflammation.
AB - : Macrophages are cellular mediators of vascular inflammation and are involved in
the formation of atherosclerotic plaques. These immune cells secrete proteases
such as matrix metalloproteinases and cathepsins that contribute to disease
formation and progression. Here, we demonstrate that activity-based probes (ABPs)
targeting cysteine cathepsins can be used in murine models of atherosclerosis to
noninvasively image activated macrophage populations using both optical and
PET/CT methods. The probes can also be used to topically label human carotid
plaques demonstrating similar specific labeling of activated macrophage
populations. METHODS: Macrophage-rich carotid lesions were induced in FVB mice
fed on a high-fat diet by streptozotocin injection followed by ligation of the
left common carotid artery. Mice with carotid atherosclerotic plaques were
injected with the optical or dual-modality probes BMV109 and BMV101,
respectively, via the tail vein and noninvasively imaged by optical and small
animal PET/CT at different time points. After noninvasive imaging, the murine
carotid arteries were imaged in situ and ex vivo, followed by immunofluorescence
staining to confirm target labeling. Additionally, human carotid plaques were
topically labeled with the probe and analyzed by both sodium dodecyl sulfate
polyacrylamide gel electrophoresis and immunofluorescence staining to confirm the
primary targets of the probe. RESULTS: Quantitative analysis of the signal
intensity from both optical and PET/CT imaging showed significantly higher levels
of accumulation of BMV109 and BMV101 (P < 0.005 and P < 0.05, respectively) in
the ligated left carotid arteries than the right carotid or healthy arteries.
Immunofluorescence staining for macrophages in cross-sectional slices of the
murine artery demonstrated substantial infiltration of macrophages in the
neointima and adventitia of the ligated left carotid arteries compared with the
right. Analysis of the human plaque tissues by sodium dodecyl sulfate
polyacrylamide gel electrophoresis confirmed that the primary targets of the
probe were cathepsins X, B, S, and L. Immunofluorescence labeling of the human
tissue with the probe demonstrated colocalization of the probe with CD68,
elastin, and cathepsin S, similar to that observed in the experimental carotid
inflammation murine model. CONCLUSION: We demonstrate that ABPs targeting the
cysteine cathepsins can be used in murine models of atherosclerosis to
noninvasively image activated macrophage populations using both optical and
PET/CT methods. The probes could also be used to topically label human carotid
plaques demonstrating similar specific labeling of activated macrophage
populations. Therefore, ABPs targeting the cysteine cathepsins are potentially
valuable new reagents for rapid and noninvasive imaging of atherosclerotic
disease progression and plaque vulnerability.
PMID- 27199365
TI - Characterization of 18F-FPyKYNE-Losartan for Imaging AT1 Receptors.
AB - : Most physiologic effects of the renin angiotensin system (RAS) are mediated via
the angiotensin (Ang) type 1 receptor (AT1R). The 18F-FPyKYNE derivative of the
clinically used AT1R blocker losartan exhibits high binding selectivity for
kidney AT1R and rapid metabolism in rats. The aim of this study was to further
assess the binding profile of this novel PET agent for imaging AT1R in rats and
pigs. METHODS: In vitro binding assays were performed with 18F-FPyKYNE-losartan
in rat kidneys. Male Sprague-Dawley rats were used to assess dosimetry,
antagonistic efficacy via blood pressure measurements, and presence of labeled
metabolites in kidneys. Test-retest PET imaging, blocking with AT1R antagonist
candesartan (10 mg/kg), and plasma metabolism analysis were performed in female
Yorkshire pigs. RESULTS: 18F-FPyKYNE-losartan bound with high affinity
(dissociation constant of 49.4 +/- 18.0 nM and maximal binding of 348 +/- 112
fmol/mm2) to rat kidney AT1R. It bound strongly to plasma proteins in rats (97%),
and its labeled metabolites displayed minimal interference on renal AT1R binding.
FPyKYNE-losartan fully antagonized the Ang II pressor effect, albeit with 4-fold
potency reduction (the effective dose inhibiting 50% of the Ang II-induced
maximal pressor response of 25.5 mg/kg) relative to losartan. PET imaging
exhibited high kidney-to-blood contrast and slow renal clearance, with an SUV of
14.1 +/- 6.2. Excellent reproducibility was observed in the calculated test
retest variability (7.2% +/- 0.75%). Only hydrophilic-labeled metabolites were
present in plasma samples, and renal retention was reduced (-60%) at 10-15 min
after blockade with candesartan. CONCLUSION: 18F-FPyKYNE-losartan has a favorable
binding profile and displays high potential for translational work in humans as
an AT1R PET imaging agent.
PMID- 27199364
TI - Preclinical Evaluation of a P2X7 Receptor-Selective Radiotracer: PET Studies in a
Rat Model with Local Overexpression of the Human P2X7 Receptor and in Nonhuman
Primates.
AB - The P2X7 receptor (P2X7R) orchestrates neuroinflammation, and this is the basis
for an increased interest in the development of antagonists inhibiting P2X7R
function in the brain. This study provides the preclinical evaluation of (11)C
JNJ-54173717, a PET tracer for P2X7R in both rats and nonhuman primates. METHODS:
(11)C-JNJ-54173717 is a high-affinity radiotracer for the human P2X7R (hP2X7R).
Biodistribution and radiometabolite studies were performed. Viral vectors
encoding either enhanced green fluorescent protein-hP2X7R or 3flag-hP2X7R were
engineered and validated in cell culture. hP2X7R was regionally overexpressed in
the rat striatum after stereotactic injection of viral vectors. Dynamic small
animal PET studies were performed in vector-injected rats and in healthy monkeys
using (11)C-JNJ-54173717. RESULTS: The affinity of JNJ-54173717 was 1.6 +/- 0.1
nM in a rat cortex P2X7R membrane binding assay. In a functional assay at the
recombinant human and rat P2X7R orthologs, the half maximal inhibitory
concentration (IC50) of JNJ-54173717 was 4.2 +/- 0.01 nM and 7.6 +/- 0.01 nM,
respectively. The rat biodistribution study showed that (11)C-JNJ-54173717
crossed the blood-brain barrier and was cleared from plasma mainly via the
hepatobiliary pathway. A polar radiometabolite was found in rat plasma. No
radiometabolites were detected in rat brain. Dynamic small-animal PET showed
binding of (11)C-JNJ-54173717 in the striatum expressing hP2X7R, with rapid
washout from the noninjected control striatum and other brain regions. Likewise,
(11)C-JNJ-54173717 PET signal was blocked by a chemically distinct P2X7R ligand,
indicating specific binding to P2X7R in the monkey brain. CONCLUSION: JNJ
54173717 is a high-affinity P2X7R antagonist. An animal rat model stably
expressing hP2X7R was developed and validated, identifying favorable
characteristics for (11)C-JNJ-54173717 as a PET radioligand for in vivo
visualization of hP2X7R. (11)C-JNJ-54173717 selectively visualized P2X7R in the
monkey brain, and this radioligand will be further evaluated in a clinical
setting to study P2X7R expression levels in neurodegenerative disorders.
PMID- 27199371
TI - Applied stretch initiates directional invasion through the action of Rap1 GTPase
as a tension sensor.
AB - Although it is known that a stiffening of the stroma and the rearrangement of
collagen fibers within the extracellular matrix facilitate the movement of tumor
cells away from the primary lesion, the underlying mechanisms responsible are not
fully understood. We now show that this invasion, which can be initiated by
applying tensional loads to a three-dimensional collagen gel matrix in culture,
is dependent on the Rap1 GTPases (Rap1a and Rap1b, referred to collectively as
Rap1). Under these conditions Rap1 activity stimulates the formation of focal
adhesion structures that align with the tensional axis as single tumor cells move
into the matrix. These effects are mediated by the ability of Rap1 to induce the
polarized polymerization and retrograde flow of actin, which stabilizes integrins
and recruits vinculin to preformed adhesions, particularly those near the leading
edge of invasive cells. Rap1 activity also contributes to the tension-induced
collective invasive elongation of tumor cell clusters and it enhances tumor cell
growth in vivo Thus, Rap1 mediates the effects of increased extracellular tension
in multiple ways that are capable of contributing to tumor progression when
dysregulated.
PMID- 27199372
TI - DeepCAGE transcriptomics identify HOXD10 as a transcription factor regulating
lymphatic endothelial responses to VEGF-C.
AB - Lymphangiogenesis plays a crucial role during development, in cancer metastasis
and in inflammation. Activation of VEGFR-3 (also known as FLT4) by VEGF-C is one
of the main drivers of lymphangiogenesis, but the transcriptional events
downstream of VEGFR-3 activation are largely unknown. Recently, we identified a
wave of immediate early transcription factors that are upregulated in human
lymphatic endothelial cells (LECs) within the first 30 to 80 min after VEGFR-3
activation. Expression of these transcription factors must be regulated by
additional pre-existing transcription factors that are rapidly activated by VEGFR
3 signaling. Using transcription factor activity analysis, we identified the
homeobox transcription factor HOXD10 to be specifically activated at early time
points after VEGFR-3 stimulation, and to regulate expression of immediate early
transcription factors, including NR4A1. Gain- and loss-of-function studies
revealed that HOXD10 is involved in LECs migration and formation of cord-like
structures. Furthermore, HOXD10 regulates expression of VE-cadherin, claudin-5
and NOS3 (also known as e-NOS), and promotes lymphatic endothelial permeability.
Taken together, these results reveal an important and unanticipated role of
HOXD10 in the regulation of VEGFR-3 signaling in lymphatic endothelial cells, and
in the control of lymphangiogenesis and permeability.
PMID- 27199374
TI - Genetic risk variants for autoimmune diseases that influence gene expression in
thymus.
AB - Genome-wide association studies (GWAS) have boosted our knowledge of genetic risk
variants in autoimmune diseases (AIDs). Most risk variants are located within or
near genes with immunological functions, and the majority is found to be non
coding, pointing towards a regulatory role. In this study, we performed a cis
expression quantitative trait locus (eQTL) screen restricted to 353 AID
associated risk variants selected from the GWAS catalog to investigate whether
these single nucleotide polymorphisms (SNPs) influence gene expression in thymus.
Genotypes were obtained by Immunochip (Ichip) and tested against expression of
surrounding genes (+/-1 Mb) in human thymic tissue (n = 42). We identified eight
significant eQTLs located within seven genetic regions (FCRL3, RNASET2, C2orf74,
NPIPB8, SIRPG, SYS1 and AJ006998.2) where the expression was associated with AID
risk SNPs at a study-wide level of significance (P < 2.7 * 10-5). In NPIPB8 and
AJ006998.2, the eQTL signals appeared to be thymus-specific. Furthermore, many
AID risk SNPs from GWAS have been subsequently fine-mapped in recent Ichip
projects, and fine-mapped AID SNPs overlapped with the thymic eQTLs within
RNASET2 and SIRPG Finally, in all the eQTL regions, except C2orf74, SNPs
underlying the thymic eQTLs were predicted to interfere with transcription
factors important in T cell development. Our study therefore reveals autoimmune
risk variants that act as eQTLs in thymus, and suggest that thymic gene
regulation may play a functional role at some AID risk loci.
PMID- 27199375
TI - A Practical Guide to Writing and Reviewing Abstracts for Pediatric Academic
Meetings.
PMID- 27199373
TI - Meiotic onset is reliant on spatial distribution but independent of germ cell
number in the mouse ovary.
AB - Mouse ovarian germ cells enter meiosis in a wave that propagates from anterior to
posterior, but little is known about contribution of germ cells to initiation or
propagation of meiosis. In a Ror2 mutant with diminished germ cell number and
migration, we find that overall timing of meiotic initiation is delayed at the
population level. We use chemotherapeutic depletion to exclude a profoundly
reduced number of germ cells as a cause for meiotic delay. We rule out sex
reversal or failure to specify somatic support cells as contributors to the
meiotic phenotype. Instead, we find that anomalies in the distribution of germ
cells as well as gonad shape in mutants contribute to aberrant initiation of
meiosis. Our analysis supports a model of meiotic initiation via diffusible
signal(s), excludes a role for germ cells in commencing the meiotic wave and
furnishes the first phenotypic demonstration of the wave of meiotic entry.
Finally, our studies underscore the importance of considering germ cell migration
defects while studying meiosis to discern secondary effects resulting from
positioning versus primary meiotic entry phenotypes.
PMID- 27199379
TI - Catastrophes during video-assisted thoracoscopic thymus surgery for myasthenia
gravis.
AB - OBJECTIVES: Several series have demonstrated that video-assisted thoracoscopic
(VAT) thymectomy is feasible and safe. However, VAT thymectomy is an operation
that could invite catastrophes, such as major vascular injuries. We aimed to
analyse the events and define the management and outcomes of these serious
complications. METHODS: All patients who underwent VAT thymectomy/thymothymectomy
recorded in our clinical database were evaluated. A catastrophic complication was
defined as any situation that resulted in an additional unplanned major surgical
procedure other than the planned closed thymic surgery. Operations were performed
by two surgeons: one experienced and one junior. The order of catastrophes in
each surgeon's experience was recorded. RESULTS: A total of 441 VAT
thymectomy/thymothymectomy operations were performed. Catastrophic complications
were identified in 7 (1.5%) patients. These cases included 3 major innominate
vein injuries, 1 superior vena cava injury, 1 aortic injury, 1 sudden cardiac
arrest and 1 diaphragmatic injury. The first catastrophe for the experienced
surgeon was his 96th case. The mean age was 37.1 +/- 11.5 (minimum: 21, maximum:
53). There were 5 (71.4%) male and 2 (28.6%) female patients. Two (28.6%) of the
patients had thymoma and the mean body mass index was 23.9 +/- 2.8. A mean of 1.7
+/- 1.4 (minimum: 0, maximum: 3) units of blood were transfused; a postoperative
intensive care unit stay of 20.6 +/- 25.7 h and a hospital stay of 8.4 +/- 7.9
days were recorded. There were no deaths. CONCLUSIONS: Catastrophic
intraoperative complications of VAT thymectomy are very rare. They may not only
occur in the learning curve period but also after a large experience. Experience
in converting to open surgery within seconds is important to reduce morbidity and
mortality.
PMID- 27199380
TI - Effect of negative pressure wound therapy followed by tissue flaps for deep
sternal wound infection after cardiovascular surgery: propensity score matching
analysis.
AB - OBJECTIVES: Deep sternal wound infection (DSWI) after cardiovascular surgery via
median sternotomy remains a severe complication associated with a drastic
decrease in the quality of life. We assessed the risk factors for in-hospital
death caused by DSWI and the available treatments for DSWI. METHODS: Between
January 1991 and August 2015, we retrospectively reviewed 73 patients (51 males
and 22 females, mean age 67.5 +/- 10.3 years) who developed DSWI after
cardiovascular surgery via median sternotomy. Pathogenic bacteria mainly
comprised methicillin-resistant Staphylococcus aureus (MRSA) (49.3%). Fifteen
patients (20.5%) died in hospital with DSWI. Treatment of DSWI consisted of open
daily irrigation (up to 2006) or negative pressure wound therapy (NPWT) (2007
onwards), followed by primary closure or reconstruction of tissue flaps. We
assessed the risk factors for in-hospital mortality from DSWI by comparing data
from the 15 patients who died and the 58 survivors using propensity score
matching analysis of the treatments used for DSWI. RESULTS: Univariate analysis
identified age, use of intra-aortic balloon pumping, prolonged mechanical
ventilation, tracheotomy, prolonged intensive care unit stay, postoperative low
output syndrome, postoperative myocardial infarction, postoperative renal
failure, postoperative use of haemodialysis, postoperative pneumonia,
postoperative cerebral disorder, MRSA infection, NPWT and tissue flaps as being
associated with in-hospital mortality (P < 0.05). Multivariate analysis
identified NPWT (odds ratio, 0.062; 95% confidence interval, 0.004-0.897; P =
0.041) and tissue flaps (odds ratio, 0.022; 95% confidence interval, 0.000-0.960;
P = 0.048) as independently associated with reduced in-hospital mortality after
DSWI. On comparing 22 patients receiving NPWT with 22 not on NPWT using
propensity score matching, patients on NPWT had significantly lower in-hospital
mortality than those without NPWT (NPWT vs non-NPWT, 5 vs 36%, P = 0.021). In
DSWI infected by MRSA, NPWT significantly reduced the in-hospital mortality
caused by DSWI (NPWT vs non-NPWT, 0 vs 52%, P = 0.003). CONCLUSIONS: NPWT and
tissue flaps may be favourable factors associated with reduced in-hospital
mortality attributable to DSWI. NPWT as a bridge therapy to tissue flaps may play
a major role in treating DSWI and improve the prognosis for patients with MRSA
infected DSWI.
PMID- 27199382
TI - The application of susceptibility-weighted MRI in pre-interventional evaluation
of intracranial dural arteriovenous fistulas.
AB - BACKGROUND AND PURPOSE: Detection and characterization of intracranial dural
arteriovenous fistula (DAVF) is important to plan appropriate therapeutic
management. The aim of this study was to analyze the utility of susceptibility
weighted MRI (SWI) in the pre-therapeutic assessment of DAVF in comparison with
gold standard digital subtraction angiography (DSA). MATERIALS AND METHODS:
Prospectively, 26 patients with DAVFs underwent a thorough clinical examination
and MRI including SWI followed by cerebral DSA. Two observers blinded to the DSA
findings evaluated conventional MRI and SWI images and identified the fistulous
area (FA), cortical venous reflux (CVR), and cortical venous ectasia (CVE) and
compared these observations with the DSA findings documented by a third observer.
RESULTS: Aggressive clinical symptoms were observed in 31% of patients and benign
features were noted in 69% of DAVFs. Conventional MRI could identify the FA in
only 27% of patients. SWI accurately located 75% of all the FAs in 23 patients.
However, SWI failed to identify DAVFs in three patients. CVR was detected in
89.6% of all aggressive DAVFs. The accuracy of SWI to identify CVE was 100% and
the extent and degree correlated with DSA observations. CONCLUSIONS: SWI is a
reliable non-invasive tool for the localization and characterization of DAVFs and
is superior to conventional MRI in the evaluation of DAVFs. This sequence can
demonstrate underlying cerebral hemodynamic stresses with a high degree of
accuracy and provide valuable pre-therapeutic information.
PMID- 27199381
TI - Tracheal rupture after intubation and placement of an endotracheal balloon
catheter (A-view(r)) in cardiac surgery.
AB - The endotracheal balloon catheter (A-view(r)) is a device developed to locate
atherosclerotic plaques of the ascending aorta (AA) in cardiac surgery to prevent
stroke. The saline-filled balloon is located in the trachea and combines the
advantages of transoesophageal echocardiography (e.g. used before performing the
sternotomy) and intraoperative epiaortic ultrasound scanning (e.g. complete view
of the AA). We report the first severe complication after the use of A-view(r).
This is a case of a 66-year old woman who underwent elective myocardial
revascularization complicated by an intraoperative iatrogenic tracheal rupture of
6 cm, after uncomplicated intubation and the use of an endotracheal balloon
catheter (A-view(r)), which required direct surgical repair with a posterolateral
thoracotomy after the myocardial revascularization was completed, weaning from
bypass and closure of the median sternotomy.
PMID- 27199383
TI - Venous sinus stenting in patients without idiopathic intracranial hypertension.
AB - BACKGROUND: Venous sinus stenting is an effective treatment for patients with
idiopathic intracranial hypertension (IIH) and venous sinus stenosis. OBJECTIVE:
To determine the usefulness of venous sinus stenting in the treatment of patients
with symptomatic venous sinus stenosis without a diagnosis of IIH. METHODS: We
performed a retrospective review of a prospective multicenter database of
patients undergoing venous sinus stenting between January 2008 and February 2016.
Patients with acute dural venous sinus thrombosis, arteriovenous fistula or
arteriovenous malformation, or IIH were excluded. Clinical, radiological, and
ophthalmological information was recorded. RESULTS: Nine patients met the
inclusion criteria and underwent venous sinus stenting for symptomatic dural
venous sinus stenosis. Reasons for treatment included isolated unilateral
pulsatile tinnitus (n=1), congenital hydrocephalus (n=2), unilateral pulsatile
tinnitus following prior venous sinus thrombosis (n=1), acquired hydrocephalus
following dural sinus thrombosis (n=2), meningitis (n=2) and tumor invasion into
the dural venous sinus (n=1). Six patients underwent lumbar puncture or shunt
tap, and all of these patients had elevated intracranial pressure. All stenoses
were located in the transverse sinus, transverse-sigmoid junction and/or jugular
bulb, and all were treated with self-expanding bare-metal stents. At follow-up,
clinical symptoms had resolved in all but two patients, both of whom had
congenital hydrocephalus and pre-existing shunts. There was no significant in
stent stenosis, and patients with ophthalmological follow-up demonstrated
improvement of papilledema. CONCLUSIONS: Dural venous sinus stenting may be an
effective treatment for patients with symptomatic venous sinus stenosis without
IIH in carefully selected cases, but may not be effective in resolving the
symptoms of congenital hydrocephalus.
PMID- 27199384
TI - Endovascular thrombectomy in the setting of aortic dissection.
AB - BACKGROUND: Recent studies have validated the use of endovascular thrombectomy in
large vessel ischemic stroke provided patients are selected appropriately.
However, to our knowledge, there have been no previously reported cases of
endovascular thrombectomy in patients with aortic dissection. We report three
such cases, two with chronic aortic dissections (including one with a history of
Marfan syndrome) and another with an acute type B dissection. METHODS: Case
studies and review of relevant literature. RESULTS: Three patients with a history
of aortic dissection presented with acute onset right middle cerebral artery
syndromes, two of whom had chronic aortic dissections that were status-post graft
repair, while a third had an acute type B aortic dissection that had been managed
with a femoral-to-femoral bypass. None of the three were candidates for
intravenous tissue plasminogen activator. All three were found to have proximal
right M1 occlusions on non-invasive imaging and were taken for endovascular
thrombectomy via transfemoral, transradial, and transbrachial approaches,
respectively. All three had successful recanalization (with Thrombolysis In
Cerebral Infarction (TICI) 2b, TICI 3, and TICI 2b flow, respectively) along with
clinical improvement, and none had procedure-related complications. CONCLUSIONS:
These three cases suggest that endovascular thrombectomy is feasible and can be
done safely and efficaciously in patients with aortic dissections and those with
Marfan syndrome, although the risks and benefits should be considered as part of
any decision-making process. Given that endovascular therapy for acute stroke is
now in many situations part of standard care, further studies will be necessary
to delineate more precise inclusion and exclusion criteria.
PMID- 27199386
TI - Letter to the editor: "Doxorubicin and ErbB2 overexpression: another piece in the
mitochondrial jigsaw".
PMID- 27199387
TI - Scenario Analysis for Programmatic Tuberculosis Control in Western Province,
Papua New Guinea.
AB - Tuberculosis (TB) and multidrug-resistant TB (MDR-TB) are major health problems
in Western Province, Papua New Guinea. While comprehensive expansion of TB
control programs is desirable, logistical challenges are considerable, and there
is substantial uncertainty regarding the true disease burden. We parameterized
our previously described mathematical model of Mycobacterium tuberculosis
dynamics in Western Province, following an epidemiologic assessment. Five
hypothetical scenarios representing alternative programmatic approaches during
the period from 2013 to 2023 were developed with local staff. Bayesian
uncertainty analyses were undertaken to explicitly acknowledge the uncertainty
around key epidemiologic parameters, and an economic evaluation was performed.
With continuation of existing programmatic strategies, overall TB incidence
remained stable at 555 cases per 100,000 population per year (95% simulation
interval (SI): 420, 807), but the proportion of incident cases attributable to
MDR-TB increased from 16% to 35%. Comprehensive, provincewide strengthening of
existing programs reduced incidence to 353 cases per 100,000 population per year
(95% SI: 246, 558), with 46% being cases of MDR-TB, while incorporating
programmatic management of MDR-TB into these programs reduced incidence to 233
cases per 100,000 population per year (95% SI: 198, 269) with 14% MDR-TB. Most
economic costs were due to hospitalization during the intensive treatment phase.
Broad scale-up of TB control activities in Western Province with incorporation of
programmatic management of MDR-TB is vital if control is to be achieved.
Community-based treatment approaches are important to reduce the associated
economic costs.
PMID- 27199388
TI - Efficacy of Vinblastine and Prednisone in Multicentric Reticulohistiocytosis With
Onset in Infancy.
AB - Multicentric reticulohistiocytosis (MRH) is a rare histiocytic proliferative
disorder of uncertain etiology, characterized by mucocutaneous papulonodular
lesions and progressive, symmetric erosive arthritis. MRH can coexist with
various autoimmune disorders, tuberculosis, and malignancy. It usually occurs in
the elderly and is very rare in children. This is probably the first case in
which disease manifestation appeared in infancy in the form of skin lesions. The
patient had recurrent ulceration of cutaneous lesions, which is unusual in MRH.
Early diagnosis and aggressive treatment are essential to prevent progressive
irreversible course and development of arthritis mutilans. Various drugs, such as
steroids, nonsteroidal anti-inflammatory drugs, immunosuppressants, interleukin
inhibitors, and tumor necrosis factor-alpha antagonist, have been tried with
variable responses. The present case responded well to vinblastine and steroid,
which have not been reported previously. Here, we document a case of MRH with
early onset in infancy along with role of vinblastine and prednisone in its
treatment.
PMID- 27199389
TI - A Call for Fertility and Sexual Function Counseling in Pediatrics.
PMID- 27199390
TI - Leave no city behind.
PMID- 27199392
TI - HUMAN EVOLUTION. Tracking how humans evolve in real time.
PMID- 27199393
TI - IMAGING. 'Cell painting' highlights responses to drugs and toxins.
PMID- 27199394
TI - HUMAN SUBJECTS. Researchers decry consent proposal.
PMID- 27199396
TI - BEHIND THE NUMBERS. 'Employment crisis' for new Ph.D.s is an illusion.
PMID- 27199395
TI - ORGANIC CHEMISTRY. A modular route to new antibiotics.
PMID- 27199385
TI - The Association of Cigarette Smoking With Depression and Anxiety: A Systematic
Review.
AB - BACKGROUND: Many studies report a positive association between smoking and mental
illness. However, the literature remains mixed regarding the direction of this
association. We therefore conducted a systematic review evaluating the
association of smoking and depression and/or anxiety in longitudinal studies.
METHODS: Studies were identified by searching PubMed, Scopus, and Web of Science
and were included if they: (1) used human participants, (2) were longitudinal,
(3) reported primary data, (4) had smoking as an exposure and depression and/or
anxiety as an outcome, or (5) had depression and/or anxiety as the exposure and
smoking as an outcome. RESULTS: Outcomes from 148 studies were categorized into:
smoking onset, smoking status, smoking heaviness, tobacco dependence, and smoking
trajectory. The results for each category varied substantially, with evidence for
positive associations in both directions (smoking to later mental health and
mental health to later smoking) as well as null findings. Overall, nearly half
the studies reported that baseline depression/anxiety was associated with some
type of later smoking behavior, while over a third found evidence that a smoking
exposure was associated with later depression/anxiety. However, there were few
studies directly supporting a bidirectional model of smoking and anxiety, and
very few studies reporting null results. CONCLUSIONS: The literature on the
prospective association between smoking and depression and anxiety is
inconsistent in terms of the direction of association most strongly supported.
This suggests the need for future studies that employ different methodologies,
such as Mendelian randomization (MR), which will allow us to draw stronger causal
inferences. IMPLICATIONS: We systematically reviewed longitudinal studies on the
association of different aspects of smoking behavior with depression and anxiety.
The results varied considerably, with evidence for smoking both associated with
subsequent depression and anxiety, and vice versa. Few studies supported a
bidirectional relationship, or reported null results, and no clear patterns by
gender, ethnicity, clinical status, length to follow-up, or diagnostic test.
Suggesting that despite advantages of longitudinal studies, they cannot alone
provide strong evidence of causality. Therefore, future studies investigating
this association should employ different methods allowing for stronger causal
inferences to be made, such as MR.
PMID- 27199397
TI - NEUROSCIENCE. Can brain scans reveal concussion-linked disease?
PMID- 27199398
TI - The Truest Test.
PMID- 27199400
TI - NANOTECHNOLOGY. Changing of the guard.
PMID- 27199401
TI - PHYSICS. Superconductivity on the edge.
PMID- 27199402
TI - BIOCHEMISTRY. Methane--make it or break it.
PMID- 27199403
TI - CELL BIOLOGY. Formin' filaments at a faster CLIP.
PMID- 27199404
TI - ROBOTICS. Learning from nature how to land aerial robots.
PMID- 27199405
TI - INTERNATIONAL MIGRATION. International migration under the microscope.
PMID- 27199407
TI - Cities are the Future.
PMID- 27199408
TI - Rise of the City.
PMID- 27199406
TI - SCIENTIFIC COMMUNITY. Preprints for the life sciences.
PMID- 27199409
TI - Roots of the Urban Mind.
PMID- 27199411
TI - China Rethinks Cities.
PMID- 27199410
TI - A Plague of Rats.
PMID- 27199412
TI - Vancouver's Green Dream.
PMID- 27199413
TI - City-integrated renewable energy for urban sustainability.
AB - To prepare for an urban influx of 2.5 billion people by 2050, it is critical to
create cities that are low-carbon, resilient, and livable. Cities not only
contribute to global climate change by emitting the majority of anthropogenic
greenhouse gases but also are particularly vulnerable to the effects of climate
change and extreme weather. We explore options for establishing sustainable
energy systems by reducing energy consumption, particularly in the buildings and
transportation sectors, and providing robust, decentralized, and renewable energy
sources. Through technical advancements in power density, city-integrated
renewable energy will be better suited to satisfy the high-energy demands of
growing urban areas. Several economic, technical, behavioral, and political
challenges need to be overcome for innovation to improve urban sustainability.
PMID- 27199414
TI - Emerging solutions to the water challenges of an urbanizing world.
AB - The top priorities for urban water sustainability include the provision of safe
drinking water, wastewater handling for public health, and protection against
flooding. However, rapidly aging infrastructure, population growth, and
increasing urbanization call into question current urban water management
strategies, especially in the fast-growing urban areas in Asia and Africa. We
review innovative approaches in urban water management with the potential to
provide locally adapted, resource-efficient alternative solutions. Promising
examples include new concepts for stormwater drainage, increased water
productivity, distributed or on-site treatment of wastewater, source separation
of human waste, and institutional and organizational reforms. We conclude that
there is an urgent need for major transdisciplinary efforts in research, policy,
and practice to develop alternatives with implications for cities and aquatic
ecosystems alike.
PMID- 27199415
TI - Transport solutions for cleaner air.
AB - In cities across the globe, road transport remains an important source of air
pollutants that are linked with acute and chronic health effects. Decreasing
vehicle emissions--while maintaining or increasing commuter journeys--remains a
major challenge for city administrators. In London, congestion-charging and a
citywide low-emission zone failed to bring nitrogen dioxide concentrations under
control. In Beijing, controls on the purchase and use of cars have not decreased
transport emissions to a sufficient extent. As cities continue to grow, not even
zero-emission vehicles are the solution. Moving increasingly large numbers of
people efficiently around a city can only be achieved by expanding mass transit
systems.
PMID- 27199416
TI - The ecological future of cities.
AB - The discipline of urban ecology arose in the 1990s, primarily motivated by a
widespread interest in documenting the distribution and abundance of animals and
plants in cities. Today, urban ecologists have greatly expanded their scope of
study to include ecological and socioeconomic processes, urban management,
planning, and design, with the goal of addressing issues of sustainability,
environmental quality, and human well-being within cities and towns. As the
global pace of urbanization continues to intensify, urban ecology provides the
ecological and social data, as well as the principles, concepts and tools, to
create livable cities.
PMID- 27199417
TI - Living in cities, naturally.
AB - Natural features, settings, and processes in urban areas can help to reduce
stress associated with urban life. In this and other ways, public health benefits
from, street trees, green roofs, community gardens, parks and open spaces, and
extensive connective pathways for walking and biking. Such urban design
provisions can also yield ecological benefits, not only directly but also through
the role they play in shaping attitudes toward the environment and environmental
protection. Knowledge of the psychological benefits of nature experience supports
efforts to better integrate nature into the architecture, infrastructure, and
public spaces of urban areas.
PMID- 27199418
TI - Meta-principles for developing smart, sustainable, and healthy cities.
AB - Policy directives in several nations are focusing on the development of smart
cities, linking innovations in the data sciences with the goal of advancing human
well-being and sustainability on a highly urbanized planet. To achieve this goal,
smart initiatives must move beyond city-level data to a higher-order
understanding of cities as transboundary, multisectoral, multiscalar, social
ecological-infrastructural systems with diverse actors, priorities, and
solutions. We identify five key dimensions of cities and present eight principles
to focus attention on the systems-level decisions that society faces to
transition toward a smart, sustainable, and healthy urban future.
PMID- 27199419
TI - Hidden linkages between urbanization and food systems.
AB - Global societies are becoming increasingly urban. This shift toward urban living
is changing our relationship with food, including how we shop and what we buy, as
well as ideas about sanitation and freshness. Achieving food security in an era
of rapid urbanization will require considerably more understanding about how
urban and food systems are intertwined. Here we discuss some potential
understudied linkages that are ripe for further examination.
PMID- 27199420
TI - Building functional cities.
AB - The literature views many African cities as dysfunctional with a hodgepodge of
land uses and poor "connectivity." One driver of inefficient land uses is
construction decisions for highly durable buildings made under weak institutions.
In a novel approach, we model the dynamics of urban land use with both formal and
slum dwellings and ongoing urban redevelopment to higher building heights in the
formal sector as a city grows. We analyze the evolution of Nairobi using a unique
high-spatial resolution data set. The analysis suggests insufficient building
volume through most of the city and large slum areas with low housing volumes
near the center, where corrupted institutions deter conversion to formal sector
usage.
PMID- 27199421
TI - The radical mechanism of biological methane synthesis by methyl-coenzyme M
reductase.
AB - Methyl-coenzyme M reductase, the rate-limiting enzyme in methanogenesis and
anaerobic methane oxidation, is responsible for the biological production of more
than 1 billion tons of methane per year. The mechanism of methane synthesis is
thought to involve either methyl-nickel(III) or methyl radical/Ni(II)-thiolate
intermediates. We employed transient kinetic, spectroscopic, and computational
approaches to study the reaction between the active Ni(I) enzyme and substrates.
Consistent with the methyl radical-based mechanism, there was no evidence for a
methyl-Ni(III) species; furthermore, magnetic circular dichroism spectroscopy
identified the Ni(II)-thiolate intermediate. Temperature-dependent transient
kinetics also closely matched density functional theory predictions of the methyl
radical mechanism. Identifying the key intermediate in methanogenesis provides
fundamental insights to develop better catalysts for producing and activating an
important fuel and potent greenhouse gas.
PMID- 27199422
TI - Ubiquitous signatures of nematic quantum criticality in optimally doped Fe-based
superconductors.
AB - A key actor in the conventional theory of superconductivity is the induced
interaction between electrons mediated by the exchange of virtual collective
fluctuations (phonons in the case of conventional s-wave superconductors). Other
collective modes that can play the same role, especially spin fluctuations, have
been widely discussed in the context of high-temperature and heavy Fermion
superconductors. The strength of such collective fluctuations is measured by the
associated susceptibility. Here we use differential elastoresistance measurements
from five optimally doped iron-based superconductors to show that divergent
nematic susceptibility appears to be a generic feature in the optimal doping
regime of these materials. This observation motivates consideration of the
effects of nematic fluctuations on the superconducting pairing interaction in
this family of compounds and possibly beyond.
PMID- 27199423
TI - Rewritable artificial magnetic charge ice.
AB - Artificial ices enable the study of geometrical frustration by design and through
direct observation. However, it has proven difficult to achieve tailored long
range ordering of their diverse configurations, limiting both fundamental and
applied research directions. We designed an artificial spin structure that
produces a magnetic charge ice with tunable long-range ordering of eight
different configurations. We also developed a technique to precisely manipulate
the local magnetic charge states and demonstrate write-read-erase
multifunctionality at room temperature. This globally reconfigurable and locally
writable magnetic charge ice could provide a setting for designing magnetic
monopole defects, tailoring magnonics, and controlling the properties of other
two-dimensional materials.
PMID- 27199424
TI - Supercurrent in the quantum Hall regime.
AB - A promising route for creating topological states and excitations is to combine
superconductivity and the quantum Hall (QH) effect. Despite this potential,
signatures of superconductivity in the QH regime remain scarce, and a
superconducting current through a QH weak link has been challenging to observe.
We demonstrate the existence of a distinct supercurrent mechanism in encapsulated
graphene samples contacted by superconducting electrodes, in magnetic fields as
high as 2 tesla. The observation of a supercurrent in the QH regime marks an
important step in the quest for exotic topological excitations, such as Majorana
fermions and parafermions, which may find applications in fault-tolerant quantum
computing.
PMID- 27199425
TI - Quantifying the promotion of Cu catalysts by ZnO for methanol synthesis.
AB - Promoter elements enhance the activity and selectivity of heterogeneous
catalysts. Here, we show how methanol synthesis from synthesis gas over copper
(Cu) nanoparticles is boosted by zinc oxide (ZnO) nanoparticles. By combining
surface area titration, electron microscopy, activity measurement, density
functional theory calculations, and modeling, we show that the promotion is
related to Zn atoms migrating in the Cu surface. The Zn coverage is
quantitatively described as a function of the methanol synthesis conditions and
of the size-dependent thermodynamic activities of the Cu and ZnO nanoparticles.
Moreover, experimental data reveal a strong interdependency of the methanol
synthesis activity and the Zn coverage. These results demonstrate the size
dependent activities of nanoparticles as a general means to design synergetic
functionality in binary nanoparticle systems.
PMID- 27199426
TI - Self-assembly of noble metal monolayers on transition metal carbide nanoparticle
catalysts.
AB - We demonstrated the self-assembly of transition metal carbide nanoparticles
coated with atomically thin noble metal monolayers by carburizing mixtures of
noble metal salts and transition metal oxides encapsulated in removable silica
templates. This approach allows for control of the final core-shell architecture,
including particle size, monolayer coverage, and heterometallic composition.
Carbon-supported Ti(0.1)W(0.9)C nanoparticles coated with Pt or bimetallic PtRu
monolayers exhibited enhanced resistance to sintering and CO poisoning, achieving
an order of magnitude increase in specific activity over commercial catalysts for
methanol electrooxidation after 10,000 cycles. These core-shell materials provide
a new direction to reduce the loading, enhance the activity, and increase the
stability of noble metal catalysts.
PMID- 27199427
TI - Perching and takeoff of a robotic insect on overhangs using switchable
electrostatic adhesion.
AB - For aerial robots, maintaining a high vantage point for an extended time is
crucial in many applications. However, available on-board power and mechanical
fatigue constrain their flight time, especially for smaller, battery-powered
aircraft. Perching on elevated structures is a biologically inspired approach to
overcome these limitations. Previous perching robots have required specific
material properties for the landing sites, such as surface asperities for spines,
or ferromagnetism. We describe a switchable electroadhesive that enables
controlled perching and detachment on nearly any material while requiring
approximately three orders of magnitude less power than required to sustain
flight. These electroadhesives are designed, characterized, and used to
demonstrate a flying robotic insect able to robustly perch on a wide range of
materials, including glass, wood, and a natural leaf.
PMID- 27199428
TI - ppGpp couples transcription to DNA repair in E. coli.
AB - The small molecule alarmone (p)ppGpp mediates bacterial adaptation to nutrient
deprivation by altering the initiation properties of RNA polymerase (RNAP). ppGpp
is generated in Escherichia coli by two related enzymes, RelA and SpoT. We show
that ppGpp is robustly, but transiently, induced in response to DNA damage and is
required for efficient nucleotide excision DNA repair (NER). This explains why
relA-spoT-deficient cells are sensitive to diverse genotoxic agents and
ultraviolet radiation, whereas ppGpp induction renders them more resistant to
such challenges. The mechanism of DNA protection by ppGpp involves promotion of
UvrD-mediated RNAP backtracking. By rendering RNAP backtracking-prone, ppGpp
couples transcription to DNA repair and prompts transitions between repair and
recovery states.
PMID- 27199430
TI - Enhanced clearance of HIV-1-infected cells by broadly neutralizing antibodies
against HIV-1 in vivo.
AB - Antiretroviral drugs and antibodies limit HIV-1 infection by interfering with the
viral life cycle. In addition, antibodies also have the potential to guide host
immune effector cells to kill HIV-1-infected cells. Examination of the kinetics
of HIV-1 suppression in infected individuals by passively administered 3BNC117, a
broadly neutralizing antibody, suggested that the effects of the antibody are not
limited to free viral clearance and blocking new infection but also include
acceleration of infected cell clearance. Consistent with these observations, we
find that broadly neutralizing antibodies can target CD4(+) T cells infected with
patient viruses and can decrease their in vivo half-lives by a mechanism that
requires Fcgamma receptor engagement in a humanized mouse model. The results
indicate that passive immunotherapy can accelerate elimination of HIV-1-infected
cells.
PMID- 27199433
TI - A problem by any other name.
PMID- 27199431
TI - Accelerated actin filament polymerization from microtubule plus ends.
AB - Microtubules (MTs) govern actin network remodeling in a wide range of biological
processes, yet the mechanisms underlying this cytoskeletal cross-talk have
remained obscure. We used single-molecule fluorescence microscopy to show that
the MT plus-end-associated protein CLIP-170 binds tightly to formins to
accelerate actin filament elongation. Furthermore, we observed mDia1 dimers and
CLIP-170 dimers cotracking growing filament ends for several minutes. CLIP-170
mDia1 complexes promoted actin polymerization ~18 times faster than free-barbed
end growth while simultaneously enhancing protection from capping proteins. We
used a MT-actin dynamics co-reconstitution system to observe CLIP-170-mDia1
complexes being recruited to growing MT ends by EB1. The complexes triggered
rapid growth of actin filaments that remained attached to the MT surface. These
activities of CLIP-170 were required in primary neurons for normal dendritic
morphology. Thus, our results reveal a cellular mechanism whereby growing MT plus
ends direct rapid actin assembly.
PMID- 27199429
TI - HIV-1 therapy with monoclonal antibody 3BNC117 elicits host immune responses
against HIV-1.
AB - 3BNC117 is a broad and potent neutralizing antibody to HIV-1 that targets the CD4
binding site on the viral envelope spike. When administered passively, this
antibody can prevent infection in animal models and suppress viremia in HIV-1
infected individuals. Here we report that HIV-1 immunotherapy with a single
injection of 3BNC117 affects host antibody responses in viremic individuals. In
comparison to untreated controls that showed little change in their neutralizing
activity over a 6-month period, 3BNC117 infusion significantly improved
neutralizing responses to heterologous tier 2 viruses in nearly all study
participants. We conclude that 3BNC117-mediated immunotherapy enhances host
humoral immunity to HIV-1.
PMID- 27199432
TI - Coregulation of tandem duplicate genes slows evolution of subfunctionalization in
mammals.
AB - Gene duplication is a fundamental process in genome evolution. However, most
young duplicates are degraded by loss-of-function mutations, and the factors that
allow some duplicate pairs to survive long-term remain controversial. One class
of models to explain duplicate retention invokes sub- or neofunctionalization,
whereas others focus on sharing of gene dosage. RNA-sequencing data from 46 human
and 26 mouse tissues indicate that subfunctionalization of expression evolves
slowly and is rare among duplicates that arose within the placental mammals,
possibly because tandem duplicates are coregulated by shared genomic elements.
Instead, consistent with the dosage-sharing hypothesis, most young duplicates are
down-regulated to match expression levels of single-copy genes. Thus, dosage
sharing of expression allows for the initial survival of mammalian duplicates,
followed by slower functional adaptation enabling long-term preservation.
PMID- 27199434
TI - Erratum for the Research Article "De novo design of protein homo-oligomers with
modular hydrogen-bond network-mediated specificity" by S. E. Boyken, Z. Chen, B.
Groves, R. A. Langan, G. Oberdorfer, A. Ford, J. M. Gilmore, C. Xu, F. DiMaio, J.
Henrique Pereira, B. Sankaran, G. Seelig, P. H. Zwart, D. Baker.
PMID- 27199436
TI - A glimpse of Cre-mediated controversies in epicardial signalling.
PMID- 27199441
TI - Recurrent spontaneous scleral rupture in Marfan's syndrome.
AB - The ocular manifestations of Marfan's syndrome (MS) range from ectopia lentis,
microspherophakia, myopia, glaucoma and retinal detachment. Spontaneous scleral
rupture is a rare complication and recurrent scleral perforation is extremely
rare. We report a rare case of a 26-year-old male with MS who had sequential
recurrent spontaneous scleral rupture which required surgical repair. He suffered
from a similar problem 4 years later in both eyes in a different location, with
overlying thin cystic blebs and hypotony maculopathy. Surgical repair with
preserved scleral donor patch graft and conjunctival autograft in one eye, and
conjunctival advancement in the other eye was performed. This helped stabilise
the eyes, and resulted in complete visual recovery in both eyes.
PMID- 27199442
TI - NSAID-induced pyloric stenosis leading to oesophageal intramucosal dissection.
AB - We describe a rare case of a 75-year-old woman with significant non-steroidal
anti-inflammatory drug (NSAID) use who presented with haematemesis. Upper
endoscopy revealed a large (9 cm) intramucosal dissection of the oesophagus
without extension into the gastro-oesophageal junction and a severely narrowed
pylorus. We postulate that she developed pyloric stenosis due to peptic ulcer
disease from chronic NSAID use. This then led to gastro-oesophageal reflux.
Undigested pills in the refluxate had contacted oesophageal mucosa, leading to
pill-induced oesophageal injury. This, along with vomiting, is postulated to have
led to the oesophageal intramucosal dissection. She improved with conservative
medical management with a clear liquid diet and proton pump inhibitors, and a
follow-up upper endoscopy 1 week later showed recovery of the previously seen
intramucosal dissection.
PMID- 27199435
TI - The tumor microenvironment underlies acquired resistance to CSF-1R inhibition in
gliomas.
AB - Macrophages accumulate with glioblastoma multiforme (GBM) progression and can be
targeted via inhibition of colony-stimulating factor-1 receptor (CSF-1R) to
regress high-grade tumors in animal models of this cancer. However, whether and
how resistance emerges in response to sustained CSF-1R blockade is unknown. We
show that although overall survival is significantly prolonged, tumors recur in
>50% of mice. Gliomas reestablish sensitivity to CSF-1R inhibition upon
transplantation, indicating that resistance is tumor microenvironment-driven.
Phosphatidylinositol 3-kinase (PI3K) pathway activity was elevated in recurrent
GBM, driven by macrophage-derived insulin-like growth factor-1 (IGF-1) and tumor
cell IGF-1 receptor (IGF-1R). Combining IGF-1R or PI3K blockade with CSF-1R
inhibition in recurrent tumors significantly prolonged overall survival. Our
findings thus reveal a potential therapeutic approach for treating resistance to
CSF-1R inhibitors.
PMID- 27199443
TI - Simultaneous Analysis of Quercetin and Naringenin in Rat Plasma by Liquid
Chromatography-Tandem Mass Spectrometry: Application to a Pharmacokinetic Study
After Oral Administration.
AB - A rapid and specific LC-MS-MS method has been developed for simultaneous analysis
of quercetin and naringenin in rat plasma. The method was applied to the
pharmacokinetics studies of quercetin and naringenin after oral administration of
Pollen Typhae extract. The samples were prepared by the protein precipitation
method. The analysis was carried out on an ACQUITY UPLCTM BEH C18 column with
gradient elution using mobile phase, which included acetonitrile and water
(containing 0.1% formic acid). The flow rate was 0.4 mL/min. All analytes
including internal standard (IS) were monitored by selected reaction monitoring
with an electrospray ionization source. Linear responses were obtained for
quercetin ranging from 0.5 to 100 ng/mL and naringenin ranging from 5 to 1000
ng/mL. The intra- and interday precisions (RSD) were less than 10.78 and 11.20%.
The extraction recovery of the analytes was acceptable. Stability studies showed
that quercetin and naringenin were stable in the preparation and analytical
process. The validated method was successfully used to determine the
concentration-time profiles of quercetin and naringenin.
PMID- 27199444
TI - A Rapid and Sensitive HPLC-DAD Assay to Quantify Lamotrigine, Phenytoin and Its
Main Metabolite in Samples of Cultured HepaRG Cells.
AB - A sensitive and fast high-performance liquid chromatography-diode-array detection
assay was developed and validated for the simultaneous quantification of 5-(4
hydroxyphenyl)-5-phenylhydantoin (HPPH), phenytoin (PHT) and lamotrigine (LTG) in
samples of cultured HepaRG cells. Chromatographic separation of analytes and
internal standard (IS) was achieved in ~15 min on a C18-column, at 35 degrees C,
using acetonitrile (6%), methanol (25%) and a mixture (69%) of water
triethylamine (99.7:0.3, v/v; pH 6.0), pumped at 1 mL/min. The analytes and IS
were detected at 215 or 235 nm. Calibration curves were linear with regression
coefficients >0.994 over the concentration ranges of 0.1-15 ug/mL for HPPH; 0.15
30 ug/mL for PHT and 0.2-20 ug/mL for LTG. The method showed to be accurate (bias
value of +/-10.5 or +/-17.6% in the lower limit of quantification, LLOQ) and
precise (coefficient variation <=8.1 or <=15.4% in the LLOQ), and the absolute
recovery of the analytes ranged from 62.5 to 96.9%. HepaRG cells have emerged as
a very promising in vitro model to evaluate metabolic, drug interaction and/or
pharmacokinetic studies, and this methodology will be suitable to support
subsequent studies involving the antiepileptic drugs PHT and LTG.
PMID- 27199445
TI - JMJD8 Regulates Angiogenic Sprouting and Cellular Metabolism by Interacting With
Pyruvate Kinase M2 in Endothelial Cells.
AB - OBJECTIVE: Jumonji C (JmjC) domain-containing proteins modify histone and
nonhistone proteins thereby controlling cellular functions. However, the role of
JmjC proteins in angiogenesis is largely unknown. Here, we characterize the
expression of JmjC domain-containing proteins after inducing endothelial
differentiation of murine embryonic stem cells and study the function of JmjC
domain-only proteins in endothelial cell (EC) functions. APPROACH AND RESULTS: We
identified a large number of JmjC domain-containing proteins regulated by
endothelial differentiation of murine embryonic stem cells. Among the family of
JmjC domain-only proteins, Jmjd8 was significantly upregulated on endothelial
differentiation. Knockdown of Jmjd8 in ECs significantly decreased in vitro
network formation and sprouting in the spheroid assay. JMJD8 is exclusively
detectable in the cytoplasm, excluding a function as a histone-modifying enzyme.
Mass spectrometry analysis revealed JMJD8-interacting proteins with known
functions in cellular metabolism like pyruvate kinase M2. Accordingly, knockdown
of pyruvate kinase M2 in human umbilical vein ECs decreased endothelial sprouting
in the spheroid assay. Knockdown of JMJD8 caused a reduction of EC metabolism as
measured by Seahorse Bioscience extracellular flux analysis. Conversely,
overexpression of JMJD8 enhanced cellular oxygen consumption rate of ECs,
reflecting an increased mitochondrial respiration. CONCLUSIONS: Jmjd8 is
upregulated during endothelial differentiation and regulates endothelial
sprouting and metabolism by interacting with pyruvate kinase M2.
PMID- 27199447
TI - Wnt2 and WISP-1/CCN4 Induce Intimal Thickening via Promotion of Smooth Muscle
Cell Migration.
AB - OBJECTIVE: Increased vascular smooth muscle cell (VSMC) migration leads to
intimal thickening which acts as a soil for atherosclersosis, as well as causing
coronary artery restenosis after stenting and vein graft failure. Investigating
factors involved in VSMC migration may enable us to reduce intimal thickening and
improve patient outcomes. In this study, we determined whether Wnt proteins
regulate VSMC migration and thereby intimal thickening. APPROACH AND RESULTS:
Wnt2 mRNA and protein expression were specifically increased in migrating mouse
aortic VSMCs. Moreover, VSMC migration was induced by recombinant Wnt2 in vitro.
Addition of recombinant Wnt2 protein increased Wnt1-inducible signaling pathway
protein-1 (WISP-1) mRNA by ~1.7-fold, via beta-catenin/T-cell factor signaling,
whereas silencing RNA knockdown of Wnt-2 reduced WISP-1 mRNA by ~65%. Treatment
with rWISP-1 significantly increased VSMC migration by ~1.5-fold, whereas WISP-1
silencing RNA knockdown reduced migration by ~40%. Wnt2 and WISP-1 effects were
integrin-dependent and not additive, indicating that Wnt2 promoted VSMC migration
via WISP-1. Additionally, Wnt2 and WISP-1 were significantly increased and
colocated in human coronary arteries with intimal thickening. Reduced Wnt2 and
WISP-1 levels in mouse carotid arteries from Wnt2(+/-) and WISP-1(-/-) mice,
respectively, significantly suppressed intimal thickening in response to carotid
artery ligation. In contrast, elevation of plasma WISP-1 via an adenovirus
encoding WISP-1 significantly increased intimal thickening by ~1.5-fold compared
with mice receiving control virus. CONCLUSIONS: Upregulation of Wnt2 expression
enhanced WISP-1 and promoted VSMC migration and thereby intimal thickening. As
novel regulators of VSMC migration and intimal thickening, Wnt2 or WISP-1 may
provide a potential therapy for restenosis and vein graft failure.
PMID- 27199446
TI - Molecular Characterization of the Lipid Genome-Wide Association Study Signal on
Chromosome 18q11.2 Implicates HNF4A-Mediated Regulation of the TMEM241 Gene.
AB - OBJECTIVE: We recently identified a locus on chromosome 18q11.2 for high serum
triglycerides in Mexicans. We hypothesize that the lead genome-wide association
study single-nucleotide polymorphism rs9949617, or its linkage disequilibrium
proxies, regulates 1 of the 5 genes in the triglyceride-associated region.
APPROACH AND RESULTS: We performed a linkage disequilibrium analysis and found 9
additional variants in linkage disequilibrium (r(2)>0.7) with the lead single
nucleotide polymorphism. To select the variants for functional analyses, we
annotated the 10 variants using DNase I hypersensitive sites, transcription
factor and chromatin states and identified rs17259126 as the lead candidate
variant for functional in vitro validation. Using luciferase transcriptional
reporter assay in liver HepG2 cells, we found that the G allele exhibits a
significantly lower effect on transcription (P<0.05). The electrophoretic
mobility shift and ChIPqPCR (chromatin immunoprecipitation coupled with
quantitative polymerase chain reaction) assays confirmed that the minor G allele
of rs17259126 disrupts an hepatocyte nuclear factor 4 alpha-binding site. To find
the regional candidate gene, we performed a local expression quantitative trait
locus analysis and found that rs17259126 and its linkage disequilibrium proxies
alter expression of the regional transmembrane protein 241 (TMEM241) gene in 795
adipose RNAs from the Metabolic Syndrome In Men (METSIM) cohort (P=6.11*10(-07)
5.80*10(-04)). These results were replicated in expression profiles of TMEM241
from the Multiple Tissue Human Expression Resource (MuTHER; n=856). CONCLUSIONS:
The Mexican genome-wide association study signal for high serum triglycerides on
chromosome 18q11.2 harbors a regulatory single-nucleotide polymorphism,
rs17259126, which disrupts normal hepatocyte nuclear factor 4 alpha binding and
decreases the expression of the regional TMEM241 gene. Our data suggest that
decreased transcript levels of TMEM241 contribute to increased triglyceride
levels in Mexicans.
PMID- 27199448
TI - Calmodulin Mediates Ca2+-Dependent Inhibition of Tie2 Signaling and Acts as a
Developmental Brake During Embryonic Angiogenesis.
AB - OBJECTIVE: Angiogenesis, the process of building complex vascular structures,
begins with sprout formation on preexisting blood vessels, followed by extension
of the vessels through proliferation and migration of endothelial cells. Based on
the potential therapeutic benefits of preventing angiogenesis in pathological
conditions, many studies have focused on the mechanisms of its initiation as well
as control. However, how the extension of vessels is terminated remains obscure.
Thus, we investigated the negative regulation mechanism. APPROACH AND RESULTS: We
report that increased intracellular calcium can induce dephosphorylation of the
endothelial receptor tyrosine kinase Tie2. The calcium-mediated dephosphorylation
was found to be dependent on Tie2-calmodulin interaction. The Tyr1113 residue in
the C-terminal end loop of the Tie2 kinase domain was mapped and found to be
required for this interaction. Moreover, mutation of this residue into Phe
impaired both the Tie2-calmodulin interaction and calcium-mediated Tie2
dephosphorylation. Furthermore, expressing a mutant Tie2 incapable of binding to
calmodulin or inhibiting calmodulin function in vivo causes unchecked growth of
the vasculature in Xenopus. Specifically, knockdown of Tie2 in Xenopus embryo
retarded the sprouting and extension of intersomitic veins. Although human Tie2
expression in the Tie2-deficient animals almost completely rescued the
retardation, the Tie2(Y1113F) mutant caused overgrowth of intersomitic veins with
strikingly complex and excessive branching patterns. CONCLUSIONS: We propose that
the calcium/calmodulin-dependent negative regulation of Tie2 can be used as an
inhibitory signal for vessel growth and branching to build proper vessel
architecture during embryonic development.
PMID- 27199449
TI - Bone Morphogenetic Protein Signaling Is Required for Aortic Valve Calcification.
AB - OBJECTIVE: Calcific aortic valve disease (CAVD) is the most prevalent type of
heart valve disease, affecting ~2% of the US population. CAVD is characterized by
the presence of calcific nodules, resulting in aortic valve (AoV) stenosis;
however, the underlying mechanisms driving disease remain unknown. Studies of
human diseased AoV provide initial evidence that bone morphogenetic protein (BMP)
signaling, essential for normal bone formation, is activated during CAVD. Mice
deficient in Klotho, an FGF23 transmembrane coreceptor, exhibit premature aging
and develop AoV calcific nodules as occurs in human CAVD. The role of BMP
signaling in the development of CAVD was examined in porcine aortic valve
interstitial cells (VICs) and Klotho(-/-) mice. APPROACH AND RESULTS: We show
that activation of BMP signaling, as indicated by pSmad1/5/8 expression, precedes
and later localizes with AoV calcification in Klotho(-/-) mice. In addition,
cellular and extracellular matrix changes resembling features of normal bone
formation are accompanied by increased osteochondrogenic gene induction in
calcified Klotho(-/-) AoV. Likewise, osteogenic media treatment of porcine VICs
results in BMP pathway activation, increased osteochondrogenic gene induction,
and formation of calcific nodules in vitro. We demonstrate that genetic
inactivation of the BMP type IA receptor in Klotho(-/-) aortic VICs, as well as
BMP pathway inhibition of osteogenic media-treated aortic VICs in vitro, results
in the inhibition of AoV calcification. CONCLUSIONS: BMP signaling and
osteochondrogenic gene induction are active in calcified Klotho(-/-) AoV in vivo
and calcified porcine aortic VICs in vitro. Importantly, BMP signaling is
required for the development of AoV calcification in vitro and in vivo.
PMID- 27199451
TI - Oncological miR-182-3p, a Novel Smooth Muscle Cell Phenotype Modulator, Evidences
From Model Rats and Patients.
AB - OBJECTIVE: Vascular smooth muscle cell (VSMC) phenotype change is a hallmark of
vascular remodeling, which contributes to atherosclerotic diseases and can be
regulated via microRNA-dependent mechanisms. We recently identified that
asymmetrical dimethylarginine positively correlates to vascular remodeling-based
diseases. We hypothesized that asymmetrical dimethylarginine induces smooth
muscle cell (SMC) phenotypic change via a microRNA-dependent mechanism. APPROACH
AND RESULTS: Microarray analysis enabled the identification of downregulation of
miR-182-3p in asymmetrical dimethylarginine-treated human aortic artery SMCs. The
myeloid-associated differentiation marker (MYADM) was identified as the
downstream target of miR-182-3p and implicated to contribute to miR-182-3p
knockdown-mediated SMC phenotype change, which was evidenced by the increased
proliferation and migration and reduced expression levels of phenotype-related
genes in human aortic artery SMCs through the ERK/MAP (extracellular signal
regulated kinase/mitogen-activated protein) kinase-dependent mechanism. When
inhibiting MYADM in the presence of miR-182-3p inhibitor or overexpressing MYADM
in the presence of pre-miR-182-3p, human aortic artery SMCs were reversed to the
differentiation phenotype. In vivo, adeno-miR-182-3p markedly suppressed carotid
neointimal formation by using balloon-injured rat carotid artery model,
specifically via decreased MYADM expression, whereas adeno-miR-182-3p inhibitor
significantly promoted neointimal formation. Atherosclerotic lesions from
patients with high asymmetrical dimethylarginine plasma levels exhibited
decreased miR-182-3p expression levels and elevated MYADM expression levels.
CONCLUSIONS: miR-182-3p is a novel SMC phenotypic modulator by targeting MYADM.
PMID- 27199450
TI - Deficiency of ATP-Binding Cassette Transporters A1 and G1 in Endothelial Cells
Accelerates Atherosclerosis in Mice.
AB - OBJECTIVE: Plasma high-density lipoproteins have several putative antiatherogenic
effects, including preservation of endothelial functions. This is thought to be
mediated, in part, by the ability of high-density lipoproteins to promote
cholesterol efflux from endothelial cells (ECs). The ATP-binding cassette
transporters A1 and G1 (ABCA1 and ABCG1) interact with high-density lipoproteins
to promote cholesterol efflux from ECs. To determine the impact of endothelial
cholesterol efflux pathways on atherogenesis, we prepared mice with endothelium
specific knockout of Abca1 and Abcg1. APPROACH AND RESULTS: Generation of mice
with EC-ABCA1 and ABCG1 deficiency required crossbreeding
Abca1(fl/fl)Abcg1(fl/fl)Ldlr(-/-) mice with the Tie2Cre strain, followed by
irradiation and transplantation of Abca1(fl/fl)Abcg1(fl/fl) bone marrow to
abrogate the effects of macrophage ABCA1 and ABCG1 deficiency induced by Tie2Cre.
After 20 to 22 weeks of Western-type diet, both single EC-Abca1 and Abcg1
deficiency increased atherosclerosis in the aortic root and whole aorta. Combined
EC-Abca1/g1 deficiency caused a significant further increase in lesion area at
both sites. EC-Abca1/g1 deficiency dramatically enhanced macrophage lipid
accumulation in the branches of the aorta that are exposed to disturbed blood
flow, decreased aortic endothelial NO synthase activity, and increased monocyte
infiltration into the atherosclerotic plaque. Abca1/g1 deficiency enhanced
lipopolysaccharide-induced inflammatory gene expression in mouse aortic ECs,
which was recapitulated by ABCG1 deficiency in human aortic ECs. CONCLUSIONS:
These studies provide direct evidence that endothelial cholesterol efflux
pathways mediated by ABCA1 and ABCG1 are nonredundant and atheroprotective,
reflecting preservation of endothelial NO synthase activity and suppression of
endothelial inflammation, especially in regions of disturbed arterial blood flow.
PMID- 27199452
TI - High-throughput sequencing reveals differential expression of miRNAs in
prehierarchal follicles of laying and brooding geese.
AB - Broodiness is the primary factor influencing egg production in geese, in which
several genes and miRNAs participate. Detailed spatiotemporal profiles of miRNAs
encompassing follicle development levels, however, are lacking. In this study, we
collected preovulatory follicles (classified as small white follicles, large
white follicles, and small yellow follicles) from brooding and laying geese and
aimed to analyze microRNA (miRNA or miR) during folliculogenesis. High-throughput
sequencing and bioinformatics analysis were used to identify the miRNAs involved
in follicle development. The let7 family, miR-10 family, and miR-143 family were
abundant in these libraries, and they have been suggested to play a housekeeping
role during folliculogenesis. Joint comparisons revealed 23 upregulated and 21
downregulated miRNAs (in at least two comparisons of follicles during brooding
and laying, P < 0.1) in the laying stage. Unlike reproduction pathways reported
for ovaries, GO and KEGG analysis suggested pathways for cell apoptosis and
proliferation, such as the regulation of actin cytoskeleton, endocytosis, axon
guidance, pathways in cancer, tight junctions, focal adhesion, the MAPK signaling
pathway, cytokine-cytokine receptor interactions, and the Wnt signaling pathway
in folliculogenesis. This study revealed the miRNAs that were directly involved
in follicular atresia, and our results added to the understanding of the
functional involvement of miRNAs during specific stages of follicle development.
PMID- 27199454
TI - Comprehensive database of human E3 ubiquitin ligases: application to aquaporin-2
regulation.
AB - Aquaporin-2 (AQP2) is regulated in part via vasopressin-mediated changes in
protein half-life that are in turn dependent on AQP2 ubiquitination. Here we
addressed the question, "What E3 ubiquitin ligase is most likely to be
responsible for AQP2 ubiquitination?" using large-scale data integration based on
Bayes' rule. The first step was to bioinformatically identify all E3 ligase genes
coded by the human genome. The 377 E3 ubiquitin ligases identified in the human
genome, consisting predominant of HECT, RING, and U-box proteins, have been used
to create a publically accessible and downloadable online database
(https://hpcwebapps.cit.nih.gov/ESBL/Database/E3-ligases/). We also curated a
second database of E3 ligase accessory proteins that included BTB domain
proteins, cullins, SOCS-box proteins, and F-box proteins. Using Bayes' theorem to
integrate information from multiple large-scale proteomic and transcriptomic
datasets, we ranked these 377 E3 ligases with respect to their probability of
interaction with AQP2. Application of Bayes' rule identified the E3 ligases most
likely to interact with AQP2 as (in order of probability): NEDD4 and NEDD4L (tied
for first), AMFR, STUB1, ITCH, ZFPL1. Significantly, the two E3 ligases tied for
top rank have also been studied extensively in the reductionist literature as
regulatory proteins in renal tubule epithelia. The concordance of conclusions
from reductionist and systems-level data provides strong motivation for further
studies of the roles of NEDD4 and NEDD4L in the regulation of AQP2 protein
turnover.
PMID- 27199455
TI - Effect of selective expression of dominant-negative PPARgamma in pro
opiomelanocortin neurons on the control of energy balance.
AB - Peroxisome proliferator-activated receptor-gamma (PPARgamma), a master regulator
of adipogenesis, was recently shown to affect energy homeostasis through its
actions in the brain. Deletion of PPARgamma in mouse brain, and specifically in
the pro-opiomelanocortin (POMC) neurons, results in resistance to diet-induced
obesity. To study the mechanisms by which PPARgamma in POMC neurons controls
energy balance, we constructed a Cre-recombinase-dependent conditionally
activatable transgene expressing either wild-type (WT) or dominant-negative
(P467L) PPARgamma and the tdTomato reporter. Inducible expression of both forms
of PPARgamma was validated in cells in culture, in liver of mice infected with an
adenovirus expressing Cre-recombinase (AdCre), and in the brain of mice
expressing Cre-recombinase either in all neurons (NES(Cre)/PPARgamma-P467L) or
selectively in POMC neurons (POMC(Cre)/PPARgamma-P467L). Whereas
POMC(Cre)/PPARgamma-P467L mice exhibited a normal pattern of weight gain when fed
60% high-fat diet, they exhibited increased weight gain and fat mass accumulation
in response to a 10% fat isocaloric-matched control diet. POMC(Cre)/PPARgamma
P467L mice were leptin sensitive on control diet but became leptin resistant when
fed 60% high-fat diet. There was no difference in body weight between
POMC(Cre)/PPARgamma-WT mice and controls in response to 60% high-fat diet.
However, POMC(Cre)/PPARgamma-WT, but not POMC(Cre)/PPARgamma-P467L, mice
increased body weight in response to rosiglitazone, a PPARgamma agonist. These
observations support the concept that alterations in PPARgamma-driven mechanisms
in POMC neurons can play a role in the regulation of metabolic homeostasis under
certain dietary conditions.
PMID- 27199453
TI - Heterotrimeric G protein signaling in polycystic kidney disease.
AB - Autosomal dominant polycystic kidney disease (ADPKD) is a signalopathy of renal
tubular epithelial cells caused by naturally occurring mutations in two distinct
genes, polycystic kidney disease 1 (PKD1) and 2 (PKD2). Genetic variants in PKD1,
which encodes the polycystin-1 (PC-1) protein, remain the predominant factor
associated with the pathogenesis of nearly two-thirds of all patients diagnosed
with PKD. Although the relationship between defective PC-1 with renal cystic
disease initiation and progression remains to be fully elucidated, there are
numerous clinical studies that have focused upon the control of effector systems
involving heterotrimeric G protein regulation. A major regulator in the
activation state of heterotrimeric G proteins are G protein-coupled receptors
(GPCRs), which are defined by their seven transmembrane-spanning regions. PC-1
has been considered to function as an unconventional GPCR, but the mechanisms by
which PC-1 controls signal processing, magnitude, or trafficking through
heterotrimeric G proteins remains to be fully known. The diversity of
heterotrimeric G protein signaling in PKD is further complicated by the presence
of non-GPCR proteins in the membrane or cytoplasm that also modulate the
functional state of heterotrimeric G proteins within the cell. Moreover, PC-1
abnormalities promote changes in hormonal systems that ultimately interact with
distinct GPCRs in the kidney to potentially amplify or antagonize signaling
output from PC-1. This review will focus upon the canonical and noncanonical
signaling pathways that have been described in PKD with specific emphasis on
which heterotrimeric G proteins are involved in the pathological reorganization
of the tubular epithelial cell architecture to exacerbate renal cystogenic
pathways.
PMID- 27199457
TI - Minireview: Role of genetic changes of faciogenital dysplasia protein 1 in human
disease.
AB - The FGD1 gene encodes for a guanine exchange factor (GEF) protein that
specifically activates the Rho GTPase Cdc42. For cellular migration, Cdc42 is a
key molecular switch that regulates cytoskeleton restructuring, gene
transcription, cellular morphology, extension, and cell adhesion. In the past
decade, germline mutations in the FGD1 gene have been associated with a rare X
linked disorder known as faciogenital dysplasia (FGDY). Malformations are
consistent with a loss of cellular migration during embryonic development.
Insertion and deletion mutations in FGD1 result in a frameshift causing
inactivation of fgd1 protein. Since Cdc42 is a key molecular switch in
cytoskeletal restructuring and cell adhesion, the loss of fgd1 is postulated to
attenuate Cdc42-mediated cellular migration in embryonic development. In
metastatic tumors, Cdc42 modulates migration and invasiveness. Fgd1
overexpression has been found in infiltrating and poorly differentiated breast
and invasive prostate tumors. Amplification at Xp11.21, the FGD1 gene locus, has
been reported in several cancers. Sequencing analyses in numerous types of cancer
have found missense mutations in the FGD1 gene in metastatic tumors. FGDY and
cancer studies suggest that the germline and somatic changes downregulate or
upregulate the FGD1 gene playing a key role in the development of diseases.
PMID- 27199456
TI - Retinoic acid and sodium butyrate suppress the cardiac expression of hypertrophic
markers and proinflammatory mediators in Npr1 gene-disrupted haplotype mice.
AB - The objective of the present study was to examine the genetically determined
differences in the natriuretic peptide receptor-A (NPRA) gene (Npr1) copies
affecting the expression of cardiac hypertrophic markers, proinflammatory
mediators, and matrix metalloproteinases (MMPs) in a gene-dose-dependent manner.
We determined whether stimulation of Npr1 by all-trans retinoic acid (RA) and
histone deacetylase (HDAC) inhibitor sodium butyric acid (SB) suppress the
expression of cardiac disease markers. In the present study, we utilized Npr1
gene-disrupted heterozygous (Npr1(+/-), 1-copy), wild-type (Npr1(+/+), 2-copy),
gene-duplicated (Npr1(++/+), 3-copy) mice, which were treated intraperitoneally
with RA, SB, and a combination of RA/SB, a hybrid drug (HB) for 2 wk. Untreated 1
copy mice showed significantly increased heart weight-body weight (HW/BW) ratio,
blood pressure, hypertrophic markers, including beta-myosin heavy chain (beta
MHC) and proto-oncogenes (c-fos and c-jun), proinflammatory mediator nuclear
factor kappa B (NF-kappaB), and MMPs (MMP-2, MMP-9) compared with 2-copy and 3
copy mice. The heterozygous (haplotype) 1-copy mice treated with RA, SB, or HB,
exhibited significant reduction in the expression of beta-MHC, c-fos, c-jun, NF
kappaB, MMP-2, and MMP-9. In drug-treated animals, the activity and expression
levels of HDAC were significantly reduced and histone acetyltransferase activity
and expression levels were increased. The drug treatments significantly increased
the fractional shortening and reduced the systolic and diastolic parameters of
the Npr1(+/-) mice hearts. Together, the present results demonstrate that a
decreased Npr1 copy number enhanced the expression of hypertrophic markers,
proinflammatory mediators, and MMPs, whereas an increased Npr1 repressed the
cardiac disease markers in a gene-dose-dependent manner.
PMID- 27199458
TI - Discovery and identification of candidate sex-related genes based on
transcriptome sequencing of Russian sturgeon (Acipenser gueldenstaedtii) gonads.
AB - As the Russian sturgeon (Acipenser gueldenstaedtii) is an important food and is
the main source of caviar, it is necessary to discover the genes associated with
its sex differentiation. However, the complicated life and maturity cycles of the
Russian sturgeon restrict the accurate identification of sex in early
development. To generate a first look at specific sex-related genes, we sequenced
the transcriptome of gonads in different development stages (1, 2, and 5 yr old
stages) with next-generation RNA sequencing. We generated >60 million raw reads,
and the filtered reads were assembled into 263,341 contigs, which produced 38,505
unigenes. Genes involved in signal transduction mechanisms were the most
abundant, suggesting that development of sturgeon gonads is under control of
signal transduction mechanisms. Differentially expressed gene analysis suggests
that more genes for protein synthesis, cytochrome c oxidase subunits, and
ribosomal proteins were expressed in female gonads than in male. Meanwhile, male
gonads expressed more transposable element transposase, reverse transcriptase,
and transposase-related genes than female. In total, 342, 782, and 7,845 genes
were detected in intersex, male, and female transcriptomes, respectively. The
female gonad expressed more genes than the male gonad, and more genes were
involved in female gonadal development. Genes (sox9, foxl2) are differentially
expressed in different sexes and may be important sex-related genes in Russian
sturgeon. Sox9 genes are responsible for the development of male gonads and foxl2
for female gonads.
PMID- 27199459
TI - Tumor purity and differential methylation in cancer epigenomics.
AB - DNA methylation is an epigenetic modification of DNA molecule that plays a vital
role in gene expression regulation. It is not only involved in many basic
biological processes, but also considered an important factor for tumorigenesis
and other human diseases. Study of DNA methylation has been an active field in
cancer epigenomics research. With the advances of high-throughput technologies
and the accumulation of enormous amount of data, method development for analyzing
these data has gained tremendous interests in the fields of computational biology
and bioinformatics. In this review, we systematically summarize the recent
developments of computational methods and software tools in high-throughput
methylation data analysis with focus on two aspects: differential methylation
analysis and tumor purity estimation in cancer studies.
PMID- 27199460
TI - Implementation of Molecular Surveillance After a Cluster of Fatal Toxoplasmosis
at 2 Neighboring Transplant Centers.
AB - After a cluster of fatal toxoplasmosis among stem cell transplant recipients at 2
hospitals, surveillance with polymerase chain reaction (PCR) (blood) was
instituted. Rate of reactivation among seropositive recipients was 2.2 and 16%.
Parasitemia was successfully managed with preemptive treatment. For seropositive
recipients unable to take prophylaxis, toxoplasma PCR surveillance should be
routinely performed.
PMID- 27199462
TI - Antibiotic Stewardship Programs in U.S. Acute Care Hospitals: Findings From the
2014 National Healthcare Safety Network Annual Hospital Survey.
AB - BACKGROUND: The National Action Plan to Combat Antibiotic Resistant Bacteria
calls for all US hospitals to improve antibiotic prescribing as a key prevention
strategy for resistance and Clostridium difficile Antibiotic stewardship programs
(ASPs) will be important in this effort but implementation is not well
understood. METHODS: We analyzed the 2014 National Healthcare Safety Network
Annual Hospital Survey to describe ASPs in US acute care hospitals as defined by
the Center for Disease Control and Prevention's (CDC) Core Elements for Hospital
ASPs. Univariate analyses were used to assess stewardship infrastructure and
practices by facility characteristics and a multivariate model determined factors
associated with meeting all ASP core elements. RESULTS: Among 4184 US hospitals,
39% reported having an ASP that met all 7 core elements. Although hospitals with
greater than 200 beds (59%) were more likely to have ASPs, 1 in 4 (25%) of
hospitals with less than 50 beds reported achieving all 7 CDC-defined core
elements of a comprehensive ASP. The percent of hospitals in each state that
reported all seven elements ranged from 7% to 58%. In the multivariate model,
written support (adjusted relative risk [RR] 7.2 [95% confidence interval [CI],
6.2-8.4]; P < .0001) or salary support (adjusted RR 1.5 [95% CI, 1.4-1.6]; P <
.0001) were significantly associated with having a comprehensive ASP.
CONCLUSIONS: Our findings show that ASP implementation varies across the United
States and provide a baseline to monitor progress toward national goals.
Comprehensive ASPs can be established in facilities of any size and hospital
leadership support for antibiotic stewardship appears to drive the establishment
of ASPs.
PMID- 27199463
TI - Editorial Commentary: Antimicrobial Stewardship in US Hospitals: Is the Cup Half
full Yet?
PMID- 27199461
TI - The Veterans Aging Cohort Study (VACS) Index and Neurocognitive Change: A
Longitudinal Study.
AB - BACKGROUND: The Veterans Aging Cohort Study (VACS) Index, a composite marker of
disease severity among human immunodeficiency virus (HIV)-infected persons, has
been associated with concurrent risk for neurocognitive impairment (NCI). The
present study examined whether the VACS Index predicts longitudinal
neurocognitive change. METHODS: Participants included 655 HIV-infected persons
followed for up to 6 years in cohort studies at the University of California, San
Diego, HIV Neurobehavioral Research Program (mean age at baseline, 42.5 years;
83% male; 60% white; AIDS in 67%; median current CD4(+) T-cell count, 346/MUL;
61% receiving antiretroviral therapy). The VACS Index was calculated through
standard methods. Participants completed a comprehensive neurocognitive battery.
Neurocognitive status was plotted over time using demographically and practice
adjusted global and domain T scores. NCI was defined by global deficit scores
derived from T scores. RESULTS: Baseline VACS Index scores were not predictive of
changes in global T scores during the follow-up period (P = .14). However, in
time-dependent analyses adjusting for covariates, higher VACS Index scores were
significantly associated with worse global and domain neurocognitive performance
(Ps < .01), as well as increased risk for developing NCI in a subgroup of persons
who were neurocognitively normal at baseline (hazard ratio [HR], 1.17; P < .001).
We categorized VACS Index scores by quartiles and found that the upper-quartile
group was significantly more likely to develop NCI than the lower quartile (HR,
2.16; P < .01) and middle groups (HR, 1.76; P < .01). CONCLUSIONS: Changes in
VACS Index scores correspond to changes in neurocognitive function. HIV-infected
persons with high VACS Index scores are at increased risk for decline and
incident NCI. The VACS Index shows promise as a tool for identifying HIV-infected
persons at risk for NCI.
PMID- 27199464
TI - ADAR1-Mediated RNA Editing, A Novel Mechanism Controlling Phenotypic Modulation
of Vascular Smooth Muscle Cells.
AB - RATIONALE: Vascular smooth muscle cell (SMC) phenotypic modulation is
characterized by the downregulation of SMC contractile genes. Platelet-derived
growth factor-BB, a well-known stimulator of SMC phenotypic modulation,
downregulates SMC genes via posttranscriptional regulation. The underlying
mechanisms, however, remain largely unknown. OBJECTIVE: To establish RNA editing
as a novel mechanism controlling SMC phenotypic modulation. METHODS AND RESULTS:
Precursor mRNAs (pre-mRNA) of SMC myosin heavy chain and smooth muscle alpha
actin were accumulated while their mature mRNAs were downregulated during SMC
phenotypic modulation, suggesting an abnormal splicing of the pre-mRNAs. The
abnormal splicing resulted from SMC marker pre-mRNA editing that was facilitated
by adenosine deaminase acting on RNA 1 (ADAR1), an enzyme converting adenosines
to inosines (A->I editing) in RNA sequences. ADAR1 expression inversely
correlated with SMC myosin heavy chain and smooth muscle alpha-actin levels;
knockdown of ADAR1 restored SMC myosin heavy chain and smooth muscle alpha-actin
expression in phenotypically modulated SMC, and editase domain mutation
diminished the ADAR1-mediated abnormal splicing of SMC marker pre-mRNAs.
Moreover, the abnormal splicing/editing of SMC myosin heavy chain and smooth
muscle alpha-actin pre-mRNAs occurred during injury-induced vascular remodeling.
Importantly, heterozygous knockout of ADAR1 dramatically inhibited injury-induced
neointima formation and restored SMC marker expression, demonstrating a critical
role of ADAR1 in SMC phenotypic modulation and vascular remodeling in vivo.
CONCLUSIONS: Our results unraveled a novel molecular mechanism, that is, pre-mRNA
editing, governing SMC phenotypic modulation.
PMID- 27199466
TI - Survival Analysis After Extracorporeal Membrane Oxygenation in Critically Ill
Adults: A Nationwide Cohort Study.
AB - BACKGROUND: Extracorporeal membrane oxygenation (ECMO) provides circulatory and
respiratory support for patients with severe acute cardiopulmonary failure. The
objective of this study was to examine the survival outcomes for patients who
received ECMO. METHODS AND RESULTS: Adult patients who received ECMO from
September 1, 2002, to December 31, 2012, were identified from the Taiwan National
Health Insurance Database associated with coronary artery bypass graft surgery,
myocardial infarction/cardiogenic shock, injury, and infection/septic shock. A
Cox regression model was used to determine hazard ratios and to compare 30-day
and 1-year survival rates with the myocardial infarction/cardiogenic shock group
used as the reference. The mean+/-SD age of the 4227-patient cohort was 57+/-17
years, and 72% were male. The overall mortalities were 59.8% and 76.5% at 1 month
and 1 year. Survival statistics deteriorated sharply when ECMO was required for
>3 days. Acute (30-day) survival was more favorable in the infection/septic shock
(n=1076; hazard ratio, 0.61; 95% confidence interval, 0.55-0.67), coronary artery
bypass graft surgery (n=1077; hazard ratio, 0.68; 95% confidence interval, 0.61
0.75), and injury (n=369, hazard ratio, 0.82; 95% confidence interval, 0.70-0.95)
groups. The extended survival rapidly approached an asymptote near 20% for the
infection/septic shock, myocardial infarction/cardiogenic shock (n=1705), and
coronary artery bypass graft surgery groups. The pattern of survival for the
injury group was somewhat better, exceeding 30% at year-end. CONCLUSIONS:
Regardless of initial pathology, patients requiring ECMO were critically ill with
similar guarded prognoses. Those in the trauma group had somewhat better
outcomes. Determining the efficacy and cost-effectiveness of ECMO should be a
critical future goal.
PMID- 27199465
TI - CD74-Downregulation of Placental Macrophage-Trophoblastic Interactions in
Preeclampsia.
AB - RATIONALE: We hypothesized that cluster of differentiation 74 (CD74)
downregulation on placental macrophages, leading to altered macrophage
trophoblast interaction, is involved in preeclampsia. OBJECTIVE: Preeclamptic
pregnancies feature hypertension, proteinuria, and placental anomalies. Feto
placental macrophages regulate villous trophoblast differentiation during
placental development. Disturbance of this well-balanced regulation can lead to
pathological pregnancies. METHODS AND RESULTS: We performed whole-genome
expression analysis of placental tissue. CD74 was one of the most downregulated
genes in placentas from preeclamptic women. By reverse transcriptase-polymerase
chain reaction, we confirmed this finding in early-onset (<34 gestational week,
n=26) and late-onset (>=34 gestational week, n=24) samples from preeclamptic
women, compared with healthy pregnant controls (n=28). CD74 protein levels were
analyzed by Western blot and flow cytometry. We identified placental macrophages
to express CD74 by immunofluorescence, flow cytometry, and RT-PCR. CD74-positive
macrophages were significantly reduced in preeclamptic placentas compared with
controls. CD74-silenced macrophages showed that the adhesion molecules ALCAM,
ICAM4, and Syndecan-2, as well as macrophage adhesion to trophoblasts were
diminished. Naive and activated macrophages lacking CD74 showed a shift toward a
proinflammatory signature with an increased secretion of tumor necrosis factor
alpha, chemokine (C-C motif) ligand 5, and monocyte chemotactic protein-1, when
cocultured with trophoblasts compared with control macrophages. Trophoblasts
stimulated by these factors express more CYP2J2, sFlt1, TNFalpha, and IL-8. CD74
knockout mice showed disturbed placental morphology, reduced junctional zone,
smaller placentas, and impaired spiral artery remodeling with fetal growth
restriction. CONCLUSIONS: CD74 downregulation in placental macrophages is present
in preeclampsia. CD74 downregulation leads to altered macrophage activation
toward a proinflammatory signature and a disturbed crosstalk with trophoblasts.
PMID- 27199467
TI - Longitudinal Assessment of Colonization With Staphylococcus aureus in Healthy
Collegiate Athletes.
AB - BACKGROUND: Staphylococcus aureus is the leading cause of skin and soft tissue
infections in the United States, and S. aureus colonization increases the risk of
infection. Although athletes have a higher risk of infection with S. aureus than
the general population, most studies in athletes have not assessed colonization.
METHODS: We conducted a prospective cohort study of Vanderbilt University varsity
athletes from August 2008 to April 2010. We assessed nasal and oropharyngeal
colonization with methicillin-susceptible S. aureus (MSSA) and methicillin
resistant S. aureus (MRSA) strains by obtaining swabs at enrollment and monthly
thereafter until the end of the study. The athletes were also monitored for skin
and soft tissue infections. RESULTS: We enrolled 377 athletes and trainers (224
in contact sports and 153 in noncontact sports). The total S. aureus colonization
prevalence ranged from 34% to 62%, and for MRSA it ranged from 8% to 29%. The
colonization rate in the summer was significantly higher than that in the winter
(odds ratio for MRSA [ORMRSA], 1.70 [95% confidence interval (CI), 1.23-2.35];
ORMSSA, 1.38 [95% CI, 1.05-1.82]). Of 603 MRSA isolates, 75% carried the
staphylococcal cassette chromosome mec (SCCmec) type IV, and 5% carried the genes
encoding Panton-Valentine leukocidin. Nine symptomatic S. aureus infections
occurred, 7 of which were between July and September. CONCLUSIONS: The S. aureus
colonization rate is higher than previously reported and fluctuated over time in
this prospective cohort of athletes. The higher colonization prevalence during
summer might explain the infectious outbreak during the summer months and may
represent a key intervention time for preventing S. aureus disease in athletes.
PMID- 27199468
TI - Interferon-Gamma Release Assays and Pediatric Public Health Tuberculosis
Screening: The San Francisco Program Experience 2005 to 2008.
AB - BACKGROUND: Interferon-gamma release assay utilization in pediatric tuberculosis
(TB) screening is limited by a paucity of longitudinal experience, particularly
in low-TB burden populations. METHODS: We conducted a retrospective review of
QuantiFERON (QFT)-TB Gold results in San Francisco children from 2005 to 2008.
Concordance with the tuberculin skin test (TST) was analyzed for a subset of
children. Progression to active disease was determined through San Francisco and
California TB registry matches. RESULTS: Of 1092 children <15 years of age, 853
(78%) were foreign-born, and 136 (12%) were exposed to active TB cases
(contacts). QuantiFERON tests were positive in 72 of 1092 (7%) children; 15 of
136 (11%) recent contacts; 53 of 807 (7%) foreign-born noncontacts; and 4 of 149
(3%) US-born noncontacts. QuantiFERON-negative/TST-positive discordance was seen
more often in foreign-born/bacille Calmette-Guerin (BCG)-vaccinated children <5
years of age (52 of 56, 93%) compared to those >= 5 years of age (90 of 123, 73%;
P = .003). Foreign-born, BCG-vaccinated children were more than twice as likely
to have a discordant (79%) result as US-born, non-BCG-vaccinated children (37%; P
< .0001). During 5587 person-years of follow-up of untreated children, including
146 TST-positive/QFT-negative children, no cases of active TB were identified,
consistent with a negative predictive value of 100%. CONCLUSIONS: Our experience
supports the use of QFT to evaluate latent TB infection in children, particularly
young BCG-vaccinated children. The proportion of QFT-positive results correlated
with risk of exposure, and none of the untreated QFT-negative children developed
TB. The low QFT-positive rate highlights the need for more selective testing
based on current epidemiology and TB exposure risk.
PMID- 27199469
TI - Pharmacokinetics of Once-Daily Darunavir/Ritonavir With and Without Etravirine in
Human Immunodeficiency Virus-Infected Children, Adolescents, and Young Adults.
AB - BACKGROUND: Limited data are available for once-daily (QD) darunavir
(DRV)/ritonavir (r) in the pediatric population. Coadministration of etravirine
(ETR) may alter the pharmacokinetics (PK) of DRV. We evaluated the PK
interactions between DRV/r (QD) and ETR QD or twice-daily (BID) in children,
adolescents, and young adults. METHODS: Human immunodeficiency virus-infected
subjects 9 to < 24 years old on optimized background therapy including DRV/r
800/100 mg QD alone or combined with ETR 200 mg BID or ETR 400 mg QD were
enrolled. Protocol-defined target drug exposure ranges based on adult data were
used to assess the adequacy of each regimen. Intensive 24-hour blood sampling was
performed, and PK parameters were determined using noncompartmental analysis.
RESULTS: Thirty-one subjects (14 males) completed the study; 16 received DRV/r QD
alone (group 1), 6 received DRV/r plus ETR BID (group 2A), and 9 received DRV/r
plus ETR QD (group 2B). The geometric mean (90% confidence interval [CI]
geometric mean) for DRV area under the curve at 24 hours (AUC24) was 57.9 (49.6
67.6), 74.9 (44.4-126.5), and 66.4 (50.8-86.9) mg * h/L for patients in groups 1,
2A, and 2B, respectively. The increased DRV exposure when coadministered with ETR
was not statistically significant. The geometric mean (90% CI geometric mean) of
ETR AUC24 was 8.6 (4.4-16.8) and 11.9 (7.5-18.9) mg * h/L for groups 2A and 2B,
respectively, with comparable C24. CONCLUSIONS: The results suggest that DRV/r QD
with ETR 400 mg QD or 200 mg BID is appropriate and support further evaluation of
the safety and efficacy of the once-daily regimen in older children, adolescents,
and young adults.
PMID- 27199470
TI - Shiga Toxin-Producing Escherichia coli in Diarrheal Stool of Swedish Children:
Evaluation of Polymerase Chain Reaction Screening and Duration of Shiga Toxin
Shedding.
AB - BACKGROUND: Shiga toxin (Stx)-producing Escherichia coli (STECs) are the most
common cause of acute renal failure in children. The present study evaluated a 10
year STEC polymerase chain reaction screening regimen in children. METHODS: All
routine stool culture specimens from patients below 10 years of age (n = 10 342)
from May 2003 through April 2013 in the County of Jonkoping, Sweden, were
included. Patients were divided in 1 group where analyses of STEC were requested
by the clinician (n = 2366) and 1 screening group (n = 7976). Patients who were
positive for STEC were tested weekly until they were negative. Clinical data were
collected through a questionnaire and by reviewing medical records. RESULTS: In
specimens from 191 patients, stx was found (162 index cases). The prevalence was
1.8% in the requested group and 1.5% in the screening group (P = .5). Diarrhea
was the most frequent symptom reported in 156 cases and of these 29 (19%) had
hemorrhagic colitis (HC) and 7 children developed hemolytic uremic syndrome
(HUS). No difference regarding severity of symptoms between the groups was found.
Stx2 predominated in cases with HC (P < .0001) and HUS (P = .04). Median stx
shedding duration was 20 days (1-256 days), and no difference in duration was
seen between stx types (P = .106-1.00) and presence of eaeA (P = .72).
CONCLUSIONS: Most STEC cases were found in the screening group with comparable
prevalence and disease severity as in patients where analysis was requested.
Furthermore, non-O157 serotypes caused severe disease when carrying stx2, and
prolonged shedding of STEC may be a risk for transmission.
PMID- 27199471
TI - Febrile Seizures in the Era of Rotavirus Vaccine.
AB - A protective association between rotavirus vaccination and childhood seizures in
the year after vaccination was recently reported from the United States. In the
state of Queensland, Australia, the authors found that rotavirus vaccine was
35.8% and 38.0% effective at preventing emergency department presentation and
subsequent hospitalization, respectively, for febrile seizures among children up
to two years following vaccination.
PMID- 27199472
TI - Fecal Polyomavirus Excretion in Infancy.
AB - Qualitative polymerase chain reaction (PCR) was used to determine the prevalence
of fecal excretion of BK virus, JC virus, and simian virus 40 in 1-year-old
infants. Overall, 17.8% of 321 specimens from 64.1% of 39 infants were
polyomavirus positive. These data suggest that the gastrointestinal tract may be
a site of polyomavirus persistence in humans.
PMID- 27199473
TI - Pertussis Seasonality Evident in Polymerase Chain Reaction and Serological
Testing Data, Queensland, Australia.
AB - We investigated the seasonality of pertussis in Queensland, Australia, between
2008 and 2011 using notification and laboratory data. Polymerase chain reaction
and serology testing data demonstrate that in the vaccine era, pertussis remains
a seasonal illness, with annual peaks in summer months, and that the seasonality
of notification data is masked by testing trends.
PMID- 27199474
TI - Blood Cultures for Persistent Fever in Neutropenic Pediatric Patients Are of Low
Diagnostic Yield.
AB - The incidence of bacteremia at the onset of pediatric febrile neutropenia (FN) at
2 academically linked institutions was 9.84%, and subsequent blood cultures
performed for children with persistent FN yielded an incidence of 4.21%. Until
the risk factors for new-onset bacteremia in patients being treated for FN can be
identified and diagnostic methods can be improved, compliance with national
guidelines is recommended.
PMID- 27199475
TI - Nasopharyngeal Pneumococcal Serotypes Before and After Mass Azithromycin
Distributions for Trachoma.
AB - Twenty-four Ethiopian communities were randomized to receive either (1) quarterly
mass azithromycin distributions for trachoma for 1 year or (2) delayed treatment.
Nasopharyngeal swabs collected from separate cross-sectional population-based
samples of children were processed for Streptococcus pneumoniae Mass azithromycin
did not significantly alter the pneumococcal serotype distribution, and hence it
would not be expected to alter vaccine coverage.
PMID- 27199480
TI - Bacterial beta-Kdo glycosyltransferases represent a new glycosyltransferase
family (GT99).
AB - Kdo (3-deoxy-d-manno-oct-2-ulosonic acid) is an eight-carbon sugar mostly
confined to Gram-negative bacteria. It is often involved in attaching surface
polysaccharides to their lipid anchors. alpha-Kdo provides a bridge between lipid
A and the core oligosaccharide in all bacterial LPSs, whereas an oligosaccharide
of beta-Kdo residues links "group 2" capsular polysaccharides to
(lyso)phosphatidylglycerol. beta-Kdo is also found in a small number of other
bacterial polysaccharides. The structure and function of the prototypical
cytidine monophosphate-Kdo-dependent alpha-Kdo glycosyltransferase from LPS
assembly is well characterized. In contrast, the beta-Kdo counterparts were not
identified as glycosyltransferase enzymes by bioinformatics tools and were not
represented among the 98 currently recognized glycosyltransferase families in the
Carbohydrate-Active Enzymes database. We report the crystallographic structure
and function of a prototype beta-Kdo GT from WbbB, a modular protein
participating in LPS O-antigen synthesis in Raoultella terrigena The beta-Kdo GT
has dual Rossmann-fold motifs typical of GT-B enzymes, but extensive deletions,
insertions, and rearrangements result in a unique architecture that makes it a
prototype for a new GT family (GT99). The cytidine monophosphate-binding site in
the C-terminal alpha/beta domain closely resembles the corresponding site in
bacterial sialyltransferases, suggesting an evolutionary connection that is not
immediately evident from the overall fold or sequence similarities.
PMID- 27199482
TI - Atomic-scale control of magnetic anisotropy via novel spin-orbit coupling effect
in La2/3Sr1/3MnO3/SrIrO3 superlattices.
AB - Magnetic anisotropy (MA) is one of the most important material properties for
modern spintronic devices. Conventional manipulation of the intrinsic MA, i.e.,
magnetocrystalline anisotropy (MCA), typically depends upon crystal symmetry.
Extrinsic control over the MA is usually achieved by introducing shape anisotropy
or exchange bias from another magnetically ordered material. Here we demonstrate
a pathway to manipulate MA of 3d transition-metal oxides (TMOs) by digitally
inserting nonmagnetic 5d TMOs with pronounced spin-orbit coupling (SOC). High
quality superlattices comprising ferromagnetic La2/3Sr1/3MnO3 (LSMO) and
paramagnetic SrIrO3 (SIO) are synthesized with the precise control of thickness
at the atomic scale. Magnetic easy-axis reorientation is observed by controlling
the dimensionality of SIO, mediated through the emergence of a novel spin-orbit
state within the nominally paramagnetic SIO.
PMID- 27199483
TI - When a local Hamiltonian must be frustration-free.
AB - A broad range of quantum optimization problems can be phrased as the question of
whether a specific system has a ground state at zero energy, i.e., whether its
Hamiltonian is frustration-free. Frustration-free Hamiltonians, in turn, play a
central role for constructing and understanding new phases of matter in quantum
many-body physics. Unfortunately, determining whether this is the case is known
to be a complexity-theoretically intractable problem. This makes it highly
desirable to search for efficient heuristics and algorithms to, at least,
partially answer this question. Here we prove a general criterion-a sufficient
condition-under which a local Hamiltonian is guaranteed to be frustration-free by
lifting Shearer's theorem from classical probability theory to the quantum world.
Remarkably, evaluating this condition proceeds via a fully classical analysis of
a hardcore lattice gas at negative fugacity on the Hamiltonian's interaction
graph, which, as a statistical mechanics problem, is of interest in its own
right. We concretely apply this criterion to local Hamiltonians on various
regular lattices, while bringing to bear the tools of spin glass physics that
permit us to obtain new bounds on the satisfiable to unsatisfiable transition in
random quantum satisfiability. We are then led to natural conjectures for when
such bounds will be tight, as well as to a novel notion of universality for these
computer science problems. Besides providing concrete algorithms leading to
detailed and quantitative insights, this work underscores the power of marrying
classical statistical mechanics with quantum computation and complexity theory.
PMID- 27199484
TI - eHIV-STI: 5 years on....
PMID- 27199481
TI - MerTK cleavage limits proresolving mediator biosynthesis and exacerbates tissue
inflammation.
AB - The acute inflammatory response requires a coordinated resolution program to
prevent excessive inflammation, repair collateral damage, and restore tissue
homeostasis, and failure of this response contributes to the pathology of
numerous chronic inflammatory diseases. Resolution is mediated in part by long
chain fatty acid-derived lipid mediators called specialized proresolving
mediators (SPMs). However, how SPMs are regulated during the inflammatory
response, and how this process goes awry in inflammatory diseases, are poorly
understood. We now show that signaling through the Mer proto-oncogene tyrosine
kinase (MerTK) receptor in cultured macrophages and in sterile inflammation in
vivo promotes SPM biosynthesis by a mechanism involving an increase in the
cytoplasmic:nuclear ratio of a key SPM biosynthetic enzyme, 5-lipoxygenase. This
action of MerTK is linked to the resolution of sterile peritonitis and, after
ischemia-reperfusion (I/R) injury, to increased circulating SPMs and decreased
remote organ inflammation. MerTK is susceptible to ADAM metallopeptidase domain
17 (ADAM17)-mediated cell-surface cleavage under inflammatory conditions, but the
functional significance is not known. We show here that SPM biosynthesis is
increased and inflammation resolution is improved in a new mouse model in which
endogenous MerTK was replaced with a genetically engineered variant that is
cleavage-resistant (Mertk(CR)). Mertk(CR) mice also have increased circulating
levels of SPMs and less lung injury after I/R. Thus, MerTK cleavage during
inflammation limits SPM biosynthesis and the resolution response. These findings
contribute to our understanding of how SPM synthesis is regulated during the
inflammatory response and suggest new therapeutic avenues to boost resolution in
settings where defective resolution promotes disease progression.
PMID- 27199485
TI - Assessing risk among MSM: service evaluation demonstrates feasibility of a single
question approach.
PMID- 27199486
TI - How easy is it to discuss party drug use with MSM? A Brighton clinic survey.
PMID- 27199487
TI - Does the new 'jungle' migrant camp in Calais meet the intra-agency working group
(IAWG) minimum standards for sexual and reproductive health (MISP) in an
emergency situation?
PMID- 27199488
TI - How are self-taken samples for chlamydia and gonorrhoea in HIV clinics perceived
by patients?
PMID- 27199489
TI - Long-term serial kinetics of N-terminal pro B-type natriuretic peptide and
carbohydrate antigen 125 for mortality risk prediction following acute heart
failure.
AB - AIM: Baseline values of N-terminal pro B-type natriuretic peptide (NT-proBNP) and
carbohydrate antigen 125 (CA125) predict all-cause mortality in acute heart
failure (AHF). However, there is limited information about the added prognostic
benefit of using longitudinal values, and how this predictive ability is modified
when modelling together. The aim of this study was to determine the mutually
adjusted association between the longitudinal trajectories of NT-proBNP and CA125
with all-cause mortality after an episode of AHF. METHODS AND RESULTS: We
included 946 consecutive patients discharged for AHF. NT-proBNP and CA125 were
measured at each physician-patient encounter (median (interquartile range
(IQR)):3 (2-4)). The effect on mortality (time-dependent modelling) was assessed
using joint modelling (JM) and multi-state Markov. The mean age was 71+/-11 years
and 51% exhibited left ventricular systolic dysfunction. At a median follow-up of
2.64 years (IQR=1.20-5.36), 498 patients died (52.6%). The observed trajectories
of both biomarkers markedly differed over survival status, with sustained higher
values in patients who died. After being adjusted by established risk factors and
by each other, the baseline absolute change in CA125 and NT-proBNP were
significantly associated to mortality (hazard ratio (HR)=1.05 (1.01-1.09);
p=0.011 (area under the curve (AUC)=0.76) and HR=1.04 (1.02-1.06); p<0.001
(AUC=0.75), respectively). After merging the binary version of NT-proBNP (?1000
pg/ml) and CA125 (>35 U/ml) into a four-level variable, we found the highest risk
when both were elevated, intermediate risk when either one was low, and lowest
risk when both were low. CONCLUSION: The combination of long-term longitudinal
trajectories of CA125 and NT-proBNP improves risk stratification for all-cause
mortality after a hospitalization for AHF.
PMID- 27199491
TI - Age Bias in the Workplace: Cultural Stereotypes and In-Group Favoritism.
AB - Two key theoretical frameworks that explain why people might hold biases for or
against a specific age group-cultural stereotypes and in-group favoritism-yield
distinct and sometimes contradictory predictions. This study proposes a combined
framework drawing on these two theories and then tests hypotheses based on this
framework in the workplace context. Using survey data from U.S. employees of two
pharmaceutical companies, we evaluated the extent to which respondents attributed
characteristics related to innovation or change and reliable performance to other
workers based on perceived relative age (the age of the target relative to the
age of the respondent). The hypotheses that our combined framework generated were
supported, but the results varied by type of characteristic as well as by age of
the respondent. We conclude that the combined framework is more predictive of age
bias in the workplace than either individual framework alone.
PMID- 27199492
TI - The Obama Administration's Cancer Moonshot: A Call for Proteomics.
AB - The Cancer Moonshot Program has been launched and represents a potentially
paradigm-shifting initiative with the goal to implement a focused national effort
to double the rate of progress against cancer. The placement of precision
medicine, immunotherapy, genomics, and combination therapies was placed at the
central nexus of this initiative. Although we are extremely enthusiastic about
the goals of the program, it is time we meet this revolutionary project with
equally bold and cutting-edge ideas: it is time we move firmly into the
postgenome era and provide the necessary resources to propel and seize on
innovative recent gains in the field of proteomics required for it to stand on
equal footing in this narrative as a combined, synergistic engine for molecular
profiling. After all, although the genome is the information archive, it is the
proteins that actually do the work of the cell and represent the structural
cellular machinery. It is the proteins that comprise most of the biomarkers that
are measured to detect cancers, constitute the antigens that drive immune
response and inter- and intracellular communications, and it is the proteins that
are the drug targets for nearly every targeted therapy that is being evaluated in
cancer trials today. We believe that a combined systems biology view of the tumor
microenvironment that orients cancer studies back to the functional proteome,
phosphoproteome, and biochemistry of the cell will be essential to deliver on the
promise of the Cancer Moonshot Program. Clin Cancer Res; 22(18); 4556-8. (c)2016
AACR.
PMID- 27199490
TI - Emotional Intelligence Mediates the Relationship between Age and Subjective Well
Being.
AB - Individuals' Subjective Well-being (SWB) increases as they grow older. Past
literature suggests that emotional intelligence may increase with age and lead to
higher levels of SWB in older adults. The primary purpose of the present study
was to test whether emotional intelligence would mediate the relationship between
age and SWB. A total of 360 Chinese adults (age range: 20 to 79 years old)
participated in this study. They filled out questionnaires that assessed their
age, life satisfaction (The Satisfaction with Life Scale), affective well-being
(The Positive and Negative Affect Schedule), and emotional intelligence (The Wong
and Law Emotional Intelligence Scale). Using Structural Equation Modeling, the
mediation model was supported, chi(2) (75) = 194.21, p < .01; RMSEA = .07; CFI =
.91. Emotional intelligence partially mediated the relationship between age and
life satisfaction, and fully mediated the relationship between age and affective
well-being. The findings suggest that older adults may use their increased
emotional intelligence to enhance their SWB.
PMID- 27199493
TI - Ultrastructural Insights into the Biological Significance of Persisting DNA
Damage Foci after Low Doses of Ionizing Radiation.
AB - PURPOSE: Intensity-modulated radiotherapy (IMRT) enables the delivery of high
doses to target volume while sparing surrounding nontargeted tissues. IMRT
treatment, however, substantially increases the normal tissue volume receiving
low-dose irradiation, but the biologic consequences are unclear. EXPERIMENTAL
DESIGN: Using mouse strains that varied in genetic DNA repair capacity, we
investigated the DNA damage response of cortical neurons during daily low-dose
irradiation (0.1 Gy). Using light and electron microscopic approaches, we
enumerated and characterized DNA damage foci as marker for double-strand breaks
(DSBs). RESULTS: During repeated low-dose irradiation, cortical neurons in brain
tissues of all mouse strains had a significant increase of persisting foci with
cumulative doses, with the most pronounced accumulation of large-sized foci in
repair-deficient mice. Electron microscopic analysis revealed that persisting
foci in repair-proficient neurons reflect chromatin alterations in
heterochromatin, but not persistently unrepaired DSBs. Repair-deficient SCID
neurons, by contrast, showed high numbers of unrepaired DSBs in eu- and
heterochromatin, emphasizing the fundamental role of DNA-PKcs in DSB rejoining,
independent of chromatin status. In repair-deficient ATM-/- neurons, large
persisting damage foci reflect multiple unrepaired DSBs concentrated at the
boundary of heterochromatin due to disturbed KAP1 phosphorylation. CONCLUSION:
Repeated low-dose irradiation leads to the accumulation of persisting DNA damage
foci in cortical neurons and thus may adversely affect brain tissue and increase
the risk of carcinogenesis. Multiple unrepaired DSBs account for large-sized foci
in repair-deficient neurons, thus quantifying foci alone may underestimate extent
and complexity of persistent DNA damage. Clin Cancer Res; 22(21); 5300-11.
(c)2016 AACR.
PMID- 27199495
TI - Probable Drug Interaction Between Everolimus and Clarithromycin.
PMID- 27199494
TI - Clinical Validation of R-T Estimation for CoaguChek XS INR Results.
AB - BACKGROUND: Despite the emergence of several new oral anticoagulants, warfarin
remains a widely used form of anticoagulation that continues to have a role in
the treatment of cardiac and thrombotic conditions. OBJECTIVE: The goal of this
study was to evaluate whether the R-T estimation, an equation developed in a
previous study, was a valid clinical tool in managing patients' warfarin therapy
in an anticoagulation clinic in lieu of obtaining a venipuncture international
normalized ratio (INR) secondary to a high CoaguChek XS (CXS) INR. METHODS: This
study used a randomized double-blind method to compare the clinical decisions
made using venipuncture or CXS machine and recorded the INR, percentage dose
change, time to clinical decision from check-in, and scheduled follow-up.
RESULTS: In the analysis of the difference in percentage dose change, a 1.0% (95%
CI = -0.78 to 2.68; P = 0.27) difference was observed overall, and a 1.2% (95% CI
= -0.59 to 2.95; P = 0.18) difference was observed in the 4 to 5.9 subgroup.
Clinical decisions were reached 17 minutes faster (95% CI = 11-24; P < 0.001)
overall and 17 minutes faster (95% CI = 10-24; P < 0.001) in the 4 to 5.9
subgroup. Scheduled follow-up was 0.38 weeks sooner (95% CI = 0.01-0.67; P =
0.014) overall and 0.36 weeks sooner (95% CI = 0-0.66; P = 0.041) in the 4 to 5.9
subgroup. CONCLUSIONS: The results of this study support the use of the R-T
estimation for correction of INR values obtained using the CXS meter when the INR
is in the range of 4 to 5.9. This correction will allow clinics using this device
to more efficiently manage patients taking warfarin.
PMID- 27199496
TI - Physicochemical Stability Study of Polymyxin B in Various Infusion Solutions for
Administration to Critically Ill Patients.
PMID- 27199498
TI - Prediction Policy Problems.
PMID- 27199497
TI - Buprenorphine Versus Methadone for Opioid Dependence in Pregnancy.
AB - OBJECTIVE: To evaluate maternal and neonatal safety outcomes for methadone and
buprenorphine in the obstetric population. DATA SOURCES: A literature search of
PubMed (1966 to March 2016) and EMBASE (1973 to March 2016) was completed using
the search terms buprenorphine, methadone, pregnancy, opioid, and neonatal
abstinence syndrome Priority was given to randomized controlled trials and trials
directly comparing buprenorphine and methadone during pregnancy. The
bibliographies were reviewed for other relevant articles. STUDY SELECTION AND
DATA EXTRACTION: All human studies published in English, that compared methadone
and buprenorphine use in pregnancy were evaluated. Because of the limited number
of obstetric studies, only 5 critical studies were found. DATA SYNTHESIS:
Buprenorphine significantly improved or had similar outcomes to methadone for
development of neonatal abstinence syndrome (NAS), percentage of infants
requiring treatment for NAS (20%-47% vs 45.5%-57%, respectively), total amount of
morphine used to treat NAS (0.472-3.4 vs 1.862-10.4 mg, respectively), duration
of NAS (4.1-5.6 vs 5.3-9.9 days, respectively), peak NAS (3.9-11 vs 4.9-12.8
score, respectively), infant hospital stay (6.8-10.6 vs 8.1-17.5 days,
respectively), and gestational age at delivery (38.8-39.7 vs 37.9-38.8 weeks,
respectively). No difference was found with other neonatal or maternal outcomes.
CONCLUSIONS: Both methadone and buprenorphine are effective agents, with improved
safety compared with continued nonmedical opioid use during pregnancy. There is
evidence to suggest that buprenorphine should be considered as an equivalent
option to methadone for use in pregnancy; however, larger studies are still
needed to fully evaluate buprenorphine safety and advantages over methadone in
the obstetric population.
PMID- 27199499
TI - Maternal Education and the Link between Birth Timing and Children's School
Readiness.
AB - OBJECTIVE: This study explored whether mothers' education magnified the benefits
of their fertility delays for their children. METHODS: Multiple-group path
modeling assessed whether and why the positive association between mothers' age
at first birth and children's test scores was greater for children of college
educated women than children of other women. RESULTS: Older age at first birth
was associated with higher math and reading test scores among the children of
college educated women via their mothers' higher income and cognitive support for
children. These mediational paths were less pronounced among the children of high
school educated women and were not observed among the children of high school
dropouts. CONCLUSION: The potential for women's delayed fertility to have
benefits for their children's early educational experiences depended on their own
educational attainment.
PMID- 27199500
TI - Measuring the Effects of Reading Assistance Dogs on Reading Ability and Attitudes
in Elementary Schoolchildren.
AB - : Reading Education Assistance Dogs (R.E.A.D.) is a reading program that uses
therapy dogs to increase a child's desire and ability to read. However, more data
is needed to demonstrate the effectiveness of such programs. A five-week R.E.A.D.
program was implemented to assess feasibility and effectiveness. Participants
included 18 children entering the 2nd grade (9 assigned to read to dogs and 9
assigned to read without dogs present). Fifteen children completed the study (9
in the Dog Group and 6 in the Control Group; p = 0.058). To detect changes in
reading ability and in attitudes toward reading, a Curriculum Based Measurement
(CBM) for reading and an Elementary Reading Attitude Survey (ERAS) was given at
week 0 and week 5. There were no significant differences over the course of the
study in ERAS scores for the Dog Group, but the Control Group had significant
reductions in the ERAS academic score (p = 0.04) and a trend for a reduction in
the ERAS total score (p = 0.06). There was a numerically larger but not
statistically different reduction in CBM in the Control Group compared to the Dog
Group (p = 0.16). Further studies are warranted to determine if these trends
represent statistically significant effects of the R.E.A.D. PROGRAM:
PMID- 27199501
TI - Segmentation and labeling of the ventricular system in normal pressure
hydrocephalus using patch-based tissue classification and multi-atlas labeling.
AB - Normal pressure hydrocephalus (NPH) affects older adults and is thought to be
caused by obstruction of the normal flow of cerebrospinal fluid (CSF). NPH
typically presents with cognitive impairment, gait dysfunction, and urinary
incontinence, and may account for more than five percent of all cases of
dementia. Unlike most other causes of dementia, NPH can potentially be treated
and the neurological dysfunction reversed by shunt surgery or endoscopic third
ventriculostomy (ETV), which drain excess CSF. However, a major diagnostic
challenge remains to robustly identify shunt-responsive NPH patients from
patients with enlarged ventricles due to other neurodegenerative diseases.
Currently, radiologists grade the severity of NPH by detailed examination and
measurement of the ventricles based on stacks of 2D magnetic resonance images
(MRIs). Here we propose a new method to automatically segment and label different
compartments of the ventricles in NPH patients from MRIs. While this task has
been achieved in healthy subjects, the ventricles in NPH are both enlarged and
deformed, causing current algorithms to fail. Here we combine a patch-based
tissue classification method with a registration-based multi-atlas labeling
method to generate a novel algorithm that labels the lateral, third, and fourth
ventricles in subjects with ventriculomegaly. The method is also applicable to
other neurodegenerative diseases such as Alzheimer's disease; a condition
considered in the differential diagnosis of NPH. Comparison with state of the art
segmentation techniques demonstrate substantial improvements in labeling the
enlarged ventricles, indicating that this strategy may be a viable option for the
diagnosis and characterization of NPH.
PMID- 27199502
TI - Simultaneous Segmentation of Retinal Surfaces and Microcystic Macular Edema in
SDOCT Volumes.
AB - Optical coherence tomography (OCT) is a noninvasive imaging modality that has
begun to find widespread use in retinal imaging for the detection of a variety of
ocular diseases. In addition to structural changes in the form of altered retinal
layer thicknesses, pathological conditions may also cause the formation of edema
within the retina. In multiple sclerosis, for instance, the nerve fiber and
ganglion cell layers are known to thin. Additionally, the formation of
pseudocysts called microcystic macular edema (MME) have also been observed in the
eyes of about 5% of MS patients, and its presence has been shown to be correlated
with disease severity. Previously, we proposed separate algorithms for the
segmentation of retinal layers and MME, but since MME mainly occurs within
specific regions of the retina, a simultaneous approach is advantageous. In this
work, we propose an automated globally optimal graph-theoretic approach that
simultaneously segments the retinal layers and the MME in volumetric OCT scans.
SD-OCT scans from one eye of 12 MS patients with known MME and 8 healthy controls
were acquired and the pseudocysts manually traced. The overall precision and
recall of the pseudocyst detection was found to be 86.0% and 79.5%, respectively.
PMID- 27199503
TI - Voxel Based Morphometry in Optical Coherence Tomography: Validation & Core
Findings.
AB - Optical coherence tomography (OCT) of the human retina is now becoming
established as an important modality for the detection and tracking of various
ocular diseases. Voxel based morphometry (VBM) is a long standing neuroimaging
analysis technique that allows for the exploration of the regional differences in
the brain. There has been limited work done in developing registration based
methods for OCT, which has hampered the advancement of VBM analyses in OCT based
population studies. Following on from our recent development of an OCT
registration method, we explore the potential benefits of VBM analysis in cohorts
of healthy controls (HCs) and multiple sclerosis (MS) patients. Specifically, we
validate the stability of VBM analysis in two pools of HCs showing no significant
difference between the two populations. Additionally, we also present a
retrospective study of age and sex matched HCs and relapsing remitting MS
patients, demonstrating results consistent with the reported literature while
providing insight into the retinal changes associated with this MS subtype.
PMID- 27199504
TI - Prognostic and Clinicopathological Significance of Downregulated p16 Expression
in Patients with Bladder Cancer: A Systematic Review and Meta-Analysis.
AB - p16, encoded by the CDKN2A gene, is a tumor suppressor that has been widely
studied in cancer research. However, the relationship of p16 with prognostic and
clinicopathological parameters in patients with bladder cancer remains unclear.
Data inclusion criteria were articles reporting on the relationship between p16
expression and the prognosis or clinicopathology in patients with bladder cancer.
Meta-analyses were performed with Stata software. Hazard ratios (HRs) or odds
ratios (ORs) and 95% confidence intervals (95% CI) were calculated to evaluate
the relative risks. The source of heterogeneity was analyzed by subgroup
analysis. A total of 37 studies with 2246 cases were included and analyzed. The
results identified an important link between downregulated p16 expression and
poor prognosis in patients with bladder cancer in terms of recurrence-free
survival (RFS), overall survival (OS), progression-free survival (PFS), and some
clinicopathological parameters including clinical staging, pathological degree,
and lymph node metastasis. Subgroup analysis also showed that low p16 expression
could function as a warning sign for RFS and PFS in patients with early-stage (Ta
T1) bladder cancer. In conclusion, p16 might play an essential role in the
deterioration of bladder cancer and could serve as a biomarker for the prediction
for patients' progression and prognosis.
PMID- 27199507
TI - Correlation of A2bAR and KLF4/KLF15 with Obesity-Dyslipidemia Induced
Inflammation in Uygur Population.
AB - In this paper, the researchers collected visceral adipose tissue from the Uygur
population, which were divided into two groups: the normal control group (NC, n =
50, 18.0 kg/m(2) <= BMI <= 23.9 kg/m(2)) and the obese group (OB, n = 45, BMI >=
28 kg/m(2)), and then use real-time PCR to detect the mRNA expression level of
key genes involved in inflammation signaling pathway. The findings suggest that,
in obese status, the lower expression level of A2bAR, KLF4, and KLF15 of visceral
adipose tissue may correlate with obese-dyslipidemia induced inflammation in
Uygur population.
PMID- 27199505
TI - Rumination and Cognitive Distraction in Major Depressive Disorder: An Examination
of Respiratory Sinus Arrhythmia.
AB - Difficulty regulating emotions following stressful events is a hallmark of Major
Depressive Disorder (MDD). Although individuals' ability to regulate their
emotions is believed to have direct consequences for both emotional and physical
wellbeing, few studies have examined the cardiovascular effects of different
emotion regulation strategies in MDD. To the best of our knowledge, the current
study is the first to examine the effects of two emotion regulation strategies,
cognitive distraction and rumination, on both self-reported sadness and
respiratory sinus arrhythmia (RSA) in individuals with MDD and healthy controls
(CTLs). Following a forced-failure stressor, participants were randomly assigned
to a rumination or cognitive distraction condition. As expected, rumination
increased sadness and triggered RSA withdrawal for both MDDs and CTLs.
Interestingly, although cognitive distraction reduced sadness, it also triggered
RSA withdrawal. Moreover, cognitive distraction was associated with greater RSA
withdrawal for MDDs than CTLs. Thus, although depressed individuals are able to
use cognitive distraction to emotionally recover from stress, it may be
associated with greater cognitive effort. Adding low-cost physiological measures
such as RSA into assessments has the potential to offer new and important
information about the effects of emotion regulation on mental and physiological
health.
PMID- 27199508
TI - Th17 Cytokines and Barrier Functions.
PMID- 27199506
TI - Nonessential Role for the NLRP1 Inflammasome Complex in a Murine Model of
Traumatic Brain Injury.
AB - Traumatic brain injury (TBI) elicits the immediate production of proinflammatory
cytokines which participate in regulating the immune response. While the
mechanisms of adaptive immunity in secondary injury are well characterized, the
role of the innate response is unclear. Recently, the NLR inflammasome has been
shown to become activated following TBI, causing processing and release of
interleukin-1beta (IL-1beta). The inflammasome is a multiprotein complex
consisting of nucleotide-binding domain and leucine-rich repeat containing
proteins (NLR), caspase-1, and apoptosis-associated speck-like protein (ASC). ASC
is upregulated after TBI and is critical in coupling the proteins during complex
formation resulting in IL-1beta cleavage. To directly test whether inflammasome
activation contributes to acute TBI-induced damage, we assessed IL-1beta, IL-18,
and IL-6 expression, contusion volume, hippocampal cell death, and motor behavior
recovery in Nlrp1(-/-), Asc(-/-), and wild type mice after moderate controlled
cortical impact (CCI) injury. Although IL-1beta expression is significantly
attenuated in the cortex of Nlrp1(-/-) and Asc(-/-) mice following CCI injury, no
difference in motor recovery, cell death, or contusion volume is observed
compared to wild type. These findings indicate that inflammasome activation does
not significantly contribute to acute neural injury in the murine model of
moderate CCI injury.
PMID- 27199509
TI - Correlation between Serum Osteopontin and miR-181a Levels in Allergic Rhinitis
Children.
AB - BACKGROUND: Osteopontin (OPN) has been proved to be associated with allergic
airway inflammation. However, the roles of OPN and its regulation in childhood
allergic rhinitis (AR) are poorly understood. OBJECTIVE: This study aims to
evaluate the expression of OPN and miR-181a in children with AR and their
association with Th1/Th2 immune response. METHODS: Children who suffered from AR
were included along with control subjects. Serum was collected to examine the
level of OPN and Th1/Th2 cytokines by enzyme-linked immunosorbent assay (ELISA)
and the level of miR-181a by quantitative polymerase chain reaction (qPCR).
RESULTS: Children with AR had significantly higher serum levels of OPN and lower
serum levels of miR-181a than healthy controls. Furthermore, serum levels of OPN
were positively correlated with Th2 cytokine and negatively correlated with Th1
cytokine. On the contrary, miR-181a level had a negative correlation with IL-4/IL
5 and positive correlation with IFN-gamma/IL-12. More importantly, there was also
significant negative correlation between OPN and miR-181a. CONCLUSION: The OPN
protein and miR-181a levels may serve as predictors of disease severity in
childhood AR and appear to be promising targets for modulating AR.
PMID- 27199510
TI - Icariin Prevents Cartilage and Bone Degradation in Experimental Models of
Arthritis.
AB - BACKGROUND: Icariin (ICA) is an active compound extracted from Epimedium
brevicornum Maxim. Previous reports have shown that icariin has a clinically
significant therapeutic effect on rheumatoid arthritis. However, little is known
about the mechanism by which icariin inhibits cartilage and bone degradation.
METHODS: New Zealand rabbits were immunized with antigen-induced arthritis (AIA)
and treated with icariin. Joint tissues from rabbits were studied by histological
analysis, transmission electron microscopy (TEM), and micro-CT. The expression
levels of receptor activator of nuclear factor-B ligand (RANKL) and
osteoprotegerin (OPG) in joint tissues were determined using immunohistochemistry
and real-time PCR analysis. RESULTS: Histological analysis and TEM sections of
cartilage in the ICA treated group showed a low level of chondrocyte destruction.
Micro-CT analysis showed that the bone mineral density value and bone structural
level in ICA treated rabbits were significantly higher compared with those in the
AIA group. Immunohistochemistry and real-time PCR analysis showed that icariin
treatment reduced RANKL expression and enhanced OPG expression levels, as
compared to the AIA group. CONCLUSION: These data indicate that ICA suppresses
articular bone loss and prevents joint destruction. This study also determined
that ICA regulated articular bone loss in part by regulating RANKL and OPG
expression.
PMID- 27199511
TI - Is current clinical practice modified about intraoperative breast irradiation?
AB - After the results obtained in the two randomized clinical trial, the ELIOT trial
and the TARGIT-A trial, a heated debate is going on concerning the question of
applying intraoperative radiotherapy (IORT) instead of postoperative whole breast
irradiation (WBI) after breast conservative treatment. Currently, many centers
are applying the IORT following the strict selection criteria dictated by the
working groups American Society for Radiation Oncology (ASTRO) and Groupe
Europeen de Curietherapie-European Society for Therapeutic Radiology and Oncology
(GEC-ESTRO) and monitoring the oncological outcome together with radiation
toxicity on breast tissue. The clinical experience of the Geneva University
Hospital regarding the use of the Intrabeam system is evaluated and compared with
current evidences.
PMID- 27199513
TI - Tumor response assessment by the single-lesion measurement per organ in small
cell lung cancer.
AB - BACKGROUND: The criterion of two target lesions per organ in the Response
Evaluation Criteria in Solid Tumors (RECIST) version 1.1 is an arbitrary one,
being supported by no objective evidence. The optimal number of target lesions
per organ still needs to be investigated. We compared tumor responses using the
RECIST 1.1 (measuring two target lesions per organ) and modified RECIST 1.1
(measuring the single largest lesion in each organ) in patients with small cell
lung cancer (SCLC). METHODS: We reviewed medical records of patients with SCLC
who received first-line treatment between January 2004 and December 2014 and
compared tumor responses according to the two criteria using computed tomography.
RESULTS: There were a total of 34 patients who had at least two target lesions in
any organ according to the RECIST 1.1 during the study period. The differences in
the percentage changes of the sum of tumor measurements between RECIST 1.1 and
modified RECIST 1.1 were all within 13%. Seven patients showed complete response
and fourteen showed partial response according to the RECIST 1.1. The overall
response rate was 61.8%. When assessing with the modified RECIST 1.1 instead of
the RECIST 1.1, tumor responses showed perfect concordance between the two
criteria (k=1.0). CONCLUSIONS: The modified RECIST 1.1 showed perfect agreement
with the original RECIST 1.1 in the assessment of tumor response of SCLC. Our
result suggests that it may be enough to measure the single largest target lesion
per organ for evaluating tumor response.
PMID- 27199512
TI - Risk prediction models for hepatocellular carcinoma in different populations.
AB - Hepatocellular carcinoma (HCC) is a malignant disease with limited therapeutic
options due to its aggressive progression. It places heavy burden on most low and
middle income countries to treat HCC patients. Nowadays accurate HCC risk
predictions can help making decisions on the need for HCC surveillance and
antiviral therapy. HCC risk prediction models based on major risk factors of HCC
are useful and helpful in providing adequate surveillance strategies to
individuals who have different risk levels. Several risk prediction models among
cohorts of different populations for estimating HCC incidence have been presented
recently by using simple, efficient, and ready-to-use parameters. Moreover, using
predictive scoring systems to assess HCC development can provide suggestions to
improve clinical and public health approaches, making them more cost-effective
and effort-effective, for inducing personalized surveillance programs according
to risk stratification. In this review, the features of risk prediction models of
HCC across different populations were summarized, and the perspectives of HCC
risk prediction models were discussed as well.
PMID- 27199514
TI - Patterns of antiemetic prophylaxis for chemotherapy-induced nausea and vomiting
in China.
AB - BACKGROUND: Few studies have attempted to evaluate the use of antiemetic therapy
for chemotherapy-induced nausea and vomiting (CINV) at a national level in China
or to assess how treatment regimens adhere to current guidelines. METHODS: We
searched the China Health Insurance Research Association (CHIRA) Database to
identify patients with cancer who were >=18 years old and received either
moderately or highly emetogenic chemotherapy (MEC and HEC, respectively) between
2008 and 2012. Patients' characteristics as well as usage of specific antiemetic
regimens were analyzed using descriptive statistics. RESULTS: Of the 14,548
patients included in the study, 6,477 received HEC while 8,071 were treated with
MEC. Approximately 89.9% used antiemetics prophylactically to prevent acute CINV
and 71.5% for delayed CINV while 9.0% were prescribed antiemetics as rescue
therapy. A significantly lower proportion of patients treated with HEC received
prophylactic antiemetic therapy for delayed CINV as compared to those treated
with MEC (59.4% vs. 81.3%; P<0.001). The HEC group had a slightly lower
proportion of patients using a mixed regimen containing a 5-HT3 antagonist to
prevent both acute and delayed CINV than the MEC group (P<=0.012); however, a
higher proportion received a mixed regimen containing corticosteroids (P<=0.007).
Although more than half of the patients in the HEC group took three antiemetics
to prevent acute and delayed CINV, these rates were significantly lower than
those of the MEC group (both P<0.001). Finally, analysis of the regimens used
revealed that there is over-utilization of drugs within the same class of
antiemetic. CONCLUSIONS: These findings indicate that more attention is needed
for treatment of delayed CINV, in terms of both overall use and the components of
a typical treatment regimen.
PMID- 27199515
TI - Parasympathetic neurogenesis is strongly associated with tumor budding and
correlates with an adverse prognosis in pancreatic ductal adenocarcinoma.
AB - OBJECTIVE: To investigate the frequency of parasympathetic neurogenesis and
determine its association with tumor budding and prognosis in pancreatic ductal
adenocarcinoma (PDAC). METHODS: Parasympathetic neurogenesis was defined as the
distribution of abnormal parasympathetic nerves in the stroma tissue. Staining of
vesicular acetylcholine transporter (VAChT), as a marker for parasympathetic
neurogenesis, was performed on a representative specimen of the tumor for 59 PDAC
patients with available clinical, pathologic, and follow-up information. Three
specimens containing normal pancreatic tissues were stained in parallel. The
number of parasympathetic nerve fibers was counted in five high-power microscopic
fields (5*0.785 mm(2)). Cut-off values were calculated by receiver operating
characteristic curve analysis. RESULTS: VAChT-positive parasympathetic nerve
fibers were not seen in the stroma of 3 cases of normal pancreatic tissues. In 59
PDAC cases, the range of parasympathetic neurogenesis was 4-38 fibers/(5*0.785)
mm(2), with a median of 18 fibers/(5*0.785) mm(2). Patients with parasympathetic
neurogenesis >15 fibers/(5*0.785) mm(2) were defined as the high-density group
(39 patients, 66.1%), and those with parasympathetic neurogenesis 15
fibers/(5*0.785) mm(2) as the low-density group (20 patients, 33.9%). The high
density group had a higher occurrence of tumor budding (P=0.001) and a higher
rate of early recurrence (P=0.035). Parasympathetic neurogenesis appeared to be
an independent adverse prognostic factor [hazard ratio (HR)=2.45, 95% confidence
interval (95% CI): 1.25-4.81, P=0.009], in addition to American Joint Committee
on Cancer (AJCC) stage (P=0.010) and tumor budding (P=0.009). CONCLUSIONS:
Parasympathetic neurogenesis is strongly associated with tumor budding and
correlates with an adverse prognosis in PDAC.
PMID- 27199516
TI - Comparison of the short-term and long-term outcomes of laparoscopic
hysterectomies and of abdominal hysterectomies: a case study of 4,895 patients in
the Guangxi Zhuang Autonomous Region, China.
AB - BACKGROUND: To evaluate the short-term and long-term outcomes after laparoscopic
hysterectomy (LH) compared with abdominal hysterectomy (AH) in case of benign
gynecological disease. METHODS: A multi-center cohort retrospective comparative
study of population among 4,895 hysterectomies (3,539 LH vs.1,356 AH) between
2007 and 2013 was involved. Operative time (OT), estimated blood loss (EBL),
intra-operative and post-operative complications, passing flatus; days with
indwelling catheter, questionnaires covering pelvic floor functions and sexual
functions were assessed. RESULTS: The EBL (174.1+/-157.4 vs. 263.1+/-183.2 cc, LH
and AH groups, respectively), passing flatus (38.7+/-14.1 vs. 48.1+/-13.2 hours),
days with indwelling catheter (1.5+/-0.6 vs. 2.2+/-0.8 days), use of analgesics
(6.5% vs. 73.1%), intra-operative complication rate (2.4% vs. 4.1%), post
operative complication rate (2.3% vs. 5.7%), post-operative constipation (12.1%
vs. 24.6%), mild and serious stress urinary incontinence (SUI) post-operative
(P<0.001; P=0.014), and proportion of Female Sexual Functioning Index (FSFI)
total score <26.55 post-operative (P<0.001) of the LH group were significantly
less than those of AH group. There were no significant differences in OT (106.5+/
34.5 vs. 106.2+/-40.3 min) between the two groups. CONCLUSIONS: LH is a safe and
efficient operation for improving patients?long-term quality of life (QoL), and
LH is a cost-effectiveness procedure for treating benign gynecological disease.
LH is superior to AH due to reduced EBL, reduced post-operative pain and earlier
passing flatus.
PMID- 27199517
TI - Phase I study of chimeric anti-CD20 monoclonal antibody in Chinese patients with
CD20-positive non-Hodgkin's lymphoma.
AB - OBJECTIVE: This study was designed to determine the safety, pharmacokinetics and
biologic effects of a human-mouse chimeric anti-CD20 monoclonal antibody (SCT400)
in Chinese patients with CD20-positive B-cell non-Hodgkin's lymphoma (CD20(+) B
cell NHL). SCT400 has an identical amino acid sequence as rituximab, with the
exception of one amino acid in the CH1 domain of the heavy chain, which is common
in Asians. METHODS: Fifteen patients with CD20(+) B-cell NHL received dose
escalating SCT400 infusions (250 mg/m(2): n=3; 375 mg/m(2): n=9; 500 mg/m(2):
n=3) once weekly for 4 consecutive weeks with a 24-week follow-up period. The
data of all patients were collected for pharmacokinetics and pharmacodynamics
analyses. RESULTS: No dose-limiting toxicities were observed. Most drug-related
adverse events were grade 1 or 2. Two patients had grade 3 or 4 neutropenia.
Under premedication, the drug-related infusion reaction was mild. A rapid,
profound and durable depletion of circulating B cells was observed in all dose
groups without significant effects on T cell count, natural killer (NK) cell
count or immunoglobulin levels. No patient developed anti-SCT400 antibodies
during the course of the study. SCT400 serum half-life (T1/2), maximum
concentration (Cmax) and area under the curve (AUC) generally increased between
the first and fourth infusions (P<0.05). At the 375 mg/m(2) dose, the T1/2 was
122.5+/-46.7 h vs. 197.0+/-75.0 h, respectively, and the Cmax was 200.6+/-20.2
g/mL vs. 339.1+/-71.0 g/mL, respectively. From 250 mg/m(2) to 500 mg/m(2), the
Cmax and AUC increased significantly in a dose-dependent manner (P<0.05).
Patients with a high tumor burden had markedly lower serum SCT400 concentrations
compared with those without or with a low tumor burden. Of the 9 assessable
patients, 1 achieved complete response and 2 achieved partial responses.
CONCLUSIONS: SCT400 is well-tolerated and has encouraging preliminary efficacy in
Chinese patients with CD20(+) B-cell NHL.
PMID- 27199519
TI - Evolution of radical hysterectomy for cervical cancer along the last two decades:
single institution experience.
AB - BACKGROUND: The radical hysterectomy (RH) surgical technique has improved along
the years. It is used for the treatment of cervical cancer, endometrial cancer
when affecting the cervix, and upper vaginal carcinomas. Our aim was to describe
the historical evolution of the technique after the introduction of laparoscopy
at our institution. METHODS: We performed a retrospective review of medical
records of patients who underwent RH, grouped in three periods according to the
year of surgery: 1990-1999, 2000-2009 and 2010-2013. Patients?characteristics,
pathologic details, intraoperative and postoperative complications were analyzed
and compared throughout the time periods. RESULTS: A total of 102 cases of RH
were performed at our center during the study period. Among all data collected,
the presence of necrosis, age, number of lymph nodes, surgery route, operating
time, hospital stay, blood loss and transfusion requirement were statistically
significant different among groups. Conversion to laparotomy rate was 19% for the
second period compared to the absence of cases in the last one. No significant
differences (P=0.124) were observed in the adjuvant treatment received among the
three different groups. At the time of the last contact the patients free of
disease were 12 (85.7%), 53 (91.3%) and 26 (86.6%) respectively (P=0.406).
Regarding the disease-free interval, we found significant better outcomes in the
group of laparotomy compared to laparoscopy (P=0.015). CONCLUSIONS: Laparoscopic
RH is an acceptable surgery with advantages like magnified vision of the
operation field, lower surgical complications, shorter hospital stay and earlier
resumption to daily activities.
PMID- 27199518
TI - Clinical and functional comparison of endoprosthetic replacement with
intramedullary nailing for treating proximal femur metastasis.
AB - OBJECTIVE: To evaluate the clinical and functional outcomes of modular
endoprosthetic replacement (EPR) compared to proximal femur intramedullary
nailing (IMN) for the treatment of proximal femur metastases. METHODS: We
retrospectively studied the records of patients with proximal femur metastatic
lesions treated with surgical stabilization between January 2007 and December
2014 in terms of operation time, blood loss, postoperative score, soreness,
Karnofsky performance score (KPS) and survival time. RESULTS: There were 34
patients treated with surgical stabilization. The mean follow-up period was
12.1?.6 months (range: 10-47 months). Thirteen were treated with EPR and 21 were
stabilized with IMN (20 males, 14 females; mean age: 68.7 years). The median
survival time was 11.0 months for both groups (P=0.147). The operation time,
blood loss and Harris score of IMN group were lower than those of EPR group
(P=0.001, P=0.001, P=0.002, respectively). CONCLUSIONS: Both EPR and IMN for
treating proximal femur metastasis achieved effective clinical outcomes.
Therefore, the suitable surgical methods depended on the general conditions and
medical requirements of patients, as well as the technical advantages of the
doctor.
PMID- 27199520
TI - Concurrent chemoradiotherapy for cervical cancer: background including evidence
based data, pitfalls of the data, limitation of treatment in certain groups.
AB - Concurrent chemoradiotherapy (CCRT) is regarded as the standard treatment for
locally advanced uterine cervical cancer (LACC), including stage Ib2-IVa disease
[International Federation of Gynecology and Obstetrics (FIGO) staging]. However,
approximately a third of eligible patients in previous studies died of LACC
despite receiving CCRT. The therapeutic significance of CCRT alone in stage III
IVa disease has not yet been confirmed. Effective treatment of some LACC is
beyond the scope of CCRT. The objective of the present review is to highlight
some challenging work aimed at overcoming this seemingly intractable disease.
CCRT with increased peak concentrations of cisplatin (CDDP), surgery following
CCRT, adjuvant chemotherapy (CT) following CCRT, and neoadjuvant CT followed by
CCRT are strategies expected to enhance the therapeutic efficacy of CCRT. If
patients with LACC were divided into those with low-risk or high-risk systemic
disease or prognoses, novel strategies should be assessed in the group with high
risk disease.
PMID- 27199522
TI - Neoadjuvant chemotherapy for locally advanced cervical cancer.
AB - Neoadjuvant chemotherapy followed by surgery (NCS) has not been fully evaluated
clinically. Currently, the main regimen of neoadjuvant chemotherapy (NAC) used in
NCS includes cisplatin. The antitumor effects of NAC reduce lymph node metastasis
and the tumor diameter in patients prior to surgery, and this can reduce the
number of high risk patients who require postoperative radiation therapy. Many
randomized controlled trials (RCTs) have examined the long-term prognosis of NCS
compared to primary surgery, but the utility of NCS remains uncertain. The advent
of concurrent chemoradiotherapy (CCRT) has markedly improved the outcome of
radiotherapy (RT), and CCRT is now used as a standard method in many cases of
advanced bulky cervical cancer. NCS gives a better treatment outcome than
radiation therapy alone, but it is important to verify that NCS gives a similar
or better outcome compared to CCRT.
PMID- 27199521
TI - Adjuvant chemotherapy for early-stage cervical cancer.
AB - The aim of this review is to address the current status of adjuvant chemotherapy
alone in early-stage cervical cancer treatments in the literature. At present,
the therapeutic effect of adjuvant chemotherapy alone after radical surgery (RS)
has not yet been established, and radiation therapy (RT) or concurrent
chemoradiotherapy (CCRT) is recommended as the standard adjuvant therapy after RS
for early-stage cervical cancer in various guidelines. The main purpose of
adjuvant therapy after RS, however, should be to reduce extrapelvic recurrence
rather than local recurrence, although adjuvant RT or CCRT has survival benefits
for patients with intermediate- or high-risk factors for recurrence. Moreover,
several studies reported that adjuvant therapies including RT were associated
with a higher incidence of complications, such as lymphedema, bowel obstruction
and urinary disturbance, and a lower grade of long-term quality of life (QOL) or
sexual functioning than adjuvant chemotherapy alone. The effect of adjuvant
chemotherapy alone for early-stage cervical cancer with intermediate- or high
risk factors for recurrence were not fully investigated in prospective studies,
but several retrospective studies suggest that the adjuvant effects of
chemotherapy alone are at least similar to that of RT or CCRT in terms of
recurrence rate, disease-free survival, or overall survival (OS) with lower
incidence of complications. Whereas cisplatin based combination regimens were
used in these studies, paclitaxel/cisplatin (TP) regimen, which is currently
recognized as a standard chemotherapy regimen for patients with metastatic,
recurrent or persistent cervical cancer by Gynecologic Oncology Group (GOG), had
also survival benefit as an adjuvant therapy. Therefore, it may be worth
considering a prospective randomized controlled trial (RCT) of adjuvant
chemotherapy alone using TP regimen versus adjuvant RT as an alternative adjuvant
therapy. Because early-stage cervical cancer is a curable condition, it is
necessary that the therapeutic strategies should be improved with considering
adverse events and QOL for long-term survivors.
PMID- 27199524
TI - Metformin for treatment of clozapine-induced weight gain in adult patients with
schizophrenia: a meta-analysis.
AB - BACKGROUND: Long-term use of clozapine for individuals with schizophrenia carries
a high risk for developing metabolic abnormalities, especially clozapine-induced
weight gain. Previous studies suggest that metformin can decrease clozapine
induced weight gain, but the sample sizes of most of these studies are relatively
small. METHODS: We identified randomized controlled trials (RCTs) published prior
to December 15, 2015 about the use of metformin to treat clozapine-induced weight
gain in adults with schizophrenia by searching several English-language and
Chinese-language databases. Two independent researchers did the screening and
data extraction. We used Revman 5.3 to conduct the meta-analyses, assessed the
risk of bias (RoB), and assessed the strength of the evidence using the Cochrane
Grades of Recommendation, Assessment, Development, and Evaluation (GRADE).
RESULTS: Six studies with a pooled sample of 207 treatment-group patients and 207
control-group patients were included -- three double-blind, placebo-controlled
RCTs and three RCTs that did not use placebo controls and were not blinded. The
meta-analysis found that compared to the control condition, patients receiving
metformin experienced significantly greater reductions in body weight (mean
difference [MD]=-2.89 kg, 95% CI: -4.20 to -1.59 kg) and body mass index (BMI)
(MD=-0.81, 95% CI: -1.16 to -0.45), but there was no significant difference
between the groups in the prevalence of side effects. Based on the GRADE scale,
the strength of the evidence for the change in weight outcome was 'moderate' and
that for the change in BMI outcome was 'high', but the strength of evidence about
differences in side effects between groups was 'low' or 'very low'. CONCLUSIONS:
Adjunctive treatment with metformin appears to be effective for treating
clozapine-induced weight gain and elevations in BMI in adult patients with
schizophrenia. However, the quality of the evidence about the safety of this
treatment is low, follow-up time in the available studies is relatively short,
and half of the studies did not employ blinded assessment of outcome measures.
Larger studies with placebo controls that follow patients for at least 24 weeks
and that make blinded assessments of a range of relevant outcome measures
(weight, BMI, blood lipids, insulin resistance, etc.) are needed to confirm these
results.
PMID- 27199523
TI - Chemotherapy and molecular targeting therapy for recurrent cervical cancer.
AB - For patients with primary stage IVB, persistent, or recurrent cervical cancer,
chemotherapy remains the standard treatment, although it is neither curative nor
associated with long-term disease control. In this review, we summarized the
history of treatment of recurrent cervical cancer, and the current recommendation
for chemotherapy and molecular targeted therapy. Eligible articles were
identified by a search of the MEDLINE bibliographical database for the period up
to November 30, 2014. The search strategy included the following any or all of
the keywords: "uterine cervical cancer", "chemotherapy", and "targeted
therapies". Since cisplatin every 21 days was considered as the historical
standard treatment for recurrent cervical cancer, subsequent trials have
evaluated and demonstrated activity for other agents including paclitaxel,
gemcitabine, topotecan and vinorelbine among others. Accordingly, promising
agents were incorporated into phase III trials. To examine the best agent to
combine with cisplatin, several landmark phase III clinical trials were conducted
by Gynecologic Oncology Group (GOG) and Japan Clinical Oncology Group (JCOG).
Through, GOG204 and JCOG0505, paclitaxel/cisplatin (TP) and
paclitaxel/carboplatin (TC) are now considered to be the recommended therapies
for recurrent cervical cancer patients. However, the prognosis of patients who
are already resistant to chemotherapy, are very poor. Therefore new therapeutic
strategies are urgently required. Molecular targeted therapy will be the most
hopeful candidate of these strategies. From the results of GOG240, bevacizumab
combined with TP reached its primary endpoint of improving overall survival (OS).
Although, the prognosis for recurrent cervical cancer patients is still poor, the
results of GOG240 shed light on the usefulness of molecular target agents to
chemotherapy in cancer patients. Recurrent cervical cancer is generally
considered incurable and current chemotherapy regiments offer only modest gains
in OS, particularly for patients with multiple poor prognostic factors.
Therefore, it is crucial to consider not only the survival benefit, but also the
minimization of treatment toxicity, and maximization of quality of life (QOL).
PMID- 27199525
TI - Comparison of the density of gamma-aminobutyric acid in the ventromedial
prefrontal cortex of patients with first-episode psychosis and healthy controls.
AB - BACKGROUND: Abnormality in the concentration and functioning of gamma
aminobutyric acid (gamma-aminobutyric acid, GABA) in the brain is not only an
important hypothetical link to the cause of schizophrenia but it may also be
correlated with the cognitive decline and negative symptoms of schizophrenia.
Studies utilizing high field magnetic resonance spectroscopy (MRS) report
abnormal density of GABA in the ventromedial prefrontal cortex (vmPFC) of
patients with chronic schizophrenia, but these results may be confounded by study
participants' prior use of antipsychotic medications. AIM: Compare the density of
GABA in the vmPFC of patients with first-episode psychosis to that in healthy
controls and assess the relationship of GABA density in the vmPFC to the severity
of psychotic symptoms. METHODS: Single-voxel (1)H-MRS was used to assess the
concentration of GABA and other metabolites in the vmPFC of 22 patients with
first-episode psychosis (10 with schizophrenia and 12 with schizophreniform
disorder) and 23 healthy controls. Thirteen of the 22 patients were drug-naive
and 9 had used antipsychotic medication for less than 3 days. The Positive and
Negative Syndrome Scale (PANSS) was used to evaluate the severity of psychotic
symptoms in the patient group. RESULTS: The mean (sd) GABA density in the vmPFC
was significantly higher in patients than in controls (2.28 [0.54] v. 1.93 [0.32]
mM, t=2.62, p=0.012). The densities of other metabolites - including N
acetylaspartic acid (NAA), glutamic acid (GLU), and glutamine (GLN) - were not
significantly different between patients and controls. Among the patients, GABA
density in the vmPFC was not significantly correlated with PANSS total score or
with any of the three PANSS subscale scores for positive symptoms, negative
symptoms, and general psychopathology. GABA concentration was not associated with
the duration of illness, but it was significantly correlated with patient age
(r=0.47, p=0.026). CONCLUSION: Elevation of GABA density in the vmPFC of patients
with first-episode psychosis confirms that this abnormality is independent of
medication use. The failure to find a correlation of GABA density in the vmPFC
with the severity of psychotic symptoms needs to be confirmed in larger studies,
but it suggests that there are several intervening steps between brain pathology
and clinical symptoms.
PMID- 27199526
TI - Association of schizophrenia with the rs821633 polymorphism in the DISC1 gene
among Han Chinese.
AB - BACKGROUND: Previous studies report that various single nucleotide polymorphisms
(SNP) in the Disrupted-in Schizophrenia 1 (DISC1) gene are closely associated
with schizophrenia, but there are no studies that assess the relationship of age
of onset of schizophrenia with these SNPs. OBJECTIVE: Investigate the
relationship between the rs821633 SNP in the DISC1 gene and the occurrence and
age of onset of schizophrenia in Han Chinese. METHODS: We used the TaqMan
genotyping technology to examine the rs821633 SNP in the DISC1 gene among 315
individuals who developed schizophrenia prior to 19 years of age ('early-onset'),
407 individuals who developed schizophrenia when 19 years of age or older ('late
onset'), and 482 healthy controls. We used survival analyses to investigate the
relationship between the rs821633(C) risk allele and the age of onset of
schizophrenia. RESULTS: Compared to the prevalence in healthy controls, the
prevalence of the C/C genotype of rs821633 and of the C allele in rs821633 were
significantly greater in individuals with early-onset schizophrenia (X (2)=7.17,
df=1, p=0.007; X (2)=7.20, df=2, p=0.032) and significantly greater in
individuals with late-onset schizophrenia (X (2)=5.36, df=1, p=0.022; X (2)=6.58,
df=2, p=0.041). However, there were no significant differences in the prevalence
of the C/C genotype or the C allele between individuals with early-onset and late
onset schizophrenia. Kaplan-Meier survival analyses found no significant
association between the rs821633(C) risk allele and age of onset in
schizophrenia. CONCLUSION: We confirm the association of polymorphism in the
rs821633 SNP in the DISC1 gene with schizophrenia among Han Chinese, but we found
no association between the rs821633(C) risk allele and the age of onset in
individuals with schizophrenia.
PMID- 27199528
TI - Psychopharmacological treatment for schizophrenia: less is more.
AB - Antipsychotic polypharmacy in the treatment of schizophrenia is more common in
China and other Asian countries than in Western countries. The reasons for this
are unclear, but it may be related to an unsubstantiated belief among clinicians
that multiple medications are more likely to achieve the desired clinical
outcome. Antipsychotic medications are the mainstay of treatment for individuals
with schizophrenia, but the use of antipsychotic polypharmacy and of high dosages
of antipsychotic medication are associated with substantially increased risks
without conferring improved clinical outcomes. It is generally accepted that high
dosages of antipsychotic medications and the simultaneous use of multiple
antipsychotics are associated with an increased prevalence, duration, and
severity of adverse drug effects. More recent evidence also suggests that
antipsychotic polypharmacy and the associated high overall dosage of
antipsychotic medication lead to excessive striatal D2 receptor occupation
(resulting in tolerance and drug withdrawal problems) and exacerbation of the
impaired synaptic plasticity seen in schizophrenia (magnifying the cognitive
impairment associated with the condition). Clinicians need to apply the 'less is
more' principle in the psychopharmacological treatment of schizophrenia.
PMID- 27199527
TI - Comorbid anxiety and depression in school-aged children with attention deficit
hyperactivity disorder (ADHD) and selfreported symptoms of ADHD, anxiety, and
depression among parents of school-aged children with and without ADHD.
AB - BACKGROUND: Attention deficit hyperactivity disorder (ADHD) is a common
psychiatric disorder in children that can extend into adulthood and that is often
associated with a variety of comorbid psychiatric disorders. AIM: Assess the
comorbidity of ADHD with anxiety disorders and depressive disorders in school
aged children, and the relationship of the severity of ADHD, anxiety, and
depressive symptoms in children who have ADHD with the severity of the
corresponding symptoms in their parents. METHODS: A two-stage screening process
identified children 7-10 years of age with and without ADHD treated at the Xin
Hua Hospital in Shanghai. ADHD and other DSM-IV diagnoses were determined by a
senior clinician using the Schedule for Affective Disorder and Schizophrenia for
School-Aged Children (K-SADS-PL). One parent for each enrolled child completed
three self-report scales: the ADHD Adult Self Report Scale (ASRS), the State
Trait Anxiety Inventory (STAI), and the Beck Depression Inventory (BDI). In total
135 children with ADHD and 65 control group children without ADHD were enrolled;
parents for 94 of the children with ADHD and 63 of the children without ADHD
completed the parental assessment scales. RESULTS: Among the 135 children with
ADHD, 27% had a comorbid anxiety disorder, 18% had a comorbid depressive
disorder, and another 15% had both comorbid anxiety and depressive disorders.
Parents of children with ADHD self-reported more severe ADHD inattention symptoms
than parents of children without ADHD and were more likely to meet criteria for
adult ADHD. Mothers (but not fathers) of children with ADHD had significantly
more severe trait anxiety and depressive symptoms than mothers of children
without ADHD. Among children with ADHD, the severity of ADHD symptoms was not
significantly correlated with the severity of ADHD symptoms in parents, but
depressive symptoms and anxiety symptoms in the children were significantly
correlated with the corresponding symptoms in the parents. CONCLUSION: School
aged children with ADHD commonly suffer from comorbid anxiety and depressive
disorders, and the severity of these symptoms parallels the level of anxiety and
depressive symptoms in their parents. Self-reported symptoms of ADHD are
significantly more common in parents of children with ADHD than in parents of
children without ADHD. Longitudinal studies are needed to disentangle the
genetic, biological, and social factors responsible for these complex inter
relationships.
PMID- 27199529
TI - 'Less is more' in the Chinese context.
AB - Excessive polypharmacy is a common problem around the world, particularly in the
treatment of psychiatric disorders. In mainland China use of out-of-date
treatment strategies by psychiatric professionals is one of the reasons; others
include unrealistically high expectations about the effectiveness of medications,
the dominant role of the doctor in doctor-patient negotiations about treatment,
the practice of polypharmacy in Traditional Chinese Medicine (TCM), the profit
driven nature of medical institutions and individuals, the infiltration of
pharmaceutical marketing, and a critical lack of relevant research. This
commentary considers the cultural factors that need to be addressed when trying
to reduce polypharmacy in psychiatry in China.
PMID- 27199530
TI - Case report of eosinophilia induced by quetiapine.
AB - An increase in the concentration of eosinophils in blood may lead to
endocarditis, myocarditis, and pericarditis. When the absolute eosinophil count
increases beyond 1.5 x 10(9)/L, myocardial damage and even death can occur. This
case report describes a 47-year-old male with an alcohol-induced psychotic
disorder who developed eosinophilia 4 weeks after starting treatment with
quetiapine 50-200 mg/d. His maximum recorded absolute eosinophil count was 7.63 x
10(9)/L (normal range < 0.5 x 10(9)/L), but the level returned to normal over a 4
week period after stopping quetiapine and no myocardial damage was observed. This
patient's dramatic eosinophilia did not have any associated clinical symptoms; it
was only identified as part of a routine blood test a few weeks after starting
quetiapine. This is a reminder that all clinicians who treat patients with
antipsychotic medications must be vigilant about the occurrence of such rare but
life-threatening adverse events.
PMID- 27199531
TI - Panic attacks 10 years after heart transplantation successfully treated with low
dose citalopram: a case report.
AB - Panic attacks are common among patients who have undergone heart transplantation,
but there are no clinical guidelines for the treatment of panic attacks in this
group of patients. This report describes a 22-year-old woman who experienced
panic attacks 10 years after heart transplant surgery. The attacks started after
she discovered that the average post-transplantation survival is 10 years.
Treated with citalopram 10 mg/d, her symptoms improved significantly after 2
weeks and had completely resolved after 8 weeks. A positive physician-patient
relationship with the doctors who regularly followed her medical condition was
crucial to encouraging her to adhere to the treatment with citalopram. She
continued taking the citalopram for 7 months without any adverse effects. When
followed up 3 months after stopping the citalopram, she had had no recurrence of
the panic attacks.
PMID- 27199532
TI - The debate about p-values.
AB - The p-value is the most widely used statistical concept in biomedical research.
Recently, there are controversies over its utility and over the possible
relationship between p-value misuse and the relatively high proportion of
published medical research that cannot be replicated. In this paper, we introduce
the p-value in layman's terms and explain its randomness and limitations.
However, we also point out that the available alternatives to p-value suffer
similar limitations. We conclude that using p values is a valid way to test the
null and alternative hypotheses in clinical trials. However, using the p-value
from a single statistical test to judge the scientific merit of a research
project is a misuse of the p-value; the results of inference tests using p-values
need to be integrated with secondary results and other data to arrive at
clinically valid conclusions. Understanding the variability and limitations of
the p-value is important for the interpretation of statistical results in
research studies.
PMID- 27199533
TI - Comorbid bipolar disorder and obsessive-compulsive disorder:state of the art in
pediatric patients.
AB - A full-text Chinese translation of this article will be available at
http://dx.doi.org/10.11919/j.issn.1002-0829.215128 on April 25, 2016.
PMID- 27199534
TI - Influence of Gelatin-Thrombin Matrix Tissue Sealant on Bacterial Colony Formation
and Risk of Pelvic Infection.
AB - Objective. Gelatin-thrombin matrix (GTM) tissue sealant use was previously
identified as an independent predictor of pelvic infection following
hysterectomies. We aim to elucidate contributing factors by assessing influence
of GTM on bacterial colony formation and characterizing bacteria present at the
vaginal cuff. Methods. Escherichia coli was incubated in phosphate-buffered
saline (PBS) and pelvic washings with and without GTM to assess influence on
colony formation. Pelvic washings of the vaginal cuff were collected from
hysterectomies occurring from June through October 2015. In vitro techniques, 16S
rRNA gene qPCR, and 16S amplicon sequencing were performed with washings to
characterize bacteria at the vaginal cuff. Results. Mean bacterial colony
formation in PBS was greater for E. coli incubated in the presence of GTM (1.48 *
10(7) CFU/mL) versus without (9.95 * 10(5) CFU/mL) following 20-hour incubation
(p = 0.001). Out of 61 pelvic washings samples, 3 were culture positive (>=5000
CFU/mL) with Enterococcus faecalis. Conclusion. In vitro experiments support a
facilitating role of GTM on colony formation of E. coli in PBS. However, given
the negative results of surgical site washings following adequate disinfection,
the role of GTM in promoting posthysterectomy pelvic infections may be limited.
Analysis of pelvic washings revealed presence of E. faecalis, but results were
inconclusive. Further studies are recommended.
PMID- 27199535
TI - Magnetic resonance imaging in muscular dystrophies.
PMID- 27199537
TI - Imaging alterations in skeletal muscle channelopathies: a study in 15 patients.
AB - Skeletal muscle channelopathies (SMC), including non dystrophic myotonias (NDM)
and periodic paralyses (PP), are characterized by considerable clinical overlap
and clinical features not always allow addressing molecular diagnosis. Muscle
imaging has been shown to be useful for differential diagnosis in neuromuscular
disorders, however it has been relatively poorly investigated in SMC. We studied
15 patients affected by genetically confirmed SMC (NDM = 9, PP = 6) through
muscle MRI or CT of thighs and legs, including 11 patients mutated in SCN4A gene,
2 in CACNA1S and 2 in CLCN1. Mean age at muscle imaging was 45.2 +/- 18 years
(range 22-70). Overall, fatty infiltration was found in thigh muscles in 8 (53%)
patients and in leg muscles in 10 (60%). All patients mutated in CLCN1 and
CACNA1S had abnormal thigh and/or leg muscle MRI, regardless the disease
duration. On the contrary normal thigh and leg muscle MRI or CT scans were
observed in 4/15 (27%) patients, all mutated in SCN4A. Variable degrees of fatty
changes were found in patients mutated in SCN4A, CACNA1S and CLCN1. No
differences on overall score of fatty infiltration were detected between NDM and
PP (p-value = 0.953) neither between presence or absence of permanent weakness (p
value = 0.951). Our data confirm the presence of muscle fatty changes in the
majority of SMC patients, although without any specific pattern of involvement.
However muscle MRI may be a useful tool for longitudinal follow-up of SMC
patients, in particular to evaluate the occurrence and the progression of fixed
myopathy.
PMID- 27199536
TI - Muscle MRI in muscular dystrophies.
AB - Muscle MRI has become a very useful tool in the diagnosis and follow-up of
patients with muscle dystrophies. Muscle MRI provides us about many aspects of
the structure and function of skeletal muscles, such as the presence of oedema or
fatty infiltration. In the last years many reports have described the particular
muscles that are involved in these muscle disease. This knowledge can facilitate
the diagnosis in many cases. In the present paper we review the main changes
observed in muscle MRI of patients with muscle dystrophies.
PMID- 27199539
TI - A multi-parametric protocol to study exercise intolerance in McArdle's disease.
AB - McArdle's disease is the most common metabolic myopathy of muscle carbohydrate
metabolism, due to deficiency of myophosphorylase and alteration of glycogen
breakdown in muscle. The clinical manifestations usually begin in young
adulthood, with exercise intolerance, exercise-induced muscle cramps, pain and
recurrent episodes of myoglobinuria. Many patients experience the second wind
phenomenon, characterized by an improved tolerance for aerobic exercise
approximately after eight minutes of motor activity, secondary to the increased
availability of blood glucose and free fatty acids associated to an enhanced
glucose uptake by muscle cells. In this study, we aimed to test a multi
parametric protocol in order to detect the impairment of muscular metabolism and
motor performance in patients with McArdle's disease. We enrolled 5 patients and
5 age-matched healthy subjects, that were evaluated by: (01) monitoring of
physical activity with an electronic armband; (02) testing of cardiopulmonary,
metabolic and respiratory responses to exercise with a cardiopulmonary exercise
test and analyzing muscle fatigue during exercise test by surface
electromyography (04) evaluating blood lactate and oxidative stress biomarkers at
rest and during exercise. The patients were tested at baseline and after three
days of carbohydrate-rich diet integrated with tricarboxylic acid cycle
intermediate and creatine. The multiparametric protocol proved to be useful to
detect the oxidative capacity impairment and the second wind phenomenon of
patients. We did not observe any significant differences of muscle metabolic
response during the exercise test after three days of carbohydrate-rich diet.
PMID- 27199538
TI - Novel mutations in LMNA A/C gene and associated phenotypes.
AB - Mutations in the lamin A/C gene (LMNA) have been associated with several
phenotypes ranging from systemic to prevalent of muscle, heart, skin, nerve etc.
More recently they have been associated with dilated cardiomyopathy (DCM) and
severe forms of arrhythmogenic right ventricular cardiomyopathy (ARVC). We report
four novel mutations - 3 missense and 1 deletion - in 4 unrelated patients
showing different phenotypes, ranging from the early onset congenital form of
laminopathy to classical LGMD phenotype, to LGMD and heart involvement. All these
newly identified variants were not found in 300 ethnicallymatched control
subjects. The variant c.103-105del CTG was described post-mortem in a young
patient with congenital muscular dystrophy who presented at the age of 9 a first
degree A-V block and subsequently several episodes of supraventricular
parossystic tachycardia. Two patients presented as onset symptom lower limbs
muscle weakness, and developed heart conduction defects requiring pacemaker
implantation at the age of 26 and 38 years, respectively. One of them who carried
the mutation c.1339G>C died at the age of 40 by intractable heart failure; the
second one carrying the mutation 265C>T died at the age of 30, for a trmboembolic
event. A classical LGMD phenotype without heart involvement was observed in the
patient with the mutation 1579C>T, who died at the age of 68 years for
respiratory insufficiency.
PMID- 27199540
TI - Multidimensional aspects of pain in myotonic dystrophies.
AB - To analyze the frequency and intensity of pain and its association with different
characteristics of patients with myotonic dystrophy type 1 (DM1) and type 2
(DM2), 52 adult genetically confirmed DM1 and 44 DM2 patients completed the Brief
Pain Inventory questionnaire (BPI). Frequency and average intensity of pain on
numerical rating scale (0-10) were similar in DM1 and DM2 (88% vs. 86% and 4.6 +/
2.3 vs. 4.2 +/- 1.8, respectively, p > 0.05). In DM1, average pain intensity
showed strong association with longer duration of disease and inverse relation
with cognition. In DM2, average pain intensity showed association with female
gender and emotions. Average pain intensity correlated with Individualized
Neuromuscular Quality of Life (INQoL) total score in both DM1 (rho = +0.30, p <
0.05) and DM2 patients (rho = +0.61, p < 0.01). In conclusion, the majority of
DM1 and DM2 patients have mild to moderate pain. Our results open new
opportunities for behavioral and cognitive interventions.
PMID- 27199541
TI - Muscle histology changes after short term vibration training in healthy controls.
AB - In search for additional counter measures of muscle atrophy vibration exercise
training may have substantial effort for patients with neuromuscular disorders.
To cover safety aspects and obtain muscle morphology data, a pilot study was
performed in eleven healthy men. Countermovement jump, squat jump, drop jump and
one repetition maximum test (1RM) were performed on a force platform before and
after a 6 week training period. No severe side effects were found. Repeated
needle muscle biopsies of the vastus lateralis muscle revealed a selective pre-
to post-training type-2 myofiber hypertrophy of up to 50 %. The hypertrophy
factors were 160 and 310, for type-2 myofibers. The mechanography system showed a
significant increase in the 1RM maximum weight lifted (pre: 111,8 kg +/- 11,5;
post: 140,9 kg +/- 13,00; p < 0,001). Vibration exercise is a safe and effective
technique which desires further approval as counter measure in different types of
neuromuscular atrophy.
PMID- 27199543
TI - Minutes of the European POmpe Consortium (EPOC) Meeting March 27 to 28, 2015,
Munich, Germany.
PMID- 27199542
TI - Rhabdomyolysis in hyponatremia and paraneoplastic syndrome of inappropriate
antidiuresis.
AB - We report a 26-year-old woman admitted to our hospital for generalized tonic
seizure. Laboratory investigations revealed severe hyponatremia possibly
triggered by vomiting and diarrhea. 24 hours after correction of hyponatremia she
developed diffuse myalgias and marked hyperCKemia. Syndrome of inappropriate
antidiuresis (SIAD) was suspected as cause of hyponatremia. Abnormal vaginal
bleeding prompts gynecological evaluation and a small-cell carcinoma of uterine
cervix was detected.
PMID- 27199544
TI - Phylogenetic and Structural Analysis of Polyketide Synthases in Aspergilli.
AB - Polyketide synthases (PKSs) of Aspergillus species are multidomain and
multifunctional megaenzymes that play an important role in the synthesis of
diverse polyketide compounds. Putative PKS protein sequences from Aspergillus
species representing medically, agriculturally, and industrially important
Aspergillus species were chosen and screened for in silico studies. Six candidate
Aspergillus species, Aspergillus fumigatus Af293, Aspergillus flavus NRRL3357,
Aspergillus niger CBS 513.88, Aspergillus terreus NIH2624, Aspergillus oryzae
RIB40, and Aspergillus clavatus NRRL1, were selected to study the PKS phylogeny.
Full-length PKS proteins and only ketosynthase (KS) domain sequence were
retrieved for independent phylogenetic analysis from the aforementioned species,
and phylogenetic analysis was performed with characterized fungal PKS. This
resulted into grouping of Aspergilli PKSs into nonreducing (NR), partially
reducing (PR), and highly reducing (HR) PKS enzymes. Eight distinct clades with
unique domain arrangements were classified based on homology with functionally
characterized PKS enzymes. Conserved motif signatures corresponding to each type
of PKS were observed. Three proteins from Protein Data Bank corresponding to NR,
PR, and HR type of PKS (XP_002384329.1, XP_753141.2, and XP_001402408.2,
respectively) were selected for mapping of conserved motifs on three-dimensional
structures of KS domain. Structural variations were found at the active sites on
modeled NR, PR, and HR enzymes of Aspergillus. It was observed that the number of
iteration cycles was dependent on the size of the cavity in the active site of
the PKS enzyme correlating with a type with reducing or NR products, such as
pigment, 6MSA, and lovastatin. The current study reports the grouping and
classification of PKS proteins of Aspergilli for possible exploration of novel
polyketides based on sequence homology; this information can be useful for
selection of PKS for polyketide exploration and specific detection of Aspergilli.
PMID- 27199546
TI - Selecting Reliable mRNA Expression Measurements Across Platforms Improves
Downstream Analysis.
AB - With increasing use of publicly available gene expression data sets, the quality
of the expression data is a critical issue for downstream analysis, gene
signature development, and cross-validation of data sets. Thus, identifying
reliable expression measurements by leveraging multiple mRNA expression platforms
is an important analytical task. In this study, we propose a statistical
framework for selecting reliable measurements between platforms by modeling the
correlations of mRNA expression levels using a beta-mixture model. The model
based selection provides an effective and objective way to separate good probes
from probes with low quality, thereby improving the efficiency and accuracy of
the analysis. The proposed method can be used to compare two microarray
technologies or microarray and RNA sequencing measurements. We tested the
approach in two matched profiling data sets, using microarray gene expression
measurements from the same samples profiled on both Affymetrix and Illumina
platforms. We also applied the algorithm to mRNA expression data to compare
Affymetrix microarray data with RNA sequencing measurements. The algorithm
successfully identified probes/genes with reliable measurements. Removing the
unreliable measurements resulted in significant improvements for gene signature
development and functional annotations.
PMID- 27199547
TI - Heme Oxygenase Activity Correlates with Serum Indices of Iron Homeostasis in
Healthy Nonsmokers.
AB - Heme oxygenase (HO) catalyzes the breakdown of heme to carbon monoxide, iron, and
biliverdin. While the use of genetically altered animal models in investigation
has established distinct associations between HO activity and systemic iron
availability, studies have not yet confirmed such participation of HO in iron
homeostasis of humans. Carbon monoxide produced through HO activity will bind to
hemoglobin in circulating erythrocytes, and therefore, blood carboxyhemoglobin
(COHb) can be used as an index of HO activity. Using the second National Health
and Nutrition Examination Survey, we tested the postulate that HO activity
correlates with serum indices of iron homeostasis in healthy nonsmokers. The
investigation included 844 lifetime nonsmokers (586 females) 18 years of age and
older in the study population. Significant correlations were demonstrated between
COHb and several indices of iron homeostasis including serum levels of both
ferritin and iron and percentage iron saturation of transferrin. There was no
significant association between COHb and hemoglobin, the largest repository of
heme in the human body, which functions as the substrate for HO. We conclude that
HO activity contributes to human iron homeostasis with significant correlations
between COHb and serum ferritin and iron levels and percentage iron saturation of
transferrin.
PMID- 27199548
TI - Fungistatic activity of all-trans retinoic acid against Aspergillus fumigatus and
Candida albicans.
AB - PURPOSE: Fungal infections are a major complication in hematologic and neoplastic
patients causing severe morbidity and mortality. Aspergillus fumigatus and
Candida albicans are among the most invasive opportunistic pathogens in
immunocompromised patients, and classic antifungal drugs are frequently
unsuccessful in these patients. Recent reports hypothesize that the antifungal
efficacy of all-trans retinoic acid (ATRA) is mainly related to its strong
capacity to stimulate monocyte-mediated immunity, but no consideration was given
to its potential direct fungistatic activity. Moreover, ATRA offers the
opportunity for systemic therapy. METHODS AND RESULTS: We investigated the
efficacy of ATRA at different concentrations for its antifungal activity against
opportunistic A. fumigatus and C. albicans obtained from clinical samples
according to standard protocols. A fungistatic activity of ATRA on A. fumigatus
and C. albicans at 0.5-1 mM concentration was documented up to 7 days.
CONCLUSION: This is the first evidence of a direct and strong fungistatic
activity of ATRA against A. fumigatus and C. albicans. The potential adjuvant
therapeutic application of ATRA might be useful in the treatment and/or
prevention of systemic mycoses in immunocompromised patients. The discovery of a
direct fungistatic activity, in association with its reported immunomodulatory
properties, makes ATRA an excellent candidate for new combined antifungal
strategies for systemic mycoses in immunocompromised and cancer patients.
PMID- 27199545
TI - Metagenomics, Metatranscriptomics, and Metabolomics Approaches for Microbiome
Analysis.
AB - Microbiomes are ubiquitous and are found in the ocean, the soil, and in/on other
living organisms. Changes in the microbiome can impact the health of the
environmental niche in which they reside. In order to learn more about these
communities, different approaches based on data from multiple omics have been
pursued. Metagenomics produces a taxonomical profile of the sample,
metatranscriptomics helps us to obtain a functional profile, and metabolomics
completes the picture by determining which byproducts are being released into the
environment. Although each approach provides valuable information separately, we
show that, when combined, they paint a more comprehensive picture. We conclude
with a review of network-based approaches as applied to integrative studies,
which we believe holds the key to in-depth understanding of microbiomes.
PMID- 27199549
TI - Participants' perception of pharmaceutical clinical research: a cross-sectional
controlled study.
AB - BACKGROUND: There is scarce scientific information assessing participants'
perception of pharmaceutical research in developed and developing countries
concerning the risks, safety, and purpose of clinical trials. METHODS: To assess
the perception that 604 trial participants (cases) and 604 nonparticipants
(controls) of pharmaceutical clinical trials have about pharmaceutical clinical
research, we surveyed participants with one of four chronic diseases from 12
research sites throughout Mexico. RESULTS: Participation in clinical trials
positively influences the perception of pharmaceutical clinical research. More
cases (65.4%) than controls (50.7%) perceived that the main purpose of
pharmaceutical research is to cure more diseases and to do so more effectively.
In addition, more cases considered that there are significant benefits when
participating in a research study, such as excellent medical care and extra free
services, with this being the most important motivation to participate for both
groups (cases 52%, controls 54.5%). We also found a sense of trust in their
physicians to deal with adverse events, and the perception that clinical research
is a benefit to their health, rather than a risk. More controls believed that
clinical trial participants' health is put at risk (57% vs 33.3%). More cases
(99.2%) than controls (77.5%) would recommend participating in a clinical trial,
and 90% of cases would enroll in a clinical trial again. CONCLUSION:
Participation in clinical trials positively influences the perception that
participants have about pharmaceutical clinical research when compared to
nonparticipants. This information needs to be conveyed to clinicians, public
health authorities, and general population to overcome misconceptions.
PMID- 27199550
TI - Modeling of the Glycolysis Pathway in Plasmodium falciparum using Petri Nets.
AB - Malaria is one of the deadly diseases, which affects a large number of the
world's population. The Plasmodium falciparum parasite during erythrocyte stages
produces its energy mainly through anaerobic glycolysis, with pyruvate being
converted into lactate. The glycolysis metabolism in P. falci-parum is one of the
important metabolic pathways of the parasite because the parasite is entirely
dependent on it for energy. Also, several glycolytic enzymes have been proposed
as drug targets. Petri nets (PNs) have been recognized as one of the important
models for representing biological pathways. In this work, we built a qualitative
PN model for the glycolysis pathway in P. falciparum and analyzed the model for
its structural and quantitative properties using PN theory. From PlasmoCyc files,
a total of 11 reactions were extracted; 6 of these were reversible and 5 were
irreversible. These reactions were catalyzed by a total number of 13 enzymes. We
extracted some of the essential reactions in the pathway using PN model, which
are the possible drug targets without which the pathway cannot function. This
model also helps to improve the understanding of the biological processes within
this pathway.
PMID- 27199551
TI - Current Developments in RNA Sequence Analysis.
PMID- 27199552
TI - Machine Learning Data Imputation and Classification in a Multicohort Hypertension
Clinical Study.
AB - Health-care initiatives are pushing the development and utilization of clinical
data for medical discovery and translational research studies. Machine learning
tools implemented for Big Data have been applied to detect patterns in complex
diseases. This study focuses on hypertension and examines phenotype data across a
major clinical study called Minority Health Genomics and Translational Research
Repository Database composed of self-reported African American (AA) participants
combined with related cohorts. Prior genome-wide association studies for
hypertension in AAs presumed that an increase of disease burden in susceptible
populations is due to rare variants. But genomic analysis of hypertension, even
those designed to focus on rare variants, has yielded marginal genome-wide
results over many studies. Machine learning and other nonparametric statistical
methods have recently been shown to uncover relationships in complex phenotypes,
genotypes, and clinical data. We trained neural networks with phenotype data for
missing-data imputation to increase the usable size of a clinical data set.
Validity was established by showing performance effects using the expanded data
set for the association of phenotype variables with case/control status of
patients. Data mining classification tools were used to generate association
rules.
PMID- 27199553
TI - Do fall-risk-increasing drugs have an impact on mortality in older hip fracture
patients? A population-based cohort study.
AB - OBJECTIVE: The aim of this study was to assess the mortality in hip fracture
patients with regard to use of fall-risk-increasing drugs (FRIDs), by comparing
survival in exposed and nonexposed individuals. DESIGN: This was a general
population-based cohort study. SETTINGS: Data on hip fracture patients were
retrieved from three national databases. PARTICIPANTS: All hip fracture patients
aged 60 years or older in a Swedish county in 2006 participated in this study.
MEASUREMENTS: We studied the mortality in hip fracture patients by comparing
those exposed to FRIDs, combinations of FRIDs, and polypharmacy to nonexposed
patients, adjusting for age and sex. For survival estimates in patients using
four or more FRIDs, a Cox regression analysis was used, adjusting for age, sex,
and use of any four or more drugs. RESULTS: First-year all-cause mortality was
24.6% (N=503) in 2,043 hip fracture patients aged 60 years or older, including
170 males (33.8%) and 333 females (66.2%). Patients prescribed four or more
FRIDs, five or more drugs (polypharmacy), psychotropic drugs, and cardiovascular
drugs showed significantly increased first-year mortality. Exposure to four or
more FRIDs (518 patients, 25.4%) was associated with an increased mortality at 30
days with odds ratios (ORs) 2.01 (95% confidence interval [CI] 1.44-2.79), 90
days with OR 1.56 (95% CI 1.19-2.04), 180 days with OR 1.54 (95% CI 1.20-1.97),
and 365 days with OR 1.43 (95% CI 1.13-1.80). Cox regression analyses adjusted
for age, sex, and use of any four or more drugs showed a significantly higher
mortality in patients treated with four or more FRIDs at 90 days (P=0.015) and
180 days (P=0.012) compared to patients treated with three or less FRIDs.
CONCLUSION: First-year all-cause mortality was significantly higher in older hip
fracture patients exposed before the fracture to FRIDs, in particular to four or
more FRIDs, polypharmacy, psychotropic, and cardiovascular drugs. Interventions
aiming to optimize both safety and benefit of drug treatment for older people
should include limiting the use of FRIDs.
PMID- 27199554
TI - In vitro modeling of COPD inflammation and limitation of p38 inhibitor -
SB203580.
AB - BACKGROUND: Systemic inflammation and steroid resistance are the hallmarks of
COPD. We examined the impact of p38 inhibitor (SB203580) in in vitro assays of
systemic inflammation using pulmonary cells and patients' sera. OBJECTIVE AND
METHODS: Data from 66 COPD patients and 15 age-/sex-matched healthy controls were
compared. Interleukin-10 (IL-10), tumor necrosis factor-alpha (TNF-alpha), and
CCL5 were measured in serum samples and culture media from peripheral blood
mononuclear cells. The impact of sera on IL-10 and CCL5 expression in alveolar
macrophage cell line (MH-S) was examined. The in vitro effects of SB203580 on
lipopolysaccharide-induced inflammation were investigated. RESULTS: Peripheral
blood mononuclear cells from Global initiative for chronic Obstructive Lung
Disease (GOLD) D patients produced more CCL5 and TNF-alpha, and less IL-10
compared to GOLD A-C patients. SB203580 treatment suppressed CCL5 and TNF-alpha
and stimulated IL-10 production; however, the effect of SB203580 on IL-10 was
lower in the COPD group. Culture of MH-S cells with COPD serum showed a
significant increase in CCL5 and a significant decrease in IL-10 compared to
healthy serum. This effect was not suppressed with SB203580 treatment.
CONCLUSION: COPD serum has a potent proinflammatory effect on pulmonary cells.
Inhibition of p38 phoshorylation had a limited effect in restoring impaired
lymphocyte function and suppressing inflammation induced by COPD serum, implying
important p38-independent inflammatory mechanisms in COPD.
PMID- 27199555
TI - Chronic obstructive pulmonary disease phenotypes and balance impairment.
AB - BACKGROUND/OBJECTIVE: Chronic obstructive pulmonary disease (COPD) is a
respiratory disease that results in airflow limitation and respiratory distress,
also having many nonrespiratory manifestations that affect both function and
mobility. Preliminary evidence suggests that balance deficits constitute an
important secondary impairment in individuals with COPD. Our objective was to
investigate balance performance in two groups of COPD patients with different
body compositions and to observe which of these groups are more likely to
experience falls in the future. METHODS: We included 27 stable COPD patients and
17 healthy individuals who performed a series of balance tests. The COPD patients
were divided in two groups: emphysematous and bronchitic. Patients completed the
activities balance confidence scale and the COPD assessment test questionnaire
and afterward performed the Berg Balance Scale, timed up and go, single leg
stance and 6-minute walking distance test. We analyzed the differences in the
balance tests between the studied groups. RESULTS: Bronchitic COPD was associated
with a decreased value when compared to emphysematous COPD for the following
variables: single leg stance (8.7 vs 15.6; P<0.001) and activities balance
confidence (53.2 vs 74.2; P=0.001). Bronchitic COPD patients had a significantly
higher value of timed up and go test compared to patients with emphysematous COPD
(14.7 vs 12.8; P=0.001). CONCLUSION: Patients with COPD have a higher balance
impairment than their healthy peers. Moreover, we observed that the bronchitic
COPD phenotype is more likely to experience falls compared to the emphysematous
phenotype.
PMID- 27199556
TI - Manganese dioxide nanosheets-based redox/pH-responsive drug delivery system for
cancer theranostic application.
AB - The aim of this study was to construct redox- and pH-responsive degradable
manganese dioxide (MnO2) nanosheets for cancer theranostic application. The small
MnO2 nanosheets were synthesized, and then functionalized by hyaluronic acid
(HA), demonstrating excellent stability and tumor-targeting ability. Cisplatin
(cis-diamminedichloroplatinum [CDDP]) was absorbed by the nanosheets through a
physical action, which was designed as MnO2/HA/CDDP. The prepared MnO2/HA/CDDP
formulation was able to efficiently deliver CDDP to tumor cells in vitro and in
vivo, resulting in improved therapeutic efficiency. Subsequently, they were
triggered by lower pH and higher level of reduced glutathione to generate Mn(2+),
enabling magnetic resonance imaging. The smart multifunctional system combining
efficient magnetic resonance imaging and chemotherapy has the potential to be
used as a tumor-targeting theranostic nanomedicine.
PMID- 27199557
TI - Facile synthesis of soybean phospholipid-encapsulated MoS2 nanosheets for
efficient in vitro and in vivo photothermal regression of breast tumor.
AB - Two-dimensional MoS2 nanosheet has been extensively explored as a photothermal
agent for tumor regression; however, its surface modification remains a great
challenge. Herein, as an alternative to surface polyethylene glycol modification
(PEGylation), a facile approach based on "thin-film" strategy has been proposed
for the first time to produce soybean phospholipid-encapsulated MoS2 (SP-MoS2)
nanosheets. By simply vacuum-treating MoS2 nanosheets/soybean
phospholipid/chloroform dispersion in a rotary evaporator, SP-MoS2 nanosheet was
successfully constructed. Owing to the steric hindrance of polymer chains, the
surface-coated soybean phospholipid endowed MoS2 nanosheets with excellent
colloidal stability. Without showing detectable in vitro and in vivo hemolysis,
coagulation, and cyto-/histotoxicity, the constructed SP-MoS2 nanosheets showed
good photothermal conversion performance and photothermal stability. SP-MoS2
nanosheet was shown to be a promising platform for in vitro and in vivo breast
tumor photothermal therapy. The produced SP-MoS2 nanosheets featured low cost,
simple fabrication, and good in vivo hemo-/histocompatibility and hold promising
potential for future clinical tumor therapy.
PMID- 27199559
TI - Investigation of facial emotion recognition, alexithymia, and levels of anxiety
and depression in patients with somatic symptoms and related disorders.
AB - BACKGROUND: The concept of facial emotion recognition is well established in
various neuropsychiatric disorders. Although emotional disturbances are strongly
associated with somatoform disorders, there are a restricted number of studies
that have investigated facial emotion recognition in somatoform disorders.
Furthermore, there have been no studies that have regarded this issue using the
new diagnostic criteria for somatoform disorders as somatic symptoms and related
disorders (SSD). In this study, we aimed to compare the factors of facial emotion
recognition between patients with SSD and age- and sex-matched healthy controls
(HC) and to retest and investigate the factors of facial emotion recognition
using the new criteria for SSD. PATIENTS AND METHODS: After applying the
inclusion and exclusion criteria, 54 patients who were diagnosed with SSD
according to the Diagnostic and Statistical Manual of Mental Disorders, Fifth
Edition (DSM-5) criteria and 46 age- and sex-matched HC were selected to
participate in the present study. Facial emotion recognition, alexithymia, and
the status of anxiety and depression were compared between the groups. RESULTS:
Patients with SSD had significantly decreased scores of facial emotion for fear
faces, disgust faces, and neutral faces compared with age- and sex-matched HC (t=
2.88, P=0.005; t=-2.86, P=0.005; and t=-2.56, P=0.009, respectively). After
eliminating the effects of alexithymia and depressive and anxious states, the
groups were found to be similar in terms of their responses to facial emotion and
mean reaction time to facial emotions. DISCUSSION: Although there have been
limited numbers of studies that have examined the recognition of facial emotion
in patients with somatoform disorders, our study is the first to investigate
facial recognition in patients with SSD diagnosed according to the DSM-5
criteria. Recognition of facial emotion was found to be disturbed in patients
with SSD. However, our findings suggest that disturbances in facial recognition
were significantly associated with alexithymia and the status of depression and
anxiety, which is consistent with the previous studies. Further studies are
needed to highlight the associations between facial emotion recognition and SSD.
PMID- 27199558
TI - Phytosynthesis of silver nanoparticles using Artemisia marschalliana Sprengel
aerial part extract and assessment of their antioxidant, anticancer, and
antibacterial properties.
AB - A rapid phytosynthesis of silver nanoparticles (AgNPs) using an extract from the
aerial parts of Artemisia marschalliana Sprengel was investigated in this study.
The synthesized AgNPs using A. marschalliana extract was analyzed by UV-visible
spectroscopy, X-ray diffraction, and Fourier transform infrared spectroscopy and
further characterized by transmission electron microscopy, scanning electron
microscopy, zeta potential, and energy-dispersive spectroscopy. Characteristic
absorption bands of AgNPs were found near 430 nm in the UV-vis spectrum. Energy
dispersive spectroscopy analysis of AgNPs in the energy range 2-4 keV confirmed
the silver signal due to surface plasmon resonance. Scanning electron microscopy
and transmission electron microscopy results revealed that the AgNPs were mostly
spherical with an average size ranging from 5 nm to 50 nm. The zeta potential
value of -31 mV confirmed the stability of the AgNPs. AgNPs produced using the
aqueous A. marschalliana extract might serve as a potent in vitro antioxidant, as
revealed by 2,2-diphenyl-1-picryl hydrazyl assay. The present study demonstrates
the anticancer properties of phytosynthesized AgNPs against human gastric
carcinoma AGS cells. AgNPs exerted a dose-dependent inhibitory effect on the
viability of cells. Real-time polymerase chain reaction was used for the
investigation of Bax and Bcl-2 gene expression in cancer and normal cell lines.
Our findings show that the mRNA levels of pro-apoptotic Bax gene expression were
significantly upregulated, while the expression of anti-apoptotic Bcl-2 was
declined in cells treated with AgNPs compared to normal cells. In addition, flow
cytometric analysis showed that the number of early and late apoptotic AGS cells
was significantly enhanced following treatment with AgNPs as compared to
untreated cells. In addition, the AgNPs showed strong antibacterial properties
against tested pathogenic bacteria such as Staphylococcus aureus, Bacillus
cereus, Acinetobacter baumannii, and Pseudomonas aeruginosa. Based on the
obtained data, we suggest that phytosynthesized AgNPs are good alternatives in
the treatment of diseases because of the presence of bioactive agents.
PMID- 27199561
TI - Becoming FASD Informed: Strengthening Practice and Programs Working with Women
with FASD.
AB - There is growing appreciation among health and social care providers, especially
those working in community-based programs with women or young people with
substance use problems and/or who have experienced violence, maltreatment, or
trauma, that a high number of their program participants may have been prenatally
exposed to alcohol or have fetal alcohol spectrum disorder (FASD). This article
provides a conceptualization of the key components of an FASD-informed approach.
Drawing on the emerging literature and the author's research identifying the
support needs and promising approaches in working with women, young adults, and
adults with FASD, as well as evaluations of FASD-related programs, the article
discusses what an FASD-informed approach is, why it is centrally important in
working with women, adults, and young people who may have FASD, underlying
principles of an FASD-informed approach, and examples of FASD-informed
adaptations to practice, programming, and the physical environment. In this
discussion, the benefits of using an FASD-informed approach for service providers
and women living with FASD and their families, as well as conceptualization of
FASD-informed policy and systems are highlighted.
PMID- 27199560
TI - Prevention of Fetal Alcohol Spectrum Disorder: Current Canadian Efforts and
Analysis of Gaps.
AB - Effective prevention of risky alcohol use in pregnancy involves much more than
providing information about the risk of potential birth defects and developmental
disabilities in children. To categorize the breadth of possible initiatives,
Canadian experts have identified a four-part framework for fetal alcohol spectrum
disorder (FASD) prevention: Level 1, public awareness and broad health promotion;
Level 2, conversations about alcohol with women of childbearing age and their
partners; Level 3, specialized support for pregnant women; and Level 4,
postpartum support for new mothers. In order to describe the level of services
across Canada, 50 Canadian service providers, civil servants, and researchers
working in the area of FASD prevention were involved in an online Delphi survey
process to create a snapshot of current FASD prevention efforts, identify gaps,
and provide ideas on how to close these gaps to improve FASD prevention.
Promising Canadian practices and key areas for future action are described.
Overall, Canadian FASD prevention programming reflects evidence-based practices;
however, there are many opportunities to improve scope and availability of these
initiatives.
PMID- 27199562
TI - Blogging to Quit Smoking: Sharing Stories from Women of Childbearing Years in
Ontario.
AB - This study examined the degree to which the pregnant or postpartum women, in the
process of quitting smoking, felt that writing in a blog about their smoking
cessation journeys helped them in their efforts to become or remain smoke free.
Five women who blogged for Prevention of Gestational and Neonatal Exposure to
Tobacco Smoke (a website designed to help pregnant and postpartum women quit
smoking) were interviewed about their experiences as bloggers. Participants were
asked to complete an online survey, which had closed-ended questions regarding
their sociodemographic and smoking characteristics. Once they completed the
survey, semistructured qualitative interviews were conducted over the phone.
Findings suggest that blogging might combine several evidence-based behavioral
strategies for tobacco cessation, such as journaling and getting support from
others who use tobacco. Being part of a blogging community of women who have
experienced or are experiencing similar challenges can be therapeutic and help
women gain confidence in their ability to quit smoking. In conclusion, blogging
may help pregnant and postpartum women quit smoking by increasing their social
support and promoting self-reflection.
PMID- 27199564
TI - Harm to Others From Substance Use and Abuse.
PMID- 27199563
TI - Improving Access to Long-Acting Contraceptive Methods and Reducing Unplanned
Pregnancy Among Women with Substance Use Disorders.
AB - Much has been written about the consequences of substance use in pregnancy, but
there has been far less focus on the prevention of unintended pregnancies in
women with substance use disorders (SUDs). We examine the literature on pregnancy
incidence for women with SUDs, the clinical and economic benefits of increasing
access to long-acting reversible contraceptive (LARC) methods in this population,
and the current hurdles to increased access and uptake. High rates of unintended
pregnancies and poor physical and psychosocial outcomes among women with SUDs
underscore the need for increased access to, and uptake of, LARC methods among
these women. A small number of studies that focused on improving access to
contraception, especially LARC, via integrated contraception services
predominantly provided in drug treatment programs were identified. However, a
number of barriers remain, highlighting that much more research is needed in this
area.
PMID- 27199565
TI - Elevated Atmospheric Levels of Benzene and Benzene-Related Compounds from
Unconventional Shale Extraction and Processing: Human Health Concern for
Residential Communities.
AB - BACKGROUND: The advancement of natural gas (NG) extraction across the United
States (U.S.) raises concern for potential exposure to hazardous air pollutants
(HAPs). Benzene, a HAP and a primary chemical of concern due to its
classification as a known human carcinogen, is present in petroleum-rich geologic
formations and is formed during the combustion of bypass NG. It is a component in
solvents, paraffin breakers, and fuels used in NG extraction and processing
(E&P). OBJECTIVES: The objectives of this study are to confirm the presence of
benzene and benzene-related compounds (benzene[s]) in residential areas, where
unconventional shale E&P is occurring, and to determine if benzene[s] exists in
elevated atmospheric concentrations when compared to national background levels.
METHODS: Ambient air sampling was conducted in six counties in the Dallas/Fort
Worth Metroplex with passive samples collected in evacuated 6-L Summa canisters.
Samples were analyzed by gas chromatography/mass spectrometry, with sampling
performed at variable distances from the facility fence line. RESULTS: Elevated
concentrations of benzene[s] in the atmosphere were identified when compared to
U.S. Environmental Protection Agency's Urban Air Toxics Monitoring Program. The
24-hour benzene concentrations ranged from 0.6 parts per billion by volume (ppbv)
to 592 ppbv, with 1-hour concentrations from 2.94 ppbv to 2,900.20 ppbv.
CONCLUSION: Benzene is a known human carcinogen capable of multisystem health
effects. Exposure to benzene is correlated with bone marrow and blood-forming
organ damage and immune system depression. Sensitive populations (children,
pregnant women, elderly, immunocompromised) and occupational workers are at
increased risk for adverse health effects from elevated atmospheric levels of
benzene[s] in residential areas with unconventional shale E&P.
PMID- 27199567
TI - Stathmin1 increases radioresistance by enhancing autophagy in non-small-cell lung
cancer cells.
AB - Radioresistance has been demonstrated to be involved in the poor prognosis of
patients with non-small-cell lung cancer (NSCLC). However, the underlying
mechanism remains largely unclear. Investigation on special therapeutic targets
associated with radioresistance shows promises for the enhancement of clinical
radiotherapy effect toward NSCLC. This study aimed to reveal the role of
Stathmin1 (STMN1) in radioresistance in NSCLC as well as the underlying
mechanism. Our data showed that the protein levels of STMN1 were significantly
upregulated in NSCLC cells subjected to radiation, accompanied with the
activation of autophagy. Knockdown of STMN1 expression enhanced the sensitivity
of NSCLC cells to X-ray, and the radiation-induced autophagy was also inhibited.
Molecular mechanism investigation showed that knockdown of STMN1 expression
upregulated the activity of phosphoinositide 3-kinase (PI3K)/mammalian target of
rapamycin (mTOR) signaling pathway in NSCLC cells. Moreover, the activation of
PI3K/mTOR signaling showed an inhibitory effect on the autophagy and
radioresistance induced by STMN1 in NSCLC cells. In addition, luciferase reporter
assay data indicated that STMN1 was a direct target gene of miR-101, which had
been reported to be an inhibitor of autophagy. Based on these data, we suggest
that as a target gene of miR-101, STMN1 promotes the radioresistance by induction
of autophagy through PI3K/mTOR signaling pathway in NSCLC. Therefore, STMN1 may
become a potential therapeutic target for NSCLC radiotherapy.
PMID- 27199566
TI - Diet Versus Exercise in Weight Loss and Maintenance: Focus on Tryptophan.
AB - An association between mood disturbance, the inability to lose or to stop gaining
weight, and a craving for carbohydrates is manifested by many people who are
overweight or are becoming so. In a recent study, we observed that low-calorie
weight loss diet lowered not only levels of leptin but also levels of essential
amino acid tryptophan (TRP) significantly. The disturbed metabolism of TRP might
affect biosynthesis of serotonin and could thereby increase the susceptibility
for mood disturbances and carbohydrate craving, increasing the cessation
probability of weight reduction programs. Alternatively, moderate physical
exercise - a potent stimulus to modulate (reduce/normalize) proinflammatory
cytokines, which may affect TRP levels - could be helpful in improving mood
status and preventing uncontrolled weight gain. In contrast, excessive physical
exercise may induce breakdown of TRP when proinflammatory cascades together with
TRP-degrading enzyme indoleamine 2,3-dioxygenase-1 are stimulated, which may lead
to neuropsychiatric symptoms such as fatigue and low mood.
PMID- 27199568
TI - Hospitalization and cost after switching from atypical to typical antipsychotics
in schizophrenia patients in Thailand.
AB - BACKGROUND: Several clinical practice guidelines suggest using atypical over
typical antipsychotics in patients diagnosed with schizophrenia. Nevertheless,
cost-containment policy urged restricting usage of atypical antipsychotics and
switching from atypical to typical antipsychotics. OBJECTIVE: This study aimed to
evaluate clinical and economic impacts of switching from atypical to typical
antipsychotics in schizophrenia patients in Thailand. METHODS: From October 2010
through September 2013, a retrospective cohort study was performed utilizing
electronic database of two tertiary hospitals. Schizophrenia patients aged 18
years or older and being treated with atypical antipsychotics were included.
Patients were classified as atypical antipsychotic switching group if they
switched to typical antipsychotics after 180 days of continual atypical
antipsychotics therapy. Outcomes were schizophrenia-related hospitalization and
total health care cost. Logistic and Poisson regression were used to evaluate the
risk of hospitalization, and generalized linear model with gamma distribution was
used to determine the health care cost. All analyses were adjusted by employing
propensity score and multivariable analyses. All cost estimates were adjusted
according to 2013 consumer price index and converted to US$ at an exchange rate
of 32.85 Thai bahts/US$. RESULTS: A total of 2,354 patients were included. Of
them, 166 (7.1%) patients switched to typical antipsychotics. The adjusted odds
ratio for schizophrenia-related hospitalization in atypical antipsychotic
switching group was 1.87 (95% confidence interval [CI] 1.23-2.83). The adjusted
incidence rate ratio was 2.44 (95% CI 1.57-3.79) for schizophrenia-related
hospitalizations. The average total health care cost was lower in patients with
antipsychotic switching (-$64; 95% CI -$459 to $332). CONCLUSION: Switching from
atypical to typical antipsychotics is associated with an increased risk of
schizophrenia-related hospitalization. Nonetheless, association with average
total health care cost was not observed. These findings can be of use as a part
of evidence in executing prospective cost-containment policy.
PMID- 27199569
TI - Acute-onset rhabdomyolysis secondary to sitagliptin and atorvastatin interaction.
AB - Rhabdomyolysis is a serious medical condition in which the skeletal muscle tissue
gets damaged and breaks down at rapid rates, potentially leading to death if not
managed early on. Rhabdomyolysis in adults has several etiologies such as crush
injuries, prolonged immobilization, strenuous exercise, hormonal or metabolic
causes, infections, and drug-drug interactions. We present a case report of the
interaction of two drugs that are used commonly in the general population. We
here discuss a case of a 60-year-old female who presented to the hospital with
complaints of generalized weakness, muscle aches, and atypical chest pain for a
week after her primary care physician started her on sitagliptin while she was
already on atorvastatin. After review of literature, this is the second known
case of such an interaction causing acute breakdown of skeletal musculature.
PMID- 27199572
TI - Profound vascular stasis of retina and optic nerve following retrobulbar
anesthesia.
AB - INTRODUCTION: We aim to describe a mechanism of vision loss following vitrectomy
surgery with retrobulbar block (RBB) associated with severe vascular stasis of
the optic nerve and macula in order to improve safety of local anesthesia for
ophthalmic surgery. CASE PRESENTATION: We report three cases of patients who
underwent pars plana vitrectomy (PPV) with retrobulbar anesthesia with no
retrobulbar hemorrhage or elevated intraocular pressure (IOP). At the beginning,
in each case, hypoperfusion of optic nerve and macula was noted. In the case of
one patient with significant vasculopathic risk factors, the vascular stasis was
severe, while in the other two cases, it was mild-to-moderate. In all cases, the
perfusion of posterior pole began to improve almost immediately following the
start of PPV. Because the IOP was not elevated and no retrobulbar hemorrhage was
present, this suggested a compartment syndrome in the intraconal space. The
patient with severe vascular stasis developed finger-counting vision but had
normal postoperative angiogram findings and unrevealing cardiovascular workup. In
the other two milder cases, the occurrence of ischemia was not visually
significant. CONCLUSION: Intraoperative ischemia should be considered in all
cases of unexplained vision loss after ophthalmic surgery using RBB. Attention to
vasculopathic risk factors and intra-operative hemodynamic parameters, in
addition to the use of parabulbar block, may avoid this complication and
permanent vision loss.
PMID- 27199573
TI - A growth spurt in pediatric sleep research.
PMID- 27199571
TI - Fibroblast growth factors 1 and 2 in cerebrospinal fluid are associated with HIV
disease, methamphetamine use, and neurocognitive functioning.
AB - BACKGROUND: Human immunodeficiency virus (HIV) and methamphetamine use commonly
affect neurocognitive (NC) functioning. We evaluated the relationships between NC
functioning and two fibroblast growth factors (FGFs) in volunteers who differed
in HIV serostatus and methamphetamine dependence (MAD). METHODS: A total of 100
volunteers were categorized into four groups based on HIV serostatus and MAD in
the prior year. FGF-1 and FGF-2 were measured in cerebrospinal fluid by enzyme
linked immunosorbent assays along with two reference biomarkers (monocyte
chemotactic protein [MCP]-1 and neopterin). Comprehensive NC testing was
summarized by global and domain impairment ratings. RESULTS: Sixty-three
volunteers were HIV+ and 59 had a history of MAD. FGF-1, FGF-2, and both
reference biomarkers differed by HIV and MAD status. For example, FGF-1 levels
were lower in subjects who had either HIV or MAD than in HIV- and MAD- controls
(P=0.003). Multivariable regression identified that global NC impairment was
associated with an interaction between FGF-1 and FGF-2 (model R(2)=0.09, P=0.01):
higher FGF-2 levels were only associated with neurocognitive impairment among
subjects who had lower FGF-1 levels. Including other covariates in the model
(including antidepressant use) strengthened the model (model R(2)=0.18, P=0.004)
but did not weaken the association with FGF-1 and FGF-2. Lower FGF-1 levels were
associated with impairment in five of seven cognitive domains, more than FGF-2,
MCP-1, or neopterin. CONCLUSION: These findings provide in vivo support that HIV
and MAD alter expression of FGFs, which may contribute to the NC abnormalities
associated with these conditions. These cross-sectional findings cannot establish
causality and the therapeutic benefits of recombinant FGF-1 need to be
investigated.
PMID- 27199570
TI - The Extracellular-Regulated Kinase Effector Lk6 is Required for Glutamate
Receptor Localization at the Drosophila Neuromuscular Junction.
AB - The proper localization and synthesis of postsynaptic glutamate receptors are
essential for synaptic plasticity. Synaptic translation initiation is thought to
occur via the target of rapamycin (TOR) and mitogen-activated protein kinase
signal-integrating kinase (Mnk) signaling pathways, which is downstream of
extracellular-regulated kinase (ERK). We used the model glutamatergic synapse,
the Drosophila neuromuscular junction, to better understand the roles of the Mnk
and TOR signaling pathways in synapse development. These synapses contain non
NMDA receptors that are most similar to AMPA receptors. Our data show that Lk6,
the Drosophila homolog of Mnk1 and Mnk2, is required in either presynaptic
neurons or postsynaptic muscle for the proper localization of the GluRIIA
glutamate receptor subunit. Lk6 may signal through eukaryotic initiation factor
(eIF) 4E to regulate the synaptic levels of GluRIIA as either interfering with
eIF4E binding to eIF4G or expression of a nonphosphorylatable isoform of eIF4E
resulted in a significant reduction in GluRIIA at the synapse. We also find that
Lk6 and TOR may independently regulate synaptic levels of GluRIIA.
PMID- 27199574
TI - Corneal Cross-Linking (with a Partial Deepithelization) in Keratoconus with Five
Years of Follow-Up.
AB - We performed a retrospective interventional case series including 80 eyes of 48
patients with keratoconus (KC) who were treated with modified corneal cross
linking (CXL) for KC (with a partial deepithelization in a pattern of stripes).
The average follow-up was 5.8 years (with a minimum of 5 years). At the last
follow-up visit, compared with preoperative values, there were no significant
changes in spherical equivalent, average keratometry, corneal thickness, corneal
hysteresis, or corneal resistance factor. The distance-corrected visual acuity
was 20/39 preoperatively and 20/36 postoperatively (P = 0.3). The endothelial
cell count decreased by 4.7% (P < 0.005). These findings suggest that this
modified corneal CXL technique is a safe and effective alternative to halt the
progression of KC up to five years after the procedure. However, some concerns
remain as to whether this technique can affect in some degree the corneal
endothelial cells.
PMID- 27199575
TI - Identification of High-Risk Patients with Non-ST Segment Elevation Myocardial
Infarction using Strain Doppler Echocardiography: Correlation with Cardiac
Magnetic Resonance Imaging.
AB - Assessment of left ventricular (LV) function is important for decision-making and
risk stratification in patients with acute coronary syndrome. Many patients with
non-ST segment elevation myocardial infarction (NSTEMI) have substantial
infarction, but these patients often do not reveal clinical signs of instability,
and they rarely fulfill criteria for acute revascularization therapy. AIM: This
study evaluated the potential of strain Doppler echocardiography analysis for the
assessment of LV infarct size when compared with standard two-dimensional echo
and cardiac magnetic resonance (CMR) data. METHODS: Thirty patients with NSTEMI
were examined using echocardiography after hospitalization for 1.8 +/- 1.1 days
for the assessment of left ventricular ejection fraction, wall motion score index
(WMSI), and LV global longitudinal strain (GLS). Infarct size was assessed using
delayed enhancement CMR 6.97 +/- 3.2 days after admission as a percentage of
total myocardial volume. RESULTS: GLS was performed in 30 patients, and 82.9% of
the LV segments were accepted for GLS analysis. Comparisons between patients with
a complete set of GLS and standard echo, GLS and CMR were performed. The linear
relationship demonstrated moderately strong and significant associations between
GLS and ejection fraction (EF) as determined using standard echo (r = 0.452, P =
0.012), WMSI (r = 0.462, P = 0.010), and the gold standard CMR-determined EF (r =
0.57, P < 0.001). Receiver operating characteristic curves were used to analyze
the ability of GLS to evaluate infarct size. GLS was the best predictor of
infarct size in a multivariate linear regression analysis (beta = 1.51, P =
0.027). WMSI >1.125 and a GLS cutoff value of -11.29% identified patients with
substantial infarction (>=12% of total myocardial volume measured using CMR) with
accuracies of 76.7% and 80%, respectively. However, GLS remained the only
independent predictor in a multivariate logistic regression analysis to identify
an infarct size >=12%. CONCLUSION: GLS is a good predictor of infarct size in
NSTEMI, and it may serve as a tool in conjunction with risk stratification scores
for the selection of high-risk NSTEMI patients.
PMID- 27199576
TI - Assessing the Value of BMI and Aerobic Capacity as Surrogate Markers for the
Severity of Left Ventricular Diastolic Dysfunction in Patients with Type 2
Diabetes Who Are Obese.
AB - Left ventricular diastolic dysfunction (LVDD) is one of the earliest signs for
abnormal cardiac function in patients with type 2 diabetes (T2DM). It is
important to explore the risk factors that will assist in identifying the
severity of the LVDD in this population. We examined the influences of fitness
and fatness on the level of left ventricular (LV) impairment in patients with
T2DM. Twenty-five patients (age: 64.0 +/- 2.5 years, body mass index [BMI] = 36.0
+/- 1.5 kg/m(2), mean +/- standard error of measurement) with T2DM and preserved
systolic function, but impaired diastolic function, mitral valve (MV) E/e',
participated in the study. LV function was assessed using a stress
echocardiograph, aerobic power was assessed with a sign- and symptom-limited
graded exercise test, and the fatness level was assessed using Dual-energy X-ray
absorptiometry and BMI. Patients in the higher 50% of BMI had higher lateral and
septal MV E/e' (~34% and ~25%, respectively, both P < 0.001), compared to those
in the lower 50% of BMI, with no difference in LV ejection fraction (LVEF) (P >
0.05). In addition, a higher BMI correlated with a higher lateral (r = 0.62, P <
0.001) and septal (r = 0.56, P < 0.01) E/e'. There was no such relationship for
VO2peak. BMI and VO2peak were not correlated with LV systolic function (ejection
fraction). In individuals with T2DM and diastolic dysfunction, a higher BMI was
associated with worsening diastolic function independent of their aerobic
capacity. The data provide a simple and practical approach for clinicians to
assist in the early identification and diagnostics of functional changes in the
heart diastolic function in this population.
PMID- 27199577
TI - Resectable Cholangiocarcinoma: Reviewing the Role of Adjuvant Strategies.
AB - Cholangiocarcinoma is a very heterogeneous and rare group of neoplasms
originating from the perihilar, intra-, or extrahepatic bile duct epithelium. It
represents only 3% of gastrointestinal cancers, although their incidence is
increasing as its mortality increases. Surgical resection is the only potentially
curative option, but unfortunately the resectability rate is low. Overall, these
malignancies have got a very poor prognosis with a five-year survival rate of 5
10%. Although the five-year survival rate increases to 25-30% in the cases
amenable to surgery, only 10-40% of patients present with resectable disease.
Therefore, it is necessary to optimize the benefit of adjuvant strategies after
surgery to increase the rate of curability. This study reviewed the role of
adjuvant chemotherapy in resectable bile duct cancers.
PMID- 27199578
TI - Correlation of Apgar Score with Asphyxial Hepatic Injury and Mortality in
Newborns: A Prospective Observational Study From India.
AB - OBJECTIVE: The objective of this study is to determine the correlation of Apgar
score with asphyxial hepatic injury and neonatal mortality in moderately and
severely asphyxiated newborns. MATERIAL AND METHODS: This is a secondary analysis
of our prospective observational case-controlled study. Sixteen neonates with
severe birth asphyxia (five-minute Apgar <=3) were compared with either 54
moderate asphyxia neonates (five-minute Apgar >3) or 30 normal neonates. Liver
function tests were measured on postnatal days 1, 3, and 10 in the study and
control groups. Neonatal mortality was observed in the study and control
population. RESULTS: Correlation of Apgar score in severely asphyxiated neonates
compared with normal Apgar score neonates and moderately asphyxiated neonates for
deranged hepatic function showed significant correlation (odds ratio [OR] 4.88,
95% CI 3.26-5.84, P = 0.01 and OR 2.46, 95% CI 1.94-3.32, P = 0.02,
respectively). There was a significant increase in serum lactate dehydrogenase
(LDH) and total bilirubin on day 1 and serum LDH at age of 10th postnatal life in
severely asphyxiated neonates when compared to moderately asphyxiated neonates,
whereas there was a significant decrease in total bilirubin and serum albumin on
day 3 in severely asphyxiated neonates. There was a significant increase in serum
alanine transaminase, serum LDH, and total bilirubin on day 1, serum aspartate
transaminase, serum LDH, and total bilirubin on day 3, and International
Normalized Ratio on day 10 of postnatal life when severely asphyxiated neonates
were compared with normal neonates. There was a significant reduction in total
protein and serum albumin on day 1 and direct bilirubin on day 3 in severely
asphyxiated neonates when compared with normal neonates. There was a significant
increase in neonatal mortality in severely asphyxiated neonates when compared to
the other two groups. Correlation of Apgar score in severely asphyxiated neonates
compared with normal Apgar score neonates and moderately asphyxiated neonates for
neonatal mortality showed significant correlation (odds ratio [OR] 2.23, 95% CI
1.42-3.04, P = 0.03 and OR 1.87, 95% CI 1.64-2.02, P = 0.04, respectively).
CONCLUSION: The severity of hepatic dysfunction correlates well with increasing
severity of asphyxia. The neonatal mortality also showed good correlation with
Apgar score in our study, although we need a large multicentric trial to confirm
our observations. Apgar score combined with hepatic dysfunction can be used as a
prognostication marker for neonatal mortality.
PMID- 27199579
TI - Accurate Point-of-Care Detection of Ruptured Fetal Membranes: Improved Diagnostic
Performance Characteristics with a Monoclonal/Polyclonal Immunoassay.
AB - OBJECTIVE: Accurate and timely diagnosis of rupture of membranes (ROM) is
imperative to allow for gestational age-specific interventions. This study
compared the diagnostic performance characteristics between two methods used for
the detection of ROM as measured in the same patient. METHODS: Vaginal secretions
were evaluated using the conventional fern test as well as a point-of-care
monoclonal/polyclonal immunoassay test (ROM Plus((r))) in 75 pregnant patients
who presented to labor and delivery with complaints of leaking amniotic fluid.
Both tests were compared to analytical confirmation of ROM using three external
laboratory tests. Diagnostic performance characteristics were calculated
including sensitivity, specificity, positive predictive value (PPV), negative
predictive value (NPV), and accuracy. RESULTS: Diagnostic performance
characteristics uniformly favored ROM detection using the immunoassay test
compared to the fern test: sensitivity (100% vs. 77.8%), specificity (94.8% vs.
79.3%), PPV (75% vs. 36.8%), NPV (100% vs. 95.8%), and accuracy (95.5% vs.
79.1%). CONCLUSIONS: The point-of-care immunoassay test provides improved
diagnostic accuracy for the detection of ROM compared to fern testing. It has the
potential of improving patient management decisions, thereby minimizing serious
complications and perinatal morbidity.
PMID- 27199580
TI - Health Promotion and Health Behaviors of Diverse Ethnic/Racial Women
Cosmetologists: A Review.
AB - Women from diverse ethnic/racial backgrounds have higher chronic disease
mortality rates when compared to White non-Hispanic women. Community-based
programs, such as beauty salons, have been used to reach diverse ethnic/racial
women, yet little is known about diverse ethnic/racial women cosmetologists'
involvement in health promotion and their health behaviors, which is the purpose
of this review. The growing beauty salon health promotion literature indicates
that their roles in these studies have been varied, not only as health promoters
but also as recruiters, facilitators, and in general major catalysts for
investigator-initiated studies. However, the review also identified a major void
in the literature in that there were few studies on health behaviors of diverse
ethnic/racial women cosmetologists, especially African American women
cosmetologists. Recommendations include increasing the capacity of diverse
ethnic/racial women cosmetologists as community health leaders and investigating
their health status, knowledge, attitudes, and practices.
PMID- 27199581
TI - A revision of the genus Muricea Lamouroux, 1821 (Anthozoa, Octocorallia) in the
eastern Pacific. Part II.
AB - The species of the genus Muricea were mainly described from 1846 to 1870. After
that very few contributions were published. Although the highest richness of
Muricea species is in the eastern Pacific shallow waters, a comprehensive
systematic study of the genus does not exist. Recently we started a taxonomic
review of the genus in order to validate the status of four species previously
included in the genus Eumuricea. Herein we present the second part of the Muricea
revision dealing with the species-group characterised by shelf-like calyces
instead of tubular-like calyces (the Muricea squarrosa-group). Original type
material was morphologically analysed and illustrated using optical and scanning
electron microscopy. Comparative character tables are provided for the genus. The
taxonomic status of the species was analysed and established by designating
lectotypes, alternatively by recognising a holotype by monotypy. We conclude that
the genus Muricea comprises 20 valid species, including the previous four in the
Muricea squarrosa-group. We propose 10 lectotypes, a new combination and three
more species groups for the genus based on morphology: the Muricea fruticosa
group, Muricea plantaginea-group and Muricea austera-group.
PMID- 27199582
TI - Sinularia polydactyla (Ehrenberg, 1834) (Cnidaria, Octocorallia) re-examined,
with the description of a new species.
AB - Sinularia polydactyla (Ehrenberg, 1834) is re-described and a lectotype assigned.
This led to examination of related material from various Indo-Pacific regions.
Consequently, Sinularia levi sp. n. is described from Eilat, Israel (Gulf of
Aqaba, northern Red Sea) and Sinularia compressa Tixier-Durivault, 1945 and
Sinularia candidula Verseveldt and Benayahu, 1983 are synonymized with Sinularia
polydactyla. Additional specimens identified in the literature as Sinularia
polydactyla are provisionally reassigned to other taxa.
PMID- 27199583
TI - A new species of Bothriembryon (Mollusca, Gastropoda, Bothriembryontidae) from
south-eastern Western Australia.
AB - Bothriembryon sophiarum sp. n. is described, based on shell and anatomical
morphology, from the coastal area of south-easternmost Western Australia. This is
the first description of a new extant Australian bothriembryontid in 33 years.
The shell of Bothriembryon sophiarum is slender with a unique teleoconch
sculpture. It is found in low coastal scrub on cliff edges and escarpments and
because of its restricted distribution, qualifies as a short range endemic.
PMID- 27199584
TI - A new species of Anomognathus and new Canadian and provincial records of
aleocharine rove beetles from Alberta, Canada (Coleoptera, Staphylinidae,
Aleocharinae).
AB - A new species, Anomognathus athabascensis Klimaszewski, Hammond & Langor, sp. n.,
and nine new provincial records including one new country record of aleocharine
beetles are presented for the province of Alberta. Diagnostics, images of habitus
and genital structures, distribution, natural history information and new
locality data are provided for the newly recorded species. A checklist for all
recorded aleocharines from Alberta is updated.
PMID- 27199585
TI - First record of Streptosyllis nunezi Faulwetter et al., 2008 (Annelida, Syllidae)
from the United Kingdom, and amendment to the genus Streptosyllis Webster &
Benedict, 1884.
AB - During a benthic survey of a Marine Conservation Zone located on the Skerries
Bank in the English Channel off the south-west coast of England, three specimens
of Streptosyllis nunezi Faulwetter et al., 2008 were found. This is the second
ever record of the species after its original description, and the first record
from waters around the U.K. and a significant northerly range extension for a
species previously only recorded from the Canary Islands and the Mediterranean
Sea. A single simple ventral chaeta in each of the two posterior-most segments
was discovered in this and two other species of Streptosyllis Webster & Benedict,
1884. The generic definition of Streptosyllis is emended to include this feature
previously unknown for the genus, and an updated key to the Streptosyllis found
in UK waters is provided.
PMID- 27199586
TI - Systematics of testudacarine torrent mites (Acari, Hydrachnidia, Torrenticolidae)
with descriptions of 13 new species from North America.
AB - Thirteen new species of North American Testudacarus (Torrenticolidae:
Testudacarinae) are described: Testudacarus deceptivus O'Neill & Dowling, sp. n.,
Testudacarus hitchensi O'Neill & Dowling, sp. n., Testudacarus harrisi O'Neill &
Dowling, sp. n., Testudacarus dennetti O'Neill & Dowling, sp. n., Testudacarus
dawkinsi O'Neill & Dowling, sp. n., Testudacarus radwellae O'Neill & Dowling, sp.
n., Testudacarus kirkwoodae O'Neill & Dowling, sp. n., Testudacarus hyporhynchus
O'Neill & Dowling, sp. n., Testudacarus smithi O'Neill & Dowling, sp. n.,
Testudacarus rollerae O'Neill & Dowling, sp. n., Testudacarus elongatus O'Neill &
Dowling, sp. n., Testudacarus rectangulatus O'Neill & Dowling, sp. n., and
Testudacarus oblongatus O'Neill & Dowling, sp. n. Testudacarus vulgaris Habeeb,
1954 is resurrected from synonymy with Testudacarus minimus and redescribed.
Debsacarus (Habeeb, 1961), Testudacarus americanus Marshall, 1943, and
Testudacarus minimus Marshall, 1943 are redescribed. All redescriptions are from
original types. Species delimination was accomplished through examination of
morphology, biogeography, and molecular phylogenetics of the barcoding region of
COI. Other species are addressed and a key to world species is presented. For
Testudacarinae, this represents the first published: 1) descriptions from
multiple specimens (i.e. intraspecific variation); 2) colored photographs; 3)
explicit illustrations and discussion of sexual dimorphism within the subfamily;
4) genetic data. A comprehensive testudacarine reference list is also included.
PMID- 27199587
TI - DNA barcoding reveals polymorphism in the pygmy grasshopper Tetrix bolivari
(Orthoptera, Tetrigidae).
AB - Many pygmy grasshopper species exhibit colour-marking polymorphism. However, this
polymorphism in some species, such as Tetrix bolivari, is almost unknown. The aim
of this work is to identify using DNA barcoding the colour-marking polymorphic
morphs of this pygmy grasshopper species collected from both grass and sand
microhabitats. Analysis by NJ clustering and pairwise distances indicated that
all specimens collected showing colour-marking polymorphism are species of Tetrix
bolivari. Haplotype network construction showed ten different haplotypes from a
total of 57 Tetrix bolivari individuals with H1(82.5%) being the most common type
and it also displayed low divergence within Tetrix bolivari population. The
haplotype analyses were consistent with the NJ clustering. Our field census
showed the frequency of Tetrix bolivari morphs differed significantly, with the
rank order of morphs (from high to low) typeA1, type B1, type A2, type A3, type
A4, type A5, type A6, type A7, type B2, type B3, and type B4. The most common
type A morphs were without contrasting markings, while the rarer type B morphs
have contrasting white markings. We suggest that type B morphs have greater
camouflage effects against natural backgrounds such as grass or sand than type A
morphs. Both our field census and haplotype analysis revealed that type A has
higher frequency and more haplotypes than type B.
PMID- 27199588
TI - Aspilota isfahanensis, a new species of the genus Aspilota Foerster, 1863 from
Iran (Hymenoptera, Braconidae, Alysiinae).
AB - A new species of Aspilota without mesoscutal pit, Aspilota isfahanensis Peris
Felipo, sp. n., is described and illustrated from Iran. The new species is
compared with its three morphologically most similar species, Aspilota
compressiventris Stelfox & Grahan, 1951, Aspilota makita Papp, 2008 and Aspilota
spiracula Munk & Peris-Felipo, 2013, is provided. A key to the western Asian
species of Aspilota is provided.
PMID- 27199589
TI - Four new species of Trigonopterus Fauvel from the island of New Britain
(Coleoptera, Curculionidae).
AB - The hyperdiverse genus Trigonopterus has its center of diversity in Melanesia,
but only a single species is recorded from the Bismarck Archipelago to date. Here
we describe four new species from the island of New Britain: Trigonopterus
chewbacca sp. n., Trigonopterus obsidianus sp. n., Trigonopterus puncticollis sp.
n. and Trigonopterus silaliensis sp. n. We provide cytochrome oxidase subunit I
(cox1) sequences of the new species and a key to all five species known from the
Bismarck Archipelago.
PMID- 27199590
TI - Ancient Himalayan wolf (Canis lupus chanco) lineage in Upper Mustang of the
Annapurna Conservation Area, Nepal.
AB - The taxonomic status of the wolf (Canis lupus) in Nepal's Trans-Himalaya is
poorly understood. Recent genetic studies have revealed the existence of three
lineages of wolves in the Indian sub-continent. Of these, the Himalayan wolf,
Canis lupus chanco, has been reported to be the most ancient lineage historically
distributed within the Nepal Himalaya. These wolves residing in the Trans
Himalayan region have been suggested to be smaller and very different from the
European wolf. During October 2011, six fecal samples suspected to have
originated from wolves were collected from Upper Mustang in the Annapurna
Conservation Area of Nepal. DNA extraction and amplification of the mitochondrial
(mt) control region (CR) locus yielded sequences from five out of six samples.
One sample matched domestic dog sequences in GenBank, while the remaining four
samples were aligned within the monophyletic and ancient Himalayan wolf clade.
These four sequences which matched each other, were new and represented a novel
Himalayan wolf haplotype. This result confirms that the endangered ancient
Himalayan wolf is extant in Nepal. Detailed genomic study covering Nepal's entire
Himalayan landscape is recommended in order to understand their distribution,
taxonomy and, genetic relatedness with other wolves potentially sharing the same
landscape.
PMID- 27199591
TI - Iranian terrestrial isopods of the family Cylisticidae Verhoeff, 1949 with a
description of a new species (Isopoda, Oniscidea).
AB - In the present study, the terrestrial isopods of the family Cylisticidae in Iran
are investigated. Geographical distributions of two formerly reported species
from Iran, namely Cylisticoides angulatus Schmalfuss, 2003 and Cylisticus
rotundifrons (Schmalfuss, 1986), are expanded. Cylisticus masalicus sp. n. is
described and its diagnostic characters are figured.
PMID- 27199592
TI - Description and life-cycle of Taenia lynciscapreoli sp. n. (Cestoda,
Cyclophyllidea).
AB - A new species of tapeworm, Taenia lynciscapreoli sp. n. (Cestoda,
Cyclophyllidea), is described from the Eurasian lynx (Lynx lynx), the main
definitive host, and the roe deer (Capreolus capreolus and Capreolus pygargus),
the main intermediate hosts, from Finland and Russia (Siberia and the Russian Far
East). The new species was found once also in the wolf (Canis lupus) and the
Eurasian elk/moose (Alces alces), representing accidental definitive and
intermediate hosts, respectively. The conspecificity of adult specimens and
metacestodes of Taenia lynciscapreoli sp. n. in various host species and regions,
and their distinction from related species of Taenia, was confirmed by partial
nucleotide sequences of the mitochondrial cytochrome c oxidase subunit 1 gene.
Morphologically, Taenia lynciscapreoli sp. n. can be separated unambiguously from
all other species of Taenia by the shape of its large rostellar hooks,
particularly the characteristically short, wide and strongly curved blade. If the
large rostellar hooks are missing, Taenia lynciscapreoli may be separated from
related species by a combination of morphological features of mature proglottids.
It is suggested that Taenia lynciscapreoli has been present in published
materials concerning the tapeworms of Lynx lynx and Lynx pardinus in Europe, but
has been misidentified as Taenia pisiformis (Bloch, 1780). Taenia lynciscapreoli
sp. n. has not been found in lynx outside the range of roe deer, suggesting a
transmission pathway based on a specific predator-prey relationship. The present
study applies a novel, simple approach to compare qualitative interspecific
differences in the shape of rostellar hooks.
PMID- 27199593
TI - Revision of sinistral land snails of the genus Camaena (Stylommatophora,
Camaenidae) from China based on morphological and molecular data, with
description of a new species from Guangxi, China.
AB - The camaenid land snail genus Camaena is widely distributed throughout Southeast
Asia. Thirteen species are found in China alone. Among these, Camaena cicatricosa
(Muller, 1774) is the most widely distributed species, including four subspecies,
Camaena cicatricosa ducalis (Ancey, 1885), Camaena cicatricosa inflata
(Mollendorff, 1885), Camaena cicatricosa obtecta (Fischer, 1898) and Camaena
cicatricosa connectens (Dautzenberg & Fischer, 1906). The systematics of these
taxa is revised herein based on comparative shell morphology and anatomy as well
as analyses of DNA sequences of two mitochondrial genes (COI, 16S rRNA) and one
nuclear marker, ITS2. We found that all subspecies form well-supported clades in
a molecular phylogeny and are well-differentiated from each other by genetic
distances that are consistent with amounts of interspecific differentiation. In
addition, they clearly differ from each other in reproductive features. Based on
these observations, we elevate all four subspecies to the rank of full species.
Moreover, based on morphological and mitochdondrial differentiation, we describe
a new species, Camaena poyuensis sp. n. from Guangxi, China. The new species
conspicuously differs from its sibling species Camaena cicatricosa in having a
larger and more depressed shell, a completely covered umbilicus, more or less
purplish peristome, an obtuse angle at the junction of the basal and columellar
lip, longer pedunculus of the bursa copulatrix, thicker epiphallus and penis, and
short conic verge. Previous named species are also redescribed on their shell and
anatomical characters, because the original descriptions are uninformative.
PMID- 27199594
TI - Pseudouroctonus maidu, a new species of scorpion from northern California
(Scorpiones, Vaejovidae).
AB - A new species of vaejovid scorpion from northern California, Pseudouroctonus
maidu sp. n., is named and described. This new species appears to be most similar
to Pseudouroctonus iviei (Gertsch & Soleglad, 1972) and Pseudouroctonus glimmei
(Hjelle, 1972).
PMID- 27199595
TI - Three new species of mealybug (Hemiptera, Coccomorpha, Pseudococcidae) on
persimmon fruit trees (Diospyros kaki) in southern Brazil.
AB - Brazil has the greatest insect diversity in the world; however, little is known
about its scale insect species (Hemiptera: Coccomorpha). Mealybugs
(Pseudococcidae) have been found in at least 50% of persimmon orchards Diospyros
kaki L. in the southern part of the country. In this study three new mealybug
species on persimmon trees located in the Serra Gaucha Region, RS, Brazil,
namely, Anisococcus granarae Pacheco da Silva & Kaydan, sp. n., Ferrisia kaki
Kaydan & Pacheco da Silva, sp. n. and Pseudococcus rosangelae Pacheco da Silva &
Kaydan, sp. n. are described. In addition, an identification key for the genera
occurring on fruit orchards and vineyards in Brazil is provided, together with
illustrations and molecular data for the new species.
PMID- 27199596
TI - Description of three new species of Arescon Walker (Hymenoptera, Mymaridae) from
China.
AB - Three new species of Arescon Walker, 1846, Arescon gaoligongensis Jin & Li, sp.
n., Arescon sparsiciliatus Jin & Li, sp. n. and Arescon stenopterus Jin & Li, sp.
n. are described. A key to the Chinese species is given and photomicrographs are
provided to illustrate morphological characters. All the specimens are deposited
in the insect collections of Northeast Forestry University, China.
PMID- 27199597
TI - Keylimepie peckorum gen. n. and sp. n., (Hymenoptera, Braconidae) from southern
Florida, U.S., the first known brachypterous member of the subfamily
Microgastrinae.
AB - Keylimepie peckorum Fernandez-Triana, gen. n. and sp. n., are described from
southern Florida, U.S. Females have the shortest wings (0.6-0.7 * body length) of
any known microgastrine wasp. The genus can also be recognized on features of the
head, propodeum and first three metasomal tergites. All specimens were collected
in hammock forests of the Florida Keys and Everglades National Park, but their
host caterpillar is unknown. Because its morphology is unique and it is the first
new microgastrine genus discovered in North America since 1985, the potential for
future conservation of the species is discussed.
PMID- 27199598
TI - Major range extensions for two genera of the parasitoid subtribe Facitorina, with
a new generic synonymy (Braconidae, Rogadinae, Yeliconini).
AB - The genera Conobregma van Achterberg and Facitorus van Achterberg are recorded
from the Afrotropical region and the Indian subcontinent, respectively, for the
first time, and two new species are described and illustrated: Conobregma
bradpitti Quicke & Butcher, sp. n. from South Africa and Facitorus nasseri
Ranjith & Quicke, sp. n. from India. Conobregma bradpitti sp. n. is intermediate
between Conobregma which was described originally from the New World, and
Asiabregma Belokobylskij, Zaldivar-Riveron & Maeto, which was coined for the S.
E. Asian and East Palaearctic (Japanese) species described under the name
Conobregma, plus more recently discovered taxa, but the differences between these
genera are few and slight. Of the four previously proposed diagnostic characters
for separating Asiabregma from Conobregma, the new species shares two with each,
and therefore, the two genera are formally synonymised. Facitorus was previously
known only from the East Palaearctic region and from S. E. Asia (Japan, Nepal,
Taiwan and Vietnam).
PMID- 27199599
TI - A new species of alpine Apenetretus Kurnakov from Taiwan: evidences from DNA
barcodes and morphological characteristics (Coleoptera, Carabidae, Patrobini).
AB - There are three isolated mountain ranges in Taiwan including Hsueshan Range,
Central Mountain Range, and Yushan Range. The rise of these mountains has
resulted in the isolation of some species and caused allopatric distribution
resulting in divergence and speciation events of high mountain carabids,
especially the flightless carabids such as Epaphiopsis, Apenetretus, and partial
Nebria. Genus Apenetretus Kurnakov (1960) is typically distributed in high
mountain areas of Taiwan. Three of the currently known Apenetretus species have
been described from different mountain ranges. These species include Apenetretus
yushanensis Habu, Apenetretus nanhutanus Habu, and Apenetretus smetanai
Zamotajlov and Sciaky. In this study, a new species is described from Hsueshan, a
mountain separated from the ranges of the previous known species, Apenetretus
hsueshanensis sp. n. A key to the Taiwanese Apenetretus is included. A
reconstructed phylogeny of the Taiwanese Apenetretus is introduced with the use
of mitochondrial cytochrome c oxidase subunit I (COI) gene. Molecular data and
geographical distribution of Apenetretus support the morphological
characteristics observed among those mountain-isolated species and confirms the
new species as being distinctly different. Moreover, lineage calibration suggests
that the southern Apenetretus yushanensis is the most distant one compared to the
other three northern Apenetretus at ca. 1.81 million years ago (mya), while the
divergence time of Apenetretus hsueshanensis to its sister group was dated to
0.94 mya.
PMID- 27199600
TI - What Azure blues occur in Canada? A re-assessment of Celastrina Tutt species
(Lepidoptera, Lycaenidae).
AB - The identity of Celastrina species in eastern Canada is reviewed based on larval
host plants, phenology, adult phenotypes, mtDNA barcodes and re-assessment of
published data. The status of the Cherry Gall Azure (Celastrina serotina Pavulaan
& Wright) as a distinct species in Canada is not supported by any dataset, and is
removed from the Canadian fauna. Previous records of this taxon are re-identified
as Celastrina lucia (Kirby) and Celastrina neglecta (Edwards). Evidence is
presented that both Celastrina lucia and Celastrina neglecta have a second,
summer-flying generation in parts of Canada. The summer generation of Celastrina
lucia has previously been misidentified as Celastrina neglecta, which differs in
phenology, adult phenotype and larval hosts from summer Celastrina lucia. DNA
barcodes are highly conserved among at least three North American Celastrina
species, and provide no taxonomic information. Celastrina neglecta has a Canadian
distribution restricted to southern Ontario, Manitoba, Saskatchewan and
easternmost Alberta. The discovery of museum specimens of Celastrina ladon
(Cramer) from southernmost Ontario represents a new species for the Canadian
butterfly fauna, which is in need of conservation status assessment.
PMID- 27199601
TI - DNA barcoding and morphological analyses revealed validity of Diadema clarki
Ikeda, 1939 (Echinodermata, Echinoidea, Diadematidae).
AB - A long-spined sea urchin Diadema-sp reported from Japanese waters was genetically
distinct from all known Diadema species, but it remained undescribed. Extensive
field surveys in Japan with molecular identification performed in the present
study determined five phenotypes (I to V) in Diadema-sp according to the presence
and/or shape of a white streak and blue iridophore lines in the naked space of
the interambulacral area. All phenotypes were distinct from Diadema setosum
(Leske, 1778) and Diadema savignyi (Audouin, 1829), of which a major type (I)
corresponded to Diadema clarki Ikeda, 1939 that was questioned and synonymized
with Diadema setosum by Mortensen (1940). The holotype of Diadema clarki has not
been found, but three unlabeled dried tests of Diadema were found among Ikeda's
original collection held in the Kitakyushu Museum of Natural History and Human
History, Fukuoka, Japan. A short mtDNA COI fragment (ca. 350bp) was amplified
from one of the tests, and the nucleotide sequence determined (275bp) was nearly
identical with that of Diadema-sp. Arrangements of the primary tubercles on the
coronal plates in Diadema-sp and the museum specimen also conformed with Diadema
clarki, indicating that Diadema-sp is identical to Diadema clarki and a valid
species. Narrow latitudinal distribution (31 degrees N to 35 degrees N) of
Diadema clarki in Japan was observed, where it co-existed with abundant Diadema
setosum and rare Diadema savignyi. No Diadema clarki was found in the southern
islands in Japan, such as Satsunan Islands to Ryukyu Islands and Ogasawara
Island, where Diadema setosum and Diadema savignyi were commonly observed.
PMID- 27199602
TI - Redescription and designation of a neotype for Caecum floridanum
(Littorinimorpha, Truncatelloidea, Caecidae) with a characterization of the
protoconch and growth stages.
AB - After an extensive search for the type specimens of Caecum floridanum Stimpson,
1851, we believe that these specimens may have been either lost or destroyed in
the Chicago fire (1871). This paper presents a redescription of the species and a
neotype is designated based on material from the type locality (Florida).
Protoconch and growth stages of Caecum floridanum are described and illustrated
herein. The teleoconch IV of Caecum floridanum is characterized by strong, wide,
low, rounded, closely arranged axial ribs, except last three to four preceding
the aperture, which are larger and more widely separated. Caecum compactum Dall,
1892 is here synonymized under Caecum floridanum. Caecum annulatum Emmons, 1858
and Caecum dux Folin, 1871 are not considered synonyms of Caecum floridanum in
this report.
PMID- 27199603
TI - Papiliocoelotes gen. n., a new genus of Coelotinae (Araneae, Agelenidae) spiders
from the Wuling Mountains, China.
AB - One new genus of the spider subfamily Coelotinae, Papiliocoelotes gen. n., with
five new species is described for both sexes: Papiliocoelotes guanyinensis sp.
n., Papiliocoelotes guitangensis sp. n., Papiliocoelotes jiepingensis sp. n.,
Papiliocoelotes meiyuensis sp. n., Papiliocoelotes yezhouensis sp. n. All new
species were collected from caves in the Wuling Mountains of Hubei and Hunan
Provinces, China. DNA barcodes were obtained for future use.
PMID- 27199604
TI - Megastigmus seed chalcids (Hymenoptera, Torymidae) radiated much more on
Angiosperms than previously considered. I- Description of 8 new species from
Kenya, with a key to the females of Eastern and Southern Africa.
AB - A survey of seed chalcids from woody plants in Kenya revealed 12 species
belonging to the genus Megastigmus Dalman, 1820, and has increased to 16 the
number of Megastigmus species presently recorded from the Afrotropical Region, of
which at least 13 are seed feeders. A key to female Megastigmus of the
Afrotropical Region is provided. Eight new species are described from
morphological evidence: Megastigmus lanneae Roques & Copeland, Megastigmus
laventhali Roques & Copeland, Megastigmus ozoroae Roques & Copeland, and
Megastigmus smithi Roques & Copeland in seeds of species of the family
Anacardiaceae, Megastigmus copelandi Roques & Copeland and Megastigmus grewianae
Roques & Copeland in seeds of Malvaceae, Megastigmus helinae Roques & Copeland in
seeds of Rhamnaceae, and Megastigmus icipeensis Roques & Copeland for which no
host is known. These collections include the first records of Malvaceae and
Rhamnaceae as hosts of Megastigmus seed chalcids, which appear to have radiated
in Angiosperms much more than previously considered. Analyses of the
mitochondrial (cytochrome oxidase subunit one - COI) and nuclear DNA (28S
ribosomal region) could be carried out on 8 of the 16 African species of which 5
were newly described ones. The species associated with Anacardiaceae always
clustered together in phylogenies, confirming the existence of a strong and
ancestral monophyletic clade, unlike the ones associated with Malvaceae and
Rhamnaceae, whose position remains unclear. All holotypes are deposited in the
National Museums of Kenya.
PMID- 27199605
TI - Records of Limoniidae and Pediciidae (Diptera) from Armenia, with the first
Armenian checklist of these families.
AB - Records of species of the families Limoniidae and Pediciidae are presented from
Armenia. A total of 38 species of Limoniidae and four species of Pediciidae are
listed. Of these, 27 species of Limoniidae and one species of Pediciidae
represent the first records from Armenia. The first checklist of these families
from Armenia is appended, containing 77 species of Limoniidae and six species of
Pediciidae.
PMID- 27199606
TI - A database on the distribution of butterflies (Lepidoptera) in northern Belgium
(Flanders and the Brussels Capital Region).
AB - In this data paper, we describe two datasets derived from two sources, which
collectively represent the most complete overview of butterflies in Flanders and
the Brussels Capital Region (northern Belgium). The first dataset (further
referred to as the INBO dataset - http://doi.org/10.15468/njgbmh) contains
761,660 records of 70 species and is compiled by the Research Institute for
Nature and Forest (INBO) in cooperation with the Butterfly working group of
Natuurpunt (Vlinderwerkgroep). It is derived from the database Vlinderdatabank at
the INBO, which consists of (historical) collection and literature data (1830
2001), for which all butterfly specimens in institutional and available personal
collections were digitized and all entomological and other relevant publications
were checked for butterfly distribution data. It also contains observations and
monitoring data for the period 1991-2014. The latter type were collected by a
(small) butterfly monitoring network where butterflies were recorded using a
standardized protocol. The second dataset (further referred to as the Natuurpunt
dataset - http://doi.org/10.15468/ezfbee) contains 612,934 records of 63 species
and is derived from the database http://waarnemingen.be, hosted at the nature
conservation NGO Natuurpunt in collaboration with Stichting Natuurinformatie.
This dataset contains butterfly observations by volunteers (citizen scientists),
mainly since 2008. Together, these datasets currently contain a total of
1,374,594 records, which are georeferenced using the centroid of their respective
5 * 5 km2 Universal Transverse Mercator (UTM) grid cell. Both datasets are
published as open data and are available through the Global Biodiversity
Information Facility (GBIF).
PMID- 27199607
TI - New species in the Sitalcina sura species group (Opiliones, Laniatores,
Phalangodidae), with evidence for a biogeographic link between California desert
canyons and Arizona sky islands.
AB - The western United States is home to numerous narrowly endemic harvestman taxa
(Arachnida, Opiliones), including members of the genus Sitalcina Banks, 1911.
Sitalcina is comprised of three species groups, including the monospecific
Sitalcina californica and Sitalcina lobata groups, and the Sitalcina sura group
with eight described species. All species in the Sitalcina sura group have very
small geographic distributions, with group members distributed like disjunct
"beads on a string" from Monterey south to southern California and southeast to
the sky-island mountain ranges of southern Arizona. Here, molecular phylogenetic
and species delimitation analyses were conducted for all described species in the
Sitalcina sura group, plus several newly discovered populations. Species trees
were reconstructed using multispecies coalescent methods implemented in *BEAST,
and species delimitation was accomplished using Bayes Factor Delimitation (BFD).
Based on quantitative species delimitation results supported by consideration of
morphological characters, two new species (Sitalcina oasiensis sp. n., Sitalcina
ubicki sp. n.) are described. We also provide a description of the previously
unknown male of Sitalcina borregoensis Briggs, 1968. Molecular phylogenetic
evidence strongly supports distinctive desert versus coastal clades, with desert
canyon taxa from southern California more closely related to Arizona taxa than to
geographically proximate California coastal taxa. We hypothesize that southern
ancestry and plate tectonics have played a role in the diversification history of
this animal lineage, similar to sclerophyllous plant taxa of the Madro-Tertiary
Geoflora. Molecular clock analyses for the Sitalcina sura group are generally
consistent with these hypotheses. We also propose that additional Sitalcina
species await discovery in the desert canyons of southern California and northern
Baja, and the mountains of northwestern mainland Mexico.
PMID- 27199608
TI - New species of Austropurcellia, cryptic short-range endemic mite harvestmen
(Arachnida, Opiliones, Cyphophthalmi) from Australia's Wet Tropics biodiversity
hotspot.
AB - The genus Austropurcellia is a lineage of tiny leaf-litter arachnids that inhabit
tropical rainforests throughout the eastern coast of Queensland, Australia. The
majority of their diversity is found within the Wet Tropics rainforests of
northeast Queensland, an area known for its exceptionally high levels of
biodiversity and endemism. Studying the biogeographic history of limited
dispersal invertebrates in the Wet Tropics can provide insight into the role of
climatic changes such as rainforest contraction in shaping rainforest
biodiversity patterns. Here we describe six new species of mite harvestmen from
the Wet Tropics rainforests, identified using morphological data, and discuss the
biogeography of Austropurcellia with distributions of all known species. With
this taxonomic contribution, the majority of the known diversity of the genus has
been documented.
PMID- 27199609
TI - Position of the dentifera-group in the Coronatella-branch and its relocation to a
new genus: Magnospina gen. n. (Crustacea, Chydoridae, Aloninae).
AB - Magnospina gen. n. was created to relocate species of the dentifera-group from
Alona sensu lato (Crustacea: Cladocera) and include Magnospina dentifera comb. n.
and Magnospina siamensis comb. n. The synapomorphies of the Magnospina gen. n.
are (1) basal spines longer than 2/3 of the postabdominal claw, (2) presence of 1
4 large denticles, broad at their bases, protruding downwards, without setules
between them. Morphological traits such as habitus, rostrum and postabdomen
shape, armature of IDL setae, number of setae on the exopod of limb III are also
important in the distinction between Magnospina gen. n. and other genera from the
Coronatella-branch. The morphology of Magnospina dentifera comb. n. male confirms
the closer relationship with the clade composed by the elgans-group from Alona
sensu lato, Ovalona and Leberis, but the external morphology, morphology of the
postabdominal claw, basal spine and setae 2-3 of IDL support their separation
from any of the group cited. It is concluded that the Coronatella-lineage of
Aloninae is composed of the genera Coronatella, Anthalona, Karualona, Bergamina,
Extremalona, Ovalona, Celsinotum, Leberis and Magnospina gen. n. The elegans
group from Alona sensu lato also belongs to Coronatella-lineage, but still need
formal allocation.
PMID- 27199610
TI - The larvae of the European species of genus Apataniana Mosely, 1936 (Trichoptera,
Apataniidae): descriptions, key and ecology.
AB - This paper describes the previously unknown or insufficiently known larvae of
Apataniana hellenica, Apataniana stropones and Apataniana vardusia. Species
association was enabled by the fact that the three micro-endemic Apataniana
larvae are restricted to Greece and the only Apataniidae species recorded in
European ecoregion 6 (Hellenic Western Balkan; Graf et al. 2008), and that the
endemic status of the three species clearly defined their non-overlapping
sampling ranges. Information on the morphology of the larvae is given, and the
most important diagnostic features are illustrated.
PMID- 27199611
TI - Taxonomic notes relating to Glenea diversenotata Schwarzer and G. quadriguttata
Pic (Coleoptera, Cerambycidae, Lamiinae).
AB - Glenea diversenotata Schwarzer, 1925 is reinstated from a subspecies of Glenea
tonkinea Aurivillius, 1925 to species level and Glenea neohumerosa Lin & Yang,
2011 is a new junior synonym. Some biological information on Glenea diversenotata
is recorded for the first time, including pictures of the larva and pupa. Glenea
quadriguttata Pic, 1926 is reinstated from a subspecies of Glenea lacteomaculata
Schwarzer, 1925 to species level. Lectotypes for Glenea lacteomaculata and Glenea
quadriguttata are designated. A modified key to the related species is presented.
PMID- 27199612
TI - A new genus and species of leaf-mining moth from the French Alps, Mercantouria
neli gen. n., sp. n. (Lepidoptera, Gracillariidae).
AB - The Alps are a hotspot of biodiversity in Europe with many Lepidoptera species
still to be discovered. Here we describe a new gracillariid genus and species,
Mercantouria neli gen. n. and sp. n. The morphology of the male genitalia is
highly differentiated with unique features. DNA barcodes show that its nearest
neighbor is the North American species 'Caloptilia' scutellariella (Braun, 1923).
Mercantouria neli is known from four adults (two males and two females) collected
at two localities in the French Alps. Its host plant and life cycle remain
unknown.
PMID- 27199613
TI - Extracorporeal Irradiation and Reimplantation with Total Hip Arthroplasty for
Periacetabular Pelvic Resections: A Review of 9 Cases.
AB - We report the early results of nine patients with periacetabular malignancies
treated with Enneking and Dunham type 2 resection and reconstruction using
extracorporeally irradiated (ECI) tumour bone combined with total hip
arthroplasty (THA). Diagnosis was chondrosarcoma in six patients, osteosarcoma in
two patients, and metastatic renal cell carcinoma in one patient. All patients
underwent surgical resection and the resected specimen was irradiated with 50 Gy
in a single fraction before being prepared for reimplantation as a composite
autograft. The mean follow-up was 21 months (range, 3-59). All patients were
alive at latest follow-up. No local recurrence was observed. One patient serially
developed three pulmonary metastases, all of which were resected. One experienced
hip dislocation due to incorrect seating of an acetabular liner. This was
successfully treated with revision of the liner with no further episodes of
instability. There were no cases of deep infection or loss of graft. The average
Musculoskeletal Tumor Society (MSTS) score was 75% (range, 57-87%). Type 2 pelvic
reconstruction with ECI and THA has shown excellent early oncological and
functional results in our series. Preservation of the gluteus maximus and hip
abductors is important for joint stability and prevention of infection.
PMID- 27199615
TI - Automated classification of vessel disease based on high-resolution intravascular
multi-parametric mapping MRI.
PMID- 27199614
TI - Multi-parametric MRI Assessment of Tumor Response to High-Intensity Focused
Ultrasound in a Rat Glioma Model.
PMID- 27199617
TI - Exploring the Reasons Behind Parental Refusal of Vaccines.
AB - Parental refusal of vaccines is a growing a concern for the increased occurrence
of vaccine preventable diseases in children. A number of studies have looked into
the reasons that parents refuse, delay, or are hesitant to vaccinate their
child(ren). These reasons vary widely between parents, but they can be
encompassed in 4 overarching categories. The 4 categories are religious reasons,
personal beliefs or philosophical reasons, safety concerns, and a desire for more
information from healthcare providers. Parental concerns about vaccines in each
category lead to a wide spectrum of decisions varying from parents completely
refusing all vaccinations to only delaying vaccinations so that they are more
spread out. A large subset of parents admits to having concerns and questions
about childhood vaccinations. For this reason, it can be helpful for pharmacists
and other healthcare providers to understand the cited reasons for hesitancy so
they are better prepared to educate their patients' families. Education is a key
player in equipping parents with the necessary information so that they can make
responsible immunization decisions for their children.
PMID- 27199616
TI - Pubertal Maturation Compression and Behavioral Impulsivity among Boys at
Increased Risk for Substance Use.
AB - OBJECTIVES: While early onset of puberty among girls has been related to
substance use involvement and other adverse outcomes, less research has examined
pubertal development and outcomes in boys. Further, research on puberty has not
been conducted in the context of other risk factors for substance use involvement
such as impulsivity. To address these gaps, this study characterized boys'
pubertal development from preadolescence to mid-adolescence and related it to
substance use risk and behavioral impulsivity. METHODS: A sample of 153 boys
completed the Pubertal Development Scale to assess perception of their pubertal
development relative to same age peers from ages 10 to 16 years, at 6-month
intervals. Group-based trajectory modeling identified three distinct patterns of
pubertal development: boys with more slowly developing boys with either earlier
(n = 54) or later (n = 43) pubertal timing, and boys with faster tempo of
pubertal development (n = 56). The groups were compared on demographic and
substance use risk characteristics, as well as behavioral measures of
impulsivity. RESULTS: Boys who had the accelerated progression through puberty
had the highest proportion of family histories of substance use disorder and
perform more impulsively on reward choice measures. CONCLUSIONS: Outcomes are
consistent within the Maturation Compression Hypothesis and social neuroscience
models of adolescent developmental risk.
PMID- 27199618
TI - Beyond Susceptible and Resistant, Part III: Treatment of Infections due to Gram
Negative Organisms Producing Carbapenemases.
AB - Carbapenemases are enzymes that are capable of inactivating all or almost all
beta-lactam antimicrobial agents. These enzymes are frequently coexpressed with
other resistance mechanisms to non-beta-lactams, leading to extremely drug
resistant pathogens. Once a curiosity, these enzymes have spread into organisms
that are among the most common causes of infection, such as Klebsiella pneumoniae
and Escherichia coli. Identification of these organisms has proved challenging
for clinical microbiology laboratories, leading to revisions in susceptibility
standards for carbapenems. Although currently a rare cause of infection in
children, these carbapenem-resistant Enterobacteriaceae (CRE) are becoming
endemic in a variety of healthcare settings. Management of infections due to CRE
is complicated by a lack of effective treatment options and clinical data on
their effectiveness. Treatment of CRE infections in children is particularly
challenging because therapeutic options for CRE lack adequate data on dosing and
safety in children. Use of unconventional combination treatment regimens,
including agents to which the organism is resistant in vitro, may provide some
benefit in the treatment of severe CRE infection. Fortunately, several agents
with the potential for treatment of CRE infections have been recently approved or
are in late clinical development, although few data will be available in the
short term to inform use in children.
PMID- 27199620
TI - Identification of Risk Factors for Elevated Neonatal Gentamicin Trough
Concentrations.
AB - OBJECTIVES: The objective of this study was to identify neonatal and maternal
characteristics that may be associated with elevated neonatal gentamicin trough
concentrations despite application of a previously published gentamicin dosage
strategy. METHODS: Retrospective cohort study of all neonates admitted to
University of Vermont Medical Center (562-bed academic teaching hospital,
Burlington, VT) receiving gentamicin between June 1, 2009, and August 31, 2013. A
total of 205 neonates were included, with 41 cases and 164 controls. RESULTS:
Postmenstrual age (PMA, gestational age plus chronological age) and small-for
gestational age (SGA) status were independently associated with elevated neonatal
gentamicin trough concentrations. No maternal risk factor evaluated remained
significantly associated in the multivariate analysis. CONCLUSIONS: The
probability of an elevated gentamicin trough concentration increases with lower
PMA and is further accentuated in neonates with SGA status. In contrast, the
presence of maternal risk factors did not increase the likelihood of elevated
gentamicin trough concentrations. Neonates with lower PMA and SGA status may
require an individualized dosage and monitoring strategy.
PMID- 27199619
TI - Pharmacologic Treatment for Pediatric Gastroparesis: A Review of the Literature.
AB - There have been a number of agents that have been tried for treatment of
gastroparesis over the past 3 decades, with varying levels of success. Guidelines
exist for the management of gastroparesis in adults; however, even though the
cause of gastroparesis in children is similar to that in adults, no guidelines
exist for treating pediatric gastroparesis as studies on the topic are limited.
With what little information we have on pediatric gastroparesis, medications used
in children's studies do not seem to demonstrate the same results as in adult
patients with gastroparesis; thus, future studies of whether certain medications
are effective for treating pediatric gastroparesis and at what dose still need to
be conducted. Pharmacological treatment options for pediatric gastroparesis do
not show a clear correlation of resolving or even maintaining gastroparesis
associated symptoms or disease state. This article reviews the available studies
of drugs that have shown some efficacy, with an emphasis on pediatric studies.
PMID- 27199621
TI - Once Daily Dosing of Ceftriaxone and Metronidazole in Children With Perforated
Appendicitis.
AB - OBJECTIVES: The aim of this study was to compare hospital length of stay and rate
of infectious complications in children with perforated appendicitis based on the
postoperative antibiotic administered. METHODS: This study was a retrospective
analysis of children with perforated appendicitis who underwent an appendectomy
at a large academic medical center from 2008 to 2013. The primary outcome was
hospital length of stay. The secondary outcomes were rates of abscess formation,
wound infection, and 30-day readmissions. RESULTS: One hundred and twenty-three
patients were included. Sixty-six patients (53%) were administered ceftriaxone
and metronidazole once daily; 57 (47%) were administered other antibiotic
regimens, which consisted of single, double, or triple antibiotic therapy with a
beta-lactam backbone. There was no difference between the groups in terms of
postoperative length of stay (5.7 versus 5.8 days, p = 0.83), postoperative
abscess rate (8% versus 4%, p = 0.57), postoperative wound infection rate (5%
versus 2%, p = 0.73), and 30-day readmissions (3% versus 11%, p = 0.19).
CONCLUSIONS: While there was no statistically significant difierence in the
outcomes evaluated, the rate of infectious complications was twofold higher in
those given ceftriaxone and metronidazole than in others. A larger prospective
randomized controlled trial is warranted to better understand the risks of using
these agents.
PMID- 27199622
TI - Comparison of Intravenous Palivizumab and Standard of Care for Treatment of
Respiratory Syncytial Virus Infection in Mechanically Ventilated Pediatric
Patients.
AB - OBJECTIVES: Evidence suggests palivizumab may be beneficial for respiratory
syncytial virus (RSV) infection in pediatric patients, although it is only
approved by the US Food and Drug Administration for RSV prophylaxis. The
objective of this study is to compare outcomes among pediatric patients with RSV
infection who received intravenous palivizumab and standard of care versus
standard of care alone. METHODS: This is a retrospective, single-center cohort
study conducted between November 2003 and October 2013. Pediatric patients with
active RSV infection treated with intravenous (IV) palivizumab after initiation
of mechanical ventilation were matched 1:1 to a control selected from ventilated
patients who received standard of care. The primary end point evaluated the
duration of mechanical ventilation between groups. Secondary end points included
hospital length of stay, intensive care unit length of stay, duration of
respiratory support over baseline, time to RSV microbiologic cure, duration of
antibiotic therapy, and in-hospital mortality. RESULTS: A total of 22 patients
with a median age of 3 months were included in the study. Patients in the
treatment group received a median of 2 doses of IV palivizumab, with a mean dose
of 14.2 mg/kg. All patients received bronchodilators and corticosteroids, with
the exception of 1 patient in the control group, and only 1 treatment group
patient received IV ribavirin. Duration of mechanical ventilation was longer in
the treatment group (18.9 +/- 9.5 vs. 14.3 +/- 9.3 days; p = 0.26). No
statistically significant differences were observed between groups for any of the
secondary end points. CONCLUSIONS: Pediatric patients who received IV palivizumab
in addition to standard of care for treatment of RSV infection following
initiation of mechanical ventilation experienced similar outcomes to those who
received standard of care alone. Further studies are necessary to evaluate the
potential benefit of IV palivizumab in addition to current standard of care.
PMID- 27199623
TI - Evaluation of Vancomycin Dosing in Pediatric Cystic Fibrosis Patients.
AB - OBJECTIVES: The presence of methicillin-resistant Staphylococcus aureus (MRSA) in
cystic fibrosis (CF) patients' sputa is associated with a decline in pulmonary
function and increased mortality. Vancomycin is the preferred treatment for MRSA
pneumonia in children. No published studies have evaluated the vancomycin dose
needed to achieve goal vancomycin trough concentrations (VTCs; 15-20 mg/L) in
pediatric patients with CF. The primary objective is to determine whether a
vancomycin dosage of 60 mg/kg/day achieves a goal VTC in pediatric CF patients.
Secondary objectives include determining the average dosage required to reach a
goal VTC and the impact of achieving a goal VTC on estimated glomerular
filtration rate (eGFR) and pulmonary function. METHODS: A retrospective review of
pediatric patients with CF who received vancomycin was conducted. RESULTS: A
total of 90 vancomycin treatment courses were analyzed. Standard vancomycin
dosing (60 mg/kg/day) achieved goal VTC in 11 courses (12.2%). The mean dosage
required to achieve a goal VTC for all courses was 70.6 +/- 16.7 mg/kg/day.
Patients who achieved goal VTCs were more often older, weighed more, and had
higher serum creatinine concentrations at therapy initiation. On average, a
dosage of 70.6 mg/kg/day was required to achieve a goal VTC. Despite dosages up
to 120 mg/kg/day, no significant changes in renal function occurred. Achieving a
goal VTC had no significant impact on eGFR or pulmonary function during therapy.
CONCLUSIONS: Vancomycin dosing of 60 mg/kg/day does not reliably achieve a VTC of
15 to 20 mg/L in pediatric CF patients. Younger CF patients may require higher
vancomycin doses.
PMID- 27199624
TI - Response of Iron Deficiency Anemia to Intravenous Iron Sucrose in Pediatric
Inflammatory Bowel Disease.
AB - OBJECTIVES: The objective of this retrospective study was to evaluate the safety
and efficacy of intravenous iron sucrose (IS) in iron deficient children with
inflammatory bowel disease (IBD) in remission. METHODS: Electronic medical
records at a university based pediatric children's hospital were searched for
patients in age range 0 to 18 years with diagnosis of IBD and treatment with IS
over a 1-year period. Response to IS treatment was assessed by posttreatment
changes in ferritin, hemoglobin (Hb), and mean corpuscular volume (MCV). Patients
with recorded symptoms of active disease were excluded from analysis of treatment
response. RESULTS: Twelve patients were identified by the search criteria, 10
with Crohn's disease (CD), 2 with ulcerative colitis (UC). Data represent 8
patients in remission, 7 with CD and 1 with UC, who received a total of 34 IS
infusions. Iron sucrose was administered in cycles of 2 infusions, 2.5 to 3.5
mg/kg/dose (maximum 200 mg), 1 week apart. Mean ferritin increased from 21.4 +/-
9.2 to 52.9 +/- 10.1 ng/mL (p = 0.0005), Hb from 10.9 +/- 0.4 to 11.3 +/- 0.3
g/dL (p = 0.02), and MCV from 76.9 +/- 2 to 79.4 +/- 2 fl (p = 0.006). Iron
sucrose treatment normalized ferritin in 6 of 7, Hb in 2 of 8, and MCV in 2 of 5
patients with low pretreatment levels. No adverse effects were recorded.
CONCLUSIONS: Two IS infusions of 2.5 to 3.5 mg/kg/dose (maximum 200 mg), given 1
week apart normalized ferritin levels in most pediatric IBD patients in remission
without adverse effects. Further studies are needed to determine optimal dosing
schedules.
PMID- 27199625
TI - Acute Kidney Injury in a Child Receiving Vancomycin and Piperacillin/Tazobactam.
AB - Recent reports have described increased risk of acute kidney injury (AKI) in
adults receiving concomitant vancomycin and piperacillin/tazobactam, but few
reports exist in children. We describe an 8-year-old girl who was admitted to the
pediatric intensive care unit with respiratory distress secondary to pneumonia.
She began treatment with vancomycin and piperacillin/tazobactam. She developed
AKI, and piperacillin/tazobactam and vancomycin were discontinued. Following a
furosemide infusion, her AKI resolved and serum creatinine returned to baseline.
She later resumed piperacillin/tazobactam monotherapy for multidrug-resistant
tracheitis with no evidence of AKI and was eventually discharged to a long-term
care facility. The Naranjo probability scale supports a probable drug-related
adverse event. Clinicians must be aware of the possibility of AKI with this
combination and should monitor renal function and vancomycin concentrations
vigilantly. Future prospective studies are needed to explore the incidence and
clinical characteristics associated with AKI after this combination in children.
PMID- 27199627
TI - Pediatric News.
PMID- 27199626
TI - Communication Strategies for Empowering and Protecting Children.
AB - Communication with children and adolescents is an area that requires special
attention. It is our job as health care professionals to ensure that the
information being relayed is provided at a level that can be understood, to
ensure patient safety as well as keep a child or adolescent engaged in their own
medical care and decision making. This article discusses the importance of
communication with children, adolescents, and their caregivers. It focuses on the
overall importance of health literacy in communicating health care information to
both caregivers and their children. Included are points to consider when
communicating at different developmental stages, as well as strategies to help
establish rapport. Lastly, the importance of technology and how it can help
facilitate communication with this population is introduced.
PMID- 27199628
TI - LacaScore: a novel plasma sample quality control tool based on ascorbic acid and
lactic acid levels.
AB - INTRODUCTION: Metabolome analysis is complicated by the continuous dynamic
changes of metabolites in vivo and ex vivo. One of the main challenges in
metabolomics is the robustness and reproducibility of results, partially driven
by pre-analytical variations. OBJECTIVES: The objective of this study was to
analyse the impact of pre-centrifugation time and temperature, and to determine a
quality control marker in plasma samples. METHODS: Plasma metabolites were
measured by gas chromatography-mass spectrometry (GC-MS) and analysed with the
MetaboliteDetector software. The metabolites, which were the most labile to pre
analytical variations, were further measured by enzymatic assays. A score was
calculated for their use as quality control markers. RESULTS: The pre
centrifugation temperature was shown to be critical in the stability of plasma
samples and had a significant impact on metabolite concentration profiles. In
contrast, pre-centrifugation delay had only a minor impact. Based on the results
of this study, whole blood should be kept on wet ice and centrifuged within
maximum 3 h as a prerequisite for preparing EDTA plasma samples fit for the
purpose of metabolome analysis. CONCLUSIONS: We have established a novel blood
sample quality control marker, the LacaScore, based on the ascorbic acid to
lactic acid ratio in plasma, which can be used as an indicator of the blood pre
centrifugation conditions, and hence the suitability of the sample for metabolome
analyses. This method can be applied in research institutes and biobanks,
enabling assessment of the quality of their plasma sample collections.
PMID- 27199629
TI - Postural Instability in Children with ADHD Is Improved by Methylphenidate.
AB - HIGHLIGHTS Both spatial and temporal analyses of the Center of Pressure
demonstrate that children with ADHD have poorer postural control than typically
developing sex-, age-, and IQ-matched children.Poor sensory integration in
postural control could partially explained the deficits in postural stability in
children with ADHD.MPH treatment improves postural performance in both spatial
and temporal domains in children with ADHD.MPH improves postural control
specifically when visual and proprioceptive inputs are misleading.Such
improvement could be due to MPH effects on neurons, facilitating cerebellar
processing of postural control. The aim of this study was to examine postural
control in children with ADHD and explore the effect of methylphenidate (MPH),
using spatial and temporal analyses of the center of pressure (CoP). Thirty-eight
children with ADHD (mean age 9.82 +/- 0.37 years) and 38 sex- age- and IQ-matched
children with typically development were examined. Postural stability was
evaluated using the Multitest Equilibre machine (Framiral(r)) at inclusion and
after 1 month of MPH in children with ADHD. Postural stability was assessed by
recording under several conditions: with eyes open and fixed on a target, with
eyes closed and with vision perturbed by optokinetic stimulation, on stable and
unstable platforms. At inclusion, we observed poor spatial and temporal postural
stability in children with ADHD. The spectral power index was higher in children
with ADHD than in controls. Canceling time was shorter at low and medium
frequencies of oscillation and longer at higher frequencies in children with
ADHD. After 1 month of MPH, the surface area and mean velocity of the CoP
decreased significantly under the most complex conditions (unstable platform in
the absence of proprioceptive and visual inputs). The spectral power index
decreased significantly after MPH while the canceling time did not change. Poor
postural control in children with ADHD supports the hypothesis of cerebellar
dysfunction in this disorder. Postural control could be improved by a more
efficient processing of sensory inputs (a high-level process), as suggested by
the decrease in spectral power index after MPH without changes in the canceling
time (a low-level process).
PMID- 27199630
TI - Single Trial Predictors for Gating Motor-Imagery Brain-Computer Interfaces Based
on Sensorimotor Rhythm and Visual Evoked Potentials.
AB - For brain-computer interfaces (BCIs) that utilize visual cues to direct the user,
the neural signals extracted by the computer are representative of ongoing
processes, visual evoked responses, and voluntary modulation. We proposed to use
three brain signatures for predicting success on a single trial of a BCI task.
The first two features, the amplitude and phase of the pre-trial mu amplitude,
were chosen as a correlate for cortical excitability. The remaining feature,
related to the visually evoked response to the cue, served as a possible measure
of fixation and attention to the task. Of these three features, mu rhythm
amplitude over the central electrodes at the time of cue presentation and to a
lesser extent the single trial visual evoked response were correlated with the
success on the subsequent imagery task. Despite the potential for gating trials
using these features, an offline gating simulation was limited in its ability to
produce an increase in device throughput. This discrepancy highlights a
distinction between the identification of predictive features, and the use of
this knowledge in an online BCI. Using such a system, we cannot assume that the
user will respond similarly when faced with a scenario where feedback is altered
by trials that are gated on a regular basis. The results of this study suggest
the possibility of using individualized, pre-task neural signatures for
personalized, and asynchronous (self-paced) BCI applications, although these
effects need to be quantified in a real-time adaptive scenario in a future study.
PMID- 27199631
TI - Human Brain Expansion during Evolution Is Independent of Fire Control and
Cooking.
AB - What makes humans unique? This question has fascinated scientists and
philosophers for centuries and it is still a matter of intense debate. Nowadays,
human brain expansion during evolution has been acknowledged to explain our
empowered cognitive capabilities. The drivers for such accelerated expansion
remain, however, largely unknown. In this sense, studies have suggested that the
cooking of food could be a pre-requisite for the expansion of brain size in early
hominins. However, this appealing hypothesis is only supported by a mathematical
model suggesting that the increasing number of neurons in the brain would
constrain body size among primates due to a limited amount of calories obtained
from diets. Here, we show, by using a similar mathematical model, that a tradeoff
between body mass and the number of brain neurons imposed by dietary constraints
during hominin evolution is unlikely. Instead, the predictable number of neurons
in the hominin brain varies much more in function of foraging efficiency than
body mass. We also review archeological data to show that the expansion of the
brain volume in the hominin lineage is described by a linear function independent
of evidence of fire control, and therefore, thermal processing of food does not
account for this phenomenon. Finally, we report experiments in mice showing that
thermal processing of meat does not increase its caloric availability in mice.
Altogether, our data indicate that cooking is neither sufficient nor necessary to
explain hominin brain expansion.
PMID- 27199632
TI - DNA Methylation Profiling at Single-Base Resolution Reveals Gestational Folic
Acid Supplementation Influences the Epigenome of Mouse Offspring Cerebellum.
AB - It is becoming increasingly more evident that lifestyle, environmental factors,
and maternal nutrition during gestation can influence the epigenome of the
developing fetus and thus modulate the physiological outcome. Variations in the
intake of maternal nutrients affecting one-carbon metabolism may influence brain
development and exert long-term effects on the health of the progeny. In this
study, we investigated whether supplementation with high maternal folic acid
during gestation alters DNA methylation and gene expression in the cerebellum of
mouse offspring. We used reduced representation bisulfite sequencing to analyze
the DNA methylation profile at the single-base resolution level. The genome-wide
DNA methylation analysis revealed that supplementation with higher maternal folic
acid resulted in distinct methylation patterns (P < 0.05) of CpG and non-CpG
sites in the cerebellum of offspring. Such variations of methylation and gene
expression in the cerebellum of offspring were highly sex-specific, including
several genes of the neuronal pathways. These findings demonstrate that
alterations in the level of maternal folic acid during gestation can influence
methylation and gene expression in the cerebellum of offspring. Such changes in
the offspring epigenome may alter neurodevelopment and influence the functional
outcome of neurologic and psychiatric diseases.
PMID- 27199633
TI - TRIO Platform: A Novel Low Profile In vivo Imaging Support and Restraint System
for Mice.
AB - High resolution, in vivo optical imaging of the mouse brain over time often
requires anesthesia, which necessitates maintaining the animal's body temperature
and level of anesthesia, as well as securing the head in an optimal, stable
position. Controlling each parameter usually requires using multiple systems.
Assembling multiple components into the small space on a standard microscope
stage can be difficult and some commercially available parts simply do not fit.
Furthermore, it is time-consuming to position an animal in the identical position
over multiple imaging sessions for longitudinal studies. This is especially true
when using an implanted gradient index (GRIN) lens for deep brain imaging. The
multiphoton laser beam must be parallel with the shaft of the lens because even a
slight tilt of the lens can degrade image quality. In response to these
challenges, we have designed a compact, integrated in vivo imaging support system
to overcome the problems created by using separate systems during optical imaging
in mice. It is a single platform that provides (1) sturdy head fixation, (2) an
integrated gas anesthesia mask, and (3) safe warm water heating. This THREE-IN
ONE (TRIO) Platform has a small footprint and a low profile that positions a
mouse's head only 20 mm above the microscope stage. This height is about one half
to one third the height of most commercially available immobilization devices. We
have successfully employed this system, using isoflurane in over 40 imaging
sessions with an average of 2 h per session with no leaks or other malfunctions.
Due to its smaller size, the TRIO Platform can be used with a wider range of
upright microscopes and stages. Most of the components were designed in
SOLIDWORKS(r) and fabricated using a 3D printer. This additive manufacturing
approach also readily permits size modifications for creating systems for other
small animals.
PMID- 27199636
TI - Editorial: Mechanisms of Neuronal Migration during Corticogenesis.
PMID- 27199635
TI - Effects of Amoxicillin and Augmentin on Cystine-Glutamate Exchanger and Glutamate
Transporter 1 Isoforms as well as Ethanol Intake in Alcohol-Preferring Rats.
AB - Alcohol dependence is associated with alteration of glutamate transport and
glutamate neurotransmission. Glutamate transporter 1 (GLT-1) is a major
transporter that regulates the majority of extracellular glutamate concentration,
which is also regulated by cystine-glutamate exchanger (xCT). Importantly, we
recently reported that amoxicillin and Augmentin (amoxicillin/clavulanate)
upreglulated GLT-1 expression in nucleus accumbens (NAc) and prefrontal cortex
(PFC) as well as reduced ethanol consumption in male P rats. In this study, we
examined the effects of amoxicillin and Augmentin on GLT-1 isoforms (GLT-1a and
GLT-1b), xCT, and glutamate/aspartate transporter (GLAST) expression in NAc and
PFC as well as ethanol intake in male P rats. We found that both compounds
significantly reduced ethanol intake, and increased GLT-1a, GLT-1b, and xCT
expression in NAc. However, only Augmentin increased GLT-1a, GLT-1b, and xCT
expression in PFC. There were no effects of these compounds on GLAST expression
in NAc and PFC. These findings demonstrated that Augmentin and amoxicillin have
the potential to upregulate GLT-1 isoforms and xCT expression, and consequently
attenuate ethanol dependence.
PMID- 27199634
TI - The International Deep Brain Stimulation Registry and Database for Gilles de la
Tourette Syndrome: How Does It Work?
AB - Tourette Syndrome (TS) is a neuropsychiatric disease characterized by a
combination of motor and vocal tics. Deep brain stimulation (DBS), already widely
utilized for Parkinson's disease and other movement disorders, is an emerging
therapy for select and severe cases of TS that are resistant to medication and
behavioral therapy. Over the last two decades, DBS has been used experimentally
to manage severe TS cases. The results of case reports and small case series have
been variable but in general positive. The reported interventions have, however,
been variable, and there remain non-standardized selection criteria, various
brain targets, differences in hardware, as well as variability in the programming
parameters utilized. DBS centers perform only a handful of TS DBS cases each
year, making large-scale outcomes difficult to study and to interpret. These
limitations, coupled with the variable effect of surgery, and the overall small
numbers of TS patients with DBS worldwide, have delayed regulatory agency
approval (e.g., FDA and equivalent agencies around the world). The Tourette
Association of America, in response to the worldwide need for a more organized
and collaborative effort, launched an international TS DBS registry and database.
The main goal of the project has been to share data, uncover best practices,
improve outcomes, and to provide critical information to regulatory agencies. The
international registry and database has improved the communication and
collaboration among TS DBS centers worldwide. In this paper we will review some
of the key operation details for the international TS DBS database and registry.
PMID- 27199639
TI - Evaluation of Event-Based Algorithms for Optical Flow with Ground-Truth from
Inertial Measurement Sensor.
AB - In this study we compare nine optical flow algorithms that locally measure the
flow normal to edges according to accuracy and computation cost. In contrast to
conventional, frame-based motion flow algorithms, our open-source implementations
compute optical flow based on address-events from a neuromorphic Dynamic Vision
Sensor (DVS). For this benchmarking we created a dataset of two synthesized and
three real samples recorded from a 240 * 180 pixel Dynamic and Active-pixel
Vision Sensor (DAVIS). This dataset contains events from the DVS as well as
conventional frames to support testing state-of-the-art frame-based methods. We
introduce a new source for the ground truth: In the special case that the
perceived motion stems solely from a rotation of the vision sensor around its
three camera axes, the true optical flow can be estimated using gyro data from
the inertial measurement unit integrated with the DAVIS camera. This provides a
ground-truth to which we can compare algorithms that measure optical flow by
means of motion cues. An analysis of error sources led to the use of a refractory
period, more accurate numerical derivatives and a Savitzky-Golay filter to
achieve significant improvements in accuracy. Our pure Java implementations of
two recently published algorithms reduce computational cost by up to 29% compared
to the original implementations. Two of the algorithms introduced in this paper
further speed up processing by a factor of 10 compared with the original
implementations, at equal or better accuracy. On a desktop PC, they run in real
time on dense natural input recorded by a DAVIS camera.
PMID- 27199638
TI - Decoding of Covert Vowel Articulation Using Electroencephalography Cortical
Currents.
AB - With the goal of providing assistive technology for the communication impaired,
we proposed electroencephalography (EEG) cortical currents as a new approach for
EEG-based brain-computer interface spellers. EEG cortical currents were estimated
with a variational Bayesian method that uses functional magnetic resonance
imaging (fMRI) data as a hierarchical prior. EEG and fMRI data were recorded from
ten healthy participants during covert articulation of Japanese vowels /a/ and
/i/, as well as during a no-imagery control task. Applying a sparse logistic
regression (SLR) method to classify the three tasks, mean classification accuracy
using EEG cortical currents was significantly higher than that using EEG sensor
signals and was also comparable to accuracies in previous studies using
electrocorticography. SLR weight analysis revealed vertices of EEG cortical
currents that were highly contributive to classification for each participant,
and the vertices showed discriminative time series signals according to the three
tasks. Furthermore, functional connectivity analysis focusing on the highly
contributive vertices revealed positive and negative correlations among areas
related to speech processing. As the same findings were not observed using EEG
sensor signals, our results demonstrate the potential utility of EEG cortical
currents not only for engineering purposes such as brain-computer interfaces but
also for neuroscientific purposes such as the identification of neural signaling
related to language processing.
PMID- 27199637
TI - Deep Brain Stimulation: A Paradigm Shifting Approach to Treat Parkinson's
Disease.
AB - Parkinson disease (PD) is a chronic and progressive movement disorder classically
characterized by slowed voluntary movements, resting tremor, muscle rigidity, and
impaired gait and balance. Medical treatment is highly successful early on,
though the majority of people experience significant complications in later
stages. In advanced PD, when medications no longer adequately control motor
symptoms, deep brain stimulation (DBS) offers a powerful therapeutic alternative.
DBS involves the surgical implantation of one or more electrodes into specific
areas of the brain, which modulate or disrupt abnormal patterns of neural
signaling within the targeted region. Outcomes are often dramatic following DBS,
with improvements in motor function and reductions motor complications having
been repeatedly demonstrated. Given such robust responses, emerging indications
for DBS are being investigated. In parallel with expansions of therapeutic scope,
advancements within the areas of neurosurgical technique and the precision of
stimulation delivery have recently broadened as well. This review focuses on the
revolutionary addition of DBS to the therapeutic armamentarium for PD, and
summarizes the technological advancements in the areas of neuroimaging and
biomedical engineering intended to improve targeting, programming, and overall
management.
PMID- 27199640
TI - One for All? Hitting Multiple Alzheimer's Disease Targets with One Drug.
AB - HIGHLIGHTS Many AD target combinations are being explored for multi-target drug
design.New databases and models increase the potential of computational drug
designLiraglutide and other antidiabetics are strong candidates for repurposing
to AD.Donecopride a dual 5-HT/AChE inhibitor shows promise in pre-clinical
studies Alzheimer's Disease is a complex and multifactorial disease for which the
mechanism is still not fully understood. As new insights into disease progression
are discovered, new drugs must be designed to target those aspects of the disease
that cause neuronal damage rather than just the symptoms currently addressed by
single target drugs. It is becoming possible to target several aspects of the
disease pathology at once using multi-target drugs (MTDs). Intended as an
introduction for non-experts, this review describes the key MTD design
approaches, namely structure-based, in silico, and data-mining, to evaluate what
is preventing compounds progressing through the clinic to the market. Repurposing
current drugs using their off-target effects reduces the cost of development,
time to launch, and the uncertainty associated with safety and pharmacokinetics.
The most promising drugs currently being investigated for repurposing to
Alzheimer's Disease are rasagiline, originally developed for the treatment of
Parkinson's Disease, and liraglutide, an antidiabetic. Rational drug design can
combine pharmacophores of multiple drugs, systematically change functional
groups, and rank them by virtual screening. Hits confirmed experimentally are
rationally modified to generate an effective multi-potent lead compound. Examples
from this approach are ASS234 with properties similar to rasagiline, and
donecopride, a hybrid of an acetylcholinesterase inhibitor and a 5-HT4 receptor
agonist with pro-cognitive effects. Exploiting these interdisciplinary
approaches, public-private collaborative lead factories promise faster delivery
of new drugs to the clinic.
PMID- 27199642
TI - Clarifying CLARITY: Quantitative Optimization of the Diffusion Based Delipidation
Protocol for Genetically Labeled Tissue.
AB - Tissue clarification has been recently proposed to allow deep tissue imaging
without light scattering. The clarification parameters are somewhat arbitrary and
dependent on tissue type, source and dimension: every laboratory has its own
protocol, but a quantitative approach to determine the optimum clearing time is
still lacking. Since the use of transgenic mouse lines that express fluorescent
proteins to visualize specific cell populations is widespread, a quantitative
approach to determine the optimum clearing time for genetically labeled neurons
from thick murine brain slices using CLARITY2 is described. In particular, as the
main objective of the delipidation treatment is to clarify tissues, while
limiting loss of fluorescent signal, the "goodness" of clarification was
evaluated by considering the bulk tissue clarification index (BTCi) and the
fraction of the fluorescent marker retained in the slice as easily quantifiable
macroscale parameters. Here we describe the approach, illustrating an example of
how it can be used to determine the optimum clearing time for 1 mm-thick
cerebellar slice from transgenic L7GFP mice, in which Purkinje neurons express
the GFP (green fluorescent protein) tag. To validate the method, we evaluated
confocal stacks of our samples using standard image processing indices (i.e., the
mean pixel intensity of neurons and the contrast-to-noise ratio) as figures of
merit for image quality. The results show that detergent-based delipidation for
more than 5 days does not increase tissue clarity but the fraction of GFP in the
tissue continues to diminish. The optimum clearing time for 1 mm-thick slices was
thus identified as 5 days, which is the best compromise between the increase in
light penetration depth due to removal of lipids and a decrease in fluorescent
signal as a consequence of protein loss: further clearing does not improve tissue
transparency, but only leads to more protein removal or degradation. The rigorous
quantitative approach described can be generalized to any clarification method to
identify the moment when the clearing process should be terminated to avoid
useless protein loss.
PMID- 27199641
TI - Alzheimer's Disease and Hippocampal Adult Neurogenesis; Exploring Shared
Mechanisms.
AB - New neurons incorporate into the granular cell layer of the dentate gyrus
throughout life. Neurogenesis is modulated by behavior and plays a major role in
hippocampal plasticity. Along with older mature neurons, new neurons structure
the dentate gyrus, and determine its function. Recent data suggest that the level
of hippocampal neurogenesis is substantial in the human brain, suggesting that
neurogenesis may have important implications for human cognition. In support of
that, impaired neurogenesis compromises hippocampal function and plays a role in
cognitive deficits in Alzheimer's disease mouse models. We review current work
suggesting that neuronal differentiation is defective in Alzheimer's disease,
leading to dysfunction of the dentate gyrus. Additionally, alterations in
critical signals regulating neurogenesis, such as presenilin-1, Notch 1, soluble
amyloid precursor protein, CREB, and beta-catenin underlie dysfunctional
neurogenesis in Alzheimer's disease. Lastly, we discuss the detectability of
neurogenesis in the live mouse and human brain, as well as the therapeutic
implications of enhancing neurogenesis for the treatment of cognitive deficits
and Alzheimer's disease.
PMID- 27199643
TI - Signal Fluctuation Sensitivity: An Improved Metric for Optimizing Detection of
Resting-State fMRI Networks.
AB - Task-free connectivity analyses have emerged as a powerful tool in functional
neuroimaging. Because the cross-correlations that underlie connectivity measures
are sensitive to distortion of time-series, here we used a novel dynamic phantom
to provide a ground truth for dynamic fidelity between blood oxygen level
dependent (BOLD)-like inputs and fMRI outputs. We found that the de facto quality
metric for task-free fMRI, temporal signal to noise ratio (tSNR), correlated
inversely with dynamic fidelity; thus, studies optimized for tSNR actually
produced time-series that showed the greatest distortion of signal dynamics.
Instead, the phantom showed that dynamic fidelity is reasonably approximated by a
measure that, unlike tSNR, dissociates signal dynamics from scanner artifact. We
then tested this measure, signal fluctuation sensitivity (SFS), against human
resting-state data. As predicted by the phantom, SFS-and not tSNR-is associated
with enhanced sensitivity to both local and long-range connectivity within the
brain's default mode network.
PMID- 27199644
TI - Editorial: Essential Pathways and Circuits of Autism Pathogenesis.
PMID- 27199646
TI - Skimming Digits: Neuromorphic Classification of Spike-Encoded Images.
AB - The growing demands placed upon the field of computer vision have renewed the
focus on alternative visual scene representations and processing paradigms.
Silicon retinea provide an alternative means of imaging the visual environment,
and produce frame-free spatio-temporal data. This paper presents an investigation
into event-based digit classification using N-MNIST, a neuromorphic dataset
created with a silicon retina, and the Synaptic Kernel Inverse Method (SKIM), a
learning method based on principles of dendritic computation. As this work
represents the first large-scale and multi-class classification task performed
using the SKIM network, it explores different training patterns and output
determination methods necessary to extend the original SKIM method to support
multi-class problems. Making use of SKIM networks applied to real-world datasets,
implementing the largest hidden layer sizes and simultaneously training the
largest number of output neurons, the classification system achieved a best-case
accuracy of 92.87% for a network containing 10,000 hidden layer neurons. These
results represent the highest accuracies achieved against the dataset to date and
serve to validate the application of the SKIM method to event-based visual
classification tasks. Additionally, the study found that using a square pulse as
the supervisory training signal produced the highest accuracy for most output
determination methods, but the results also demonstrate that an exponential
pattern is better suited to hardware implementations as it makes use of the
simplest output determination method based on the maximum value.
PMID- 27199645
TI - Representation of Instantaneous and Short-Term Loudness in the Human Cortex.
AB - Acoustic signals pass through numerous transforms in the auditory system before
perceptual attributes such as loudness and pitch are derived. However, relatively
little is known as to exactly when these transformations happen, and where,
cortically or sub-cortically, they occur. In an effort to examine this, we
investigated the latencies and locations of cortical entrainment to two
transforms predicted by a model of loudness perception for time-varying sounds:
the transforms were instantaneous loudness and short-term loudness, where the
latter is hypothesized to be derived from the former and therefore should occur
later in time. Entrainment of cortical activity was estimated from electro- and
magneto-encephalographic (EMEG) activity, recorded while healthy subjects
listened to continuous speech. There was entrainment to instantaneous loudness
bilaterally at 45, 100, and 165 ms, in Heschl's gyrus, dorsal lateral sulcus, and
Heschl's gyrus, respectively. Entrainment to short-term loudness was found in
both the dorsal lateral sulcus and superior temporal sulcus at 275 ms. These
results suggest that short-term loudness is derived from instantaneous loudness,
and that this derivation occurs after processing in sub-cortical structures.
PMID- 27199647
TI - Editorial: Neural Signal Estimation in the Human Brain.
PMID- 27199648
TI - Predictive Technologies: Can Smart Tools Augment the Brain's Predictive
Abilities?
AB - The ability of "looking into the future"-namely, the capacity of anticipating
future states of the environment or of the body-represents a fundamental function
of human (and animal) brains. A goalkeeper who tries to guess the ball's
direction; a chess player who attempts to anticipate the opponent's next move; or
a man-in-love who tries to calculate what are the chances of her saying yes-in
all these cases, people are simulating possible future states of the world, in
order to maximize the success of their decisions or actions. Research in
neuroscience is showing that our ability to predict the behavior of physical or
social phenomena is largely dependent on the brain's ability to integrate current
and past information to generate (probabilistic) simulations of the future. But
could predictive processing be augmented using advanced technologies? In this
contribution, we discuss how computational technologies may be used to support,
facilitate or enhance the prediction of future events, by considering
exemplificative scenarios across different domains, from simpler sensorimotor
decisions to more complex cognitive tasks. We also examine the key scientific and
technical challenges that must be faced to turn this vision into reality.
PMID- 27199649
TI - Metabolic Covariant Network in Relation to Nigrostriatal Degeneration in Carbon
Monoxide Intoxication-Related Parkinsonism.
AB - Presence of parkinsonian features after carbon monoxide (CO) intoxication is well
known and the severity was found to relate to the pre-synaptic dopaminergic
deficits. There is no systemic study to analyse the functional network involved
in CO-related Parkinsonism. Forty-five CO-related parkinsonism patients and 25
aged-matched controls completed the 3D T1-weighted imaging and (18)F-fluoro-2
deoxyglucose positron emission tomography (FDG-PET). Voxel-based morphometry
(VBM) was performed to assess the structural and functional brain differences
between the patients and controls. Spatial covariant networks responsible for
distinguishing patients and controls were constructed using independent component
analysis. For validation, the pre-synaptic dopaminergic functional network was
established by regression model using striatal TRODAT-1 SPECT as the independent
variable. The clinical significance of both networks was determined by
correlation with the Unified Parkinson's Disease Rating Scale (UPDRS). Compared
with controls, the spatial covariant signals of FDG-PET were significantly lower
in the medial and lateral frontal, caudate nucleus, dorsomedial prefrontal areas,
and temporal-parietal regions while the spatial intensities correlated
significantly with UPDRS total scores. The functional network that correlated
with striatum pre-synaptic dopaminergic uptakes included the midbrain, thalamus,
caudate, lateral frontal cortex, ventral striatum, ventral, or dorsal anterior
cingulate cortex. Both networks overlapped considerably and the topographies
reflected structural damage pattern. Our study provides evidence that glucose
metabolism in CO-parkinsonism patients pertains to an organized covariant pattern
in the cortical regions that is spatially coherent with the cortical map of pre
synaptic dopamine deficits. As the fronto-temporal, striatum, and temporal
parietal areas were involved, the unique metabolic covariant network suggests a
different pathophysiology in CO-related parkinsonism.
PMID- 27199650
TI - Cerebellar Functional Parcellation Using Sparse Dictionary Learning Clustering.
AB - The human cerebellum has recently been discovered to contribute to cognition and
emotion beyond the planning and execution of movement, suggesting its functional
heterogeneity. We aimed to identify the functional parcellation of the cerebellum
using information from resting-state functional magnetic resonance imaging (rs
fMRI). For this, we introduced a new data-driven decomposition-based functional
parcellation algorithm, called Sparse Dictionary Learning Clustering (SDLC). SDLC
integrates dictionary learning, sparse representation of rs-fMRI, and k-means
clustering into one optimization problem. The dictionary is comprised of an over
complete set of time course signals, with which a sparse representation of rs
fMRI signals can be constructed. Cerebellar functional regions were then
identified using k-means clustering based on the sparse representation of rs-fMRI
signals. We solved SDLC using a multi-block hybrid proximal alternating method
that guarantees strong convergence. We evaluated the reliability of SDLC and
benchmarked its classification accuracy against other clustering techniques using
simulated data. We then demonstrated that SDLC can identify biologically
reasonable functional regions of the cerebellum as estimated by their cerebello
cortical functional connectivity. We further provided new insights into the
cerebello-cortical functional organization in children.
PMID- 27199651
TI - Adult Born Olfactory Bulb Dopaminergic Interneurons: Molecular Determinants and
Experience-Dependent Plasticity.
AB - The olfactory bulb (OB) is a highly plastic brain region involved in the early
processing of olfactory information. A remarkably feature of the OB circuits in
rodents is the constitutive integration of new neurons that takes place during
adulthood. Newborn cells in the adult OB are mostly inhibitory interneurons
belonging to chemically, morphologically and functionally heterogeneous types.
Although there is general agreement that adult neurogenesis in the OB plays a key
role in sensory information processing and olfaction-related plasticity, the
contribution of each interneuron subtype to such functions is far to be
elucidated. Here, we focus on the dopaminergic (DA) interneurons: we highlight
recent findings about their morphological features and then describe the
molecular factors required for the specification/differentiation and maintenance
of the DA phenotype in adult born neurons. We also discuss dynamic changes of the
DA interneuron population related to age, environmental stimuli and lesions, and
their possible functional implications.
PMID- 27199652
TI - Neural Decoding and "Inner" Psychophysics: A Distance-to-Bound Approach for
Linking Mind, Brain, and Behavior.
AB - A fundamental challenge for cognitive neuroscience is characterizing how the
primitives of psychological theory are neurally implemented. Attempts to meet
this challenge are a manifestation of what Fechner called "inner" psychophysics:
the theory of the precise mapping between mental quantities and the brain. In his
own time, inner psychophysics remained an unrealized ambition for Fechner. We
suggest that, today, multivariate pattern analysis (MVPA), or neural "decoding,"
methods provide a promising starting point for developing an inner psychophysics.
A cornerstone of these methods are simple linear classifiers applied to neural
activity in high-dimensional activation spaces. We describe an approach to inner
psychophysics based on the shared architecture of linear classifiers and
observers under decision boundary models such as signal detection theory. Under
this approach, distance from a decision boundary through activation space, as
estimated by linear classifiers, can be used to predict reaction time in
accordance with signal detection theory, and distance-to-bound models of reaction
time. Our "neural distance-to-bound" approach is potentially quite general, and
simple to implement. Furthermore, our recent work on visual object recognition
suggests it is empirically viable. We believe the approach constitutes an
important step along the path to an inner psychophysics that links mind, brain,
and behavior.
PMID- 27199653
TI - Abnormalities of Inter- and Intra-Hemispheric Functional Connectivity in Autism
Spectrum Disorders: A Study Using the Autism Brain Imaging Data Exchange
Database.
AB - Recently, the Autism Brain Imaging Data Exchange (ABIDE) project revealed
decreased functional connectivity in individuals with Autism Spectrum Disorders
(ASD) relative to the typically developing controls (TDCs). However, it is still
questionable whether the source of functional under-connectivity in subjects with
ASD is equally contributed by the ipsilateral and contralateral parts of the
brain. In this study, we decomposed the inter- and intra-hemispheric regions and
compared the functional connectivity density (FCD) between 458 subjects with ASD
and 517 TDCs from the ABIDE database. We quantified the inter- and intra
hemispheric FCDs in the brain by counting the number of functional connectivity
with all voxels in the opposite and same hemispheric brain regions, respectively.
Relative to TDCs, both inter- and intra-hemispheric FCDs in the posterior
cingulate cortex, lingual/parahippocampal gyrus, and postcentral gyrus were
significantly decreased in subjects with ASD. Moreover, in the ASD group, the
restricted and repetitive behavior subscore of the Autism Diagnostic Observation
Schedule (ADOS-RRB) score showed significant negative correlations with the
average inter-hemispheric FCD and contralateral FCD in the
lingual/parahippocampal gyrus cluster. Also, the ADOS-RRB score showed
significant negative correlations with the average contralateral FCD in the
default mode network regions such as the posterior cingulate cortex and
precuneus. Taken together, our findings imply that a deficit of non-social
functioning processing in ASD such as restricted and repetitive behaviors and
sensory hypersensitivity could be determined via both inter- and intra
hemispheric functional disconnections.
PMID- 27199654
TI - Intrahippocampal Administration of Ibotenic Acid Induced Cholinergic Dysfunction
via NR2A/NR2B Expression: Implications of Resveratrol against Alzheimer Disease
Pathophysiology.
AB - Although several drugs revealed moderate amelioration of symptoms, none of them
have sufficient potency to prevent or reverse the progression toward Alzheimer's
disease (AD) pathology. Resveratrol (RSV), a polyphenolic compound has shown an
outstanding therapeutic effect on a broad spectrum of diseases like age
associated neurodegeneration, inflammation etc. The present study was thus
conducted to assess the therapeutic efficacy of RSV in ameliorating the
deleterious effects of Ibotenic acid (IBO) in male Wistar rats. Stereotactic
intrahippocampal administration of IBO (5 MUg/MUl) lesioned rats impairs
cholinergic transmission, learning and memory performance that is rather related
to AD and thus chosen as a suitable model to understand the drug efficacy in
preventing AD pathophysiology. Since IBO is an agonist of glutamate, it is
expected to exhibit an excitotoxic effect by altering glutamatergic receptors
like NMDA receptor. The current study displayed significant alterations in the
mRNA expression of NR2A and NR2B subunits of NMDA receptors, and further it is
surprising to note that cholinergic receptors decreased in expression
particularly alpha7-nAChR with increased m1AChR. RSV administration (20 mg/kg
body weight, i.p.) significantly reduced these changes in IBO induced rats.
Glutamatergic and cholinergic receptor alterations were associated with
significant changes in the behavioral parameters of rats induced by IBO. While
RSV improved spatial learning performance, attenuated immobility, and improvised
open field activity in IBO induced rats. NR2B activation in the present study
might mediate cell death through oxidative stress that form the basis of abnormal
behavioral pattern in IBO induced rats. Interestingly, RSV that could efficiently
encounter oxidative stress have significantly decreased stress markers viz.,
nitrite, PCO, and MDA levels by enhancing antioxidant status. Histopathological
analysis displayed significant reduction in the hippocampal pyramidal layer
thickness and live neurons in IBO induced rats, with slight pathological changes
in the entorhinal cortex (EC) of rat brain, which was prevented on RSV
administration. Our study thus concludes that RSV administration significantly
ameliorated the deleterious effects in the IBO lesioned rat model for AD by
alleviating cholinergic pathways, reducing oxidative stress and thereby improving
spatial memory.
PMID- 27199655
TI - CRISPR/Cas9: Implications for Modeling and Therapy of Neurodegenerative Diseases.
AB - CRISPR/Cas9 is now used widely to genetically modify the genomes of various
species. The ability of CRISPR/Cas9 to delete DNA sequences and correct DNA
mutations opens up a new avenue to treat genetic diseases that are caused by DNA
mutations. In this review, we describe the advantages of using CRISPR/Cas9 to
engineer genomic DNAs in animal embryos, as well as in specific regions or cell
types in the brain. We also discuss how to apply CRISPR/Cas9 to establish animal
models of neurodegenerative diseases, such as Parkinson's and Huntington's
disease (HD), and to treat these disorders that are caused by genetic mutations.
PMID- 27199656
TI - Reduced miR-659-3p Levels Correlate with Progranulin Increase in Hypoxic
Conditions: Implications for Frontotemporal Dementia.
AB - Progranulin (PGRN) is a secreted protein expressed ubiquitously throughout the
body, including the brain, where it localizes in neurons and is activated
microglia. Loss-of-function mutations in the GRN gene are an important cause of
familial frontotemporal lobar degeneration (FTLD). PGRN has a neurotrophic and
anti-inflammatory activity, and it is neuroprotective in several injury
conditions, such as oxygen or glucose deprivation, oxidative injury, and hypoxic
stress. Indeed, we have previously demonstrated that hypoxia induces the up
regulation of GRN transcripts. Several studies have shown microRNAs (miRNAs)
involvement in hypoxia. Moreover, in FTLD patients with a genetic variant of GRN
(rs5848), the reinforcement of miR-659-3p binding site has been suggested to be a
risk factor. Here, we report that miR-659-3p interacts directly with GRN 3'UTR as
shown by luciferase assay in HeLa cells and ELISA and Western Blot analysis in
HeLa and Kelly cells. Moreover, we demonstrate the physical binding between GRN
mRNA and miR-659-3p employing a miRNA capture-affinity technology in SK-N-BE and
Kelly cells. In order to study miRNAs involvement in hypoxia-mediated up
regulation of GRN, we evaluated miR-659-3p levels in SK-N-BE cells after 24 h of
hypoxic treatment, finding them inversely correlated to GRN transcripts.
Furthermore, we analyzed an animal model of asphyxia, finding that GRN mRNA
levels increased at post-natal day (pnd) 1 and pnd 4 in rat cortices subjected to
asphyxia in comparison to control rats and miR-659-3p decreased at pnd 4 just
when GRN reached the highest levels. Our results demonstrate the interaction
between miR-659-3p and GRN transcript and the involvement of miR-659-3p in GRN up
regulation mediated by hypoxic/ischemic insults.
PMID- 27199657
TI - Retinal Remodeling and Metabolic Alterations in Human AMD.
AB - Age-related macular degeneration (AMD) is a progressive retinal degeneration
resulting in central visual field loss, ultimately causing debilitating
blindness. AMD affects 18% of Americans from 65 to 74, 30% older than 74 years of
age and is the leading cause of severe vision loss and blindness in Western
populations. While many genetic and environmental risk factors are known for AMD,
we currently know less about the mechanisms mediating disease progression. The
pathways and mechanisms through which genetic and non-genetic risk factors
modulate development of AMD pathogenesis remain largely unexplored. Moreover,
current treatment for AMD is palliative and limited to wet/exudative forms.
Retina is a complex, heterocellular tissue and most retinal cell classes are
impacted or altered in AMD. Defining disease and stage-specific cytoarchitectural
and metabolic responses in AMD is critical for highlighting targets for
intervention. The goal of this article is to illustrate cell types impacted in
AMD and demonstrate the implications of those changes, likely beginning in the
retinal pigment epithelium (RPE), for remodeling of the the neural retina.
Tracking heterocellular responses in disease progression is best achieved with
computational molecular phenotyping (CMP), a tool that enables acquisition of a
small molecule fingerprint for every cell in the retina. CMP uncovered critical
cellular and molecular pathologies (remodeling and reprogramming) in progressive
retinal degenerations such as retinitis pigmentosa (RP). We now applied these
approaches to normal human and AMD tissues mapping progression of cellular and
molecular changes in AMD retinas, including late-stage forms of the disease.
PMID- 27199658
TI - Effects of Transforming Growth Factor Beta 1 in Cerebellar Development: Role in
Synapse Formation.
AB - Granule cells (GC) are the most numerous glutamatergic neurons in the cerebellar
cortex and represent almost half of the neurons of the central nervous system.
Despite recent advances, the mechanisms of how the glutamatergic synapses are
formed in the cerebellum remain unclear. Among the TGF-beta family, TGF-beta 1
(TGF-beta1) has been described as a synaptogenic molecule in invertebrates and in
the vertebrate peripheral nervous system. A recent paper from our group
demonstrated that TGF-beta1 increases the excitatory synapse formation in
cortical neurons. Here, we investigated the role of TGF-beta1 in glutamatergic
cerebellar neurons. We showed that the expression profile of TGF-beta1 and its
receptor, TbetaRII, in the cerebellum is consistent with a role in synapse
formation in vitro and in vivo. It is low in the early postnatal days (P1-P9),
increases after postnatal day 12 (P12), and remains high until adulthood (P30).
We also found that granule neurons express the TGF-beta receptor mRNA and
protein, suggesting that they may be responsive to the synaptogenic effect of TGF
beta1. Treatment of granular cell cultures with TGF-beta1 increased the number of
glutamatergic excitatory synapses by 100%, as shown by immunocytochemistry assays
for presynaptic (synaptophysin) and post-synaptic (PSD-95) proteins. This effect
was dependent on TbetaRI activation because addition of a pharmacological
inhibitor of TGF-beta, SB-431542, impaired the formation of synapses between
granular neurons. Together, these findings suggest that TGF-beta1 has a specific
key function in the cerebellum through regulation of excitatory synapse formation
between granule neurons.
PMID- 27199659
TI - Surgery-Induced Hippocampal Angiotensin II Elevation Causes Blood-Brain Barrier
Disruption via MMP/TIMP in Aged Rats.
AB - Reversible blood-brain barrier (BBB) disruption has been uniformly reported in
several animal models of postoperative cognitive dysfunction (POCD).
Nevertheless, the precise mechanism underlying this occurrence remains unclear.
Using an aged rat model of POCD, we investigated the dynamic changes in
expression of molecules involved in BBB disintegration, matrix metalloproteinase
2 (MMP-2) and -9 (MMP-9), as well as three of their endogenous tissue inhibitors
of MMP (TIMP-1, -2, -3), and tried to establish the correlation between MMP/TIMP
balance and surgery-induced hippocampal BBB disruption. We validated the
increased hippocampal expression of angiotensin II (Ang II) and Ang II receptor
type 1 (AT1) after surgery. We also found MMP/TIMP imbalance as early as 6 h
after surgery, together with increased BBB permeability and decreased expression
of Occludin and zonula occludens-1 (ZO-1), as well as increased basal lamina
protein laminin at 24 h postsurgery. The AT1 antagonist candesartan restored
MMP/TIMP equilibrium and modulated expression of Occludin and laminin, but not ZO
1, thereby improving BBB permeability. These events were accompanied by
suppression of the surgery-induced canonical nuclear factor-kappaB (NF-kappaB)
activation cascade. Nevertheless, AT1 antagonism did not affect nuclear receptor
peroxisome proliferator-activated receptor-gamma (PPARgamma) expression.
Collectively, these findings suggest that surgery-induced Ang II release impairs
BBB integrity by activating NF-kappaB signaling and disrupting downstream
MMP/TIMP balance via AT1 receptor.
PMID- 27199661
TI - Erratum: An Optimized Approach to Recover Secreted Proteins from Fibroblast
Conditioned-Media for Secretomic Analysis.
AB - [This corrects the article on p. 70 in vol. 10, PMID: 27064649.].
PMID- 27199660
TI - Selective Localization of Shanks to VGLUT1-Positive Excitatory Synapses in the
Mouse Hippocampus.
AB - Members of the Shank family of multidomain proteins (Shank1, Shank2, and Shank3)
are core components of the postsynaptic density (PSD) of excitatory synapses. At
synaptic sites Shanks serve as scaffolding molecules that cluster
neurotransmitter receptors as well as cell adhesion molecules attaching them to
the actin cytoskeleton. In this study we investigated the synapse specific
localization of Shank1-3 and focused on well-defined synaptic contacts within the
hippocampal formation. We found that all three family members are present only at
VGLUT1-positive synapses, which is particularly visible at mossy fiber contacts.
No costaining was found at VGLUT2-positive contacts indicating that the molecular
organization of VGLUT2-associated PSDs diverges from classical VGLUT1-positive
excitatory contacts in the hippocampus. In light of SHANK mutations in
neuropsychiatric disorders, this study indicates which glutamatergic networks
within the hippocampus will be primarily affected by shankopathies.
PMID- 27199662
TI - Local Optogenetic Induction of Fast (20-40 Hz) Pyramidal-Interneuron Network
Oscillations in the In Vitro and In Vivo CA1 Hippocampus: Modulation by CRF and
Enforcement of Perirhinal Theta Activity.
AB - The neurophysiological processes that can cause theta-to-gamma frequency range (4
80 Hz) network oscillations in the rhinal cortical-hippocampal system and the
potential connectivity-based interactions of such forebrain rhythms are a topic
of intensive investigation. Here, using selective Channelrhodopsin-2 (ChR2)
expression in mouse forebrain glutamatergic cells, we were able to locally,
temporally precisely, and reliably induce fast (20-40 Hz) field potential
oscillations in hippocampal area CA1 in vitro (at 25 degrees C) and in vivo
(i.e., slightly anesthetized NEX-Cre-ChR2 mice). As revealed by pharmacological
analyses and patch-clamp recordings from pyramidal cells and GABAergic
interneurons in vitro, these light-triggered oscillations can exclusively arise
from sustained suprathreshold depolarization (~200 ms or longer) and feedback
inhibition of CA1 pyramidal neurons, as being mandatory for prototypic pyramidal
interneuron network (P-I) oscillations. Consistently, the oscillations comprised
rhythmically occurring population spikes (generated by pyramidal cells) and their
frequency increased with increasing spectral power. We further demonstrate that
the optogenetically driven CA1 oscillations, which remain stable over repeated
evocations, are impaired by the stress hormone corticotropin-releasing factor
(CRF, 125 nM) in vitro and, even more remarkably, found that they are accompanied
by concurrent states of enforced theta activity in the memory-associated
perirhinal cortex (PrC) in vivo. The latter phenomenon most likely derives from
neurotransmission via a known, but poorly studied excitatory CA1->PrC pathway.
Collectively, our data provide evidence for the existence of a prototypic (CRF
sensitive) P-I gamma rhythm generator in area CA1 and suggest that CA1 P-I
oscillations can rapidly up-regulate theta activity strength in hippocampus
innervated rhinal networks, at least in the PrC.
PMID- 27199664
TI - Effects of Pin1 Loss in Hdh(Q111) Knock-in Mice.
AB - Huntington's disease (HD) is a fatal, dominantly inherited, neurodegenerative
disorder due to a pathological expansion of the CAG repeat in the coding region
of the HTT gene. In the quest for understanding the molecular basis of
neurodegeneration, we have previously demonstrated that the prolyl isomerase Pin1
plays a crucial role in mediating p53-dependent apoptosis triggered by mutant
huntingtin (mHtt) in vitro. To assess the effects of the lack of Pin1 in vivo, we
have bred Pin1 knock-out mice with Hdh(Q111) knock-in mice, a genetically precise
model of HD. We show that Pin1 genetic ablation modifies a portion of Hdh(Q111)
phenotypes in a time-dependent fashion. As an early event, Pin1 activity reduces
the DNA damage response (DDR). In midlife mice, by taking advantage of next
generation sequencing technology, we show that Pin1 activity modulates a portion
of the alterations triggered by mHtt, extending the role of Pin1 to two
additional Hdh(Q111) phenotypes: the unbalance in the "synthesis/concentration of
hormones", as well as the alteration of "Wnt/beta-catenin signaling". In aging
animals, Pin1 significantly increases the number of mHtt-positive nuclear
inclusions while it reduces gliosis. In summary, this work provides further
support for a role of Pin1 in HD pathogenesis.
PMID- 27199665
TI - Mutual Control of Cholinergic and Low-Threshold Spike Interneurons in the
Striatum.
AB - The striatum is the largest nucleus of the basal ganglia and is crucially
involved in action selection and reward processing. Cortical and thalamic inputs
to the striatum are processed by local networks in which several classes of
interneurons play an important, but still poorly understood role. Here we
investigated the interactions between cholinergic and low-threshold spike (LTS)
interneurons. LTS interneurons were hyperpolarized by co-application of
muscarinic and nicotinic receptor antagonists (atropine and mecamylamine,
respectively). Mecamylamine alone also caused hyperpolarizations, while atropine
alone caused depolarizations and increased firing. LTS interneurons were also
under control of tonic GABA, as application of the GABAA receptor antagonist
picrotoxin caused depolarizations and increased firing. Frequency of spontaneous
GABAergic events in LTS interneurons was increased by co-application of atropine
and mecamylamine or by atropine alone, but reduced by mecamylamine alone. In the
presence of picrotoxin and tetrodotoxin (TTX), atropine and mecamylamine
depolarized the LTS interneurons. We concluded that part of the excitatory
effects of tonic acetylcholine (ACh) on LTS interneurons were due to cholinergic
modulation of tonic GABA. We then studied the influence of LTS interneurons on
cholinergic interneurons. Application of antagonists of somatostatin or
neuropeptide Y (NPY) receptors or of an inhibitor of nitric oxide synthase (L
NAME) did not cause detectable effects in cholinergic interneurons. However,
prolonged synchronized depolarizations of LTS interneurons (elicited with
optogenetics tools) caused slow-onset depolarizations in cholinergic
interneurons, which were often accompanied by strong action potential firing and
were fully abolished by L-NAME. Thus, a mutual excitatory influence exists
between LTS and cholinergic interneurons in the striatum, providing an
opportunity for sustained activation of the two cell types. This activation may
endow the striatal microcircuits with the ability to enter a high ACh/high nitric
oxide regime when adequately triggered by external excitatory stimuli to these
interneurons.
PMID- 27199666
TI - Corrigendum: Platelet Activating Factor Enhances Synaptic Vesicle Exocytosis Via
PKC, Elevated Intracellular Calcium, and Modulation of Synapsin 1 Dynamics and
Phosphorylation.
AB - [This corrects the article on p. 505 in vol. 9, PMID: 26778968.].
PMID- 27199663
TI - Extracellular Vesicles in Physiology, Pathology, and Therapy of the Immune and
Central Nervous System, with Focus on Extracellular Vesicles Derived from
Mesenchymal Stem Cells as Therapeutic Tools.
AB - Extracellular vesicles (EVs) are membrane-surrounded structures released by most
cell types. They are characterized by a specific set of proteins, lipids and
nucleic acids. EVs have been recognized as potent vehicles of intercellular
communication to transmit biological signals between cells. In addition,
pathophysiological roles of EVs in conditions like cancer, infectious diseases
and neurodegenerative disorders are well established. In recent years focus has
been shifted on therapeutic use of stem cell derived-EVs. Use of stem cell
derived-EVs present distinct advantage over the whole stem cells as EVs do not
replicate and after intravenous administration, they are less likely to trap
inside the lungs. From the therapeutic perspective, the most promising cellular
sources of EVs are mesenchymal stem cells (MSCs), which are easy to obtain and
maintain. Therapeutic activity of MSCs has been shown in numerous animal models
and the beneficial paracrine effect of MSCs may be mediated by EVs. The various
components of MSC derived-EVs such as proteins, lipids, and RNA might play a
specific therapeutic role. In this review, we characterize the role of EVs in
immune and central nervous system (CNS); present evidences for defective
signaling of these vesicles in neurodegeneration and therapeutic role of EVs in
CNS.
PMID- 27199668
TI - Mediation of Autophagic Cell Death by Type 3 Ryanodine Receptor (RyR3) in Adult
Hippocampal Neural Stem Cells.
AB - Cytoplasmic Ca(2+) actively engages in diverse intracellular processes from
protein synthesis, folding and trafficking to cell survival and death.
Dysregulation of intracellular Ca(2+) levels is observed in various
neuropathological states including Alzheimer's and Parkinson's diseases.
Ryanodine receptors (RyRs) and inositol 1,4,5-triphosphate receptors (IP3Rs), the
main Ca(2+) release channels located in endoplasmic reticulum (ER) membranes, are
known to direct various cellular events such as autophagy and apoptosis. Here we
investigated the intracellular Ca(2+)-mediated regulation of survival and death
of adult hippocampal neural stem (HCN) cells utilizing an insulin withdrawal
model of autophagic cell death (ACD). Despite comparable expression levels of RyR
and IP3R transcripts in HCN cells at normal state, the expression levels of RyRs
especially RyR3-were markedly upregulated upon insulin withdrawal. While
treatment with the RyR agonist caffeine significantly promoted the autophagic
death of insulin-deficient HCN cells, treatment with its inhibitor dantrolene
prevented the induction of autophagy following insulin withdrawal. Furthermore,
CRISPR/Cas9-mediated knockout of the RyR3 gene abolished ACD of HCN cells. This
study delineates a distinct, RyR3-mediated ER Ca(2+) regulation of autophagy and
programmed cell death in neural stem cells. Our findings provide novel insights
into the critical, yet understudied mechanisms underlying the regulatory function
of ER Ca(2+) in neural stem cell biology.
PMID- 27199669
TI - tACS Phase Locking of Frontal Midline Theta Oscillations Disrupts Working Memory
Performance.
AB - BACKGROUND: Frontal midline theta (FMT) oscillations (4-8 Hz) are strongly
related to cognitive and executive control during mental tasks such as memory
processing, arithmetic problem solving or sustained attention. While maintenance
of temporal order information during a working memory (WM) task was recently
linked to FMT phase, a positive correlation between FMT power, WM demand and WM
performance was shown. However, the relationship between these measures is not
well understood, and it is unknown whether purposeful FMT phase manipulation
during a WM task impacts FMT power and WM performance. Here we present evidence
that FMT phase manipulation mediated by transcranial alternating current
stimulation (tACS) can block WM demand-related FMT power increase (FMTDeltapower)
and disrupt normal WM performance. METHODS: Twenty healthy volunteers were
assigned to one of two groups (group A, group B) and performed a 2-back task
across a baseline block (block 1) and an intervention block (block 2) while 275
sensor magnetoencephalography (MEG) was recorded. After no stimulation was
applied during block 1, participants in group A received tACS oscillating at
their individual FMT frequency over the prefrontal cortex (PFC) while group B
received sham stimulation during block 2. After assessing and mapping phase
locking values (PLV) between the tACS signal and brain oscillatory activity
across the whole brain, FMT power and WM performance were assessed and compared
between blocks and groups. RESULTS: During block 2 of group A but not B, FMT
oscillations showed increased PLV across task-related cortical areas underneath
the frontal tACS electrode. While WM task-related FMTDeltapower and WM
performance were comparable across groups in block 1, tACS resulted in lower
FMTDeltapower and WM performance compared to sham stimulation in block 2.
CONCLUSION: tACS-related manipulation of FMT phase can disrupt WM performance and
influence WM task-related FMTDeltapower. This finding may have important
implications for the treatment of brain disorders such as depression and
attention deficit disorder associated with abnormal regulation of FMT activity or
disorders characterized by dysfunctional coupling of brain activity, e.g.,
epilepsy, Alzheimer's or Parkinson's disease (AD/PD).
PMID- 27199667
TI - Potentiation of Gamma Aminobutyric Acid Receptors (GABAAR) by Ethanol: How Are
Inhibitory Receptors Affected?
AB - In recent years there has been an increase in the understanding of ethanol
actions on the type A gamma-aminobutyric acid chloride channel (GABAAR), a member
of the pentameric ligand gated ion channels (pLGICs). However, the mechanism by
which ethanol potentiates the complex is still not fully understood and a number
of publications have shown contradictory results. Thus many questions still
remain unresolved requiring further studies for a better comprehension of this
effect. The present review concentrates on the involvement of GABAAR in the acute
actions of ethanol and specifically focuses on the immediate, direct or indirect,
synaptic and extra-synaptic modulatory effects. To elaborate on the immediate,
direct modulation of GABAAR by acute ethanol exposure, electrophysiological
studies investigating the importance of different subunits, and data from
receptor mutants will be examined. We will also discuss the nature of the
putative binding sites for ethanol based on structural data obtained from other
members of the pLGICs family. Finally, we will briefly highlight the glycine
gated chloride channel (GlyR), another member of the pLGIC family, as a suitable
target for the development of new pharmacological tools.
PMID- 27199671
TI - Afferent Connectivity of the Zebrafish Habenulae.
AB - The habenulae are bilateral nuclei located in the dorsal diencephalon that are
conserved across vertebrates. Here we describe the main afferents to the
habenulae in larval and adult zebrafish. We observe afferents from the
subpallium, nucleus rostrolateralis, posterior tuberculum, posterior hypothalamic
lobe, median raphe; we also see asymmetric afferents from olfactory bulb to the
right habenula, and from the parapineal to the left habenula. In addition, we
find afferents from a ventrolateral telencephalic nucleus that neurochemical and
hodological data identify as the ventral entopeduncular nucleus (vENT),
confirming and extending observations of Amo et al. (2014). Fate map and marker
studies suggest that vENT originates from the diencephalic prethalamic eminence
and extends into the lateral telencephalon from 48 to 120 hour post-fertilization
(hpf). No afferents to the habenula were observed from the dorsal entopeduncular
nucleus (dENT). Consequently, we confirm that the vENT (and not the dENT) should
be considered as the entopeduncular nucleus "proper" in zebrafish. Furthermore,
comparison with data in other vertebrates suggests that the vENT is a conserved
basal ganglia nucleus, being homologous to the entopeduncular nucleus of mammals
(internal segment of the globus pallidus of primates) by both embryonic origin
and projections, as previously suggested by Amo et al. (2014).
PMID- 27199670
TI - The Diversity of Cortical Inhibitory Synapses.
AB - The most typical and well known inhibitory action in the cortical microcircuit is
a strong inhibition on the target neuron by axo-somatic synapses. However, it has
become clear that synaptic inhibition in the cortex is much more diverse and
complicated. Firstly, at least ten or more inhibitory non-pyramidal cell subtypes
engage in diverse inhibitory functions to produce the elaborate activity
characteristic of the different cortical states. Each distinct non-pyramidal cell
subtype has its own independent inhibitory function. Secondly, the inhibitory
synapses innervate different neuronal domains, such as axons, spines, dendrites
and soma, and their inhibitory postsynaptic potential (IPSP) size is not uniform.
Thus, cortical inhibition is highly complex, with a wide variety of anatomical
and physiological modes. Moreover, the functional significance of the various
inhibitory synapse innervation styles and their unique structural dynamic
behaviors differ from those of excitatory synapses. In this review, we summarize
our current understanding of the inhibitory mechanisms of the cortical
microcircuit.
PMID- 27199672
TI - Phasic and Tonic mGlu7 Receptor Activity Modulates the Thalamocortical Network.
AB - Mutation of the metabotropic glutamate receptor type 7 (mGlu7) induces absence
like epileptic seizures, but its precise role in the somatosensory
thalamocortical network remains unknown. By combining electrophysiological
recordings, optogenetics, and pharmacology, we dissected the contribution of the
mGlu7 receptor at mouse thalamic synapses. We found that mGlu7 is functionally
expressed at both glutamatergic and GABAergic synapses, where it can inhibit
neurotransmission and regulate short-term plasticity. These effects depend on the
PDZ-ligand of the receptor, as they are lost in mutant mice. Interestingly, the
very low affinity of mGlu7 receptors for glutamate raises the question of how it
can be activated, namely at GABAergic synapses and in basal conditions.
Inactivation of the receptor activity with the mGlu7 negative allosteric
modulator (NAM), ADX71743, enhances thalamic synaptic transmission. In vivo
administration of the NAM induces a lethargic state with spindle and/or spike-and
wave discharges accompanied by a behavioral arrest typical of absence epileptic
seizures. This provides evidence for mGlu7 receptor-mediated tonic modulation of
a physiological function in vivo preventing synchronous and potentially
pathological oscillations.
PMID- 27199676
TI - Phospho-Tau and Cognitive Decline in Alzheimer's Disease. Commentary: Tau in
physiology and pathology.
PMID- 27199677
TI - Diffusion Tractography in Deep Brain Stimulation Surgery: A Review.
AB - Deep brain stimulation (DBS) is believed to exert its therapeutic effects through
modulation of brain circuitry, yet conventional preoperative planning does not
allow direct targeting or visualization of white matter pathways. Diffusion MRI
tractography (DT) is virtually the only non-invasive method of visualizing
structural connectivity in the brain, leading many to suggest its use to guide
DBS targeting. DT-guided DBS not only has the potential to allow direct white
matter targeting for established applications [e.g., Parkinson's disease (PD),
essential tremor (ET), dystonia], but may also aid in the discovery of new
therapeutic targets for a variety of other neurologic and psychiatric diseases.
Despite these exciting opportunities, DT lacks standardization and rigorous
anatomic validation, raising significant concern for the use of such data in
stereotactic brain surgery. This review covers the technical details, proposed
methods, and initial clinical data for the use of DT in DBS surgery. Rather than
focusing on specific disease applications, this review focuses on methods that
can be applied to virtually any DBS target.
PMID- 27199673
TI - Chandelier Cells in Functional and Dysfunctional Neural Circuits.
AB - Chandelier cells (ChCs; also called axo-axonic cells) are a specialized GABAergic
interneuron subtype that selectively innervates pyramidal neurons at the axon
initial segment (AIS), the site of action potential generation. ChC connectivity
allows for powerful yet precise modulation of large populations of pyramidal
cells, suggesting ChCs have a critical role in brain functions. Dysfunctions in
ChC connectivity are associated with brain disorders such as epilepsy and
schizophrenia; however, whether this is causative, contributory or compensatory
is not known. A likely stumbling block toward mechanistic discoveries and
uncovering potential therapeutic targets is the apparent lack of rudimentary
understanding of ChCs. For example, whether cortical ChCs are inhibitory or
excitatory remains unresolved, and thus whether altered ChC activity results in
altered inhibition or excitation is not clear. Recent studies have shed some
light onto this excitation-inhibition controversy. In addition, new findings have
identified preferential cell-type connectivities established by cortical ChCs,
greatly expanding our understanding of the role of ChCs in the cortical
microcircuit. Here we aim to bring more attention to ChC connectivity to better
understand its role in neural circuits, address whether ChCs are inhibitory or
excitatory in light of recent findings and discuss ChC dysfunctions in brain
disorders.
PMID- 27199674
TI - Theory of Connectivity: Nature and Nurture of Cell Assemblies and Cognitive
Computation.
AB - Richard Semon and Donald Hebb are among the firsts to put forth the notion of
cell assembly-a group of coherently or sequentially-activated neurons-to
represent percept, memory, or concept. Despite the rekindled interest in this
century-old idea, the concept of cell assembly still remains ill-defined and its
operational principle is poorly understood. What is the size of a cell assembly?
How should a cell assembly be organized? What is the computational logic
underlying Hebbian cell assemblies? How might Nature vs. Nurture interact at the
level of a cell assembly? In contrast to the widely assumed randomness within the
mature but naive cell assembly, the Theory of Connectivity postulates that the
brain consists of the developmentally pre-programmed cell assemblies known as the
functional connectivity motif (FCM). Principal cells within such FCM is organized
by the power-of-two-based mathematical principle that guides the construction of
specific-to-general combinatorial connectivity patterns in neuronal circuits,
giving rise to a full range of specific features, various relational patterns,
and generalized knowledge. This pre-configured canonical computation is predicted
to be evolutionarily conserved across many circuits, ranging from these encoding
memory engrams and imagination to decision-making and motor control. Although the
power-of-two-based wiring and computational logic places a mathematical boundary
on an individual's cognitive capacity, the fullest intellectual potential can be
brought about by optimized nature and nurture. This theory may also open up a new
avenue to examining how genetic mutations and various drugs might impair or
improve the computational logic of brain circuits.
PMID- 27199678
TI - Retinal Changes in an ATP-Induced Model of Retinal Degeneration.
AB - In rodents and felines, intravitreal administration of adenosine triphosphate
(ATP) has been shown to induce photoreceptor death providing a tractable model of
retinal degeneration in these species. This study investigated the long term
effects of photoreceptor loss in an ATP induced feline model of retinal
degeneration. Six normal sighted felines were unilaterally blinded using
intravitreal ATP injections and assessed using electroretinography (ERG) and
optical coherence tomography (OCT). At 30 h (n = 3) or 12 weeks (n = 3) post
injection, the animals were euthanized and the eyes enucleated. Retinae were
sectioned and labeled using immunohistochemistry for markers of cell death,
neural remodeling and gliosis. Ongoing cell death and retinal degeneration was
observed in the outer retina at both 30 h and 12 weeks following unilateral ATP
injection. Markers of mid to late-stage retinal remodeling such as cell
displacement and aberrant neurite growth were observed in the inner retina at 12
weeks post-injection. Ganglion cells appeared to remain intact in ATP injected
eyes. Muller cell gliosis was observed throughout the inner and outer retina, in
some parts completely enveloping and/or displacing the surviving neural tissue.
Our data suggests that the ATP injected feline retina continues to undergo
progressive retinal degeneration and exhibits abnormalities consistent with a
description of retinal remodeling commonly seen in other models of retinal
degeneration. These findings validate the use of intravitreal ATP injection in
feline as a large animal model of retinal degeneration which may aid in
development of therapies aiming to restore visual function after photoreceptor
degeneration.
PMID- 27199675
TI - Inhibitory Circuits in Cortical Layer 5.
AB - Inhibitory neurons play a fundamental role in cortical computation and behavior.
Recent technological advances, such as two photon imaging, targeted in vivo
recording, and molecular profiling, have improved our understanding of the
function and diversity of cortical interneurons, but for technical reasons most
work has been directed towards inhibitory neurons in the superficial cortical
layers. Here we review current knowledge specifically on layer 5 (L5) inhibitory
microcircuits, which play a critical role in controlling cortical output. We
focus on recent work from the well-studied rodent barrel cortex, but also draw on
evidence from studies in primary visual cortex and other cortical areas. The
diversity of both deep inhibitory neurons and their pyramidal cell targets make
this a challenging but essential area of study in cortical computation and
sensory processing.
PMID- 27199679
TI - Distribution of Cytoglobin in the Mouse Brain.
AB - Cytoglobin (Cygb) is a vertebrate globin with so far poorly defined function. It
is expressed in the fibroblast cell-lineage but has also been found in neurons.
Here we provide, using immunohistochemistry, a detailed study on the distribution
of Cygb in the mouse brain. While Cygb is a cytoplasmic protein in active cells
of the supportive tissue, in neurons it is located in the cytoplasm and the
nucleus. We found the expression of Cygb in all brain regions, although only a
fraction of the neurons was Cygb-positive. Signals were of different intensity
ranging from faint to very intense. Telencephalic neurons in all laminae of the
cerebral cortex (CCo), in the olfactory bulb (in particular periglomerular
cells), in the hippocampal formation (strongly stained pyramidal cells with long
processes), basal ganglia (scattered multipolar neurons in the dorsal striatum,
dorsal and ventral pallidum (VP)), and in the amygdala (neurons with unlabeled
processes) were labeled by the antibody. In the diencephalon, we observed Cygb
positive neurons of moderate intensity in various nuclei of the dorsal thalamus,
in the hypothalamus, metathalamus (geniculate nuclei), epithalamus with strong
labeling of habenular nucleus neurons and no labeling of pineal cells, and in the
ventral thalamus. Tegmental neurons stood out by strongly stained somata with
long processes in, e.g., the laterodorsal nucleus. In the tectum, faintly labeled
neurons and fibers were detected in the superior colliculus (SC). The cerebellum
exhibited unlabeled Purkinje-neurons but signs of strong afferent cortical
innervation. Neurons in the gray matter of the spinal cord showed moderate
immunofluorescence. Peripheral ganglia were not labeled by the antibody. The
Meynert-fascicle and the olfactory and optic nerves/tracts were the only Cygb
immunoreactive (Cygb-IR) fiber systems. Notably, we found a remarkable level of
colocalization of Cygb and neuronal nitric oxide (NO)-synthase in neurons, which
supports a functional association.
PMID- 27199680
TI - Neuronal Organization of Deep Brain Opsin Photoreceptors in Adult Teleosts.
AB - Biological impacts of light beyond vision, i.e., non-visual functions of light,
signify the need to better understand light detection (or photoreception) systems
in vertebrates. Photopigments, which comprise light-absorbing chromophores bound
to a variety of G-protein coupled receptor opsins, are responsible for visual and
non-visual photoreception. Non-visual opsin photopigments in the retina of
mammals and extra-retinal tissues of non-mammals play an important role in non
image-forming functions of light, e.g., biological rhythms and seasonal
reproduction. This review highlights the role of opsin photoreceptors in the deep
brain, which could involve conserved neurochemical systems that control different
time- and light-dependent physiologies in in non-mammalian vertebrates including
teleost fish.
PMID- 27199682
TI - 3D Reconstructed Cyto-, Muscarinic M2 Receptor, and Fiber Architecture of the Rat
Brain Registered to the Waxholm Space Atlas.
AB - High-resolution multiscale and multimodal 3D models of the brain are essential
tools to understand its complex structural and functional organization.
Neuroimaging techniques addressing different aspects of brain organization should
be integrated in a reference space to enable topographically correct alignment
and subsequent analysis of the various datasets and their modalities. The Waxholm
Space (http://software.incf.org/software/waxholm-space) is a publicly available
3D coordinate-based standard reference space for the mapping and registration of
neuroanatomical data in rodent brains. This paper provides a newly developed
pipeline combining imaging and reconstruction steps with a novel registration
strategy to integrate new neuroimaging modalities into the Waxholm Space atlas.
As a proof of principle, we incorporated large scale high-resolution cyto-,
muscarinic M2 receptor, and fiber architectonic images of rat brains into the 3D
digital MRI based atlas of the Sprague Dawley rat in Waxholm Space. We describe
the whole workflow, from image acquisition to reconstruction and registration of
these three modalities into the Waxholm Space rat atlas. The registration of the
brain sections into the atlas is performed by using both linear and non-linear
transformations. The validity of the procedure is qualitatively demonstrated by
visual inspection, and a quantitative evaluation is performed by measurement of
the concordance between representative atlas-delineated regions and the same
regions based on receptor or fiber architectonic data. This novel approach
enables for the first time the generation of 3D reconstructed volumes of nerve
fibers and fiber tracts, or of muscarinic M2 receptor density distributions, in
an entire rat brain. Additionally, our pipeline facilitates the inclusion of
further neuroimaging datasets, e.g., 3D reconstructed volumes of histochemical
stainings or of the regional distributions of multiple other receptor types, into
the Waxholm Space. Thereby, a multiscale and multimodal rat brain model was
created in the Waxholm Space atlas of the rat brain. Since the registration of
these multimodal high-resolution datasets into the same coordinate system is an
indispensable requisite for multi-parameter analyses, this approach enables
combined studies on receptor and cell distributions as well as fiber densities in
the same anatomical structures at microscopic scales for the first time.
PMID- 27199681
TI - Eppur Si Muove: Evidence for an External Granular Layer and Possibly Transit
Amplification in the Teleostean Cerebellum.
AB - The secreted signaling factor Sonic Hedgehog (Shh) acts in the floor plate of the
developing vertebrate CNS to promote motoneuron development. In addition, shh has
dorsal expression domains in the amniote alar plate (i.e., in isocortex, superior
colliculus, and cerebellum). For example, shh expressing Purkinje cells act in
transit amplification of external granular layer (EGL) cells of the developing
cerebellum. Our previous studies had indicated the presence of an EGL in
anamniote zebrafish, but a possible role of shh in the zebrafish cerebellar plate
remained elusive. Therefore, we used an existing zebrafish transgenic line
Tg(2.4shha-ABC-GFP)sb15; Shkumatava et al., 2004) to show this gene activity and
its cellular localization in the larval zebrafish brain. Clearly, GFP expressing
cells occur in larval alar zebrafish brain domains, i.e., optic tectum and
cerebellum. Analysis of critical cerebellar cell markers on this transgenic
background and a PH3 assay for mitotic cells reveals that Purkinje cells and
eurydendroid cells are completely non-overlapping postmitotic cell populations.
Furthermore, shh-GFP cells never express Zebrin II or parvalbumin, nor
calretinin. They are thus neither Purkinje cells nor calretinin positive
migrating rhombic lip derived cells. The shh-GFP cells also never correspond to
PH3 positive cells of the ventral cerebellar proliferative zone or the upper
rhombic lip-derived EGL. From this marker analysis and the location of shh-GFP
cells sandwiched between calretinin positive rhombic lip derived cells and
parvalbumin positive Purkinje cells, we conclude that shh-GFP expressing cells
qualify as previously reported olig2 positive eurydendroid cells, which are
homologous to the amniote deep cerebellar nuclei. We confirm this using double
transgenic progeny of shh-GFP and olig2-dsRed zebrafish. Thus, these zebrafish
eurydendroid cells may have the same role in transit amplification as Purkinje
cells do in amniotes.
PMID- 27199683
TI - Corrigendum: Unexpected Variation in Neuroanatomy among Diverse Nematode Species.
AB - [This corrects the article on p. 162 in vol. 9, PMID: 26778973.].
PMID- 27199686
TI - Commentary: Sensory integration dynamics in a hierarchical network explains
choice probabilities in cortical area MT.
PMID- 27199685
TI - Traumatic Brain Injury Alters Methionine Metabolism: Implications for
Pathophysiology.
AB - Methionine is an essential proteinogenic amino acid that is obtained from the
diet. In addition to its requirement for protein biosynthesis, methionine is
metabolized to generate metabolites that play key roles in a number of cellular
functions. Metabolism of methionine via the transmethylation pathway generates S
adenosylmethionine (SAM) that serves as the principal methyl (-CH3) donor for DNA
and histone methyltransferases (MTs) to regulate epigenetic changes in gene
expression. SAM is also required for methylation of other cellular proteins that
serve various functions and phosphatidylcholine synthesis that participate in
cellular signaling. Under conditions of oxidative stress, homocysteine (which is
derived from SAM) enters the transsulfuration pathway to generate glutathione, an
important cytoprotective molecule against oxidative damage. As both experimental
and clinical studies have shown that traumatic brain injury (TBI) alters DNA and
histone methylation and causes oxidative stress, we examined if TBI alters the
plasma levels of methionine and its metabolites in human patients. Blood samples
were collected from healthy volunteers (HV; n = 20) and patients with mild TBI
(mTBI; GCS > 12; n = 20) or severe TBI (sTBI; GCS < 8; n = 20) within the first
24 h of injury. The levels of methionine and its metabolites in the plasma
samples were analyzed by either liquid chromatography-mass spectrometry or gas
chromatography-mass spectrometry (LC-MS or GC-MS). sTBI decreased the levels of
methionine, SAM, betaine and 2-methylglycine as compared to HV, indicating a
decrease in metabolism through the transmethylation cycle. In addition,
precursors for the generation of glutathione, cysteine and glycine were also
found to be decreased as were intermediate metabolites of the gamma-glutamyl
cycle (gamma-glutamyl amino acids and 5-oxoproline). mTBI also decreased the
levels of methionine, alpha-ketobutyrate, 2 hydroxybutyrate and glycine, albeit
to lesser degrees than detected in the sTBI group. Taken together, these results
suggest that decreased levels of methionine and its metabolic products are likely
to alter cellular function in multiple organs at a systems level.
PMID- 27199687
TI - Corrigendum: Functional Activation in the Ventral Object Processing Pathway
during the First Year.
AB - [This corrects the article on p. 180 in vol. 9, PMID: 26778979.].
PMID- 27199688
TI - The Nature and Function of Digital Information Compression Mechanisms in the
Brain and in Digital Television Technology.
PMID- 27199690
TI - Dynamic Echo Information Guides Flight in the Big Brown Bat.
AB - Animals rely on sensory feedback from their environment to guide locomotion. For
instance, visually guided animals use patterns of optic flow to control their
velocity and to estimate their distance to objects (e.g., Srinivasan et al.,
1991, 1996). In this study, we investigated how acoustic information guides
locomotion of animals that use hearing as a primary sensory modality to orient
and navigate in the dark, where visual information is unavailable. We studied
flight and echolocation behaviors of big brown bats as they flew under infrared
illumination through a corridor with walls constructed from a series of
individual vertical wooden poles. The spacing between poles on opposite walls of
the corridor was experimentally manipulated to create dense/sparse and
balanced/imbalanced spatial structure. The bats' flight trajectories and
echolocation signals were recorded with high-speed infrared motion-capture
cameras and ultrasound microphones, respectively. As bats flew through the
corridor, successive biosonar emissions returned cascades of echoes from the
walls of the corridor. The bats flew through the center of the corridor when the
pole spacing on opposite walls was balanced and closer to the side with wider
pole spacing when opposite walls had an imbalanced density. Moreover, bats
produced shorter duration echolocation calls when they flew through corridors
with smaller spacing between poles, suggesting that clutter density influences
features of the bat's sonar signals. Flight speed and echolocation call rate did
not, however, vary with dense and sparse spacing between the poles forming the
corridor walls. Overall, these data demonstrate that bats adapt their flight and
echolocation behavior dynamically when flying through acoustically complex
environments.
PMID- 27199684
TI - More Gamma More Predictions: Gamma-Synchronization as a Key Mechanism for
Efficient Integration of Classical Receptive Field Inputs with Surround
Predictions.
AB - During visual stimulation, neurons in visual cortex often exhibit rhythmic and
synchronous firing in the gamma-frequency (30-90 Hz) band. Whether this
phenomenon plays a functional role during visual processing is not fully clear
and remains heavily debated. In this article, we explore the function of gamma
synchronization in the context of predictive and efficient coding theories. These
theories hold that sensory neurons utilize the statistical regularities in the
natural world in order to improve the efficiency of the neural code, and to
optimize the inference of the stimulus causes of the sensory data. In visual
cortex, this relies on the integration of classical receptive field (CRF) data
with predictions from the surround. Here we outline two main hypotheses about
gamma-synchronization in visual cortex. First, we hypothesize that the precision
of gamma-synchronization reflects the extent to which CRF data can be accurately
predicted by the surround. Second, we hypothesize that different cortical columns
synchronize to the extent that they accurately predict each other's CRF visual
input. We argue that these two hypotheses can account for a large number of
empirical observations made on the stimulus dependencies of gamma
synchronization. Furthermore, we show that they are consistent with the known
laminar dependencies of gamma-synchronization and the spatial profile of
intercolumnar gamma-synchronization, as well as the dependence of gamma
synchronization on experience and development. Based on our two main hypotheses,
we outline two additional hypotheses. First, we hypothesize that the precision of
gamma-synchronization shows, in general, a negative dependence on RF size. In
support, we review evidence showing that gamma-synchronization decreases in
strength along the visual hierarchy, and tends to be more prominent in species
with small V1 RFs. Second, we hypothesize that gamma-synchronized network
dynamics facilitate the emergence of spiking output that is particularly
information-rich and sparse.
PMID- 27199689
TI - Hand-Camera Coordination Varies over Time in Users of the Argus((r)) II Retinal
Prosthesis System.
AB - INTRODUCTION: Most visual neuroprostheses use an external camera for image
acquisition. This adds two complications to phosphene perception: (1) stimulation
locus will not change with eye movements; and (2) external cameras can be aimed
in directions different from the user's intended direction of gaze. Little is
known about the stability of where users perceive light sources to be or whether
they will adapt to changes in camera orientation. METHODS: Three end-stage
retinitis pigmentosa patients implanted with the Argus II participated in this
study. This prosthesis stimulated the retina based on an 18 degrees * 11 degrees
area selected within the camera's 66 degrees * 49 degrees field of view. The
center of the electrode array's field of view mapped within the camera's field of
view is the camera alignment position (CAP). Proper camera alignments minimize
errors in localizing visual percepts in space. Subjects touched single white
squares in random locations on a darkened touchscreen 40 or more times. To study
adaptation, subjects were given intentional CAP misalignments of 15-40 degrees
for 5-6 months. Subjects performed this test with auditory feedback during (bi
)weekly lab sessions. Misaligned CAPs were maintained for another 5-6 months
without auditory feedback. Touch alignment was tracked to detect any adaptation.
To estimate localization stability, data for when CAPs were set to minimize
errors were tracked. The same localization test as above was used. Localization
errors were tracked every 1-2 weeks for up to 40 months. RESULTS: Two of three
subjects used auditory feedback to improve accuracy with misaligned CAPs at an
average rate of 0.02 degrees /day (p < 0.05, bootstrap analysis of linear
regression). The rates observed here were ~4000 times slower than those seen in
normally-sighted subjects adapting to prism glasses. Removal of auditory feedback
precipitated error increases for all subjects. Optimal CAPs varied significantly
across test sessions (p < 10(-4), bootstrap multivariate analysis of variance
(MANOVA)), up to 21-29 degrees within subjects over the observed period. Across
subjects, optimal CAPs showed an average rate of change of 0.39 degrees /day (SD
0.36 degrees /day). CONCLUSIONS: Optimal CAPs varied dramatically over time for
all subjects. Subjects displayed no adaptation to misaligned CAPs without
feedback. Regular recalibration of CAPs may be required to maintain hand-camera
coordination.
PMID- 27199691
TI - To Cheat or Not To Cheat: Tryptophan Hydroxylase 2 SNP Variants Contribute to
Dishonest Behavior.
AB - Although, lying (bear false witness) is explicitly prohibited in the Decalogue
and a focus of interest in philosophy and theology, more recently the behavioral
and neural mechanisms of deception are gaining increasing attention from diverse
fields especially economics, psychology, and neuroscience. Despite the
considerable role of heredity in explaining individual differences in deceptive
behavior, few studies have investigated which specific genes contribute to the
heterogeneity of lying behavior across individuals. Also, little is known
concerning which specific neurotransmitter pathways underlie deception. Toward
addressing these two key questions, we implemented a neurogenetic strategy and
modeled deception by an incentivized die-under-cup task in a laboratory setting.
The results of this exploratory study provide provisional evidence that SNP
variants across the tryptophan hydroxylase 2 (TPH2) gene, that encodes the rate
limiting enzyme in the biosynthesis of brain serotonin, contribute to individual
differences in deceptive behavior.
PMID- 27199692
TI - Persistent Impact of In utero Irradiation on Mouse Brain Structure and Function
Characterized by MR Imaging and Behavioral Analysis.
AB - Prenatal irradiation is known to perturb brain development. Epidemiological
studies revealed that radiation exposure during weeks 8-15 of pregnancy was
associated with an increased occurrence of mental disability and microcephaly.
Such neurological deficits were reproduced in animal models, in which rodent
behavioral testing is an often used tool to evaluate radiation-induced defective
brain functionality. However, up to now, animal studies suggested a threshold
dose of around 0.30 Gray (Gy) below which no behavioral alterations can be
observed, while human studies hinted at late defects after exposure to doses as
low as 0.10 Gy. Here, we acutely irradiated pregnant mice at embryonic day 11
with doses ranging from 0.10 to 1.00 Gy. A thorough investigation of the dose
response relationship of altered brain function and architecture following in
utero irradiation was achieved using a behavioral test battery and volumetric 3D
T2-weighted magnetic resonance imaging (MRI). We found dose-dependent changes in
cage activity, social behavior, anxiety-related exploration, and spatio-cognitive
performance. Although behavioral alterations in low-dose exposed animals were
mild, we did unveil that both emotionality and higher cognitive abilities were
affected in mice exposed to >=0.10 Gy. Microcephaly was apparent from 0.33 Gy
onwards and accompanied by deviations in regional brain volumes as compared to
controls. Of note, total brain volume and the relative volume of the ventricles,
frontal and posterior cerebral cortex, cerebellum, and striatum were most
strongly correlated to altered behavioral parameters. Taken together, we present
conclusive evidence for persistent low-dose effects after prenatal irradiation in
mice and provide a better understanding of the correlation between their brain
size and performance in behavioral tests.
PMID- 27199694
TI - Mapping of the Underlying Neural Mechanisms of Maintenance and Manipulation in
Visuo-Spatial Working Memory Using An n-back Mental Rotation Task: A Functional
Magnetic Resonance Imaging Study.
AB - Mapping of the underlying neural mechanisms of visuo-spatial working memory (WM)
has been shown to consistently elicit activity in right hemisphere dominant
fronto-parietal networks. However to date, the bulk of neuroimaging literature
has focused largely on the maintenance aspect of visuo-spatial WM, with a
scarcity of research into the aspects of WM involving manipulation of
information. Thus, this study aimed to compare maintenance-only with maintenance
and manipulation of visuo-spatial stimuli (3D cube shapes) utilizing a 1-back
task while functional magnetic resonance imaging (fMRI) scans were acquired.
Sixteen healthy participants (9 women, M = 23.94 years, SD = 2.49) were required
to perform the 1-back task with or without mentally rotating the shapes 90
degrees on a vertical axis. When no rotation was required (maintenance-only
condition), a right hemispheric lateralization was revealed across fronto
parietal areas. However, when the task involved maintaining and manipulating the
same stimuli through 90 degrees rotation, activation was primarily seen in the
bilateral parietal lobe and left fusiform gyrus. The findings confirm that the
well-established right lateralized fronto-parietal networks are likely to
underlie simple maintenance of visuo-spatial stimuli. The results also suggest
that the added demand of manipulation of information maintained online appears to
require further neural recruitment of functionally related areas. In particular
mental rotation of visuospatial stimuli required bilateral parietal areas, and
the left fusiform gyrus potentially to maintain a categorical or object
representation. It can be concluded that WM is a complex neural process involving
the interaction of an increasingly large network.
PMID- 27199695
TI - How to Link Brain and Experience? Spatiotemporal Psychopathology of the Lived
Body.
AB - The focus of the present article is on sketching a psychopathology of the body in
schizophrenia and linking it to brain activity. This is done providing converging
data from psychopathological evidence (phenomenal), phenomenological contructs
(trans-phenomenal) and neuroscientific measures (pre-phenomenal). The phenomenal
level is the detailed documentation of the patients' subjective anomalous
experiences. These phenomena are explicit contents in the patients' field of
consciousness. The trans-phenomenal level targets the implicit yet operative
matrix that underlies these anomalous subjective experiences. Abnormal phenomena
are viewed as expressions of a modification of trans-phenomenal matrix, that is,
in terms of an abnormal synthesis or integration through time of intero-, proprio
and extero-ceptive stimuli. Finally, we link the abnormalities of the trans
phenomenal matrix to pre-phenomenal alterations of the brain resting state and of
its spatio-temporal organization, as documented by neurobiological methods
providing spatial and temporal resolution of intrinsic brain activity (with many
features of the resting state remaining yet unclear though). Based on
phenomenological research, the body in schizophrenia is typically experienced in
an itemized way as an object external to one's self and unrelated to events in
the external world. Based on neurobiological data, we tentatively hypothesize
that such anomalies of the lived body are related to decreased integration
between intero-, extero- and proprioceptive experiences by the brain's
spontaneous activity and its temporal structure. Taken all together, this
suggests that we view abnormalities of bodily experience in terms of their
underlying abnormal spatiotemporal features which, as we suppose, can be traced
back to the spatiotemporal features of the brain's spontaneous activity.
PMID- 27199696
TI - Do Differences in Levels, Types, and Duration of Muscle Contraction Have an
Effect on the Degree of Post-exercise Depression?
AB - We conducted two experiments to determine how differences in muscle contraction
levels, muscle contraction types, and movement duration affect degree of post
exercise depression (PED) after non-exhaustive, repetitive finger movement.
Twelve healthy participants performed repetitive abduction movements of the right
index finger at 2 Hz. In experiment 1, we examined the effects of muscle
contraction levels at 10, 20, and 30% maximum voluntary contraction and the
effects of muscle contraction types at isotonic and isometric contraction. In
experiment 2, we examined the effects of movement duration at 2 and 6 min. Motor
evoked potentials (MEPs) were recorded from the right first dorsal interosseous
muscle before movement tasks and 1-10 min after movement tasks. MEP amplitudes
after isotonic contraction tasks were significantly smaller than those after
isometric contraction tasks and decreased with increasing contraction levels, but
were independent of movement duration. This study demonstrated that the degree of
PED after non-exhaustive repetitive finger movement depended on muscle
contraction levels and types. Thus, the degree of PED may depend on the levels of
activity in the motor cortex during a movement task. This knowledge will aid in
the design of rehabilitation protocols.
PMID- 27199693
TI - STN-DBS Reduces Saccadic Hypometria but Not Visuospatial Bias in Parkinson's
Disease Patients.
AB - In contrast to its well-established role in alleviating skeleto-motor symptoms in
Parkinson's disease, little is known about the impact of deep brain stimulation
(DBS) of the subthalamic nucleus (STN) on oculomotor control and attention. Eye
tracking data of 17 patients with left-hemibody symptom onset was compared with
17 age-matched control subjects. Free-viewing of natural images was assessed
without stimulation as baseline and during bilateral DBS. To examine the
involvement of ventral STN territories in oculomotion and spatial attention, we
employed unilateral stimulation via the left and right ventralmost contacts
respectively. When DBS was off, patients showed shorter saccades and a rightward
viewing bias compared with controls. Bilateral stimulation in therapeutic
settings improved saccadic hypometria but not the visuospatial bias. At a group
level, unilateral ventral stimulation yielded no consistent effects. However, the
evaluation of electrode position within normalized MNI coordinate space revealed
that the extent of early exploration bias correlated with the precise stimulation
site within the left subthalamic area. These results suggest that oculomotor
impairments "but not higher-level exploration patterns" are effectively
ameliorable by DBS in therapeutic settings. Our findings highlight the relevance
of the STN topography in selecting contacts for chronic stimulation especially
upon appearance of visuospatial attention deficits.
PMID- 27199697
TI - Visualizing the Impact of Art: An Update and Comparison of Current Psychological
Models of Art Experience.
AB - The last decade has witnessed a renaissance of empirical and psychological
approaches to art study, especially regarding cognitive models of art processing
experience. This new emphasis on modeling has often become the basis for our
theoretical understanding of human interaction with art. Models also often define
areas of focus and hypotheses for new empirical research, and are increasingly
important for connecting psychological theory to discussions of the brain.
However, models are often made by different researchers, with quite different
emphases or visual styles. Inputs and psychological outcomes may be differently
considered, or can be under-reported with regards to key functional components.
Thus, we may lose the major theoretical improvements and ability for comparison
that can be had with models. To begin addressing this, this paper presents a
theoretical assessment, comparison, and new articulation of a selection of key
contemporary cognitive or information-processing-based approaches detailing the
mechanisms underlying the viewing of art. We review six major models in
contemporary psychological aesthetics. We in turn present redesigns of these
models using a unified visual form, in some cases making additions or creating
new models where none had previously existed. We also frame these approaches in
respect to their targeted outputs (e.g., emotion, appraisal, physiological
reaction) and their strengths within a more general framework of early,
intermediate, and later processing stages. This is used as a basis for general
comparison and discussion of implications and future directions for modeling, and
for theoretically understanding our engagement with visual art.
PMID- 27199700
TI - Editorial: Neural Mechanisms Underlying Movement-Based Embodied Contemplative
Practices.
PMID- 27199699
TI - Foreign Accent Syndrome As a Psychogenic Disorder: A Review.
AB - In the majority of cases published between 1907 and 2014, FAS is due to a
neurogenic etiology. Only a few reports about FAS with an assumed psychogenic
origin have been published. The present article discusses the findings of a
careful database search on psychogenic FAS. This review may be particularly
relevant as it is the first to analyze the salient features of psychogenic FAS
cases to date. This article hopes to pave the way for the view that psychogenic
FAS is a cognate of neurogenic FAS. It is felt that this variant of FAS may have
been underreported, as most of the psychogenic cases have been published after
the turn of the century. This review may improve the diagnosis of the syndrome in
clinical practice and highlights the importance of recognizing psychogenic FAS as
an independent taxonomic entity.
PMID- 27199698
TI - Greater Repertoire and Temporal Variability of Cross-Frequency Coupling (CFC)
Modes in Resting-State Neuromagnetic Recordings among Children with Reading
Difficulties.
AB - Cross-frequency, phase-to-amplitude coupling (PAC) between neuronal oscillations
at rest may serve as the substrate that supports information exchange between
functionally specialized neuronal populations both within and between cortical
regions. The study utilizes novel algorithms to identify prominent instantaneous
modes of cross-frequency coupling and their temporal stability in resting state
magnetoencephalography (MEG) data from 25 students experiencing severe reading
difficulties (RD) and 27 age-matched non-impaired readers (NI). Phase coherence
estimates were computed in order to identify the prominent mode of PAC
interaction for each sensor, sensor pair, and pair of frequency bands (from delta
to gamma) at successive time windows of the continuous MEG record. The degree of
variability in the characteristic frequency-pair PAC(f1-f2) modes over time was
also estimated. Results revealed a wider repertoire of prominent PAC interactions
in RD as compared to NI students, suggesting an altered functional substrate for
information exchange between neuronal assemblies in the former group. Moreover,
RD students showed significant variability in PAC modes over time. This temporal
instability of PAC values was particularly prominent: (a) within and between
right hemisphere temporo-parietal and occipito-temporal sensors and, (b) between
left hemisphere frontal, temporal, and occipito-temporal sensors and
corresponding right hemisphere sites. Altered modes of neuronal population
coupling may help account for extant data revealing reduced, task-related
neurophysiological and hemodynamic activation in left hemisphere regions involved
in the reading network in RD. Moreover, the spatial distribution of pronounced
instability of cross-frequency coupling modes in this group may provide an
explanation for previous reports suggesting the presence of inefficient
compensatory mechanisms to support reading.
PMID- 27199703
TI - Editorial: Perceiving and Acting in the Real World: From Neural Activity to
Behavior.
PMID- 27199701
TI - Pre-Trial EEG-Based Single-Trial Motor Performance Prediction to Enhance
Neuroergonomics for a Hand Force Task.
AB - We propose a framework for building electrophysiological predictors of single
trial motor performance variations, exemplified for SVIPT, a sequential isometric
force control task suitable for hand motor rehabilitation after stroke.
Electroencephalogram (EEG) data of 20 subjects with mean age of 53 years was
recorded prior to and during 400 trials of SVIPT. They were executed within a
single session with the non-dominant left hand, while receiving continuous visual
feedback of the produced force trajectories. The behavioral data showed strong
trial-by-trial performance variations for five clinically relevant metrics, which
accounted for reaction time as well as for the smoothness and precision of the
produced force trajectory. 18 out of 20 tested subjects remained after
preprocessing and entered offline analysis. Source Power Comodulation (SPoC) was
applied on EEG data of a short time interval prior to the start of each SVIPT
trial. For 11 subjects, SPoC revealed robust oscillatory EEG subspace components,
whose bandpower activity are predictive for the performance of the upcoming
trial. Since SPoC may overfit to non-informative subspaces, we propose to apply
three selection criteria accounting for the meaningfulness of the features.
Across all subjects, the obtained components were spread along the frequency
spectrum and showed a variety of spatial activity patterns. Those containing the
highest level of predictive information resided in and close to the alpha band.
Their spatial patterns resemble topologies reported for visual attention
processes as well as those of imagined or executed hand motor tasks. In summary,
we identified subject-specific single predictors that explain up to 36% of the
performance fluctuations and may serve for enhancing neuroergonomics of motor
rehabilitation scenarios.
PMID- 27199704
TI - Do Visual and Vestibular Inputs Compensate for Somatosensory Loss in the
Perception of Spatial Orientation? Insights from a Deafferented Patient.
AB - The present study aimed at investigating the consequences of a massive loss of
somatosensory inputs on the perception of spatial orientation. The occurrence of
possible compensatory processes for external (i.e., object) orientation
perception and self-orientation perception was examined by manipulating visual
and/or vestibular cues. To that aim, we compared perceptual responses of a
deafferented patient (GL) with respect to age-matched Controls in two tasks
involving gravity-related judgments. In the first task, subjects had to align a
visual rod with the gravitational vertical (i.e., Subjective Visual Vertical:
SVV) when facing a tilted visual frame in a classic Rod-and-Frame Test. In the
second task, subjects had to report whether they felt tilted when facing
different visuo-postural conditions which consisted in very slow pitch tilts of
the body and/or visual surroundings away from vertical. Results showed that, much
more than Controls, the deafferented patient was fully dependent on spatial cues
issued from the visual frame when judging the SVV. On the other hand, the
deafferented patient did not rely at all on visual cues for self-tilt detection.
Moreover, the patient never reported any sensation of tilt up to 18 degrees
contrary to Controls, hence showing that she did not rely on vestibular (i.e.,
otoliths) signals for the detection of very slow body tilts either. Overall, this
study demonstrates that a massive somatosensory deficit substantially impairs the
perception of spatial orientation, and that the use of the remaining sensory
inputs available to a deafferented patient differs regarding whether the judgment
concerns external vs. self-orientation.
PMID- 27199705
TI - Neuronal Oscillations in Various Frequency Bands Differ between Pain and Touch.
AB - Although humans are generally capable of distinguishing single events of pain or
touch, recent research suggested that both modalities activate a network of
similar brain regions. By contrast, less attention has been paid to which
processes uniquely contribute to each modality. The present study investigated
the neuronal oscillations that enable a subject to process pain and touch as well
as to evaluate the intensity of both modalities by means of
Electroencephalography. Nineteen healthy subjects were asked to rate the
intensity of each stimulus at single trial level. By computing Linear mixed
effects models (LME) encoding of both modalities was explored by relating
stimulus intensities to brain responses. While the intensity of single touch
trials is encoded only by theta activity, pain perception is encoded by theta,
alpha and gamma activity. Beta activity in the tactile domain shows an on/off
like characteristic in response to touch which was not observed in the pain
domain. Our results enhance recent findings pointing to the contribution of
different neuronal oscillations to the processing of nociceptive and tactile
stimuli.
PMID- 27199702
TI - Visual Illusions: An Interesting Tool to Investigate Developmental Dyslexia and
Autism Spectrum Disorder.
AB - A visual illusion refers to a percept that is different in some aspect from the
physical stimulus. Illusions are a powerful non-invasive tool for understanding
the neurobiology of vision, telling us, indirectly, how the brain processes
visual stimuli. There are some neurodevelopmental disorders characterized by
visual deficits. Surprisingly, just a few studies investigated illusory
perception in clinical populations. Our aim is to review the literature
supporting a possible role for visual illusions in helping us understand the
visual deficits in developmental dyslexia and autism spectrum disorder. Future
studies could develop new tools - based on visual illusions - to identify an
early risk for neurodevelopmental disorders.
PMID- 27199707
TI - Flicker-Driven Responses in Visual Cortex Change during Matched-Frequency
Transcranial Alternating Current Stimulation.
AB - We tested a novel combination of two neuro-stimulation techniques, transcranial
alternating current stimulation (tACS) and frequency tagging, that promises
powerful paradigms to study the causal role of rhythmic brain activity in
perception and cognition. Participants viewed a stimulus flickering at 7 or 11 Hz
that elicited periodic brain activity, termed steady-state responses (SSRs), at
the same temporal frequency and its higher order harmonics. Further, they
received simultaneous tACS at 7 or 11 Hz that either matched or differed from the
flicker frequency. Sham tACS served as a control condition. Recent advances in
reconstructing cortical sources of oscillatory activity allowed us to measure
SSRs during concurrent tACS, which is known to impose strong artifacts in
magnetoencephalographic (MEG) recordings. For the first time, we were thus able
to demonstrate immediate effects of tACS on SSR-indexed early visual processing.
Our data suggest that tACS effects are largely frequency-specific and reveal a
characteristic pattern of differential influences on the harmonic constituents of
SSRs.
PMID- 27199706
TI - Amygdala Regulation Following fMRI-Neurofeedback without Instructed Strategies.
AB - Within the field of functional magnetic resonance imaging (fMRI) neurofeedback,
most studies provide subjects with instructions or suggest strategies to regulate
a particular brain area, while other neuro-/biofeedback approaches often do not.
This study is the first to investigate the hypothesis that subjects are able to
utilize fMRI neurofeedback to learn to differentially modulate the fMRI signal
from the bilateral amygdala congruent with the prescribed regulation direction
without an instructed or suggested strategy and apply what they learned even when
feedback is no longer available. Thirty-two subjects were included in the
analysis. Data were collected at 3 Tesla using blood oxygenation level dependent
(BOLD)-sensitivity optimized multi-echo EPI. Based on the mean contrast between
up- and down-regulation in the amygdala in a post-training scan without feedback
following three neurofeedback sessions, subjects were able to regulate their
amygdala congruent with the prescribed directions with a moderate effect size of
Cohen's d = 0.43 (95% conf. int. 0.23-0.64). This effect size would be reduced,
however, through stricter exclusion criteria for subjects that show alterations
in respiration. Regulation capacity was positively correlated with subjective
arousal ratings and negatively correlated with agreeableness and susceptibility
to anger. A learning effect over the training sessions was only observed with end
of-block feedback (EoBF) but not with continuous feedback (trend). The results
confirm the above hypothesis. Further studies are needed to compare effect sizes
of regulation capacity for approaches with and without instructed strategies.
PMID- 27199709
TI - Sensorimotor Synchronization with Different Metrical Levels of Point-Light Dance
Movements.
AB - Rhythm perception and synchronization have been extensively investigated in the
auditory domain, as they underlie means of human communication such as music and
speech. Although recent studies suggest comparable mechanisms for synchronizing
with periodically moving visual objects, the extent to which it applies to
ecologically relevant information, such as the rhythm of complex biological
motion, remains unknown. The present study addressed this issue by linking rhythm
of music and dance in the framework of action-perception coupling. As a previous
study showed that observers perceived multiple metrical periodicities in dance
movements that embodied this structure, the present study examined whether
sensorimotor synchronization (SMS) to dance movements resembles what is known of
auditory SMS. Participants watched a point-light figure performing two basic
steps of Swing dance cyclically, in which the trunk bounced at every beat and the
limbs moved at every second beat, forming two metrical periodicities.
Participants tapped synchronously to the bounce of the trunk with or without the
limbs moving in the stimuli (Experiment 1), or tapped synchronously to the leg
movements with or without the trunk bouncing simultaneously (Experiment 2).
Results showed that, while synchronization with the bounce (lower-level pulse)
was not influenced by the presence or absence of limb movements (metrical
accent), synchronization with the legs (beat) was improved by the presence of the
bounce (metrical subdivision) across different movement types. The latter finding
parallels the "subdivision benefit" often demonstrated in auditory tasks,
suggesting common sensorimotor mechanisms for visual rhythms in dance and
auditory rhythms in music.
PMID- 27199708
TI - Neural Mechanisms of Inhibitory Response in a Battlefield Scenario: A
Simultaneous fMRI-EEG Study.
AB - The stop-signal paradigm has been widely adopted as a way to parametrically
quantify the response inhibition process. To evaluate inhibitory function in
realistic environmental settings, the current study compared stop-signal
responses in two different scenarios: one uses simple visual symbols as go and
stop signals, and the other translates the typical design into a battlefield
scenario (BFS) where a sniper-scope view was the background, a terrorist image
was the go signal, a hostage image was the stop signal, and the task instructions
were to shoot at terrorists only when hostages were not present but to refrain
from shooting if hostages appeared. The BFS created a threatening environment and
allowed the evaluation of how participants' inhibitory control manifest in this
realistic stop-signal task. In order to investigate the participants' brain
activities with both high spatial and temporal resolution, simultaneous
functional magnetic resonance imaging (fMRI) and electroencephalography (EEG)
recordings were acquired. The results demonstrated that both scenarios induced
increased activity in the right inferior frontal gyrus (rIFG) and
presupplementary motor area (preSMA), which have been linked to response
inhibition. Notably, in right temporoparietal junction (rTPJ) we found both
higher blood-oxygen-level dependent (BOLD) activation and synchronization of
theta-alpha activities (4-12 Hz) in the BFS than in the traditional scenario
after the stop signal. The higher activation of rTPJ in the BFS may be related to
morality judgments or attentional reorienting. These results provided new
insights into the complex brain networks involved in inhibitory control within
naturalistic environments.
PMID- 27199710
TI - The Brain Is Faster than the Hand in Split-Second Intentions to Respond to an
Impending Hazard: A Simulation of Neuroadaptive Automation to Speed Recovery to
Perturbation in Flight Attitude.
AB - The goal of this research is to test the potential for neuroadaptive automation
to improve response speed to a hazardous event by using a brain-computer
interface (BCI) to decode perceptual-motor intention. Seven participants
underwent four experimental sessions while measuring brain activity with
magnetoencephalograpy. The first three sessions were of a simple constrained task
in which the participant was to pull back on the control stick to recover from a
perturbation in attitude in one condition and to passively observe the
perturbation in the other condition. The fourth session consisted of having to
recover from a perturbation in attitude while piloting the plane through the
Grand Canyon constantly maneuvering to track over the river below. Independent
component analysis was used on the first two sessions to extract artifacts and
find an event related component associated with the onset of the perturbation.
These two sessions were used to train a decoder to classify trials in which the
participant recovered from the perturbation (motor intention) vs. just passively
viewing the perturbation. The BCI-decoder was tested on the third session of the
same simple task and found to be able to significantly distinguish motor
intention trials from passive viewing trials (mean = 69.8%). The same BCI-decoder
was then used to test the fourth session on the complex task. The BCI-decoder
significantly classified perturbation from no perturbation trials (73.3%) with a
significant time savings of 72.3 ms (Original response time of 425.0-352.7 ms for
BCI-decoder). The BCI-decoder model of the best subject was shown to generalize
for both performance and time savings to the other subjects. The results of our
off-line open loop simulation demonstrate that BCI based neuroadaptive automation
has the potential to decode motor intention faster than manual control in
response to a hazardous perturbation in flight attitude while ignoring ongoing
motor and visual induced activity related to piloting the airplane.
PMID- 27199711
TI - More Than Meets the Eye: The Merging of Perceptual and Conceptual Knowledge in
the Anterior Temporal Face Area.
AB - An emerging body of research has supported the existence of a small face
sensitive region in the ventral anterior temporal lobe (ATL), referred to here as
the "anterior temporal face area". The contribution of this region in the greater
face-processing network remains poorly understood. The goal of the present study
was to test the relative sensitivity of this region to perceptual as well as
conceptual information about people and objects. We contrasted the sensitivity of
this region to that of two highly-studied face-sensitive regions, the fusiform
face area (FFA) and the occipital face area (OFA), as well as a control region in
early visual cortex (EVC). Our findings revealed that multivoxel activity
patterns in the anterior temporal face area contain information about facial
identity, as well as conceptual attributes such as one's occupation. The
sensitivity of this region to the conceptual attributes of people was greater
than that of posterior face processing regions. In addition, the anterior
temporal face area overlaps with voxels that contain information about the
conceptual attributes of concrete objects, supporting a generalized role of the
ventral ATLs in the identification and conceptual processing of multiple stimulus
classes.
PMID- 27199712
TI - Decreased Cerebellar-Orbitofrontal Connectivity Correlates with Stuttering
Severity: Whole-Brain Functional and Structural Connectivity Associations with
Persistent Developmental Stuttering.
AB - Persistent developmental stuttering is characterized by speech production
disfluency and affects 1% of adults. The degree of impairment varies widely
across individuals and the neural mechanisms underlying the disorder and this
variability remain poorly understood. Here we elucidate compensatory mechanisms
related to this variability in impairment using whole-brain functional and white
matter connectivity analyses in persistent developmental stuttering. We found
that people who stutter had stronger functional connectivity between cerebellum
and thalamus than people with fluent speech, while stutterers with the least
severe symptoms had greater functional connectivity between left cerebellum and
left orbitofrontal cortex (OFC). Additionally, people who stutter had decreased
functional and white matter connectivity among the perisylvian auditory, motor,
and speech planning regions compared to typical speakers, but greater functional
connectivity between the right basal ganglia and bilateral temporal auditory
regions. Structurally, disfluency ratings were negatively correlated with white
matter connections to left perisylvian regions and to the brain stem. Overall, we
found increased connectivity among subcortical and reward network structures in
people who stutter compared to controls. These connections were negatively
correlated with stuttering severity, suggesting the involvement of cerebellum and
OFC may underlie successful compensatory mechanisms by more fluent stutterers.
PMID- 27199714
TI - Hybrid ICA-Regression: Automatic Identification and Removal of Ocular Artifacts
from Electroencephalographic Signals.
AB - Electroencephalography (EEG) is a portable brain-imaging technique with the
advantage of high-temporal resolution that can be used to record electrical
activity of the brain. However, it is difficult to analyze EEG signals due to the
contamination of ocular artifacts, and which potentially results in misleading
conclusions. Also, it is a proven fact that the contamination of ocular artifacts
cause to reduce the classification accuracy of a brain-computer interface (BCI).
It is therefore very important to remove/reduce these artifacts before the
analysis of EEG signals for applications like BCI. In this paper, a hybrid
framework that combines independent component analysis (ICA), regression and high
order statistics has been proposed to identify and eliminate artifactual
activities from EEG data. We used simulated, experimental and standard EEG
signals to evaluate and analyze the effectiveness of the proposed method. Results
demonstrate that the proposed method can effectively remove ocular artifacts as
well as it can preserve the neuronal signals present in EEG data. A comparison
with four methods from literature namely ICA, regression analysis, wavelet-ICA
(wICA), and regression-ICA (REGICA) confirms the significantly enhanced
performance and effectiveness of the proposed method for removal of ocular
activities from EEG, in terms of lower mean square error and mean absolute error
values and higher mutual information between reconstructed and original EEG.
PMID- 27199713
TI - Oscillatory Brain Dynamics during Sentence Reading: A Fixation-Related Spectral
Perturbation Analysis.
AB - The present study investigated oscillatory brain dynamics during self-paced
sentence-level processing. Participants read fully correct sentences, sentences
containing a semantic violation and "sentences" in which the order of the words
was randomized. At the target word level, fixations on semantically unrelated
words elicited a lower-beta band (13-18 Hz) desynchronization. At the sentence
level, gamma power (31-55 Hz) increased linearly for syntactically correct
sentences, but not when the order of the words was randomized. In the 300-900 ms
time window after sentence onsets, theta power (4-7 Hz) was greater for
syntactically correct sentences as compared to sentences where no syntactic
structure was preserved (random words condition). We interpret our results as
conforming with a recently formulated predictive-coding framework for oscillatory
neural dynamics during sentence-level language comprehension. Additionally, we
discuss how our results relate to previous findings with serial visual
presentation vs. self-paced reading.
PMID- 27199715
TI - Informal Face-to-Face Interaction Improves Mood State Reflected in Prefrontal
Cortex Activity.
AB - Recent progress with wearable sensors has enabled researchers to capture face-to
face interactions quantitatively and given great insight into human dynamics. One
attractive field for applying such sensors is the workplace, where the
relationship between the face-to-face behaviors of employees and the productivity
of the organization has been investigated. One interesting result of previous
studies showed that informal face-to-face interaction among employees, captured
by wearable sensors that the employees wore, significantly affects their
performance. However, the mechanism behind this relationship has not yet been
adequately explained, though experiences at the job scene might qualitatively
support the finding. We hypothesized that informal face-to-face interaction
improves mood state, which in turn affects the task performance. To test this
hypothesis, we evaluated the change of mood state before and after break time for
two groups of participants, one that spent their breaks alone and one that spent
them with other participants, by administering questionnaires and taking brain
activity measurements. Recent neuroimaging studies have suggested a significant
relationship between mood state and brain activity. Here, we show that face-to
face interaction during breaks significantly improved mood state, which was
measured by Profiles of Mood States (POMS). We also observed that the verbal
working memory (WM) task performance of participants who did not have face-to
face interaction during breaks decreased significantly. In this paper, we discuss
how the change of mood state was evidenced in the prefrontal cortex (PFC)
activity accompanied by WM tasks measured by near-infrared spectroscopy (NIRS).
PMID- 27199716
TI - Mapping Smoking Addiction Using Effective Connectivity Analysis.
AB - Prefrontal and parietal cortex, including the default mode network (DMN; medial
prefrontal cortex (mPFC), and posterior cingulate cortex, PCC), have been
implicated in addiction. Nonetheless, it remains unclear which brain regions play
a crucial role in smoking addiction and the relationship among these regions.
Since functional connectivity only measures correlations, addiction-related
changes in effective connectivity (directed information flow) among these
distributed brain regions remain largely unknown. Here we applied spectral
dynamic causal modeling (spDCM) to resting state fMRI to characterize changes in
effective connectivity among core regions in smoking addiction. Compared to
nonsmokers, smokers had reduced effective connectivity from PCC to mPFC and from
RIPL to mPFC, a higher self-inhibition within PCC and a reduction in the
amplitude of endogenous neuronal fluctuations driving the mPFC. These results
indicate that spDCM can differentiate the functional architectures between the
two groups, and may provide insight into the brain mechanisms underlying smoking
addiction. Our results also suggest that future brain-based prevention and
intervention in addiction should consider the amelioration of mPFC-PCC-IPL
circuits.
PMID- 27199717
TI - Commentary: Episodic Memory Retrieval Functionally Relies on Very Rapid
Reactivation of Sensory Information.
PMID- 27199718
TI - Pantomime-Grasping: Advance Knowledge of Haptic Feedback Availability Supports an
Absolute Visuo-Haptic Calibration.
AB - An emerging issue in movement neurosciences is whether haptic feedback influences
the nature of the information supporting a simulated grasping response (i.e.,
pantomime-grasping). In particular, recent work by our group contrasted pantomime
grasping responses performed with (i.e., PH+ trials) and without (i.e., PH-
trials) terminal haptic feedback in separate blocks of trials. Results showed
that PH- trials were mediated via relative visual information. In contrast, PH+
trials showed evidence of an absolute visuo-haptic calibration-a finding
attributed to an error signal derived from a comparison between expected and
actual haptic feedback (i.e., an internal forward model). The present study
examined whether advanced knowledge of haptic feedback availability influences
the aforementioned calibration process. To that end, PH- and PH+ trials were
completed in separate blocks (i.e., the feedback schedule used in our group's
previous study) and a block wherein PH- and PH+ trials were randomly interleaved
on a trial-by-trial basis (i.e., random feedback schedule). In other words, the
random feedback schedule precluded participants from predicting whether haptic
feedback would be available at the movement goal location. We computed just
noticeable-difference (JND) values to determine whether responses adhered to, or
violated, the relative psychophysical principles of Weber's law. Results for the
blocked feedback schedule replicated our group's previous work, whereas in the
random feedback schedule PH- and PH+ trials were supported via relative visual
information. Accordingly, we propose that a priori knowledge of haptic feedback
is necessary to support an absolute visuo-haptic calibration. Moreover, our
results demonstrate that the presence and expectancy of haptic feedback is an
important consideration in contrasting the behavioral and neural properties of
natural and simulated grasping.
PMID- 27199719
TI - Decreased Modulation of EEG Oscillations in High-Functioning Autism during a
Motor Control Task.
AB - Autism spectrum disorders (ASD) are thought to result in part from altered
cortical excitatory-inhibitory balance; this pathophysiology may impact the
generation of oscillations on electroencephalogram (EEG). We investigated
premotor-parietal cortical physiology associated with praxis, which has strong
theoretical and empirical associations with ASD symptomatology. Twenty five
children with high-functioning ASD (HFA) and 33 controls performed a praxis task
involving the pantomiming of tool use, while EEG was recorded. We assessed task
related modulation of signal power in alpha and beta frequency bands. Compared
with controls, subjects with HFA showed 27% less left central (motor/premotor)
beta (18-22 Hz) event-related desynchronization (ERD; p = 0.030), as well as 24%
less left parietal alpha (7-13 Hz) ERD (p = 0.046). Within the HFA group,
blunting of central ERD attenuation was associated with impairments in clinical
measures of praxis imitation (r = -0.4; p = 0.04) and increased autism severity
(r = 0.48; p = 0.016). The modulation of central beta activity is associated,
among other things, with motor imagery, which may be necessary for imitation.
Impaired imitation has been associated with core features of ASD. Altered
modulation of oscillatory activity may be mechanistically involved in those
aspects of motor network function that relate to the core symptoms of ASD.
PMID- 27199720
TI - Electrocorticographic Activation within Human Auditory Cortex during Dialog-Based
Language and Cognitive Testing.
AB - Current models of cortical speech and language processing include multiple
regions within the temporal lobe of both hemispheres. Human communication, by
necessity, involves complex interactions between regions subserving speech and
language processing with those involved in more general cognitive functions. To
assess these interactions, we utilized an ecologically salient conversation-based
approach. This approach mandates that we first clarify activity patterns at the
earliest stages of cortical speech processing. Therefore, we examined high gamma
(70-150 Hz) responses within the electrocorticogram (ECoG) recorded
simultaneously from Heschl's gyrus (HG) and lateral surface of the superior
temporal gyrus (STG). Subjects were neurosurgical patients undergoing evaluation
for treatment of medically intractable epilepsy. They performed an expanded
version of the Mini-mental state examination (MMSE), which included additional
spelling, naming, and memory-based tasks. ECoG was recorded from HG and the STG
using multicontact depth and subdural electrode arrays, respectively. Differences
in high gamma activity during listening to the interviewer and the subject's self
generated verbal responses were quantified for each recording site and across
sites within HG and STG. The expanded MMSE produced widespread activation in
auditory cortex of both hemispheres. No significant difference was found between
activity during listening to the interviewer's questions and the subject's
answers in posteromedial HG (auditory core cortex). A different pattern was
observed throughout anterolateral HG and posterior and middle portions of lateral
STG (non-core auditory cortical areas), where activity was significantly greater
during listening compared to speaking. No systematic task-specific differences in
the degree of suppression during speaking relative to listening were found in
posterior and middle STG. Individual sites could, however, exhibit task-related
variability in the degree of suppression during speaking compared to listening.
The current study demonstrates that ECoG recordings can be acquired in time
efficient dialog-based paradigms, permitting examination of language and
cognition in an ecologically salient manner. The results obtained from auditory
cortex serve as a foundation for future studies addressing patterns of activity
beyond auditory cortex that subserve human communication.
PMID- 27199721
TI - Increased Adaptation Rates and Reduction in Trial-by-Trial Variability in
Subjects with Cerebral Palsy Following a Multi-session Locomotor Adaptation
Training.
AB - Cerebral Palsy (CP) results from an insult to the developing brain and is
associated with deficits in locomotor and manual skills and in sensorimotor
adaptation. We hypothesized that the poor sensorimotor adaptation in persons with
CP is related to their high execution variability and does not reflect a general
impairment in adaptation learning. We studied the interaction between performance
variability and adaptation deficits using a multi-session locomotor adaptation
design in persons with CP. Six adolescents with diplegic CP were exposed, during
a period of 15 weeks, to a repeated split-belt treadmill perturbation spread over
30 sessions and were tested again 6 months after the end of training. Compared to
age-matched healthy controls, subjects with CP showed poor adaptation and high
execution variability in the first exposure to the perturbation. Following
training they showed marked reduction in execution variability and an increase in
learning rates. The reduction in variability and the improvement in adaptation
were highly correlated in the CP group and were retained 6 months after training.
Interestingly, despite reducing their variability in the washout phase, subjects
with CP did not improve learning rates during washout phases that were introduced
only four times during the experiment. Our results suggest that locomotor
adaptation in subjects with CP is related to their execution variability.
Nevertheless, while variability reduction is generalized to other locomotor
contexts, the development of savings requires both reduction in execution
variability and multiple exposures to the perturbation.
PMID- 27199722
TI - Neural Adaptations Associated with Interlimb Transfer in a Ballistic Wrist
Flexion Task.
AB - Cross education is the process whereby training of one limb gives rise to
increases in the subsequent performance of its opposite counterpart. The
execution of many unilateral tasks is associated with increased excitability of
corticospinal projections from primary motor cortex (M1) to the opposite limb. It
has been proposed that these effects are causally related. Our aim was to
establish whether changes in corticospinal excitability (CSE) arising from prior
training of the opposite limb determine levels of interlimb transfer. We used
three vision conditions shown previously to modulate the excitability of
corticospinal projections to the inactive (right) limb during wrist flexion
movements performed by the training (left) limb. These were: (1) mirrored visual
feedback of the training limb; (2) no visual feedback of either limb; and (3)
visual feedback of the inactive limb. Training comprised 300 discrete, ballistic
wrist flexion movements executed as rapidly as possible. Performance of the right
limb on the same task was assessed prior to, at the mid point of, and following
left limb training. There was no evidence that variations in the excitability of
corticospinal projections (assessed by transcranial magnetic stimulation (TMS))
to the inactive limb were associated with, or predictive of, the extent of
interlimb transfer that was expressed. There were however associations between
alterations in muscle activation dynamics observed for the untrained limb, and
the degree of positive transfer that arose from training of the opposite limb.
The results suggest that the acute adaptations that mediate the bilateral
performance gains realized through unilateral practice of this ballistic wrist
flexion task are mediated by neural elements other than those within M1 that are
recruited at rest by single-pulse TMS.
PMID- 27199723
TI - Video Game Training Enhances Visuospatial Working Memory and Episodic Memory in
Older Adults.
AB - In this longitudinal intervention study with experimental and control groups, we
investigated the effects of video game training on the visuospatial working
memory (WM) and episodic memory of healthy older adults. Participants were 19
volunteer older adults, who received 15 1-h video game training sessions with a
series of video games selected from a commercial package (Lumosity), and a
control group of 20 healthy older adults. The results showed that the performance
of the trainees improved significantly in all the practiced video games. Most
importantly, we found significant enhancements after training in the trained
group and no change in the control group in two computerized tasks designed to
assess visuospatial WM, namely the Corsi blocks task and the Jigsaw puzzle task.
The episodic memory and short-term memory of the trainees also improved. Gains in
some WM and episodic memory tasks were maintained during a 3-month follow-up
period. These results suggest that the aging brain still retains some degree of
plasticity, and that video game training might be an effective intervention tool
to improve WM and other cognitive functions in older adults.
PMID- 27199724
TI - Outcome Uncertainty and Brain Activity Aberrance in the Insula and Anterior
Cingulate Cortex Are Associated with Dysfunctional Impulsivity in Borderline
Personality Disorder.
AB - Uncertainty is recognized as an important component in distress, which may elicit
impulsive behavior in patients with borderline personality disorder (BPD). These
patients are known to be both impulsive and distress intolerant. The present
study explored the connection between outcome uncertainty and impulsivity in BPD.
The prediction was that cue primes, which provide incomplete information of
subsequent target stimuli, led BPD patients to overrate the predictive value of
these cues in order to reduce distress related to outcome uncertainty. This would
yield dysfunctional impulsive behavior detected as commission errors to
incorrectly primed targets. We hypothesized that dysfunctional impulsivity would
be accompanied by aberrant brain activity in the right insula and anterior
cingulate cortex (ACC), previously described to be involved in uncertainty
processing, attention-/cognitive control and BPD pathology. 14 female BPD
patients and 14 healthy matched controls (HCs) for comparison completed a Posner
task during fMRI at 3T. The task was modified to limit the effect of spatial
orientation and enhance the effect of conscious expectations. Brain activity was
monitored in the priming phase where the effects of cue primes and neutral primes
were compared. As predicted, the BPD group made significantly more commission
errors to incorrectly primed targets than HCs. Also, the patients had faster
reaction times to correctly primed targets relative to targets preceded by
neutral primes. The BPD group had decreased activity in the right mid insula and
increased activity in bilateral dorsal ACC during cue primes. The results
indicate that strong expectations induced by cue primes led to reduced
uncertainty, increased response readiness, and ultimately, dysfunctional
impulsivity in BPD patients. We suggest that outcome uncertainty may be an
important component in distress related impulsivity in BPD.
PMID- 27199726
TI - Simulating the Effect of Reinforcement Learning on Neuronal Synchrony and
Periodicity in the Striatum.
AB - The study of rhythms and oscillations in the brain is gaining attention. While it
is unclear exactly what the role of oscillation, synchrony, and rhythm is, it
appears increasingly likely that synchrony is related to normal and abnormal
brain states and possibly cognition. In this article, we explore the relationship
between basal ganglia (BG) synchrony and reinforcement learning. We simulate a
biologically-realistic model of the striatum initially proposed by Ponzi and
Wickens (2010) and enhance the model by adding plastic cortico-BG synapses that
can be modified using reinforcement learning. The effect of reinforcement
learning on striatal rhythmic activity is then explored, and disrupted using
simulated deep brain stimulation (DBS). The stimulator injects current in the
brain structure to which it is attached, which affects neuronal synchrony. The
results show that training the model without DBS yields a high accuracy in the
learning task and reduced the number of active neurons in the striatum, along
with an increased firing periodicity and a decreased firing synchrony between
neurons in the same assembly. In addition, a spectral decomposition shows a
stronger signal for correct trials than incorrect trials in high frequency bands.
If the DBS is ON during the training phase, but not the test phase, the amount of
learning in the model is reduced, along with firing periodicity. Similar to when
the DBS is OFF, spectral decomposition shows a stronger signal for correct trials
than for incorrect trials in high frequency domains, but this phenoemenon happens
in higher frequency bands than when the DBS is OFF. Synchrony between the neurons
is not affected. Finally, the results show that turning the DBS ON at test
increases both firing periodicity and striatal synchrony, and spectral
decomposition of the signal show that neural activity synchronizes with the DBS
fundamental frequency (and its harmonics). Turning the DBS ON during the test
phase results in chance performance regardless of whether the DBS was ON or OFF
during training. We conclude that reinforcement learning is related to firing
periodicity, and a stronger signal for correct trials when compared to incorrect
trials in high frequency bands.
PMID- 27199725
TI - Computational Analysis of the Hypothalamic Control of Food Intake.
AB - Food-intake control is mediated by a heterogeneous network of different neural
subtypes, distributed over various hypothalamic nuclei and other brain
structures, in which each subtype can release more than one neurotransmitter or
neurohormone. The complexity of the interactions of these subtypes poses a
challenge to understanding their specific contributions to food-intake control,
and apparent consistencies in the dataset can be contradicted by new findings.
For example, the growing consensus that arcuate nucleus neurons expressing Agouti
related peptide (AgRP neurons) promote feeding, while those expressing pro
opiomelanocortin (POMC neurons) suppress feeding, is contradicted by findings
that low AgRP neuron activity and high POMC neuron activity can be associated
with high levels of food intake. Similarly, the growing consensus that GABAergic
neurons in the lateral hypothalamus suppress feeding is contradicted by findings
suggesting the opposite. Yet the complexity of the food-intake control network
admits many different network behaviors. It is possible that anomalous
associations between the responses of certain neural subtypes and feeding are
actually consistent with known interactions, but their effect on feeding depends
on the responses of the other neural subtypes in the network. We explored this
possibility through computational analysis. We made a computer model of the
interactions between the hypothalamic and other neural subtypes known to be
involved in food-intake control, and optimized its parameters so that model
behavior matched observed behavior over an extensive test battery. We then used
specialized computational techniques to search the entire model state space,
where each state represents a different configuration of the responses of the
units (model neural subtypes) in the network. We found that the anomalous
associations between the responses of certain hypothalamic neural subtypes and
feeding are actually consistent with the known structure of the food-intake
control network, and we could specify the ways in which the anomalous
configurations differed from the expected ones. By analyzing the temporal
relationships between different states we identified the conditions under which
the anomalous associations can occur, and these stand as model predictions.
PMID- 27199727
TI - A Brain Signature to Differentiate Acute and Chronic Pain in Rats.
AB - The transition from acute pain to chronic pain entails considerable changes of
patients at multiple levels of the nervous system and in psychological states. An
accurate differentiation between acute and chronic pain is essential in pain
management as it may help optimize analgesic treatments according to the pain
state of patients. Given that acute and chronic pain could modulate brain states
in different ways and that brain states could greatly shape the neural processing
of external inputs, we hypothesized that acute and chronic pain would show
differential effects on cortical responses to non-nociceptive sensory
information. Here by analyzing auditory-evoked potentials (AEPs) to pure tones in
rats with acute or chronic pain, we found opposite influences of acute and
chronic pain on cortical responses to auditory inputs. In particular, compared to
no-pain controls, the N100 wave of rat AEPs was significantly enhanced in rats
with acute pain but significantly reduced in rats with chronic pain, indicating
that acute pain facilitated cortical processing of auditory information while
chronic pain exerted an inhibitory effect. These findings could be justified by
the fact that individuals suffering from acute or chronic pain would have
different vigilance states, i.e., the vigilance level to external sensory stimuli
would be increased with acute pain, but decreased with chronic pain. Therefore,
this auditory response holds promise of being a brain signature to differentiate
acute and chronic pain. Instead of investigating the pain system per se, the
study of pain-induced influences on cortical processing of non-nocicpetive
sensory information might represent a potential strategy to monitor the progress
of pain chronification in clinical applications.
PMID- 27199729
TI - An Intracranial Electroencephalography (iEEG) Brain Function Mapping Tool with an
Application to Epilepsy Surgery Evaluation.
AB - OBJECTS: Before epilepsy surgeries, intracranial electroencephalography (iEEG) is
often employed in function mapping and epileptogenic foci localization. Although
the implanted electrodes provide crucial information for epileptogenic zone
resection, a convenient clinical tool for electrode position registration and
Brain Function Mapping (BFM) visualization is still lacking. In this study, we
developed a BFM Tool, which facilitates electrode position registration and BFM
visualization, with an application to epilepsy surgeries. METHODS: The BFM Tool
mainly utilizes electrode location registration and function mapping based on pre
defined brain models from other software. In addition, the electrode node and
mapping properties, such as the node size/color, edge color/thickness, mapping
method, can be adjusted easily using the setting panel. Moreover, users may
manually import/export location and connectivity data to generate figures for
further application. The role of this software is demonstrated by a clinical
study of language area localization. RESULTS: The BFM Tool helps clinical doctors
and researchers visualize implanted electrodes and brain functions in an easy,
quick and flexible manner. CONCLUSIONS: Our tool provides convenient electrode
registration, easy brain function visualization, and has good performance. It is
clinical-oriented and is easy to deploy and use. The BFM tool is suitable for
epilepsy and other clinical iEEG applications.
PMID- 27199728
TI - Topography of Synchronization of Somatosensory Evoked Potentials Elicited by
Stimulation of the Sciatic Nerve in Rat.
AB - PURPOSE: Traditionally, the topography of somatosensory evoked potentials (SEPs)
is generated based on amplitude and latency. However, this operation focuses on
the physical morphology and field potential-power, so it suffers from
difficulties in performing identification in an objective manner. In this study,
measurement of the synchronization of SEPs is proposed as a method to explore
brain functional networks as well as the plasticity after peripheral nerve
injury. METHOD: SEPs elicited by unilateral sciatic nerve stimulation in twelve
adult male Sprague-Dawley (SD) rats in the normal group were compared with SEPs
evoked after unilateral sciatic nerve hemisection in four peripheral nerve
injured SD rats. The characterization of synchronized networks from SEPs was
conducted using equal-time correlation, correlation matrix analysis, and
comparison to randomized surrogate data. Eigenvalues of the correlation matrix
were used to identify the clusters of functionally synchronized neuronal
activity, and the participation index (PI) was calculated to indicate the
involvement of each channel in the cluster. The PI value at the knee point of the
PI histogram was used as a threshold to demarcate the cortical boundary. RESULTS:
Ten out of the twelve normal rats showed only one synchronized brain network. The
remaining two normal rats showed one strong and one weak network. In the
peripheral nerve injured group, only one synchronized brain network was found in
each rat. In the normal group, all network shapes appear regular and the network
is largely contained in the posterior cortex. In the injured group, the network
shapes appear irregular, the network extends anteriorly and posteriorly, and the
network area is significantly larger. There are considerable individual
variations in the shape and location of the network after peripheral nerve
injury. CONCLUSION: The proposed method can detect functional brain networks.
Compared to the results of the traditional SEP-morphology-based analysis method,
the synchronized functional network area is much larger. Furthermore, the
proposed method can also characterize the rapid cortical plasticity after a
peripheral nerve is acutely injured.
PMID- 27199731
TI - Emotional Egocentricity Bias Across the Life-Span.
AB - In our daily lives, we often have to quickly estimate the emotions of our
conspecifics in order to have successful social interactions. While this
estimation process seems quite easy when we are ourselves in a neutral or
equivalent emotional state, it has recently been shown that in case of
incongruent emotional states between ourselves and the others, our judgments can
be biased. This phenomenon, introduced to the literature with the term Emotional
Egocentricity Bias (EEB), has been found to occur in young adults and, to a
greater extent, in children. However, how the EEB changes across the life-span
from adolescence to old age has been largely unexplored. In this study, we
recruited 114 female participants subdivided in four cohorts (adolescents, young
adults, middle-aged adults, older adults) to examine EEB age-related changes.
Participants were administered with a recently developed paradigm which, by
making use of visuo-tactile stimulation that elicits conflicting feelings in
paired participants, allows the valid and reliable exploration of the EEB.
Results highlighted a U-shape relation between age and EEB, revealing enhanced
emotional egocentricity in adolescents and older adults compared to young and
middle-aged adults. These results are in line with the neuroscientific literature
which has recently shown that overcoming the EEB is associated with a greater
activation of a portion of the parietal lobe, namely the right Supramarginal
Gyrus (rSMG). This is an area that reaches full maturation by the end of
adolescence and goes through an early decay. Thus, the age-related changes of the
EEB could be possibly due to the life-span development of the rSMG. This study is
the first one to show the quadratic relation between age and the EEB and set a
milestone for further research exploring the neural correlates of the life-span
development of the EEB. Future studies are needed in order to generalize these
results to the male population and to explore gender differences related to the
aging of socio- emotional processes.
PMID- 27199732
TI - Cortical Modulation of Motor Control Biofeedback among the Elderly with High Fall
Risk during a Posture Perturbation Task with Augmented Reality.
AB - The cerebral cortex provides sensorimotor integration and coordination during
motor control of daily functional activities. Power spectrum density based on
electroencephalography (EEG) has been employed as an approach that allows an
investigation of the spatial-temporal characteristics of neuromuscular
modulation; however, the biofeedback mechanism associated with cortical
activation during motor control remains unclear among elderly individuals. Thirty
one community-dwelling elderly participants were divided into low fall-risk
potential (LF) and high fall-risk potential (HF) groups based upon the results
obtained from a receiver operating characteristic analysis of the ellipse area of
the center of pressure. Electroencephalography (EEG) was performed while the
participants stood on a 6-degree-of-freedom Stewart platform, which generated
continuous perturbations and done either with or without the virtual reality
scene. The present study showed that when there was visual stimulation and poor
somatosensory coordination, a higher level of cortical response was activated in
order to keep postural balance. The elderly participants in the LF group
demonstrated a significant and strong correlation between postural-related
cortical regions; however, the elderly individuals in the HF group did not show
such a relationship. Moreover, we were able to clarify the roles of various
brainwave bands functioning in motor control. Specifically, the gamma and beta
bands in the parietal-occipital region facilitate the high-level cortical
modulation and sensorimotor integration, whereas the theta band in the frontal
central region is responsible for mediating error detection during perceptual
motor tasks. Finally, the alpha band is associated with processing visual
challenges in the occipital lobe.With a variety of motor control demands,
increment in brainwave band coordination is required to maintain postural
stability. These investigations shed light on the cortical modulation of motor
control among elderly participants with varying fall-risk potentials. The results
suggest that, although elderly adults may be without neurological deficits,
inefficient central modulation during challenging postural conditions could be an
internal factor that contributes to the risk of fall. Furthermore, training that
helps to improve coordinated sensorimotor integration may be a useful approach to
reduce the risk of fall among elderly populations or when patients suffer from
neurological deficits.
PMID- 27199730
TI - Sumoylation in Synaptic Function and Dysfunction.
AB - Sumoylation has recently emerged as a key post-translational modification
involved in many, if not all, biological processes. Small Ubiquitin-like Modifier
(SUMO) polypeptides are covalently attached to specific lysine residues of target
proteins through a dedicated enzymatic pathway. Disruption of the SUMO enzymatic
pathway in the developing brain leads to lethality indicating that this process
exerts a central role during embryonic and post-natal development. However,
little is still known regarding how this highly dynamic protein modification is
regulated in the mammalian brain despite an increasing number of data implicating
sumoylated substrates in synapse formation, synaptic communication and
plasticity. The aim of this review is therefore to briefly describe the enzymatic
SUMO pathway and to give an overview of our current knowledge on the function and
dysfunction of protein sumoylation at the mammalian synapse.
PMID- 27199734
TI - Cognitive Reserve in Dementia: Implications for Cognitive Training.
AB - Cognitive reserve (CR) is a potential mechanism to cope with brain damage. The
aim of this study was to evaluate the effect of CR on a cognitive training (CT)
in a group of patients with dementia. Eighty six participants with mild to
moderate dementia were identified by their level of CR quantified by the CR Index
questionnaire (CRIq) and underwent a cycle of CT. A global measure of cognition
mini mental state examination (MMSE) was obtained before (T0) and after (T1) the
training. Multiple linear regression analyses highlighted CR as a significant
factor able to predict changes in cognitive performance after the CT. In
particular, patients with lower CR benefited from a CT program more than those
with high CR. These data show that CR can modulate the outcome of a CT program
and that it should be considered as a predictive factor of neuropsychological
rehabilitation training efficacy in people with dementia.
PMID- 27199735
TI - Atomic Force Microscopy Protocol for Measurement of Membrane Plasticity and
Extracellular Interactions in Single Neurons in Epilepsy.
AB - Physiological interactions between extracellular matrix (ECM) proteins and
membrane integrin receptors play a crucial role in neuroplasticity in the
hippocampus, a key region involved in epilepsy. The atomic force microscopy (AFM)
is a cutting-edge technique to study structural and functional measurements at
nanometer resolution between the AFM probe and cell surface under liquid. AFM has
been incrementally employed in living cells including the nervous system. AFM is
a unique technique that directly measures functional information at a nanoscale
resolution. In addition to its ability to acquire detailed 3D imaging, the AFM
probe permits quantitative measurements on the structure and function of the
intracellular components such as cytoskeleton, adhesion force and binding
probability between membrane receptors and ligands coated in the AFM probe, as
well as the cell stiffness. Here we describe an optimized AFM protocol and its
application for analysis of membrane plasticity and mechanical dynamics of
individual hippocampus neurons in mice with chronic epilepsy. The unbinding force
and binding probability between ECM, fibronectin-coated AFM probe and membrane
integrin were strikingly lower in dentate gyrus granule cells in epilepsy. Cell
elasticity, which represents changes in cytoskeletal reorganization, was
significantly increased in epilepsy. The fibronectin-integrin binding probability
was prevented by anti-alpha5beta1 integrin. Thus, AFM is a unique nanotechnique
that allows progressive functional changes in neuronal membrane plasticity and
mechanotransduction in epilepsy and related brain disorders.
PMID- 27199733
TI - Glial Modulation by N-acylethanolamides in Brain Injury and Neurodegeneration.
AB - Neuroinflammation involves the activation of glial cells and represents a key
element in normal aging and pathophysiology of brain damage. N-acylethanolamides
(NAEs), naturally occurring amides, are known for their pro-homeostatic effects.
An increase in NAEs has been reported in vivo and in vitro in the aging brain and
in brain injury. Treatment with NAEs may promote neuroprotection and exert anti
inflammatory actions via PPARalpha activation and/or by counteracting gliosis.
This review aims to provide an overview of endogenous and exogenous properties of
NAEs in neuroinflammation and to discuss their interaction with glial cells.
PMID- 27199736
TI - Implicit Motor Sequence Learning and Working Memory Performance Changes Across
the Adult Life Span.
AB - Although implicit motor sequence learning is rather well understood in young
adults, effects of aging on this kind of learning are controversial. There is
first evidence that working memory (WM) might play a role in implicit motor
sequence learning in young adults as well as in adults above the age of 65.
However, the knowledge about the development of these processes across the adult
life span is rather limited. As the average age of our population continues to
rise, a better understanding of age-related changes in motor sequence learning
and potentially mediating cognitive processes takes on increasing significance.
Therefore, we investigated aging effects on implicit motor sequence learning and
WM. Sixty adults (18-71 years) completed verbal and visuospatial n-back tasks and
were trained on a serial reaction time task (SRTT). Randomly varying trials
served as control condition. To further assess consolidation indicated by off
line improvement and reduced susceptibility to interference, reaction times (RTs)
were determined 1 h after initial learning. Young and older but not middle-aged
adults showed motor sequence learning. Nine out of 20 older adults (compared to
one young/one middle-aged) exhibited some evidence of sequence awareness. After 1
h, young and middle-aged adults showed off-line improvement. However, RT
facilitation was not specific to sequence trials. Importantly, susceptibility to
interference was reduced in young and older adults indicating the occurrence of
consolidation. Although WM performance declined in older participants when load
was high, it was not significantly related to sequence learning. The data reveal
a decline in motor sequence learning in middle-aged but not in older adults. The
use of explicit learning strategies in older adults might account for the latter
result.
PMID- 27199737
TI - Age-Related Declines in Early Sensory Memory: Identification of Rapid Auditory
and Visual Stimulus Sequences.
AB - Age-related temporal-processing declines of rapidly presented sequences may
involve contributions of sensory memory. This study investigated recall for
rapidly presented auditory (vowel) and visual (letter) sequences presented at six
different stimulus onset asynchronies (SOA) that spanned threshold SOAs for
sequence identification. Younger, middle-aged, and older adults participated in
all tasks. Results were investigated at both equivalent performance levels (i.e.,
SOA threshold) and at identical physical stimulus values (i.e., SOAs). For four
item sequences, results demonstrated best performance for the first and last
items in the auditory sequences, but only the first item for visual sequences.
For two-item sequences, adults identified the second vowel or letter
significantly better than the first. Overall, when temporal-order performance was
equated for each individual by testing at SOA thresholds, recall accuracy for
each position across the age groups was highly similar. These results suggest
that modality-specific processing declines of older adults primarily determine
temporal-order performance for rapid sequences. However, there is some evidence
for a second amodal processing decline in older adults related to early sensory
memory for final items in a sequence. This selective deficit was observed
particularly for longer sequence lengths and was not accounted for by temporal
masking.
PMID- 27199738
TI - Early Signs of Pathological Cognitive Aging in Mice Lacking High-Affinity
Nicotinic Receptors.
AB - In order to address pathological cognitive decline effectively, it is critical to
adopt early preventive measures in individuals considered at risk. It is
therefore essential to develop approaches that identify such individuals before
the onset of irreversible dementia. A deficient cholinergic system has been
consistently implicated as one of the main factors associated with a heightened
vulnerability to the aging process. In the present study we used mice lacking
high affinity nicotinic receptors (beta2-/-), which have been proposed as an
animal model of accelerated/premature cognitive aging. Our aim was to identify
behavioral signs that could serve as indicators or predictors of impending
cognitive decline. We used test batteries in order to assess cognitive functions
and additional tasks to investigate spontaneous behaviors, such as species
specific activities and exploration/locomotion in a novel environment. Our data
confirm the hypothesis that beta2-/- animals exhibit age-related cognitive
impairments in spatial learning. In addition, they document age-related deficits
in other areas, such as recognition memory, burrowing and nesting building,
thereby extending the validity of this animal model for the study of pathological
aging. Finally, our data reveal deficits in spontaneous behavior and habituation
processes that precede the onset of cognitive decline and could therefore be
useful as a non-invasive behavioral screen for identifying animals at risk. To
our knowledge, this is the first study to perform an extensive behavioral
assessment of an animal model of premature cognitive aging, and our results
suggest that beta2-nAChR dependent cognitive deterioration progressively evolves
from initial subtle behavioral changes to global dementia due to the combined
effect of the neuropathology and aging.
PMID- 27199741
TI - Editorial: Role of Stem Cells in Skeletal Muscle Development, Regeneration,
Repair, Aging, and Disease.
PMID- 27199739
TI - The Biomarkers for Identifying Preclinical Alzheimer's Disease via Structural and
Functional Magnetic Resonance Imaging.
PMID- 27199742
TI - Editorial: Non-invasive Brain Stimulation and Plasticity Changes in Aging.
PMID- 27199740
TI - Cognitively Engaging Activity Is Associated with Greater Cortical and Subcortical
Volumes.
AB - As the population ages and dementia becomes a growing healthcare concern, it is
increasingly important to identify targets for intervention to delay or attenuate
cognitive decline. Research has shown that the most successful interventions aim
at altering lifestyle factors. Thus, this study examined how involvement in
physical, cognitive, and social activity is related to brain structure in older
adults. Sixty-five adults (mean age = 71.4 years, standard deviation = 8.9)
received the Community Healthy Activities Model Program for Seniors (CHAMPS), a
questionnaire that polls everyday activities in which older adults may be
involved, and also underwent structural magnetic resonance imaging. Stepwise
regression with backward selection was used to predict weekly time spent in
either social, cognitive, light physical, or heavy physical activity from the
volume of one of the cortical or subcortical regions of interest (corrected by
intracranial volume) as well as age, education, and gender as control variables.
Regressions revealed that more time spent in cognitive activity was associated
with greater volumes of all brain regions studied: total cortex (beta = 0.289, p
= 0.014), frontal (beta = 0.276, p = 0.019), parietal (beta = 0.305, p = 0.009),
temporal (beta = 0.275, p = 0.020), and occipital (beta = 0.256, p = 0.030)
lobes, and thalamus (beta = 0.310, p = 0.010), caudate (beta = 0.233, p = 0.049),
hippocampus (beta = 0.286, p = 0.017), and amygdala (beta = 0.336, p = 0.004).
These effects remained even after accounting for the positive association between
cognitive activity and education. No other activity variable was associated with
brain volumes. Results indicate that time spent in cognitively engaging activity
is associated with greater cortical and subcortical brain volume. Findings
suggest that interventions aimed at increasing levels of cognitive activity may
delay cognitive consequences of aging and decrease the risk of developing
dementia.
PMID- 27199744
TI - Orbitofrontal Cortex and the Early Processing of Visual Novelty in Healthy Aging.
AB - Event-related potential (ERP) studies have previously found that scalp
topographies of attention-related ERP components show frontal shifts with age,
suggesting an increased need for compensatory frontal activity to assist with top
down facilitation of attention. However, the precise neural time course of top
down attentional control in aging is not clear. In this study, 20 young (mean: 22
years) and 14 older (mean: 64 years) adults completed a three-stimulus visual
oddball task while high-density ERPs were acquired. Colorful, novel distracters
were presented to engage early visual processing. Relative to young controls,
older participants exhibited elevations in occipital early posterior positivity
(EPP), approximately 100 ms after viewing colorful distracters. Neural source
models for older adults implicated unique patterns of orbitofrontal cortex (OFC;
BA 11) activity during early visual novelty processing (100 ms), which was
positively correlated with subsequent activations in primary visual cortex (BA
17). Older adult EPP amplitudes and OFC activity were associated with performance
on tests of complex attention and executive function. These findings are
suggestive of age-related, compensatory neural changes that may driven by a
combination of weaker cortical efficiency and increased need for top-down control
over attention. Accordingly, enhanced early OFC activity during visual attention
may serve as an important indicator of frontal lobe integrity in healthy aging.
PMID- 27199743
TI - SUR1 Receptor Interaction with Hesperidin and Linarin Predicts Possible
Mechanisms of Action of Valeriana officinalis in Parkinson.
AB - Parkinson's disease (PD) is one of the most common neurodegenerative disorders. A
theoretical approach of our previous experiments reporting the cytoprotective
effects of the Valeriana officinalis compounds extract for PD is suggested. In
addiction to considering the PD as a result of mitochondrial metabolic imbalance
and oxidative stress, such as in our previous in vitro model of rotenone, in the
present manuscript we added a genomic approach to evaluate the possible
underlying mechanisms of the effect of the plant extract. Microarray of
substantia nigra (SN) genome obtained from Allen Brain Institute was analyzed
using gene set enrichment analysis to build a network of hub genes implicated in
PD. Proteins transcribed from hub genes and their ligands selected by search
ensemble approach algorithm were subjected to molecular docking studies, as well
as 20 ns Molecular Dynamics (MD) using a Molecular Mechanic Poison/Boltzman
Surface Area (MMPBSA) protocol. Our results bring a new approach to Valeriana
officinalis extract, and suggest that hesperidin, and probably linarin are able
to relieve effects of oxidative stress during ATP depletion due to its ability to
binding SUR1. In addition, the key role of valerenic acid and apigenin is
possibly related to prevent cortical hyperexcitation by inducing neuronal cells
from SN to release GABA on brain stem. Thus, under hyperexcitability, oxidative
stress, asphyxia and/or ATP depletion, Valeriana officinalis may trigger
different mechanisms to provide neuronal cell protection.
PMID- 27199745
TI - Functional Characterization of Human CYP2C9 Allelic Variants in COS-7 Cells.
AB - Variability in activity of CYP2C9, which is involved in the metabolism of
approximately 15% of current therapeutic drugs, is an important contributor to
interindividual differences in drug response. To evaluate the functional
alternations of CYP2C9(*)2, CYP2C9(*)3, CYP2C9(*)8, CYP2C9(*)11 and CYP2C9(*)31,
identified in our previous study in Chinese Han population, allelic variants as
well as the wild-type CYP2C9 were transiently expressed in COS-7 cells. Kinetic
parameters (Km, Vmax, and Clint) for S-warfarin 7-hydroxylation by these
recombinant CYP2C9s were determined. Relative to CYP2C9.1, recombinant CYP2C9.3
and CYP2C9.11 exhibited significantly higher Km values, and all allelic variants
showed significantly decreased Vmax and Clint values. Among all allelic variants,
catalytic activity of CYP2C9.3 and CYP2C9.11 reduced the most (8.2% and 9.8% of
Clint ratio, respectively; P < 0.001). These findings should be useful for
predicting the phenotype profiles of CYP2C9 in Chinese Han population, comparing
the functional results of these alleles accurately, and finally optimizing
pharmacotherapy of drug treatment.
PMID- 27199746
TI - Triggering of Parkin Mitochondrial Translocation in Mitophagy: Implications for
Liver Diseases.
AB - A growing body of evidence based on in vitro studies indicates that mitophagy
(selective autophagic clearance of damaged mitochondria) is a prosurvival
mechanism associated with cellular exposure to various mitochondrial stressors.
Very recently, a limited number of publications on animal-based models of
alcoholic fatty liver diseases have reported that Parkin-mediated mitophagy may
mitigate hepatocyte apoptosis, improve mitochondrial quality and suppress
steatosis (lipid accumulation). From this perspective, the authors focus on the
mechanisms of Parkin mitochondrial translocation (a key consideration in
mitophagy activation) and therapeutic implications of mitophagy in liver disease.
DNA repair and other functions of Parkin beyond mitophagy are also briefly
discussed. The paper additionally shows original data from the authors' current
research indicating enhanced hepatic mitophagy in ethanol-treated rats, which is
associated with Parkin mitochondrial translocation triggered by oxidative
mitochondrial DNA damage. Natural or pharmaceutical products that may trigger
Parkin mitochondrial translocation in hepatocytes and/or suppress repressors of
such translocation could be a potential therapeutic target in alcoholic and non
alcoholic fatty liver disease.
PMID- 27199747
TI - Antidiabetic and Antilipidemic Effect of Musa balbisiana Root Extract: A Potent
Agent for Glucose Homeostasis in Streptozotocin-Induced Diabetic Rat.
AB - Folklore studies have revealed that Musa balbisiana Colla (MB; Family: Musaceae)
has high medicinal properties. The purpose of the present study is to evaluate
antihyperglycemic, and antioxidant activity of MB extracts in streptozotocin
(STZ) induced diabetic rats. In vitro antioxidant and antidiabetic activity of MB
extracts, i.e., root extract (RE), shoot extract and inflorescence extract were
determined by using various methods viz 1,-1-diphenyl-2-picrylhydrazyl (DPPH) and
a method to assess their possible effect on glucose diffusion across
gastrointestinal tract and identify bioactive compound of potent extract. In vivo
antilipidemic and antidiabetic activity was evaluated by administrating oral dose
of RE for 15 days on STZ- induced diabetic rat. RE showed highest antioxidant
activity by scavenging DPPH radical (IC50 32.96 MUg/ml) and inhibit 30% glucose
movement in vitro. The methanol extract of root showed the presence of calyx [4]
arene category of the compound. Furthermore, RE treated rat revealed a reduction
in fasting blood glucose (62.5%), serum total cholesterol (36.2%), triglyceride
(54.5%), and low-density lipoprotein (50.94%) after 15 days as compared to STZ
treated animal. There was an initiation of regenerative structures of the
affected organs after 15 days of RE treatment. Histopathological observations
clearly differentiate the structural changes in pancreas, liver, and kidney of
STZ and RE treated group. The presence of calyx [4] arene class of compound may
be responsible for its antioxidant and antidiabetic properties by absorbing
glucose in vivo.
PMID- 27199748
TI - Metagenomic Analysis of Antibiotic-Induced Changes in Gut Microbiota in a
Pregnant Rat Model.
AB - Food and Drug Administration (FDA, USA)-approved category B antibiotics are
commonly prescribed to treat infections during pregnancy. The aim of this study
was to investigate antibiotic-induced changes in gut microbiota (GM) that occur
during pregnancy. The 16S rRNA amplicon deep-sequencing method was used to
analyze the effect of category B antibiotics (azithromycin, amoxicillin and
cefaclor) on GM during pregnancy using a rat model. The GM composition was
substantially modulated by pregnancy and antibiotics administration. Firmicutes,
Bacteroidetes, Proteobacteria, Chlamydiae, Actinobacteria, and Cyanobacteria were
the dominant phyla. Antibiotic treatment during pregnancy increased the relative
abundance of Proteobacteria and reduced Firmicutes. The genera Shigella,
Streptococcus, Candidatus Arthromitus, and Helicobacter were significantly (p <
0.05) more abundant during pregnancy. Antibiotics significantly (p < 0.05)
reduced the relative abundance of Lactobacillus but increased that of
Enterobacter. There was a significant (p < 0.05) decrease in Lactobacillus sp.,
Lactobacillus gallinarum and Lactobacillus crispatus during pregnancy. Antibiotic
treatment reduced bacterial diversity; the lowest number of operational taxonomic
units (OTUs) were detected in the cefaclor-treated groups. Antibiotics
significantly (p < 0.05) promoted weight gain during pregnancy, and increased
relative abundance of Shigella sonnei, Enterococcus hormaechei, and Acinetobacter
sp. GM perturbations were accompanied by increases in Proteobacteria abundance
and weight gain in pregnancy following antibiotic treatment.
PMID- 27199749
TI - Stimulants for the Control of Hedonic Appetite.
AB - The focus of this paper is treatment of obesity in relation to the management of
hedonic appetite. Obesity is a complex condition which may be potentiated by
excessive reward seeking in combination with executive functioning deficits that
impair cognitive control of behavior. Stimulant medications address both reward
deficiency and enhance motivation, as well as suppressing appetite. They have
long been recognized to be effective for treating obesity. However, stimulants
can be abused for their euphoric effect. They induce euphoria via the same neural
pathway that underlies their therapeutic effect in obesity. For this reason they
have generally not been endorsed for use in obesity. Among the stimulants, only
phentermine (either alone or in combination with topiramate) and bupropion (which
has stimulant-like properties and is used in combination with naltrexone), are
approved by the United States Food and Drug Administration (FDA) for obesity,
although dexamphetamine and methylpenidate are approved and widely used for
treating attention deficit hyperactivity disorder (ADHD) in adults and children.
Experience gained over many years in the treatment of ADHD demonstrates that with
careful dose titration, stimulants can be used safely. In obesity, improvement in
mood and executive functioning could assist with the lifestyle changes necessary
for weight control, acting synergistically with appetite suppression. The obesity
crisis has reached the stage that strong consideration should be given to
adequate utilization of this effective and inexpensive class of drug.
PMID- 27199751
TI - Evaluating the Effects of Gamma-Irradiation for Decontamination of Medicinal
Cannabis.
AB - In several countries with a National medicinal cannabis program, pharmaceutical
regulations specify that herbal cannabis products must adhere to strict safety
standards regarding microbial contamination. Treatment by gamma irradiation
currently seems the only method available to meet these requirements. We
evaluated the effects of irradiation treatment of four different cannabis
varieties covering different chemical compositions. Samples were compared before
and after standard gamma-irradiation treatment by performing quantitative UPLC
analysis of major cannabinoids, as well as qualitative GC analysis of full
cannabinoid and terpene profiles. In addition, water content and microscopic
appearance of the cannabis flowers was evaluated. This study found that treatment
did not cause changes in the content of THC and CBD, generally considered as the
most important therapeutically active components of medicinal cannabis. Likewise,
the water content and the microscopic structure of the dried cannabis flowers
were not altered by standard irradiation protocol in the cannabis varieties
studied. The effect of gamma-irradiation was limited to a reduction of some
terpenes present in the cannabis, but keeping the terpene profile qualitatively
the same. Based on the results presented in this report, gamma irradiation of
herbal cannabis remains the recommended method of decontamination, at least until
other more generally accepted methods have been developed and validated.
PMID- 27199750
TI - Cysteine Proteases: Modes of Activation and Future Prospects as Pharmacological
Targets.
AB - Proteolytic enzymes are crucial for a variety of biological processes in
organisms ranging from lower (virus, bacteria, and parasite) to the higher
organisms (mammals). Proteases cleave proteins into smaller fragments by
catalyzing peptide bonds hydrolysis. Proteases are classified according to their
catalytic site, and distributed into four major classes: cysteine proteases,
serine proteases, aspartic proteases, and metalloproteases. This review will
cover only cysteine proteases, papain family enzymes which are involved in
multiple functions such as extracellular matrix turnover, antigen presentation,
processing events, digestion, immune invasion, hemoglobin hydrolysis, parasite
invasion, parasite egress, and processing surface proteins. Therefore, they are
promising drug targets for various diseases. For preventing unwanted digestion,
cysteine proteases are synthesized as zymogens, and contain a prodomain
(regulatory) and a mature domain (catalytic). The prodomain acts as an endogenous
inhibitor of the mature enzyme. For activation of the mature enzyme, removal of
the prodomain is necessary and achieved by different modes. The pro-mature domain
interaction can be categorized as protein-protein interactions (PPIs) and may be
targeted in a range of diseases. Cysteine protease inhibitors are available that
can block the active site but no such inhibitor available yet that can be
targeted to block the pro-mature domain interactions and prevent it activation.
This review specifically highlights the modes of activation (processing) of
papain family enzymes, which involve auto-activation, trans-activation and also
clarifies the future aspects of targeting PPIs to prevent the activation of
cysteine proteases.
PMID- 27199752
TI - A Phaseolus vulgaris Extract Reduces Cue-Induced Reinstatement of Chocolate
Seeking in Rats.
AB - Previous evidence has suggested that treatment with a standardized dry extract of
Phaseolus vulgaris reduced intake and operant self-administration of highly
palatable foods and fluids in rats and mice. The present study was designed to
assess whether such extract was also effective in reducing seeking behavior for a
highly hedonic chocolate-flavored beverage, using a "reinstatement" procedure
adopted from the drug addiction research field and modeling relapse behavior.
Rats were initially trained to lever-respond for the chocolate-flavored beverage
under the Fixed Ratio (FR) 10 schedule of reinforcement. Subsequently, rats were
exposed to an extinction responding phase, during which lever-responding - being
unreinforced - diminished progressively up to extinction. Lever-responding was
then powerfully reinstated by the non-contingent presentation of a complex of
gustatory, olfactory, auditory, and visual stimuli previously associated to the
availability of the chocolate-flavored beverage. Acute, intragastric
administration of P. vulgaris dry extract (100 and 500 mg/kg) reduced lever
responding by 40-45%, in comparison to vehicle condition. These results indicate
the ability of P. vulgaris dry extract to reduce seeking behavior for a highly
palatable nourishment in an experimental model of relapse into disordered eating
of palatable foods. The unavailability of the chocolate-flavored beverage in the
reinstatement session tends to exclude that the observed effect of the P.
vulgaris dry extract was secondary to any inhibition of carbohydrate metabolism;
conversely, it is the likely consequence on a central action on the rewarding and
hedonic properties of food.
PMID- 27199753
TI - From Clinical Trials to the Front Line: Vinflunine for Treatment of Urothelial
Cell Carcinoma at the National Cancer Institute of Naples.
AB - BACKGROUND: The efficacy of Vinflunine, after failure of platinum-based
chemotherapy in patients with metastatic or recurrent Transitional Cell Cancer of
the Urothelial Tract, TCCU, has been demonstrated in an international,
randomized, phase III trial comparing Vinflunine plus Best Supportive Care, BSC,
with BSC alone. On the basis of that study vinflunine has been approved by the
European Medicine Association, EMA, for treatment of TCCU patients after failure
of a platinum treatment. However, since data in clinical trials often differ from
routine clinical practice due to unselected population and less strict
monitoring, "real life" experiences are very helpful to verify the efficacy of a
new therapy. METHODS: This was a spontaneous, observational, retrospective study
involving 43 patients with metastatic TCCU treated with vinflunine at our cancer
center, data about demographics, disease characteristics, and previous treatments
were collected and outcome and toxicities of vinflunine were analyzed. RESULTS:
41 of 43 patients were eligible for RR analysis, the Overall RR was 12%, the
Disease Control Rate was 29%; when including only patients treated in II line the
DCR rose to 33%; the median PFS and the median OS were 2.2 and 6.9 months,
respectively. CONCLUSION: Our findings were consistent with the outcome data
emerged in the phase III randomized trial and in the other observational studies
conducted all around Europe in the last 2-3 years. This experience supports the
use of vinflunine in patients with advanced TTCU as effective and manageable
antineoplastic drug.
PMID- 27199754
TI - Rifampin Regulation of Drug Transporters Gene Expression and the Association of
MicroRNAs in Human Hepatocytes.
AB - Membrane drug transporters contribute to the disposition of many drugs. In human
liver, drug transport is controlled by two main superfamilies of transporters,
the solute carrier transporters (SLC) and the ATP Binding Cassette transporters
(ABC). Altered expression of these transporters due to drug-drug interactions can
contribute to differences in drug exposure and possibly effect. In this study, we
determined the effect of rifampin on gene expression of hundreds of membrane
transporters along with all clinically relevant drug transporters. METHODS: In
this study, primary human hepatocytes (n = 7 donors) were cultured and treated
for 24 h with rifampin and vehicle control. RNA was isolated from the
hepatocytes, mRNA expression was measured by RNA-seq, and miRNA expression was
analyzed by Taqman OpenArray. The effect of rifampin on the expression of
selected transporters was also tested in kidney cell lines. The impact of
rifampin on the expression of 410 transporter genes from 19 different transporter
gene families was compared with vehicle control. RESULTS: Expression patterns of
12 clinically relevant drug transporter genes were changed by rifampin (FDR <
0.05). For example, the expressions of ABCC2, ABCB1, and ABCC3 were increased 1.9
, 1.7-, and 1.2-fold, respectively. The effects of rifampin on four uptake drug
transporters (SLCO1B3, SLC47A1, SLC29A1, SLC22A9) were negatively correlated with
the rifampin effects on specific microRNA expression (SLCO1B3/miR-92a,
SLC47A1/miR-95, SLC29A1/miR-30d#, and SLC22A9/miR-20; r < -0.79; p < 0.05). Seven
hepatic drug transporter genes (SLC22A1, SLC22A5, SLC15A1, SLC29A1, SLCO4C1,
ABCC2, and ABCC4), whose expression was altered by rifampin in hepatocytes, were
also present in a renal proximal tubular cell line, but in renal cells rifampin
did not alter their gene expression. PXR expression was very low in the kidney
cells; this may explain why rifampin induces gene expression in a tissue-specific
manner. CONCLUSION: Rifampin alters the expression of many of the clinically
relevant hepatic drug transporters, which may provide a rational basis for
understanding rifampin-induced drug-drug interactions reported in vivo. The
relevance of its effect on many other transporters remains to be studied.
PMID- 27199755
TI - The Role of Butylidenephthalide in Targeting the Microenvironment Which
Contributes to Liver Fibrosis Amelioration.
AB - The treatment of liver fibrosis has clinical limitations because of its multiple
etiologies, such as epithelial-mesenchymal transition (EMT) promotion, cell
regeneration and remodeling dysfunction, inflammatory cell activation, and scar
tissue deposition. These factors might be considered as a new target for the
fibrotic microenvironment, leading to increased fibrogenesis and liver fibrosis.
Here, we investigate a small molecule named butylidenephthalide (BP) and its
multiple effects on liver fibrosis treatment. Thioacetamide was used in vivo to
induce chronic liver fibrosis. BP was administered orally in rats for a period of
2 and 4 weeks, which resulted in a significantly reduced fibrosis score (p <
0.05) and (p < 0.001), respectively. The inflammatory reaction of macrophage
infiltration were reduced in the administration of BP, which led to the decrease
in the transaminase levels. Moreover, we also found liver functions recovering
(due to the increased serum albumin and reduced prothrombin time) where liver
cells regenerated, which can be seen in the increase of Ki-67 on Oval cell. In
addition, the fibrotic scar was also reduced, along with the expression of matrix
metalloprotease by hepatic stellate cell. Furthermore, regarding the
mechanism/study of EMT reduced by BP, the knockdown of BMP-7, which could reduce
alpha-SMA expression, was mediated by the regulation of TGF-beta, which implies
its major role on EMT. Finally, in the in vivo study, BP treatment of liver
fibrosis was reduced by Bmp7 knockdown in zebrafish, suggesting that BP leads to
the reduction of liver fibrosis, which also depends on BMP-7 induction. These
results suggest that BP had multiple targets for treating liver fibrosis in the
following ways: reduction of EMT, decreasing inflammatory reaction, and liver
cell proliferation. This multiple targets approach provided a new mechanism to
treat liver injury and fibrosis.
PMID- 27199756
TI - Phemindole, a Synthetic Di-indole Derivative Maneuvers the Store Operated Calcium
Entry (SOCE) to Induce Potent Anti-Carcinogenic Activity in Human Triple Negative
Breast Cancer Cells.
AB - Triple-negative breast cancer (TNBC), is a specific subtype of epithelial breast
tumors that are immuno-histochemically negative for the protein expression of the
estrogen receptor (ER), the progesterone receptor (PR) and lack over
expression/gene amplification of HER2. This subtype of breast cancers is highly
metastatic, shows poor prognosis and hence represents an important clinical
challenge to researchers worldwide. Thus alternative approaches of drug
development for TNBC have gained utmost importance in the present times. Dietary
indole and its derivatives have gained prominence as anti-cancer agents and new
therapeutic approaches are being developed to target them against TNBC. But a
major drawback with 3, 3'di Indolyl methane (DIM) is their poor bioavailability
and high effective concentration against TNBC. However, the Aryl methyl ring
substituted analogs of DIM display interesting anti-cancer activity in breast
cancer cells. In the current study we report the synthesis of a novel synthetic
aryl methyl ring substituted analog of DIM, named as Phemindole as an effective
anti-tumor agent against TNBC cells. Furthermore, we enumerated that Phemindole
caused reactive oxygen species mediated mitochondrial-dependent apoptosis in
MDAMB-231 cells. Furthermore, Phemindole mediated Store Operated Calcium Entry
(SOCE) retardation favored inactivation of STIM1 and henceforth activated ER
stress to induce apoptosis in TNBC cells. Simultaneously, Phemindole was also
found to restrict the in vitro cell migration through its anti mitotic property
and pFAK regulation. Studies extended to ex ovo and in vivo mice models further
validated the efficacy of Phemindole. Thus our results cumulatively propose
Phemindole as a new chemotherapeutic regime which might be effective to target
the deadly aspects of the TNBC.
PMID- 27199757
TI - Systemic Dosing of Thymosin Beta 4 before and after Ischemia Does Not Attenuate
Global Myocardial Ischemia-Reperfusion Injury in Pigs.
AB - The use of cardiopulmonary bypass (CPB) and aortic cross-clamping causes
myocardial ischemia-reperfusion injury (I-RI) and can lead to reduced
postoperative cardiac function. We investigated whether this injury could be
attenuated by thymosin beta 4 (TB4), a peptide which has showed cardioprotective
effects. Pigs received either TB4 or vehicle and underwent CPB and aortic cross
clamping for 60 min with cold intermittent blood-cardioplegia and were then
followed for 30 h. Myocardial function and blood flow was studied by cardiac
magnetic resonance and PET imaging. Tissue and plasma samples were analyzed to
determine the amount of cardiomyocyte necrosis and apoptosis as well as
pharmacokinetics of the peptide. In vitro studies were performed to assess its
influence on blood coagulation and vasomotor tone. Serum levels of the peptide
were increased after administration compared to control samples. TB4 did not
decrease the amount of cell death. Cardiac function and global myocardial blood
flow was similar between the study groups. At high doses a vasoconstrictor effect
on mesentery arteries and a vasodilator effect on coronary arteries was observed
and blood clot firmness was reduced when tested in the presence of an
antiplatelet agent. Despite promising results in previous trials the
cardioprotective effect of TB4 was not demonstrated in this model for global
myocardial I-RI.
PMID- 27199758
TI - Serial Measurements of Splanchnic Vein Diameters in Rats Using High-Frequency
Ultrasound.
AB - The purpose of this study was to investigate serial ultrasound imaging in rats as
a fully non-invasive method to (1) quantify the diameters of splanchnic veins in
real time as an indirect surrogate for the capacitance function of those veins,
and (2) assess the effects of drugs on venous dimensions. A 21 MHz probe was used
on anesthetized male Sprague-Dawley rats to collect images containing the portal
vein (PV), superior mesenteric vein (SMV), abdominal inferior vena cava (IVC),
and splenic vein (SpV; used as a landmark in timed studies) and the abdominal
aorta (AA). Stable landmarks were established that allowed reproducible
quantification of cross-sectional diameters within an animal. The average
diameters of vessels measured every 5 min over 45 min remained within 0.75 +/-
0.15% (PV), 0.2 +/- 0.09% (SMV), 0.5 +/- 0.12% (IVC), and 0.38 +/- 0.06% (AA) of
baseline (PV: 2.0 +/- 0.12 mm; SMV: 1.7 +/- 0.04 mm; IVC: 3.2 +/- 0.1 mm; AA: 2.3
+/- 0.14 mm). The maximal effects of the vasodilator sodium nitroprusside (SNP; 2
mg/kg, i.v. bolus) on venous diameters were determined 5 min post SNP bolus; the
diameters of all noted veins were significantly increased by SNP, while mean
arterial pressure (MAP) decreased 29 +/- 4 mmHg. By contrast, administration of
the venoconstrictor sarafotoxin (S6c; 5 ng/kg, i.v. bolus) significantly
decreased PV and SpV, but not IVC, SMV, or AA, diameters 5 min post S6c bolus;
MAP increased by 6 +/- 2 mmHg. In order to determine if resting splanchnic vein
diameters were stable over much longer periods of time, vessel diameters were
measured every 2 weeks for 8 weeks. Measurements were found to be highly
reproducible within animals over this time period. Finally, to evaluate the
utility of vein imaging in a chronic condition, images were acquired from 4-week
deoxycorticosterone acetate salt (DOCA-salt) hypertensive and normotensive (SHAM)
control rats. All vessel diameters increased from baseline while MAP increased
(67 +/- 4 mmHg) in DOCA-salt rats compared to SHAM at 4 weeks after pellet
implantation. Vessel diameters remained unchanged in SHAM animals. Together,
these results support serial ultrasound imaging as a non-invasive, reliable
technique able to measure acute and chronic changes in the diameter of splanchnic
veins in intact rats.
PMID- 27199759
TI - Forced Degradation Studies of Ivabradine and In Silico Toxicology Predictions for
Its New Designated Impurities.
AB - All activities should aim to eliminate genotoxic impurities and/or protect the
API against degradation. There is a necessity to monitor impurities from all
classification groups, hence ivabradine forced degradation studies were
performed. Ivabradine was proved to be quite durable active substance, but still
new and with insufficient stability data. Increased temperature, acid, base,
oxidation reagents and light were found to cause its degradation. Degradation
products were determined with the usage of HPLC equipped with Q-TOF-MS detector.
Calculations of pharmacological and toxicological properties were performed for
six identified degradation products. Target prediction algorithm was applied on
the basis of Hyperpolarization-activated cyclic nucleotide-gated cation channels,
as well as more general parameters like logP and aqueous solubility. Ames test
and five cytochromes activities were calculated for toxicity assessment for
selected degradation products. Pharmacological activity of photodegradation
product (UV4), which is known as active metabolite, was qualified and identified.
Two other degradation compounds (Ox1 and N1), which were formed during
degradation process, were found to be pharmacologically active.
PMID- 27199761
TI - A Challenge for a Male Noctuid Moth? Discerning the Female Sex Pheromone against
the Background of Plant Volatiles.
AB - Finding a partner is an essential task for members of all species. Like many
insects, females of the noctuid moth Heliothis virescens release chemical cues
consisting of a species-specific pheromone blend to attract conspecific males.
While tracking these blends, male moths are also continuously confronted with a
wide range of other odor molecules, many of which are plant volatiles. Therefore,
we analyzed how background plant odors influence the degree of male moth
attraction to pheromones. In order to mimic a natural situation, we tracked
pheromone-guided behavior when males were presented with the headspaces of each
of two host plants in addition to the female pheromone blend. Since volatile
emissions are also dependent on the physiological state of the plant, we compared
pheromone attraction in the background of both damaged and intact plants.
Surprisingly, our results show that a natural odor bouquet does not influence
flight behavior at all, although previous studies had shown a suppressive effect
at the sensory level. We also chose different concentrations of single plant
emitted volatiles, which have previously been shown to be neurophysiologically
relevant, and compared their influence on pheromone attraction. We observed that
pheromone attraction in male moths was significantly impaired in a concentration
dependent manner when single plant volatiles were added. Finally, we quantified
the amounts of volatile emission in our experiments using gas chromatography.
Notably, when the natural emissions of host plants were compared with those of
the tested single plant compounds, we found that host plants do not release
volatiles at concentrations that impact pheromone-guided flight behavior of the
moth. Hence, our results lead to the conclusion that pheromone-plant interactions
in Heliothis virescens might be an effect of stimulation with supra-natural plant
odor concentrations, whereas under more natural conditions the olfactory system
of the male moth appears to be well adapted to follow the female pheromone plume
without interference from plant-emitted odors.
PMID- 27199762
TI - Acute Endurance Exercise Induces Nuclear p53 Abundance in Human Skeletal Muscle.
AB - PURPOSE: The tumor suppressor protein p53 may have regulatory roles in exercise
response-adaptation processes such as mitochondrial biogenesis and autophagy,
although its cellular location largely governs its biological role. We
investigated the subcellular localization of p53 and selected signaling targets
in human skeletal muscle following a single bout of endurance exercise. METHODS:
Sixteen, untrained individuals were pair-matched for aerobic capacity (VO2peak)
and allocated to either an exercise (EX, n = 8) or control (CON, n = 8) group.
After a resting muscle biopsy, EX performed 60 min continuous cycling at ~70% of
VO2peak during which time CON subjects rested. A further biopsy was obtained from
both groups 3 h post-exercise (EX) or 4 h after the first biopsy (CON). RESULTS:
Nuclear p53 increased after 3 h recovery with EX only (~48%, p < 0.05) but was
unchanged in the mitochondrial or cytoplasmic fractions in either group.
Autophagy protein 5 (Atg-5) decreased in the mitochondrial protein fraction 3 h
post-EX (~69%, P < 0.05) but remained unchanged in CON. There was an increase in
cytoplasmic levels of the mitophagy marker PINK1 following 3 h of rest in CON
only (~23%, P < 0.05). There were no changes in mitochondrial, nuclear, or
cytoplasmic levels of PGC-1alpha post-exercise in either group. CONCLUSIONS: The
selective increase in nuclear p53 abundance following endurance exercise suggests
a potential pro-autophagy response to remove damaged proteins and organelles
prior to initiating mitochondrial biogenesis and remodeling responses in
untrained individuals.
PMID- 27199764
TI - Greater Strength Gains after Training with Accentuated Eccentric than Traditional
Isoinertial Loads in Already Strength-Trained Men.
AB - As training experience increases it becomes more challenging to induce further
neuromuscular adaptation. Consequently, strength trainers seek alternative
training methods in order to further increase strength and muscle mass. One
method is to utilize accentuated eccentric loading, which applies a greater
external load during the eccentric phase of the lift as compared to the
concentric phase. Based upon this practice, the purpose of this study was to
determine the effects of 10 weeks of accentuated eccentric loading vs.
traditional isoinertial resistance training in strength-trained men. Young (22 +/
3 years, 177 +/- 6 cm, 76 +/- 10 kg, n = 28) strength-trained men (2.6 +/- 2.2
years experience) were allocated to concentric-eccentric resistance training in
the form of accentuated eccentric load (eccentric load = concentric load + 40%)
or traditional resistance training, while the control group continued their
normal unsupervised training program. Both intervention groups performed three
sets of 6-RM (session 1) and three sets of 10-RM (session 2) bilateral leg press
and unilateral knee extension exercises per week. Maximum force production was
measured by unilateral isometric (110 degrees knee angle) and isokinetic
(concentric and eccentric 30 degrees .s(-1)) knee extension tests, and work
capacity was measured by a knee extension repetition-to-failure test. Muscle mass
was assessed using panoramic ultrasonography and dual-energy x-ray
absorptiometry. Surface electromyogram amplitude normalized to maximum M-wave and
the twitch interpolation technique were used to examine maximal muscle
activation. After training, maximum isometric torque increased significantly more
in the accentuated eccentric load group than control (18 +/- 10 vs. 1 +/- 5%, p <
0.01), which was accompanied by an increase in voluntary activation (3.5 +/- 5%,
p < 0.05). Isokinetic eccentric torque increased significantly after accentuated
eccentric load training only (10 +/- 9%, p < 0.05), whereas concentric torque
increased equally in both the accentuated eccentric load (10 +/- 9%, p < 0.01)
and traditional (9 +/- 6%, p < 0.01) resistance training groups; however, the
increase in the accentuated eccentric load group was significantly greater (p <
0.05) than control (1 +/- 7%). Knee extension repetition-to-failure improved in
the accentuated eccentric load group only (28%, p < 0.05). Similar increases in
muscle mass occurred in both intervention groups. In summary, accentuated
eccentric load training led to greater increases in maximum force production,
work capacity and muscle activation, but not muscle hypertrophy, in strength
trained individuals.
PMID- 27199763
TI - Transient Hepatic Overexpression of Insulin-Like Growth Factor 2 Induces Free
Cholesterol and Lipid Droplet Formation.
AB - Although insulin-like growth factor 2 (IGF2) has been reported to be
overexpressed in steatosis and steatohepatitis, a causal role of IGF2 in
steatosis development remains elusive. Aim of our study was to decipher the role
of IGF2 in steatosis development. Hydrodynamic gene delivery of an Igf2 plasmid
used for transient Igf2 overexpression employing codon-optimized plasmid DNA
resulted in a strong induction of hepatic Igf2 expression. The exogenously
delivered Igf2 had no influence on endogenous Igf2 expression. The downstream
kinase AKT was activated in Igf2 animals. Decreased ALT levels mirrored the
cytoprotective effect of IGF2. Serum cholesterol was increased and sulfo-phospho
vanillin colorimetric assay confirmed lipid accumulation in Igf2-livers while no
signs of inflammation were observed. Interestingly, hepatic cholesterol and
phospholipids, determined by thin layer chromatography, and free cholesterol by
filipin staining, were specifically increased. Lipid droplet (LD) size was not
changed, but their number was significantly elevated. Furthermore, free
cholesterol, which can be stored in LDs and has been reported to be critical for
steatosis progression, was elevated in Igf2 overexpressing mice. Accordingly,
Hmgcr/HmgCoAR was upregulated. To have a closer look at de novo lipid synthesis
we investigated expression of the lipogenic transcription factor SREBF1 and its
target genes. SREBF1 was induced and also SREBF1 target genes were slightly
upregulated. Interestingly, the expression of Cpt1a, which is responsible for
mitochondrial fatty acid oxidation, was induced. Hepatic IGF2 expression induces
a fatty liver, characterized by increased cholesterol and phospholipids leading
to accumulation of LDs. We therefore suggest a causal role for IGF2 in hepatic
lipid accumulation.
PMID- 27199765
TI - Status of and Future Research on Thermosensory Processing.
AB - Thermosensation is critically important for survival of all animals. In the
cockroach Periplaneta americana, thermoreceptor neurons on antennae and
thermosensory interneurons in the antennal lobe have been characterized
electrophysiologically, and recent studies using advanced transgenic technologies
in the fruit fly Drosophila melanogaster have added much to the knowledge of
these neurons, enabling us to discuss common principles of thermosensory
processing systems in insects. Cockroaches and many other insects possess only
one type of thermoreceptor neurons on antennae that are excited by cooling and
inhibited by warming. In contrast, the antennae of fruit flies and other
dipterans possess oppositely responding warm and cold receptor neurons. Despite
differences in their thermoreceptive equipment, central processing of temperature
information is much the same in flies and cockroaches. Axons of thermoreceptor
neurons project to the margin of the antennal lobe and form glomeruli, from which
cold, warm and cold-warm projection neurons originate, the last neurons being
excited by both cooling and warming. Axons of antennal lobe thermosensory
projection neurons of the antennal lobe terminate in three distinct areas of the
protocerebrum, the mushroom body, lateral horn and posterior lateral
protocerebrum, the last area also receiving termination of hygrosensory
projection neurons. Such multiple thermosensory pathways may serve to control
multiple forms of thermosensory behavior. Electrophysiological studies on
cockroaches and transgenic approaches in flies are encouraged to complement each
other for further elucidating general principles of thermosensory processing in
the insect brain.
PMID- 27199766
TI - Association with Amino Acids Does Not Enhance Efficacy of Polymerized Liposomes
As a System for Lung Gene Delivery.
AB - Development of improved drug and gene delivery systems directly into the lungs is
highly desirable given the important burden of respiratory diseases. We aimed to
evaluate the safety and efficacy of liposomes composed of photopolymerized lipids
[1,2-bis-(tricosa-10,12-diynoyl)-sn-glycero-3-phosphocholine] associated with
amino acids as vectors for gene delivery into the lungs of healthy animals.
Lipopolymer vesicles, in particular, are more stable than other types of
liposomes. In this study, lipopolymers were associated with l-arginine, l
tryptophan, or l-cysteine. We hypothesized that the addition of these amino acids
would enhance the efficacy of gene delivery to the lungs by the lipopolymers. l
Arginine showed the highest association efficiency due to its positive charge and
better surface interactions. None of the formulations caused inflammation or
altered lung mechanics, suggesting that these lipopolymers can be safely
administered as aerosols. All formulations were able to induce eGFP mRNA
expression in lung tissue, but the addition of amino acids reduced delivery
efficacy when compared with the simple lipopolymer particle. These results
indicate that this system could be further explored for gene or drug delivery
targeting lung diseases.
PMID- 27199760
TI - Calcium-Sensing Receptors of Human Neural Cells Play Crucial Roles in Alzheimer's
Disease.
AB - In aged subjects, late-onset Alzheimer's disease (LOAD) starts in the lateral
entorhinal allocortex where a failure of clearance mechanisms triggers an
accumulation of neurotoxic amyloid-beta42 oligomers (Abeta42-os). In neurons and
astrocytes, Abeta42-os enhance the transcription of Abeta precursor protein (APP)
and beta-secretase/BACE1 genes. Thus, by acting together with gamma-secretase,
the surpluses of APP and BACE1 amplify the endogenous production of Abeta42-os
which pile up, damage mitochondria, and are oversecreted. At the plasmalemma,
exogenous Abeta42-os bind neurons' and astrocytes' calcium-sensing receptors
(CaSRs) activating a set of intracellular signaling pathways which upkeep Abeta42
os intracellular accumulation and oversecretion by hindering Abeta42-os
proteolysis. In addition, Abeta42-os accumulating in the extracellular milieu
spread and reach mounting numbers of adjacent and remoter teams of neurons and
astrocytes which in turn are recruited, again via Abeta42-os*CaSR-governed
mechanisms, to produce and release additional Abeta42-os amounts. This relentless
self-sustaining mechanism drives AD progression toward upper cortical areas.
Later on accumulating Abeta42-os elicit the advent of hyperphosphorylated (p)-Tau
oligomers which acting together with Abeta42-os and other glial neurotoxins
cooperatively destroy wider and wider cognition-related cortical areas. In
parallel, Abeta42-os*CaSR signals also elicit an excess production and secretion
of nitric oxide and vascular endothelial growth factor-A from astrocytes, of
Abeta42-os and myelin basic protein from oligodendrocytes, and of proinflammatory
cytokines, nitric oxide and (likely) Abeta42-os from microglia. Activated
astrocytes and microglia survive the toxic onslaught, whereas neurons and
oligodendrocytes increasingly die. However, we have shown that highly selective
allosteric CaSR antagonists (calcilytics), like NPS 2143 and NPS 89626,
efficiently suppress all the neurotoxic effects Abeta42-os*CaSR signaling drives
in cultured cortical untransformed human neurons and astrocytes. In fact,
calcilytics increase Abeta42 proteolysis and discontinue the oversecretion of
Abeta42-os, nitric oxide, and vascular endothelial growth factor-A from both
astrocytes and neurons. Seemingly, calcilytics would also benefit the other types
of glial cells and cerebrovascular cells otherwise damaged by the effects of
Abeta42-os*CaSR signaling. Thus, given at amnestic minor cognitive impairment
(aMCI) or initial symptomatic stages, calcilytics could prevent or terminate the
propagation of LOAD neuropathology and preserve human neurons' viability and
hence patients' cognitive abilities.
PMID- 27199768
TI - Toxic Effects of Zinc Chloride on the Bone Development in Danio rerio (Hamilton,
1822).
AB - The increase of heavy metals in the environment involves a high exposure of
aquatic organisms to these pollutants. The present study is planned to
investigate the effects of zinc chloride (ZnCl2) on the bone embryonic
development of Danio rerio and confirm the use of zebrafish as a model organism
to study the teratogenic potential of this pollutant. Zebrafish embryos were
exposed to different ZnCl2 concentrations and analyzed by ICP-MS. The skeletal
anomalies were evaluated to confocal microscope after staining with calcein
solution and RhodZin(TM)-3,AM. The data show a delay in hatching compared with
the controls, malformations in the process of calcification and significant
defects in growth. In conclusion, the current work demonstrates for the first
time the Zn toxic effects on calcification process and confirm zebrafish (Danio
rerio) as suitable alternative vertebrate model to study the causes and the
mechanisms of the skeletal malformations.
PMID- 27199767
TI - Sex Steroids Modulate Uterine-Placental Vasculature: Implications for Obstetrics
and Neonatal Outcomes.
AB - Adequate blood supply to the uterine-placental region is crucial to ensure the
transport of oxygen and nutrients to the growing fetus. Multiple factors
intervene to achieve appropriate uterine blood flow and the structuring of the
placental vasculature during the early stages of pregnancy. Among these factors,
oxygen concentrations, growth factors, cytokines, and steroid hormones are the
most important. Sex steroids are present in extremely high concentrations in the
maternal circulation and are important paracrine and autocrine regulators of a
wide range of maternal and placental functions. In this regard, progesterone and
estrogens act as modulators of uterine vessels and decrease the resistance of the
spiral uterine arteries. On the other hand, androgens have the opposite effect,
increasing the vascular resistance of the uterus. Moreover, progesterone and
estrogens modulate the synthesis and release of angiogenic factors by placental
cells, which regulates trophoblastic invasion and uterine artery remodeling. In
this scenario, it is not surprising that women with pregnancy-related
pathologies, such as early miscarriages, preterm delivery, preeclampsia, and
fetal growth restriction, exhibit altered sex steroid concentrations.
PMID- 27199769
TI - Heritability of ECG Biomarkers in the Netherlands Twin Registry Measured from
Holter ECGs.
AB - INTRODUCTION: The resting ECG is the most commonly used tool to assess cardiac
electrophysiology. Previous studies have estimated heritability of ECG parameters
based on these snapshots of the cardiac electrical activity. In this study we set
out to determine whether analysis of heart rate specific data from Holter ECGs
allows more complete assessment of the heritability of ECG parameters. METHODS
AND RESULTS: Holter ECGs were recorded from 221 twin pairs and analyzed using a
multi-parameter beat binning approach. Heart rate dependent estimates of
heritability for QRS duration, QT interval, Tpeak-Tend and Theight were
calculated using structural equation modeling. QRS duration is largely determined
by environmental factors whereas repolarization is primarily genetically
determined. Heritability estimates of both QT interval and Theight were
significantly higher when measured from Holter compared to resting ECGs and the
heritability estimate of each was heart rate dependent. Analysis of the genetic
contribution to correlation between repolarization parameters demonstrated that
covariance of individual ECG parameters at different heart rates overlap but at
each specific heart rate there was relatively little overlap in the genetic
determinants of the different repolarization parameters. CONCLUSIONS: Here we
present the first study of heritability of repolarization parameters measured
from Holter ECGs. Our data demonstrate that higher heritability can be estimated
from the Holter than the resting ECG and reveals rate dependence in the genetic
environmental determinants of the ECG that has not previously been tractable.
Future applications include deeper dissection of the ECG of participants with
inherited cardiac electrical disease.
PMID- 27199770
TI - Effects of Age, Exercise Duration, and Test Conditions on Heart Rate Variability
in Young Endurance Horses.
AB - Although cardiac recovery is an important criterion for ranking horses in
endurance competitions, heart rate variability (HRV) has hardly ever been studied
in the context of this equestrian discipline. In the present study, we sought to
determine whether HRV is affected by parameters such as age, exercise duration
and test site. Accordingly, HRV might be used to select endurance horses with the
fastest cardiac recovery. The main objective of the present study was to
determine the effects of age, exercise duration, and test site on HRV variables
at rest and during exercise and recovery in young Arabian endurance horses. Over
a 3-year period, 77 young Arabian horses aged 4-6 years performed one or more
exercise tests (consisting of a warm-up, cantering at 22 km.h(-1)and a final 500
m gallop at full speed) at four different sites. Beat-to-beat RR intervals were
continuously recorded and then analyzed (using a time-frequency approach) to
determine the instantaneous HRV components before, during and after the test. At
rest, the root-mean-square of successive differences in RR intervals (RMSSD) was
higher in the 4-year-olds (54.4 +/- 14.5 ms) than in the 5-or 6-year-olds (44.9
+/- 15.5 and 49.1 +/- 11.7 ms, respectively). During the first 15 min of exercise
(period T), the heart rate (HR) and RMSSD decreased with age. In 6-year-olds,
RMSSD decreased as the exercise duration increased (T: 3.0 +/- 1.4 vs. 2T: 3.6 +/
2.2 vs. 3T: 2.8 +/- 1.0). During recovery, RMSSD was negatively correlated with
the cardiac recovery time (CRT) and the recovery heart rate (RHR; R = -0.56 and
0.53, respectively; p < 0.05). At rest and during exercise and recovery, RMSSD
and several HRV variables differed significantly as a function of the test
conditions. HRV in endurance horses appears to be strongly influenced by age and
environmental factors (such as ambient temperature, ambient humidity, and track
quality). Nevertheless, RMSSD can be used to select endurance horses with the
fastest cardiac recovery.
PMID- 27199773
TI - Young, Healthy Subjects Can Reduce the Activity of Calf Muscles When Provided
with EMG Biofeedback in Upright Stance.
AB - Recent evidence suggests the minimization of muscular effort rather than of the
size of bodily sway may be the primary, nervous system goal when regulating the
human, standing posture. Different programs have been proposed for balance
training; none however has been focused on the activation of postural muscles
during standing. In this study we investigated the possibility of minimizing the
activation of the calf muscles during standing through biofeedback. By providing
subjects with an audio signal that varied in amplitude and frequency with the
amplitude of surface electromyograms (EMG) recorded from different regions of the
gastrocnemius and soleus muscles, we expected them to be able to minimize the
level of muscle activation during standing without increasing the excursion of
the center of pressure (CoP). CoP data and surface EMG from gastrocnemii, soleus
and tibialis anterior muscles were obtained from 10 healthy participants while
standing at ease and while standing with EMG biofeedback. Four sensitivities were
used to test subjects' responsiveness to the EMG biofeedback. Compared with
standing at ease, the two most sensitive feedback conditions induced a decrease
in plantar flexor activity (~15%; P < 0.05) and an increase in tibialis anterior
EMG (~10%; P < 0.05). Furthermore, CoP mean position significantly shifted
backward (~30 mm). In contrast, the use of less sensitive EMG biofeedback
resulted in a significant decrease in EMG activity of ankle plantar flexors with
a marginal increase in TA activity compared with standing at ease. These changes
were not accompanied by greater CoP displacements or significant changes in mean
CoP position. Key results revealed subjects were able to keep standing stability
while reducing the activity of gastrocnemius and soleus without loading their
tibialis anterior muscle when standing with EMG biofeedback. These results may
therefore posit the basis for the development of training protocols aimed at
assisting subjects in more efficiently controlling leg muscle activity during
standing.
PMID- 27199774
TI - Thinking and Action: A Cognitive Perspective on Self-Regulation during Endurance
Performance.
AB - Self-regulation reflects an individual's efforts to bring behavior and thinking
into line with often consciously desired goals. During endurance activity, self
regulation requires an athlete to balance their speed or power output
appropriately to achieve an optimal level of performance. Considering that both
behavior and thinking are core elements of self-regulation, this article provides
a cognitive perspective on the processes required for effective pace-regulation
during endurance performance. We also integrate this viewpoint with physiological
and performance outcomes during activity. As such, evidence is presented to
suggest that what an athlete thinks about has an important influence on effort
perceptions, physiological outcomes, and, consequently, endurance performance.
This article also provides an account of how an athlete might control their
cognition and focus attention during an endurance event. We propose that
effective cognitive control during performance requires both proactive, goal
driven processes and reactive, stimulus-driven processes. In addition, the role
of metacognition-or thinking about thinking-in pace-regulation will also be
considered. Metacognition is an essential component of self-regulation and its
primary functions are to monitor and control the thoughts and actions required
for task completion. To illustrate these processes in action, a metacognitive
framework of attentional focus and cognitive control is applied to an endurance
performance setting: specifically, Bradley Wiggins' successful 2015 Hour record
attempt in cycling. Finally, future perspectives will consider the potentially
deleterious effects of the sustained cognitive effort required during prolonged
and strenuous endurance tasks.
PMID- 27199771
TI - Emerging Roles of Hydrogen Sulfide in Inflammatory and Neoplastic Colonic
Diseases.
AB - Hydrogen sulfide (H2S) is a toxic gas that has been recognized as an important
mediator of many physiological processes, such as neurodegeneration, regulation
of inflammation, blood pressure, and metabolism. In the human colon, H2S is
produced by both endogenous enzymes and sulfate-reducing bacteria (SRB). H2S is
involved in the physiological and pathophysiological conditions of the colon,
such as inflammatory bowel disease (IBD) and colorectal cancer (CRC), which makes
the pharmacological modulation of H2S production and metabolism a potential
chemical target for the treatment of colonic diseases. However, the exact
mechanisms and pathways by which H2S-mediates normal physiological function and
disease in the colon are not fully understood. Besides, the production and
release of H2S are modulated by both endogenous and exogenous factors. This
review will discuss the production and storage of H2S, its biological roles and
the emerging importance in physiology and pathology of IBD and CRC.
PMID- 27199772
TI - The Physiology of Bone Pain. How Much Do We Really Know?
AB - Pain is associated with most bony pathologies. Clinical and experimental
observations suggest that bone pain can be derived from noxious stimulation of
the periosteum or bone marrow. Sensory neurons are known to innervate the
periosteum and marrow cavity, and most of these have a morphology and molecular
phenotype consistent with a role in nociception. However, little is known about
the physiology of these neurons, and therefore information about mechanisms that
generate and maintain bone pain is lacking. The periosteum has received greater
attention relative to the bone marrow, reflecting the easier access of the
periosteum for experimental assessment. With the electrophysiological
preparations used, investigators have been able to record from single periosteal
units in isolation, and there is a lot of information available about how they
respond to different stimuli, including those that are noxious. In contrast,
preparations used to study sensory neurons that innervate the bone marrow have
been limited to recording multi-unit activity in whole nerves, and whilst they
clearly report responses to noxious stimulation, it is not possible to define
responses for single sensory neurons that innervate the bone marrow. There is
only limited evidence that peripheral sensory neurons that innervate bone can be
sensitized or that they can be activated by multiple stimulus types, and at
present this only exists in part for periosteal units. In the central nervous
system, it is clear that spinal dorsal horn neurons can be activated by noxious
stimuli applied to bone. Some can be sensitized under pathological conditions and
may contribute in part to secondary or referred pain associated with bony
pathology. Activity related to stimulation of sensory nerves that innervate bone
has also been reported in neurons of the spinoparabrachial pathway and the
somatosensory cortices, both known for roles in coding information about pain.
Whilst these provide some clues as to the way information about bone pain is
centrally coded, they need to be expanded to further our understanding of other
central territories involved. There is a lot more to learn about the physiology
of peripheral sensory neurons that innervate bone and their central projections.
PMID- 27199775
TI - Insights into the Pathology of the alpha2-Na(+)/K(+)-ATPase in Neurological
Disorders; Lessons from Animal Models.
AB - A functional Na(+)/K(+)-ATPase consists of a catalytic alpha subunit and a
regulatory beta subunit. Four alpha isoforms of the Na(+)/K(+)-ATPase are found
in mammals, each with a unique expression pattern and catalytic activity. The
alpha2 isoform, encoded by the ATP1A2 gene, is primarily found in the central
nervous system (CNS) and in heart-, skeletal- and smooth muscle tissues. In the
CNS, the alpha2 isoform is mainly expressed in glial cells. In particular, the
alpha2 isoform is found in astrocytes, important for astrocytic K(+) clearance
and, consequently, the indirect uptake of neurotransmitters. Both processes are
essential for proper brain activity, and autosomal dominantly mutations in the
ATP1A2 gene cause the neurological disorder Familial hemiplegic migraine type 2
(FHM2). FHM2 is a severe subtype of migraine with aura including temporary
numbness or weakness, and affecting only one side of the body. FHM2 patients
often suffer from neurological comorbidities such as seizures, sensory
disturbances, cognitive impairment, and psychiatric manifestations. The
functional consequences of FHM2 disease mutations leads to a partial or complete
loss of function of pump activity; however, a clear phenotype-genotype
correlation has yet to be elucidated. Gene-modified mouse models targeting the
Atp1a2 gene have proved instrumental in the understanding of the pathology of
FHM2. Several Atp1a2 knockout (KO) mice targeting different exons have been
reported. Homozygous Atp1a2 KO mice die shortly after birth due to respiratory
malfunction resulting from abnormal Cl(-) homeostasis in brainstem neurons.
Heterozygous KO mice are viable, but display altered behavior and neurological
deficits such as altered spatial learning, decreased motor activity and enhanced
fear/anxiety compared to wild type mice. FHM2 knock-in (KI) mouse models carrying
the human in vivo disease mutations W887R and G301R have also been reported. Both
models display altered cortical spreading depression (CSD) and point to deficits
in the glutamatergic system as the main underlying mechanism of FHM2.
PMID- 27199776
TI - Improving Social Cognition in People with Schizophrenia with RC2S: Two Single
Case Studies.
AB - Difficulties in social interactions are a central characteristic of people with
schizophrenia, and can be partly explained by impairments of social cognitive
processes. New strategies of cognitive remediation have been recently developed
to target these deficits. The RC2S therapy is an individualized and partly
computerized program through which patients practice social interactions and
develop social cognitive abilities with simulation techniques in a realistic
environment. Here, we present the results of two case-studies involving two
patients with schizophrenia presenting with specific profiles of impaired social
cognition. Each patient completed three baseline sessions, 14 treatment sessions,
and 3 follow-up sessions at the end of the therapy - and for 1 patient, another 3
sessions 9 months later. We used a multiple baseline design to assess specific
components of social cognition according to the patients' profiles. Functioning
and symptomatology were also assessed at the end of the treatment and 6 months
later. Results highlight significant improvements in the targeted social
cognitive processes and positive changes in functioning in the long term. The
RC2S program seems, thus, to be a new useful program for social cognitive
remediation in schizophrenia.
PMID- 27199777
TI - GOLIAH: A Gaming Platform for Home-Based Intervention in Autism - Principles and
Design.
AB - Children with Autism need intensive intervention and this is challenging in terms
of manpower, costs, and time. Advances in Information Communication Technology
and computer gaming may help in this respect by creating a nomadically deployable
closed-loop intervention system involving the child and active participation of
parents and therapists. An automated serious gaming platform enabling intensive
intervention in nomadic settings has been developed by mapping two pivotal skills
in autism spectrum disorder: Imitation and Joint Attention (JA). Eleven games -
seven Imitations and four JA - were derived from the Early Start Denver Model.
The games involved application of visual and audio stimuli with multiple
difficulty levels and a wide variety of tasks and actions pertaining to the
Imitation and JA. The platform runs on mobile devices and allows the therapist to
(1) characterize the child's initial difficulties/strengths, ensuring tailored
and adapted intervention by choosing appropriate games and (2) investigate and
track the temporal evolution of the child's progress through a set of
automatically extracted quantitative performance metrics. The platform allows the
therapist to change the game or its difficulty levels during the intervention
depending on the child's progress. Performance of the platform was assessed in a
3-month open trial with 10 children with autism (Trial ID: NCT02560415,
Clinicaltrials.gov). The children and the parents participated in 80% of the
sessions both at home (77.5%) and at the hospital (90%). All children went
through all the games but, given the diversity of the games and the heterogeneity
of children profiles and abilities, for a given game the number of sessions
dedicated to the game varied and could be tailored through automatic scoring.
Parents (N = 10) highlighted enhancement in the child's concentration,
flexibility, and self-esteem in 78, 89, and 44% of the cases, respectively, and
56% observed an enhanced parents-child relationship. This pilot study shows the
feasibility of using the developed gaming platform for home-based intensive
intervention. However, the overall capability of the platform in delivering
intervention needs to be assessed in a bigger open trial.
PMID- 27199780
TI - Commentary: A Gene-Based Association Method for Mapping Traits Using Reference
Transcriptome Data.
PMID- 27199781
TI - Optical Topography in Psychiatry: A Chip Off the Old Block or a New Look Beyond
the Mind-Brain Frontiers?
PMID- 27199782
TI - The Synergistic Impact of Excessive Alcohol Drinking and Cigarette Smoking upon
Prospective Memory.
AB - The independent use of excessive amounts of alcohol or persistent cigarette
smoking have been found to have a deleterious impact upon Prospective Memory (PM:
remembering future intentions and activities), although to date, the effect of
their concurrent use upon PM is yet to be explored. The present study
investigated the impact of the concurrent use of drinking excessive amounts of
alcohol and smoking cigarettes (a "Polydrug" group) in comparison to the combined
effect of the single use of these substances upon PM. The study adopted a single
factorial independent groups design. The Cambridge Prospective Memory Test
(CAMPROMPT) is a test of both time-based and event-based PM and was used here to
measure PM. The CAMPROMPT was administered to 125 adults; an excessive alcohol
user group (n = 40), a group of smokers who drink very little alcohol (n = 20), a
combined user group (the "Polydrug" group) who drink excessively and smoke
cigarettes (n = 40) and a non-drinker/low alcohol consumption control group (n =
25). The main findings revealed that the Polydrug users recalled significantly
fewer time-based PM tasks than both excessive alcohol users p < 0.001 and smokers
p = 0.013. Polydrug users (mean = 11.47) also remembered significantly fewer
event-based PM tasks than excessive alcohol users p < 0.001 and smokers p =
0.013. With regards to the main aim of the study, the polydrug users exhibited
significantly greater impaired time-based PM than the combined effect of single
excessive alcohol users and cigarette smokers p = 0.033. However, no difference
was observed between polydrug users and the combined effect of single excessive
alcohol users and cigarette smokers in event-based PM p = 0.757. These results
provide evidence that concurrent (polydrug) use of these two substances has a
synergistic effect in terms of deficits upon time-based PM. The observation that
combined excessive drinking and cigarette smoking leads to a greater impairment
in time-based PM may be of paramount importance, given the key role PM plays in
everyday independent living.
PMID- 27199783
TI - Promoting Health by Improving Subjective Sleep Quality? Reduction in Depressive
Symptoms and Inflammation as Potential Mechanisms and Implications for Trauma
Exposed Persons.
PMID- 27199784
TI - Characteristics of Participants Enrolled in a Brief Motivational Enhancement for
Smokers.
AB - Daily smoking is associated with elevated blood pressure, carbon monoxide (CO)
toxicity, and impaired pulmonary lung functioning. The benefits of successful
smoking cessation are readily apparent, given the health improvements associated
with cessation, as well as the reduction of secondhand smoke to which non-smoking
coworkers and family members are exposed. Previous literature indicates that
providing personalized information to smokers (versus general base rates) without
engaging in confrontational pressure to quit smoking, leads to increased interest
in quitting smoking and willingness to enter smoking cessation programs. The goal
of this study was to examine the pretreatment characteristics of the smokers
entering a brief motivational enhancement intervention based on personally
tailored health feedback. Participants (N = 28) were 88.2% Caucasian and 59%
males, and they were an average of 23 years of age. On average, they smoked 20.08
cigarettes per day for a mean of 6.6 years, a mean Fagerstrom Test for Nicotine
Dependence score of 4.7, and obtained a mean breath CO reading of 19.1 ppm.
Smoking-related adverse health outcomes were predictive of stages of change
motivation to quit smoking. Implications for cessation programs are discussed.
PMID- 27199785
TI - Cortico-Striato-Thalamo-Cortical Circuitry, Working Memory, and Obsessive
Compulsive Disorder.
PMID- 27199779
TI - Is there Progress? An Overview of Selecting Biomarker Candidates for Major
Depressive Disorder.
AB - Major depressive disorder (MDD) contributes to a significant worldwide disease
burden, expected to be second only to heart disease by 2050. However, accurate
diagnosis has been a historical weakness in clinical psychiatry. As a result,
there is a demand for diagnostic modalities with greater objectivity that could
improve on current psychiatric practice that relies mainly on self-reporting of
symptoms and clinical interviews. Over the past two decades, literature on a
growing number of putative biomarkers for MDD increasingly suggests that MDD
patients have significantly different biological profiles compared to healthy
controls. However, difficulty in elucidating their exact relationships within
depression pathology renders individual markers inconsistent diagnostic tools.
Consequently, further biomarker research could potentially improve our
understanding of MDD pathophysiology as well as aid in interpreting response to
treatment, narrow differential diagnoses, and help refine current MDD criteria.
Representative of this, multiplex assays using multiple sources of biomarkers are
reported to be more accurate options in comparison to individual markers that
exhibit lower specificity and sensitivity, and are more prone to confounding
factors. In the future, more sophisticated multiplex assays may hold promise for
use in screening and diagnosing depression and determining clinical severity as
an advance over relying solely on current subjective diagnostic criteria. A
pervasive limitation in existing research is heterogeneity inherent in MDD
studies, which impacts the validity of biomarker data. Additionally, small sample
sizes of most studies limit statistical power. Yet, as the RDoC project evolves
to decrease these limitations, and stronger studies with more generalizable data
are developed, significant advances in the next decade are expected to yield
important information in the development of MDD biomarkers for use in clinical
settings.
PMID- 27199778
TI - Acute Stress Decreases but Chronic Stress Increases Myocardial Sensitivity to
Ischemic Injury in Rodents.
AB - Cardiovascular disease (CVD) is the largest cause of mortality worldwide, and
stress is a significant contributor to the development of CVD. The relationship
between acute and chronic stress and CVD is well evidenced. Acute stress can lead
to arrhythmias and ischemic injury. However, recent evidence in rodent models
suggests that acute stress can decrease sensitivity to myocardial ischemia
reperfusion injury (IRI). Conversely, chronic stress is arrhythmogenic and
increases sensitivity to myocardial IRI. Few studies have examined the impact of
validated animal models of stress-related psychological disorders on the ischemic
heart. This review examines the work that has been completed using rat models to
study the effects of stress on myocardial sensitivity to ischemic injury.
Utilization of animal models of stress-related psychological disorders is
critical in the prevention and treatment of cardiovascular disorders in patients
experiencing stress-related psychiatric conditions.
PMID- 27199786
TI - Early Memories of Individuals on the Autism Spectrum Assessed Using Online Self
Reports.
AB - "When I was one and a half years old, I was on a ferry lying on red seats" -
while several autobiographical accounts by people with autism reveal vivid
memories of early childhood, the vast amount of experimental investigations found
deficits in personal autobiographic memory in autism. To assess this
contradiction empirically, we implemented an online questionnaire on early
childhood events to compare people on the autism spectrum (AS) and non-autistic
people with respect to their earliest autobiographical episodic memories and the
earliest semantic know event as told by another person. Results indicate that
people on the AS do not differ from non-autistic people in the age of their
earliest know events but remember events from an earlier age in childhood and
with more sensory details, contradicting the assumption of an overall deficit in
personal episodic memory in autism. Furthermore, our results emphasize the
supporting influence of language for memory formation and give evidence for an
important role of sensory features in memories of people on the AS.
PMID- 27199788
TI - Action Identity in Style Simulation Systems: Do Players Consider Machine
Generated Music As of Their Own Style?
AB - The generation of musical material in a given style has been the subject of many
studies with the increased sophistication of artificial intelligence models of
musical style. In this paper we address a question of primary importance for
artificial intelligence and music psychology: can such systems generate music
that users indeed consider as corresponding to their own style? We address this
question through an experiment involving both performance and recognition tasks
with musically naive school-age children. We asked 56 children to perform a free
form improvisation from which two kinds of music excerpt were created. One was a
mere recording of original performances. The other was created by a software
program designed to simulate the participants' style, based on their original
performances. Two hours after the performance task, the children completed the
recognition task in two conditions, one with the original excerpts and one with
machine-generated music. Results indicate that the success rate is practically
equivalent in two conditions: children tended to make correct attribution of the
excerpts to themselves or to others, whether the music was human-produced or
machine-generated (mean accuracy = 0.75 and = 0.71, respectively). We discuss
this equivalence in accuracy for machine-generated and human produced music in
the light of the literature on memory effects and action identity which addresses
the recognition of one's own production.
PMID- 27199787
TI - Overlapping Mechanisms of Stress-Induced Relapse to Opioid Use Disorder and
Chronic Pain: Clinical Implications.
AB - Over the past two decades, a steeply growing number of persons with chronic non
cancer pain have been using opioid analgesics chronically to treat it,
accompanied by a markedly increased prevalence of individuals with opioid-related
misuse, opioid use disorders, emergency department visits, hospitalizations,
admissions to drug treatment programs, and drug overdose deaths. This opioid
misuse and overdose epidemic calls for well-designed randomized-controlled
clinical trials into more skillful and appropriate pain management and for
developing effective analgesics that have lower abuse liability and are
protective against stress induced by chronic non-cancer pain. However, incomplete
knowledge regarding effective approaches to treat various types of pain has been
worsened by an under-appreciation of overlapping neurobiological mechanisms of
stress, stress-induced relapse to opioid use, and chronic non-cancer pain in
patients presenting for care for these conditions. This insufficient knowledge
base has unfortunately encouraged common prescription of conveniently available
opioid pain-relieving drugs with abuse liability, as opposed to treating
underlying problems using team-based multidisciplinary, patient-centered,
collaborative-care approaches for addressing pain and co-occurring stress and
risk for opioid use disorder. This paper reviews recent neurobiological findings
regarding overlapping mechanisms of stress-induced relapse to opioid misuse and
chronic non-cancer pain, and then discusses these in the context of key
outstanding evidence gaps and clinical-treatment research directions that may be
pursued to fill these gaps. Such research directions, if conducted through well
designed randomized-controlled trials, may substantively inform clinical practice
in general medical settings on how to effectively care for patients presenting
with pain-related distress and these common co-occurring conditions.
PMID- 27199790
TI - Three Factors Are Critical in Order to Synthesize Intelligible Noise-Vocoded
Japanese Speech.
AB - Factor analysis (principal component analysis followed by varimax rotation) had
shown that 3 common factors appear across 20 critical-band power fluctuations
derived from spoken sentences of eight different languages [Ueda et al. (2010).
Fechner Day 2010, Padua]. The present study investigated the contributions of
such power-fluctuation factors to speech intelligibility. The method of factor
analysis was modified to obtain factors suitable for resynthesizing speech sounds
as 20-critical-band noise-vocoded speech. The resynthesized speech sounds were
used for an intelligibility test. The modification of factor analysis ensured
that the resynthesized speech sounds were not accompanied by a steady background
noise caused by the data reduction procedure. Spoken sentences of British
English, Japanese, and Mandarin Chinese were subjected to this modified analysis.
Confirming the earlier analysis, indeed 3-4 factors were common to these
languages. The number of power-fluctuation factors needed to make noise-vocoded
speech intelligible was then examined. Critical-band power fluctuations of the
Japanese spoken sentences were resynthesized from the obtained factors, resulting
in noise-vocoded-speech stimuli, and the intelligibility of these speech stimuli
was tested by 12 native Japanese speakers. Japanese mora (syllable-like
phonological unit) identification performances were measured when the number of
factors was 1-9. Statistically significant improvement in intelligibility was
observed when the number of factors was increased stepwise up to 6. The 12
listeners identified 92.1% of the morae correctly on average in the 6-factor
condition. The intelligibility improved sharply when the number of factors
changed from 2 to 3. In this step, the cumulative contribution ratio of factors
improved only by 10.6%, from 37.3 to 47.9%, but the average mora identification
leaped from 6.9 to 69.2%. The results indicated that, if the number of factors is
3 or more, elementary linguistic information is preserved in such noise-vocoded
speech.
PMID- 27199789
TI - Mathematics Anxiety: What Have We Learned in 60 Years?
AB - The construct of mathematics anxiety has been an important topic of study at
least since the concept of "number anxiety" was introduced by Dreger and Aiken
(1957), and has received increasing attention in recent years. This paper focuses
on what research has revealed about mathematics anxiety in the last 60 years, and
what still remains to be learned. We discuss what mathematics anxiety is; how
distinct it is from other forms of anxiety; and how it relates to attitudes to
mathematics. We discuss the relationships between mathematics anxiety and
mathematics performance. We describe ways in which mathematics anxiety is
measured, both by questionnaires, and by physiological measures. We discuss some
possible factors in mathematics anxiety, including genetics, gender, age, and
culture. Finally, we describe some research on treatment. We conclude with a
brief discussion of what still needs to be learned.
PMID- 27199791
TI - Neural Correlates of Sex/Gender Differences in Humor Processing for Different
Joke Types.
AB - Humor operates through a variety of techniques, which first generate surprise and
then amusement and laughter once the unexpected incongruity is resolved. As
different types of jokes use different techniques, the corresponding humor
processes also differ. The present study builds on the framework of the 'tri
component theory of humor,' which details the mechanisms involved in cognition
(comprehension), affect (appreciation), and laughter (expression). This study
seeks to identify differences among joke types and between sexes/genders in the
neural mechanisms underlying humor processing. Three types of verbal jokes,
bridging-inference jokes (BJs), exaggeration jokes (EJs), and ambiguity jokes
(AJs), were used as stimuli. The findings revealed differences in brain activity
for an interaction between sex/gender and joke type. For BJs, women displayed
greater activation in the temporoparietal-mesocortical-motor network than men,
demonstrating the importance of the temporoparietal junction (TPJ) presumably for
'theory of mind' processing, the orbitofrontal cortex for motivational functions
and reward coding, and the supplementary motor area for laughter. Women also
showed greater activation than men in the frontal-mesolimbic network associated
with EJs, including the anterior (frontopolar) prefrontal cortex (aPFC, BA 10)
for executive control processes, and the amygdala and midbrain for reward
anticipation and salience processes. Conversely, AJs elicited greater activation
in men than women in the frontal-paralimbic network, including the dorsal
prefrontal cortex (dPFC) and parahippocampal gyrus. All joke types elicited
greater activation in the aPFC of women than of men, whereas men showed greater
activation than women in the dPFC. To confirm the findings related to sex/gender
differences, random group analysis and within group variance analysis were also
performed. These findings help further establish the mechanisms underlying the
processing of different joke types for the sexes/genders and provide a neural
foundation for a theory of sex/gender differences in humor.
PMID- 27199793
TI - The Role of Inhibition in Age-related Off-Topic Verbosity: Not Access but
Deletion and Restraint Functions.
AB - The speech of older adults is commonly described as verbose and off-topic, which
is thought to influence their social communication. This study investigated the
role of inhibition in age-related off-topic verbosity (OTV). Inhibition consists
of three functions: access, deletion, and restraint. The access function is
responsible for preventing irrelevant information from accessing the attention
center (pre-mechanism of inhibition); The deletion function is responsible for
deleting previously relevant but currently irrelevant information from working
memory, and the restraint function is responsible for restraining strong but
inappropriate responses (post-mechanisms of inhibition). A referential
communication task was used to determine whether OTV was influenced by the pre
mechanism of inhibition. A self-involved event interview task was used to
investigate the effect of the post-mechanisms of inhibition on OTV. Results
showed that the OTV of the elderly participants was associated with an age
related decline in the post-mechanisms of inhibition, while the OTV exhibited by
young adults was most likely due to deficits in the pre-mechanism function of
inhibition. This research contributed to fill gaps in the existing knowledge
about the potential relationship between specific functions of inhibition and age
related OTV.
PMID- 27199792
TI - Using Distributional Statistics to Acquire Morphophonological Alternations:
Evidence from Production and Perception.
AB - Morphophonological alternations, such as the voicing alternation that arises in a
morphological paradigm due to final-devoicing in Dutch, are notoriously difficult
for children to acquire. This has previously been attributed to their
unpredictability. In fact, the presence or absence of a voicing alternation is
partly predictable if the phonological context of the word is taken into account,
and adults have been shown to use this information (Ernestus and Baayen, 2003).
This study investigates whether voicing alternations are predictable from the
child's input, and whether children can make use of this information. A corpus
study of child-directed speech establishes that the likelihood of a stem-final
obstruent alternating is somewhat predictable on the basis of the phonological
properties of the stem. In Experiment 1 Dutch 3-year-olds' production accuracy in
a plural-elicitation task is shown to be sensitive to the distributional
statistics. However, distributional properties do not play a role in children's
sensitivity to mispronunciations of voicing in a Preferential Looking Task in
Experiment 2.
PMID- 27199795
TI - Minimal Interference from Possessor Phrases in the Production of Subject-Verb
Agreement.
AB - We explore the language production process by eliciting subject-verb agreement
errors. Participants were asked to create complete sentences from sentence
beginnings such as The elf's/elves' house with the tiny window/windows and The
statue in the elf's/elves' gardens. These are subject noun phrases containing a
head noun and controller of agreement (statue) and two nonheads, a "local noun"
(window(s)/garden(s)), and a possessor noun (elf's/elves'). Past research has
shown that a plural nonhead noun (an "attractor") within a subject noun phrase
triggers the production of verb agreement errors, and further, that the nearer
the attractor to the head noun, the greater the interference. This effect can be
interpreted in terms of relative hierarchical distance from the head noun, or via
a processing window account, which claims that during production, there is a
window in which the head and modifying material may be co-active, and an
attractor must be active at the same time as the head to give rise to errors.
Using possessors attached at different heights within the same window, we are
able to empirically distinguish these accounts. Possessors also allow us to
explore two additional issues. First, case marking of local nouns has been shown
to reduce agreement errors in languages with "rich" inflectional systems, and we
explore whether English speakers attend to case. Secondly, formal syntactic
analyses differ regarding the structural position of the possessive marker, and
we distinguish them empirically with the relative magnitude of errors produced by
possessors and local nouns. Our results show that, across the board, plural
possessors are significantly less disruptive to the agreement process than plural
local nouns. Proximity to the head noun matters: a possessor directly modifying
the head noun induce a significant number of errors, but a possessor within a
modifying prepositional phrase did not, though the local noun did. These findings
suggest that proximity to a head noun is independent of a "processing window"
effect. They also support a noun phrase-internal, case-like analysis of the
structural position of the possessive ending and show that even speakers of
inflectionally impoverished languages like English are sensitive to
morphophonological case-like marking.
PMID- 27199794
TI - Attitudes Toward Seeking Professional Psychological Help: Factor Structure and
Socio-Demographic Predictors.
AB - Attitudes toward seeking professional psychological help (ATSPPH) are complex.
Help seeking preferences are influenced by various attitudinal and socio
demographic factors and can often result in unmet needs, treatment gaps, and
delays in help-seeking. The aims of the current study were to explore the factor
structure of the ATSPPH short form (-SF) scale and determine whether any
significant socio-demographic differences exist in terms of help-seeking
attitudes. Data were extracted from a population-based survey conducted among
Singapore residents aged 18-65 years. Respondents provided socio-demographic
information and were administered the ATSPPH-SF. Weighted mean and standard error
of the mean were calculated for continuous variables, and frequencies and
percentages for categorical variables. Confirmatory factor analysis and
exploratory factor analysis were performed to establish the validity of the
factor structure of the ATSPPH-SF scale. Multivariable linear regressions were
conducted to examine predictors of each of the ATSPPH-SF factors. The factor
analysis revealed that the ATSPPH-SF formed three distinct dimensions: "Openness
to seeking professional help," "Value in seeking professional help," and
"Preference to cope on one's own." Multiple linear regression analyses showed
that age, ethnicity, marital status, education, and income were significantly
associated with the ATSPPH-SF factors. Population subgroups that were less open
to or saw less value in seeking psychological help should be targeted via
culturally appropriate education campaigns and tailored and supportive
interventions.
PMID- 27199796
TI - Web Ontologies to Categorialy Structure Reality: Representations of Human
Emotional, Cognitive, and Motivational Processes.
AB - This work presents a Web ontology for modeling and representation of the
emotional, cognitive and motivational state of online learners, interacting with
university systems for distance or blended education. The ontology is understood
as a way to provide the required mechanisms to model reality and associate it to
emotional responses, but without committing to a particular way of organizing
these emotional responses. Knowledge representation for the contributed ontology
is performed by using Web Ontology Language (OWL), a semantic web language
designed to represent rich and complex knowledge about things, groups of things,
and relations between things. OWL is a computational logic-based language such
that computer programs can exploit knowledge expressed in OWL and also
facilitates sharing and reusing knowledge using the global infrastructure of the
Web. The proposed ontology has been tested in the field of Massive Open Online
Courses (MOOCs) to check if it is capable of representing emotions and motivation
of the students in this context of use.
PMID- 27199797
TI - Pride, Shame, and Group Identification.
AB - Self-conscious emotions such as shame and pride are emotions that typically focus
on the self of the person who feels them. In other words, the intentional object
of these emotions is assumed to be the subject that experiences them. Many
reasons speak in its favor and yet this account seems to leave a question open:
how to cash out those cases in which one genuinely feels ashamed or proud of what
someone else does? This paper contends that such cases do not necessarily
challenge the idea that shame and pride are about the emoting subject. Rather, we
claim that some of the most paradigmatic scenarios of shame and pride induced by
others can be accommodated by taking seriously the consideration that, in such
cases, the subject "group-identifies" with the other. This is the idea that, in
feeling these forms of shame or pride, the subject is conceiving of herself as a
member of the same group as the subject acting shamefully or in an admirable way.
In other words, these peculiar emotive responses are elicited in the subject
insofar as, and to the extent that, she is (or sees herself as being) a member of
a group - the group to which those who act shamefully or admirably also belong.
By looking into the way in which the notion of group identification can allow for
an account of hetero-induced shame and pride, this paper attempts to achieve a
sort of mutual enlightenment that brings to light not only an important and
generally neglected form of self-conscious emotions, but also relevant features
of group identification. In particular, it generates evidence for the idea that
group identification is a psychological process that the subject does not have to
carry out intentionally in the sense that it is not necessarily triggered by the
subject's conative states like desires or intentions.
PMID- 27199798
TI - Comprehending Adverbs of Doubt and Certainty in Health Communication: A
Multidimensional Scaling Approach.
AB - This research explored the feasibility of using multidimensional scaling (MDS)
analysis in novel combination with other techniques to study comprehension of
epistemic adverbs expressing doubt and certainty (e.g., evidently, obviously,
probably) as they relate to health communication in clinical settings. In Study
1, Australian English speakers performed a dissimilarity-rating task with
sentence pairs containing the target stimuli, presented as "doctors' opinions."
Ratings were analyzed using a combination of cultural consensus analysis (factor
analysis across participants), weighted-data classical-MDS, and cluster analysis.
Analyses revealed strong within-community consistency for a 3-dimensional
semantic space solution that took into account individual differences, strong
statistical acceptability of the MDS results in terms of stress and explained
variance, and semantic configurations that were interpretable in terms of
linguistic analyses of the target adverbs. The results confirmed the feasibility
of using MDS in this context. Study 2 replicated the results with Canadian
English speakers on the same task. Semantic analyses and stress decomposition
analysis were performed on the Australian and Canadian data sets, revealing
similarities and differences between the two groups. Overall, the results support
using MDS to study comprehension of words critical for health communication,
including in future studies, for example, second language speaking patients
and/or practitioners. More broadly, the results indicate that the techniques
described should be promising for comprehension studies in many communicative
domains, in both clinical settings and beyond, and including those targeting
other aspects of language and focusing on comparisons across different speech
communities.
PMID- 27199799
TI - Disentangling Metaphor from Context: An ERP Study.
AB - A large body of electrophysiological literature showed that metaphor
comprehension elicits two different event-related brain potential responses,
namely the so-called N400 and P600 components. Yet most of these studies test
metaphor in isolation while in natural conversation metaphors do not come out of
the blue but embedded in linguistic and extra-linguistic context. This study
aimed at assessing the role of context in the metaphor comprehension process. We
recorded EEG activity while participants were presented with metaphors and
equivalent literal expressions in a minimal context (Experiment 1) and in a
supportive context where the word expressing the ground between the metaphor's
topic and vehicle was made explicit (Experiment 2). The N400 effect was visible
only in minimal context, whereas the P600 was visible both in the absence and in
the presence of contextual cues. These findings suggest that the N400 observed
for metaphor is related to contextual aspects, possibly indexing contextual
expectations on upcoming words that guide lexical access and retrieval, while the
P600 seems to reflect truly pragmatic interpretative processes needed to make
sense of a metaphor and derive the speaker's meaning, also in the presence of
contextual cues. In sum, previous information in the linguistic context biases
toward a metaphorical interpretation but does not suppress interpretative
pragmatic mechanisms to establish the intended meaning.
PMID- 27199800
TI - The Complex Nature of Bilinguals' Language Usage Modulates Task-Switching
Outcomes.
AB - In view of inconsistent findings regarding bilingual advantages in executive
functions (EF), we reviewed the literature to determine whether bilinguals'
different language usage causes measureable changes in the shifting aspects of
EF. By drawing on the theoretical framework of the adaptive control hypothesis
which postulates a critical link between bilinguals' varying demands on language
control and adaptive cognitive control (Green and Abutalebi, 2013), we examined
three factors that characterize bilinguals' language-switching experience: (a)
the interactional context of conversational exchanges, (b) frequency of language
switching, and (c) typology of code-switching. We also examined whether
methodological variations in previous task-switching studies modulate task
specific demands on control processing and lead to inconsistencies in the
literature. Our review demonstrates that not only methodological rigor but also a
more finely grained, theory-based approach will be required to understand the
cognitive consequences of bilinguals' varied linguistic practices in shifting EF.
PMID- 27199801
TI - The Flexibility of Conceptual Pacts: Referring Expressions Dynamically Shift to
Accommodate New Conceptualizations.
AB - In a classic paper, Brennan and Clark argued that when interlocutors agree on a
name for an object, they are forming a temporary agreement on how to
conceptualize that object; that is, they are forming a conceptual pact. The
literature on conceptual pacts has largely focused on the costs and benefits of
breaking and maintaining lexical precedents, and the degree to which they might
be partner-specific. The research presented here focuses on a question about
conceptual pacts that has been largely neglected in the literature: To what
extent are conceptual pacts specific to the local context of the interaction? If
conceptual pacts are indeed temporary, then when the local context changes in
ways that are accessible to participants, we would expect participants to
seamlessly shift to referential expressions that reflect novel
conceptualizations. Two experiments examined how referential forms change across
context in collaborative, task-oriented dialog between naive participants. In
Experiment 1, names for parts of an unknown object were established in an "item"
identification stage (e.g., a shape that looked like a wrench was called "the
wrench"). In a second "build" stage, that name was often supplanted by an object
oriented name, e.g., the "leg." These changes happened abruptly and without
negotiation. In Experiment 2, interlocutors manipulated clip art and more
abstract tangram pictures in a "slider" puzzle to arrange the objects into a
target configuration. On some trials moving an object revealed a picture that
could be construed as a contrast competitor, e.g., a clip art picture of a camel
after "the camel" had been negotiated as a name for a tangram shape, or vice
versa. As would be expected, modification rates increased when a potential
contrast was revealed. More strikingly, the degree to which a name had been
negotiated or the frequency with which it had been used did not affect the
likelihood that the revealed shape would be considered as a potential contrast.
We find little evidence that names that are introduced as part of a conceptual
pact persist when either the task goals or informational needs change. Rather,
conceptual pacts are fluid temporary agreements.
PMID- 27199803
TI - Event-Related Potentials and Emotion Processing in Child Psychopathology.
AB - In recent years there has been increasing interest in the neural mechanisms
underlying altered emotional processes in children and adolescents with
psychopathology. This review provides a brief overview of the most up-to-date
findings in the field of event-related potentials (ERPs) to facial and vocal
emotional expressions in the most common child psychopathological conditions. In
regards to externalizing behavior (i.e., ADHD, CD), ERP studies show enhanced
early components to anger, reflecting enhanced sensory processing, followed by
reductions in later components to anger, reflecting reduced cognitive-evaluative
processing. In regards to internalizing behavior, research supports models of
increased processing of threat stimuli especially at later more elaborate and
effortful stages. Finally, in autism spectrum disorders abnormalities have been
observed at early visual-perceptual stages of processing. An affective
neuroscience framework for understanding child psychopathology can be valuable in
elucidating underlying mechanisms and inform preventive intervention.
PMID- 27199804
TI - Gender, Sexual Orientation, and Workplace Incivility: Who Is Most Targeted and
Who Is Most Harmed?
AB - Scholars have proposed that interpersonal workplace discrimination toward members
of oppressed social groups has become covert and subtle rather than overt and
explicit and that such experiences lead to negative outcomes for targets. The
present study examined this proposition by examining experiences and consequences
of workplace incivility-a seemingly harmless form of interpersonal maltreatment
based on gender, sexual orientation, and their intersection. A sample of 1,300
academic faculty (52% male, 86% White) participated in an online survey study
assessing their experiences of workplace incivility, job stress, job
satisfaction, job identity centrality, and demographics. Results showed that
sexual minority women reported the highest levels of workplace incivility.
Findings also revealed that women reported lower job satisfaction than men and
that heterosexuals reported higher job stress and lower job identity centrality
than sexual minorities with higher levels of incivility. Thus, sexual minority
status buffered the negative effects of incivility for sexual minorities. These
findings point to the resiliency of sexual minorities in the face of
interpersonal stressors at work.
PMID- 27199802
TI - Emotional Processing and Attention Control Impairments in Children with Anxiety:
An Integrative Review of Event-Related Potentials Findings.
AB - Anxiety disorders in adults have been associated with biased processing of
emotional information which may be due to a deficit in attentional control. This
deficit leads to an hypervigilance and a selective attention toward threatening
information. Event-related potentials (ERPs) have been used to study this topic
in anxious adults. Similar biases have been reported in children with anxiety but
researches investigating the ERPs components underpinning these biases are more
scarce. However, the understanding of the neural correlates of attentional biases
in anxious children seem quite important since they could play a role in the
etiology and the maintenance of this disorder. This review summarizes the results
of researches having used ERPs to index emotional processing and attention
control in children suffering from anxiety. We will focus on the P1, indexing
basic visual perceptual processing, the N2, thought to reflect cognitive control
process, the P3 typically associated with response inhibition, and the late
positive potential (LPP) that indicates sustained attention toward motivationally
salient stimuli. We will also examine the error-related negativity (ERN) that
indexes monitoring system for detecting errors. Electro-physiological studies
generally reported increased amplitudes of these components in anxious children,
even when they did not differ from typically developing children at a behavioral
level. These results suggest diminished cognitive control that influences
children's selective attention mechanisms toward threatening information.
Theoretical perspectives and implications for future researches will be discussed
in the framework of current models of childhood anxiety.
PMID- 27199806
TI - Affect and Intellect in Judgments: Factors Which Determine Level of Evaluative
Heterogeneity.
PMID- 27199807
TI - Do the Best Teachers Get the Best Ratings?
AB - We review recent studies that asked: do college students learn relatively more
from teachers whom they rate highly on student evaluation forms? Recent studies
measured learning at two-time points. When learning was measured with a test at
the end of the course, the teachers who got the highest ratings were the ones who
contributed the most to learning. But when learning was measured as performance
in subsequent related courses, the teachers who had received relatively low
ratings appeared to have been most effective. We speculate about why these
effects occurred: making a course difficult in productive ways may decrease
ratings but enhance learning. Despite their limitations, we do not suggest
abandoning student ratings, but do recommend that student evaluation scores
should not be the sole basis for evaluating college teaching and they should be
recognized for what they are.
PMID- 27199805
TI - The Grounded Expertise Components Approach in the Novel Area of Cryptic Crossword
Solving.
AB - This paper presents a relatively unexplored area of expertise research which
focuses on the solving of British-style cryptic crossword puzzles. Unlike its
American "straight-definition" counterparts, which are primarily semantically
cued retrieval tasks, the British cryptic crossword is an exercise in code
cracking detection work. Solvers learn to ignore the superficial "surface
reading" of the clue, which is phrased to be deliberately misleading, and look
instead for a grammatical set of coded instructions which, if executed precisely,
will lead to the correct (and only) answer. Sample clues are set out to
illustrate the task requirements and demands. Hypothesized aptitudes for the
field might include high fluid intelligence, skill at quasi-algebraic puzzles,
pattern matching, visuospatial manipulation, divergent thinking and breaking
frame abilities. These skills are additional to the crystallized knowledge and
word-retrieval demands which are also a feature of American crossword puzzles.
The authors present results from an exploratory survey intended to identify the
characteristics of the cryptic crossword solving population, and outline the
impact of these results on the direction of their subsequent research. Survey
results were strongly supportive of a number of hypothesized skill-sets and
guided the selection of appropriate test content and research paradigms which
formed the basis of an extensive research program to be reported elsewhere. The
paper concludes by arguing the case for a more grounded approach to expertise
studies, termed the Grounded Expertise Components Approach. In this, the design
and scope of the empirical program flows from a detailed and objectively-based
characterization of the research population at the very onset of the program.
PMID- 27199808
TI - Landscape and Health: Connecting Psychology, Aesthetics, and Philosophy through
the Concept of Affordance.
AB - In this paper we address a frontier topic in the humanities, namely how the
cultural and natural construction that we call landscape affects well-being and
health. Following an updated review of evidence-based literature in the fields of
medicine, psychology, and architecture, we propose a new theoretical framework
called "processual landscape," which is able to explain both the health-landscape
and the medical agency-structure binomial pairs. We provide a twofold analysis of
landscape, from both the cultural and naturalist points of view: in order to take
into account its relationship with health, the definition of landscape as a
cultural product needs to be broadened through naturalization, grounding it in
the scientific domain. Landscape cannot be distinguished from the ecological
environment. For this reason, we naturalize the idea of landscape through the
notion of affordance and Gibson's ecological psychology. In doing so, we stress
the role of agency in the theory of perception and the health-landscape
relationship. Since it is the result of continuous and co-creational interaction
between the cultural agent, the biological agent and the affordances offered to
the landscape perceiver, the processual landscape is, in our opinion, the most
comprehensive framework for explaining the health-landscape relationship. The
consequences of our framework are not only theoretical, but ethical also: insofar
as health is greatly affected by landscape, this construction represents
something more than just part of our heritage or a place to be preserved for the
aesthetic pleasure it provides. Rather, we can talk about the right to landscape
as something intrinsically linked to the well-being of present and future
generations.
PMID- 27199809
TI - Early Local Activity in Temporal Areas Reflects Graded Content of Visual
Perception.
AB - In visual cognitive neuroscience the debate on consciousness is focused on two
major topics: the search for the neural correlates of the different properties of
visual awareness and the controversy on the graded versus dichotomous nature of
visual conscious experience. The aim of this study is to search for the possible
neural correlates of different grades of visual awareness investigating the Event
Related Potentials to reduced contrast visual stimuli whose perceptual clarity
was rated on the four-point Perceptual Awareness Scale. Results revealed a left
centro-parietal negative deflection (Visual Awareness Negativity; VAN) peaking at
280-320 ms from stimulus onset, related to the perceptual content of the
stimulus, followed by a bilateral positive deflection (Late Positivity; LP)
peaking at 510-550 ms over almost all electrodes, reflecting post-perceptual
processes performed on such content. Interestingly, the amplitude of both
deflections gradually increased as a function of visual awareness. Moreover, the
intracranial generators of the phenomenal content (VAN) were found to be located
in the left temporal lobe. The present data thus seem to suggest (1) that visual
conscious experience is characterized by a gradual increase of perceived clarity
at both behavioral and neural level and (2) that the actual content of perceptual
experiences emerges from early local activation in temporal areas, without the
need of later widespread frontal engagement.
PMID- 27199810
TI - Chinese Preservice Teachers' Professional Identity Links with Education Program
Performance: The Roles of Task Value Belief and Learning Motivations.
AB - Professional identity is a key issue spanning the entirety of teachers' career
development. Despite the abundance of existing research examining professional
identity, its link with occupation-related behavior at the primary career stage
(i.e., GPA in preservice education) and the potential process that underlies this
association is still not fully understood. This study explored the professional
identity of Chinese preservice teachers, and its links with task value belief,
intrinsic learning motivation, extrinsic learning motivation, and performance in
the education program. Grade-point average (GPA) of courses (both subject and
pedagogy courses) was examined as an indicator of performance, and questionnaires
were used to measure the remaining variables. Data from 606 preservice teachers
in the first 3 years of a teacher-training program indicated that: (1) variables
in this research were all significantly correlated with each other, except the
correlation between intrinsic learning motivation and program performance; (2)
professional identity was positively linked to task value belief, intrinsic and
extrinsic learning motivations, and program performance in a structural equation
model (SEM); (3) task value belief was positively linked to intrinsic and
extrinsic learning motivation; (4) higher extrinsic (but not intrinsic) learning
motivation was associated with increased program performance; and (5) task value
belief and extrinsic learning motivation were significant mediators in the model.
PMID- 27199812
TI - Linking Learning Contexts: The Relationship between Students' Civic and Political
Experiences and Their Self-Regulation in School.
AB - This paper considers the relationship between self-regulation strategies and
youth civic and political experiences, assuming that out-of-school learning can
foster metacognition. The study is based on a sample of 732 Portuguese students
from grades 8 and 11. Results show that the quality of civic and political
participation experiences, together with academic self-efficacy, are significant
predictors of young people's self-regulation, particularly regarding cognitive
and metacognitive strategies (elaboration and critical thinking). Such effects
surpass even the weight of family cultural and school variables, such as the
sense of school belonging. Therefore, we argue that the pedagogical value of non
formal civic and political experiences is related to learning in formal
pedagogical contexts. This is because civic and political participation with high
developmental quality can stimulate higher-order cognitive engagement and, thus,
contribute to the development of learning strategies that promote academic
success.
PMID- 27199813
TI - Editorial: Quantum Structures in Cognitive and Social Science.
PMID- 27199811
TI - Screen Time on School Days and Risks for Psychiatric Symptoms and Self-Harm in
Mainland Chinese Adolescents.
AB - OBJECTIVE: To investigate associations of television and of video game or non
educational computer use (VG/CU) exposure volumes in a typical school day with
psychiatric symptoms and suicidal ideation/self-injurious behavior (self-harm),
in mainland Chinese adolescents. METHODS: Secondary school pupils (N = 13,659;
mean age: 15.18 +/- 1.89) from 10 urban areas sampled from different regions of
mainland China were recruited. The subjects were divided into the following four
screen exposure volume groups for television and VG/CU respectively based on a
self-administered questionnaire: 0 h/day, >0 to <=1 h/day, >1 to <=2 h/day, and
>2 h/day. Demographic and psychiatric symptoms were recorded for each respondent.
Odds ratios (ORs) and 95% confidence intervals (CIs) for several types of
psychological problems and self-harm were calculated. RESULTS: More than 2 h per
school day television watching was associated with higher risk of depression in
both boys (OR = 1.33, 95%CI: 1.02-1.73) and girls (OR = 1.62, 95%CI: 1.19-2.21),
of anxiety in boys (OR = 1.43, 95%CI: 1.05-1.95), of general emotional,
behavioral, and social problems (GEBSPs; OR = 1.55, 95%CI: 1.01-2.39), and of
oppositional defiant problems (OR = 1.65, 95% CI: 1.09-2.50) in girls, compared
with no television exposure. Conversely, television exposure of no more than 1 h
per school day was associated with lower self-harm risk in boys (OR = 0.81,
95%CI: 0.67-0.99) compared with no television exposure. High school day VG/CU
time (>2 h) compared with no VG/CU were associated with higher risks of anxiety
(OR = 1.40, 95%CI: 1.06-1.86) and of attention deficit/hyperactivity problems
(ADHPs; OR = 1.56, 95%CI: 1.02-2.38) in boys. And any school day VG/CU exposure
was associated with higher risks of self-harm and all other psychiatric problems
in boys and all psychiatric problems (including anxiety and ADHPs) in girls (ORs,
1.44-3.69), compared to no VG/CU exposure. CONCLUSION: For secondary school
students, associations of psychiatric problems and self-harm were more strongly
associated with exposure to VG/CU than with exposure to television. The findings
suggest that VG/CU and television exposure on weekdays should be considered in
psychiatric interventions for adolescents.
PMID- 27199814
TI - Harm Avoidance and Self-Directedness Characterize Fibromyalgic Patients and the
Symptom Severity.
AB - OBJECTIVE: Evidence in the literature suggests peculiar personality traits for
fibromyalgic (FM) patients, and it has been suggested that personality
characteristics may be involved in patients' different symptomatic events and
responses to treatment. The aim of the study is to investigate the personality
characteristics of Italian FM patients and to explore the possibility of
clustering them considering both personality traits and clinical characteristics.
DESIGN: The study used a cross-sectional methodology and involved a control
group. A self-assessment procedure was used for data gathering. The study
included 87 female FM patients and 83 healthy females. Patients were approached
and interviewed in person during a psychiatric consultation. Healthy people were
recruited from general practices with previous telephone contact. MAIN OUTCOME
MEASURES: Participants responded to the Hospital Anxiety and Depression Scale,
the Temperament and Character Inventory, the Fibromyalgia Impact Questionnaire
and the Short-Form-36 Health Survey. RESULTS: FM patients scored significantly
different from healthy participants on the Harm avoidance (HA), Novelty seeking
(NS) and Self-directedness (SD). Two clusters were identified: patients in
Cluster1 (n = 37) had higher scores on HA and lower scores on RD, SD, and
Cooperativeness and reported more serious fibromyalgia and more severe anxious
depressive symptomatology than did patients in Cluster2 (n = 46). CONCLUSION:
This study confirms the presence of certain personality traits in the FM
population. In particular, high levels of HA and low levels of SD characterize a
subgroup of FM patients with more severe anxious-depressive symptomatology.
According to these findings, personality assessment could be useful in the
diagnostic process to tailor therapeutic interventions to the personality
characteristics.
PMID- 27199815
TI - Mothers and Fathers with Binge Eating Disorder and Their 18-36 Months Old
Children: A Longitudinal Study on Parent-Infant Interactions and Offspring's
Emotional-Behavioral Profiles.
AB - Maternal Binge Eating Disorder (BED) has been suggested to be associated with
poor parent-infant interactions during feeding and with children's emotional and
behavioral problems during infancy (Blissett and Haycraft, 2011). The role of
fathers has received increasing consideration in recent years, yet the research
has not focused on interactional patterns between fathers with BED and their
children. The present study aimed to longitudinally investigate the influence of
BED diagnosis, in one or both parents, on parent-infant feeding interactions and
on children's emotional-behavioral functioning. 612 subjects (408 parents; 204
children), recruited in mental health services and pre-schools in Central Italy,
were divided into four groups: Group 1 included families with both parents
diagnosed with BED, Group 2 and 3 included families with one parent diagnosed
with BED, Group 0 was a healthy control. The assessment took place at T1 (18
months of age of children) and T2 (36 months of age of children): feeding
interactions were assessed through the Scale for the Assessment of Feeding
Interactions (SVIA) while child emotional-behavioral functioning was evaluated
with the Child Behavior Check-List (CBCL). When compared to healthy controls, the
groups with one or both parents diagnosed with BED showed higher scores on the
SVIA and on the CBCL internalizing and externalizing scales, indicating poorer
adult-child feeding interactions and higher emotional-behavioral difficulties. A
direct influence of parental psychiatric diagnosis on the quality of mother
infant and father-infant interactions was also found, both at T1 and T2.
Moreover, dyadic feeding interactions mediated the influence of parental
diagnosis on children's psychological functioning. The presence of BED diagnosis
in one or both parents seems to influence the severity of maladaptive parent
infant exchanges during feeding and offspring's emotional-behavioral problems
over time, consequently affecting different areas of children's psychological
functioning. This is the first study to demonstrate the specific effects of
maternal and paternal BED on infant development. These results could inform
prevention and intervention programs in families with one or both parents
diagnosed with BED.
PMID- 27199816
TI - Coworking Spaces: A Source of Social Support for Independent Professionals.
AB - Coworking spaces are shared office environments for independent professionals.
Such spaces have been increasing rapidly throughout the world, and provide, in
addition to basic business infrastructure, the opportunity for social
interaction. This article explores social interaction in coworking spaces and
reports the results of two studies. Study 1 (N = 69 coworkers) finds that social
interaction in coworking spaces can take the form of social support. Study 2
further investigates social support among coworkers (N = 154 coworkers) and
contrasts these results with those of social support among colleagues in
traditional work organizations (N = 609). A moderated mediation model using time
pressure and self-efficacy, based on the conservation of resources theory, is
tested. Social support from both sources was positively related to performance
satisfaction. Self-efficacy mediated this relationship in the employee sample,
while in the coworking sample, self-efficacy only mediated the relationship
between social support and performance satisfaction if time pressure was high.
Thus, a mobilization of social support seems necessary in coworking spaces. We
conclude that coworking spaces, as modern social work environments, should align
flexible work infrastructure with well-constructed opportunities for social
support.
PMID- 27199817
TI - Job Embeddedness Demonstrates Incremental Validity When Predicting Turnover
Intentions for Australian University Employees.
AB - Job embeddedness is a construct that describes the manner in which employees can
be enmeshed in their jobs, reducing their turnover intentions. Recent questions
regarding the properties of quantitative job embeddedness measures, and their
predictive utility, have been raised. Our study compared two competing reflective
measures of job embeddedness, examining their convergent, criterion, and
incremental validity, as a means of addressing these questions. Cross-sectional
quantitative data from 246 Australian university employees (146 academic; 100
professional) was gathered. Our findings indicated that the two compared measures
of job embeddedness were convergent when total scale scores were examined.
Additionally, job embeddedness was capable of demonstrating criterion and
incremental validity, predicting unique variance in turnover intention. However,
this finding was not readily apparent with one of the compared job embeddedness
measures, which demonstrated comparatively weaker evidence of validity. We
discuss the theoretical and applied implications of these findings, noting that
job embeddedness has a complementary place among established determinants of
turnover intention.
PMID- 27199818
TI - Grand Challenges in Environmental Psychology.
PMID- 27199820
TI - Grouping Influences Output Interference in Short-term Memory: A Mixture Modeling
Study.
AB - Output interference is a source of forgetting induced by recalling. We
investigated how grouping influences output interference in short-term memory. In
Experiment 1, the participants were asked to remember four colored items. Those
items were grouped by temporal coincidence as well as spatial alignment: two
items were presented in the first memory array and two were presented in the
second, and the items in both arrays were either vertically or horizontally
aligned as well. The participants then performed two recall tasks in sequence by
selecting a color presented at a cued location from a color wheel. In the same
group condition, the participants reported both items from the same memory array;
however, in the different-group condition, the participants reported one item
from each memory array. We analyzed participant responses with a mixture model,
which yielded two measures: guess rate and precision of recalled memories. The
guess rate in the second recall was higher for the different-group condition than
for the same-group condition; however, the memory precisions obtained for both
conditions were similarly degraded in the second recall. In Experiment 2, we
varied the probability of the same- and different-group conditions with a ratio
of 3 to 7. We expected output interference to be higher in the same-group
condition than in the different-group condition. This is because items of the
other group are more likely to be probed in the second recall phase and, thus,
protecting those items during the first recall phase leads to a better
performance. Nevertheless, the same pattern of results was robustly reproduced,
suggesting grouping shields the grouped items from output interference because of
the secured accessibility. We discussed how grouping influences output
interference.
PMID- 27199821
TI - Once Dishonest, Always Dishonest? The Impact of Perceived Pervasiveness of Moral
Evaluations of the Self on Motivation to Restore a Moral Reputation.
AB - Four studies specify how moral evaluations of the self regulate behavior aimed at
restoring a moral reputation. We propose that people care about evaluations of
themselves as moral or immoral because these are perceived as more consequential
than other types of information. Therefore people are more inclined to restore
their image after being negatively evaluated in terms of morality rather than
competence. Studies 1 and 2 revealed that moral information was perceived as
having a more enduring impact on one's reputation, and was more strongly related
to anticipate intra-group respect and self-views, than competence and sociability
information. This perceived pervasiveness of moral (vs. competence) evaluations
mediated intentions to justify and explain one's behavior (Study 3). Study 4
finally showed that being seen as lacking in morality elicited threat and coping
responses, which induced subsequent tendencies to repair one's moral reputation.
PMID- 27199822
TI - Assessing the Impact of Movement Consequences on the Development of Early
Reaching in Infancy.
AB - Prior research on infant reaching has shown that providing infants with repeated
opportunities to reach for objects aids the emergence and progression of reaching
behavior. This study investigated the effect of movement consequences on the
process of learning to reach in pre-reaching infants. Thirty-five infants aged
2.9 months at the onset of the study were randomly assigned to 1 of 3 groups. Two
groups received a 14-day intervention to distinct reaching tasks: (1) in a
contingent group, a toy target moved and sounded upon contact only, and (2) in a
continuous group, the toy moved and sounded continuously, independent of hand-toy
contact. A third control group did not receive any intervention; this group's
performance was assessed only on 2 days at a 15-day interval. Results revealed
that infants in the contingent group made the most progress over time compared to
the two other groups. Infants in this group made significantly more overall
contacts with the sounding/moving toy, and they increased their rate of visually
attended target contacts relative to non-visually attended target contacts
compared to the continuous and control groups. Infants in the continuous group
did not differ from the control group on the number of hand-toy contacts nor did
they show a change in visually attended target versus non-visually attended
target contacts ratio over time. However, they did show an increase in movement
speed, presumably in an attempt to attain the moving toy. These findings
highlight the importance of contingent movement consequences as a critical
reinforcer for the selection of action and motor learning in early development.
Through repeated opportunities to explore movement consequences, infants discover
and select movements that are most successful to the task-at-hand. This study
further demonstrates that distinct sensory-motor experiences can have a
significant impact on developmental trajectories and can influence the skills
young infants will discover through their interactions with their surroundings.
PMID- 27199819
TI - Profiles of Recovery from Mood and Anxiety Disorders: A Person-Centered
Exploration of People's Engagement in Self-Management.
AB - CONTEXT: A shift toward person-centered care has been occurring in services
provided to people with mood and anxiety disorders. Recovery is recognized as
encompassing personal aspects in addition to clinical ones. Guidelines now
recommend supporting people's engagement in self-management as a complementary
recovery avenue. Yet the literature lacks evidence on how individualized
combinations of self-management strategies used by people relate to their
clinical and personal recovery indicators. OBJECTIVES: The aims of this study
were to identify profiles underlying mental health recovery, describe the
characteristics of participants corresponding to each profile, and examine the
associations of profiles with criterion variables. METHOD: 149 people recovering
from anxiety, depressive, or bipolar disorders completed questionnaires on self
management, clinical recovery (symptom severity), personal recovery (positive
mental health), and criterion variables (personal goal appraisal, social
participation, self-care abilities, coping). RESULTS: Latent profile analysis
(LPA) revealed three profiles. The Floundering profile included participants who
rarely used self-management strategies and had moderately severe symptoms and the
lowest positive mental health. The Flourishing profile was characterized by
frequent use of self-empowerment strategies, the least severe symptoms, and the
highest positive mental health. Participants in the Struggling profile engaged
actively in several self-management strategies focused on symptom reduction and
healthy lifestyle. They concomitantly reported high symptom severity and
moderately high positive mental health. The study revealed that Floundering was
associated with higher probabilities of being a man, being single, and having a
low income. People in the Flourishing profile had the most favorable scores on
criterion variables, supporting the profiles' construct validity. DISCUSSION: The
mixed portrait of Struggling participants on recovery indicators suggests the
relationship between health engagement and recovery is more intricate than
anticipated. Practitioners should strive for a holistic understanding of their
clients' self-management strategies and recovery indicators to provide support
personalized to their profile. While people presenting risk factors would benefit
from person-centered support, societal efforts are needed in the long term to
reduce global health inequalities. The integration of constructs from diverse
fields (patient-centered care, chronic illness, positive psychology) and the use
of person-oriented analysis yielded new insights into people's engagement in
their health and well-being.
PMID- 27199823
TI - Intelligence, Cognition, and Language of Green Plants.
AB - A summary definition of some 70 descriptions of intelligence provides a
definition for all other organisms including plants that stresses fitness.
Barbara McClintock, a plant biologist, posed the notion of the 'thoughtful cell'
in her Nobel prize address. The systems structure necessary for a thoughtful cell
is revealed by comparison of the interactome and connectome. The plant root cap,
a group of some 200 cells that act holistically in responding to numerous
signals, likely possesses a similar systems structure agreeing with Darwin's
description of acting like the brain of a lower organism. Intelligent behavior
requires assessment of different choices and taking the beneficial one. Decisions
are constantly required to optimize the plant phenotype to a dynamic environment
and the cambium is the assessing tissue diverting more or removing resources from
different shoot and root branches through manipulation of vascular elements.
Environmental awareness likely indicates consciousness. Spontaneity in plant
behavior, ability to count to five and error correction indicate intention.
Volatile organic compounds are used as signals in plant interactions and being
complex in composition may be the equivalent of language accounting for self and
alien recognition by individual plants. Game theory describes competitive
interactions. Interactive and intelligent outcomes emerge from application of
various games between plants themselves and interactions with microbes. Behavior
profiting from experience, another simple definition of intelligence, requires
both learning and memory and is indicated in the priming of herbivory, disease
and abiotic stresses.
PMID- 27199824
TI - The Categorical Distinction Between Targets and Distractors Facilitates Tracking
in Multiple Identity Tracking Task.
AB - This study investigates the tracking facilitation effect during categorical
distinction between targets and distractors in the Multiple Identity Tracking
task. We asked observers to track four targets in a total of eight moving
objects, and manipulated categorical distinctions of targets and distractors
across four experiments, with different combinations of inter-category and intra
category differences. Results show that tracking performance was significantly
better when the targets and distractors were inter-category different, compared
to when the targets and distractors were identical or intra-category distinctive.
As the inter-category distinction between targets and distractors narrowed,
tracking performance improved, but the inter-category facilitation effect
decreased. These results may indicate a category-based grouping effect: the
observers organized the targets within the same semantic category into one group
and made the targets more easily and accurately rediscovered when lost during
tracking. Furthermore, the tracking facilitation of categorical distinction
diminished when all the objects were inverted. This proved that besides their
visual distinctiveness, objects' semantic category information also played an
important role during tracking.
PMID- 27199826
TI - Evidence for Trait Related Theory of Mind Impairment in First Episode Psychosis
Patients and Its Relationship with Processing Speed: A 3 Year Follow-up Study.
AB - This study aimed to confirm whether first-episode psychosis patients present a
stable trait impairment in theory of mind (ToM) and to examine the potential
relationship between ToM and clinical symptomatology and neurocognition. Patients
with a first episode of psychosis (N = 160) and healthy controls (N = 159) were
assessed with an extensive neuropsychological test battery, which included a
mental state decoding task known as "The Reading the Mind in the Eyes" (Eyes
test), at baseline and reassessed after 1 and 3 years. The clinical group
performed below healthy controls on the Eyes test while not showing test-retest
differences between baseline and follow-up administrations. Analyses revealed
age, education and premorbid IQ as potential moderators. Poorer performance on
the Eyes test was not linked to clinical symptomatology but was associated with
greater neurocognitive deficit, particularly related to processing speed. The
persistence of ToM deficits in patients suggests that there are trait related
metalizing impairments in first episode psychosis. This study shows the influence
of processing speed and moderator variables on efficient ToM.
PMID- 27199825
TI - Students and Teachers Benefit from Mindfulness-Based Stress Reduction in a School
Embedded Pilot Study.
AB - OBJECTIVE: There is a research gap in studies that evaluate the effectiveness of
a school-embedded mindfulness-based intervention for both students and teachers.
To address this gap, the present pilot study reviews relevant literature and
investigates whether students and teachers who participate in separate
Mindfulness-Based Stress Reduction (MBSR) courses show improvements across a
variety of psychological variables including areas of mental health and
creativity. METHODS: The study applied a controlled waitlist design with three
measurement points. A total of 29 students (n = 15 in the intervention and n = 14
in the waitlist group) and 29 teachers (n = 14 in the intervention and n = 15 in
the waitlist group) completed questionnaires before and after the MBSR course.
The intervention group was also assessed after a 4-month follow-up period.
RESULTS: Relative to the control group, significant improvements in self-reported
stress, self-regulation, school-specific self-efficacy and interpersonal problems
were found among the students who participated in the MBSR course (p < 0.05,
Cohens' d ranges from 0.62 to 0.68). Medium effect sizes on mindfulness, anxiety
and creativity indicate a realistic potential in those areas. By contrast,
teachers in the intervention group showed significantly higher self-reported
mindfulness levels and reduced interpersonal problems compared to the control
group(p < 0.05, Cohens' d = 0.66 and 0.42, respectively), with medium effect
sizes on anxiety and emotion regulation. CONCLUSION: The present findings
contribute to a growing body of studies investigating mindfulness in schools by
discussing the similarities and differences in the effects of MBSR on students
and teachers as well as stressing the importance of investigating interpersonal
effects.
PMID- 27199828
TI - Commentary: Cats prefer species-appropriate music.
PMID- 27199827
TI - Preserved Intention Maintenance and Impaired Execution of Prospective Memory
Responses in Schizophrenia: Evidence from an Event-based Prospective Memory
Study.
AB - Executive system dysfunction and impaired prospective memory (PM) are widely
documented in schizophrenia. However, it is not yet clarified which components of
PM function are impaired in this disorder. Two plausible target components are
the maintenance of delayed intentions and the execution of PM responses.
Furthermore, it is debated whether the impaired performance on frequently used
executive tasks is associated with deficit in PM functions. The aim of our study
was twofold. First, we aimed to investigate the specific processes involved in
event-based PM function, mainly focusing on difference between maintenance of
intention and execution of PM responses. Second, we aimed to unfold the possible
connections between executive functions, clinical symptoms, and PM performance.
An event-based PM paradigm was applied with three main conditions: baseline (with
no expectation of PM stimuli, and without PM stimuli), expectation condition
(participants were told that PM stimuli might occur, though none actually did),
and execution condition (participants were told that PM stimuli might occur, and
PM stimuli did occur). This procedure allowed us to separately investigate
performances associated with intention maintenance and execution of PM responses.
We assessed working memory and set-shifting executive functions by memory span
tasks and by the Wisconsin Card Sorting Test (WCST), respectively. Twenty
patients diagnosed with schizophrenia and 20 healthy control subjects (matched
according to age and education) took part in the study. It was hypothesized that
patients would manifest different levels of performance in the expectation and
execution conditions of the PM task. Our results confirmed that the difference
between baseline performance and performance in the execution condition
(execution cost) was significantly larger for participants diagnosed with
schizophrenia in comparison with matched healthy control group. However, this
difference was not observed in the expectation condition. The PM performance in
the execution condition was correlated with impaired executive functions in
schizophrenia. Specifically, the size of execution cost positively correlated
with percent of perseverative errors committed on WCST by the patient group. Our
results suggest that maintenance of delayed intentions is unimpaired in
schizophrenia, whereas the impairment in execution of PM responses is associated
with set-shifting deficit.
PMID- 27199829
TI - Age Differences in Visual-Auditory Self-Motion Perception during a Simulated
Driving Task.
AB - Recent evidence suggests that visual-auditory cue integration may change as a
function of age such that integration is heightened among older adults. Our goal
was to determine whether these changes in multisensory integration are also
observed in the context of self-motion perception under realistic task
constraints. Thus, we developed a simulated driving paradigm in which we provided
older and younger adults with visual motion cues (i.e., optic flow) and
systematically manipulated the presence or absence of congruent auditory cues to
self-motion (i.e., engine, tire, and wind sounds). Results demonstrated that the
presence or absence of congruent auditory input had different effects on older
and younger adults. Both age groups demonstrated a reduction in speed variability
when auditory cues were present compared to when they were absent, but older
adults demonstrated a proportionally greater reduction in speed variability under
combined sensory conditions. These results are consistent with evidence
indicating that multisensory integration is heightened in older adults.
Importantly, this study is the first to provide evidence to suggest that age
differences in multisensory integration may generalize from simple stimulus
detection tasks to the integration of the more complex and dynamic visual and
auditory cues that are experienced during self-motion.
PMID- 27199830
TI - How Does Adult Attachment Affect Human Recognition of Love-related and Sex
related Stimuli: An ERP Study.
AB - In the present study, we investigated the relationship among three emotion
motivation systems (adult attachment, romantic love, and sex). We recorded event
related potentials in 37 healthy volunteers who had experienced romantic love
while they viewed SEX, LOVE, FRIEND, SPORT, and NEUTRAL images. We also measured
adult attachment styles, level of passionate love and sexual attitudes. As
expected, results showed that, firstly, response to love-related image-stimuli
and sex-related image-stimuli on the electrophysiological data significantly
different on N1, N2, and positive slow wave (PSW) components. Secondly, the
different adult attachment styles affected individuals' recognition processing in
response to love-related and sex-related images, especially, to sex-related
images. Further analysis showed that voltages elicited by fearful attachment
style individuals were significantly lower than voltages elicited by secure and
dismissing attachment style individuals on sex-related images at frontal sites,
on N1 and N2 components. Thirdly, from behavior data, we found that adult
attachment styles were not significantly related to any dimension of sexual
attitudes but were significantly related to passionate love scale (PLS) total
points. Thus, the behavior results were not in line with the electrophysiological
results. The present study proved that adult attachment styles might mediate
individuals' lust and attraction systems.
PMID- 27199831
TI - Altered Gray Matter Volume and White Matter Integrity in College Students with
Mobile Phone Dependence.
AB - Mobile phone dependence (MPD) is a behavioral addiction that has become an
increasing public mental health issue. While previous research has explored some
of the factors that may predict MPD, the underlying neural mechanisms of MPD have
not been investigated yet. The current study aimed to explore the microstructural
variations associated with MPD as measured with functional Magnetic Resonance
Imaging (fMRI). Gray matter volume (GMV) and white matter (WM) integrity [four
indices: fractional anisotropy (FA); mean diffusivity (MD); axial diffusivity
(AD); and radial diffusivity (RD)] were calculated via voxel-based morphometry
(VBM) and tract-based spatial statistics (TBSS) analysis, respectively. Sixty
eight college students (42 female) were enrolled and separated into two groups
[MPD group, N = 34; control group (CG), N = 34] based on Mobile Phone Addiction
Index (MPAI) scale score. Trait impulsivity was also measured using the Barratt
Impulsiveness Scale (BIS-11). In light of underlying trait impulsivity, results
revealed decreased GMV in the MPD group relative to controls in regions such as
the right superior frontal gyrus (sFG), right inferior frontal gyrus (iFG), and
bilateral thalamus (Thal). In the MPD group, GMV in the above mentioned regions
was negatively correlated with scores on the MPAI. Results also showed
significantly less FA and AD measures of WM integrity in the MPD group relative
to controls in bilateral hippocampal cingulum bundle fibers (CgH). Additionally,
in the MPD group, FA of the CgH was also negatively correlated with scores on the
MPAI. These findings provide the first morphological evidence of altered brain
structure with mobile phone overuse, and may help to better understand the neural
mechanisms of MPD in relation to other behavioral and substance addiction
disorders.
PMID- 27199832
TI - Adaptive Behavior and Development of Infants and Toddlers with Williams Syndrome.
AB - Williams syndrome (WS) is a neurodevelopmental disorder that causes deficits in
adaptive behavior, difficulties eating and sleeping, cognitive delays, and
delayed development. Although researchers have conducted characterizations of
children and adults with WS, less is known about young children with this
disorder. This study characterizes the developmental and adaptive behavior
features of 16 infants and toddlers with WS aged 3 months - 5 years. Data for
this project was obtained from 2007 to 2014, and includes parent report data and
standardized developmental testing. Thirty-one percent (31.3%) of parents
reported that their infant/toddler with WS had sleeping problems and 58.3%
reported feeding difficulties. Levels of adaptive behavior were in the Mildly
Delayed range as measured by the Adaptive Behavior Assessment System, Second
Edition. Self-care skills such as feeding or dressing oneself were significantly
weaker than skills needed to function in the community, such as recognizing
his/her home or throwing away trash. The difficulty with self-care skills is
hypothesized to be related to the reported difficulties with eating and sleeping.
Motor skills were significantly lower than both cognitive and language skills on
the Bayley Scales of Infant and Toddler Development, Third Edition. The current
study highlights the need for early intervention in these young children across
all areas of development, particularly in self-care skills.
PMID- 27199833
TI - Active Motor Training Has Long-term Effects on Infants' Object Exploration.
AB - Long-term changes in infants' behavior as a result of active motor training were
studied. Thirty-two infants completed three visits to the laboratory. At the
first visit, infants were 3 months old and completed an object exploration
assessment. Then the experimenter demonstrated the motor training procedures
appropriate for the infant's experimental condition, and parents took home custom
infant mittens (either sticky or non-sticky) and a bag of lightweight toys to
practice with their infants. Over the course of the following 2 weeks, infants
participated in 10 sessions of either active (sticky) or passive (non-sticky)
mittens training at home with their parents. Infants who participated in active
mittens training wore mittens with the palms covered in Velcro, allowing them to
pick up and move around small toys. Infants who participated in passive mittens
training wore non-sticky mittens, and their parents moved the toys through their
visual fields on their behalf. After completing the training, infants returned to
the lab for the second visit. At visit two, infants participated in another
object exploration assessment as well as a reaching assessment. Parents returned
the training materials to the lab at the second visit, and were told not to
continue any specific training regimen from this point forward. Two months later,
when infants were about 5.5 months of age, they returned to the lab for a third
visit. At the third visit, infants completed the same two assessments as during
the second visit. The results of this study indicate that infants who
participated in active motor training engaged in more sophisticated object
exploration when compared to infants who received passive training. These
findings are consistent with others in the literature showing that active motor
training at 3 months of age facilitates the processes of object exploration and
engagement. The current results and others reveal that the effects of early
experience can last long after training ceases.
PMID- 27199834
TI - A Triadic Reflective-Impulsive-Interoceptive Awareness Model of General and
Impulsive Information System Use: Behavioral Tests of Neuro-Cognitive Theory.
AB - This study examines a behavioral tripartite model developed in the field of
addiction, and applies it here to understanding general and impulsive information
technology use. It suggests that technology use is driven by two information
processing brain systems: reflective and impulsive, and that their effects on use
are modulated by interoceptive awareness processes. The resultant reflective
impulsive-interoceptive awareness model is tested in two behavioral studies. Both
studies employ SEM techniques to time-lagged self-report data from n 1 = 300 and
n 2 = 369 social networking site users. Study 1 demonstrated that temptations
augment the effect of habit on technology use, and reduce the effect of
satisfaction on use. Study 2 showed that temptations strengthen the effect of
habit on impulsive technology use, and weaken the effect of behavioral
expectations on impulsive technology use. Hence, the results consistently support
the notion that information technology users' behaviors are influenced by
reflective and impulsive information processing systems; and that the equilibrium
of these systems is determined, at least in part, by one's temptations. These
results can serve as a basis for understanding the etiology of modern day
addictions.
PMID- 27199836
TI - Students' Achievement Goals, Learning-Related Emotions and Academic Achievement.
AB - In the present research, the recently proposed 3 * 2 model of achievement goals
is tested and associations with achievement emotions and their joint influence on
academic achievement are investigated. The study was conducted with 388 students
using the 3 * 2 Achievement Goal Questionnaire including the six proposed goal
constructs (task-approach, task-avoidance, self-approach, self-avoidance, other
approach, other-avoidance) and the enjoyment and boredom scales from the
Achievement Emotion Questionnaire. Exam grades were used as an indicator of
academic achievement. Findings from CFAs provided strong support for the proposed
structure of the 3 * 2 achievement goal model. Self-based goals, other-based
goals and task-approach goals predicted enjoyment. Task-approach goals negatively
predicted boredom. Task-approach and other-approach predicted achievement. The
indirect effects of achievement goals through emotion variables on achievement
were assessed using bias-corrected bootstrapping. No mediation effects were
found. Implications for educational practice are discussed.
PMID- 27199838
TI - Subjective Memory Ability and Long-Term Forgetting in Patients Referred for
Neuropsychological Assessment.
AB - It has been suggested that the memory complaints of patients who are not impaired
on formal memory tests may reflect accelerated forgetting. We examined this
hypothesis by comparing the 1-week delayed recall and recognition test
performance of outpatients who were referred for neuropsychological assessment
and who had normal memory performance during standard memory assessment with that
of a non-patient control group. Both groups performed equally in verbal learning
and delayed recall. However, after 1 week, the patients performed worse than
controls on both recall and recognition tests. Although subjective memory ability
predicted short-term memory function in patients, it did not predict long-term
delayed forgetting rates in either the patients or controls. Thus, long-term
delayed recall and recognition intervals provided no additional value to explain
poor subjective memory ability in the absence of objective memory deficits.
PMID- 27199835
TI - Neurocomputational Nosology: Malfunctions of Models and Mechanisms.
AB - Executive dysfunctions, psychopathologies arising from problems in the control
and regulation of behavior, can occur as a result of the faulty execution of
formal information processing models or as a result of malfunctioning neural
mechanisms. The models correspond to the formal descriptions of how signals in
the environment must be transformed in order to behave adaptively, and the
mechanisms correspond to the signal transformations that nervous systems
implement in order to execute those cognitive functions. Mechanisms in the form
of repeated patterns of neural dynamics execute information processing models.
Two distinct modes of malfunction can occur when neural dynamics execute models
of information processing. The processing models describing behavior may fail to
be executed correctly by neural mechanisms. Or, the neural mechanisms may
malfunction, failing to implement the right computation. As an example of
malfunctioning models in executive cognition, purported failures of rule
following can be understood as failures to appropriately execute a suite of
processing models. As an example of malfunctioning mechanisms of executive
cognition, maladaptive behavior resulting from dysfunction in the medial
prefrontal cortex (mPFC) can be understood as failures in the signal
transformations carried out therein. The purpose of these examples is to
illustrate the potential benefits of considering models and mechanisms in the
diagnosis and etiology of neuropsychological illness and dysfunction, especially
disorders of executive cognition.
PMID- 27199837
TI - Real-Time Monitoring of Psychotherapeutic Processes: Concept and Compliance.
AB - OBJECTIVE: The feasibility of a high-frequency real-time monitoring approach to
psychotherapy is outlined and tested for patients' compliance to evaluate its
integration to everyday practice. Criteria concern the ecological momentary
assessment, the assessment of therapy-related cognitions and emotions,
equidistant time sampling, real-time nonlinear time series analysis, continuous
participative process control by client and therapist, and the application of
idiographic (person-specific) surveys. METHODS: The process-outcome monitoring is
technically realized by an internet-based device for data collection and data
analysis, the Synergetic Navigation System. Its feasibility is documented by a
compliance study on 151 clients treated in an inpatient and a day-treatment
clinic. RESULTS: We found high compliance rates (mean: 78.3%, median: 89.4%)
amongst the respondents, independent of the severity of symptoms or the degree of
impairment. Compared to other diagnoses, the compliance rate was lower in the
group diagnosed with personality disorders. CONCLUSION: The results support the
feasibility of high-frequency monitoring in routine psychotherapy settings. Daily
collection of psychological surveys allows for the assessment of highly resolved,
equidistant time series data which gives insight into the nonlinear qualities of
therapeutic change processes (e.g., pattern transitions, critical instabilities).
PMID- 27199841
TI - Does the Dark Triad of Personality Predict Corrupt Intention? The Mediating Role
of Belief in Good Luck.
AB - The current study is the first attempt to examine the association between the
Dark Triad of personality (i.e., Machiavellianism, narcissism, and psychopathy)
and corruption through a mediator-belief in good luck. Based on Ajzen's theory of
planned behavior, we assumed that individuals with Dark Triad would be more
likely to engage in corruption as a result of belief in good luck. In Study 1, a
set of hypothetical scenarios was used to assess the bribe-offering intention and
the corresponding belief in good luck. Results indicated that while the Dark
Triad of personality positively predicted bribe-offering intention, it was
mediated by the belief in good luck in gain-seeking. In Study 2, we presented
participants with some hypothetical scenarios of bribe-taking and the
corresponding belief in good luck. Findings revealed that the Dark Triad of
personality was positively related to bribe-taking intention; the relationship
between narcissism and bribe-taking intention, and that between psychopathy and
bribe-taking intention was mediated by the belief in good luck in penalty
avoidance. However, this belief in good luck did not mediate the relationship
between Machiavellianism and bribe-taking intention. These results hold while
controlling for demographic variables, dispositional optimism, and self-efficacy.
Taken together, this study extended previous research by providing evidence that
belief in good luck may be one of the reasons explaining why people with Dark
Triad are more likely to engage in corruption regardless of the potential
outcomes. Theoretical and practical implications were discussed.
PMID- 27199839
TI - Not My Problem: Vicarious Conflict Adaptation with Human and Virtual Co-actors.
AB - The Simon effect refers to an incompatibility between stimulus and response
locations resulting in a conflict situation and, consequently, slower responses.
Like other conflict effects, it is commonly reduced after repetitions, suggesting
an executive control ability, which flexibly rewires cognitive processing and
adapts to conflict. Interestingly, conflict is not necessarily individually
defined: the Social Simon effect refers to a scenario where two people who share
a task show a conflict effect where a single person does not. Recent studies
showed these observations might converge into what could be called vicarious
conflict adaptation, with evidence indicating that observing someone else's
conflict may subsequently reduce one's own. While plausible, there is reason for
doubt: both the social aspect of the Simon Effect, and the degree to which
executive control accounts for the conflict adaptation effect, have become foci
of debate in recent studies. Here, we present two experiments that were designed
to test the social dimension of the effect by varying the social relationship
between the actor and the co-actor. In Experiment 1, participants performed a
conflict task with a virtual co-actor, while the actor-observer relationship was
manipulated as a function of the similarity between response modalities. In
Experiment 2, the same task was performed both with a virtual and with a human co
actor, while heart-rate measurements were taken to measure the impact of observed
conflict on autonomous activity. While both experiments replicated the
interpersonal conflict adaptation effects, neither showed evidence of the
critical social dimension. We consider the findings as demonstrating that
vicarious conflict adaptation does not rely on the social relationship between
the actor and co-actor.
PMID- 27199840
TI - Supporting Sustainable Food Consumption: Mental Contrasting with Implementation
Intentions (MCII) Aligns Intentions and Behavior.
AB - With growing awareness that sustainable consumption is important for quality of
life on earth, many individuals intend to act more sustainably. In this regard,
interest in reducing meat consumption is on the rise. However, people often do
not translate intentions into actual behavior change. To address this intention
behavior gap, we tested the self-regulation strategy of mental contrasting with
implementation intentions (MCII). Here, people identify and imagine a desired
future and current obstacles standing in its way. They address the obstacles with
if-then plans specifying when, where, and how to act differently. In a 5-week
randomized controlled experimental study, we compared an information + MCII
intervention with an information-only control intervention. As hypothesized, only
MCII participants' intention of reducing their meat consumption was predictive of
their actual reduction, while no correspondence between intention and behavior
change was found for control participants. Participants with a moderate to strong
intention to reduce their meat consumption reduced it more in the MCII than in
the control condition. Thus, MCII helped to narrow the intention-behavior gap and
supported behavior change for those holding moderate and strong respective
intentions.
PMID- 27199842
TI - The Effects of Activating the Money Concept on Perseverance and the Preference
for Delayed Gratification in Children.
AB - The psychological model of thinking about money assumes that implicit reminders
of money lead to self-sufficient motivation. Previous research has demonstrated
that children react to money in similar ways to adults. The priming of young
children with money related concepts or images has negatively affected their
social behavior and social preferences, leading them to make more individualist
and less pro-social choices and be less willing to help others. The aim of this
research was to investigate the positive influence of money activation on
children's behavior. The participants were 6-8 year old children who do not yet
fully understand the instrumental function of money due to their young age. Two
experimental studies were performed, the first of which analyzed the effect of
perseverance and performance on a challenging task and the second investigated
preferences with respect to delaying gratification. Sixty-one children aged 6
took part in the first study and forty-six scout camp participants 6-8 years of
age were involved in the second experiment. The results support the hypotheses
concerning the effects of money activation stating that (1) money activation
influences children's perseverance and effectiveness in difficult individual
tasks, and that (2) it increases children's preferences for delayed
gratification. These results suggest that money has a symbolic power which may
exert both positive and negative effects on children's behavior. Since children
between the ages of 6 and 8 do not understand the instrumental function of money
fully, certain symbolic meanings of money may have been responsible for the money
priming effects. The findings suggest that the symbolic function of money is more
primal than its instrumental function and that it probably develops at an earlier
stage in life.
PMID- 27199843
TI - The Experiment Factory: Standardizing Behavioral Experiments.
AB - The administration of behavioral and experimental paradigms for psychology
research is hindered by lack of a coordinated effort to develop and deploy
standardized paradigms. While several frameworks (Mason and Suri, 2011; McDonnell
et al., 2012; de Leeuw, 2015; Lange et al., 2015) have provided infrastructure
and methods for individual research groups to develop paradigms, missing is a
coordinated effort to develop paradigms linked with a system to easily deploy
them. This disorganization leads to redundancy in development, divergent
implementations of conceptually identical tasks, disorganized and error-prone
code lacking documentation, and difficulty in replication. The ongoing
reproducibility crisis in psychology and neuroscience research (Baker, 2015; Open
Science Collaboration, 2015) highlights the urgency of this challenge:
reproducible research in behavioral psychology is conditional on deployment of
equivalent experiments. A large, accessible repository of experiments for
researchers to develop collaboratively is most efficiently accomplished through
an open source framework. Here we present the Experiment Factory, an open source
framework for the development and deployment of web-based experiments. The
modular infrastructure includes experiments, virtual machines for local or cloud
deployment, and an application to drive these components and provide developers
with functions and tools for further extension. We release this infrastructure
with a deployment (http://www.expfactory.org) that researchers are currently
using to run a set of over 80 standardized web-based experiments on Amazon
Mechanical Turk. By providing open source tools for both deployment and
development, this novel infrastructure holds promise to bring reproducibility to
the administration of experiments, and accelerate scientific progress by
providing a shared community resource of psychological paradigms.
PMID- 27199844
TI - Overestimation of the Subjective Experience of Time in Social Anxiety: Effects of
Facial Expression, Gaze Direction, and Time Course.
AB - It is known that threatening stimuli increase emotional arousal, resulting in
overestimating the subjective experience of passing time. Moreover, facial
expressions and gaze direction interact to create socially threatening situations
in people with social anxiety. The present study investigated the effect of
social anxiety on the perceived duration of observing emotional faces with a
direct or an averted gaze. Participants were divided into high, medium and low
social anxiety groups based on social anxiety inventory scores. Participants then
performed a temporal bisection task. Participants with high social anxiety
provided larger overestimates for neutral faces with an averted gaze than those
with low social anxiety in the second half of the task, whereas these differences
were not found for angry face with direct and averted gaze. These results suggest
that people with social anxiety perceive the duration of threatening situations
as being longer than true durations based on objectively measured time.
PMID- 27199845
TI - Relations between Spatial Distribution, Social Affiliations and Dominance
Hierarchy in a Semi-Free Mandrill Population.
AB - Although there exist advantages to group-living in comparison to a solitary
lifestyle, costs and gains of group-living may be unequally distributed among
group members. Predation risk, vigilance levels and food intake may be unevenly
distributed across group spatial geometry and certain within-group spatial
positions may be more or less advantageous depending on the spatial distribution
of these factors. In species characterized with dominance hierarchy, high-ranking
individuals are commonly observed in advantageous spatial position. However, in
complex social systems, individuals can develop affiliative relationships that
may balance the effect of dominance relationships in individual's spatial
distribution. The objective of the present study is to investigate how the group
spatial distribution of a semi-free ranging colony of Mandrills relates to its
social organization. Using spatial observations in an area surrounding the
feeding zone, we tested the three following hypothesis: (1) does dominance
hierarchy explain being observed in proximity or far from a food patch? (2) Do
affiliative associations also explain being observed in proximity or far from a
food patch? (3) Do the differences in rank in the group hierarchy explain being
co-observed in proximity of a food patch? Our results showed that high-ranking
individuals were more observed in proximity of the feeding zone while low-ranking
individuals were more observed at the boundaries of the observation area.
Furthermore, we observed that affiliative relationships were also associated with
individual spatial distributions and explain more of the total variance of the
spatial distribution in comparison with dominance hierarchy. Finally, we found
that individuals observed at a same moment in proximity of the feeding zone were
more likely to be distant in the hierarchy while controlling for maternal
kinship, age and sex similarity. This study brings some elements about how
affiliative networks and dominance hierarchy are related to spatial positions in
primates.
PMID- 27199846
TI - When Pain Brings Gain: Soccer Players Behavior and Admissions Suggest Feigning
Injury to Maintain a Favorable Scoreline.
AB - The rules of soccer dictate that play, once halted, cannot continue if a player
is injured. Players may take advantage of this rule by feigning injury to
preserve beneficial match positions. Thirty Euro 2008 matches, 90 Premier League
matches and 63 World Cup 2010 matches were reviewed for the timing and severity
of injuries. The number of injuries was compared between teams that benefited
from stopping the game and those that did not benefit. The number of low-level
injuries, not resulting in substitution or subsequent problems, was directly
compared for Benefit and Non-Benefit teams for each 15-min period following kick
off. Statistical significance was assessed using appropriate non-parametric
tests. In addition, seven current players and three managers were interviewed and
were asked about feigning injury. Teams that benefited from game stoppages
suffered significantly more minor injuries in the last 15 min of matches compared
with those that did not benefit. Four of the players directly admitted feigning
injury. When it is beneficial, soccer players can and do successfully feign
injury to stop the game. Consequently it is possible that others might also
successfully feign injury, pain or disease when motivated to do so.
PMID- 27199847
TI - Effects of a Fragmented View of One's Partner on Interpersonal Coordination in
Dance.
AB - In this study we investigated the effects of a mirror-mediated, partial view of
one's dance partner on interpersonal coordination in dance duets. Fourteen
participant pairs (dyads) were asked to perform a reflectionally-symmetric eight
segment dance-relevant arm movement sequence in two visual conditions: with one
dancer facing the mirror and providing a partial view on the dance partner, or
both dancers facing back to back with, for both dancers, no view on one's
partner. During an eight-count beat-preparation phase, the task was paced via a
metronome at three TEMPI; 1.6, 1.9, and 2.3 Hz, which was subsequently removed
after which the movement sequence continued in silence. Interpersonal
coordination was assessed using two tri-axial wireless accelerometers, one fixed
to each dancer, that allowed the off-line kinematic analyses of dyad correlation,
mean relative phase and mean standard deviation of relative phase of the up-down
movements of (one of) the hands of the two dancers. In addition, two independent
raters estimated the realized movement frequencies and percentage of the trial
duration that the dancers moved in sync. Repeated measure ANOVAs revealed
systematic effects of tempo on the performance measures, a positive effect of the
use of the mirror on the coordination of the dancers' movements but no
facilitating effect of the mirror on the dancers' synchronization. Overall, the
results support the contention that when dancing to an internalized rhythmic beat
the use of a mirror provides an ecological means to stabilize interpersonal
coordination in dance duets without an effect on synchronization.
PMID- 27199848
TI - The Impact of Interpersonal Discrimination and Stress on Health and Performance
for Early Career STEM Academicians.
AB - The present study examines the consequences of perceived interpersonal
discrimination on stress, health, and performance in a sample of 210 science,
technology, engineering, and mathematics (STEM) academicians. Using a path model,
we test the relation that perceived interpersonal discrimination has on stress
and the relation of stress to physical health maladies and on current and future
performance. In so doing, we assess the link between discrimination and
decrements in performance over time. Additionally, we test supervisor social
support as a moderator of the discrimination-stress relation. Findings support
relations between perceived interpersonal discrimination and stress, which in
turn relates to declines in physical health and performance outcomes. Moreover,
supervisory support is shown to mitigate the influence of interpersonal
discrimination on stress in STEM academicians.
PMID- 27199849
TI - Cross-Linguistic Influence in the Bilingual Mental Lexicon: Evidence of Cognate
Effects in the Phonetic Production and Processing of a Vowel Contrast.
AB - The present study examines cognate effects in the phonetic production and
processing of the Catalan back mid-vowel contrast (/o/-/o/) by 24 early and
highly proficient Spanish-Catalan bilinguals in Majorca (Spain). Participants
completed a picture-naming task and a forced-choice lexical decision task in
which they were presented with either words (e.g., /bosk/ "forest") or non-words
based on real words, but with the alternate mid-vowel pair in stressed position
((*)/bosk/). The same cognate and non-cognate lexical items were included in the
production and lexical decision experiments. The results indicate that even
though these early bilinguals maintained the back mid-vowel contrast in their
productions, they had great difficulties identifying non-words and real words
based on the identity of the Catalan mid-vowel. The analyses revealed language
dominance and cognate effects: Spanish-dominants exhibited higher error rates
than Catalan-dominants, and production and lexical decision accuracy were also
affected by cognate status. The present study contributes to the discussion of
the organization of early bilinguals' dominant and non-dominant sound systems,
and proposes that exemplar theoretic approaches can be extended to include
bilingual lexical connections that account for the interactions between the
phonetic and lexical levels of early bilingual individuals.
PMID- 27199850
TI - Modeling of Causes of Sina Weibo Continuance Intention with Mediation of Gender
Effects.
AB - Sina Weibo is a Twitter-like social networking site and one of the most popular
microblogging services in China. This study aims to examine the factors that
influence the intentions of users to continue using this site. This paper
synthesizes the expectation confirmation model, constructs of habit and perceived
critical mass, and the gender effect to construct a theoretical model to explain
and predict these user intentions. The model is then tested via an online survey
of 498 Sina Weibo users and partial least squares (PLS) modeling. The results
indicate that the continuance intention of users is directly predicted by their
perceived usefulness of the service (beta = 0.299), their satisfaction (beta =
0.208), and their habits (beta = 0.389), which jointly explain 65.9% of the
variance in intention. In addition to the effects of these predictors on the
continuance intentions of Sina Weibo users, an assessment of the moderating
effect of gender suggests that habit plays a more important role for females than
for males in continuance intention, but perceived usefulness seems to be more
important for males than for females. The implications of these findings are then
discussed.
PMID- 27199851
TI - Commentary: "How Much is that Player in the Window? The One with the Early
Birthday?" Relative Age Influences the Value of the Best Soccer Players, but Not
the Best Businesspeople.
PMID- 27199852
TI - Relations between Temperament, Sensory Processing, and Motor Coordination in 3
Year-Old Children.
AB - Poor motor skills and differences in sensory processing have been noted as
behavioral markers of common neurodevelopmental disorders. A total of 171 healthy
children (81 girls, 90 boys) were investigated at age 3 to examine relations
between temperament, sensory processing, and motor coordination. Using the
Japanese versions of the Children's Behavior Questionnaire (CBQ), the Sensory
Profile (SP-J), and the Little Developmental Coordination Disorder Questionnaire
(LDCDQ), this study examines an expanded model based on Rothbart's three-factor
temperamental theory (surgency, negative affect, effortful control) through
covariance structure analysis. The results indicate that effortful control
affects both sensory processing and motor coordination. The subscale of the
LDCDQ, control during movement, is also influenced by surgency, while
temperamental negative affect and surgency each have an effect on subscales of
the SP-J.
PMID- 27199854
TI - Competition between Cognitive Control and Encapsulated, Unconscious Inferences:
Are Aha-Experiences Special?
PMID- 27199853
TI - Compulsive Buying Behavior: Characteristics of Comorbidity with Gambling
Disorder.
AB - Compulsive buying behavior (CBB) has begun to be recognized as a condition worthy
of attention by clinicians and researchers. Studies on the commonalities between
CBB and other behavioral addictions such as gambling disorder (GD) exist in the
literature, but additional research is needed to assess the frequency and
clinical relevance of the comorbidity of CBB and GD. The aim of the study was to
estimate the point-prevalence of CBB+GD in a clinical setting. Data corresponded
to n = 3221 treatment-seeking patients who met criteria for CBB or GD at a public
hospital unit specialized in treating behavioral addictions. Three groups were
compared: only-CBB (n = 127), only-GD (n = 3118) and comorbid CBB+GD (n = 24).
Prevalence for the co-occurrence of CBB+GD was 0.75%. In the stratum of patients
with GD, GD+CBB comorbidity obtained relatively low point prevalence (0.77%),
while in the subsample of CBB patients the estimated prevalence of comorbid GD
was relatively high (18.9%). CBB+GD comorbidity was characterized by lower
prevalence of single patients, higher risk of other behavioral addictions (sex,
gaming or internet), older age and age of onset. CBB+GD registered a higher
proportion of women compared to only-GD (37.5 vs. 10.0%) but a higher proportion
of men compared to only-CBB (62.5 vs. 24.4%). Compared to only-GD patients, the
simultaneous presence of CBB+GD was associated with increased psychopathology and
dysfunctional levels of harm avoidance. This study provides empirical evidence to
better understand CBB, GD and their co-occurrence. Future research should help
delineate the processes through which people acquire and develop this
comorbidity.
PMID- 27199855
TI - Effects of Suprasegmental Phonological Alternations on Early Word Recognition:
Evidence from Tone Sandhi.
AB - Early language acquisition is potentially complicated by the presence of many
sources of variability in the speech signal. A frequent example of variability is
phonological alternations, which can lead to context-driven changes in the
realization of a word. The aim of the current study was to investigate effects of
a highly frequent yet scarcely researched type of suprasegmental phonological
alternation - tone Sandhi - on early spoken word recognition. The tone Sandhi
rule investigated herein involves a tone change of the first syllable in a
disyllabic unit. In accordance with third tone Sandhi, when two dipping tone
syllables are juxtaposed in connected speech, the first syllable is dissimilated
to a high rising tone. For example, 'flour mill' (unaltered pre-Sandhi form
[(214) (214)]) undergoes tonal alternation resulting in the altered post-Sandhi
form [(35) (214)]. In the current study, preschoolers' sensitivity to the effects
of tone Sandhi when processing familiar words was investigated via a preferential
looking paradigm. Words varied in their phonological form: one set of words was
labeled with a phonological alternation due to Sandhi (Post Sandhi), one set of
words was labeled with an unaltered Sandhi form (Pre Sandhi), one set consisted
of non Sandhi words (Correct Pronunciation, and one set were labeled with a tonal
alternation not associated with Sandhi rules (Mispronunciation). Post-Sandhi
forms and correct pronunciations were associated with visual referents with
comparable strength, with only a subtle processing cost observed for post-Sandhi
forms in the time course of lexical selection. Likewise, pre-Sandhi forms and
true mispronunciations were rejected as labels for visual references with
comparable strength, with only subtle differences observed in the time course of
lexical selection. Findings are discussed in terms of their impact on prevailing
theories of lexical representation.
PMID- 27199856
TI - Editorial: From Is to Ought: The Place of Normative Models in the Study of Human
Thought.
PMID- 27199857
TI - Comparing the Effectiveness of Individual Coaching, Self-Coaching, and Group
Training: How Leadership Makes the Difference.
AB - Few empirical studies have used a randomized controlled design to evaluate the
impact of coaching, and there are even fewer that have compared coaching with
other interventions. In the current field study, we investigated the relative
effectiveness of coaching as an intervention to reduce procrastination. In a
randomized controlled study, participants (N = 84) were assigned to an individual
coaching, a self-coaching, a group training, or a control group condition.
Results indicate that individual coaching and group training were effective in
reducing procrastination and facilitating goal attainment. Individual coaching
created a high degree of satisfaction and was superior in helping participants
attaining their goals, whereas group training successfully promoted the
acquisition of relevant knowledge. The results for the self-coaching condition
show that independently performing exercises without being supported by a coach
is not sufficient for high goal attainment. Moreover, mediation analysis show
that a coach's transformational and transactional leadership behavior influenced
participants' perceived autonomy support and intrinsic motivation, resulting in
beneficial coaching outcomes. The results may guide the selection of appropriate
human resource development methods: If there is a general need to systematically
prepare employees to perform on specific tasks, group training seems appropriate
due to lower costs. However, when certain aspects of working conditions or
individual development goals are paramount, coaching might be indicated. However,
further research is needed to compare the relative effectiveness of coaching with
other interventions in different contexts.
PMID- 27199859
TI - Animal Assisted Therapy (AAT) Program As a Useful Adjunct to Conventional
Psychosocial Rehabilitation for Patients with Schizophrenia: Results of a Small
scale Randomized Controlled Trial.
AB - Currently, one of the main objectives of human-animal interaction research is to
demonstrate the benefits of animal assisted therapy (AAT) for specific profiles
of patients or participants. The aim of this study is to assess the effect of an
AAT program as an adjunct to a conventional 6-month psychosocial rehabilitation
program for people with schizophrenia. Our hypothesis is that the inclusion of
AAT into psychosocial rehabilitation would contribute positively to the impact of
the overall program on symptomology and quality of life, and that AAT would be a
positive experience for patients. To test these hypotheses, we compared pre
program with post-program scores for the Positive and Negative Syndrome Scale
(PANSS) and the EuroQoL-5 dimensions questionnaire (EuroQol-5D), pre-session with
post-session salivary cortisol and alpha-amylase for the last four AAT sessions,
and adherence rates between different elements of the program. We conducted a
randomized, controlled study in a psychiatric care center in Spain. Twenty-two
institutionalized patients with chronic schizophrenia completed the 6-month
rehabilitation program, which included individual psychotherapy, group therapy, a
functional program (intended to improve daily functioning), a community program
(intended to facilitate community reintegration) and a family program. Each
member of the control group (n = 8) participated in one activity from a range of
therapeutic activities that were part of the functional program. In place of this
functional program activity, the AAT-treatment group (n = 14) participated in
twice-weekly 1-h sessions of AAT. All participants received the same weekly total
number of hours of rehabilitation. At the end of the program, both groups
(control and AAT-treatment) showed significant improvements in positive and
overall symptomatology, as measured with PANSS, but only the AAT-treatment group
showed a significant improvement in negative symptomatology. Adherence to the AAT
treatment was significantly higher than overall adherence to the control group's
functional rehabilitation activities. Cortisol level was significantly reduced
after participating in an AAT session, which could indicate that interaction with
the therapy dogs reduced stress. In conclusion, the results of this small-scale
RCT suggest that AAT could be considered a useful adjunct to conventional
psychosocial rehabilitation for people with schizophrenia.
PMID- 27199858
TI - Nogo Stimuli Do Not Receive More Attentional Suppression or Response Inhibition
than Neutral Stimuli: Evidence from the N2pc, PD, and N2 Components in a Spatial
Cueing Paradigm.
AB - It has been claimed that stimuli sharing the color of the nogo-target are
suppressed because of the strong incentive to not process the nogo-target, but we
failed to replicate this finding. Participants searched for a color singleton in
the target display and indicated its shape when it was in the go color. If the
color singleton in the target display was in the nogo color, they had to withhold
the response. The target display was preceded by a cue display that also
contained a color singleton (the cue). The cue was either in the color of the go
or nogo target, or it was in an unrelated, neutral color. With cues in the go
color, reaction times were shorter when the cue appeared at the same location as
the target compared to when it appeared at a different location. Also,
electrophysiological recordings showed that an index of attentional selection,
the N2pc, was elicited by go cues. Surprisingly, we failed to replicate cueing
costs for cues in the nogo color that were originally reported by Anderson and
Folk (2012). Consistently, we also failed to find an electrophysiological index
of attentional suppression (the PD) for cues in the nogo color. Further, fronto
central event-related potentials to the cue display showed the same negativity
for nogo and neutral stimuli relative to go stimuli, which is at odds with
response inhibition and conflict monitoring accounts of the Nogo-N2. Thus, the
modified cueing paradigm employed here provides little evidence that features
associated with nogo-targets are suppressed at the level of attention or response
selection. Rather, nogo-stimuli are efficiently ignored and attention is focused
on features that require a response.
PMID- 27199860
TI - An Internal Focus Leads to Longer Quiet Eye Durations in Novice Dart Players.
AB - While the benefits of both an external focus of attention (FOA) and of a longer
quiet eye (QE) duration have been well researched in a wide range of sporting
activities, little is known about the interaction of these two phenomena and how
a potential interaction might influence performance. It was this study's aim to
investigate the interaction and potential effect on performance by using typical
FOA instructions in a dart throwing task and examining both the QE and
performance outcome. The results replicate neither the benefit of an external FOA
nor the benefit of a longer QE duration. However, an interaction was observed, as
QE was prolonged by an earlier onset and later offset in the internal focus
condition only. As the typical effect of a performance benefit due to an external
focus could not be replicated, the interaction must be interpreted with caution.
The results are discussed and interpreted in light of the inhibition hypothesis
and possible avenues for future research are suggested.
PMID- 27199861
TI - Temporal Learning and Rhythmic Responding: No Reduction in the Proportion Easy
Effect with Variable Response-Stimulus Intervals.
AB - The present report further investigates the proportion easy effect, a conflict
free version of the proportion congruent effect. In the proportion easy paradigm,
it is observed that the difference in performance between easy (high contrast)
and hard (low contrast) items is smaller in a task with mostly hard items
relative to a task with mostly easy items. This effect has been interpreted as
evidence for temporal learning: participants learn a faster pace (i.e., rhythm of
responding) in the mostly easy context, which boosts the contrast effect, and a
slower pace in the mostly hard context. In the present experiment, intervals
between trials were either fixed or randomly varied from trial to trial.
Interestingly, the proportion easy effect was still present with variable
intervals. These data suggest that participants do not learn the regularity in
timing from one response to the next (which was highly inconsistent with variable
intervals). As one alternative, participants might be learning the intervals
between stimulus onset and responses, which were not manipulated. They could then
use this learned timing information to prepare for responding at the anticipated
time, resulting in rhythmic responding. The results further imply that variable
response-stimulus intervals are insufficient for controlling for rhythmic biases.
PMID- 27199862
TI - Music Influences Hedonic and Taste Ratings in Beer.
AB - The research presented here focuses on the influence of background music on the
beer-tasting experience. An experiment is reported in which different groups of
customers tasted a beer under three different conditions (N = 231). The control
group was presented with an unlabeled beer, the second group with a labeled beer,
and the third group with a labeled beer together with a customized sonic cue (a
short clip from an existing song). In general, the beer-tasting experience was
rated as more enjoyable with music than when the tasting was conducted in
silence. In particular, those who were familiar with the band that had composed
the song, liked the beer more after having tasted it while listening to the song,
than those who knew the band, but only saw the label while tasting. These results
support the idea that customized sound-tasting experiences can complement the
process of developing novel beverage (and presumably also food) events. We
suggest that involving musicians and researchers alongside brewers in the process
of beer development, offers an interesting model for future development. Finally,
we discuss the role of attention in sound-tasting experiences, and the importance
that a positive hedonic reaction toward a song can have for the ensuing tasting
experience.
PMID- 27199863
TI - Different Sources of Threat on Math Performance for Girls and Boys: The Role of
Stereotypic and Idiosyncratic Knowledge.
PMID- 27199864
TI - Happy Family Kitchen II: A Cluster Randomized Controlled Trial of a Community
Based Family Intervention for Enhancing Family Communication and Well-being in
Hong Kong.
AB - Long working hours and stressful urban lifestyles pose major challenges to family
communication and well-being in Hong Kong. A community-based family intervention
derived from a positive psychology framework, by using cooking and dining as a
platform, was developed for improving family communication and well-being. Social
workers and teachers from 31 social service units and schools in collaboration
with an academic partner organized and conducted the intervention programs for
2,070 individuals from 973 families in a deprived district in Hong Kong. The
participants were randomly assigned into the intervention or control group in a
cluster randomized controlled trial (cRCT). The core intervention covered one of
five positive psychology themes: joy, gratitude, flow, savoring, and listening.
Assessments at pre-intervention, immediate post-intervention, and 4 and 12 weeks
post-intervention showed improved family communication and well-being with
sustainable effects up to 12 weeks. Positive changes in family happiness and
family health were greater in the intervention group than in the control group.
The savoring intervention had the most improved outcomes among the five themes.
We concluded that this large-scale brief cRCT developed and conducted in real
world settings provided evidence for the feasibility and effectiveness of a
community-based family intervention. This study was registered under
ClinicalTrials.gov (NCT01796275).
PMID- 27199865
TI - Allocation of Study Time in Chinese Junior School Students: Habitual Responding,
Item Difficulty, and Time Constraints.
AB - The present study investigated factors influencing Chinese junior school
students' study time allocation and the age difference in the effect of habitual
responding. Participants were 240 junior school students (120 girls, 120 boys;
aged 13-15 years) with half taking part in Experiment 1 and half in Experiment 2,
and 240 young adults aged 18-23 years, (120 women and 120 men,) involved in
Experiments 3a and 3b, all native Chinese speakers. In Experiments 1 and 3a,
Chinese word pairs (e.g., moon-star) were presented on the screen with three
items in one array. In each trial, the items were arranged from left to right,
either easy, moderate, then difficult, or the reverse. Participants had either 5
s or no time limits to study the word pairs. In Experiments 2 and 3b, word pairs
were ordered in a column with the easiest items either at the top or bottom
position. Results showed interactions among item difficulty, item order, and time
limitation in terms of effects on study time allocation of junior school
students. Participants tended to learn the items in order (from left to right and
from top to bottom), but the effect of item difficulty was greater than that of
item order on item selection. Results indicated that agenda and habitual
responding have a combined effect on study time allocation and that the
contribution of agenda is greater than that of habitual responding. The effect of
habitual responding on the self-paced study and recall performance of junior
school students is greater than its effect on young adults, and the study time
allocation of junior school students is more likely to be affected by external
conditions.
PMID- 27199866
TI - Openness to Experience as a Moderator of the Relationship between Intelligence
and Creative Thinking: A Study of Chinese Children in Urban and Rural Areas.
AB - Using testing and questionnaire methods, this study investigated the
relationships among openness to experience, intelligence and creative thinking.
This study focused on the moderating effects of openness to experience on the
relationship between intelligence and creative thinking in a sample of 831
primary school students in China. The findings showed significant positive
relationships among openness to experience, intelligence and creative thinking.
In relation to the focus of this study, openness to experience moderated the
relationship between intelligence and creative thinking. However, the correlation
between openness to experience and creative thinking was stronger for urban
children than for rural children, and the moderating effect existed only in urban
settings.
PMID- 27199868
TI - A Perceptual Motor Intervention Improves Play Behavior in Children with Moderate
to Severe Cerebral Palsy.
AB - For children with moderate or severe cerebral palsy (CP), a foundational early
goal is independent sitting. Sitting offers additional opportunities for object
exploration, play and social engagement. The achievement of sitting coincides
with important milestones in other developmental areas, such as social engagement
with others, understanding of spatial relationships, and the use of both hands to
explore objects. These milestones are essential skills necessary for play
behavior. However, little is known about how sitting and play behavior might be
affected by a physical therapy intervention in children with moderate or severe
CP. Therefore, our overall purpose in this study was to determine if sitting
skill could be advanced in children with moderate to severe CP using a perceptual
motor intervention, and if play skills would change significantly as sitting
advanced. Thirty children between the ages of 18 months and 6 years who were able
to hold prop sitting for at least 10 s were recruited for this study. Outcome
measures were the sitting subsection of the Gross Motor Function Measure (GMFM),
and the Play Assessment of Children with Motor Impairment play assessment scale,
which is a modified version of the Play in Early Childhood Evaluation System.
Significant improvements in GMFM sitting scores (p < 0.001) and marginally
significant improvement in play assessment scores (p = 0.067) were found from pre
to post-intervention. Sitting change explained a significant portion of the
variance in play change for children over the age of 3 years, who were more
severely affected by CP. The results of this study indicate that advances in
sitting skill may be a factor in supporting improvements in functional play,
along with age and severity of physical impairment.
PMID- 27199867
TI - Physical Activity, Sleep, and Nutrition Do Not Predict Cognitive Performance in
Young and Middle-Aged Adults.
AB - Biological lifestyle factors (BLFs) such as physical activity, sleep, and
nutrition play a role in cognitive functioning. Research concerning the relation
between BLFs and cognitive performance is scarce however, especially in young and
middle-aged adults. Research has not yet focused on a multidisciplinary approach
with respect to this relation in the abovementioned population, where lifestyle
habits are more stable. The aim of this study was to examine the contribution of
these BLFs to cognitive performance. Path analysis was conducted in an
observational study in which 1131 adults were analyzed using a cross-validation
approach. Participants provided information on physical activity, sedentary
behavior, chronotype, sleep duration, sleep quality, and the consumption of
breakfast, fish, and caffeine via a survey. Their cognitive performance was
measured using objective digital cognitive tests. Exploration yielded a
predictive cohesive model that fitted the data properly, chi(2) /df = 0.8, CFI =
1.00, RMSEA < 0.001, SRMR = 0.016. Validation of the developed model indicated
that the model fitted the data satisfactorily, chi(2) /df = 2.75, CFI = 0.95,
RMSEA < 0.056, SRMR = 0.035. None of the variables within the BLFs were
predictive for any of the cognitive performance measures, except for sedentary
behavior. Although sedentary behavior was positively predictive for processing
speed its contribution was small and unclear. The results indicate that the
variables within the BLFs do not predict cognitive performance in young and
middle-aged adults.
PMID- 27199869
TI - Obesity Discrimination in the Recruitment Process: "You're Not Hired!".
AB - Previous literature reports that obese persons are discriminated in the
workplace. Evidence suggests that obese people are perceived as having less
leadership potential, and in comparison to normal weight peers, are expected to
be less successful. This study examined whether obese people are discriminated
against when applying for employment. Three hypotheses were offered in line with
previous research: (1) obese people are less likely to be assessed positively on
personnel suitability than normal weight people; (2) obese people in active
employment are more likely to be discriminated against than people in non-active
employment; and (3) obese women are more likely to be discriminated against than
obese men. 181 Participants were sampled from sedentary, standing, manual and
heavy manual occupations. Participants rated hypothetical candidates on their
suitability for employment. Employees also completed measures of implicit and
explicit attitudes toward obesity. MANOVA was conducted to examine if obese
candidates were discriminated against during the recruitment procedure. Results
demonstrated that participants rated obese candidates as less suitable compared
with normal weight candidates and when the weight status of the candidate was not
revealed for work across the four workplace groups. Participant gender and weight
status also impacted perceptions of candidates' suitability for work and
discrimination toward obese candidates was higher in participants from more
physically demanding occupations. The study findings contribute to evidence that
obese people are discriminated against in the hiring process and support calls
for policy development.
PMID- 27199870
TI - The Impact of Language Opacity and Proficiency on Reading Strategies in
Bilinguals: An Eye Movement Study.
AB - Reading strategies vary across languages according to orthographic depth - the
complexity of the grapheme in relation to phoneme conversion rules - notably at
the level of eye movement patterns. We recently demonstrated that a group of
early bilinguals, who learned both languages equally under the age of seven,
presented a first fixation location (FFL) closer to the beginning of words when
reading in German as compared with French. Since German is known to be
orthographically more transparent than French, this suggested that different
strategies were being engaged depending on the orthographic depth of the used
language. Opaque languages induce a global reading strategy, and transparent
languages force a local/serial strategy. Thus, pseudo-words were processed using
a local strategy in both languages, suggesting that the link between word forms
and their lexical representation may also play a role in selecting a specific
strategy. In order to test whether corresponding effects appear in late
bilinguals with low proficiency in their second language (L2), we present a new
study in which we recorded eye movements while two groups of late German-French
and French-German bilinguals read aloud isolated French and German words and
pseudo-words. Since, a transparent reading strategy is local and serial, with a
high number of fixations per stimuli, and the level of the bilingual
participants' L2 is low, the impact of language opacity should be observed in L1.
We therefore predicted a global reading strategy if the bilinguals' L1 was French
(FFL close to the middle of the stimuli with fewer fixations per stimuli) and a
local and serial reading strategy if it was German. Thus, the L2 of each group,
as well as pseudo-words, should also require a local and serial reading strategy.
Our results confirmed these hypotheses, suggesting that global word processing is
only achieved by bilinguals with an opaque L1 when reading in an opaque language;
the low level in the L2 gives way to a local and serial reading strategy. These
findings stress the fact that reading behavior is influenced not only by the
linguistic mode but also by top-down factors, such as readers' proficiency.
PMID- 27199871
TI - Prospective Associations between Emotion Dysregulation and Fear-Potentiated
Startle: The Moderating Effect of Respiratory Sinus Arrhythmia.
AB - BACKGROUND: Emotion dysregulation has been implicated in the negative outcomes
following trauma exposure. A proposed biomarker of emotion dysregulation,
respiratory sinus arrhythmia (RSA), has demonstrated associations with trauma
related phenomena, such as the fear-potentiated startle (FPS) response. The
current study aimed to examine the prospective association between emotion
dysregulation and RSA and FPS several years following trauma exposure. METHODS:
Participants were 131 women exposed to a campus mass shooting on February 14,
2008. Pre-shooting emotion dysregulation was assessed in 2006-2008. Startle
response, measured by orbicularis oculi electromyography (EMG), and RSA were
gathered during an FPS paradigm conducted from 2012 to 2015. RESULTS: No
significant associations among emotion dysregulation, RSA, and FPS emerged among
the full sample. However, emotion dysregulation predicted FPS during both
acquisition (r = 0.40, p < 0.05) and extinction (r = 0.57, p < 0.01) among
individuals with high resting RSA. CONCLUSIONS: Findings suggest that pre
shooting emotion dysregulation is a potent predictor of FPS several years
following potential trauma exposure, and this association varies by RSA level.
RESULTS emphasize the importance of examining autonomic regulation in the
association between emotion dysregulation and recovery from trauma exposure.
PMID- 27199872
TI - Commentary: Heart rate variability and self-control-A meta-analysis.
PMID- 27199873
TI - Does Feedback-Related Brain Response during Reinforcement Learning Predict Socio
motivational (In-)dependence in Adolescence?
AB - This multi-methodological study applied functional magnetic resonance imaging to
investigate neural activation in a group of adolescent students (N = 88) during a
probabilistic reinforcement learning task. We related patterns of emerging brain
activity and individual learning rates to socio-motivational (in-)dependence
manifested in four different motivation types (MTs): (1) peer-dependent MT, (2)
teacher-dependent MT, (3) peer-and-teacher-dependent MT, (4) peer-and-teacher
independent MT. A multinomial regression analysis revealed that the individual
learning rate predicts students' membership to the independent MT, or the peer
and-teacher-dependent MT. Additionally, the striatum, a brain region associated
with behavioral adaptation and flexibility, showed increased learning-related
activation in students with motivational independence. Moreover, the prefrontal
cortex, which is involved in behavioral control, was more active in students of
the peer-and-teacher-dependent MT. Overall, this study offers new insights into
the interplay of motivation and learning with (1) a focus on inter-individual
differences in the role of peers and teachers as source of students' individual
motivation and (2) its potential neurobiological basis.
PMID- 27199874
TI - The Central Governor Model of Exercise Regulation Teaches Us Precious Little
about the Nature of Mental Fatigue and Self-Control Failure.
AB - Self-control is considered broadly important for many domains of life. One of its
unfortunate features, however, is that it tends to wane over time, with little
agreement about why this is the case. Recently, there has been a push to address
this problem by looking to the literature in exercise physiology, specifically
the work on the central governor model of physical fatigue. Trying to explain how
and why mental performance wanes over time, the central governor model suggests
that exertion is throttled by some central nervous system mechanism that receives
information about energetic bodily needs and motivational drives to regulate
exertion and, ultimately, to prevent homeostatic breakdown, chiefly energy
depletion. While we admire the spirit of integration and the attempt to shed
light on an important topic in psychology, our concern is that the central
governor model is very controversial in exercise physiology, with increasing
calls to abandon it altogether, making it a poor fit for psychology. Our concerns
are threefold. First, while we agree that preservation of bodily homeostasis
makes for an elegant ultimate account, the fact that such important homeostatic
concerns can be regularly overturned with even slight incentives (e.g., a smile)
renders the ultimate account impotent and points to other ultimate functions for
fatigue. Second, despite the central governor being thought to take as input
information about the metabolic needs of the body, there is no credible evidence
that mental effort actually consumes inordinate amounts of energy that are not
already circulating in the brain. Third, recent modifications of the model make
the central governor appear like an all-knowing homunculus and unfalsifiable in
principle, thus contributing very little to our understanding of why people tend
to disengage from effortful tasks over time. We note that the latest models in
exercise physiology have actually borrowed concepts and models from psychology to
understand physical performance.
PMID- 27199876
TI - The Effect of Perceived Parent-Child Facial Resemblance on Parents' Trait
Anxiety: The Moderating Effect of Parents' Gender.
AB - Father-child facial resemblance is an important cue for men to evaluate
paternity. Previous studies found that fathers' perceptions of low facial
resemblance with offspring lead to low confidence of paternity. Fathers'
uncertainty of paternity could cause psychological stress and anxiety, which,
after a long time, may further turn into trait anxiety. Conversely, females can
ensure a biological connection with offspring because of internal fertilization.
The purpose of this study was thus to examine the role of parents' gender in the
effect of parents' perceived facial resemblance with child on their trait
anxiety. In this study, 151 parents (father or mother) from one-child families
reported their facial resemblance with child and their trait anxiety. Results
showed that (i) males tended to perceive higher facial similarity with child than
did females and (ii) males' perceived facial resemblance with child significantly
predicted trait anxiety, whereas females' perceived facial resemblance did not.
These findings suggested that the uncertainty of paternity contributed to the
trait anxiety of fathers, but not mothers.
PMID- 27199875
TI - Additivity of Feature-Based and Symmetry-Based Grouping Effects in Multiple
Object Tracking.
AB - Multiple object tracking (MOT) is an attentional process wherein people track
several moving targets among several distractors. Symmetry, an important
indicator of regularity, is a general spatial pattern observed in natural and
artificial scenes. According to the "laws of perceptual organization" proposed by
Gestalt psychologists, regularity is a principle of perceptual grouping, such as
similarity and closure. A great deal of research reported that feature-based
similarity grouping (e.g., grouping based on color, size, or shape) among targets
in MOT tasks can improve tracking performance. However, no additive feature-based
grouping effects have been reported where the tracking objects had two or more
features. "Additive effect" refers to a greater grouping effect produced by
grouping based on multiple cues instead of one cue. Can spatial symmetry produce
a similar grouping effect similar to that of feature similarity in MOT tasks? Are
the grouping effects based on symmetry and feature similarity additive? This
study includes four experiments to address these questions. The results of
Experiments 1 and 2 demonstrated the automatic symmetry-based grouping effects.
More importantly, an additive grouping effect of symmetry and feature similarity
was observed in Experiments 3 and 4. Our findings indicate that symmetry can
produce an enhanced grouping effect in MOT and facilitate the grouping effect
based on color or shape similarity. The "where" and "what" pathways might have
played an important role in the additive grouping effect.
PMID- 27199878
TI - Editorial: Bridging Reading Aloud and Speech Production.
PMID- 27199877
TI - Effects of Age and Initial Risk Perception on Balloon Analog Risk Task: The
Mediating Role of Processing Speed and Need for Cognitive Closure.
AB - According to the dual-process theoretical perspective adopted in the presented
research, the efficiency of deliberative processes in decision making declines
with age, but experiential processes are relatively well-preserved. The age
related differences in deliberative and experiential processes in risky decision
making were examined in this research by applying the Balloon Analog Risk Task
(BART). We analyzed the influence of age on risk acceptance and decision-making
performance in two age groups of female participants (younger adults, n = 81;
older adults, n = 76), with additional experimental manipulation of initial risk
perception. We predicted and confirmed that aging significantly worsens
performance on the behavioral BART measures due to age-related decline in
deliberative processes. Older participants were found to exhibit significantly
higher risk aversion and lower BART performance, and the effect of age was
mediated by cognitive (processing speed) and motivational (need for cognitive
closure) mechanisms. Moreover, older adults adapt to the initial failure (vs.
success) similarly, as younger adults due to preserved efficiency of experiential
processes. These results suggest future directions for minimizing negative
effects of aging in risky decision-making and indicate compensatory processes,
which are preserved during aging.
PMID- 27199879
TI - Hedonic Capacity in the Broader Autism Phenotype: Should Social Anhedonia Be
Considered a Characteristic Feature?
AB - Impairments in social motivational processes may partially explain the
differences in social interaction seen among individuals with autism spectrum
disorder (ASD). The social motivation hypothesis would predict an association
between reduced hedonic capacity and ASD. However, to date, findings have been
mixed regarding hedonic deficits among individuals with ASD; adults report lower
levels of both social and physical pleasure whereas adolescents only report
experiencing lower social pleasure. Moreover, very few studies examining the
association between anhedonia and autistic traits have used measures of hedonic
response or taken temporal aspects of pleasure into account. The present study
examined associations between autistic traits and the experience of pleasure
using a non-clinical sample of young adults to further clarify the nature of
hedonic deficits in the broader autism phenotype (BAP). Results revealed that
autistic traits were negatively associated with both the experience of social
pleasure as well as general pleasure, although the association was stronger for
social pleasure. Regression analyses revealed that reduced social pleasure was a
better predictor of autistic traits than general pleasure. Together these
findings suggest that reduced social hedonic capacity is associated with autistic
traits in the general population and should be included in conceptualizations of
the BAP.
PMID- 27199880
TI - Introduction of the Utrecht Tasks for Attention in Toddlers Using Eye Tracking
(UTATE): A Pilot Study.
AB - Attention capacities underlie everyday functioning from an early age onwards.
Little is known about attentional processes at toddler age. A feasible assessment
of attention capacities at toddler age is needed to allow further study of
attention development. In this study, a test battery is piloted that consists of
four tasks which intend to measure the attention systems orienting, alerting, and
executive attention: the Utrecht Tasks of Attention in Toddlers using Eye
tracking [UTATE]. The UTATE assesses looking behavior that may reflect visual
attention capacities, by using eye-tracking methods. This UTATE was studied in 16
Dutch 18-month-old toddlers. Results showed that the instrument is feasible and
generates good quality data. A first indication of sufficient reliability was
found for most of the variables. It is concluded that the UTATE can be used in
further studies. Further evaluation of the reliability and validity of the
instrument in larger samples is worthwhile.
PMID- 27199881
TI - A Reverse Stroop Task with Mouse Tracking.
AB - In a reverse Stroop task, observers respond to the meaning of a color word
irrespective of the color in which the word is printed-for example, the word red
may be printed in the congruent color (red), an incongruent color (e.g., blue),
or a neutral color (e.g., white). Although reading of color words in this task is
often thought to be neither facilitated by congruent print colors nor interfered
with incongruent print colors, this interference has been detected by using a
response method that does not give any bias in favor of processing of word
meanings or processing of print colors. On the other hand, evidence for the
presence of facilitation in this task has been scarce, even though this
facilitation is theoretically possible. By modifying the task such that
participants respond to a stimulus color word by pointing to a corresponding
response word on a computer screen with a mouse, the present study investigated
the possibility that not only interference but also facilitation would take place
in a reverse Stroop task. Importantly, in this study, participants' responses
were dynamically tracked by recording the entire trajectories of the mouse.
Arguably, this method provided richer information about participants' performance
than traditional measures such as reaction time and accuracy, allowing for more
detailed (and thus potentially more sensitive) investigation of facilitation and
interference in the reverse Stroop task. These trajectories showed that the
mouse's approach toward correct response words was significantly delayed by
incongruent print colors but not affected by congruent print colors,
demonstrating that only interference, not facilitation, was present in the
current task. Implications of these findings are discussed within a theoretical
framework in which the strength of association between a task and its response
method plays a critical role in determining how word meanings and print colors
interact in reverse Stroop tasks.
PMID- 27199882
TI - Role of Alpha-Band Oscillations in Spatial Updating across Whole Body Motion.
AB - When moving around in the world, we have to keep track of important locations in
our surroundings. In this process, called spatial updating, we must estimate our
body motion and correct representations of memorized spatial locations in
accordance with this motion. While the behavioral characteristics of spatial
updating across whole body motion have been studied in detail, its neural
implementation lacks detailed study. Here we use electroencephalography (EEG) to
distinguish various spectral components of this process. Subjects gazed at a
central body-fixed point in otherwise complete darkness, while a target was
briefly flashed, either left or right from this point. Subjects had to remember
the location of this target as either moving along with the body or remaining
fixed in the world while being translated sideways on a passive motion platform.
After the motion, subjects had to indicate the remembered target location in the
instructed reference frame using a mouse response. While the body motion, as
detected by the vestibular system, should not affect the representation of body
fixed targets, it should interact with the representation of a world-centered
target to update its location relative to the body. We show that the initial
presentation of the visual target induced a reduction of alpha band power in
contralateral parieto-occipital areas, which evolved to a sustained increase
during the subsequent memory period. Motion of the body led to a reduction of
alpha band power in central parietal areas extending to lateral parieto-temporal
areas, irrespective of whether the targets had to be memorized relative to world
or body. When updating a world-fixed target, its internal representation shifts
hemispheres, only when subjects' behavioral responses suggested an update across
the body midline. Our results suggest that parietal cortex is involved in both
self-motion estimation and the selective application of this motion information
to maintaining target locations as fixed in the world or fixed to the body.
PMID- 27199884
TI - Effects of Primary Blast Overpressure on Retina and Optic Tract in Rats.
AB - Blast has been the leading cause of injury, particularly traumatic brain injury
and visual system injury, in combat operations in Iraq and Afghanistan. We
determined the effect of shock tube-generated primary blast on retinal
electrophysiology and on retinal and brain optic tract histopathology in a rat
model. The amplitude of a- and b-waves on the electroretinogram (ERG) for both
right and left eyes were measured prior to a battlefield simulation Friedlander
type blast wave and on 1, 7, and 14 days thereafter. Histopathologic findings of
the right and left retina and the right and left optic tracts (2.8 mm postoptic
chiasm) were evaluated 14 days after the blast. For two experiments in which the
right eye was oriented to the blast, the amplitude of ERG a- and b-waves at 7
days post blast on the right side but not on the left side was diminished
compared to that of sham animals (P = 0.005-0.01) Histopathologic injury scores
at 14 days post blast for the right retina but not the left retina were higher
than for sham animals (P = 0.01), and histopathologic injury scores at 14 days
for both optic tracts were markedly higher than for shams (P < 0.0001). Exposure
of one eye to a blast wave, comparable to that causing human injury, produced
injury to the retina as determined by ERG and histopathology, and to both
postchiasmatic optic tracts as determined by histopathology. This model may be
useful for analyzing the effect of therapeutic interventions on retinal damage
due to primary blast waves.
PMID- 27199886
TI - A 1-Diopter Vertical Prism Induces a Decrease of Head Rotation: A Pilot
Investigation.
AB - Clinical studies in non-specific chronic arthralgia and back pain seem to
indicate an association between vertical heterophoria (VH - latent vertical
retinal misalignment) and asymmetrical head rotation. Such clinical observations
suggest a link between VH and head rotation, but this was never tested. The
purpose of this study was to simulate a VH in healthy subjects and examine its
influence on the amplitude of active head rotation during 3D motion capture in
upright stance. Subjects were asked to rotate their head three times from the
straight ahead position and then to the right, back to straight ahead, to the
left, and back to the straight ahead again. Three randomized conditions were run:
normal viewing, with a 1-diopter prism base down on the dominant eye, or the non
dominant eye. The most important finding is that the experimental VH whichever
the eye with the prism induces a significant decrease in the mean angle of head
rotation compared to the normal viewing condition. This decrease was significant
for rotation to the left. We suggest that the prism-induced VH modifies the
reference posture and thereby affects head rotation; further studies are needed
to confirm this effect and to extend to other types of dynamic activities.
PMID- 27199885
TI - Neuroimaging Assessment of Cerebrovascular Reactivity in Concussion: Current
Concepts, Methodological Considerations, and Review of the Literature.
AB - Concussion is a form of traumatic brain injury (TBI) that presents with a wide
spectrum of subjective symptoms and few objective clinical findings. Emerging
research suggests that one of the processes that may contribute to concussion
pathophysiology is dysregulation of cerebral blood flow (CBF) leading to a
mismatch between CBF delivery and the metabolic needs of the injured brain.
Cerebrovascular reactivity (CVR) is defined as the change in CBF in response to a
measured vasoactive stimulus. Several magnetic resonance imaging (MRI) techniques
can be used as a surrogate measure of CBF in clinical and laboratory studies. In
order to provide an accurate assessment of CVR, these sequences must be combined
with a reliable, reproducible vasoactive stimulus that can manipulate CBF.
Although CVR imaging currently plays a crucial role in the diagnosis and
management of many cerebrovascular diseases, only recently have studies begun to
apply this assessment tool in patients with concussion. In order to evaluate the
quality, reliability, and relevance of CVR studies in concussion, it is important
that clinicians and researchers have a strong foundational understanding of the
role of CBF regulation in health, concussion, and more severe forms of TBI, and
an awareness of the advantages and limitations of currently available CVR
measurement techniques. Accordingly, in this review, we (1) discuss the role of
CVR in TBI and concussion, (2) examine methodological considerations for MRI
based measurement of CVR, and (3) provide an overview of published CVR studies in
concussion patients.
PMID- 27199887
TI - A Case of Acute Motor Axonal Neuropathy Mimicking Brain Death and Review of the
Literature.
AB - We describe a case report of fulminant Guillain-Barre syndrome (GBS) mimicking
brain death. A previously healthy 60-year-old male was admitted to the
neurointensive care unit after developing rapidly progressive weakness and
respiratory failure. On presentation, the patient was found to have absent
brainstem and spinal cord reflexes resembling that of brain death. Acute motor
axonal neuropathy, a subtype of GBS, was diagnosed by cerebrospinal fluid and
nerve conduction velocity testing. An electroencephalogram showed that the
patient had normal, appropriately reactive brain function. Transcranial Doppler
(TCD) ultrasound showed appropriate blood flow to the brain. GBS rarely presents
with weakness so severe as to mimic brain death. This article provides a review
of similar literature. This case demonstrates the importance of performing a
proper brain death examination, which includes evaluation for irreversible
cerebral injury, exclusion of any confounding conditions, and performance of
tests such as electroencephalography and TCDs when uncertainty exists about the
reliability of the clinical exam.
PMID- 27199888
TI - ACTH Prevents Deficits in Fear Extinction Associated with Early Life Seizures.
AB - OBJECTIVE: Early life seizures (ELS) are often associated with cognitive and
psychiatric comorbidities that are detrimental to quality of life. In a rat model
of ELS, we explored long-term cognitive outcomes in adult rats. Using ACTH, an
endogeneous HPA-axis hormone given to children with severe epilepsy, we sought to
prevent cognitive deficits. Through comparisons with dexamethasone, we sought to
dissociate the corticosteroid effects of ACTH from other potential mechanisms of
action. RESULTS: Although rats with a history of ELS were able to acquire a
conditioned fear learning paradigm and controls, these rats had significant
deficits in their ability to extinguish fearful memories. ACTH treatment did not
alter any seizure parameters but nevertheless was able to significantly improve
this fear extinction, while dexamethasone treatment during the same period did
not. This ACTH effect was specific for fear extinction deficits and not for
spatial learning deficits in a water maze. Additionally, ACTH did not alter
seizure latency or duration suggesting that cognitive and seizure outcomes may be
dissociable. Expression levels of melanocortin receptors, which bind ACTH, were
found to be significantly lower in animals that had experienced ELS than in
control animals, potentially implicating central melanocortin receptor
dysregulation in the effects of ELS, and suggesting a mechanism of action for
ACTH. INTERPRETATION: Taken together, these data suggest that early treatment
with ACTH can have significant long-term consequences for cognition in animals
with a history of ELS independently of seizure cessation and may act in part
through a CNS melanocortin receptor pathway.
PMID- 27199889
TI - Transcranial Direct Current Stimulation for Treatment of Childhood
Pharmacoresistant Lennox-Gastaut Syndrome: A Pilot Study.
AB - BACKGROUND: Lennox-Gastaut syndrome (LGS) is a severe childhood epileptic
syndrome with high pharmacoresistance. The treatment outcomes are still
unsatisfied. Our previous study of cathodal transcranial direct current
stimulation (tDCS) in children with focal epilepsy showed significant reduction
in epileptiform discharges. We hypothesized that cathodal tDCS when applied over
the primary motor cortex (M1) combined with pharmacologic treatment will be more
effective for reducing seizure frequency in patients with LGS than pharmacologic
treatment alone. MATERIALS AND METHODS: Study participants were randomized to
receive either (1) pharmacologic treatment with five consecutive days of 2 mA
cathodal tDCS over M1 for 20 min or (2) pharmacologic treatment plus sham tDCS.
Measures of seizure frequency and epileptic discharges were performed before
treatment and again immediately post-treatment and 1-, 2-, 3-, and 4-week follow
up. RESULT: Twenty-two patients with LGS were enrolled. Participants assigned to
the active tDCS condition reported significantly more pre- to post-treatment
reductions in seizure frequency and epileptic discharges that were sustained for
3 weeks after treatment. CONCLUSION: Five consecutive days of cathodal tDCS over
M1 combined with pharmacologic treatment appears to reduce seizure frequency and
epileptic discharges. Further studies of the potential mechanisms of tDCS in the
LGS are warranted. TRIAL REGISTRATION: ClinicalTrials.gov, NCT02731300
(https://register.clinicaltrials.gov).
PMID- 27199883
TI - Rodent Hypoxia-Ischemia Models for Cerebral Palsy Research: A Systematic Review.
AB - Cerebral palsy (CP) is a complex multifactorial disorder, affecting approximately
2.5-3/1000 live term births, and up to 22/1000 prematurely born babies. CP
results from injury to the developing brain incurred before, during, or after
birth. The most common form of this condition, spastic CP, is primarily
associated with injury to the cerebral cortex and subcortical white matter as
well as the deep gray matter. The major etiological factors of spastic CP are
hypoxia/ischemia (HI), occurring during the last third of pregnancy and around
birth age. In addition, inflammation has been found to be an important factor
contributing to brain injury, especially in term infants. Other factors,
including genetics, are gaining importance. The classic Rice-Vannucci HI model
(in which 7-day-old rat pups undergo unilateral ligation of the common carotid
artery followed by exposure to 8% oxygen hypoxic air) is a model of neonatal
stroke that has greatly contributed to CP research. In this model, brain damage
resembles that observed in severe CP cases. This model, and its numerous
adaptations, allows one to finely tune the injury parameters to mimic, and
therefore study, many of the pathophysiological processes and conditions observed
in human patients. Investigators can recreate the HI and inflammation, which
cause brain damage and subsequent motor and cognitive deficits. This model
further enables the examination of potential approaches to achieve neural repair
and regeneration. In the present review, we compare and discuss the advantages,
limitations, and the translational value for CP research of HI models of
perinatal brain injury.
PMID- 27199890
TI - Stroke-Like Presentation Following Febrile Seizure in a Patient with 1q43q44
Deletion Syndrome.
AB - Hemiconvulsion-hemiplegia-epilepsy syndrome (HHE) is a rare outcome of prolonged
hemiconvulsion that is followed by diffuse unilateral hemispheric edema,
hemiplegia, and ultimately hemiatrophy of the affected hemisphere and epilepsy.
Here, we describe the case of a 3-year-old male with a 1;3 translocation leading
to a terminal 1q43q44 deletion and a terminal 3p26.1p26.3 duplication that
developed HHE after a prolonged febrile seizure and discuss the pathogenesis of
HHE in the context of the patient's complex genetic background.
PMID- 27199892
TI - Primary Hypothyroidism with Markedly High Prolactin.
AB - Secondary pituitary enlargement due to primary hypothyroidism is not a common
manifestation. The loss of thyroxin feedback inhibition in primary hypothyroidism
causes overproduction of thyrotropin-releasing-hormone (TRH), which results in
secondary pituitary enlargement. TRH has a weak stimulatory effect on the
lactotroph cells of the pituitary, so a mild to moderate increase in prolactin
(PRL) levels is expected. We report the case of a 67-year-old female who
presented with a large pituitary mass and a very high level of TSH in association
with a significant rise in PRL level. In this case, diagnosing a sellar mass was
challenging; it was difficult to distinguish between pituitary prolactinoma and
primary hypothyroidism with secondary pituitary hyperplasia. Thyroid hormone
replacement proved that this patient's hyperprolactinemia was due to hyperplasia
of the pituitary gland. As such, making the correct diagnosis and initiating
thyroid hormone therapy can prevent unnecessary treatment with dopamine agonists.
PMID- 27199891
TI - Bone Fragility in Turner Syndrome: Mechanisms and Prevention Strategies.
AB - Bone fragility is recognized as one of the major comorbidities in Turner syndrome
(TS). The mechanisms underlying bone impairment in affected patients are not
clearly elucidated, but estrogen deficiency and X-chromosomal abnormalities
represent important factors. Moreover, although many girls with TS undergo
recombinant growth hormone therapy to treat short stature, the efficacy of this
treatment on bone mineral density is controversial. The present review will focus
on bone fragility in subjects with TS, providing an overview on the pathogenic
mechanisms and some prevention strategies.
PMID- 27199893
TI - RFRP Neurons - The Doorway to Understanding Seasonal Reproduction in Mammals.
AB - Seasonal control of reproduction is critical for the perpetuation of species
living in temperate zones that display major changes in climatic environment and
availability of food resources. In mammals, seasonal cues are mainly provided by
the annual change in the 24-h light/dark ratio (i.e., photoperiod), which is
translated into the nocturnal production of the pineal hormone melatonin. The
annual rhythm in this melatonin signal acts as a synchronizer ensuring that
breeding occurs when environmental conditions favor survival of the offspring.
Although specific mechanisms might vary among seasonal species, the hypothalamic
RF (Arg-Phe) amide-related peptides (RFRP-1 and -3) are believed to play a
critical role in the central control of seasonal reproduction and in all seasonal
species investigated, the RFRP system is persistently inhibited in short
photoperiod. Central chronic administration of RFRP-3 in short day-adapted male
Syrian hamsters fully reactivates the reproductive axis despite photoinhibitory
conditions, which highlights the importance of the seasonal changes in RFRP
expression for proper regulation of the reproductive axis. The acute effects of
RFRP peptides, however, depend on species and photoperiod, and recent studies
point toward a different role of RFRP in regulating female reproductive activity.
In this review, we summarize the recent advances made to understand the role and
underlying mechanisms of RFRP in the seasonal control of reproduction, primarily
focusing on mammalian species.
PMID- 27199894
TI - Circadian Clocks, Stress, and Immunity.
AB - In mammals, molecular circadian clocks are present in most cells of the body, and
this circadian network plays an important role in synchronizing physiological
processes and behaviors to the appropriate time of day. The hypothalamic
pituitary-adrenal endocrine axis regulates the response to acute and chronic
stress, acting through its final effectors - glucocorticoids - released from the
adrenal cortex. Glucocorticoid secretion, characterized by its circadian rhythm,
has an important role in synchronizing peripheral clocks and rhythms downstream
of the master circadian pacemaker in the suprachiasmatic nucleus. Finally,
glucocorticoids are powerfully anti-inflammatory, and recent work has implicated
the circadian clock in various aspects and cells of the immune system, suggesting
a tight interplay of stress and circadian systems in the regulation of immunity.
This mini-review summarizes our current understanding of the role of the
circadian clock network in both the HPA axis and the immune system, and discusses
their interactions.
PMID- 27199896
TI - Improving Control of Tuberculosis in Low-Burden Countries: Insights from
Mathematical Modeling.
AB - Tuberculosis control and elimination remains a challenge for public health even
in low-burden countries. New technology and novel approaches to case-finding,
diagnosis, and treatment are causes for optimism but they need to be used cost
effectively. This in turn requires improved understanding of the epidemiology of
TB and analysis of the effectiveness and cost-effectiveness of different
interventions. We describe the contribution that mathematical modeling can make
to understanding epidemiology and control of TB in different groups, guiding
improved approaches to public health interventions. We emphasize that modeling is
not a substitute for collecting data but rather is complementary to empirical
research, helping determine what are the key questions to address to maximize the
public-health impact of research, helping to plan studies, and making maximal use
of available data, particularly from surveillance, and observational studies. We
provide examples of how modeling and related empirical research inform policy and
discuss how a combination of these approaches can be used to address current
questions of key importance, including use of whole-genome sequencing, screening
and treatment for latent infection, and combating drug resistance.
PMID- 27199897
TI - Effects Due to Rhizospheric Soil Application of an Antagonistic Bacterial
Endophyte on Native Bacterial Community and Its Survival in Soil: A Case Study
with Pseudomonas aeruginosa from Banana.
AB - Effective translation of research findings from laboratory to agricultural fields
is essential for the success of biocontrol or growth promotion trials employing
beneficial microorganisms. The rhizosphere is to be viewed holistically as a
dynamic ecological niche comprising of diverse microorganisms including
competitors and noxious antagonists to the bio-inoculant. This study was
undertaken to assess the effects due to the soil application of an endophytic
bacterium with multiple pathogen antagonistic potential on native bacterial
community and its sustenance in agricultural soil. Pseudomonas aeruginosa was
employed as a model system considering its frequent isolation as an endophyte,
wide antagonistic effects reported against different phytopathogens and soil
pests, and that the species is a known human pathogen which makes its usage in
agriculture precarious. Employing the strain 'GNS.13.2a' from banana, its
survival in field soil and the effects upon soil inoculation were investigated by
monitoring total culturable bacterial fraction as the representative indicator of
soil microbial community. Serial dilution plating of uninoculated control versus
P. aeruginosa inoculated soil from banana rhizosphere indicated a significant
reduction in native bacterial cfu soon after inoculation compared with control
soil as assessed on cetrimide- nalidixic acid selective medium against nutrient
agar. Sampling on day-4 showed a significant reduction in P. aeruginosa cfu in
inoculated soil and a continuous dip thereafter registering >99% reduction within
1 week while the native bacterial population resurged with cfu restoration on par
with control. This was validated in contained trials with banana plants.
Conversely, P. aeruginosa showed static cfu or proliferation in axenic-soil.
Lateral introduction of soil microbiome in P. aeruginosa established soil under
axenic conditions or its co-incubation with soil microbiota in suspension
indicated significant adverse effects by native microbial community. Direct agar
plate challenge assays with individual environmental bacterial isolates displayed
varying interactive or antagonistic effects. In effect, the application of P.
aeruginosa in rhizospheric soil did not serve any net benefit in terms of
sustained survival. Conversely, it caused a disturbance to the native soil
bacterial community. The findings highlight the need for monitoring the bio
inoculant(s) in field-soil and assessing the interactive effects with native
microbial community before commercial recommendation. varying interactive or
antagonistic effects. In effect, the application of P. aeruginosa in rhizospheric
soil did not serve any net benefit in terms of sustained survival. Conversely, it
caused a disturbance to the native soil bacterial community. The findings
highlight the need for monitoring the bio-inoculant(s) in field-soil and
assessing the interactive effects with native microbial community before
commercial recommendation.
PMID- 27199895
TI - The Role of Melatonin as a Hormone and an Antioxidant in the Control of Fish
Reproduction.
AB - Reproduction in most fish is seasonal or periodic, and the spawning occurs in an
appropriate season to ensure maximum survival of the offspring. The sequence of
reproductive events in an annual cycle is largely under the control of a species
specific endogenous timing system, which essentially relies on a well-equipped
physiological response mechanism to changing environmental cues. The duration of
solar light or photoperiod is one of the most predictable environmental signals
used by a large number of animals including fish to coordinate their seasonal
breeding. In vertebrates, the pineal gland is the major photoneuroendocrine part
of the brain that rhythmically synthesizes and releases melatonin (N-acetyl-5
methoxytryptamine) into the circulation in synchronization with the environmental
light-dark cycle. Past few decades witnessed an enormous progress in
understanding the mechanisms by which melatonin regulates seasonal reproduction
in fish and in other vertebrates. Most studies emphasized hormonal actions of
melatonin through its high-affinity, pertussis toxin-sensitive G-protein (guanine
nucleotide-binding protein)-coupled receptors on the hypothalamus-pituitary-gonad
(HPG) axis of fish. However, the discovery that melatonin due to its lipophilic
nature can easily cross the plasma membrane of all cells and may act as a potent
scavenger of free radicals and stimulant of different antioxidants added a new
dimension to the idea explaining mechanisms of melatonin actions in the
regulation of ovarian functions. The basic concept on the actions of melatonin as
an antioxidant emerged from mammalian studies. Recently, however, some new
studies clearly suggested that melatonin, apart from playing the role of a
hormone, may also be associated with the reduction in oxidative stress to augment
ovarian functions during spawning. This review thus aims to bring together the
current knowledge on the role of melatonin as a hormone as well as an antioxidant
in the control of fish reproduction and shape the current working hypotheses
supported by recent findings obtained in carp or based on knowledge gathered in
mammalian and avian species. In essence, this review highlights potential actions
of melatonin as a hormone in determining temporal pattern of spawning and as an
antioxidant in regulating oocyte maturation at the downstream of HPG axis in
fish.
PMID- 27199899
TI - A Novel Extracellular Gut Symbiont in the Marine Worm Priapulus caudatus
(Priapulida) Reveals an Alphaproteobacterial Symbiont Clade of the Ecdysozoa.
AB - Priapulus caudatus (phylum Priapulida) is a benthic marine predatory worm with a
cosmopolitan distribution. In its digestive tract we detected symbiotic bacteria
that were consistently present in specimens collected over 8 years from three
sites at the Swedish west coast. Based on their 16S rRNA gene sequence, these
symbionts comprise a novel genus of the order Rickettsiales
(Alphaproteobacteria). Electron microscopy and fluorescence in situ hybridization
(FISH) identified them as extracellular, elongate bacteria closely associated
with the microvilli, for which we propose the name "Candidatus Tenuibacter
priapulorum". Within Rickettsiales, they form a phylogenetically well-defined,
family-level clade with uncultured symbionts of marine, terrestrial, and
freshwater arthropods. Cand. Tenuibacter priapulorum expands the host range of
this candidate family from Arthropoda to the entire Ecdysozoa, which may indicate
an evolutionary adaptation of this bacterial group to the microvilli-lined guts
of the Ecdysozoa.
PMID- 27199898
TI - Method for Indirect Quantification of CH4 Production via H2O Production Using
Hydrogenotrophic Methanogens.
AB - Hydrogenotrophic methanogens are an intriguing group of microorganisms from the
domain Archaea. Methanogens exhibit extraordinary ecological, biochemical, and
physiological characteristics and possess a huge biotechnological potential. Yet,
the only possibility to assess the methane (CH4) production potential of
hydrogenotrophic methanogens is to apply gas chromatographic quantification of
CH4. In order to be able to effectively screen pure cultures of hydrogenotrophic
methanogens regarding their CH4 production potential we developed a novel method
for indirect quantification of the volumetric CH4 production rate by measuring
the volumetric water production rate. This method was established in serum
bottles for cultivation of methanogens in closed batch cultivation mode. Water
production was estimated by determining the difference in mass increase in a
quasi-isobaric setting. This novel CH4 quantification method is an accurate and
precise analytical technique, which can be used to rapidly screen pure cultures
of methanogens regarding their volumetric CH4 evolution rate. It is a cost
effective alternative determining CH4 production of methanogens over CH4
quantification by using gas chromatography, especially if applied as a high
throughput quantification method. Eventually, the method can be universally
applied for quantification of CH4 production from psychrophilic, thermophilic and
hyperthermophilic hydrogenotrophic methanogens.
PMID- 27199900
TI - A Novel MSCRAMM Subfamily in Coagulase Negative Staphylococcal Species.
AB - Coagulase negative staphylococci (CoNS) are important opportunistic pathogens.
Staphylococcus epidermidis, a coagulase negative staphylococcus, is the third
leading cause of nosocomial infections in the US. Surface proteins like Microbial
Surface Components Recognizing Adhesive Matrix Molecules (MSCRAMMs) are major
virulence factors of pathogenic gram positive bacteria. Here, we identified a new
chimeric protein in S. epidermidis, that we call SesJ. SesJ represents a
prototype of a new subfamily of MSCRAMMs. Structural predictions show that SesJ
has structural features characteristic of a MSCRAMM along with a N-terminal
repeat region and an aspartic acid containing C-terminal repeat region, features
that have not been previously observed in staphylococcal MSCRAMMs but have been
found in other surface proteins from gram positive bacteria. We identified and
analyzed structural homologs of SesJ in three other CoNS. These homologs of SesJ
have an identical structural organization but varying sequence identities within
the domains. Using flow cytometry, we also show that SesJ is expressed
constitutively on the surface of a representative S. epidermidis strain, from
early exponential to stationary growth phase. Thus, SesJ is positioned to
interact with protein targets in the environment and plays a role in S.
epidermidis virulence.
PMID- 27199902
TI - Spatial and Temporal Profiling of Griseofulvin Production in Xylaria cubensis
Using Mass Spectrometry Mapping.
AB - A large portion of natural products research revolves around the discovery of
new, bioactive chemical entities; however, studies to probe the biological
purpose of such secondary metabolites for the host organism are often limited.
Mass spectrometry mapping of secondary metabolite biosynthesis in situ can be
used to probe a series of ecological questions about fungi that may be lost
through traditional natural products chemistry extraction protocols. A
griseofulvin-producing fungal culture of the Xylariaceae family, isolated as an
endophyte of the tree Asimina triloba, was analyzed through a series of spatial
and temporal mapping experiments. This fungus produced unique fungal
characteristics, such as guttates and stroma, both of which were explored
spatially. The distribution of griseofulvin on this culture in isolation was
compared to its dispersal when grown in co-culture with a competing Penicillium
species via a droplet-based surface sampling system. The fungistatic properties
of griseofulvin were visualized, including the consequences for biosynthesis of
polyhydroxyanthraquinones in a rival culture.
PMID- 27199901
TI - Comparative Genetic Analyses of Human Rhinovirus C (HRV-C) Complete Genome from
Malaysia.
AB - Human rhinovirus-C (HRV-C) has been implicated in more severe illnesses than HRV
A and HRV-B, however, the limited number of HRV-C complete genomes (complete 5'
and 3' non-coding region and open reading frame sequences) has hindered the in
depth genetic study of this virus. This study aimed to sequence seven complete
HRV-C genomes from Malaysia and compare their genetic characteristics with the 18
published HRV-Cs. Seven Malaysian HRV-C complete genomes were obtained with newly
redesigned primers. The seven genomes were classified as HRV-C6, C12, C22, C23,
C26, C42, and pat16 based on the VP4/VP2 and VP1 pairwise distance threshold
classification. Five of the seven Malaysian isolates, namely, 3430-MY-10/C22,
8713-MY-10/C23, 8097-MY-11/C26, 1570-MY-10/C42, and 7383-MY-10/pat16 are the
first newly sequenced complete HRV-C genomes. All seven Malaysian isolates
genomes displayed nucleotide similarity of 63-81% among themselves and 63-96%
with other HRV-Cs. Malaysian HRV-Cs had similar putative immunogenic sites,
putative receptor utilization and potential antiviral sites as other HRV-Cs. The
genomic features of Malaysian isolates were similar to those of other HRV-Cs.
Negative selections were frequently detected in HRV-Cs complete coding sequences
indicating that these sequences were under functional constraint. The present
study showed that HRV-Cs from Malaysia have diverse genetic sequences but share
conserved genomic features with other HRV-Cs. This genetic information could
provide further aid in the understanding of HRV-C infection.
PMID- 27199903
TI - A Review on the Assessment of Stress Conditions for Simultaneous Production of
Microalgal Lipids and Carotenoids.
AB - Microalgal species are potential resource of both biofuels and high-value
metabolites, and their production is growth dependent. Growth parameters can be
screened for the selection of novel microalgal species that produce molecules of
interest. In this context our review confirms that, autotrophic and heterotrophic
organisms have demonstrated a dual potential, namely the ability to produce
lipids as well as value-added products (particularly carotenoids) under influence
of various physico-chemical stresses on microalgae. Some species of microalgae
can synthesize, besides some pigments, very-long-chain polyunsaturated fatty
acids (VL-PUFA,>20C) such as docosahexaenoic acid and eicosapentaenoic acid,
those have significant applications in food and health. Producing value-added by
products in addition to biofuels, fatty acid methyl esters (FAME), and lipids has
the potential to improve microalgae-based biorefineries by employing either the
autotrophic or the heterotrophic mode, which could be an offshoot of
biotechnology. The review considers the potential of microalgae to produce a
range of products and indicates future directions for developing suitable
criteria for choosing novel isolates through bioprospecting large gene pool of
microalga obtained from various habitats and climatic conditions.
PMID- 27199905
TI - Isolation and Characterization of the First Xylanolytic Hyperthermophilic
Euryarchaeon Thermococcus sp. Strain 2319x1 and Its Unusual Multidomain
Glycosidase.
AB - Enzymes from (hyper)thermophiles "Thermozymes" offer a great potential for
biotechnological applications. Thermophilic adaptation does not only provide
stability toward high temperature but is also often accompanied by a higher
resistance to other harsh physicochemical conditions, which are also frequently
employed in industrial processes, such as the presence of, e.g., denaturing
agents as well as low or high pH of the medium. In order to find new
thermostable, xylan degrading hydrolases with potential for biotechnological
application we used an in situ enrichment strategy incubating Hungate tubes with
xylan as the energy substrate in a hot vent located in the tidal zone of Kunashir
Island (Kuril archipelago). Using this approach a hyperthermophilic euryarchaeon,
designated Thermococcus sp. strain 2319x1, growing on xylan as sole energy and
carbon source was isolated. The organism grows optimally at 85 degrees C and pH
7.0 on a variety of natural polysaccharides including xylan, carboxymethyl
cellulose (CMC), amorphous cellulose (AMC), xyloglucan, and chitin. The protein
fraction extracted from the cells surface with Tween 80 exhibited endoxylanase,
endoglucanase and xyloglucanase activities. The genome of Thermococcus sp. strain
2319x1 was sequenced and assembled into one circular chromosome. Within the newly
sequenced genome, a gene, encoding a novel type of glycosidase (143 kDa) with a
unique five-domain structure, was identified. It consists of three glycoside
hydrolase (GH) domains and two carbohydrate-binding modules (CBM) with the domain
order GH5-12-12-CBM2-2 (N- to C-terminal direction). The full length protein, as
well as truncated versions, were heterologously expressed in Escherichia coli and
their activity was analyzed. The full length multidomain glycosidase (MDG) was
able to hydrolyze various polysaccharides, with the highest activity for barley
beta-glucan (beta- 1,3/1,4-glucoside), followed by that for CMC (beta-1,4
glucoside), cellooligosaccharides and galactomannan. The results reported here
indicate that the modular MDG structure with multiple glycosidase and
carbohydrate-binding domains not only extends the substrate spectrum, but also
seems to allow the degradation of partially soluble and insoluble polymers in a
processive manner. This report highlights the great potential in a multi-pronged
approach consisting of a combined in situ enrichment, (comparative) genomics, and
biochemistry strategy for the screening for novel enzymes of biotechnological
relevance.
PMID- 27199904
TI - Biologically Based Methods for Control of Fumonisin-Producing Fusarium Species
and Reduction of the Fumonisins.
AB - Infection by the fumonisin-producing Fusarium spp. and subsequent fumonisin
contamination of maize adversely affect international trade and economy with
deleterious effects on human and animal health. In developed countries high
standards of the major food suppliers and retailers are upheld and regulatory
controls deter the importation and local marketing of fumonisin-contaminated food
products. In developing countries regulatory measures are either lacking or
poorly enforced, due to food insecurity, resulting in an increased mycotoxin
exposure. The lack and poor accessibility of effective and environmentally safe
control methods have led to an increased interest in practical and biological
alternatives to reduce fumonisin intake. These include the application of natural
resources, including plants, microbial cultures, genetic material thereof, or
clay minerals pre- and post-harvest. Pre-harvest approaches include breeding for
resistant maize cultivars, introduction of biocontrol microorganisms, application
of phenolic plant extracts, and expression of antifungal proteins and fumonisin
degrading enzymes in transgenic maize cultivars. Post-harvest approaches include
the removal of fumonisins by natural clay adsorbents and enzymatic degradation of
fumonisins through decarboxylation and deamination by recombinant
carboxylesterase and aminotransferase enzymes. Although, the knowledge base on
biological control methods has expanded, only a limited number of authorized
decontamination products and methods are commercially available. As many studies
detailed the use of natural compounds in vitro, concepts in reducing fumonisin
contamination should be developed further for application in planta and in the
field pre-harvest, post-harvest, and during storage and food-processing. In
developed countries an integrated approach, involving good agricultural
management practices, hazard analysis and critical control point (HACCP)
production, and storage management, together with selected biologically based
treatments, mild chemical and physical treatments could reduce fumonisin
contamination effectively. In rural subsistence farming communities, simple,
practical, and culturally acceptable hand-sorting, maize kernel washing, and
dehulling intervention methods proved to be effective as a last line of defense
for reducing fumonisin exposure. Biologically based methods for control of
fumonisin-producing Fusarium spp. and decontamination of the fumonisins could
have potential commercial application, while simple and practical intervention
strategies could also impact positively on food safety and security, especially
in rural populations reliant on maize as a dietary staple.
PMID- 27199906
TI - The Vitamin B1 and B12 Required by the Marine Dinoflagellate Lingulodinium
polyedrum Can be Provided by its Associated Bacterial Community in Culture.
AB - In this study we established the B1 and B12 vitamin requirement of the
dinoflagellate Lingulodinium polyedrum and the vitamin supply by its associated
bacterial community. In previous field studies the B1 and B12 demand of this
species was suggested but not experimentally verified. When the axenic vitamin un
supplemented culture (B-ns) of L. polyedrum was inoculated with a coastal
bacterial community, the dinoflagellate's vitamin growth limitation was overcome,
reaching the same growth rates as the culture growing in vitamin B1B7B12
supplemented (B-s) medium. Measured B12 concentrations in the B-s and B-ns
cultures were both higher than typical coastal concentrations and B12 in the B-s
culture was higher than in the B-ns culture. In both B-s and B-ns cultures, the
probability of dinoflagellate cells having bacteria attached to the cell surface
was similar and in both cultures an average of six bacteria were attached to each
dinoflagellate cell. In the B-ns culture the free bacterial community showed
significantly higher cell abundance suggesting that unattached bacteria supplied
the vitamins. The fluorescence in situ hybridization (FISH) protocol allowed the
quantification and identification of three bacterial groups in the same samples
of the free and attached epibiotic bacteria for both treatments. The relative
composition of these groups was not significantly different and was dominated by
Alphaproteobacteria (>89%). To complement the FISH counts, 16S rDNA sequencing
targeting the V3-V4 regions was performed using Illumina-MiSeq technology. For
both vitamin amendments, the dominant group found was Alphaproteobacteria similar
to FISH, but the percentage of Alphaproteobacteria varied between 50 and 95%.
Alphaproteobacteria were mainly represented by Marivita sp., a member of the
Roseobacter clade, followed by the Gammaproteobacterium Marinobacter flavimaris.
Our results show that L. polyedrum is a B1 and B12 auxotroph, and acquire both
vitamins from the associated bacterial community in sufficient quantity to
sustain the maximum growth rate.
PMID- 27199907
TI - Biodegradation of Mycotoxins: Tales from Known and Unexplored Worlds.
AB - Exposure to mycotoxins, secondary metabolites produced by fungi, may infer
serious risks for animal and human health and lead to economic losses. Several
approaches to reduce these mycotoxins have been investigated such as chemical
removal, physical binding, or microbial degradation. This review focuses on the
microbial degradation or transformation of mycotoxins, with specific attention to
the actual detoxification mechanisms of the mother compound. Furthermore, based
on the similarities in chemical structure between groups of mycotoxins and
environmentally recalcitrant compounds, known biodegradation pathways and
degrading organisms which hold promise for the degradation of mycotoxins are
presented.
PMID- 27199909
TI - Selenite Reduction by Anaerobic Microbial Aggregates: Microbial Community
Structure, and Proteins Associated to the Produced Selenium Spheres.
AB - Certain types of anaerobic granular sludge, which consists of microbial
aggregates, can reduce selenium oxyanions. To envisage strategies for removing
those oxyanions from wastewater and recovering the produced elemental selenium
(Se(0)), insights into the microbial community structure and synthesis of Se(0)
within these microbial aggregates are required. High-throughput sequencing showed
that Veillonellaceae (c.a. 20%) and Pseudomonadaceae (c.a.10%) were the most
abundant microbial phylotypes in selenite reducing microbial aggregates. The
majority of the Pseudomonadaceae sequences were affiliated to the genus
Pseudomonas. A distinct outer layer (~200 MUm) of selenium deposits indicated
that bioreduction occurred in the outer zone of the microbial aggregates. In that
outer layer, SEM analysis showed abundant intracellular and extracellular Se(0)
(nano)spheres, with some cells having high numbers of intracellular Se(0)
spheres. Electron tomography showed that microbial cells can harbor a single
large intracellular sphere that stretches the cell body. The Se(0) spheres
produced by the microorganisms were capped with organic material. X-ray
photoelectron spectroscopy (XPS) analysis of extracted Se(0) spheres, combined
with a mathematical approach to analyzing XPS spectra from biological origin,
indicated that proteins and lipids were components of the capping material
associated to the Se(0) spheres. The most abundant proteins associated to the
spheres were identified by proteomic analysis. Most of the proteins or peptide
sequences capping the Se(0) spheres were identified as periplasmic outer membrane
porins and as the cytoplasmic elongation factor Tu protein, suggesting an
intracellular formation of the Se(0) spheres. In view of these and previous
findings, a schematic model for the synthesis of Se(0) spheres by the
microorganisms inhabiting the granular sludge is proposed.
PMID- 27199911
TI - A Comprehensive Characterization of Simple Sequence Repeats in the Sequenced
Trichoderma Genomes Provides Valuable Resources for Marker Development.
AB - Members of genus Trichoderma are known worldwide for mycoparasitism. To gain a
better insight into the organization and evolution of their genomes, we used an
in silico approach to compare the occurrence, relative abundance and density of
SSRs in Trichoderma atroviride, T. harzianum, T. reesei, and T. virens. Our
analysis revealed that in all the four genome sequences studied, the occurrence,
relative abundance, and density of microsatellites varied and was not influenced
by genome sizes. The relative abundance and density of SSRs positively correlated
with the G + C content of their genomes. The maximum frequency of SSRs was
observed in the smallest genome of T. reesei whereas it was least in second
smallest genome of T. atroviride. Among different classes of repeats, the tri
nucleotide repeats were abundant in all the genomes and accounts for ~38%,
whereas hexa-nuceotide repeats were the least (~10.2%). Further evaluation of the
conservation of motifs in the transcript sequences shows a 49.5% conservation
among all the motifs. In order to study polymorphism in Trichoderma isolates, 12
polymorphic SSR markers were developed. Of the 12 markers, 6 markers are from T.
atroviride and remaining 6 belong to T. harzianum. SSR markers were found to be
more polymorphic from T. atroviride with an average polymorphism information
content value of 0.745 in comparison with T. harzianum (0.615). Twelve
polymorphic markers obtained in this study clearly demonstrate the utility of
newly developed SSR markers in establishing genetic relationships among different
isolates of Trichoderma.
PMID- 27199908
TI - Proteomic Stable Isotope Probing Reveals Biosynthesis Dynamics of Slow Growing
Methane Based Microbial Communities.
AB - Marine methane seep habitats represent an important control on the global flux of
methane. Nucleotide-based meta-omics studies outline community-wide metabolic
potential, but expression patterns of environmentally relevant proteins are
poorly characterized. Proteomic stable isotope probing (proteomic SIP) provides
additional information by characterizing phylogenetically specific, functionally
relevant activity in mixed microbial communities, offering enhanced detection
through system-wide product integration. Here we applied proteomic SIP to
(15)[Formula: see text] and CH4 amended seep sediment microcosms in an attempt to
track protein synthesis of slow-growing, low-energy microbial systems. Across all
samples, 3495 unique proteins were identified, 11% of which were (15)N-labeled.
Consistent with the dominant anaerobic oxidation of methane (AOM) activity
commonly observed in anoxic seep sediments, proteins associated with sulfate
reduction and reverse methanogenesis-including the ANME-2 associated
methylenetetrahydromethanopterin reductase (Mer)-were all observed to be actively
synthesized ((15)N-enriched). Conversely, proteins affiliated with putative
aerobic sulfur-oxidizing epsilon- and gammaproteobacteria showed a marked
decrease over time in our anoxic sediment incubations. The abundance and
phylogenetic range of (15)N-enriched methyl-coenzyme M reductase (Mcr) orthologs,
many of which exhibited novel post-translational modifications, suggests that
seep sediments provide niches for multiple organisms performing analogous
metabolisms. In addition, 26 proteins of unknown function were consistently
detected and actively expressed under conditions supporting AOM, suggesting that
they play important roles in methane seep ecosystems. Stable isotope probing in
environmental proteomics experiments provides a mechanism to determine protein
durability and evaluate lineage-specific responses in complex microbial
communities placed under environmentally relevant conditions. Our work here
demonstrates the active synthesis of a metabolically specific minority of
enzymes, revealing the surprising longevity of most proteins over the course of
an extended incubation experiment in an established, slow-growing, methane
impacted environmental system.
PMID- 27199910
TI - Genomic and Secondary Metabolite Analyses of Streptomyces sp. 2AW Provide Insight
into the Evolution of the Cycloheximide Pathway.
AB - The dearth of new antibiotics in the face of widespread antimicrobial resistance
makes developing innovative strategies for discovering new antibiotics critical
for the future management of infectious disease. Understanding the genetics and
evolution of antibiotic producers will help guide the discovery and
bioengineering of novel antibiotics. We discovered an isolate in Alaskan boreal
forest soil that had broad antimicrobial activity. We elucidated the
corresponding antimicrobial natural products and sequenced the genome of this
isolate, designated Streptomyces sp. 2AW. This strain illustrates the chemical
virtuosity typical of the Streptomyces genus, producing cycloheximide as well as
two other biosynthetically unrelated antibiotics, neutramycin, and hygromycin A.
Combining bioinformatic and chemical analyses, we identified the gene clusters
responsible for antibiotic production. Interestingly, 2AW appears dissimilar from
other cycloheximide producers in that the gene encoding the polyketide synthase
resides on a separate part of the chromosome from the genes responsible for
tailoring cycloheximide-specific modifications. This gene arrangement and our
phylogenetic analyses of the gene products suggest that 2AW holds an
evolutionarily ancestral lineage of the cycloheximide pathway. Our analyses
support the hypothesis that the 2AW glutaramide gene cluster is basal to the
lineage wherein cycloheximide production diverged from other glutarimide
antibiotics. This study illustrates the power of combining modern biochemical and
genomic analyses to gain insight into the evolution of antibiotic-producing
microorganisms.
PMID- 27199912
TI - Sequence-Based Characterization of Tn5801-Like Genomic Islands in Tetracycline
Resistant Staphylococcus pseudintermedius and Other Gram-positive Bacteria from
Humans and Animals.
AB - Antibiotic resistance in pathogens is often associated with mobile genetic
elements, such as genomic islands (GI) including integrative and conjugative
elements (ICEs). These can transfer resistance genes within and between bacteria
from humans and/or animals. The aim of this study was to investigate whether
Tn5801-like GIs carrying the tetracycline resistance gene, tet(M), are common in
Staphylococcus pseudintermedius from pets, and to do an overall sequences-based
characterization of Tn5801-like GIs detected in Gram-positive bacteria from
humans and animals. A total of 27 tetracycline-resistant S. pseudintermedius
isolates from Danish pets (1998-2005) were screened for tet(M) by PCR. Selected
isolates (13) were screened for GI- or ICE-specific genes (int Tn5801 or xis
Tn916 ) and their tet(M) gene was sequenced (Sanger-method). Long-range PCR
mappings and whole-genome-sequencing (Illumina) were performed for selected S.
pseudintermedius-isolates (seven and three isolates, respectively) as well as for
human S. aureus isolates (seven and one isolates, respectively) and one porcine
Enterococcus faecium isolate known to carry Tn5801-like GIs. All 27 S.
pseudintermedius were positive for tet(M). Out of 13 selected isolates, seven
contained Tn5801-like GIs and six contained Tn916-like ICEs. Two different Tn5801
like GI types were detected among S. pseudintermedius (Tn5801 and GI6287) - both
showed high similarity compared to GenBank sequences from human pathogens. Two
distinct Tn5801-like GI types were detected among the porcine E. faecium and
human S. aureus isolates (Tn6014 and GI6288). Tn5801-like GIs were detected in
GenBank-sequences from Gram-positive bacteria of human, animal or food origin
worldwide. Known Tn5801-like GIs were divided into seven types. The results
showed that Tn5801-like GIs appear to be relatively common in tetracycline
resistant S. pseudintermedius in Denmark. Almost identical Tn5801-like GIs were
identified in different Gram-positive species of pet and human origin, suggesting
that horizontal transfer of these elements has occurred between S.
pseudintermedius from pets and human pathogens, including S. aureus.
PMID- 27199913
TI - Functional Properties of Microorganisms in Fermented Foods.
AB - Fermented foods have unique functional properties imparting some health benefits
to consumers due to presence of functional microorganisms, which possess
probiotics properties, antimicrobial, antioxidant, peptide production, etc.
Health benefits of some global fermented foods are synthesis of nutrients,
prevention of cardiovascular disease, prevention of cancer, gastrointestinal
disorders, allergic reactions, diabetes, among others. The present paper is aimed
to review the information on some functional properties of the microorganisms
associated with fermented foods and beverages, and their health-promoting
benefits to consumers.
PMID- 27199914
TI - Metagenomics Reveals Pervasive Bacterial Populations and Reduced Community
Diversity across the Alaska Tundra Ecosystem.
AB - How soil microbial communities contrast with respect to taxonomic and functional
composition within and between ecosystems remains an unresolved question that is
central to predicting how global anthropogenic change will affect soil
functioning and services. In particular, it remains unclear how small-scale
observations of soil communities based on the typical volume sampled (1-2 g) are
generalizable to ecosystem-scale responses and processes. This is especially
relevant for remote, northern latitude soils, which are challenging to sample and
are also thought to be more vulnerable to climate change compared to temperate
soils. Here, we employed well-replicated shotgun metagenome and 16S rRNA gene
amplicon sequencing to characterize community composition and metabolic potential
in Alaskan tundra soils, combining our own datasets with those publically
available from distant tundra and temperate grassland and agriculture habitats.
We found that the abundance of many taxa and metabolic functions differed
substantially between tundra soil metagenomes relative to those from temperate
soils, and that a high degree of OTU-sharing exists between tundra locations.
Tundra soils were an order of magnitude less complex than their temperate
counterparts, allowing for near-complete coverage of microbial community richness
(~92% breadth) by sequencing, and the recovery of 27 high-quality, almost
complete (>80% completeness) population bins. These population bins,
collectively, made up to ~10% of the metagenomic datasets, and represented
diverse taxonomic groups and metabolic lifestyles tuned toward sulfur cycling,
hydrogen metabolism, methanotrophy, and organic matter oxidation. Several
population bins, including members of Acidobacteria, Actinobacteria, and
Proteobacteria, were also present in geographically distant (~100-530 km apart)
tundra habitats (full genome representation and up to 99.6% genome-derived
average nucleotide identity). Collectively, our results revealed that Alaska
tundra microbial communities are less diverse and more homogenous across spatial
scales than previously anticipated, and provided DNA sequences of abundant
populations and genes that would be relevant for future studies of the effects of
environmental change on tundra ecosystems.
PMID- 27199915
TI - Evolution of a Sigma Factor: An All-In-One of Gene Duplication, Horizontal Gene
Transfer, Purifying Selection, and Promoter Differentiation.
AB - Sigma factors are an essential part of bacterial gene regulation and have been
extensively studied as far as their molecular mechanisms and protein structure
are concerned. However, their molecular evolution, especially for the alternative
sigma factors, is poorly understood. Here, we analyze the evolutionary forces
that have shaped the rpoH sigma factors within the alphaproteobacteria. We found
that an ancient duplication gave rise to two major groups of rpoH sigma factors
and that after this event horizontal gene transfer (HGT) occurred in rpoH 1
group. We also noted that purifying selection has differentially affected
distinct parts of the gene; singularly, the gene segment that encodes the region
4.2, which interacts with the -35 motif of the RpoH-dependent genes, has been
under relaxed purifying selection. Furthermore, these two major groups are
clearly differentiated from one another regarding their promoter selectivity, as
rpoH 1 is under the transcriptional control of sigma(70) and sigma(32), whereas
rpoH 2 is under the transcriptional control of sigma(24). Our results suggest a
scenario in which HGT, gene loss, variable purifying selection and clear promoter
specialization occurred after the ancestral duplication event. More generally,
our study offers insights into the molecular evolution of alternative sigma
factors and highlights the importance of analyzing not only the coding regions
but also the promoter regions.
PMID- 27199916
TI - Development of Ruminal and Fecal Microbiomes Are Affected by Weaning But Not
Weaning Strategy in Dairy Calves.
AB - The nature of weaning, considered the most stressful and significant transition
experienced by dairy calves, influences the ability of a calf to adapt to the
dramatic dietary shift, and thus, can influence the severity of production losses
through the weaning transition. However, the effects of various feeding
strategies on the development of rumen or fecal microbiota across weaning are yet
to be examined. Here we characterized the pre- and post-weaning ruminal and fecal
microbiomes of Holstein dairy calves exposed to two different weaning strategies,
gradual (step-down) or abrupt. We describe the shifts toward a mature ruminant
state, a transition which is hastened by the introduction of the solid feeds
initiating ruminal fermentation. Additionally, we discuss the predicted
functional roles of these communities, which also appear to represent that of the
mature gastrointestinal system prior to weaning, suggesting functional maturity.
This assumed state of readiness also appeared to negate the effects of weaning
strategy on ruminal and fecal microbiomes and therefore, we conclude that the
shift in gastrointestinal microbiota may not account for the declines in gain and
intakes observed in calves during an abrupt weaning.
PMID- 27199917
TI - Co-cultivation of Aspergillus nidulans Recombinant Strains Produces an Enzymatic
Cocktail as Alternative to Alkaline Sugarcane Bagasse Pretreatment.
AB - Plant materials represent a strategic energy source because they can give rise to
sustainable biofuels through the fermentation of their carbohydrates. A clear
example of a plant-derived biofuel resource is the sugar cane bagasse exhibiting
60-80% of fermentable sugars in its composition. However, the current methods of
plant bioconversion employ severe and harmful chemical/physical pretreatments
raising biofuel cost production and environmental degradation. Replacing these
methods with co-cultivated enzymatic cocktails is an alternative. Here we propose
a pretreatment for sugarcane bagasse using a multi-enzymatic cocktail from the co
cultivation of four Aspergillus nidulans recombinant strains. The co-cultivation
resulted in the simultaneous production of GH51 arabinofuranosidase (AbfA), GH11
endo-1,4-xylanase (XlnA), GH43 endo-1,5-arabinanase (AbnA) and GH12 xyloglucan
specific endo-beta-1,4-glucanase (XegA). This core set of recombinant enzymes was
more efficient than the alternative alkaline method in maintaining the cellulose
integrity and exposing this cellulose to the following saccharification process.
Thermogravimetric and differential thermal analysis revealed residual byproducts
on the alkali pretreated biomass, which were not found in the enzymatic
pretreatment. Therefore, the enzymatic pretreatment was residue-free and seemed
to be more efficient than the applied alkaline method, which makes it suitable
for bioethanol production.
PMID- 27199918
TI - Effect of Galacto-Oligosaccharides: Maltodextrin Matrices on the Recovery of
Lactobacillus plantarum after Spray-Drying.
AB - In this work maltodextrins were added to commercial galacto-oligosaccharides
(GOS) in a 1:1 ratio and their thermophysical characteristics were analyzed.
GOS:MD solutions were then used as matrices during spray-drying of Lactobacillus
plantarum CIDCA 83114. The obtained powders were equilibrated at different
relative humidities (RH) and stored at 5 and 20 degrees C for 12 weeks, or at 30
degrees C for 6 weeks. The Tgs of GOS:MD matrices were about 20-30 degrees C
higher than those of GOS at RH within 11 and 52%. A linear relation between the
spin-spin relaxation time (T2) and T-Tg parameter was observed for GOS:MD
matrices equilibrated at 11, 22, 33, and 44% RH at 5, 20, and 30 degrees C. Spray
drying of L. plantarum CIDCA 83114 in GOS:MD matrices allowed the recovery of 93%
microorganisms. In contrast, only 64% microorganisms were recovered when no GOS
were included in the dehydration medium. Survival of L. plantarum CIDCA 83114
during storage showed the best performance for bacteria stored at 5 degrees C. In
a further step, the slopes of the linear regressions provided information about
the rate of microbial inactivation for each storage condition (k values). This
information can be useful to calculate the shelf-life of spray-dried starters
stored at different temperatures and RH. Using GOS:MD matrices as a dehydration
medium enhanced the recovery of L. plantarum CIDCA 83114 after spray-drying. This
strategy allowed for the first time the spray-drying stabilization of a
potentially probiotic strain in the presence of GOS.
PMID- 27199920
TI - Antibiotic Resistance Patterns of Pseudomonas spp. Isolated from the River
Danube.
AB - Spread and persistence of antibiotic resistance pose a severe threat to human
health, yet there is still lack of knowledge about reservoirs of antibiotic
resistant bacteria in the environment. We took the opportunity of the Joint
Danube Survey 3 (JDS3), the world's biggest river research expedition of its kind
in 2013, to analyse samples originating from different sampling points along the
whole length of the river. Due to its high clinical relevance, we concentrated on
the characterization of Pseudomonas spp. and evaluated the resistance profiles of
Pseudomonas spp. which were isolated from eight sampling points. In total, 520
Pseudomonas isolates were found, 344 (66.0%) isolates were identified as
Pseudomonas putida, and 141 (27.1%) as Pseudomonas fluorescens, all other
Pseudomonas species were represented by less than five isolates, among those two
P. aeruginosa isolates. Thirty seven percent (37%) of all isolated Pseudomonas
species showed resistance to at least one out of 10 tested antibiotics. The most
common resistance was against meropenem (30.4%/158 isolates)
piperacillin/tazobactam (10.6%/55 isolates) and ceftazidime (4.2%/22 isolates).
16 isolates (3.1%/16 isolates) were multi-resistant. For each tested antibiotic
at least one resistant isolate could be detected. Sampling points from the upper
stretch of the River Danube showed more resistant isolates than downriver. Our
results suggest that antibiotic resistance can be acquired by and persists even
in Pseudomonas species that are normally not in direct contact with humans. A
possible scenario is that these bacteria provide a reservoir of antibiotic
resistance genes that can spread to related human pathogens by horizontal gene
transfer.
PMID- 27199921
TI - Characterization of the Deamination Coupled with Sliding along DNA of Anti-HIV
Factor APOBEC3G on the Basis of the pH-Dependence of Deamination Revealed by Real
Time NMR Monitoring.
AB - Human APOBEC3G (A3G) is an antiviral factor that inactivates HIV. The C-terminal
domain of A3G (A3G-CTD) deaminates cytosines into uracils within single-stranded
DNA (ssDNA), which is reverse-transcribed from the viral RNA genome. The
deaminase activity of A3G is highly sequence-specific; the third position
(underlined) of a triplet cytosine (CCC) hotspot is converted into CCU. A3G
deaminates a CCC that is located close to the 5' end of ssDNA more effectively
than ones that are less close to the 5' end, so-called 3' -> 5' polarity. We had
developed an NMR method that can be used to analyze the deamination reaction in
real-time. Using this method, we previously showed that 3' -> 5' polarity can be
explained rationally by A3G-CTD's nonspecific ssDNA-binding and sliding direction
dependent deamination activities. We then demonstrated that the phosphate
backbone is important for A3G-CTD to slide on the ssDNA and to exert the 3' -> 5'
polarity, probably due to an electrostatic intermolecular interaction. In this
study, we investigate the pH effects on the structure, deaminase activity, and 3'
-> 5' polarity of A3G-CTD. Firstly, A3G-CTD was shown to retain the native
structure in the pH range of 4.0-10.5 by CD spectroscopy. Next, deamination
assaying involving real-time NMR spectroscopy for 10-mer ssDNA containing a
single CCC revealed that A3G-CTD's deaminase activity decreases as the pH
increases in the range of pH 6.5-12.7. This is explained by destabilization of
the complex between A3G-CTD and ssDNA due to the weakened electrostatic
interaction with the increase in pH. Finally, deamination assaying for 38-mer
ssDNA having two CCC hotspots connected by a long poly-adenine linker showed that
A3G-CTD retains the same pH deaminase activity preference toward each CCC as that
toward the CCC of the 10-mer DNA. Importantly, the 3' -> 5' polarity turned out
to increase as the pH decreases in the range of 6.5-8.0. This suggests that A3G
CTD tends to continue sliding without abortion at lower pH, while A3G-CTD tends
to dissociate from ssDNA during sliding at higher pH due to the weakened
electrostatic interaction.
PMID- 27199922
TI - Antimicrobial Susceptibility Profiles of Human Campylobacter jejuni Isolates and
Association with Phylogenetic Lineages.
AB - Campylobacter jejuni is a zoonotic pathogen and the most common bacterial cause
of human gastroenteritis worldwide. With the increase of antibiotic resistance to
fluoroquinolones and macrolides, the drugs of choice for treatment, C. jejuni was
recently classified as a serious antimicrobial resistant threat. Here, we
characterized 94 C. jejuni isolates collected from patients at four Michigan
hospitals in 2011 and 2012 to determine the frequency of resistance and
association with phylogenetic lineages. The prevalence of resistance to
fluoroquinolones (19.1%) and macrolides (2.1%) in this subset of C. jejuni
isolates from Michigan was similar to national reports. High frequencies of
fluoroquinolone-resistant C. jejuni isolates, however, were recovered from
patients with a history of foreign travel. A high proportion of these resistant
isolates were classified as multilocus sequence type (ST)-464, a fluoroquinolone
resistant lineage that recently emerged in Europe. A significantly higher
prevalence of tetracycline-resistant C. jejuni was also found in Michigan and
resistant isolates were more likely to represent ST-982, which has been
previously recovered from ruminants and the environment in the U.S. Notably,
patients with tetracycline-resistant C. jejuni infections were more likely to
have contact with cattle. These outcomes prompt the need to monitor the
dissemination and diversification of imported fluoroquinolone-resistant C. jejuni
strains and to investigate the molecular epidemiology of C. jejuni recovered from
cattle and farm environments to guide mitigation strategies.
PMID- 27199923
TI - Bacterioplankton Biogeography of the Atlantic Ocean: A Case Study of the Distance
Decay Relationship.
AB - In order to determine the influence of geographical distance, depth, and
Longhurstian province on bacterial community composition and compare it with the
composition of photosynthetic micro-eukaryote communities, 382 samples from a
depth-resolved latitudinal transect (51 degrees S-47 degrees N) from the
epipelagic zone of the Atlantic ocean were analyzed by Illumina amplicon
sequencing. In the upper 100 m of the ocean, community similarity decreased
toward the equator for 6000 km, but subsequently increased again, reaching
similarity values of 40-60% for samples that were separated by ~12,000 km,
resulting in a U-shaped distance-decay curve. We conclude that adaptation to
local conditions can override the linear distance-decay relationship in the upper
epipelagial of the Atlantic Ocean which is apparently not restrained by barriers
to dispersal, since the same taxa were shared between the most distant
communities. The six Longhurstian provinces covered by the transect were
comprised of distinct microbial communities; ~30% of variation in community
composition could be explained by province. Bacterial communities belonging to
the deeper layer of the epipelagic zone (140-200 m) lacked a distance-decay
relationship altogether and showed little provincialism. Interestingly, those
biogeographical patterns were consistently found for bacteria from three
different size fractions of the plankton with different taxonomic composition,
indicating conserved underlying mechanisms. Analysis of the chloroplast 16S rRNA
gene sequences revealed that phytoplankton composition was strongly correlated
with both free-living and particle associated bacterial community composition (R
between 0.51 and 0.62, p < 0.002). The data show that biogeographical patterns
commonly found in macroecology do not hold for marine bacterioplankton, most
likely because dispersal and evolution occur at drastically different rates in
bacteria.
PMID- 27199919
TI - The Modulation of Apoptotic Pathways by Gammaherpesviruses.
AB - Apoptosis or programmed cell death is a tightly regulated process fundamental for
cellular development and elimination of damaged or infected cells during the
maintenance of cellular homeostasis. It is also an important cellular defense
mechanism against viral invasion. In many instances, abnormal regulation of
apoptosis has been associated with a number of diseases, including cancer
development. Following infection of host cells, persistent and oncogenic viruses
such as the members of the Gammaherpesvirus family employ a number of different
mechanisms to avoid the host cell's "burglar" alarm and to alter the extrinsic
and intrinsic apoptotic pathways by either deregulating the expressions of
cellular signaling genes or by encoding the viral homologs of cellular genes. In
this review, we summarize the recent findings on how gammaherpesviruses inhibit
cellular apoptosis via virus-encoded proteins by mediating modification of
numerous signal transduction pathways. We also list the key viral anti-apoptotic
proteins that could be exploited as effective targets for novel antiviral
therapies in order to stimulate apoptosis in different types of cancer cells.
PMID- 27199925
TI - Morphological Characteristics of Schistosoma mansoni PZQ-Resistant and
Susceptible Strains Are Different in Presence of Praziquantel.
AB - Schistosomiasis is one of the most common human parasitic diseases whose
socioeconomic impact is only surpassed by malaria. Praziquantel (PZQ) is the only
drug commercially available for the treatment of all schistosome species causing
disease in humans. However, there has been stronger evidences of PZQ-resistance
on Schistosoma mansoni and thus it is very important to study the phenotypic
characteristics associated with it. The aim of this study was to evaluate
morphological alterations in S. mansoni PZQ-resistant adult worms and eggs, by
comparing a PZQ- resistant strain obtained under PZQ drug pressure with a PZQ
susceptible strain. For this, scanning electronic microscopy was used to assess
tegumental responsiveness of both strains under PZQ exposure, and optical
microscopy allowed the monitoring of worms and eggs in the presence of the drug.
Those assays showed that PZQ-susceptible worms exposed to the drug had more
severe tegumental damages than the resistant one, which had only minor
alterations. Moreover, contrary to what occurred in the susceptible strain,
resistant worms were viable after PZQ exposure and gradually regaining full
motility after removal of the drug. Eggs from resistant strain parasites are
considerably smaller than those from susceptible strain. Our results suggest that
there might be a difference in the tegument composition of the resistant strain
and that worms are less responsive to PZQ. Changes observed in egg morphology
might imply alterations in the biology of schistosomes associated to PZQ
resistance, which could impact on transmission and pathology of the disease.
Moreover, we propose a hypothetical scenario where there is a different egg
tropism of the S. mansoni resistant strain. This study is the first comparing two
strains that only differ in their resistance characteristics, which makes it a
relevant step in the search for resistance determinants.
PMID- 27199926
TI - Mycoplasma bovis: Mechanisms of Resistance and Trends in Antimicrobial
Susceptibility.
AB - Mycoplasma bovis is a cell-wall-less bacterium and belongs to the class
Mollicutes. It is the most important etiological agent of bovine mycoplasmoses in
North America and Europe, causing respiratory disease, mastitis, otitis media,
arthritis, and reproductive disease. Clinical disease associated with M. bovis is
often chronic, debilitating, and poorly responsive to antimicrobial therapy,
resulting in significant economic loss, the full extent of which is difficult to
estimate. Until M. bovis vaccines are universally available, sanitary control
measures and antimicrobial treatment are the only approaches that can be used in
attempts to control M. bovis infections. However, in vitro studies show that many
of the current M. bovis isolates circulating in Europe have high minimum
inhibitory concentrations (MIC) for many of the commercially available
antimicrobials. In this review we summarize the current MIC trends indicating the
development of antimicrobial resistance in M. bovis as well as the known
molecular mechanisms by which resistance is acquired.
PMID- 27199924
TI - Anti-biofilm Activity as a Health Issue.
AB - The formation and persistence of surface-attached microbial communities, known as
biofilms, are responsible for 75% of human microbial infections (National
Institutes of Health). Biofilm lifestyle confers several advantages to the
pathogens, notably during the colonization process of medical devices and/or
patients' organs. In addition, sessile bacteria have a high tolerance to
exogenous stress including anti-infectious agents. Biofilms are highly
competitive communities and some microorganisms exhibit anti-biofilm capacities
such as bacterial growth inhibition, exclusion or competition, which enable them
to acquire advantages and become dominant. The deciphering and control of anti
biofilm properties represent future challenges in human infection control. The
aim of this review is to compare and discuss the mechanisms of natural bacterial
anti-biofilm strategies/mechanisms recently identified in pathogenic, commensal
and probiotic bacteria and the main synthetic strategies used in clinical
practice, particularly for catheter-related infections.
PMID- 27199927
TI - Comparison of Transcriptional Heterogeneity of Eight Genes between Batch
Desulfovibrio vulgaris Biofilm and Planktonic Culture at a Single-Cell Level.
AB - Sulfate-reducing bacteria (SRB) biofilm formed on metal surfaces can change the
physicochemical properties of metals and cause metal corrosion. To enhance
understanding of differential gene expression in Desulfovibrio vulgaris under
planktonic and biofilm growth modes, a single-cell based RT-qPCR approach was
applied to determine gene expression levels of 8 selected target genes in four
sets of the 31 individual cells isolated from each growth condition (i.e.,
biofilm formed on a mild steel (SS) and planktonic cultures, exponential and
stationary phases). The results showed obvious gene-expression heterogeneity for
the target genes among D. vulgaris single cells of both biofilm and planktonic
cultures. In addition, an increased gene-expression heterogeneity in the D.
vulgaris biofilm when compared with the planktonic culture was also observed for
seven out of eight selected genes at exponential phase, and six out of eight
selected genes at stationary phase, respectively, which may be contributing to
the increased complexity in terms of structures and morphology in the biofilm.
Moreover, the results showed up-regulation of DVU0281 gene encoding
exopolysaccharide biosynthesis protein, and down-regulation of genes involved in
energy metabolism (i.e., DVU0434 and DVU0588), stress responses (i.e., DVU2410)
and response regulator (i.e., DVU3062) in the D. vulgaris biofilm cells. Finally,
the gene (DVU2571) involved in iron transportation was found down-regulated, and
two genes (DVU1340 and DVU1397) involved in ferric uptake repressor and iron
storage were up-regulated in D. vulgaris biofilm, suggesting their possible roles
in maintaining normal metabolism of the D. vulgaris biofilm under environments of
high concentration of iron. This study showed that the single-cell based analysis
could be a useful approach in deciphering metabolism of microbial biofilms.
PMID- 27199929
TI - The Small RNA DsrA Influences the Acid Tolerance Response and Virulence of
Salmonella enterica Serovar Typhimurium.
AB - The Gram-negative, enteropathogen Salmonella enterica serovar Typhimurium (S.
Typhimurium) is exposed to various stress conditions during pathogenesis, of
which acid stress serves as a major defense mechanism in the host. Such
environments are encountered in the stomach and Salmonella containing vacuole of
phagocytic and non-phagocytic cells. It is only recently that small RNAs (sRNAs)
have come to the forefront as major regulators of stress response networks.
Consequently, the sRNA DsrA which regulates acid resistance in Escherichia coli,
has not been characterized in the acid tolerance response (ATR) of Salmonella. In
this study, we show dsrA to be induced two and threefold under adaptation and
challenge phases of the ATR, respectively. Additionally, an isogenic mutant
lacking dsrA (DeltaDsrA) displayed lower viability under the ATR along with
reduced motility, feeble adhesion and defective invasion efficacy in vitro.
Expression analysis revealed down regulation of several Salmonella pathogenicity
island-1 (SPI-1) effectors in DeltaDsrA compared to the wild-type, under SPI-1
inducing conditions. Additionally, our in vivo data revealed DeltaDsrA to be
unable to cause gut inflammation in C57BL/6 mice at 72 h post infection, although
intracellular survival and systemic dissemination remained unaffected. A possible
explanation may be the significantly reduced expression of flagellin structural
genes fliC and fljB in DeltaDsrA, which have been implicated as major
proinflammatory determinants. This study serves to highlight the role of sRNAs
such as DsrA in both acid tolerance and virulence of S. Typhimurium. Additionally
the robust phenotype of non-invasiveness could be exploited in developing SPI-I
attenuated S. Typhimurium strains without disrupting SPI-I genes.
PMID- 27199928
TI - Carbon and Sulfur Cycling below the Chemocline in a Meromictic Lake and the
Identification of a Novel Taxonomic Lineage in the FCB Superphylum, Candidatus
Aegiribacteria.
AB - Mahoney Lake in British Columbia is an extreme meromictic system with unusually
high levels of sulfate and sulfide present in the water column. As is common in
strongly stratified lakes, Mahoney Lake hosts a dense, sulfide-oxidizing
phototrophic microbial community where light reaches the chemocline. Below this
"plate," the euxinic hypolimnion is anoxic, eutrophic, saline, and rich in
sulfide, polysulfides, elemental sulfur, and other sulfur intermediates. While
much is known regarding microbial communities in sunlit portions of euxinic
systems, the composition and genetic potential of organisms living at aphotic
depths have rarely been studied. Metagenomic sequencing of samples from the
hypolimnion and the underlying sediments of Mahoney Lake indicate that multiple
taxa contribute to sulfate reduction below the chemocline and that the
hypolimnion and sediments each support distinct populations of sulfate reducing
bacteria (SRB) that differ from the SRB populations observed in the chemocline.
After assembling and binning the metagenomic datasets, we recovered near-complete
genomes of dominant populations including two Deltaproteobacteria. One of the
deltaproteobacterial genomes encoded a 16S rRNA sequence that was most closely
related to the sulfur-disproportionating genus Dissulfuribacter and the other
encoded a 16S rRNA sequence that was most closely related to the fatty acid- and
aromatic acid-degrading genus Syntrophus. We also recovered two near-complete
genomes of Firmicutes species. Analysis of concatenated ribosomal protein trees
suggests these genomes are most closely related to extremely alkaliphilic genera
Alkaliphilus and Dethiobacter. Our metagenomic data indicate that these
Firmicutes contribute to carbon cycling below the chemocline. Lastly, we
recovered a nearly complete genome from the sediment metagenome which represents
a new genus within the FCB (Fibrobacteres, Chlorobi, Bacteroidetes) superphylum.
Consistent with the geochemical data, we found little or no evidence for
organisms capable of sulfide oxidation in the aphotic zone below the chemocline.
Instead, comparison of functional genes below the chemocline are consistent with
recovery of multiple populations capable of reducing oxidized sulfur. Our data
support previous observations that at least some of the sulfide necessary to
support the dense population of phototrophs in the chemocline is supplied from
sulfate reduction in the hypolimnion and sediments. These studies provide key
insights regarding the taxonomic and functional diversity within a euxinic
environment and highlight the complexity of biogeochemical carbon and sulfur
cycling necessary to maintain euxinia.
PMID- 27199931
TI - Attachment Capability of Antagonistic Yeast Rhodotorula glutinis to Botrytis
cinerea Contributes to Biocontrol Efficacy.
AB - Rhodotorula glutinis as an antagonism show good biocontrol performance against
various post-harvest diseases in fruits. In the present study, strong attachment
capability of R. glutinis to spores and hyphae of Botrytis cinerea was observed.
Further analysis showed that certain protein components on the yeast cell surface
played critical role during the interaction between R. glutinis and B. cinerea.
The components mainly distributed at the poles of yeast cells and might contain
glycosylation modification, as tunicamycin treated yeast cells lost attachment
capability to B. cinerea. To investigate contributions of attachment capability
of R. glutinis to its biocontrol efficacy, yeast cells were mutagenized with 3%
methane-sulfonic acid ethyl ester (EMS), and a mutant CE4 with stable non
attaching phenotype was obtained. No significant difference was found on colony,
cell morphology, reproductive ability, and capsule formation between the mutant
and wild-type. However, there was a distinct difference in India ink positive
staining patterns between the two strains. Moreover, wild-type strain of R.
glutinis showed better performance on inhibiting spore germination and mycelial
growth of B. cinerea than CE4 strain when yeast cells and B. cinerea were co
cultured in vitro. In biocontrol assay, both wild-type and CE4 strains showed
significant biocontrol efficacy against gray mold caused by B. cinerea in apple
fruit, whereas, control effect of CE4 strain was lower than that of wild-type.
Our findings provided new evidences that attachment capability of R. glutinis to
B. cinerea contributed to its biocontrol efficacy.
PMID- 27199932
TI - Algicidal Effects of Prodigiosin on the Harmful Algae Phaeocystis globosa.
AB - Phaeocystis globosa blooms can have negative effects on higher trophic levels in
the marine ecosystem and consequently influence human activities. Strain KA22,
identified as the bacterium Hahella, was isolated from coastal surface water and
used to control P. globosa growth. A methanol extract from the bacterial cells
showed strong algicidal activity. After purification, the compound showed a
similar structure to prodigiosin when identified with Q-Exactive Orbitrap MS and
nuclear magnetic resonance spectra. The compound showed algicidal activity
against P. globosa with a 50% Lethal Dose (LD50) of 2.24 MUg/mL. The prodigiosin
was stable under heat and acid environment, and it could be degraded under
alkaline environment and natural light condition. The growth rates of strain KA22
was fast in 2216E medium and the content of prodigiosin in this medium was more
than 70 MUg/mL after 16 h incubation. The compound showed particularly strong
algicidal activity against Prorocentrum donghaiense, P. Globosa, and Heterosigma
akashiwo, but having little effect on three other phytoplankton species tested.
The results of our research could increase our knowledge on harmful algal bloom
control compound and lead to further study on the mechanisms of the lysis effect
on harmful algae.
PMID- 27199930
TI - Elucidating the Role of Effectors in Plant-Fungal Interactions: Progress and
Challenges.
AB - Pathogenic fungi have diverse growth lifestyles that support fungal colonization
on plants. Successful colonization and infection for all lifestyles depends upon
the ability to modify living host plants to sequester the necessary nutrients
required for growth and reproduction. Secretion of virulence determinants
referred to as "effectors" is assumed to be the key governing factor that
determines host infection and colonization. Effector proteins are capable of
suppressing plant defense responses and alter plant physiology to accommodate
fungal invaders. This review focuses on effector molecules of biotrophic and
hemibiotrophic plant pathogenic fungi, and the mechanism required for the release
and uptake of effector molecules by the fungi and plant cells, respectively. We
also place emphasis on the discovery of effectors, difficulties associated with
predicting the effector repertoire, and fungal genomic features that have helped
promote effector diversity leading to fungal evolution. We discuss the role of
specific effectors found in biotrophic and hemibiotrophic fungi and examine how
CRISPR/Cas9 technology may provide a new avenue for accelerating our ability in
the discovery of fungal effector function.
PMID- 27199935
TI - Identification of Genes Required for Secretion of the Francisella Oxidative Burst
Inhibiting Acid Phosphatase AcpA.
AB - Francisella tularensis is a Tier 1 bioterror threat and the intracellular
pathogen responsible for tularemia in humans and animals. Upon entry into the
host, Francisella uses multiple mechanisms to evade killing. Our previous studies
have shown that after entering its primary cellular host, the macrophage,
Francisella immediately suppresses the oxidative burst by secreting a series of
acid phosphatases including AcpA-B-C and HapA, thereby evading the innate immune
response of the macrophage and enhancing survival and further infection. However,
the mechanism of acid phosphatase secretion by Francisella is still unknown. In
this study, we screened for genes required for AcpA secretion in Francisella. We
initially demonstrated that the known secretion systems, the putative Francisella
pathogenicity island (FPI)-encoded Type VI secretion system and the Type IV pili,
do not secrete AcpA. Using random transposon mutagenesis in conjunction with
ELISA, Western blotting and acid phosphatase enzymatic assays, a transposon
library of 5450 mutants was screened for strains with a minimum 1.5-fold decrease
in secreted (culture supernatant) AcpA, but no defect in cytosolic AcpA. Three
mutants with decreased supernatant AcpA were identified. The transposon insertion
sites of these mutants were revealed by direct genomic sequencing or inverse-PCR
and sequencing. One of these mutants has a severe defect in AcpA secretion (at
least 85% decrease) and is a predicted hypothetical inner membrane protein.
Interestingly, this mutant also affected the secretion of the FPI-encoded
protein, VgrG. Thus, this screen identified novel protein secretion factors
involved in the subversion of host defenses.
PMID- 27199934
TI - Regulation of the Two-Component Regulator CpxR on Aminoglycosides and beta
lactams Resistance in Salmonella enterica serovar Typhimurium.
AB - The two-component signal transduction system CpxAR is especially widespread in
Gram-negative bacteria. It has been reported that CpxAR contributes to the
multidrug resistance (MDR) in Escherichia coli. CpxR is a response regulator in
the two-component CpxAR system. The aim of this study was to explore the role of
cpxR in the MDR of S. enterica serovar Typhimurium. The minimal inhibitory
concentrations (MICs) of various antibiotics commonly used in veterinary medicine
for strains JS (a multidrug-susceptible standard strain of S. enterica serovar
Typhimurium), JSDeltacpxR, JSDeltacpxR/pcpxR, JSDeltacpxR/pcpxR (*),
JSDeltacpxRDeltaacrB, JSDeltacpxRDeltaacrB/pcpxR, JSDeltacpxRDeltaacrB/pcpxR (*),
9 S. enterica serovar Typhimurium isolates (SH1-9), and SH1-9DeltacpxR were
determined by the 2-fold broth microdilution method. The relative mRNA expression
levels of ompF, ompC, ompW, ompD, tolC, acrB, acrD, acrF, mdtA, marA, and soxS in
strains JS, JSDeltacpxR, and JSDeltacpxR/pcpxR were detected by real-time PCR.
The results showed 2- to 4-fold decreases in the MICs of amikacin (AMK),
gentamycin (GEN), apramycin (APR), neomycin (NEO), ceftriaxone (CRO), ceftiofur
(CEF), and cefquinome (CEQ) for strain JSDeltacpxR, as compared to those for the
parental strain JS. Likewise, SH1-9DeltacpxR were found to have 2- to 8-fold
reduction in resistance to the above antibiotics, except for NEO, as compared to
their parental strains SH1-9. Furthermore, 2- to 4-fold further decreases in the
MICs of AMK, GEN, APR, and CEF for strain JSDeltacpxRDeltaacrB were observed, as
compared to those for strain JSDeltaacrB. In addition, CpxR overproduction in
strain JSDeltacpxR led to significant decreases in the mRNA expression levels of
ompF, ompC, ompW, ompD, tolC, acrB, marA, and soxS, and significant increases in
those of stm3031 and stm1530. Notably, after all strains were induced
simultaneously by GEN to the 15th passage at subinhibitory concentrations, strain
JSDeltacpxR/pcpxR showed significant increases in mRNA expression levels of the
efflux pump acrD and mdtA genes, as compared to strain JSDeltacpxR. Our results
indicate that the two-component regulator CpxR contributes to resistance of S.
enterica serovar Typhimurium to aminoglycosides and beta-lactams by influencing
the expression level of the MDR-related genes.
PMID- 27199936
TI - Seasonal Succession Leads to Habitat-Dependent Differentiation in Ribosomal
RNA:DNA Ratios among Freshwater Lake Bacteria.
AB - Relative abundance profiles of bacterial populations measured by sequencing DNA
or RNA of marker genes can widely differ. These differences, made apparent when
calculating ribosomal RNA:DNA ratios, have been interpreted as variable
activities of bacterial populations. However, inconsistent correlations between
ribosomal RNA:DNA ratios and metabolic activity or growth rates have led to a
more conservative interpretation of this metric as the cellular protein synthesis
potential (PSP). Little is known, particularly in freshwater systems, about how
PSP varies for specific taxa across temporal and spatial environmental gradients
and how conserved PSP is across bacterial phylogeny. Here, we generated 16S rRNA
gene sequencing data using simultaneously extracted DNA and RNA from fractionated
(free-living and particulate) water samples taken seasonally along a eutrophic
freshwater estuary to oligotrophic pelagic transect in Lake Michigan. In contrast
to previous reports, we observed frequent clustering of DNA and RNA data from the
same sample. Analysis of the overlap in taxa detected at the RNA and DNA level
indicated that microbial dormancy may be more common in the estuary, the
particulate fraction, and during the stratified period. Across spatiotemporal
gradients, PSP was often conserved at the phylum and class levels. PSPs for
specific taxa were more similar across habitats in spring than in summer and
fall. This was most notable for PSPs of the same taxa when located in the free
living or particulate fractions, but also when contrasting surface to deep, and
estuary to Lake Michigan communities. Our results show that community composition
assessed by RNA and DNA measurements are more similar than previously assumed in
freshwater systems. However, the similarity between RNA and DNA measurements and
taxa-specific PSPs that drive community-level similarities are conditional on
spatiotemporal factors.
PMID- 27199933
TI - Single-Cell (Meta-)Genomics of a Dimorphic Candidatus Thiomargarita nelsonii
Reveals Genomic Plasticity.
AB - The genus Thiomargarita includes the world's largest bacteria. But as uncultured
organisms, their physiology, metabolism, and basis for their gigantism are not
well understood. Thus, a genomics approach, applied to a single Candidatus
Thiomargarita nelsonii cell was employed to explore the genetic potential of one
of these enigmatic giant bacteria. The Thiomargarita cell was obtained from an
assemblage of budding Ca. T. nelsonii attached to a provannid gastropod shell
from Hydrate Ridge, a methane seep offshore of Oregon, USA. Here we present a
manually curated genome of Bud S10 resulting from a hybrid assembly of long
Pacific Biosciences and short Illumina sequencing reads. With respect to
inorganic carbon fixation and sulfur oxidation pathways, the Ca. T. nelsonii
Hydrate Ridge Bud S10 genome was similar to marine sister taxa within the family
Beggiatoaceae. However, the Bud S10 genome contains genes suggestive of the
genetic potential for lithotrophic growth on arsenite and perhaps hydrogen. The
genome also revealed that Bud S10 likely respires nitrate via two pathways: a
complete denitrification pathway and a dissimilatory nitrate reduction to ammonia
pathway. Both pathways have been predicted, but not previously fully elucidated,
in the genomes of other large, vacuolated, sulfur-oxidizing bacteria.
Surprisingly, the genome also had a high number of unusual features for a
bacterium to include the largest number of metacaspases and introns ever reported
in a bacterium. Also present, are a large number of other mobile genetic
elements, such as insertion sequence (IS) transposable elements and miniature
inverted-repeat transposable elements (MITEs). In some cases, mobile genetic
elements disrupted key genes in metabolic pathways. For example, a MITE
interrupts hupL, which encodes the large subunit of the hydrogenase in hydrogen
oxidation. Moreover, we detected a group I intron in one of the most critical
genes in the sulfur oxidation pathway, dsrA. The dsrA group I intron also carried
a MITE sequence that, like the hupL MITE family, occurs broadly across the
genome. The presence of a high degree of mobile elements in genes central to
Thiomargarita's core metabolism has not been previously reported in free-living
bacteria and suggests a highly mutable genome.
PMID- 27199937
TI - Bifidobacterium animalis ssp. lactis CNCM-I2494 Restores Gut Barrier Permeability
in Chronically Low-Grade Inflamed Mice.
AB - Growing evidence supports the efficacy of many probiotic strains in the
management of gastrointestinal disorders associated with deregulated intestinal
barrier function and/or structure. In particular, bifidobacteria have been
studied for their efficacy to both prevent and treat a broad spectrum of animal
and/or human gut disorders. The aim of the current work was thus to evaluate
effects on intestinal barrier function of Bifidobacterium animalis ssp. lactis
CNCM-I2494, a strain used in fermented dairy products. A chronic dinitrobenzene
sulfonic acid (DNBS)-induced low-grade inflammation model causing gut dysfunction
in mice was used in order to study markers of inflammation, intestinal
permeability, and immune function in the presence of the bacterial strain. In
this chronic low-grade inflammation mice model several parameters pointed out the
absence of an over active inflammation process. However, gut permeability,
lymphocyte populations, and colonic cytokines were found to be altered. B.
animalis ssp. lactis CNCM-I2494 was able to protect barrier functions by
restoring intestinal permeability, colonic goblet cell populations, and cytokine
levels. Furthermore, tight junction (TJ) proteins levels were also measured by
qRT-PCR showing the ability of this strain to specifically normalize the level of
several TJ proteins, in particular for claudin-4. Finally, B. lactis strain
counterbalanced CD4(+) lymphocyte alterations in both spleen and mesenteric
lymphoid nodes. It restores the Th1/Th2 ratio altered by the DNBS challenge
(which locally augments CD4(+) Th1 cells) by increasing the Th2 response as
measured by the increase in the production of major representative Th2 cytokines
(IL-4, IL-5, and IL-10). Altogether, these data suggest that B. animalis ssp.
lactis CNCM-I2494 may efficiently prevent disorders associated with increased
barrier permeability.
PMID- 27199938
TI - Evaluation of Immunoprotection Conferred by the Subunit Vaccines of GRA2 and GRA5
against Acute Toxoplasmosis in BALB/c Mice.
AB - Toxoplasmosis is a foodborne disease caused by Toxoplasma gondii, an obligate
intracellular parasite. Severe symptoms occur in the immunocompromised patients
and pregnant women leading to fatality and abortions respectively. Vaccination
development is essential to control the disease. The T. gondii dense granule
antigen 2 and 5 (GRA2 and GRA5) have been targeted in this study because these
proteins are essential to the development of parasitophorous vacuole (PV), a
specialized compartment formed within the infected host cell. PV is resistance to
host cell endosomes and lysosomes thereby protecting the invaded parasite.
Recombinant dense granular proteins, GRA2 (rGRA2) and GRA5 (rGRA5) were cloned,
expressed, and purified in Escherichia coli, BL21 (DE3) pLysS. The potential of
these purified antigens as subunit vaccine candidates against toxoplasmosis were
evaluated through subcutaneous injection of BALB/c mice followed by immunological
characterization (humoral- and cellular-mediated) and lethal challenge against
virulent T. gondii RH strain in BALB/c mice. Results obtained demonstrated that
rGRA2 and rGRA5 elicited humoral and cellular-mediated immunity in the mice. High
level of IgG antibody was produced with the isotype IgG2a/IgG1 ratio of ~0.87 (p
< 0.001). Significant increase (p < 0.05) in the level of four cytokines (IFN
gamma, IL-2, IL-4, and IL-10) was obtained. The antibody and cytokine results
suggest that a mix mode of Th1/Th2-immunity was elicited with predominant Th1
immune response inducing partial protection against T. gondii acute infection in
BALB/c mice. Our findings indicated that both GRA2 and GRA5 are potential
candidates for vaccine development against T. gondii acute infection.
PMID- 27199939
TI - Aroma Profile of Montepulciano d'Abruzzo Wine Fermented by Single and Co-culture
Starters of Autochthonous Saccharomyces and Non-saccharomyces Yeasts.
AB - Montepulciano d'Abruzzo is a native grape variety of Vitis vinifera L., grown in
central Italy and used for production of high quality red wines. Limited studies
have been carried out to improve its enological characteristics through the use
of indigenous strains of Saccharomyces cerevisiae. The main objective of the
present work was to test two indigenous strains of S. cerevisiae (SRS1, RT73), a
strain of Starmerella bacillaris (STS12), one of Hanseniaspora uvarum (STS45) and
a co-culture of S. cerevisiae (SRS1) and S. bacillaris (STS12), in an
experimental cellar to evaluate their role in the sensory characteristic of
Montepulciano d'Abruzzo wine. A S. cerevisiae commercial strain was used.
Fermentations were conducted under routine Montepulciano d'Abruzzo wine
production, in which the main variables were the yeast strains used for
fermentation. Basic winemaking parameters, some key chemical analysis and aroma
compounds were considered. S. cerevisiae strain dynamics during fermentation were
determined by molecular methods. The musts inoculated with the co-culture were
characterized by a faster fermentation start and a higher content of glycerol
after 3 days of fermentation, as well as the musts added with strains S.
bacillaris (STS12) and H. uvarum (STS45). At the end of fermentation the
parameters studied were quite similar in all the wines. Total biogenic amines
(BA) content of all the wines was low. Ethanolamine was the predominant BA, with
a concentration ranging from 21 to 24 mg/l. Wines were characterized by esters
and alcohols. In particular, 2-phenylethanol, 3-methylbut-1-yl methanoate, and
ethyl ethanoate were the major aroma volatile compounds in all wines. Statistical
analysis highlighted the different role played by aroma compounds in the
differentiation of wines, even if it was impossible to select a single class of
compounds as the most important for a specific yeast. The present study
represents a further step toward the use of tailored autochthonous strains to
impart the specific characteristics of a given wine which are an expression of a
specific terroir.
PMID- 27199940
TI - A Trypsin Inhibitor from Tecoma stans Leaves Inhibits Growth and Promotes ATP
Depletion and Lipid Peroxidation in Candida albicans and Candida krusei.
AB - Tecoma stans (yellow elder) has shown medicinal properties and antimicrobial
activity. Previous reports on antifungal activity of T. stans preparations and
presence of trypsin inhibitor activity from T. stans leaves stimulated the
investigation reported here. In this work, we proceeded to the purification and
characterization of a trypsin inhibitor (TesTI), which was investigated for anti
Candida activity. Finally, in order to determine the potential of TesTI as a new
natural chemotherapeutic product, its cytotoxicity to human peripheral blood
mononuclear cells (PBMCs) was evaluated. TesTI was isolated from saline extract
by ammonium sulfate fractionation followed by ion exchange and gel filtration
chromatographies. Antifungal activity was evaluated by determining the minimal
inhibitory (MIC) and fungicide (MFC) concentrations using fungal cultures
containing only yeast form or both yeast and hyphal forms. Candida cells treated
with TesTI were evaluated for intracellular ATP levels and lipid peroxidation.
Cytotoxicity of TesTI to PBMCs was evaluated by MTT assay. TesTI (39.8 kDa, pI
3.41, K i 43 nM) inhibited similarly the growth of both C. albicans and C. krusei
culture types at MIC of 100 MUg/mL. The MFCs were 200 MUg/mL for C. albicans and
C. krusei. Time-response curves revealed that TesTI (at MIC) was more effective
at inhibiting the replication of C. albicans cells. At MIC, TesTI promoted
reduction of ATP levels and lipid peroxidation in the Candida cells, being not
cytotoxic to PBMCs. In conclusion, TesTI is an antifungal agent against C.
albicans and C. krusei, without toxicity to human cells.
PMID- 27199941
TI - The Amino Acid Substitution Q65H in the 2C Protein of Swine Vesicular Disease
Virus Confers Resistance to Golgi Disrupting Drugs.
AB - Swine vesicular disease virus (SVDV) is a porcine pathogen and a member of the
species Enterovirus B within the Picornaviridae family. Brefeldin A (BFA) is an
inhibitor of guanine nucleotide exchange factors of Arf proteins that induces
Golgi complex disassembly and alters the cellular secretory pathway. Since BFA
has been shown to inhibit the RNA replication of different enteroviruses,
including SVDV, we have analyzed the effect of BFA and of golgicide A (GCA),
another Golgi disrupting drug, on SVDV multiplication. BFA and GCA similarly
inhibited SVDV production. To investigate the molecular basis of the antiviral
effect of BFA, SVDV mutants with increased resistance to BFA were isolated. A
single amino acid substitution, Q65H, in the non-structural protein 2C was found
to be responsible for increased resistance to BFA. These results provide new
insight into the relationship of enteroviruses with the components of the
secretory pathway and on the role of SVDV 2C protein in this process.
PMID- 27199943
TI - Inspection Score and Grading System for Food Services in Brazil: The Results of a
Food Safety Strategy to Reduce the Risk of Foodborne Diseases during the 2014
FIFA World Cup.
AB - In 2014, Brazil hosted one of the most popular sport competitions in the world,
the FIFA World Cup. Concerned about the intense migration of tourists, the
Brazilian government decided to deploy a food safety strategy based on inspection
scores and a grading system applied to food services. The present study aimed to
evaluate the results of the food safety strategy deployed during the 2014 FIFA
World Cup in Brazil. To assess food safety, an evaluation instrument was applied
twice in 1927 food service establishments from 26 cities before the start of the
competition. This instrument generated a food safety score for each establishment
that ranged from 0.0 (no flaws observed) to 2565.95, with four possible grades: A
(0.0-13.2); B (13.3-502.6); C (502.7-1152.2); and pending (more than 1152.3).
Each food service received a stamp with the grade of the second evaluation. After
the end of the World Cup, a study was conducted with different groups of the
public to evaluate the acceptance of the strategy. To this end, 221 consumers,
998 food service owners or managers, 150 health surveillance auditors, and 27
health surveillance coordinators were enrolled. These participants completed a
survey with positive and negative responses about the inspection score system
through a 5-point Likert scale. A reduction in violation scores from 393.1 to
224.4 (p < 0.001) was observed between the first and second evaluation cycles. Of
the food services evaluated, 38.7% received the A stamp, 41.4% the B stamp, and
13.9% the C stamp. All positive responses on "system reliability" presented a
mean of 4.0 or more, indicating that the public believed this strategy is
reliable for communicating risks and promoting food safety. The strategy showed
positive results regarding food safety and public acceptance. The deployed
strategy promoted improvements in the food safety of food services. The
implementation of a permanent policy may be well accepted by the public and may
greatly contribute to a reduction in foodborne diseases (FBDs).
PMID- 27199944
TI - Resistance Assessment for Oxathiapiprolin in Phytophthora capsici and the
Detection of a Point Mutation (G769W) in PcORP1 that Confers Resistance.
AB - The potential for oxathiapiprolin resistance in Phytophthora capsici was
evaluated. The baseline sensitivities of 175 isolates to oxathiapiprolin were
initially determinated and found to conform to a unimodal curve with a mean EC50
value of 5.61 * 10(-4) MUg/ml. Twelve stable oxathiapiprolin-resistant mutants
were generated by fungicide adaptation in two sensitive isolates, LP3 and HNJZ10.
The fitness of the LP3-mutants was found to be similar to or better than that of
the parental isolate LP3, while the HNJZ10-mutants were found to have lost the
capacity to produce zoospores. Taken together these results suggest that the risk
of P. capsici developing resistance to oxathiapiprolin is moderate. Comparison of
the PcORP1 genes in the LP3-mutants and wild-type parental isolate, which encode
the target protein of oxathiapiprolin, revealed that a heterozygous mutation
caused the amino acid substitution G769W. Transformation and expression of the
mutated PcORP1-769W allele in the sensitive wild-type isolate BYA5 confirmed that
the mutation in PcORP1 was responsible for the observed oxathiapiprolin
resistance. Finally diagnostic tests including As-PCR and CAPs were developed to
detect the oxathiapiprolin resistance resulting from the G769W point mutation in
field populations of P. capsici.
PMID- 27199942
TI - Effect of Biofilm Formation by Oenococcus oeni on Malolactic Fermentation and the
Release of Aromatic Compounds in Wine.
AB - The winemaking process involves the alcoholic fermentation of must, often
followed by malolactic fermentation (MLF). The latter, mainly carried out by the
lactic acid bacterium Oenococcus oeni, is used to improve wine quality when
acidity reduction is required. Moreover, it prevents microbial spoilage and
improves the wine's organoleptic profile. Prior observations showed that O. oeni
is able to resist several months in harsh wine conditions when adhered on oak
barrels. Since biofilm is a prevailing microbial lifestyle in natural
environments, the capacity of O. oeni to form biofilms was investigated on
winemaking material such as stainless steel and oak chips. Scanning Electron
Microscopy and Confocal Laser Scanning Microscopy showed that O. oeni was able to
adhere to these surfaces and form spatially organized microcolonies embedded in
extracellular substances. To assess the competitive advantage of this mode of
life in wine, the properties of biofilm and planktonic cells were compared after
inoculation in a fermented must (pH 3.5 or 3.2 and 12% ethanol) The results
indicated that the biofilm culture of O. oeni conferred (i) increased tolerance
to wine stress, and (ii) functional performance with effective malolactic
activities. Relative gene expression focusing on stress genes and genes involved
in EPS synthesis was investigated in a mature biofilm and emphasized the role of
the matrix in increased biofilm resistance. As oak is commonly used in wine
aging, we focused on the O. oeni biofilm on this material and its contribution to
the development of wine color and the release of aromatic compounds. Analytical
chromatography was used to target the main oak aging compounds such as vanillin,
gaiacol, eugenol, whisky-lactones, and furfural. The results reveal that O. oeni
biofilm developed on oak can modulate the wood-wine transfer of volatile aromatic
compounds during MLF and aging by decreasing furfural, gaiacol, and eugenol in
particular. This work showed that O. oeni forms biofilms consisting of stress
tolerant cells capable of efficient MLF under winemaking conditions. Therefore
surface-associated behaviors should be considered in the development of improved
strategies for the control of MLF in wine.
PMID- 27199945
TI - Isolation of Oxamyl-degrading Bacteria and Identification of cehA as a Novel
Oxamyl Hydrolase Gene.
AB - Microbial degradation is the main process controlling the environmental
dissipation of the nematicide oxamyl. Despite that, little is known regarding the
microorganisms involved in its biotransformation. We report the isolation of four
oxamyl-degrading bacterial strains from an agricultural soil exhibiting enhanced
biodegradation of oxamyl. Multilocus sequence analysis (MLSA) assigned the
isolated bacteria to different subgroups of the genus Pseudomonas. The isolated
bacteria hydrolyzed oxamyl to oxamyl oxime, which was not further transformed,
and utilized methylamine as a C and N source. This was further supported by the
detection of methylamine dehydrogenase in three of the four isolates. All oxamyl
degrading strains carried a gene highly homologous to a carbamate-hydrolase gene
cehA previously identified in carbaryl- and carbofuran-degrading strains.
Transcription analysis verified its direct involvement in the hydrolysis of
oxamyl. Selected isolates exhibited relaxed degrading specificity and transformed
all carbamates tested including the oximino carbamates aldicarb and methomyl
(structurally related to oxamyl) and the aryl-methyl carbamates carbofuran and
carbaryl which share with oxamyl only the carbamate moiety.
PMID- 27199946
TI - Evaluation of the Effects of Photodynamic Therapy Alone and Combined with
Standard Antifungal Therapy on Planktonic Cells and Biofilms of Fusarium spp. and
Exophiala spp.
AB - Infections of Fusarium spp. and Exophiala spp. are often chronic, recalcitrant,
resulting in significant morbidity, causing discomfort, disfigurement, social
isolation. Systemic disseminations happen in compromised patients, which are
often refractory to available antifungal therapies and thereby lead to death. The
antimicrobial photodynamic therapy (aPDT) has been demonstrated to effectively
inactivate multiple pathogenic fungi and is considered as a promising alternative
treatment for mycoses. In the present study, we applied methylene blue (8, 16,
and 32 MUg/ml) as a photosensitizing agent and light emitting diode (635 +/- 10
nm, 12 and 24 J/cm(2)), and evaluated the effects of photodynamic inactivation on
five strains of Fusarium spp. and five strains of Exophiala spp., as well as
photodynamic effects on in vitro susceptibility to itraconazole, voriconazole,
posaconazole and amphotericin B, both planktonic and biofilm forms. Photodynamic
therapy was efficient in reducing the growth of all strains tested, exhibiting
colony forming unit-reductions of up to 6.4 log10 and 5.6 log10 against
planktonic cultures and biofilms, respectively. However, biofilms were less
sensitive since the irradiation time was twice longer than that of planktonic
cultures. Notably, the photodynamic effects against Fusarium strains with high
minimal inhibitory concentration (MIC) values of >=16, 4-8, 4-8, and 2-4 MUg/ml
for itraconazole, voriconazole, posaconazole and amphotericin B, respectively,
were comparable or even superior to Exophiala spp., despite Exophiala spp. showed
relatively better antifungal susceptibility profile. MIC ranges against
planktonic cells of both species were up to 64 times lower after aPDT treatment.
Biofilms of both species showed high sessile MIC50 (SMIC50) and SMIC80 of >=16
MUg/ml for all azoles tested and variable susceptibilities to amphotericin B,
with SMIC ranging between 1 and 16 MUg/ml. Biofilms subjected to aPDT exhibited a
distinct reduction in SMIC50 and SMIC80 compared to untreated groups for both
species, except SMIC80 of itraconazole against Fusarium biofilms. In conclusion,
in vitro photodynamic therapy was efficient in inactivation of Fusarium spp. and
Exophiala spp., both planktonic cultures and biofilms. In addition, the
combination of aPDT and antifungal drugs represents an attractive alternative to
the current antifungal strategies. However, further investigations are warranted
for the reliable and safe application in clinical practice.
PMID- 27199947
TI - Multi-Fluorescence Real-Time PCR Assay for Detection of RIF and INH Resistance of
M. tuberculosis.
AB - BACKGROUND: Failure to early detect multidrug-resistant tuberculosis (MDR-TB)
results in treatment failure and poor clinical outcomes, and highlights the need
to rapidly detect resistance to rifampicin (RIF) and isoniazid (INH). METHODS: In
Multi-Fluorescence quantitative Real-Time PCR (MF-qRT-PCR) assay, 10 probes
labeled with four kinds of fluorophores were designed to detect the mutations in
regions of rpoB, katG, mabA-inhA, oxyR-ahpC, and rrs. The efficiency of MF-qRT
PCR assay was tested using 261 bacterial isolates and 33 clinical sputum
specimens. Among these samples, 227 Mycobacterium tuberculosis isolates were
analyzed using drug susceptibility testing (DST), DNA sequencing and MF-qRT-PCR
assay. RESULTS: Compared with DST, MF-qRT-PCR sensitivity and specificity for RIF
resistance were 94.6 and 100%, respectively. And the detection sensitivity and
specificity for INH-resistance were 85.9 and 95.3%, respectively. Compared with
DNA sequencing, the sensitivity and specificity of our assay were 97.2 and 100%
for RIF-resistance and 97.9 and 96.4% for INH-resistance. Compared with
Phenotypic strain identification, MF-qRT-PCR can distinguish 227 M. tuberculosis
complexes (MTC) from 34 Non-tuberculous mycobacteria (NTM) isolates with 100%
accuracy rate. CONCLUSIONS: MF-qRT-PCR assay was an efficient, accurate,
reliable, and easy-operated method for detection of RIF and INH-resistance, and
distinction of MTC and NTM of clinical isolates.
PMID- 27199949
TI - Exploring the Synergy between Cellobiose Dehydrogenase from Phanerochaete
chrysosporium and Cellulase from Trichoderma reesei.
AB - Recent demands for the production of lignocellulose biofuels boosted research on
cellulase. Hydrolysis efficiency and production cost of cellulase are two
bottlenecks in "biomass to biofuels" process. The Trichoderma cellulase mixture
is one of the most commonly used enzymes for cellulosic hydrolysis. During
hydrolytic process cellobiose accumulation causes feedback inhibition against
most cellobiohydrolases and endoglucanases. In this study, we demonstrated the
synergism effects between cellobiose dehydrogenase (CDH) and cellulase both in
vitro and in vivo. The CDH from Phanerochaete chrysosporium was heterologously
expressed in Pichia pastoris. Supplementation of the purified CDH in Trichoderma
cellulase increased the cellulase activities. Especially beta-glucosidase
activity was increased by 30-100% varying at different time points. On the other
hand, the cdh gene was heterologously expressed in Trichoderma reesei to explore
the synergism between CDH and cellulases in vivo. The analyses of gene expression
and enzymatic profiles of filter paper activity, carboxymethylcellulase (CMCase)
and beta-glucosidase show the increased cellulase activity and the enhanced
cellulase production in the cdh-expressing strains. The results elucidate a
possible mechanism for diminishing the cellobiose inhibition of cellulase by CDH.
These findings provide a novel perspective to make more economic enzyme cocktails
for commercial application or explore alternative strategies for generating
cellulase-producing strains with higher efficiency.
PMID- 27199948
TI - Genome-Wide Detection of Predicted Non-coding RNAs Related to the Adhesion
Process in Vibrio alginolyticus Using High-Throughput Sequencing.
AB - The ability of bacteria to adhere to fish mucus can be affected by environmental
conditions and is considered to be a key virulence factor of Vibrio
alginolyticus. However, the molecular mechanism underlying this ability remains
unclear. Our previous study showed that stress conditions such as exposure to Cu,
Pb, Hg, and low pH are capable of reducing the adhesion ability of V.
alginolyticus. Non-coding RNAs (ncRNAs) play a crucial role in the intricate
regulation of bacterial gene expression, thereby affecting bacterial
pathogenicity. Thus, we hypothesized that ncRNAs play a key role in the V.
alginolyticus adhesion process. To validate this, we combined high-throughput
sequencing with computational techniques to detect ncRNA dynamics in samples
after stress treatments. The expression of randomly selected novel ncRNAs was
confirmed by QPCR. Among the significantly altered ncRNAs, 30 were up-regulated
and 2 down-regulated by all stress treatments. The QPCR results reinforced the
reliability of the sequencing data. Target prediction and KEGG pathway analysis
indicated that these ncRNAs are closely related to pathways associated with in
vitro adhesion, and our results indicated that chemical stress-induced reductions
in the adhesion ability of V. alginolyticus might be due to the perturbation of
ncRNA expression. Our findings provide important information for further
functional characterization of ncRNAs during the adhesion process of V.
alginolyticus.
PMID- 27199950
TI - Efflux Pump Blockers in Gram-Negative Bacteria: The New Generation of Hydantoin
Based-Modulators to Improve Antibiotic Activity.
AB - Multidrug resistant (MDR) bacteria are an increasing health problem with the
shortage of new active antibiotic agents. Among effective mechanisms that
contribute to the spread of MDR Gram-negative bacteria are drug efflux pumps that
expel clinically important antibiotic classes out of the cell. Drug pumps are
attractive targets to restore the susceptibility toward the expelled antibiotics
by impairing their efflux activity. Arylhydantoin derivatives were investigated
for their potentiation of activities of selected antibiotics described as efflux
substrates in Enterobacter aerogenes expressing or not AcrAB pump. Several
compounds increased the bacterial susceptibility toward nalidixic acid,
chloramphenicol and sparfloxacin and were further pharmacomodulated to obtain a
better activity against the AcrAB producing bacteria.
PMID- 27199951
TI - A High-resolution Typing Assay for Uropathogenic Escherichia coli Based on
Fimbrial Diversity.
AB - Urinary tract infections (UTIs) are one of the most common bacterial infections
in humans, causing cystitis, pyelonephritis, and renal failure. Uropathogenic
Escherichia coli (UPEC) is the leading cause of UTIs. Accurate and rapid
discrimination of UPEC lineages is useful for epidemiological surveillance.
Fimbriae are necessary for the adherence of UPEC strains to host uroepithelia,
and seem to be abundant and diverse in UPEC strains. By analyzing all the
possible fimbrial operons in UPEC strains, we found that closely related strains
had similar types of chaperone-usher fimbriae, and the diversity of fimbrial
genes was higher than that of multilocus sequence typing (MLST) genes. A typing
assay based on the polymorphism of four gene sequences (three fimbrial genes and
one housekeeping gene) and the diversity of fimbriae present was developed. By
comparison with the MLST, whole-genome sequence (WGS) and fumC/fimH typing
methods, this was shown to be accurate and have high resolution, and it was also
relatively inexpensive and easy to perform. The assay can supply more
discriminatory information for UPEC lineages, and have the potential to be
applied in epidemiological surveillance of UPEC isolates.
PMID- 27199952
TI - Genome Structure of the Symbiont Bifidobacterium pseudocatenulatum CECT 7765 and
Gene Expression Profiling in Response to Lactulose-Derived Oligosaccharides.
AB - Bifidobacterium pseudocatenulatum CECT 7765 was isolated from stools of a breast
fed infant. Although, this strain is generally considered an adult-type
bifidobacterial species, it has also been shown to have pre-clinical efficacy in
obesity models. In order to understand the molecular basis of its adaptation to
complex carbohydrates and improve its potential functionality, we have analyzed
its genome and transcriptome, as well as its metabolic output when growing in
galacto-oligosaccharides derived from lactulose (GOS-Lu) as carbon source. B.
pseudocatenulatum CECT 7765 shows strain-specific genome regions, including a
great diversity of sugar metabolic-related genes. A preliminary and exploratory
transcriptome analysis suggests candidate over-expression of several genes coding
for sugar transporters and permeases; furthermore, five out of seven beta
galactosidases identified in the genome could be activated in response to GOS-Lu
exposure. Here, we also propose that a specific gene cluster is involved in
controlling the import and hydrolysis of certain di- and tri-saccharides, which
seemed to be those primarily taken-up by the bifidobacterial strain. This was
discerned from mass spectrometry-based quantification of different saccharide
fractions of culture supernatants. Our results confirm that the expression of
genes involved in sugar transport and metabolism and in the synthesis of leucine,
an amino acid with a key role in glucose and energy homeostasis, was up-regulated
by GOS-Lu. This was done using qPCR in addition to the exploratory information
derived from the single-replicated RNAseq approach, together with the functional
annotation of genes predicted to be encoded in the B. pseudocatenulatum CETC 7765
genome.
PMID- 27199953
TI - Antibacterial Effect of Copper on Microorganisms Isolated from Bovine Mastitis.
AB - The antimicrobial properties of copper have been recognized for several years;
applying these properties to the prevention of diseases such as bovine mastitis
is a new area of research. The aim of the present study was to evaluate in vitro
the antimicrobial activity of copper on bacteria isolated from subclinical and
clinical mastitis milk samples from two regions in Chile. A total of 327
microorganisms were recovered between March and September 2013, with different
prevalence by sample origin (25 and 75% from the central and southern regions of
Chile, respectively). In the central region, Escherichia coli and coagulase
negative Staphylococci (CNS) were the most frequently detected in clinical
mastitis cases (33%), while in the southern region S. uberis, S. aureus, and CNS
were detected with frequencies of 22, 21, and 18%, respectively. Antibiotic
susceptibility studies revealed that 34% of isolates were resistant to one or
more antibiotics and the resistance profile was different between bacterial
species and origins of isolation of the bacteria. The minimum inhibitory
concentration of copper (MIC-Cu) was evaluated in all the isolates; results
revealed that a concentration as low as 250 ppm copper was able to inhibit the
great majority of microorganisms analyzed (65% of isolates). The remaining
isolates showed a MIC-Cu between 375 and 700 ppm copper, and no growth was
observed at 1000 ppm. A linear relationship was found between the logarithm of
viable bacteria number and time of contact with copper. With the application of
the same concentration of copper (250 ppm), CNS showed the highest tolerance to
copper, followed by S. uberis and S. aureus; the least resistant was E. coli.
Based on these in vitro results, copper preparations could represent a good
alternative to dipping solutions, aimed at preventing the presence and
multiplication of potentially pathogenic microorganisms involved in bovine
mastitis disease.
PMID- 27199955
TI - The incC Sequence Is Required for R27 Plasmid Stability.
AB - IncHI plasmids account for multiple antimicrobial resistance in Salmonella and
other enterobacterial genera. These plasmids are generally very stable in their
bacterial hosts. R27 is the archetype of IncHI1 plasmids. A high percentage of
the R27-encoded open reading frames (ORFs) (66.7%) do not show similarity to any
known ORFs. We performed a deletion analysis of all non-essential R27 DNA
sequences to search for hitherto non-identified plasmid functions that might be
required for plasmid stability. We report the identification of a short DNA
sequence (incC) that is essential for R27 stability. That region contains several
repeats (incC repeats), belongs to one of the three-plasmid replicons (R27 FIA
like) and is targeted by the R27 E protein. Deletion of the incC sequence
drastically reduces R27 stability both in Escherichia coli and in Salmonella, the
effect being more pronounced in this latter species. Interfering with incC-E
protein interaction must lead to a reduced IncHI1 plasmid stability, and may
represent a new approach to combat antimicrobial resistance.
PMID- 27199954
TI - The Knowns Unknowns: Exploring the Homologous Recombination Repair Pathway in
Toxoplasma gondii.
AB - Toxoplasma gondii is an apicomplexan parasite of medical and veterinary
importance which causes toxoplasmosis in humans. Great effort is currently being
devoted toward the identification of novel drugs capable of targeting such
illness. In this context, we believe that the thorough understanding of the life
cycle of this model parasite will facilitate the identification of new druggable
targets in T. gondii. It is important to exploit the available knowledge of
pathways which could modulate the sensitivity of the parasite to DNA damaging
agents. The homologous recombination repair (HRR) pathway may be of particular
interest in this regard as its inactivation sensitizes other cellular models such
as human cancer to targeted therapy. Herein we discuss the information available
on T. gondii's HRR pathway from the perspective of its conservation with respect
to yeast and humans. Special attention was devoted to BRCT domain-containing and
end-resection associated proteins in T. gondii as in other experimental models
such proteins have crucial roles in early/late steps or HRR and in the pathway
choice for double strand break resolution. We conclude that T. gondii HRR pathway
is a source of several lines of investigation that allow to to comprehend the
extent of diversification of HRR in T. gondii. Such an effort will serve to
determine if HRR could represent a potential targer for the treatment of
toxoplasmosis.
PMID- 27199958
TI - Metabolic Engineering of Fusarium oxysporum to Improve Its Ethanol-Producing
Capability.
AB - Fusarium oxysporum is one of the few filamentous fungi capable of fermenting
ethanol directly from plant cell wall biomass. It has the enzymatic toolbox
necessary to break down biomass to its monosaccharides and, under anaerobic and
microaerobic conditions, ferments them to ethanol. Although these traits could
enable its use in consolidated processes and thus bypass some of the bottlenecks
encountered in ethanol production from lignocellulosic material when
Saccharomyces cerevisiae is used-namely its inability to degrade lignocellulose
and to consume pentoses-two major disadvantages of F. oxysporum compared to the
yeast-its low growth rate and low ethanol productivity-hinder the further
development of this process. We had previously identified phosphoglucomutase and
transaldolase, two major enzymes of glucose catabolism and the pentose phosphate
pathway, as possible bottlenecks in the metabolism of the fungus and we had
reported the effect of their constitutive production on the growth
characteristics of the fungus. In this study, we investigated the effect of their
constitutive production on ethanol productivity under anaerobic conditions. We
report an increase in ethanol yield and a concomitant decrease in acetic acid
production. Metabolomics analysis revealed that the genetic modifications applied
did not simply accelerate the metabolic rate of the microorganism; they also
affected the relative concentrations of the various metabolites suggesting an
increased channeling toward the chorismate pathway, an activation of the gamma
aminobutyric acid shunt, and an excess in NADPH regeneration.
PMID- 27199956
TI - The Pmt2p-Mediated Protein O-Mannosylation Is Required for Morphogenesis,
Adhesive Properties, Cell Wall Integrity and Full Virulence of Magnaporthe
oryzae.
AB - Protein O-mannosylation is a type of O-glycosylation that is characterized by the
addition of mannose residues to target proteins, and is initially catalyzed by
evolutionarily conserved protein O-mannosyltransferases (PMTs). In this study,
three members of PMT were identified in Magnaporthe oryzae, and the pathogenic
roles of MoPmt2, a member of PMT2 subfamily, were analyzed. We found that MoPmt2
is a homolog of Saccharomyces cerevisiae Pmt2 and could complement yeast Pmt2
function in resistance to CFW. Quantitative RT-PCR revealed that MoPmt2 is highly
expressed during conidiation, and targeted disruption of MoPmt2 resulted in
defects in conidiation and conidia morphology. The MoPmt2 mutants also showed a
distinct reduction in fungal growth, which was associated with severe alterations
in hyphal polarity. In addition, we found that the MoPmt2 mutants severely
reduced virulence on both rice plants and barley leaves. The subsequent
examination revealed that the fungal adhesion, conidial germination, CWI and
invasive hyphae growth in host cells are responsible for defects on appressorium
mediated penetration, and thus attenuated the pathogenicity of MoPmt2 mutants.
Taken together, our results suggest that protein O-mannosyltransferase MoPmt2
plays essential roles in fungal growth and development, and is required for the
full pathogenicity of M. oryzae.
PMID- 27199957
TI - Temperature Significantly Affects the Plaquing and Adsorption Efficiencies of
Listeria Phages.
AB - Listeria-infecting phages are currently being used to control and detect the
important foodborne pathogen Listeria monocytogenes; however, the influence of
environmental conditions on the interactions between L. monocytogenes and its
phages has not been explored in depth. Here, we examined the infective potential
of four Listeria phages (two each from the P70-like and P100-like phages of
Listeria) against five strains of L. monocytogenes (representing serotypes 1/2a,
1/2b, 4a, and 4b) grown under a range of temperatures (7-37 degrees C). We show
that the plaquing efficiencies for all four phages were significantly affected by
temperature. Interestingly, no plaques were observed for any of the four phages
at 37 degrees C. Adsorption assays performed with the P100-like phages, LP-048
and LP-125, showed that LP-048 had a severely reduced adsorption efficiency
against susceptible strains at 37 degrees C as compared to 30 degrees C,
suggesting that there is considerably less accessible rhamnose (LP-048's putative
phage receptor) on the host at 37 degrees C than at 30 degrees C. LP-125 adsorbed
to host cells at 37 degrees C, indicating that the inability for LP-125 to plaque
at 37 degrees C is not due to adsorption inhibition. LP-048 showed significantly
higher adsorption efficiency against a mutant strain lacking N-acetylglucosamine
in its wall teichoic acids (WTA) than the parental strain at both 30 and 37
degrees C, suggesting that N-acetylglucosamine competes with rhamnose for
glycosylation sites on the WTA. The data presented here clearly shows that L.
monocytogenes can gain physiological refuge from phage infection, which should be
carefully considered for both the design and implementation of phage-based
control and detection applications.
PMID- 27199959
TI - Nitrogen Stimulates the Growth of Subsurface Basalt-associated Microorganisms at
the Western Flank of the Mid-Atlantic Ridge.
AB - Oceanic crust constitutes the largest aquifer system on Earth, and microbial
activity in this environment has been inferred from various geochemical analyses.
However, empirical documentation of microbial activity from subsurface basalts is
still lacking, particularly in the cool (<25 degrees C) regions of the crust,
where are assumed to harbor active iron-oxidizing microbial communities. To test
this hypothesis, we report the enrichment and isolation of crust-associated
microorganisms from North Pond, a site of relatively young and cold basaltic
basement on the western flank of the Mid-Atlantic Ridge that was sampled during
Expedition 336 of the Integrated Ocean Drilling Program. Enrichment experiments
with different carbon (bicarbonate, acetate, methane) and nitrogen (nitrate and
ammonium) sources revealed significant cell growth (one magnitude higher cell
abundance), higher intracellular DNA content, and increased Fe(3+)/SigmaFe ratios
only when nitrogen substrates were added. Furthermore, a Marinobacter strain with
neutrophilic iron-oxidizing capabilities was isolated from the basalt. This work
reveals that basalt-associated microorganisms at North Pond had the potential for
activity and that microbial growth could be stimulated by in vitro nitrogen
addition. Furthermore, iron oxidation is supported as an important process for
microbial communities in subsurface basalts from young and cool ridge flank
basement.
PMID- 27199960
TI - Experimental Evolution on a Wild Mammal Species Results in Modifications of Gut
Microbial Communities.
AB - Comparative studies have shown that diet, life history, and phylogeny interact to
determine microbial community structure across mammalian hosts. However, these
studies are often confounded by numerous factors. Selection experiments offer
unique opportunities to validate conclusions and test hypotheses generated by
comparative studies. We used a replicated, 15-generation selection experiment on
bank voles (Myodes glareolus) that have been selected for high swim-induced
aerobic metabolism, predatory behavior toward crickets, and the ability to
maintain body mass on a high-fiber, herbivorous diet. We predicted that selection
on host performance, mimicking adaptive radiation, would result in distinct
microbial signatures. We collected foregut and cecum samples from animals that
were all fed the same nutrient-rich diet and had not been subjected to any
performance tests. We conducted microbial inventories of gut contents by
sequencing the V4 region of the 16S rRNA gene. We found no differences in cecal
microbial community structure or diversity between control lines and the aerobic
or predatory lines. However, the cecal chambers of voles selected for herbivorous
capability harbored distinct microbial communities that exhibited higher
diversity than control lines. The foregut communities of herbivorous-selected
voles were also distinct from control lines. Overall, this experiment suggests
that differences in microbial communities across herbivorous mammals may be
evolved, and not solely driven by current diet or other transient factors.
PMID- 27199961
TI - Pathogenicity, Transmission and Antigenic Variation of H5N1 Highly Pathogenic
Avian Influenza Viruses.
AB - H5N1 highly pathogenic avian influenza (HPAI) was one of the most important avian
diseases in poultry production of China, especially in Guangdong province. In
recent years, new H5N1 highly pathogenic avian influenza viruses (HPAIV) still
emerged constantly, although all poultry in China were immunized with H5N1
vaccinations compulsorily. To better understand the pathogenicity and
transmission of dominant clades of the H5N1 HPAIVs in chicken from Guangdong in
2012, we chose a clade 7.2 avian influenza virus named
A/Chicken/China/G2/2012(H5N1) (G2) and a clade 2.3.2.1 avian influenza virus
named A/Duck/China/G3/2012(H5N1) (G3) in our study. Our results showed that the
chickens inoculated with 10(3) EID50 of G2 or G3 viruses all died, and the titers
of virus replication detected in several visceral organs were high but different.
In the naive contact groups, virus shedding was not detected in G2 group and all
chickens survived, but virus shedding was detected in G3 group and all chickens
died. These results showed that the two clades of H5N1 HPAIVs had high
pathogenicity in chickens and the contact transmission of them was different in
chickens. The results of cross reactive HI assay showed that antigens of G2 and
G3 were very different from those of current commercial vaccines isolates (Re-4,
Re-6, and D7). And to evaluate the protective efficacy of three vaccines against
most isolates form Guangdong belonging to clade 2.3.2.1 in 2012, G3 was chosen to
challenge the three vaccines such as Re-4, Re-6, and D7. First, chickens were
immunized with 0.3 ml Re-4, Re-6, and D7 inactivated vaccines by intramuscular
injection, respectively, and then challenged with 10(6) EID50 of G3 on day 28
post-vaccination. The D7 vaccine had 100% protection against G3 for chickens, the
Re-6 vaccine had 88.9%, and the Re-4 vaccine only had 66.7%. Our results
suggested that the D7 vaccine could prevent and control H5N1 virus outbreaks more
effectively in Guangdong. From the above, it was necessary to conduct
continuously epidemiological survey and study the pathogenicity and antigenic
variation of avian influenza in Southern China.
PMID- 27199963
TI - Expression of Immune-Related Genes of Ducks Infected with Avian Pathogenic
Escherichia coli (APEC).
AB - Avian pathogenic Escherichia coli (APEC) can cause severe disease in ducks,
characterized by perihepatitis, pericarditis, and airsacculitis. Although the
studies of bacteria isolation and methods of detection have been reported, host
immune responses to APEC infection remain unclear. In response, we systemically
examined the expression of immune-related genes and bacteria distribution in APEC
infected ducks. Results demonstrated that APEC can quickly replicate in the
liver, spleen, and brain, with the highest bacteria content at 2 days post
infection. The expression of toll-like receptors (TLRs), avian beta-defensins
(AvBDs) and major histocompatibility complex (MHC) were tested in the liver,
spleen, and brain of infected ducks. TLR2, TLR4, TLR5, and TLR15 showed different
expression patterns, which indicated that they all responded to APEC infection.
The expression of AvBD2 was upregulated in all tested tissues during the 3 days
of testing, whereas the expression of AvBD4, AvBD5, AvBD7, and AvBD9 were
downregulated, and though MHC-I was upregulated on all test days, MHC-II was
dramatically downregulated. Overall, our results suggest that APEC can replicate
in various tissues in a short time, and the activation of host immune responses
begins at onset of infection. These findings thus clarify duck immune responses
to APEC infection and offer insights into its pathogenesis.
PMID- 27199962
TI - Transcriptomic Analysis Reveals Adaptive Responses of an Enterobacteriaceae
Strain LSJC7 to Arsenic Exposure.
AB - Arsenic (As) resistance determinant ars operon is present in many bacteria and
has been demonstrated to enhance As(V) resistance of bacteria. However, whole
molecular mechanism adaptations of bacteria in response to As(V) stress remain
largely unknown. In this study, transcriptional profiles of Enterobacteriaceae
strain LSJC7 responding to As(V) stress were analyzed using RNA-seq and qRT-PCR.
As expected, genes involved in As(V) uptake were down-regulated, those involved
in As(V) reduction and As(III) efflux were up-regulated, which avoided cellular
As accumulation. Reactive oxygen species and nitric oxide (NO) were induced,
which caused cellular damages including DNA, protein, and Fe-S cluster damage in
LSJC7. The expression of specific genes encoding transcriptional regulators, such
as nsrR and soxRS were also induced. NsrR and SoxRS modulated many critical
metabolic activities in As(V) stressed LSJC7 cells, including reactive species
scavenging and repairing damaged DNA, proteins, and Fe-S clusters. Therefore,
besides As uptake, reduction, and efflux; oxidative stress defense and damage
repair were the main cellular adaptive responses of LSJC7 to As(V) stress.
PMID- 27199964
TI - Potential Impact of the Resistance to Quaternary Ammonium Disinfectants on the
Persistence of Listeria monocytogenes in Food Processing Environments.
AB - The persistence of certain strains of Listeria monocytogenes, even after the food
processing environment has been cleaned and disinfected, suggests that this may
be related to phenomena that reduce the concentration of the disinfectants to
subinhibitory levels. This includes (i) the existence of environmental niches or
reservoirs that are difficult for disinfectants to reach, (ii) microorganisms
that form biofilms and create microenvironments in which adequate concentrations
of disinfectants cannot be attained, and (iii) the acquisition of resistance
mechanisms in L. monocytogenes, including those that lead to a reduction in the
intracellular concentration of the disinfectants. The only available data with
regard to the resistance of L. monocytogenes to disinfectants applied in food
production environments refer to genotypic resistance to quaternary ammonium
compounds (QACs). Although there are several well-characterized efflux pumps that
confer resistance to QACs, it is a low-level resistance that does not generate
resistance to QACs at the concentrations applied in the food industry. However,
dilution in the environment and biodegradation result in QAC concentration
gradients. As a result, the microorganisms are frequently exposed to
subinhibitory concentrations of QACs. Therefore, the low-level resistance to QACs
in L. monocytogenes may contribute to its environmental adaptation and
persistence. In fact, in certain cases, the relationship between low-level
resistance and the environmental persistence of L. monocytogenes in different
food production chains has been previously established. The resistant strains
would have survival advantages in these environments over sensitive strains, such
as the ability to form biofilms in the presence of increased biocide
concentrations.
PMID- 27199966
TI - Prevalence of Escherichia coli Virulence Genes in Patients with Diarrhea and a
Subpopulation of Healthy Volunteers in Madrid, Spain.
AB - Etiological diagnosis of diarrheal diseases may be complicated by their multi
factorial nature. In addition, Escherichia coli strains present in the gut can
occasionally harbor virulence genes (VGs) without causing disease, which
complicates the assessment of their clinical significance in particular. The aim
of this study was to detect and quantify nine VGs (stx1, stx2, eae, aggR, ehxA,
invA, est, elt and bfpA) typically present in five E. coli enteric pathotypes
[enterohaemorrhagic E. coli (EHEC), enterotoxigenic E. coli (ETEC),
enteropathogenic E. coli (EPEC), enteroaggregative E. coli (EAEC), and
enteroinvasive E. coli (EIEC)] in fecal samples collected from 49 patients with
acute diarrhea and 32 healthy controls from Madrid, Spain. In addition, the
presence of four serotype-related genes (wzx O104 and fliCH4, rfb O157, and
fliCH7) was also determined. Presence of target genes was assessed using a
quantitative real-time PCR assay previously developed, and the association of
presence and burden of VGs with clinical disease and/or other risk factors was
explored. Prevalence of ehxA [typically associated with Shigatoxin producing E.
coli (STEC) and (EPEC), invA (EIEC), and the rfb O157+fliCH7 (STEC)] combination
were significantly (p < 0.02) higher in the diarrheic group, while the wzx
O104+fliCH4 combination was significantly (p = 0.014) more prevalent in the
control group. On the other hand, eae was detected in more than 90% of the
individuals in both patient and control populations, and it was not associated
with bfpA, suggesting the absence of typical EPEC. No significant differences in
the quantitative values were detected for any VG among study groups, but the
difference in the load of aggR (EAEC) and invA in the patients with respect to
the controls was close to the significance, suggesting a potential role of these
VGs in the clinical signs observed when they are present at high levels.
PMID- 27199965
TI - Virulence Characterisation of Salmonella enterica Isolates of Differing
Antimicrobial Resistance Recovered from UK Livestock and Imported Meat Samples.
AB - Salmonella enterica is a foodborne zoonotic pathogen of significant public health
concern. We have characterized the virulence and antimicrobial resistance gene
content of 95 Salmonella isolates from 11 serovars by DNA microarray recovered
from UK livestock or imported meat. Genes encoding resistance to sulphonamides
(sul1, sul2), tetracycline [tet(A), tet(B)], streptomycin (strA, strB),
aminoglycoside (aadA1, aadA2), beta-lactam (bla TEM), and trimethoprim (dfrA17)
were common. Virulence gene content differed between serovars; S. Typhimurium
formed two subclades based on virulence plasmid presence. Thirteen isolates were
selected by their virulence profile for pathotyping using the Galleria mellonella
pathogenesis model. Infection with a chicken invasive S. Enteritidis or S.
Gallinarum isolate, a multidrug resistant S. Kentucky, or a S. Typhimurium DT104
isolate resulted in high mortality of the larvae; notably presence of the
virulence plasmid in S. Typhimurium was not associated with increased larvae
mortality. Histopathological examination showed that infection caused severe
damage to the Galleria gut structure. Enumeration of intracellular bacteria in
the larvae 24 h post-infection showed increases of up to 7 log above the initial
inoculum and transmission electron microscopy (TEM) showed bacterial replication
in the haemolymph. TEM also revealed the presence of vacuoles containing bacteria
in the haemocytes, similar to Salmonella containing vacuoles observed in
mammalian macrophages; although there was no evidence from our work of bacterial
replication within vacuoles. This work shows that microarrays can be used for
rapid virulence genotyping of S. enterica and that the Galleria animal model
replicates some aspects of Salmonella infection in mammals. These procedures can
be used to help inform on the pathogenicity of isolates that may be antibiotic
resistant and have scope to aid the assessment of their potential public and
animal health risk.
PMID- 27199967
TI - Non-conventional Yeast Species for Lowering Ethanol Content of Wines.
AB - Rising sugar content in grape must, and the concomitant increase in alcohol
levels in wine, are some of the main challenges affecting the winemaking industry
nowadays. Among the several alternative solutions currently under study, the use
of non-conventional yeasts during fermentation holds good promise for
contributing to relieve this problem. Non-Saccharomyces wine yeast species
comprise a high number or species, so encompassing a wider physiological
diversity than Saccharomyces cerevisiae. Indeed, the current oenological interest
of these microorganisms was initially triggered by their potential positive
contribution to the sensorial complexity of quality wines, through the production
of aroma and other sensory-active compounds. This diversity also involves ethanol
yield on sugar, one of the most invariant metabolic traits of S. cerevisiae. This
review gathers recent research on non-Saccharomyces yeasts, aiming to produce
wines with lower alcohol content than those from pure Saccharomyces starters.
Critical aspects discussed include the selection of suitable yeast strains
(considering there is a noticeable intra-species diversity for ethanol yield, as
shown for other fermentation traits), identification of key environmental
parameters influencing ethanol yields (including the use of controlled
oxygenation conditions), and managing mixed fermentations, by either the
sequential or simultaneous inoculation of S. cerevisiae and non-Saccharomyces
starter cultures. The feasibility, at the industrial level, of using non
Saccharomyces yeasts for reducing alcohol levels in wine will require an improved
understanding of the metabolism of these alternative yeast species, as well as of
the interactions between different yeast starters during the fermentation of
grape must.
PMID- 27199969
TI - The Microbiota and Health Promoting Characteristics of the Fermented Beverage
Kefir.
AB - Kefir is a complex fermented dairy product created through the symbiotic
fermentation of milk by lactic acid bacteria and yeasts contained within an
exopolysaccharide and protein complex called a kefir grain. As with other
fermented dairy products, kefir has been associated with a range of health
benefits such as cholesterol metabolism and angiotensin-converting enzyme (ACE)
inhibition, antimicrobial activity, tumor suppression, increased speed of wound
healing, and modulation of the immune system including the alleviation of allergy
and asthma. These reports have led to increased interest in kefir as a focus of
research and as a potential probiotic-containing product. Here, we review those
studies with a particular emphasis on the microbial composition and the health
benefits of the product, as well as discussing the further development of kefir
as an important probiotic product.
PMID- 27199968
TI - Advances in Molecular Serotyping and Subtyping of Escherichia coli.
AB - Escherichia coli plays an important role as a member of the gut microbiota;
however, pathogenic strains also exist, including various diarrheagenic E. coli
pathotypes and extraintestinal pathogenic E. coli that cause illness outside of
the GI-tract. E. coli have traditionally been serotyped using antisera against
the ca. 186 O-antigens and 53 H-flagellar antigens. Phenotypic methods, including
bacteriophage typing and O- and H- serotyping for differentiating and
characterizing E. coli have been used for many years; however, these methods are
generally time consuming and not always accurate. Advances in next generation
sequencing technologies have made it possible to develop genetic-based subtyping
and molecular serotyping methods for E. coli, which are more discriminatory
compared to phenotypic typing methods. Furthermore, whole genome sequencing (WGS)
of E. coli is replacing established subtyping methods such as pulsed-field gel
electrophoresis, providing a major advancement in the ability to investigate food
borne disease outbreaks and for trace-back to sources. A variety of sequence
analysis tools and bioinformatic pipelines are being developed to analyze the
vast amount of data generated by WGS and to obtain specific information such as O
and H-group determination and the presence of virulence genes and other genetic
markers.
PMID- 27199971
TI - An Innovative Method for Rapid Identification and Detection of Vibrio
alginolyticus in Different Infection Models.
AB - Vibrio alginolyticus is one of the most common pathogenic marine Vibrio species,
and has been found to cause serious seafood-poisoning or fatal extra-intestinal
infections in humans, such as necrotizing soft-tissue infections, bacteremia,
septic shock, and multiple organ failures. Delayed accurate diagnosis and
treatment of most Vibrio infections usually result to high mortality rates. The
objective of this study was to establish a rapid diagnostic method to detect and
identify the presence of V. alginolyticus in different samples, so as to
facilitate timely treatment. The widely employed conventional methods for
detection of V. alginolyticus include biochemical identification and a variety of
PCR methods. The former is of low specificity and time-consuming (2-3 days),
while the latter has improved accuracy and processing time. Despite such
advancements, these methods are still complicated, time-consuming, expensive,
require expertise and advanced laboratory systems, and are not optimal for field
use. With the goal of providing a simple and efficient way to detect V.
alginolyticus, we established a rapid diagnostic method based on loop-mediated
Isothermal amplification (LAMP) technology that is feasible to use in both
experimental and field environments. Three primer pairs targeting the toxR gene
of V. alginolyticus were designed, and amplification was carried out in an ESE
tube scanner and Real-Time PCR device. We successfully identified 93 V.
alginolyticus strains from a total of 105 different bacterial isolates and
confirmed their identity by 16s rDNA sequencing. We also applied this method on
infected mouse blood and contaminated scallop samples, and accurate results were
both easily and rapidly (20-60 min) obtained. Therefore, the RT-LAMP assay we
developed can be conveniently used to detect the presence of V. alginolyticus in
different samples. Furthermore, this method will also fulfill the gap for real
time screening of V. alginolyticus infections especially while on field.
PMID- 27199972
TI - The PK/PD Interactions of Doxycycline against Mycoplasma gallisepticum.
AB - Mycoplasma gallisepticum is one of the most important pathogens that cause
chronic respiratory disease in chicken. This study investigated the antibacterial
activity of doxycycline against M. gallisepticum strain S6. In static time
killing studies with constant antibiotic concentrations [0-64 minimum inhibitory
concentration (MIC)], M. gallisepticum colonies were quantified and kill rates
were calculated to estimate the drug effect. The half-life of doxycycline in
chicken was 6.51 +/- 0.63 h. An in vitro dynamic model (the drug concentrations
are fluctuant) was also established and two half-lives of 6.51 and 12 h were
simulated. The samples were collected for drug concentration determination and
viable counting of M. gallisepticum. In static time-killing studies, doxycycline
produced a maximum antimycoplasmal effect of 5.62log10 (CFU/mL) reduction and the
maximum kill rate was 0.11 h(-1). In the in vitro dynamic model, doxycycline had
a mycoplasmacidal activity in the two regimens, and the maximum antimycoplasmal
effects were 4.1 and 4.75log10 (CFU/mL) reduction, respectively. Furthermore, the
cumulative percentage of time over a 48-h period that the drug concentration
exceeds the MIC (%T > MIC) was the pharmacokinetic-pharmacodynamic index that
best correlated with antimicrobial efficacy (R (2) = 0.986, compared with 0.897
for the peak level divided by the MIC and 0.953 for the area under the
concentration-time curve over 48 h divided by the MIC). The estimated %T > MIC
values for 0log10 (CFU/mL) reduction, 2log10 (CFU/mL) reduction and 3log10
(CFU/mL) reduction were 32.48, 45.68, and 54.36%, respectively, during 48 h
treatment period of doxycycline. In conclusion, doxycycline shows excellent
effectiveness and time-dependent characteristics against M. gallisepticum strain
S6 in vitro. Additionally, these results will guide optimal dosing strategies of
doxycycline in M. gallisepticum infection.
PMID- 27199970
TI - Co-occurrence Analysis of Microbial Taxa in the Atlantic Ocean Reveals High
Connectivity in the Free-Living Bacterioplankton.
AB - We determined the taxonomic composition of the bacterioplankton of the epipelagic
zone of the Atlantic Ocean along a latitudinal transect (51 degrees S-47 degrees
N) using Illumina sequencing of the V5-V6 region of the 16S rRNA gene and
inferred co-occurrence networks. Bacterioplankon community composition was
distinct for Longhurstian provinces and water depth. Free-living microbial
communities (between 0.22 and 3 MUm) were dominated by highly abundant and
ubiquitous taxa with streamlined genomes (e.g., SAR11, SAR86, OM1,
Prochlorococcus) and could clearly be separated from particle-associated
communities which were dominated by Bacteroidetes, Planktomycetes,
Verrucomicrobia, and Roseobacters. From a total of 369 different communities we
then inferred co-occurrence networks for each size fraction and depth layer of
the plankton between bacteria and between bacteria and phototrophic micro
eukaryotes. The inferred networks showed a reduction of edges in the deepest
layer of the photic zone. Networks comprised of free-living bacteria had a larger
amount of connections per OTU when compared to the particle associated
communities throughout the water column. Negative correlations accounted for
roughly one third of the total edges in the free-living communities at all
depths, while they decreased with depth in the particle associated communities
where they amounted for roughly 10% of the total in the last part of the
epipelagic zone. Co-occurrence networks of bacteria with phototrophic micro
eukaryotes were not taxon-specific, and dominated by mutual exclusion (~60%). The
data show a high degree of specialization to micro-environments in the water
column and highlight the importance of interdependencies particularly between
free-living bacteria in the upper layers of the epipelagic zone.
PMID- 27199975
TI - Commentary: Agroforestry leads to shifts within the gammaproteobacterial
microbiome of banana plants cultivated in Central America.
PMID- 27199974
TI - Identification of a Peptide Produced by Bifidobacterium longum CECT 7210 with
Antirotaviral Activity.
AB - Rotavirus is one of the main causes of acute diarrhea and enteritis in infants.
Currently, studies are underway to assess the use of probiotics to improve
rotavirus vaccine protection. A previous work demonstrated that the probiotic
strain Bifidobacterium longum subsp. infantis CECT 7210 is able to hinder
rotavirus replication both in vitro and in vivo. The present study takes a
systematic approach in order to identify the molecule directly involved in
rotavirus inhibition. Supernatant protease digestions revealed both the
proteinaceous nature of the active substance and the fact that the molecule
responsible for inhibiting rotavirus replication is released to the supernatant.
Following purification by cationic exchange chromatography, active fractions were
obtained and the functional compound was identified as an 11-amino acid peptide
(MHQPHQPLPPT, named 11-mer peptide) with a molecular mass of 1.282 KDa. The
functionality of 11-mer was verified using the synthesized peptide in Wa, Ito,
and VA70 rotavirus infections of both HT-29 and MA-104 cell lines. Finally,
protease activity was detected in B. longum subsp. infantis CECT 7210
supernatant, which releases 11-mer peptide. A preliminary identification of the
protease is also included in the study.
PMID- 27199973
TI - Networks of Host Factors that Interact with NS1 Protein of Influenza A Virus.
AB - Pigs are an important host of influenza A viruses due to their ability to
generate reassortant viruses with pandemic potential. NS1 protein of influenza A
viruses is a key virulence factor and a major antagonist of innate immune
responses. It is also involved in enhancing viral mRNA translation and regulation
of virus replication. Being a protein with pleiotropic functions, NS1 has a
variety of cellular interaction partners. Hence, studies on swine influenza
viruses (SIV) and identification of swine influenza NS1-interacting host proteins
is of great interest. Here, we constructed a recombinant SIV carrying a Strep-tag
in the NS1 protein and infected primary swine respiratory epithelial cells
(SRECs) with this virus. The Strep-tag sequence in the NS1 protein enabled us to
purify intact, the NS1 protein and its interacting protein complex specifically.
We identified cellular proteins present in the purified complex by liquid
chromatography-tandem mass spectrometry (LC-MS/MS) and generated a dataset of
these proteins. 445 proteins were identified by LC-MS/MS and among them 192
proteins were selected by setting up a threshold based on MS parameters. The
selected proteins were analyzed by bioinformatics and were categorized as
belonging to different functional groups including translation, RNA processing,
cytoskeleton, innate immunity, and apoptosis. Protein interaction networks were
derived using these data and the NS1 interactions with some of the specific host
factors were verified by immunoprecipitation. The novel proteins and the networks
revealed in our study will be the potential candidates for targeted study of the
molecular interaction of NS1 with host proteins, which will provide insights into
the identification of new therapeutic targets to control influenza infection and
disease pathogenesis.
PMID- 27199976
TI - Dietary Shifts May Trigger Dysbiosis and Mucous Stools in Giant Pandas
(Ailuropoda melanoleuca).
AB - Dietary shifts can result in changes to the gastrointestinal tract (GIT)
microbiota, leading to negative outcomes for the host, including inflammation.
Giant pandas (Ailuropoda melanoleuca) are physiologically classified as
carnivores; however, they consume an herbivorous diet with dramatic seasonal
dietary shifts and episodes of chronic GIT distress with symptoms including
abdominal pain, loss of appetite and the excretion of mucous stools (mucoids).
These episodes adversely affect the overall nutritional and health status of
giant pandas. Here, we examined the fecal microbiota of two giant pandas' non
mucoid and mucoid stools and compared these to samples from a previous winter
season that had historically few mucoid episodes. To identify the microbiota
present, we isolated and sequenced the 16S rRNA using next-generation sequencing.
Mucoids occurred following a seasonal feeding switch from predominately bamboo
culm (stalk) to leaves. All fecal samples displayed low diversity and were
dominated by bacteria in the phyla Firmicutes and to a lesser extent,
Proteobacteria. Fecal samples immediately prior to mucoid episodes had lower
microbial diversity as compared to mucoids. Mucoids were mostly comprised of
common mucosal-associated taxa including Streptococcus and Leuconostoc species,
and exhibited increased abundance for bacteria in the family Pasteurellaceae.
Taken together, these findings indicate that mucoids may represent an expulsion
of the mucosal lining that is driven by changes in diet. We suggest that these
occurrences serve to reset their GIT microbiota following changes in bamboo part
preference, as giant pandas have retained a carnivorous GIT anatomy while
shifting to an herbivorous diet.
PMID- 27199977
TI - Diversity and Evolution of Type IV pili Systems in Archaea.
AB - Many surface structures in archaea including various types of pili and the
archaellum (archaeal flagellum) are homologous to bacterial type IV pili systems
(T4P). The T4P consist of multiple proteins, often with poorly conserved
sequences, complicating their identification in sequenced genomes. Here we report
a comprehensive census of T4P encoded in archaeal genomes using sensitive methods
for protein sequence comparison. This analysis confidently identifies as T4P
components about 5000 archaeal gene products, 56% of which are currently
annotated as hypothetical in public databases. Combining results of this analysis
with a comprehensive comparison of genomic neighborhoods of the T4P, we present
models of organization of 10 most abundant variants of archaeal T4P. In addition
to the differentiation between major and minor pilins, these models include extra
components, such as S-layer proteins, adhesins and other membrane and
intracellular proteins. For most of these systems, dedicated major pilin families
are identified including numerous stand alone major pilin genes of the PilA
family. Evidence is presented that secretion ATPases of the T4P and cognate TadC
proteins can interact with different pilin sets. Modular evolution of T4P results
in combinatorial variability of these systems. Potential regulatory or modulating
proteins for the T4P are identified including KaiC family ATPases, vWA domain
containing proteins and the associated MoxR/GvpN ATPase, TFIIB homologs and
multiple unrelated transcription regulators some of which are associated specific
T4P. Phylogenomic analysis suggests that at least one T4P system was present in
the last common ancestor of the extant archaea. Multiple cases of horizontal
transfer and lineage-specific duplication of T4P loci were detected. Generally,
the T4P of the archaeal TACK superphylum are more diverse and evolve notably
faster than those of euryarchaea. The abundance and enormous diversity of T4P in
hyperthermophilic archaea present a major enigma. Apparently, fundamental aspects
of the biology of hyperthermophiles remain to be elucidated.
PMID- 27199978
TI - Warming Alters Expressions of Microbial Functional Genes Important to Ecosystem
Functioning.
AB - Soil microbial communities play critical roles in ecosystem functioning and are
likely altered by climate warming. However, so far, little is known about effects
of warming on microbial functional gene expressions. Here, we applied functional
gene array (GeoChip 3.0) to analyze cDNA reversely transcribed from total RNA to
assess expressed functional genes in active soil microbial communities after nine
years of experimental warming in a tallgrass prairie. Our results showed that
warming significantly altered the community wide gene expressions. Specifically,
expressed genes for degrading more recalcitrant carbon were stimulated by
warming, likely linked to the plant community shift toward more C4 species under
warming and to decrease the long-term soil carbon stability. In addition, warming
changed expressed genes in labile C degradation and N cycling in different
directions (increase and decrease), possibly reflecting the dynamics of labile C
and available N pools during sampling. However, the average abundances of
expressed genes in phosphorus and sulfur cycling were all increased by warming,
implying a stable trend of accelerated P and S processes which might be a
mechanism to sustain higher plant growth. Furthermore, the expressed gene
composition was closely related to both dynamic (e.g., soil moisture) and stable
environmental attributes (e.g., C4 leaf C or N content), indicating that RNA
analyses could also capture certain stable trends in the long-term treatment.
Overall, this study revealed the importance of elucidating functional gene
expressions of soil microbial community in enhancing our understanding of
ecosystem responses to warming.
PMID- 27199980
TI - Erratum: Defining Mononuclear Phagocyte Subset Homology across Several Distant
Warm-Blooded Vertebrates through Comparative Transcriptomics.
AB - [This corrects the article on p. 299 in vol. 6, PMID: 26150816.].
PMID- 27199979
TI - The Autoimmune Ecology.
AB - Autoimmune diseases (ADs) represent a heterogeneous group of disorders that
affect specific target organs or multiple organ systems. These conditions share
common immunopathogenic mechanisms (i.e., the autoimmune tautology), which
explain the clinical similarities they have among them as well as their familial
clustering (i.e., coaggregation). As part of the autoimmune tautology, the
influence of environmental exposure on the risk of developing ADs is paramount
(i.e., the autoimmune ecology). In fact, environment, more than genetics, shapes
immune system. Autoimmune ecology is akin to exposome, that is all the exposures
internal and external - across the lifespan, interacting with hereditary factors
(both genetics and epigenetics) to favor or protect against autoimmunity and its
outcomes. Herein, we provide an overview of the autoimmune ecology, focusing on
the immune response to environmental agents in general, and microbiota, cigarette
smoking, alcohol and coffee consumption, socioeconomic status (SES), gender and
sex hormones, vitamin D, organic solvents, and vaccines in particular. Inclusion
of the autoimmune ecology in disease etiology and health will improve the way
personalized medicine is currently conceived and applied.
PMID- 27199981
TI - Paradoxical Roles of the Neutrophil in Sepsis: Protective and Deleterious.
AB - Sepsis, an overwhelming inflammatory response syndrome secondary to infection, is
one of the costliest and deadliest medical conditions worldwide. Neutrophils are
classically considered to be essential players in the host defense against
invading pathogens. However, several investigations have shown that impairment of
neutrophil migration to the site of infection, also referred to as neutrophil
paralysis, occurs during severe sepsis, resulting in an inability of the host to
contain and eliminate the infection. On the other hand, the neutrophil
antibacterial arsenal contributes to tissue damage and the development of organ
dysfunction during sepsis. In this review, we provide an overview of the main
events in which neutrophils play a beneficial or deleterious role in the outcome
of sepsis.
PMID- 27199983
TI - Alternative Pathway Dysregulation and the Conundrum of Complement Activation by
IgG4 Immune Complexes in Membranous Nephropathy.
AB - Membranous nephropathy (MN), a major cause of nephrotic syndrome, is a non
inflammatory immune kidney disease mediated by IgG antibodies that form
glomerular subepithelial immune complexes. In primary MN, autoantibodies target
proteins expressed on the podocyte surface, often phospholipase A2 receptor
(PLA2R1). Pathology is driven by complement activation, leading to podocyte
injury and proteinuria. This article overviews the mechanisms of complement
activation and regulation in MN, addressing the paradox that anti-PLA2R1 and
other antibodies causing primary MN are predominantly (but not exclusively) IgG4,
an IgG subclass that does not fix complement. Besides immune complexes,
alterations of the glomerular basement membrane (GBM) in MN may lead to impaired
regulation of the alternative pathway (AP). The AP amplifies complement
activation on surfaces insufficiently protected by complement regulatory
proteins. Whereas podocytes are protected by cell-bound regulators, the GBM must
recruit plasma factor H, which inhibits the AP on host surfaces carrying certain
polyanions, such as heparan sulfate (HS) chains. Because HS chains present in the
normal GBM are lost in MN, we posit that the local complement regulation by
factor H may be impaired as a result. Thus, the loss of GBM HS in MN creates a
micro-environment that promotes local amplification of complement activation,
which in turn may be initiated via the classical or lectin pathways by subsets of
IgG in immune complexes. A detailed understanding of the mechanisms of complement
activation and dysregulation in MN is important for designing more effective
therapies.
PMID- 27199982
TI - Role of Redox Status in Development of Glioblastoma.
AB - Glioblastoma multiforme (GBM) is a highly aggressive neoplasia, prognosis remains
dismal, and current therapy is mostly palliative. There are no known risk factors
associated with gliomagenesis; however, it is well established that chronic
inflammation in brain tissue induces oxidative stress in astrocytes and
microglia. High quantities of reactive species of oxygen into the cells can react
with several macromolecules, including chromosomal and mitochondrial DNA, leading
to damage and malfunction of DNA repair enzymes. These changes bring genetic
instability and abnormal metabolic processes, favoring oxidative environment and
increase rate of cell proliferation. In GBM, a high metabolic rate and increased
basal levels of reactive oxygen species play an important role as chemical
mediators in the regulation of signal transduction, protecting malignant cells
from apoptosis, thus creating an immunosuppressive environment. New redox
therapeutics could reduce oxidative stress preventing cellular damage and high
mutation rate accompanied by chromosomal instability, reducing the
immunosuppressive environment. In addition, therapies directed to modulate redox
rate reduce resistance and moderate the high rate of cell proliferation, favoring
apoptosis of tumoral cells. This review describes the redox status in GBM, and
how this imbalance could promote gliomagenesis through genomic and mitochondrial
DNA damage, inducing the pro-oxidant and proinflammatory environment involved in
tumor cell proliferation, resistance, and immune escape. In addition, some
therapeutic agents that modulate redox status and might be advantageous in
therapy against GBM are described.
PMID- 27199984
TI - Extracellular HSPs: The Complicated Roles of Extracellular HSPs in Immunity.
AB - Extracellular heat-shock proteins (HSPs) interact with the immune system in a
very complex manner. Many such HSPs exert powerful effects on the immune
response, playing both stimulatory and regulatory roles. However, the influence
of the HSPs on immunity appears to be positive or negative in nature - rarely
neutral. Thus, the HSPs can act as dominant antigens and can comprise key
components of antitumor vaccines. They can also function as powerful
immunoregulatory agents and, as such, are employed to treat inflammatory diseases
or to extend the lifespan of tissue transplants. Small modifications in the
cellular milieu have been shown to flip the allegiances of HSPs from
immunoregulatory agents toward a potent inflammatory alignment. These mutable
properties of HSPs may be related to the ability of these proteins to interact
with multiple receptors often with mutually confounding properties in immune
cells. Therefore, understanding the complex immune properties of HSPs may help us
to harness their potential in treatment of a range of conditions.
PMID- 27199987
TI - A Multi-Component Prime-Boost Vaccination Regimen with a Consensus MOMP Antigen
Enhances Chlamydia trachomatis Clearance.
AB - BACKGROUND: A vaccine for Chlamydia trachomatis is of urgent medical need. We
explored bioinformatic approaches to generate an immunogen against C. trachomatis
that would induce cross-serovar T-cell responses as (i) CD4(+) T cells have been
shown in animal models and human studies to be important in chlamydial protection
and (ii) antibody responses may be restrictive and serovar specific. METHODS: A
consensus antigen based on over 1,500 major outer membrane protein (MOMP)
sequences provided high epitope coverage against the most prevalent C.
trachomatis strains in silico. Having designed the T-cell immunogen, we assessed
it for immunogenicity in prime-boost regimens. This consensus MOMP transgene was
delivered using plasmid DNA, Human Adenovirus 5 (HuAd5) or modified vaccinia
Ankara (MVA) vectors with or without MF59((r)) adjuvanted recombinant MOMP
protein. RESULTS: Different regimens induced distinct immune profiles. The DNA
HuAd5-MVA-Protein vaccine regimen induced a cellular response with a Th1-biased
serum antibody response, alongside high serum and vaginal MOMP-specific
antibodies. This regimen significantly enhanced clearance against intravaginal C.
trachomatis serovar D infection in both BALB/c and B6C3F1 mouse strains. This
enhanced clearance was shown to be CD4(+) T-cell dependent. Future studies will
need to confirm the specificity and precise mechanisms of protection. CONCLUSION:
A C. trachomatis vaccine needs to induce a robust cellular response with broad
cross-serovar coverage and a heterologous prime-boost regimen may be an approach
to achieve this.
PMID- 27199986
TI - Post-transcriptional Regulation of Immunological Responses through
Riboclustering.
AB - Immunological programing of immune cells varies in response to changing
environmental signals. This process is facilitated by modifiers that regulate the
translational fate of mRNAs encoding various immune mediators, including
cytokines and chemokines, which in turn determine the rapid activation,
tolerance, and plasticity of the immune system. RNA-binding proteins (RBPs)
recruited by the specific sequence elements in mRNA transcripts are one such
modifiers. These RBPs form RBP-RNA complexes known as "riboclusters." These
riboclusters serve as RNA sorting machinery, where depending upon the composition
of the ribocluster, translation, degradation, or storage of mRNA is controlled.
Recent findings suggest that this regulation of mRNA homeostasis is critical for
controlling the immune response. Here, we present the current knowledge of the
ribocluster-mediated post-transcriptional regulation of immune mediators and
highlight recent findings regarding their implications for the pathogenesis of
acute or chronic inflammatory diseases.
PMID- 27199989
TI - Pattern of Infectious Morbidity in HIV-Exposed Uninfected Infants and Children.
AB - BACKGROUND: Almost 30% of children in Southern Africa are HIV exposed but
uninfected (HEU) and experience exposures that could increase vulnerability to
infectious diseases compared to HIV unexposed (HU) children. The mechanisms of
HEU infant vulnerability remain ill-defined. This review seeks to appraise the
existing clinical evidence of the pattern of HEU infant infectious morbidity to
aid understanding of the potential mechanism of susceptibility. METHODS: A
systematic search was conducted of scientific literature databases and conference
proceedings up to December 2015 for studies comparing adequately defined HEU (in
whom HIV-infection had been excluded through age-appropriate testing) and HU
infants for all-cause mortality, all-cause hospitalization, or an infection
related morbidity. The systematic review was complemented by a narrative review
of additional studies detailing the pattern of infectious morbidity experienced
by HEU children without comparison to HU children or without conclusive exclusion
of HIV-infection in HIV-exposed infants. RESULTS: Only 3 of 22 eligible
identified studies were designed to primarily compare HEU and HU infants for
infectious morbidity. Fourteen were conducted prior to 2009 in the context of
limited antiretroviral interventions. Three patterns emerge: (1) causes of
morbidity and mortality in HEU infants are consistent with the common causes of
childhood morbidity and mortality (pneumonia, diarrheal disease, and bacterial
sepsis) but occur with greater severity in HEU infants resulting in higher
mortality, more frequent hospitalization, and more severe manifestations of
disease; (2) the greatest relative difference between HEU and HU infants in
morbidity and mortality occurs beyond the neonatal period, during mid-infancy,
having waned by the second year of life; and (3) HEU infants are at greater risk
than HU infants for invasive streptococcal infections specifically Group B
Streptococcus and Streptococcus pneumonia. CONCLUSION: To definitively understand
HEU infant infectious morbidity risk, substantially larger prospective studies
with appropriate HU infant comparison groups are necessary. HEU children would
benefit from collaboration among researchers to achieve the quality of evidence
required to improve HEU infant outcomes globally. HEU infant health and well
being, beyond avoiding HIV-infection, deserves a more prominent position in the
local and international HIV research agendas.
PMID- 27199985
TI - Resolution of Inflammation: What Controls Its Onset?
AB - An effective resolution program may be able to prevent the progression from non
resolving acute inflammation to persistent chronic inflammation. It has now
become evident that coordinated resolution programs initiate shortly after
inflammatory responses begin. In this context, several mechanisms provide the
fine-tuning of inflammation and create a favorable environment for the resolution
phase to take place and for homeostasis to return. In this review, we focus on
the events required for an effective transition from the proinflammatory phase to
the onset and establishment of resolution. We suggest that several mediators that
promote the inflammatory phase of inflammation can simultaneously initiate a
program for active resolution. Indeed, several events enact a decrease in the
local chemokine concentration, a reduction which is essential to inhibit further
infiltration of neutrophils into the tissue. Interestingly, although neutrophils
are cells that characteristically participate in the active phase of
inflammation, they also contribute to the onset of resolution. Further
understanding of the molecular mechanisms that initiate resolution may be
instrumental to develop pro-resolution strategies to treat complex chronic
inflammatory diseases, in humans. The efforts to develop strategies based on
resolution of inflammation have shaped a new area of pharmacology referred to as
"resolution pharmacology."
PMID- 27199988
TI - STAT3, a Key Parameter of Cytokine-Driven Tissue Protection during Sterile
Inflammation - the Case of Experimental Acetaminophen (Paracetamol)-Induced Liver
Damage.
AB - Acetaminophen (APAP, N-acetyl-p-aminophenol, or paracetamol) overdosing is a
prevalent cause of acute liver injury. While clinical disease is initiated by
overt parenchymal hepatocyte necrosis in response to the analgetic, course of
intoxication is substantially influenced by associated activation of innate
immunity. This process is supposed to be set in motion by release of danger
associated molecular patterns (DAMPs) from dying hepatocytes and is accompanied
by an inflammatory cytokine response. Murine models of APAP-induced liver injury
emphasize the complex role that DAMPs and cytokines play in promoting either
hepatic pathogenesis or resolution and recovery from intoxication. Whereas the
function of key inflammatory cytokines is controversially discussed, a subclass
of specific cytokines capable of efficiently activating the hepatocyte signal
transducer and activator of transcription (STAT)-3 pathway stands out as being
consistently protective in murine models of APAP intoxication. Those include
foremost interleukin (IL)-6, IL-11, IL-13, and IL-22. Above all, activation of
STAT3 under the influence of these cytokines has the capability to drive
hepatocyte compensatory proliferation, a key principle of the regenerating liver.
Herein, the role of these specific cytokines during experimental APAP-induced
liver injury is highlighted and discussed in a broader perspective. In hard-to
treat or at-risk patients, standard therapy may fail and APAP intoxication can
proceed toward a fatal condition. Focused administration of recombinant STAT3
activating cytokines may evolve as novel therapeutic approach under those ill
fated conditions.
PMID- 27199991
TI - Editorial: Dendritic Cell and Macrophage Nomenclature and Classification.
PMID- 27199990
TI - Licensed and Unlicensed NK Cells: Differential Roles in Cancer and Viral Control.
AB - Natural killer (NK) cells are known for their well characterized ability to
control viral infections and eliminate tumor cells. Through their repertoire of
activating and inhibitory receptors, NK cells are able to survey different
potential target cells for various surface markers, such as MHC-I - which signals
to the NK cell that the target is healthy - as well as stress ligands or viral
proteins, which alert the NK cell to the aberrant state of the target and
initiate a response. According to the "licensing" hypothesis, interactions
between self-specific MHC-I receptors - Ly49 in mice and KIR in humans - and self
MHC-I molecules during NK cell development is crucial for NK cell functionality.
However, there also exists a large proportion of NK cells in mice and humans,
which lack self-specific MHC-I receptors and are consequentially "unlicensed."
While the licensed NK cell subset plays a major role in the control of MHC-I
deficient tumors, this review will go on to highlight the important role of the
unlicensed NK cell subset in the control of MHC-I-expressing tumors, as well as
in viral control. Unlike the licensed NK cells, unlicensed NK cells seem to
benefit from the lack of self-specific inhibitory receptors, which could
otherwise be exploited by some aberrant cells for immunoevasion by upregulating
the expression of ligands or mimic ligands for these receptors.
PMID- 27199992
TI - Allosteric Modulation of Chemoattractant Receptors.
AB - Chemoattractants control selective leukocyte homing via interactions with a
dedicated family of related G protein-coupled receptor (GPCR). Emerging evidence
indicates that the signaling activity of these receptors, as for other GPCR, is
influenced by allosteric modulators, which interact with the receptor in a
binding site distinct from the binding site of the agonist and modulate the
receptor signaling activity in response to the orthosteric ligand. Allosteric
modulators have a number of potential advantages over orthosteric
agonists/antagonists as therapeutic agents and offer unprecedented opportunities
to identify extremely selective drug leads. Here, we resume evidence of
allosterism in the context of chemoattractant receptors, discussing in particular
its functional impact on functional selectivity and probe/concentration
dependence of orthosteric ligands activities.
PMID- 27199993
TI - Heat Shock Protein-Peptide and HSP-Based Immunotherapies for the Treatment of
Cancer.
AB - Intracellular residing heat shock proteins (HSPs) with a molecular weight of
approximately 70 and 90 kDa function as molecular chaperones that assist
folding/unfolding and transport of proteins across membranes and prevent protein
aggregation after environmental stress. In contrast to normal cells, tumor cells
have higher cytosolic heat shock protein 70 and Hsp90 levels, which contribute to
tumor cell propagation, metastasis, and protection against apoptosis. In addition
to their intracellular chaperoning functions, extracellular localized and
membrane-bound HSPs have been found to play key roles in eliciting antitumor
immune responses by acting as carriers for tumor-derived immunogenic peptides, as
adjuvants for antigen presentation, or as targets for the innate immune system.
The interaction of HSP-peptide complexes or peptide-free HSPs with receptors on
antigen-presenting cells promotes the maturation of dendritic cells, results in
an upregulation of major histocompatibility complex class I and class II
molecules, induces secretion of pro- and anti-inflammatory cytokines, chemokines,
and immune modulatory nitric oxides, and thus integrates adaptive and innate
immune phenomena. Herein, we aim to recapitulate the history and current status
of HSP-based immunotherapies and vaccination strategies in the treatment of
cancer.
PMID- 27199994
TI - Regulation of T Cell Differentiation and Function by EZH2.
AB - The enhancer of zeste homolog 2 (EZH2), one of the polycomb-group proteins, is
the catalytic subunit of Polycomb-repressive complex 2 (PRC2) and induces the
trimethylation of the histone H3 lysine 27 (H3K27me3) promoting epigenetic gene
silencing. EZH2 contains a SET domain promoting the methyltransferase activity,
while the three other protein components of PRC2, namely EED, SUZ12, and
RpAp46/48, induce compaction of the chromatin permitting EZH2 enzymatic activity.
Numerous studies highlight the role of this evolutionary conserved protein as a
master regulator of differentiation in humans involved in the repression of the
homeotic gene and the inactivation of X-chromosome. Through its effects in the
epigenetic regulation of critical genes, EZH2 has been strongly linked to cell
cycle progression, stem cell pluripotency, and cancer biology, being currently at
the cutting edge of research. Most recently, EZH2 has been associated with
hematopoietic stem cell proliferation and differentiation, thymopoiesis and
lymphopoiesis. Several studies have evaluated the role of EZH2 in the regulation
of T cell differentiation and plasticity as well as its implications in the
development of autoimmune diseases and graft-versus-host disease (GVHD). The aim
of this review is to summarize the current knowledge regarding the role of EZH2
in the regulation of the differentiation and function of T cells focusing on
possible applications in various immune-mediated conditions, including autoimmune
disorders and GVHD.
PMID- 27199996
TI - Host Lipid Bodies as Platforms for Intracellular Survival of Protozoan Parasites.
AB - Pathogens induce several changes in the host cell signaling and trafficking
mechanisms in order to evade and manipulate the immune response. One prominent
pathogen-mediated change is the formation of lipid-rich organelles, termed lipid
bodies (LBs) or lipid droplets, in the host cell cytoplasm. Protozoan parasites,
which contribute expressively to the burden of infectious diseases worldwide, are
able to induce LB genesis in non-immune and immune cells, mainly macrophages, key
players in the initial resistance to the infection. Under host-parasite
interaction, LBs not only accumulate in the host cytoplasm but also relocate
around and move into parasitophorous vacuoles. There is increasing evidence that
protozoan parasites may target host-derived LBs either for gaining nutrients or
for escaping the host immune response. Newly formed, parasite-induced LBs may
serve as lipid sources for parasite growth and also produce inflammatory
mediators that potentially act in the host immune response deactivation. In this
mini review, we summarize current knowledge on the formation and role of host LBs
as sites exploited by intracellular protozoan parasites as a strategy to maintain
their own survival.
PMID- 27199995
TI - The Potential of HLA-G-Bearing Extracellular Vesicles as a Future Element in HLA
G Immune Biology.
AB - The HLA-G molecule is a member of the non-classical HLA class I family. Its
surface expression is physiologically restricted to the maternal-fetal interface
and to immune privileged adult tissues. Despite the restricted tissue expression,
HLA-G is detectable in body fluids as secreted soluble molecules. A unique
feature of HLA-G is the structural diversity as surface expressed and as secreted
molecules. Secreted HLA-G can be found in various body fluids either as free
soluble HLA-G or as part of extracellular vesicles (EVs), which are composed of
various antigens/ligands/receptors, bioactive lipids, cytokines, growth factors,
and genetic information, such as mRNA and microRNA. Functionally, HLA-G and its
secreted forms are considered to play a crucial role in the network of immune
regulatory tolerance mechanisms, preferentially interacting with the cognate
inhibitory receptors LILRB1 and LILRB2. The HLA-G mediated tolerance is described
in processes of pregnancy, inflammation, and cancer. However, almost all
functional and clinical implications of HLA-G in vivo and in vitro have been
established based on simple single ligand/receptor interactions at the cell
surface, whereas HLA-G-bearing EVs were in minor research focus. Indeed,
cytotrophoblast cells, mesenchymal stem cells, and cancer cells were recently
described to secrete HLA-G-bearing EVs, displaying immunosuppressive effects and
modulating the tumor microenvironment. However, numerous functional and clinical
open questions persist. Here, we (i) introduce basic aspects of EVs biology, (ii)
summarize the functional knowledge, clinical implications and open questions of
HLA-G-bearing EVs, and (iii) discuss HLA-G-bearing EVs as a future element in HLA
G biology.
PMID- 27199997
TI - Analyses of Methylomes Derived from Meso-American Common Bean (Phaseolus vulgaris
L.) Using MeDIP-Seq and Whole Genome Sodium Bisulfite-Sequencing.
AB - Common bean (Phaseolus vulgaris L.) is economically important for its high
protein, fiber, and micronutrient contents, with a relatively small genome size
of ~587 Mb. Common bean is genetically diverse with two major gene pools, Meso
American and Andean. The phenotypic variability within common bean is partly
attributed to the genetic diversity and epigenetic changes that are largely
influenced by environmental factors. It is well established that an important
epigenetic regulator of gene expression is DNA methylation. Here, we present
results generated from two high-throughput sequencing technologies, methylated
DNA immunoprecipitation-sequencing (MeDIP-seq) and whole genome bisulfite
sequencing (BS-Seq). Our analyses revealed that this Meso-American common bean
displays similar methylation patterns as other previously published plant
methylomes, with CG ~50%, CHG ~30%, and CHH ~2.7% methylation, however, these
differ from the common bean reference methylome of Andean origin. We identified
higher CG methylation levels in both promoter and genic regions than CHG and CHH
contexts. Moreover, we found relatively higher CG methylation levels in genes
than in promoters. Conversely, the CHG and CHH methylation levels were highest in
promoters than in genes. This is the first genome-wide DNA methylation profiling
study in a Meso-American common bean cultivar ("Sierra") using NGS approaches.
Our long-term goal is to generate genome-wide epigenomic maps in common bean
focusing on chromatin accessibility, histone modifications, and DNA methylation.
PMID- 27200000
TI - Yes, We Have an Inflation of Reviews: But of the Wrong Kind!
PMID- 27199998
TI - Emerging Genomic Tools for Legume Breeding: Current Status and Future Prospects.
AB - Legumes play a vital role in ensuring global nutritional food security and
improving soil quality through nitrogen fixation. Accelerated higher genetic
gains is required to meet the demand of ever increasing global population. In
recent years, speedy developments have been witnessed in legume genomics due to
advancements in next-generation sequencing (NGS) and high-throughput genotyping
technologies. Reference genome sequences for many legume crops have been reported
in the last 5 years. The availability of the draft genome sequences and re
sequencing of elite genotypes for several important legume crops have made it
possible to identify structural variations at large scale. Availability of large
scale genomic resources and low-cost and high-throughput genotyping technologies
are enhancing the efficiency and resolution of genetic mapping and marker-trait
association studies. Most importantly, deployment of molecular breeding
approaches has resulted in development of improved lines in some legume crops
such as chickpea and groundnut. In order to support genomics-driven crop
improvement at a fast pace, the deployment of breeder-friendly genomics and
decision support tools seems appear to be critical in breeding programs in
developing countries. This review provides an overview of emerging genomics and
informatics tools/approaches that will be the key driving force for accelerating
genomics-assisted breeding and ultimately ensuring nutritional and food security
in developing countries.
PMID- 27199999
TI - Hydrogen Peroxide, Signaling in Disguise during Metal Phytotoxicity.
AB - Plants exposed to excess metals are challenged by an increased generation of
reactive oxygen species (ROS) such as superoxide ([Formula: see text]), hydrogen
peroxide (H2O2) and the hydroxyl radical ((*)OH). The mechanisms underlying this
oxidative challenge are often dependent on metal-specific properties and might
play a role in stress perception, signaling and acclimation. Although ROS were
initially considered as toxic compounds causing damage to various cellular
structures, their role as signaling molecules became a topic of intense research
over the last decade. Hydrogen peroxide in particular is important in signaling
because of its relatively low toxicity, long lifespan and its ability to cross
cellular membranes. The delicate balance between its production and scavenging by
a plethora of enzymatic and metabolic antioxidants is crucial in the onset of
diverse signaling cascades that finally lead to plant acclimation to metal
stress. In this review, our current knowledge on the dual role of ROS in metal
exposed plants is presented. Evidence for a relationship between H2O2 and plant
metal tolerance is provided. Furthermore, emphasis is put on recent advances in
understanding cellular damage and downstream signaling responses as a result of
metal-induced H2O2 production. Finally, special attention is paid to the
interaction between H2O2 and other signaling components such as transcription
factors, mitogen-activated protein kinases, phytohormones and regulating systems
(e.g. microRNAs). These responses potentially underlie metal-induced senescence
in plants. Elucidating the signaling network activated during metal stress is a
pivotal step to make progress in applied technologies like phytoremediation of
polluted soils.
PMID- 27200001
TI - Two Poplar-Associated Bacterial Isolates Induce Additive Favorable Responses in a
Constructed Plant-Microbiome System.
AB - The biological function of the plant-microbiome system is the result of
contributions from the host plant and microbiome members. The Populus root
microbiome is a diverse community that has high abundance of beta- and gamma
Proteobacteria, both classes which include multiple plant-growth promoting
representatives. To understand the contribution of individual microbiome members
in a community, we studied the function of a simplified community consisting of
Pseudomonas and Burkholderia bacterial strains isolated from Populus hosts and
inoculated on axenic Populus cutting in controlled laboratory conditions. Both
strains increased lateral root formation and root hair production in Arabidopsis
plate assays and are predicted to encode for different functions related to
growth and plant growth promotion in Populus hosts. Inoculation individually,
with either bacterial isolate, increased root growth relative to uninoculated
controls, and while root area was increased in mixed inoculation, the interaction
term was insignificant indicating additive effects of root phenotype.
Complementary data including photosynthetic efficiency, whole-transcriptome gene
expression and GC-MS metabolite expression data in individual and mixed
inoculated treatments indicate that the effects of these bacterial strains are
unique and additive. These results suggest that the function of a microbiome
community may be predicted from the additive functions of the individual members.
PMID- 27200002
TI - Monoterpenol Oxidative Metabolism: Role in Plant Adaptation and Potential
Applications.
AB - Plants use monoterpenols as precursors for the production of functionally and
structurally diverse molecules, which are key players in interactions with other
organisms such as pollinators, flower visitors, herbivores, fungal, or microbial
pathogens. For humans, many of these monoterpenol derivatives are economically
important because of their pharmaceutical, nutraceutical, flavor, or fragrance
applications. The biosynthesis of these derivatives is to a large extent
catalyzed by enzymes from the cytochrome P450 superfamily. Here we review the
knowledge on monoterpenol oxidative metabolism in plants with special focus on
recent elucidations of oxidation steps leading to diverse linalool and geraniol
derivatives. We evaluate the common features between oxidation pathways of these
two monoterpenols, such as involvement of the CYP76 family, and highlight the
differences. Finally, we discuss the missing steps and other open questions in
the biosynthesis of oxygenated monoterpenol derivatives.
PMID- 27200003
TI - Calcium and Potassium Supplementation Enhanced Growth, Osmolyte Secondary
Metabolite Production, and Enzymatic Antioxidant Machinery in Cadmium-Exposed
Chickpea (Cicer arietinum L.).
AB - This work examined the role of exogenously applied calcium (Ca; 50 mM) and
potassium (K; 10 mM) (alone and in combination) in alleviating the negative
effects of cadmium (Cd; 200 MUM) on growth, biochemical attributes, secondary
metabolites and yield of chickpea (Cicer arietinum L.). Cd stress significantly
decreased the length and weight (fresh and dry) of shoot and root and yield
attributes in terms of number of pods and seed yield (vs. control). Exhibition of
decreases in chlorophyll (Chl) a, Chl b, and total Chl was also observed with Cd
exposure when compared to control. However, Cd-exposure led to an increase in the
content of carotenoids. In contrast, the exogenous application of Ca and K
individually as well as in combination minimized the extent of Cd-impact on
previous traits. C. arietinum seedlings subjected to Cd treatment exhibited
increased contents of organic solute (proline, Pro) and total protein; whereas,
Ca and K-supplementation further enhanced the Pro and total protein content.
Additionally, compared to control, Cd-exposure also caused elevation in the
contents of oxidative stress markers (hydrogen peroxidase, H2O2; malondialdehyde,
MDA) and in the activity of antioxidant defense enzymes (superoxide dismutase,
SOD; catalase, CAT; ascorbate peroxidase, APX; glutathione reductase, GR). Ca, K,
and Ca + K supplementation caused further enhancements in the activity of these
enzymes but significantly decreased contents of H2O2 and MDA, also that of Cd
accumulation in shoot and root. The contents of total phenol, flavonoid and
mineral elements (S, Mn, Mg, Ca and K) that were also suppressed in Cd stressed
plants in both shoot and root were restored to appreciable levels with Ca- and K
supplementation. However, the combination of Ca + K supplementation was more
effective in bringing the positive response as compared to individual effect of
Ca and K on Cd-exposed C. arietinum. Overall, this investigation suggests that
application of Ca and/or K can efficiently minimize Cd-toxicity and eventually
improve health and yield in C. arietinum by the cumulative outcome of the
enhanced contents of organic solute, secondary metabolites, mineral elements, and
activity of antioxidant defense enzymes.
PMID- 27200004
TI - Soybean Roots Grown under Heat Stress Show Global Changes in Their
Transcriptional and Proteomic Profiles.
AB - Heat stress is likely to be a key factor in the negative impact of climate change
on crop production. Heat stress significantly influences the functions of roots,
which provide support, water, and nutrients to other plant organs. Likewise,
roots play an important role in the establishment of symbiotic associations with
different microorganisms. Despite the physiological relevance of roots, few
studies have examined their response to heat stress. In this study, we performed
genome-wide transcriptomic and proteomic analyses on isolated root hairs, which
are a single, epidermal cell type, and compared their response to stripped roots.
On average, we identified 1849 and 3091 genes differentially regulated in root
hairs and stripped roots, respectively, in response to heat stress. Our gene
regulatory module analysis identified 10 key modules that might control the
majority of the transcriptional response to heat stress. We also conducted
proteomic analysis on membrane fractions isolated from root hairs and compared
these responses to stripped roots. These experiments identified a variety of
proteins whose expression changed within 3 h of application of heat stress. Most
of these proteins were predicted to play a significant role in thermo-tolerance,
as well as in chromatin remodeling and post-transcriptional regulation. The data
presented represent an in-depth analysis of the heat stress response of a single
cell type in soybean.
PMID- 27200005
TI - Transcriptional Profiles of Drought-Related Genes in Modulating Metabolic
Processes and Antioxidant Defenses in Lolium multiflorum.
AB - Drought is a major environmental stress that limits growth and development of
cool-season annual grasses. Drought transcriptional profiles of resistant and
susceptible lines were studied to understand the molecular mechanisms of drought
tolerance in annual ryegrass (Lolium multiflorum L.). A total of 4718 genes
exhibited significantly differential expression in two L. multiflorum lines.
Additionally, up-regulated genes associated with drought response in the
resistant lines were compared with susceptible lines. Gene ontology enrichment
and pathway analyses revealed that genes partially encoding drought-responsive
proteins as key regulators were significantly involved in carbon metabolism,
lipid metabolism, and signal transduction. Comparable gene expression was used to
identify the genes that contribute to the high drought tolerance in resistant
lines of annual ryegrass. Moreover, we proposed the hypothesis that short-term
drought have a beneficial effect on oxidation stress, which may be ascribed to a
direct effect on the drought tolerance of annual ryegrass. Evidence suggests that
some of the genes encoding antioxidants (HPTs, GGT, AP, 6-PGD, and G6PDH)
function as antioxidant in lipid metabolism and signal transduction pathways,
which have indispensable and promoting roles in drought resistance. This study
provides the first transcriptome data on the induction of drought-related gene
expression in annual ryegrass, especially via modulation of metabolic
homeostasis, signal transduction, and antioxidant defenses to improve drought
tolerance response to short-term drought stress.
PMID- 27200007
TI - Nitric Oxide Alleviates Salt Stress Inhibited Photosynthetic Performance by
Interacting with Sulfur Assimilation in Mustard.
AB - The role of nitric oxide (NO) and sulfur (S) on stomatal responses and
photosynthetic performance was studied in mustard (Brassica juncea L.) in
presence or absence of salt stress. The combined application of 100 MUM NO (as
sodium nitroprusside) and 200 mg S kg(-1) soil (S) more prominently influenced
stomatal behavior, photosynthetic and growth performance both in the absence and
presence of salt stress. The chloroplasts from salt-stressed plants had
disorganized chloroplast thylakoids, but combined application of NO and S
resulted in well-developed chloroplast thylakoids and properly stacked grana. The
leaves from plants receiving NO plus S exhibited lower superoxide ion
accumulation under salt stress than the plants receiving NO or S. These plants
also exhibited increased activity of ATP-sulfurylase (ATPS), catalase (CAT),
ascorbate peroxidase (APX) and glutathione reductase (GR) and optimized NO
generation that helped in minimizing oxidative stress. The enhanced S
assimilation of these plants receiving NO plus S resulted in increased production
of cysteine (Cys) and reduced glutathione (GSH). These findings indicated that NO
influenced photosynthesis under salt stress by regulating oxidative stress and
its effects on S-assimilation, an antioxidant system and NO generation. The
results suggest that NO improves photosynthetic performance of plants grown under
salt stress more effectively when plants received S.
PMID- 27200006
TI - Identification and Overexpression of a Knotted1-Like Transcription Factor in
Switchgrass (Panicum virgatum L.) for Lignocellulosic Feedstock Improvement.
AB - High biomass production and wide adaptation has made switchgrass (Panicum
virgatum L.) an important candidate lignocellulosic bioenergy crop. One major
limitation of this and other lignocellulosic feedstocks is the recalcitrance of
complex carbohydrates to hydrolysis for conversion to biofuels. Lignin is the
major contributor to recalcitrance as it limits the accessibility of cell wall
carbohydrates to enzymatic breakdown into fermentable sugars. Therefore, genetic
manipulation of the lignin biosynthesis pathway is one strategy to reduce
recalcitrance. Here, we identified a switchgrass Knotted1 transcription factor,
PvKN1, with the aim of genetically engineering switchgrass for reduced biomass
recalcitrance for biofuel production. Gene expression of the endogenous PvKN1
gene was observed to be highest in young inflorescences and stems. Ectopic
overexpression of PvKN1 in switchgrass altered growth, especially in early
developmental stages. Transgenic lines had reduced expression of most lignin
biosynthetic genes accompanied by a reduction in lignin content suggesting the
involvement of PvKN1 in the broad regulation of the lignin biosynthesis pathway.
Moreover, the reduced expression of the Gibberellin 20-oxidase (GA20ox) gene in
tandem with the increased expression of Gibberellin 2-oxidase (GA2ox) genes in
transgenic PvKN1 lines suggest that PvKN1 may exert regulatory effects via
modulation of GA signaling. Furthermore, overexpression of PvKN1 altered the
expression of cellulose and hemicellulose biosynthetic genes and increased sugar
release efficiency in transgenic lines. Our results demonstrated that switchgrass
PvKN1 is a putative ortholog of maize KN1 that is linked to plant lignification
and cell wall and development traits as a major regulatory gene. Therefore,
targeted overexpression of PvKN1 in bioenergy feedstocks may provide one feasible
strategy for reducing biomass recalcitrance and simultaneously improving plant
growth characteristics.
PMID- 27200008
TI - Evaluation of Sorghum [Sorghum bicolor (L.)] Reference Genes in Various Tissues
and under Abiotic Stress Conditions for Quantitative Real-Time PCR Data
Normalization.
AB - Accurate and reliable gene expression data from qPCR depends on stable reference
gene expression for potential gene functional analyses. In this study, 15
reference genes were selected and analyzed in various sample sets including
abiotic stress treatments (salt, cold, water stress, heat, and abscisic acid) and
tissues (leaves, roots, seedlings, panicle, and mature seeds). Statistical tools,
including geNorm, NormFinder and RefFinder, were utilized to assess the
suitability of reference genes based on their stability rankings for various
sample groups. For abiotic stress, PP2A and CYP were identified as the most
stable genes. In contrast, EIF4alpha was the most stable in the tissue sample
set, followed by PP2A; PP2A was the most stable in all the sample set, followed
by EIF4alpha. GAPDH, and UBC1 were the least stably expressed in the tissue and
all the sample sets. These results also indicated that the use of two candidate
reference genes would be sufficient for the optimization of normalization
studies. To further verify the suitability of these genes for use as reference
genes, SbHSF5 and SbHSF13 gene expression levels were normalized using the most
and least stable sorghum reference genes in root and water stressed-leaf tissues
of five sorghum varieties. This is the first systematic study of the selection of
the most stable reference genes for qPCR-related assays in Sorghum bicolor that
will potentially benefit future gene expression studies in sorghum and other
closely related species.
PMID- 27200010
TI - The Rice Eukaryotic Translation Initiation Factor 3 Subunit f (OseIF3f) Is
Involved in Microgametogenesis.
AB - Microgametogenesis is the post-meiotic pollen developmental phase when
unicellular microspores develop into mature tricellular pollen. In rice,
microgametogenesis can influence grain yields to a great degree because pollen
abortion occurs more easily during microgametogenesis than during other stages of
pollen development. However, our knowledge of the genes involved in
microgametogenesis in rice remains limited. Due to the dependence of pollen
development on the regulatory mechanisms of protein expression, we identified the
encoding gene of the eukaryotic translation initiation factor 3, subunit f in
Oryza sativa (OseIF3f). Immunoprecipitation combined with mass spectrometry
confirmed that OseIF3f was a subunit of rice eIF3, which consisted of at least 12
subunits including eIF3a, eIF3b, eIF3c, eIF3d, eIF3e, eIF3f, eIF3g, eIF3h, eIF3i,
eIF3k, eIF3l, and eIF3m. OseIF3f showed high mRNA levels in immature florets and
is highly abundant in developing anthers. Subcellular localization analysis
showed that OseIF3f was localized to the cytosol and the endoplasmic reticulum in
rice root cells. We further analyzed the biological function of OseIF3f using the
double-stranded RNA-mediated interference (RNAi) approach. The OseIF3f-RNAi lines
grew normally at the vegetative stage but displayed a large reduction in seed
production and pollen viability, which is associated with the down-regulation of
OseIF3f. Further cytological observations of pollen development revealed that the
OseIF3f-RNAi lines showed no obvious abnormalities at the male meiotic stage and
the unicellular microspore stage. However, compared to the wild-type, OseIF3f
RNAi lines contained a higher percentage of arrested unicellular pollen at the
bicellular stage and a higher percentage of arrested unicellular and bicellular
pollen, and aborted pollen at the tricellular stage. These results indicate that
OseIF3f plays a role in microgametogenesis.
PMID- 27200009
TI - Astaxanthin-Producing Green Microalga Haematococcus pluvialis: From Single Cell
to High Value Commercial Products.
AB - Many species of microalgae have been used as source of nutrient rich food, feed,
and health promoting compounds. Among the commercially important microalgae,
Haematococcus pluvialis is the richest source of natural astaxanthin which is
considered as "super anti-oxidant." Natural astaxanthin produced by H. pluvialis
has significantly greater antioxidant capacity than the synthetic one.
Astaxanthin has important applications in the nutraceuticals, cosmetics, food,
and aquaculture industries. It is now evident that, astaxanthin can significantly
reduce free radicals and oxidative stress and help human body maintain a healthy
state. With extraordinary potency and increase in demand, astaxanthin is one of
the high-value microalgal products of the future.This comprehensive review
summarizes the most important aspects of the biology, biochemical composition,
biosynthesis, and astaxanthin accumulation in the cells of H. pluvialis and its
wide range of applications for humans and animals. In this paper, important and
recent developments ranging from cultivation, harvest and postharvest bio
processing technologies to metabolic control and genetic engineering are reviewed
in detail, focusing on biomass and astaxanthin production from this
biotechnologically important microalga. Simultaneously, critical bottlenecks and
major challenges in commercial scale production; current and prospective global
market of H. pluvialis derived astaxanthin are also presented in a critical
manner. A new biorefinery concept for H. pluvialis has been also suggested to
guide toward economically sustainable approach for microalgae cultivation and
processing. This report could serve as a useful guide to present current status
of knowledge in the field and highlight key areas for future development of H.
pluvialis astaxanthin technology and its large scale commercial implementation.
PMID- 27200011
TI - Protection of Chloroplast Membranes by VIPP1 Rescues Aberrant Seedling
Development in Arabidopsis nyc1 Mutant.
AB - Chlorophylls (Chl) in photosynthetic apparatuses, along with other macromolecules
in chloroplasts, are known to undergo degradation during leaf senescence. Several
enzymes involved in Chl degradation, by which detoxification of Chl is safely
implemented, have been identified. Chl degradation also occurs during
embryogenesis and seedling development. Some genes encoding Chl degradation
enzymes such as Chl b reductase (CBR) function during these developmental stages.
Arabidopsis mutants lacking CBR (NYC1 and NOL) have been reported to exhibit
reduced seed storability, compromised germination, and cotyledon development. In
this study, we examined aberrant cotyledon development and found that NYC1 is
solely responsible for this phenotype. We inferred that oxidative damage of
chloroplast membranes caused the aberrant cotyledon. To test the inference, we
attempted to trans-complement nyc1 mutant with overexpressing VIPP1 protein that
is unrelated to Chl degradation but which supports chloroplast membrane
integrity. VIPP1 expression actually complemented the aberrant cotyledon of nyc1,
whereas stay-green phenotype during leaf senescence remained. The swollen
chloroplasts observed in unfixed cotyledons of nyc1, which are characteristics of
chloroplasts receiving envelope membrane damage, were recovered by overexpressing
VIPP1. These results suggest that chloroplast membranes are a target for
oxidative damage caused by the impairment in Chl degradation. Trans
complementation of nyc1 with VIPP1 also suggests that VIPP1 is useful for
protecting chloroplasts against oxidative stress.
PMID- 27200012
TI - Genome-Wide Identification and Characterization of WRKY Gene Family in Peanut.
AB - WRKY, an important transcription factor family, is widely distributed in the
plant kingdom. Many reports focused on analysis of phylogenetic relationship and
biological function of WRKY protein at the whole genome level in different plant
species. However, little is known about WRKY proteins in the genome of Arachis
species and their response to salicylic acid (SA) and jasmonic acid (JA)
treatment. In this study, we identified 77 and 75 WRKY proteins from the two wild
ancestral diploid genomes of cultivated tetraploid peanut, Arachis duranensis and
Arachis ipaensis, using bioinformatics approaches. Most peanut WRKY coding genes
were located on A. duranensis chromosome A6 and A. ipaensis chromosome B3, while
the least number of WRKY genes was found in chromosome 9. The WRKY orthologous
gene pairs in A. duranensis and A. ipaensis chromosomes were highly syntenic. Our
analysis indicated that segmental duplication events played a major role in
AdWRKY and AiWRKY genes, and strong purifying selection was observed in gene
duplication pairs. Furthermore, we translate the knowledge gained from the genome
wide analysis result of wild ancestral peanut to cultivated peanut to reveal that
gene activities of specific cultivated peanut WRKY gene were changed due to SA
and JA treatment. Peanut WRKY7, 8 and 13 genes were down-regulated, whereas WRKY1
and 12 genes were up-regulated with SA and JA treatment. These results could
provide valuable information for peanut improvement.
PMID- 27200015
TI - Presence of Trifolium repens Promotes Complementarity of Water Use and N
Facilitation in Diverse Grass Mixtures.
AB - Legume species promote productivity and increase the digestibility of herbage in
grasslands. Considerable experimental data also indicate that communities with
legumes produce more above-ground biomass than is expected from monocultures.
While it has been attributed to N facilitation, evidence to identify the
mechanisms involved is still lacking and the role of complementarity in soil
water acquisition by vertical root differentiation remains unclear. We used a 20
months mesocosm experiment to investigate the effects of species richness (single
species, two- and five-species mixtures) and functional diversity (presence of
the legume Trifolium repens) on a set of traits related to light, N and water use
and measured at community level. We found a positive effect of Trifolium presence
and abundance on biomass production and complementarity effects in the two
species mixtures from the second year. In addition the community traits related
to water and N acquisition and use (leaf area, N, water-use efficiency, and deep
root growth) were higher in the presence of Trifolium. With a multiple regression
approach, we showed that the traits related to water acquisition and use were
with N the main determinants of biomass production and complementarity effects in
diverse mixtures. At shallow soil layers, lower root mass of Trifolium and higher
soil moisture should increase soil water availability for the associated grass
species. Conversely at deep soil layer, higher root growth and lower soil
moisture mirror soil resource use increase of mixtures. Altogether, these results
highlight N facilitation but almost soil vertical differentiation and thus
complementarity for water acquisition and use in mixtures with Trifolium.
Contrary to grass-Trifolium mixtures, no significant over-yielding was measured
for grass mixtures even those having complementary traits (short and shallow vs.
tall and deep). Thus, vertical complementarity for soil resources uptake in
mixtures was not only dependant on the inherent root system architecture but also
on root plasticity. We also observed a time-dependence for positive
complementarity effects due to the slow development of Trifolium in mixtures,
possibly induced by competition with grasses. Overall, our data underlined that
soil water resource was an important driver of over-yielding and complementarity
effects in Trifolium-grass mixtures.
PMID- 27200014
TI - Flux Balance Analysis of Plant Metabolism: The Effect of Biomass Composition and
Model Structure on Model Predictions.
AB - The biomass composition represented in constraint-based metabolic models is a key
component for predicting cellular metabolism using flux balance analysis (FBA).
Despite major advances in analytical technologies, it is often challenging to
obtain a detailed composition of all major biomass components experimentally.
Studies examining the influence of the biomass composition on the predictions of
metabolic models have so far mostly been done on models of microorganisms. Little
is known about the impact of varying biomass composition on flux prediction in
FBA models of plants, whose metabolism is very versatile and complex because of
the presence of multiple subcellular compartments. Also, the published metabolic
models of plants differ in size and complexity. In this study, we examined the
sensitivity of the predicted fluxes of plant metabolic models to biomass
composition and model structure. These questions were addressed by evaluating the
sensitivity of predictions of growth rates and central carbon metabolic fluxes to
varying biomass compositions in three different genome-/large-scale metabolic
models of Arabidopsis thaliana. Our results showed that fluxes through the
central carbon metabolism were robust to changes in biomass composition.
Nevertheless, comparisons between the predictions from three models using
identical modeling constraints and objective function showed that model
predictions were sensitive to the structure of the models, highlighting large
discrepancies between the published models.
PMID- 27200013
TI - Selection and Validation of Appropriate Reference Genes for Quantitative Real
Time PCR Analysis of Gene Expression in Lycoris aurea.
AB - Lycoris aurea (L' Her.) Herb, a perennial grass species, produces a unique
variety of pharmacologically active Amaryllidaceae alkaloids. However, the key
enzymes and their expression pattern involved in the biosynthesis of
Amaryllidaceae alkaloids (especially for galanthamine) are far from being fully
understood. Quantitative real-time polymerase chain reaction (qRT-PCR), a
commonly used method for quantifying gene expression, requires stable reference
genes to normalize its data. In this study, to choose the appropriate reference
genes under different experimental conditions, 14 genes including YLS8 (mitosis
protein YLS8), CYP2 (Cyclophilin 2), CYP 1 (Cyclophilin 1), TIP41 (TIP41-like
protein), EXP2 (Expressed protein 2), PTBP1 (Polypyrimidine tract-binding protein
1), EXP1 (Expressed protein 1), PP2A (Serine/threonine-protein phosphatase 2A),
beta-TUB (beta-tubulin), alpha-TUB (alpha-tubulin), EF1-alpha (Elongation factor
1-alpha), UBC (Ubiquitin-conjugating enzyme), ACT (Actin) and GAPDH
(Glyceraldehyde 3-phosphate dehydrogenase) were selected from the transcriptome
datasets of L. aurea. And then, expressions of these genes were assessed by qRT
PCR in various tissues and the roots under different treatments. The expression
stability of the 14 candidates was analyzed by three commonly used software
programs (geNorm, NormFinder, and BestKeeper), and their results were further
integrated into a comprehensive ranking based on the geometric mean. The results
show the relatively stable genes for each subset as follows: (1) EXP1 and TIP41
for all samples; (2) UBC and EXP1 for NaCl stress; (3) PTBP1 and EXP1 for heat
stress, polyethylene glycol (PEG) stress and ABA treatment; (4) UBC and CYP2 for
cold stress; (5) PTBP1 and PP2A for sodium nitroprusside (SNP) treatment; (6)
CYP1 and TIP41 for methyl jasmonate (MeJA) treatment; and (7) EXP1 and TIP41 for
various tissues. The reliability of these results was further enhanced through
comparison between part qRT-PCR result and RNA sequencing (RNA-seq) data. In
summary, our results identified appropriate reference genes for qRT-PCR in L.
aurea, and will facilitate gene expression studies under these conditions.
PMID- 27200016
TI - Molecular Breeding to Create Optimized Crops: From Genetic Manipulation to
Potential Applications in Plant Factories.
AB - Crop cultivation in controlled environment plant factories offers great potential
to stabilize the yield and quality of agricultural products. However, many crops
are currently unsuited to these environments, particularly closed cultivation
systems, due to space limitations, low light intensity, high implementation
costs, and high energy requirements. A major barrier to closed system cultivation
is the high running cost, which necessitates the use of high-margin crops for
economic viability. High-value crops include those with enhanced nutritional
value or containing additional functional components for pharmaceutical
production or with the aim of providing health benefits. In addition, it is
important to develop cultivars equipped with growth parameters that are suitable
for closed cultivation. Small plant size is of particular importance due to the
limited cultivation space. Other advantageous traits are short production cycle,
the ability to grow under low light, and high nutriculture availability. Cost
effectiveness is improved from the use of cultivars that are specifically
optimized for closed system cultivation. This review describes the features of
closed cultivation systems and the potential application of molecular breeding to
create crops that are optimized for cost-effectiveness and productivity in closed
cultivation systems.
PMID- 27200018
TI - Interactions of Sulfate with Other Nutrients As Revealed by H2S Fumigation of
Chinese Cabbage.
AB - Sulfur deficiency in plants has severe impacts on both growth and nutrient
composition. Fumigation with sub-lethal concentrations of H2S facilitates the
supply of reduced sulfur via the leaves while sulfate is depleted from the roots.
This restores growth while sulfate levels in the plant tissue remain low. In the
present study this system was used to reveal interactions of sulfur with other
nutrients in the plant and to ascertain whether these changes are due to the
absence or presence of sulfate or rather to changes in growth and organic sulfur.
There was a complex reaction of the mineral composition to sulfur deficiency,
however, the changes in content of many nutrients were prevented by H2S
fumigation. Under sulfur deficiency these nutrients accumulated on a fresh weight
basis but were diluted on a dry weight basis, presumably due to a higher dry
matter content. The pattern differed, however, between leaves and roots which led
to changes in shoot to root partitioning. Only the potassium, molybdenum and zinc
contents were strongly linked to the sulfate supply. Potassium was the only
nutrient amongst those measured which showed a positive correlation with sulfur
content in shoots, highlighting a role as a counter cation for sulfate during
xylem loading and vacuolar storage in leaves. This was supported by an
accumulation of potassium in roots of the sulfur-deprived plants. Molybdenum and
zinc increased substantially under sulfur deficiency, which was only partly
prevented by H2S fumigation. While the causes of increased molybdenum under
sulfur deficiency have been previously studied, the relation between sulfate and
zinc uptake needs further clarification.
PMID- 27200017
TI - Cellular and Pectin Dynamics during Abscission Zone Development and Ripe Fruit
Abscission of the Monocot Oil Palm.
AB - The oil palm (Elaeis guineensis Jacq.) fruit primary abscission zone (AZ) is a
multi-cell layered boundary region between the pedicel (P) and mesocarp (M)
tissues. To examine the cellular processes that occur during the development and
function of the AZ cell layers, we employed multiple histological and
immunohistochemical methods combined with confocal, electron and Fourier
transform infrared (FT-IR) microspectroscopy approaches. During early fruit
development and differentiation of the AZ, the orientation of cell divisions in
the AZ was periclinal compared with anticlinal divisions in the P and M. AZ cell
wall width increased earlier during development suggesting cell wall assembly
occurred more rapidly in the AZ than the adjacent P and M tissues. The developing
fruit AZ contain numerous intra-AZ cell layer plasmodesmata (PD), but very few
inter-AZ cell layer PD. In the AZ of ripening fruit, PD were less frequent,
wider, and mainly intra-AZ cell layer localized. Furthermore, DAPI staining
revealed nuclei are located adjacent to PD and are remarkably aligned within AZ
layer cells, and remain aligned and intact after cell separation. The polarized
accumulation of ribosomes, rough endoplasmic reticulum, mitochondria, and
vesicles suggested active secretion at the tip of AZ cells occurred during
development which may contribute to the striated cell wall patterns in the AZ
cell layers. AZ cells accumulated intracellular pectin during development, which
appear to be released and/or degraded during cell separation. The signal for the
JIM5 epitope, that recognizes low methylesterified and un-methylesterified
homogalacturonan (HG), increased in the AZ layer cell walls prior to separation
and dramatically increased on the separated AZ cell surfaces. Finally, FT-IR
microspectroscopy analysis indicated a decrease in methylesterified HG occurred
in AZ cell walls during separation, which may partially explain an increase in
the JIM5 epitope signal. The results obtained through a multi-imaging approach
allow an integrated view of the dynamic developmental processes that occur in a
multi-layered boundary AZ and provide evidence for distinct regulatory mechanisms
that underlie oil palm fruit AZ development and function.
PMID- 27200019
TI - Comparative Proteomic Analysis of Two Barley Cultivars (Hordeum vulgare L.) with
Contrasting Grain Protein Content.
AB - Grain protein contents (GPCs) of barley seeds are significantly different between
feed and malting barley cultivars. However, there is still no insight into the
proteomic analysis of seed proteins between feed and malting barley cultivars.
Also, the genetic control of barley GPC is still unclear. GPCs were measured
between mature grains of Yangsimai 3 and Naso Nijo. A proteome profiling of
differentially expressed protein was established by using a combination of 2-DE
and tandem mass spectrometry. In total, 502 reproducible protein spots in barley
seed proteome were detected with a pH range of 4-7 and 6-11, among these 41
protein spots (8.17%) were detected differentially expressed between Yangsimai 3
and Naso Nijo. Thirty-four protein spots corresponding to 23 different proteins
were identified, which were grouped into eight categories, including stress,
protein degradation and post-translational modification, development, cell,
signaling, glycolysis, starch metabolism, and other functions. Among the
identified proteins, enolase (spot 274) and small subunit of ADP-glucose
pyrophosphorylase (spot 271) are exclusively expressed in barley Yangsimai 3,
which may be involved in regulating seed protein expression. In addition, malting
quality is characterized by an accumulation of serpin protein, Alpha
amylase/trypsin inhibitor CMb and Alpha-amylase inhibitor BDAI-1. Most
noticeably, globulin, an important storage protein in barley seed, undergoes post
translational processing in both cultivars, and also displays different
expression patterns.
PMID- 27200020
TI - Inheritance of Resistance to Sorghum Shoot Fly, Atherigona soccata in Sorghum,
Sorghum bicolor (L.) Moench.
AB - Sorghum production is affected by a wide array of biotic constraints, of which
sorghum shoot fly, Atherigona soccata is the most important pest, which severely
damages the sorghum crop during the seedling stage. Host plant resistance is one
of the major components to control sorghum shoot fly, A. soccata. To understand
the nature of gene action for inheritance of shoot fly resistance, we evaluated
10 parents, 45 F1's and their reciprocals in replicated trials during the rainy
and postrainy seasons. The genotypes ICSV 700, Phule Anuradha, ICSV 25019, PS
35805, IS 2123, IS 2146, and IS 18551 exhibited resistance to shoot fly damage
across seasons. Crosses between susceptible parents were preferred for egg laying
by the shoot fly females, resulting in a susceptible reaction. ICSV 700, ICSV
25019, PS 35805, IS 2123, IS 2146, and IS 18551 exhibited significant and
negative general combining ability (gca) effects for oviposition, deadheart
incidence, and overall resistance score. The plant morphological traits
associated with expression of resistance/susceptibility to shoot fly damage such
as leaf glossiness, plant vigor, and leafsheath pigmentation also showed
significant gca effects by these genotypes, suggesting the potential for use as a
selection criterion to breed for resistance to shoot fly, A. soccata. ICSV 700,
Phule Anuradha, IS 2146 and IS 18551 with significant positive gca effects for
trichome density can also be utilized in improving sorghums for shoot fly
resistance. The parents involved in hybrids with negative specific combining
ability (sca) effects for shoot fly resistance traits can be used in developing
sorghum hybrids with adaptation to postrainy season. The significant reciprocal
effects of combining abilities for oviposition, leaf glossy score and trichome
density suggested the influence of cytoplasmic factors in inheritance of shoot
fly resistance. Higher values of variance due to specific combining ability
(sigma(2)s), dominance variance (sigma(2)d), and lower predictability ratios than
the variance due to general combining ability (sigma(2)g) and additive variance
(sigma(2)a) for shoot fly resistance traits indicated the predominance of
dominance type of gene action, whereas trichome density, leaf glossy score, and
plant vigor score with high sigma(2)g, additive variance, predictability ratio,
and the ratio of general combining ability to the specific combining ability
showed predominance of additive type of gene action indicating importance of
heterosis breeding followed by simple selection in breeding shoot fly-resistant
sorghums. Most of the traits exhibited high broadsense heritability, indicating
high inheritance of shoot fly resistance traits.
PMID- 27200021
TI - DspA/E Contributes to Apoplastic Accumulation of ROS in Non-host A. thaliana.
AB - The bacterium Erwinia amylovora is responsible for the fire blight disease of
Maleae, which provokes necrotic symptoms on aerial parts. The pathogenicity of
this bacterium in hosts relies on its type three-secretion system (T3SS), a
molecular syringe that allows the bacterium to inject effectors into the plant
cell. E. amylovora-triggered disease in host plants is associated with the T3SS
dependent production of reactive oxygen species (ROS), although ROS are generally
associated with resistance in other pathosystems. We showed previously that E.
amylovora can multiply transiently in the non-host plant Arabidopsis thaliana and
that a T3SS-dependent production of intracellular ROS occurs during this
interaction. In the present work we characterize the localization and source of
hydrogen peroxide accumulation following E. amylovora infection. Transmission
electron microscope (TEM) analysis of infected tissues showed that hydrogen
peroxide accumulation occurs in the cytosol, plastids, peroxisomes, and
mitochondria as well as in the apoplast. Furthermore, TEM analysis showed that an
E. amylovora dspA/E-deficient strain does not induce hydrogen peroxide
accumulation in the apoplast. Consistently, a transgenic line expressing DspA/E
accumulated ROS in the apoplast. The NADPH oxidase-deficient rbohD mutant showed
a very strong reduction in hydrogen peroxide accumulation in response to E.
amylovora inoculation. However, we did not find an increase in bacterial titers
of E. amylovora in the rbohD mutant and the rbohD mutation did not suppress the
toxicity of DspA/E when introgressed into a DspA/E-expressing transgenic line. Co
inoculation of E. amylovora with cycloheximide (CHX), which we found previously
to suppress callose deposition and allow strong multiplication of E. amylovora in
A. thaliana leaves, led to a strong reduction of apoplastic ROS accumulation but
did not affect intracellular ROS. Our data strongly suggest that apoplastic ROS
accumulation is one layer of the non-host defense response triggered by the type
three effector (T3E) DspA/E, together with callose deposition.
PMID- 27200022
TI - Characterization of a New Pm2 Allele Conferring Powdery Mildew Resistance in the
Wheat Germplasm Line FG-1.
AB - Powdery mildew has a negative impact on wheat production. Novel host resistance
increases the diversity of resistance genes and helps to control the disease. In
this study, wheat line FG-1 imported from France showed a high level of powdery
mildew resistance at both the seedling and adult stages. An F2 population and
F2:3 families from the cross FG-1 * Mingxian 169 both fit Mendelian ratios for a
single dominant resistance gene when tested against multiple avirulent Blumeria
tritici f. sp. tritici (Bgt) races. This gene was temporarily designated PmFG.
PmFG was mapped on the multi-allelic Pm2 locus of chromosome 5DS using seven SSR,
10 single nucleotide polymorphism (SNP)-derived and two SCAR markers with the
flanking markers Xbwm21/Xcfd81/Xscar112 (distal) and Xbwm25 (proximal) at 0.3 and
0.5 cM being the closest. Marker SCAR203 co-segregated with PmFG. Allelism tests
between PmFG and documented Pm2 alleles confirmed that PmFG was allelic with Pm2.
Line FG-1 produced a significantly different reaction pattern compared to other
lines with genes at or near Pm2 when tested against 49 Bgt isolates. The PmFG
linked marker alleles detected by the SNP-derived markers revealed significant
variation between FG-1 and other lines with genes at or near Pm2. It was
concluded that PmFG is a new allele at the Pm2 locus. Data from seven closely
linked markers tested on 31 wheat cultivars indicated opportunities for marker
assisted pyramiding of this gene with other genes for powdery mildew resistance
and additional traits.
PMID- 27200023
TI - PP2C-like Promoter and Its Deletion Variants Are Induced by ABA but Not by MeJA
and SA in Arabidopsis thaliana.
AB - Gene expression is mediated through interaction between cis regulatory elements
and its cognate transcription factors. Cis regulatory elements are defined as non
coding DNA sequences that provide the binding sites for transcription factors and
are clustered in the upstream region of genes. ACGT cis regulatory element is one
of the important cis regulatory elements found to be involved in diverse
biological processes like auxin response, salicylic acid (SA) response, UV light
response, ABA response and jasmonic acid (JA) response. We identified through in
silico analysis that the upstream region of protein phosphatase 2C (PP2C) gene
has a distinct genetic architecture of ACGT elements. In the present study, the
activation of the full length promoter and its deletion constructs like 900 base
pair, 500 base pair, 400 base pair and NRM (Nathji Rajesh Mehrotra) were examined
by stable transformation in Arabidopsis thaliana using beta-glucuronidase as the
reporter gene. Evaluation of deletion constructs of PP2C-like promoter was
carried out in the presence of phytohormones like abscisic acid (ABA), SA and JA.
Our result indicated that the full length and 900 base pair promoter-reporter
constructs of PP2C-like promoter was induced in response to ABA but not to methyl
jasmonate and SA.
PMID- 27200024
TI - Genetic Structure of a Naturally Regenerating Post-Fire Seedling Population:
Pinus halepensis As a Case Study.
AB - To study the effects of wildfire on population genetics of a wind pollinated and
wind dispersed tree, we have analyzed the genetic structure of a post-fire,
naturally regenerating seedling population of Pinus halepensis Miller, on Mt.
Carmel, Israel. We tested the existence of spatial genetic structure, which is
expected due to the special spatial demographic structure of the post-fire
seedling and sapling populations of this species. Explicitly, we asked whether or
not seedlings that germinated under large, burned, dead pine trees are also their
offspring. The results revealed that the post-fire seedling population is
polymorphic, diverse, and reflects the pre-fire random mating system. In contrast
to our prediction, we found no division of the post-fire seedling population to
distinct sub-populations. Furthermore, as a result of post-fire seed dispersal to
longer range than the average pre-fire inter-tree distance, seedlings found under
individual burned trees were not necessarily their sole offspring. Although the
population as a whole showed a Hardy-Weinberg equilibrium, significant excess of
heterozygotes was found within each tallest seedlings group growing under single,
large, burned pine trees. Our finding indicates the possible existence of intense
natural selection for the most vigorous heterozygous genotypes that are best
adapted to the special post-fire regeneration niche, which is the thick ash bed
under large, dead, pine trees.
PMID- 27200025
TI - Deciphering Phosphate Deficiency-Mediated Temporal Effects on Different Root
Traits in Rice Grown in a Modified Hydroponic System.
AB - Phosphate (Pi), an essential macronutrient for growth and development of plant,
is often limiting in soils. Plants have evolved an array of adaptive strategies
including modulation of root system architecture (RSA) for optimal acquisition of
Pi. In rice, a major staple food, RSA is complex and comprises embryonically
developed primary and seminal roots and post-embryonically developed adventitious
and lateral roots. Earlier studies have used variant hydroponic systems for
documenting the effects of Pi deficiency largely on primary root growth. Here, we
report the temporal effects of Pi deficiency in rice genotype MI48 on 15
ontogenetically distinct root traits by using easy-to-assemble and economically
viable modified hydroponic system. Effects of Pi deprivation became evident after
4 days- and 7 days-treatments on two and eight different root traits,
respectively. The effects of Pi deprivation for 7 days were also evident on
different root traits of rice genotype Nagina 22 (N22). There were genotypic
differences in the responses of primary root growth along with lateral roots on
it and the number and length of seminal and adventitious roots. Notably though,
there were attenuating effects of Pi deficiency on the lateral roots on seminal
and adventitious roots and total root length in both these genotypes. The study
thus revealed both differential and comparable effects of Pi deficiency on
different root traits in these genotypes. Pi deficiency also triggered reduction
in Pi content and induction of several Pi starvation-responsive (PSR) genes in
roots of MI48. Together, the analyses validated the fidelity of this modified
hydroponic system for documenting Pi deficiency-mediated effects not only on
different traits of RSA but also on physiological and molecular responses.
PMID- 27200026
TI - Roles of Clonal Integration in both Heterogeneous and Homogeneous Habitats.
AB - Many studies have shown that clonal integration can promote the performance of
clonal plants in heterogeneous habitats, but the roles of clonal integration in
both heterogeneous and homogeneous habitats were rarely studied simultaneously.
Ramet pairs of Alternanthera philoxeroides (Mart.) Griseb were placed in two
habitats either heterogeneous or homogeneous in soil nutrient availability, with
stolon connections left intact or severed. Total biomass, total length of
stolons, and number of new ramets of distal (relatively young) ramets located in
low-nutrient environments were significantly greater when the distal ramets were
connected to than when they were disconnected from proximal (relatively old)
ramets located in high-nutrient environments. Total length of stolons of proximal
ramets growing in low-nutrient environments was significantly higher when the
proximal ramets were connected to than when they were disconnected from the
distal ramets growing in high-nutrient environments, but stolon connection did
not affect total biomass or number of new ramets of the proximal ramets. Stolon
severing also did not affect the growth of the whole ramet pairs in heterogeneous
environments. In homogeneous high-nutrient environments stolon severing promoted
the growth of the proximal ramets and the ramet pairs, but in homogeneous low
nutrient environments it did not affect the growth of the proximal or distal
ramets. Hence, for A. philoxeroides, clonal fragmentation appears to be more
advantageous than clonal integration in resource-rich homogeneous habitats, and
clonal integration becomes beneficial in heterogeneous habitats. Our study
contributes to revealing roles of clonal integration in both heterogeneous and
homogeneous habitats and expansion patterns of invasive clonal plants such as A.
philoxeroides in multifarious habitats.
PMID- 27200027
TI - Water Stress Modulates Soybean Aphid Performance, Feeding Behavior, and Virus
Transmission in Soybean.
AB - Little is known about how water stress including drought and flooding modifies
the ability of plants to resist simultaneous attack by insect feeding and
transmission of insect-vectored pathogen. We analyzed insect population growth,
feeding behaviors, virus transmission, and plant amino acid profiles and defense
gene expression to characterize mechanisms underlying the interaction between
water stress, soybean aphid and aphid-transmitted, Soybean mosaic virus, on
soybean plants. Population growth of non-viruliferous aphids was reduced under
drought stress and saturation, likely because the aphids spent less time feeding
from the sieve element on these plants compared to well-watered plants. Water
stress did not impact population growth of viruliferous aphids. However, virus
incidence and transmission rate was lowest under drought stress and highest under
saturated conditions since viruliferous aphids took the greatest amount time to
puncture cells and transmit the virus under saturated conditions and lowest time
under drought stress. Petiole exudates from drought-stressed plants had the
highest level of total free amino acids including asparagine and valine that are
critical for aphid performance. Aphids did not benefit from improved phloem sap
quality as indicated by their lower densities on drought-stressed plants.
Saturation, on the other hand, resulted in low amino acid content compared to all
of the other treatments. Drought and saturation had significant and opposing
effects on expression of marker genes involved in abscisic acid (ABA) signaling.
Drought alone significantly increased expression of ABA marker genes, which
likely led to suppression of salicylic acid (SA)- and jasmonic acid (JA)-related
genes. In contrast, ABA marker genes were down-regulated under saturation, while
expression of SA- and JA-related genes was up-regulated. We propose that the
apparent antagonism between ABA and SA/JA signaling pathways contributed to an
increase in aphid densities under drought and their decrease under saturation.
Taken together, our findings suggests that plant responses to water stress is
complex involving changes in phloem amino acid composition and signaling
pathways, which can impact aphid populations and virus transmission.
PMID- 27200028
TI - Comparative Genomics of Botrytis cinerea Strains with Differential Multi-Drug
Resistance.
PMID- 27200030
TI - Future Climate CO2 Levels Mitigate Stress Impact on Plants: Increased Defense or
Decreased Challenge?
AB - Elevated atmospheric CO2 can stimulate plant growth by providing additional C
(fertilization effect), and is observed to mitigate abiotic stress impact.
Although, the mechanisms underlying the stress mitigating effect are not yet
clear, increased antioxidant defenses, have been held primarily responsible
(antioxidant hypothesis). A systematic literature analysis, including "all"
papers [Web of Science (WoS)-cited], addressing elevated CO2 effects on abiotic
stress responses and antioxidants (105 papers), confirms the frequent occurrence
of the stress mitigation effect. However, it also demonstrates that, in stress
conditions, elevated CO2 is reported to increase antioxidants, only in about 22%
of the observations (e.g., for polyphenols, peroxidases, superoxide dismutase,
monodehydroascorbate reductase). In most observations, under stress and elevated
CO2 the levels of key antioxidants and antioxidant enzymes are reported to remain
unchanged (50%, e.g., ascorbate peroxidase, catalase, ascorbate), or even
decreased (28%, e.g., glutathione peroxidase). Moreover, increases in
antioxidants are not specific for a species group, growth facility, or stress
type. It seems therefore unlikely that increased antioxidant defense is the major
mechanism underlying CO2-mediated stress impact mitigation. Alternative
processes, probably decreasing the oxidative challenge by reducing ROS production
(e.g., photorespiration), are therefore likely to play important roles in
elevated CO2 (relaxation hypothesis). Such parameters are however rarely
investigated in connection with abiotic stress relief. Understanding the effect
of elevated CO2 on plant growth and stress responses is imperative to understand
the impact of climate changes on plant productivity.
PMID- 27200029
TI - Genome-Wide Analysis of Gene Regulatory Networks of the FVE-HDA6-FLD Complex in
Arabidopsis.
AB - FVE/MSI4 is a homolog of the mammalian RbAp48 protein. We found that FVE
regulates flowering time by repressing FLC through decreasing histone H3K4
trimethylation and H3 acetylation. Furthermore, FVE interacts with the histone
deacetylase HDA6 and the histone demethylase FLD, suggesting that these proteins
may form a protein complex to regulate flowering time. To further investigate the
function of the FVE-FLD-HDA6 complex, we compared the gene expression profiles of
fve, fld, and hda6 mutant plants by using RNA-seq analysis. Among the mis
regulated genes found in fve plants, 51.8 and 36.5% of them were also mis
regulated in fld and hda6 plants, respectively, suggesting that FVE, HDA6, and
FLD may regulate the gene expression in the same developmental processes in
Arabidopsis. Gene ontology analysis revealed that among 383 genes co-regulated by
FVE, HDA6, and FLD, 15.6% of them are involved in transcription, 8.2% in RNA
metabolic process, 7.7% in response to abiotic stress, and 6.3% in hormone
stimulus. Taken together, these results indicate that HDA6, FVE, and FLD co
regulate the gene expression in multiple development processes and pathways.
PMID- 27200031
TI - Thidiazuron Triggers Morphogenesis in Rosa canina L. Protocorm-Like Bodies by
Changing Incipient Cell Fate.
AB - Thidiazuron (N-phenyl-N'-1,2,3-thiadiazol-5-ylurea; TDZ) is an artificial plant
growth regulator that is widely used in plant tissue culture. Protocorm-like
bodies (PLBs) induced by TDZ serve as an efficient and rapid in vitro
regeneration system in Rosa species. Despite this, the mechanism of PLB induction
remains relatively unclear. TDZ, which can affect the level of endogenous auxins
and cytokinins, converts the cell fate of rhizoid tips and triggers PLB formation
and plantlet regeneration in Rosa canina L. In callus-rhizoids, which are
rhizoids that co-develop from callus, auxin and a Z-type cytokinin accumulated
after applying TDZ, and transcription of the auxin transporter gene RcPIN1 was
repressed. The expression of RcARF4, RcRR1, RcCKX2, RcCKX3, and RcLOG1 increased
in callus-rhizoids and rhizoid tips while the transcription of an auxin response
factor (RcARF1) and auxin transport proteins (RcPIN2, RcPIN3) decreased in callus
rhizoids but increased in rhizoid tips. In situ hybridization of rhizoids showed
that RcWUS and RcSERK1 were highly expressed in columella cells and root stem
cells resulting in the conversion of cell fate into shoot apical meristems or
embryogenic callus. In addition, transgenic XVE::RcWUS lines showed repressed
RcWUS overexpression while RcWUS had no effect on PLB morphogenesis. Furthermore,
higher expression of the root stem cell marker RcWOX5 and root stem cell
maintenance regulator genes RcPLT1 and RcPLT2 indicated the presence of a
dedifferentiation developmental pathway in the stem cell niche of rhizoids.
Viewed together, our results indicate that different cells in rhizoid tips
acquired regeneration competence after induction by TDZ. A novel developmental
pathway containing different cell types during PLB formation was identified by
analyzing the endogenous auxin and cytokinin content. This study also provides a
deeper understanding of the mechanisms underlying in vitro regeneration in Rosa.
PMID- 27200032
TI - Conservation Strategies in the Genus Hypericum via Cryogenic Treatment.
AB - In the genus Hypericum, cryoconservation offers a strategy for maintenance of
remarkable biodiversity, emerging from large inter- and intra-specific
variability in morphological and phytochemical characteristics. Long-term
cryostorage thus represents a proper tool for preservation of genetic resources
of endangered and threatened Hypericum species or new somaclonal variants with
unique properties. Many representatives of the genus are known as producers of
pharmacologically important polyketides, namely naphthodianthrones and
phloroglucinols. As a part of numerous in vitro collections, the nearly
cosmopolitan Hypericum perforatum - Saint John's wort - has become a suitable
model system for application of biotechnological approaches providing an
attractive alternative to the traditional methods for secondary metabolite
production. The necessary requirements for efficient cryopreservation include a
high survival rate along with an unchanged biochemical profile of plants
regenerated from cryopreserved cells. Understanding of the processes which are
critical for recovery of H. perforatum cells after the cryogenic treatment
enables establishment of cryopreservation protocols applicable to a broad number
of Hypericum species. Among them, several endemic taxa attract a particular
attention due to their unique characteristics or yet unrevealed spectrum of
bioactive compounds. In this review, recent advances in the conventional two-step
and vitrification-based cryopreservation techniques are presented in relation to
the recovery rate and biosynthetic capacity of Hypericum spp. The pre-cryogenic
treatments which were identified to be crucial for successful post-cryogenic
recovery are discussed. Being a part of genetic predisposition, the freezing
tolerance as a necessary precondition for successful post-cryogenic recovery is
pointed out. Additionally, a beneficial influence of cold stress on modulating
naphthodianthrone biosynthesis is outlined.
PMID- 27200033
TI - Corrigendum: Zinc Oxide Nanoparticles Affect Biomass Accumulation and
Photosynthesis in Arabidopsis.
AB - [This corrects the article on p. 1243 in vol. 6, PMID: 26793220.].
PMID- 27200034
TI - Hypericin in the Light and in the Dark: Two Sides of the Same Coin.
AB - Hypericin (4,5,7,4',5',7'-hexahydroxy-2,2'-dimethylnaphtodianthrone) is a
naturally occurring chromophore found in some species of the genus Hypericum,
especially Hypericum perforatum L. (St. John's wort), and in some basidiomycetes
(Dermocybe spp.) or endophytic fungi (Thielavia subthermophila). In recent
decades, hypericin has been intensively studied for its broad pharmacological
spectrum. Among its antidepressant and light-dependent antiviral actions,
hypericin is a powerful natural photosensitizer that is applicable in the
photodynamic therapy (PDT) of various oncological diseases. As the accumulation
of hypericin is significantly higher in neoplastic tissue than in normal tissue,
it can be used in photodynamic diagnosis (PDD) as an effective fluorescence
marker for tumor detection and visualization. In addition, light-activated
hypericin acts as a strong pro-oxidant agent with antineoplastic and
antiangiogenic properties, since it effectively induces the apoptosis, necrosis
or autophagy of cancer cells. Moreover, a strong affinity of hypericin for
necrotic tissue was discovered. Thus, hypericin and its radiolabeled derivatives
have been recently investigated as potential biomarkers for the non-invasive
targeting of tissue necrosis in numerous disorders, including solid tumors. On
the other hand, several light-independent actions of hypericin have also been
described, even though its effects in the dark have not been studied as
intensively as those of photoactivated hypericin. Various experimental studies
have revealed no cytotoxicity of hypericin in the dark; however, it can serve as
a potential antimetastatic and antiangiogenic agent. On the contrary, hypericin
can induce the expression of some ABC transporters, which are often associated
with the multidrug resistance (MDR) of cancer cells. Moreover, the hypericin
mediated attenuation of the cytotoxicity of some chemotherapeutics was revealed.
Therefore, hypericin might represent another St. John's wort metabolite that is
potentially responsible for negative herb-drug interactions. The main aim of this
review is to summarize the benefits of photoactivated and non-activated
hypericin, mainly in preclinical and clinical applications, and to uncover the
"dark side" of this secondary metabolite, focusing on MDR mechanisms.
PMID- 27200035
TI - Evolution of the Cp-Actin-based Motility System of Chloroplasts in Green Plants.
AB - During the course of green plant evolution, numerous light responses have arisen
that optimize their growth under fluctuating light conditions. The blue light
receptor phototropin mediates several photomovement responses at the tissue,
cellular and organelle levels. Chloroplast photorelocation movement is one such
photomovement response, and is found not only in most green plants, but also in
some red algae and photosynthetic stramenopiles. In general, chloroplasts move
toward weak light to maximally capture photosynthetically active radiation (the
chloroplast accumulation response), and they move away from strong light to avoid
photodamage (the avoidance response). In land plants, chloroplast movement is
dependent on specialized actin filaments, chloroplast-actin filaments (cp-actin
filaments). Through molecular genetic analysis using Arabidopsis thaliana, many
molecular factors that regulate chloroplast photorelocation were identified. In
this Perspective, we discuss the evolutionary history of the molecular mechanism
for chloroplast photorelocation movement in green plants in view of cp-actin
filaments.
PMID- 27200037
TI - In vivo Observation of Tree Drought Response with Low-Field NMR and Neutron
Imaging.
AB - Using a simple low-field NMR system, we monitored water content in a living tree
in a greenhouse over 2 months. By continuously running the system, we observed
changes in tree water content on a scale of half an hour. The data showed a
diurnal change in water content consistent both with previous NMR and biological
observations. Neutron imaging experiments show that our NMR signal is primarily
due to water being rapidly transported through the plant, and not to other
sources of hydrogen, such as water in cytoplasm, or water in cell walls. After
accounting for the role of temperature in the observed NMR signal, we demonstrate
a change in the diurnal signal behavior due to simulated drought conditions for
the tree. These results illustrate the utility of our system to perform
noninvasive measurements of tree water content outside of a temperature
controlled environment.
PMID- 27200036
TI - Phloem Proteomics Reveals New Lipid-Binding Proteins with a Putative Role in
Lipid-Mediated Signaling.
AB - Global climate changes inversely affect our ability to grow the food required for
an increasing world population. To combat future crop loss due to abiotic stress,
we need to understand the signals responsible for changes in plant development
and the resulting adaptations, especially the signaling molecules traveling long
distance through the plant phloem. Using a proteomics approach, we had identified
several putative lipid-binding proteins in the phloem exudates. Simultaneously,
we identified several complex lipids as well as jasmonates. These findings
prompted us to propose that phloem (phospho-) lipids could act as long-distance
developmental signals in response to abiotic stress, and that they are released,
sensed, and moved by phloem lipid-binding proteins (Benning et al., 2012).
Indeed, the proteins we identified include lipases that could release a signaling
lipid into the phloem, putative receptor components, and proteins that could
mediate lipid-movement. To test this possible protein-based lipid-signaling
pathway, three of the proteins, which could potentially act in a relay, are
characterized here: (I) a putative GDSL-motif lipase (II) a PIG-P-like protein,
with a possible receptor-like function; (III) and PLAFP (phloem lipid-associated
family protein), a predicted lipid-binding protein of unknown function. Here we
show that all three proteins bind lipids, in particular phosphatidic acid
(PtdOH), which is known to participate in intracellular stress signaling. Genes
encoding these proteins are expressed in the vasculature, a prerequisite for
phloem transport. Cellular localization studies show that the proteins are not
retained in the endoplasmic reticulum but surround the cell in a spotted pattern
that has been previously observed with receptors and plasmodesmatal proteins.
Abiotic signals that induce the production of PtdOH also regulate the expression
of GDSL-lipase and PLAFP, albeit in opposite patterns. Our findings suggest that
while all three proteins are indeed lipid-binding and act in the vasculature
possibly in a function related to long-distance signaling, the three proteins do
not act in the same but rather in distinct pathways. It also points toward PLAFP
as a prime candidate to investigate long-distance lipid signaling in the plant
drought response.
PMID- 27200038
TI - Linking Jasmonic Acid to Grapevine Resistance against the Biotrophic Oomycete
Plasmopara viticola.
AB - Plant resistance to biotrophic pathogens is classically believed to be mediated
through salicylic acid (SA) signaling leading to hypersensitive response followed
by the establishment of Systemic Acquired Resistance. Jasmonic acid (JA)
signaling has extensively been associated to the defense against necrotrophic
pathogens and insects inducing the accumulation of secondary metabolites and PR
proteins. Moreover, it is believed that plants infected with biotrophic fungi
suppress JA-mediated responses. However, recent evidences have shown that certain
biotrophic fungal species also trigger the activation of JA-mediated responses,
suggesting a new role for JA in the defense against fungal biotrophs. Plasmopara
viticola is a biotrophic oomycete responsible for the grapevine downy mildew, one
of the most important diseases in viticulture. In this perspective, we show
recent evidences of JA participation in grapevine resistance against P. viticola,
outlining the hypothesis of JA involvement in the establishment of an
incompatible interaction with this biotroph. We also show that in the first hours
after P. viticola inoculation the levels of OPDA, JA, JA-Ile, and SA increase
together with an increase of expression of genes associated to JA and SA
signaling pathways. Our data suggests that, on the first hours after P. viticola
inoculation, JA signaling pathway is activated and the outcomes of JA-SA
interactions may be tailored in the defense response against this biotrophic
pathogen.
PMID- 27200039
TI - Orthology Analysis and In Vivo Complementation Studies to Elucidate the Role of
DIR1 during Systemic Acquired Resistance in Arabidopsis thaliana and Cucumis
sativus.
AB - AtDIR1 (Defective in Induced Resistance1) is an acidic lipid transfer protein
essential for systemic acquired resistance (SAR) in Arabidopsis thaliana. Upon
SAR induction, DIR1 moves from locally infected to distant uninfected leaves to
activate defense priming; however, a molecular function for DIR1 has not been
elucidated. Bioinformatic analysis and in silico homology modeling identified
putative AtDIR1 orthologs in crop species, revealing conserved protein motifs
within and outside of DIR1's central hydrophobic cavity. In vitro assays to
compare the capacity of recombinant AtDIR1 and targeted AtDIR1-variant proteins
to bind the lipophilic probe TNS (6,P-toluidinylnaphthalene-2-sulfonate) provided
evidence that conserved leucine 43 and aspartic acid 39 contribute to the size of
the DIR1 hydrophobic cavity and possibly hydrophobic ligand binding. An
Arabidopsis-cucumber SAR model was developed to investigate the conservation of
DIR1 function in cucumber (Cucumis sativus), and we demonstrated that phloem
exudates from SAR-induced cucumber rescued the SAR defect in the Arabidopsis dir1
1 mutant. Additionally, an AtDIR1 antibody detected a protein of the same size as
AtDIR1 in SAR-induced cucumber phloem exudates, providing evidence that DIR1
function during SAR is conserved in Arabidopsis and cucumber. In vitro TNS
displacement assays demonstrated that recombinant AtDIR1 did not bind the SAR
signals azelaic acid (AzA), glycerol-3-phosphate or pipecolic acid. However,
recombinant CsDIR1 and CsDIR2 interacted weakly with AzA and pipecolic acid.
Bioinformatic and functional analyses using the Arabidopsis-cucumber SAR model
provide evidence that DIR1 orthologs exist in tobacco, tomato, cucumber, and
soybean, and that DIR1-mediated SAR signaling is conserved in Arabidopsis and
cucumber.
PMID- 27200040
TI - Trait Specific Expression Profiling of Salt Stress Responsive Genes in Diverse
Rice Genotypes as Determined by Modified Significance Analysis of Microarrays.
AB - Stress responsive gene expression is commonly profiled in a comparative manner
involving different stress conditions or genotypes with contrasting reputation of
tolerance/resistance. In contrast, this research exploited a wide natural
variation in terms of taxonomy, origin and salt sensitivity in eight genotypes of
rice to identify the trait specific patterns of gene expression under salt
stress. Genome wide transcptomic responses were interrogated by the weighted
continuous morpho-physiological trait responses using modified Significance
Analysis of Microarrays. More number of genes was found to be differentially
expressed under salt stressed compared to that of under unstressed conditions.
Higher numbers of genes were observed to be differentially expressed for the
traits shoot Na(+)/K(+), shoot Na(+), root K(+), biomass and shoot Cl(-),
respectively. The results identified around 60 genes to be involved in Na(+),
K(+), and anion homeostasis, transport, and transmembrane activity under stressed
conditions. Gene Ontology (GO) enrichment analysis identified 1.36% (578 genes)
of the entire transcriptome to be involved in the major molecular functions such
as signal transduction (>150 genes), transcription factor (81 genes), and
translation factor activity (62 genes) etc., under salt stress. Chromosomal
mapping of the genes suggests that majority of the genes are located on
chromosomes 1, 2, 3, 6, and 7. The gene network analysis showed that the
transcription factors and translation initiation factors formed the major gene
networks and are mostly active in nucleus, cytoplasm and mitochondria whereas the
membrane and vesicle bound proteins formed a secondary network active in plasma
membrane and vacuoles. The novel genes and the genes with unknown functions thus
identified provide picture of a synergistic salinity response representing the
potentially fundamental mechanisms that are active in the wide natural genetic
background of rice and will be of greater use once their roles are functionally
verified.
PMID- 27200041
TI - Propagule Pressure, Habitat Conditions and Clonal Integration Influence the
Establishment and Growth of an Invasive Clonal Plant, Alternanthera
philoxeroides.
AB - Many notorious invasive plants are clonal, spreading mainly by vegetative
propagules. Propagule pressure (the number of propagules) may affect the
establishment, growth, and thus invasion success of these clonal plants, and such
effects may also depend on habitat conditions. To understand how propagule
pressure, habitat conditions and clonal integration affect the establishment and
growth of the invasive clonal plants, an 8-week greenhouse with an invasive
clonal plant, Alternanthera philoxeroides was conducted. High (five fragments) or
low (one fragment) propagule pressure was established either in bare soil (open
habitat) or dense native vegetation of Jussiaea repens (vegetative habitat), with
the stolon connections either severed from or connected to the relatively older
ramets. High propagule pressure greatly increased the establishment and growth of
A. philoxeroides, especially when it grew in vegetative habitats. Surprisingly,
high propagule pressure significantly reduced the growth of individual plants of
A. philoxeroides in open habitats, whereas it did not affect the individual
growth in vegetative habitats. A shift in the intraspecific interaction on A.
philoxeroides from competition in open habitats to facilitation in vegetative
habitats may be the main reason. Moreover, clonal integration significantly
improved the growth of A. philoxeroides only in open habitats, especially with
low propagule pressure, whereas it had no effects on the growth and competitive
ability of A. philoxeroides in vegetative habitats, suggesting that clonal
integration may be of most important for A. philoxeroides to explore new open
space and spread. These findings suggest that propagule pressure may be crucial
for the invasion success of A. philoxeroides, and such an effect also depends on
habitat conditions.
PMID- 27200042
TI - Fruit Calcium: Transport and Physiology.
AB - Calcium has well-documented roles in plant signaling, water relations and cell
wall interactions. Significant research into how calcium impacts these individual
processes in various tissues has been carried out; however, the influence of
calcium on fruit ripening has not been thoroughly explored. Here, we review the
current state of knowledge on how calcium may impact the development, physical
traits and disease susceptibility of fruit through facilitating developmental and
stress response signaling, stabilizing membranes, influencing water relations and
modifying cell wall properties through cross-linking of de-esterified pectins. We
explore the involvement of calcium in hormone signaling integral to the
physiological mechanisms behind common disorders that have been associated with
fruit calcium deficiency (e.g., blossom end rot in tomatoes or bitter pit in
apples). This review works toward an improved understanding of how the many roles
of calcium interact to influence fruit ripening, and proposes future research
directions to fill knowledge gaps. Specifically, we focus mostly on grapes and
present a model that integrates existing knowledge around these various functions
of calcium in fruit, which provides a basis for understanding the physiological
impacts of sub-optimal calcium nutrition in grapes. Calcium accumulation and
distribution in fruit is shown to be highly dependent on water delivery and cell
wall interactions in the apoplasm. Localized calcium deficiencies observed in
particular species or varieties can result from differences in xylem morphology,
fruit water relations and pectin composition, and can cause leaky membranes,
irregular cell wall softening, impaired hormonal signaling and aberrant fruit
development. We propose that the role of apoplasmic calcium-pectin crosslinking,
particularly in the xylem, is an understudied area that may have a key influence
on fruit water relations. Furthermore, we believe that improved knowledge of the
calcium-regulated signaling pathways that control ripening would assist in
addressing calcium deficiency disorders and improving fruit pathogen resistance.
PMID- 27200045
TI - Carbon Monoxide as a Signaling Molecule in Plants.
AB - Carbon monoxide (CO), a gaseous molecule, has emerged as a signaling molecule in
plants, due to its ability to trigger a series of physiological reactions. This
article provides a brief update on the synthesis of CO, its physiological
functions in plant growth and development, as well as its roles in abiotic stress
tolerance such as drought, salt, ultraviolet radiation, and heavy metal stress.
CO has positive effects on seed germination, root development, and stomatal
closure. Also, CO can enhance plant abiotic stress resistance commonly through
the enhancement of antioxidant defense system. Moreover, CO shows cross talk with
other signaling molecules including NO, phytohormones (IAA, ABA, and GA) and
other gas signaling molecules (H2S, H2, CH4).
PMID- 27200043
TI - Cross Talk between H2O2 and Interacting Signal Molecules under Plant Stress
Response.
AB - It is well established that oxidative stress is an important cause of cellular
damage. During stress conditions, plants have evolved regulatory mechanisms to
adapt to various environmental stresses. One of the consequences of stress is an
increase in the cellular concentration of reactive oxygen species, which is
subsequently converted to H2O2. H2O2 is continuously produced as the byproduct of
oxidative plant aerobic metabolism. Organelles with a high oxidizing metabolic
activity or with an intense rate of electron flow, such as chloroplasts,
mitochondria, or peroxisomes are major sources of H2O2 production. H2O2 acts as a
versatile molecule because of its dual role in cells. Under normal conditions,
H2O2 immerges as an important factor during many biological processes. It has
been established that it acts as a secondary messenger in signal transduction
networks. In this review, we discuss potential roles of H2O2 and other signaling
molecules during various stress responses.
PMID- 27200046
TI - Comparative Proteomic Analysis of Soybean Leaves and Roots by iTRAQ Provides
Insights into Response Mechanisms to Short-Term Salt Stress.
AB - Salinity severely threatens land use capability and crop yields worldwide.
Understanding the mechanisms that protect soybeans from salt stress will help in
the development of salt-stress tolerant leguminous plants. Here we initially
analyzed the changes in malondialdehyde levels, the activities of superoxide
dismutase and peroxidases, chlorophyll content, and Na(+)/K(+) ratios in leaves
and roots from soybean seedlings treated with 200 mM NaCl at different time
points. We found that the 200 mM NaCl treated for 12 h was optimal for
undertaking a proteomic analysis on soybean seedlings. An iTRAQ-based proteomic
approach was used to investigate the proteomes of soybean leaves and roots under
salt treatment. These data are available via ProteomeXchange with the identifier
PXD002851. In total, 278 and 440 proteins with significantly altered abundances
were identified in leaves and roots of soybean, respectively. From these data, a
total of 50 proteins were identified in the both tissues. These differentially
expressed proteins (DEPs) were from 13 biological processes. Moreover, protein
protein interaction analysis revealed that proteins involved in metabolism,
carbohydrate and energy metabolism, protein synthesis and redox homeostasis could
be assigned to four high salt stress response networks. Furthermore, semi
quantitative RT-PCR analysis revealed that some of the proteins, such as a 14-3
3, MMK2, PP1, TRX-h, were also regulated by salt stress at the level of
transcription. These results indicated that effective regulatory protein
expression related to signaling, membrane and transport, stress defense and
metabolism all played important roles in the short-term salt response of soybean
seedlings.
PMID- 27200047
TI - Genome-Wide Identification and Expression Profile of Dof Transcription Factor
Gene Family in Pepper (Capsicum annuum L.).
AB - Dof (DNA-binding One Zinc Finger) transcription factor family is unique to plants
and has diverse roles associated with plant-specific phenomena, such as light,
phytohormone and defense responses as well as seed development and germination.
Although, genome-wide analysis of this family has been performed in many species,
information regarding Dof genes in the pepper, Capsicum annuum L., is extremely
limited. In this study, exhaustive searches of pepper genome revealed 33
potential CaDofs that were phylogenetically clustered into four subgroups. Twenty
nine of the 33 Dof genes could be mapped on 11 chromosomes, except for chromosome
7. The intron/exon organizations and conserved motif compositions of these genes
were also analyzed. Additionally, phylogenetic analysis and classification of the
Dof transcription factor family in eight plant species revealed that S.
lycopersicum and C. annuum as well as O. sativa and S. bicolor Dof proteins may
have evolved conservatively. Moreover, comprehensive expression analysis of
CaDofs using a RNA-seq atlas and quantitative real-time polymerase chain reaction
(qRT-PCR) revealed that these genes exhibit a variety of expression patterns.
Most of the CaDofs were expressed in at least one of the tissues tested, whereas
several genes were identified as being highly responsive to heat and salt
stresses. Overall, this study describes the first genome-wide analysis of the
pepper Dof family, whose genes exhibited different expression patterns in all
primary fruit developmental stages and tissue types, as in response to abiotic
stress. In particular, some Dof genes might be used as biomarkers for heat and
salt stress. The results could expand our understanding of the roles of Dof genes
in pepper.
PMID- 27200048
TI - Exogenous Melatonin Improves Antioxidant Defense in Cucumber Seeds (Cucumis
sativus L.) Germinated under Chilling Stress.
AB - The relationship between exogenous melatonin applied into cucumber seeds during
osmopriming and modifications of their antioxidant defense was studied.
Accumulation of hydrogen peroxide, antioxidant enzyme activities and glutathione
pool were investigated in embryonic axes isolated from the control, osmoprimed,
and osmoprimed with melatonin seeds. Germinating cucumber seeds are very
sensitive to chilling. Temperature 10 degrees C causes oxidative stress in young
seedlings. Seed pre-treatment with melatonin seemed to limit H2O2 accumulation
during germination under optimal condition as well as during chilling stress and
recovery period. Melatonin affected superoxide dismutase (SOD) activity and its
isoforms during stress and recovery period but did not influence CAT and POX
activities. Thus it is possible that in cucumber this indoleamine could act
mostly as a direct H2O2 scavenger, but superoxide anion combat via SOD
stimulation. The GSH/GSSG ratio is considered as an indirect determinant of
oxidative stress. When the cells are exposed to oxidative stress GSSG is
accumulated and the ratio of GSH to GSSG decreases. In our research pre-sowing
melatonin application into the cucumber seeds caused high beneficial value of
GSH/GSSG ratio that could be helpful for stress countering. Glutathione reductase
(GSSG-R) activity in the axes isolated from these seeds was two fold higher than
in those isolated from the control and from the osmoprimed without melatonin
ones. Additional isoforms of GSSG-R in melatonin treated seeds were also
observed. It explains high and effective GSH pool restoration in the seeds pre
treated with melatonin. We confirmed that melatonin could protect cucumber seeds
and young seedlings against oxidative stress directly and indirectly detoxifying
ROS, thereby plants grown better even in harmful environmental conditions. This
work is the first that investigated on plant in vivo model and documented
melatonin influence on redox state during seed germination. This way we try to
fill lack of information about melatonin-regulated pathways involved in
antioxidant strategy of plant defense.
PMID- 27200044
TI - Abscisic Acid and Abiotic Stress Tolerance in Crop Plants.
AB - Abiotic stress is a primary threat to fulfill the demand of agricultural
production to feed the world in coming decades. Plants reduce growth and
development process during stress conditions, which ultimately affect the yield.
In stress conditions, plants develop various stress mechanism to face the
magnitude of stress challenges, although that is not enough to protect them.
Therefore, many strategies have been used to produce abiotic stress tolerance
crop plants, among them, abscisic acid (ABA) phytohormone engineering could be
one of the methods of choice. ABA is an isoprenoid phytohormone, which regulates
various physiological processes ranging from stomatal opening to protein storage
and provides adaptation to many stresses like drought, salt, and cold stresses.
ABA is also called an important messenger that acts as the signaling mediator for
regulating the adaptive response of plants to different environmental stress
conditions. In this review, we will discuss the role of ABA in response to
abiotic stress at the molecular level and ABA signaling. The review also deals
with the effect of ABA in respect to gene expression.
PMID- 27200049
TI - In Search of Enzymes with a Role in 3', 5'-Cyclic Guanosine Monophosphate
Metabolism in Plants.
AB - In plants, nitric oxide (NO)-mediated 3', 5'-cyclic guanosine monophosphate
(cGMP) synthesis plays an important role during pathogenic stress response,
stomata closure upon osmotic stress, the development of adventitious roots and
transcript regulation. The NO-cGMP dependent pathway is well characterized in
mammals. The binding of NO to soluble guanylate cyclase enzymes (GCs) initiates
the synthesis of cGMP from guanosine triphosphate. The produced cGMP alters
various cellular responses, such as the function of protein kinase activity,
cyclic nucleotide gated ion channels and cGMP-regulated phosphodiesterases. The
signal generated by the second messenger is terminated by 3', 5'-cyclic
nucleotide phosphodiesterase (PDEs) enzymes that hydrolyze cGMP to a non-cyclic
5'-guanosine monophosphate. To date, no homologues of mammalian cGMP-synthesizing
and degrading enzymes have been found in higher plants. In the last decade, six
receptor proteins from Arabidopsis thaliana have been reported to have guanylate
cyclase activity in vitro. Of the six receptors, one was shown to be a NO
dependent guanylate cyclase enzyme (NOGC1). However, the role of these proteins
in planta remains to be elucidated. Enzymes involved in the degradation of cGMP
remain elusive, albeit, PDE activity has been detected in crude protein extracts
from various plants. Additionally, several research groups have partially
purified and characterized PDE enzymatic activity from crude protein extracts. In
this review, we focus on presenting advances toward the identification of enzymes
involved in the cGMP metabolism pathway in higher plants.
PMID- 27200050
TI - MYB Transcription Factors in Chinese Pear (Pyrus bretschneideri Rehd.): Genome
Wide Identification, Classification, and Expression Profiling during Fruit
Development.
AB - The MYB family is one of the largest families of transcription factors in plants.
Although, some MYBs were reported to play roles in secondary metabolism, no
comprehensive study of the MYB family in Chinese pear (Pyrus bretschneideri
Rehd.) has been reported. In the present study, we performed genome-wide analysis
of MYB genes in Chinese pear, designated as PbMYBs, including analyses of their
phylogenic relationships, structures, chromosomal locations, promoter regions, GO
annotations, and collinearity. A total of 129 PbMYB genes were identified in the
pear genome and were divided into 31 subgroups based on phylogenetic analysis.
These PbMYBs were unevenly distributed among 16 chromosomes (total of 17
chromosomes). The occurrence of gene duplication events indicated that whole
genome duplication and segmental duplication likely played key roles in expansion
of the PbMYB gene family. Ka/Ks analysis suggested that the duplicated PbMYBs
mainly experienced purifying selection with restrictive functional divergence
after the duplication events. Interspecies microsynteny analysis revealed maximum
orthology between pear and peach, followed by plum and strawberry. Subsequently,
the expression patterns of 20 PbMYB genes that may be involved in lignin
biosynthesis according to their phylogenetic relationships were examined
throughout fruit development. Among the 20 genes examined, PbMYB25 and PbMYB52
exhibited expression patterns consistent with the typical variations in the
lignin content previously reported. Moreover, sub-cellular localization analysis
revealed that two proteins PbMYB25 and PbMYB52 were localized to the nucleus. All
together, PbMYB25 and PbMYB52 were inferred to be candidate genes involved in the
regulation of lignin biosynthesis during the development of pear fruit. This
study provides useful information for further functional analysis of the MYB gene
family in pear.
PMID- 27200052
TI - Climatic Signals from Intra-annual Density Fluctuation Frequency in Mediterranean
Pines at a Regional Scale.
AB - Tree rings provide information about the climatic conditions during the growing
season by recording them in different anatomical features, such as intra-annual
density fluctuations (IADFs). IADFs are intra-annual changes of wood density
appearing as latewood-like cells within earlywood, or earlywood-like cells within
latewood. The occurrence of IADFs is dependent on the age and size of the tree,
and it is triggered by climatic drivers. The variations of IADF frequency of
different species and their dependence on climate across a wide geographical
range have still to be explored. The objective of this study is to investigate
the effect of age, tree-ring width and climate on IADF formation and frequency at
a regional scale across the Mediterranean Basin in Pinus halepensis Mill., Pinus
pinaster Ait., and Pinus pinea L. The analyzed tree-ring network was composed of
P. pinea trees growing at 10 sites (2 in Italy, 4 in Spain, and 4 in Portugal),
P. pinaster from 19 sites (2 in Italy, 13 in Spain, and 4 in Portugal), and P.
halepensis from 38 sites in Spain. The correlations between IADF frequency and
monthly minimum, mean and maximum temperatures, as well as between IADF frequency
and total precipitation, were analyzed. A significant negative relationship
between IADF frequency and tree-ring age was found for the three Mediterranean
pines. Moreover, IADFs were more frequent in wider rings than in narrower ones,
although the widest rings showed a reduced IADF frequency. Wet conditions during
late summer/early autumn triggered the formation of IADFs in the three species.
Our results suggest the existence of a common climatic driver for the formation
of IADFs in Mediterranean pines, highlighting the potential use of IADF frequency
as a proxy for climate reconstructions with geographical resolution.
PMID- 27200053
TI - Moss Pathogenesis-Related-10 Protein Enhances Resistance to Pythium irregulare in
Physcomitrella patens and Arabidopsis thaliana.
AB - Plants respond to pathogen infection by activating signaling pathways leading to
the accumulation of proteins with diverse roles in defense. Here, we addressed
the functional role of PpPR-10, a pathogenesis-related (PR)-10 gene, of the moss
Physcomitrella patens, in response to biotic stress. PpPR-10 belongs to a
multigene family and encodes a protein twice the usual size of PR-10 proteins due
to the presence of two Bet v1 domains. Moss PR-10 genes are differentially
regulated during development and inoculation with the fungal pathogen Botrytis
cinerea. Specifically, PpPR-10 transcript levels increase significantly by
treatments with elicitors of Pectobacterium carotovorum subsp. carotovorum,
spores of B. cinerea, and the defense hormone salicylic acid. To characterize the
role of PpPR-10 in plant defense against pathogens, we conducted overexpression
analysis in P. patens and in Arabidopsis thaliana. We demonstrate that
constitutive expression of PpPR-10 in moss tissues increased resistance against
the oomycete Pythium irregulare. PpPR-10 overexpressing moss plants developed
less symptoms and decreased mycelium growth than wild type plants. In addition,
PpPR-10 overexpressing plants constitutively produced cell wall depositions in
protonemal tissue. Ectopic expression of PpPR-10 in Arabidopsis resulted in
increased resistance against P. irregulare as well, evidenced by smaller lesions
and less cellular damage compared to wild type plants. These results indicate
that PpPR-10 is functionally active in the defense against the pathogen P.
irregulare, in both P. patens and Arabidopsis, two evolutionary distant plants.
Thus, P. patens can serve as an interesting source of genes to improve resistance
against pathogen infection in flowering plants.
PMID- 27200054
TI - Brassica napus Genome Possesses Extraordinary High Number of CAMTA Genes and
CAMTA3 Contributes to PAMP Triggered Immunity and Resistance to Sclerotinia
sclerotiorum.
AB - Calmodulin-binding transcription activators (CAMTAs) play important roles in
various plant biological processes including disease resistance and abiotic
stress tolerance. Oilseed rape (Brassica napus L.) is one of the most important
oil-producing crops worldwide. To date, compositon of CAMTAs in genomes of
Brassica species and role of CAMTAs in resistance to the devastating necrotrophic
fungal pathogen Sclerotinia sclerotiorum are still unknown. In this study, 18
CAMTA genes were identified in oilseed rape genome through bioinformatics
analyses, which were inherited from the nine copies each in its progenitors
Brassica rapa and Brassica oleracea and represented the highest number of CAMTAs
in a given plant species identified so far. Gene structure, protein domain
organization and phylogentic analyses showed that the oilseed rape CAMTAs were
structurally similar and clustered into three major groups as other plant CAMTAs,
but had expanded subgroups CAMTA3 and CAMTA4 genes uniquely in rosids species
occurring before formation of oilseed rape. A large number of stress response
related cis-elements existed in the 1.5 kb promoter regions of the BnCAMTA genes.
BnCAMTA genes were expressed differentially in various organs and in response to
treatments with plant hormones and the toxin oxalic acid (OA) secreted by S.
sclerotiorum as well as the pathogen inoculation. Remarkably, the expression of
BnCAMTA3A1 and BnCAMTA3C1 was drastically induced in early phase of S.
sclerotiorum infection, indicating their potential role in the interactions
between oilseed rape and S. sclerotiorum. Furthermore, inoculation analyses using
Arabidopsis camta mutants demonstrated that Atcamta3 mutant plants exhibited
significantly smaller disease lesions than wild-type and other Atcamta mutant
plants. In addition, compared with wild-type plants, Atcamta3 plants accumulated
obviously more hydrogen peroxide in response to the PAMP chitin and exhibited
much higher expression of the CGCG-box-containing genes BAK1 and JIN1, which are
essential to the PAMP triggered immunity (PTI) and/or plant resistance to
pathogens including S. sclerotiorum. Our results revealed that CAMTA3 negatively
regulated PTI probably by directly targeting BAK1 and it also negatively
regulated plant defense through suppressing JA signaling pathway probably via
directly targeting JIN1.
PMID- 27200051
TI - Photoactivation: The Light-Driven Assembly of the Water Oxidation Complex of
Photosystem II.
AB - Photosynthetic water oxidation is catalyzed by the Mn4CaO5 cluster of photosystem
II. The assembly of the Mn4O5Ca requires light and involves a sequential process
called photoactivation. This process harnesses the charge-separation of the
photochemical reaction center and the coordination environment provided by the
amino acid side chains of the protein to oxidize and organize the incoming
manganese ions to form the oxo-bridged metal cluster capable of H2O-oxidation.
Although most aspects of this assembly process remain poorly understood, recent
advances in the elucidation of the crystal structure of the fully assembled
cyanobacterial PSII complex help in the interpretation of the rich history of
experiments designed to understand this process. Moreover, recent insights on the
structure and stability of the constituent ions of the Mn4CaO5 cluster may guide
future experiments. Here we consider the literature and suggest possible models
of assembly including one involving single Mn(2+) oxidation site for all Mn but
requiring ion relocation.
PMID- 27200055
TI - Identification of Topping Responsive Proteins in Tobacco Roots.
AB - The process of topping elicits many responses in the tobacco plant, including an
increase in nicotine biosynthesis, and the secondary growth of roots. Some
topping responsive miRNAs and genes have been identified in our previous study,
but the mechanism of the tobacco response to topping has not yet been fully
elucidated. In this study, topping responsive proteins isolated from tobacco
roots were screened using two-dimensional electrophoresis. Of the proteins
identified, calreticulin and auxin-responsive protein indole acetic acid (IAA9)
were involved in the secondary growth of roots; leucine-rich repeat disease
resistance, heat shock protein 70, and farnesyl pyrophosphate synthase 1 were
involved in the wounding stress response; and F-box protein played an important
role in promoting the ability of nicotine synthesis after topping. In addition,
we identified five tobacco bHLH proteins (NtbHLH, NtMYC1a, NtMYC1b, NtMYC2a, and
NtMYC2b) related to nicotine biosynthesis. NtMYC2 was suggested to be the main
positive transcription factor, with NtbHLH protein being a negative regulator in
the jasmonic acid (JA)-mediated activation of nicotine biosynthesis after
topping. Tobacco topping activates a comprehensive range of biological processes
involving the IAA and JA signaling pathways, and the identification of proteins
involved in these processes will improve our understanding of the topping
response.
PMID- 27200057
TI - Alleviation of Drought Stress and Metabolic Changes in Timothy (Phleum pratense
L.) Colonized with Bacillus subtilis B26.
AB - Drought is a major limiting factor of crop productivity worldwide and its
incidence is predicted to increase under climate change. Drought adaptation of
cool-season grasses is thus a major challenge to secure the agricultural
productivity under current and future climate conditions. Endophytes are non
pathogenic plant-associated bacteria that can play an important role in
conferring resistance and improving plant tolerance to drought. In this study,
the effect of inoculation of the bacterial endophyte Bacillus subtilis strain B26
on growth, water status, photosynthetic activity and metabolism of timothy
(Phleum pratense L.) subjected to drought stress was investigated under
controlled conditions. Under both drought-stress and non-stressed conditions,
strain B26 successfully colonized the internal tissues of timothy and had a
positive impact on plant growth. Exposure of inoculated plant to a 8-week drought
stress led to significant increase in shoot and root biomass by 26.6 and 63.8%,
and in photosynthesis and stomatal conductance by 55.2 and 214.9% respectively,
compared to non-inoculated plants grown under similar conditions. There was a
significant effect of the endophyte on plant metabolism; higher levels of several
sugars, notably sucrose and fructans and an increase of key amino acids such as,
asparagine, glutamic acid and glutamine were recorded in shoots and roots of
colonized plants compared to non-colonized ones. The accumulation of the non
protein amino acid GABA in shoots of stressed plants and in roots of stressed and
unstressed plants was increased in the presence of the endophyte. Taken together,
our results indicate that B. subtilis B26 improves timothy growth under drought
stress through the modification of osmolyte accumulation in roots and shoots.
These results will contribute to the development of a microbial agent to improve
the yield of grass species including forage crops and cereals exposed to
environmental stresses.
PMID- 27200058
TI - Unraveling Aspects of Bacillus amyloliquefaciens Mediated Enhanced Production of
Rice under Biotic Stress of Rhizoctonia solani.
AB - Rhizoctonia solani is a necrotrophic fungi causing sheath blight in rice leading
to substantial loss in yield. Excessive and persistent use of preventive
chemicals raises human health and environment safety concerns. As an alternative,
use of biocontrol agents is highly recommended. In the present study, an abiotic
stress tolerant, plant growth promoting rhizobacteria Bacillus amyloliquefaciens
(SN13) is demonstrated to act as a biocontrol agent and enhance immune response
against R. solani in rice by modulating various physiological, metabolic, and
molecular functions. A sustained tolerance by SN13 primed plant over a longer
period of time, post R. solani infection may be attributed to several
unconventional aspects of the plants' physiological status. The prolonged stress
tolerance observed in presence of SN13 is characterized by (a) involvement of
bacterial mycolytic enzymes, (b) sustained maintenance of elicitors to keep the
immune system induced involving non-metabolizable sugars such as turanose besides
the known elicitors, (c) a delicate balance of ROS and ROS scavengers through
production of proline, mannitol, and arabitol and rare sugars like
fructopyranose, beta-D-glucopyranose and myoinositol and expression of ferric
reductases and hypoxia induced proteins, (d) production of metabolites like
quinazoline and expression of terpene synthase, and (e) hormonal cross talk. As
the novel aspect of biological control this study highlights the role of rare
sugars, maintenance of hypoxic conditions, and sucrose and starch metabolism in
B. amyloliquefaciens (SN13) mediated sustained biotic stress tolerance in rice.
PMID- 27200059
TI - Divergent Adaptive Strategies by Two Co-occurring Epiphytic Orchids to Water
Stress: Escape or Avoidance?
AB - Due to the fluctuating water availability in the arboreal habitat, epiphytic
plants are considered vulnerable to climate change and anthropogenic
disturbances. Although co-occurring taxa have been observed divergent adaptive
performances in response to drought, the underlying physiological and
morphological mechanisms by which epiphyte species cope with water stress remain
poorly understood. In the present study, two co-occurring epiphytic orchids with
different phenologies were selected to investigate their drought-resistance
performances. We compared their functional traits, and monitored their
physiological performances in a 25-days of drought treatment. In contrast to the
deciduous species Pleione albiflora, the evergreen species Coelogyne corymbosa
had different root anatomical structures and higher values for saturated water
content of pseudobulbs. Moreover, plants of C. corymbosa had thicker leaves and
epidermis, denser veins and stomata, and higher values for leaf mass per unit
area and the time required to dry saturated leaves to 70% relative water content.
However, samples from that species had lower values for net photosynthetic rate
(A n), stomatal length and chlorophyll content per unit dry mass. Nevertheless,
due to greater capacity for water storage and conservation, C. corymbosa
maintained higher A n, stomatal conductance (g s), and instantaneous water-use
efficiency during severe drought period, and their values for leaf water
potential were higher after the water stress treatment. By Day 10 after
irrigation was restarted, only C. corymbosa plants recovered their values for A n
and g s to levels close to those calculated prior to the imposition of water
stress. Our results suggest that the different performance responding to drought
and re-watering in two co-occurring epiphytic orchids is related to water-related
traits and these two species have divergent adaptive mechanisms. Overall, C.
corymbosa demonstrates drought avoidance by enhancing water uptake and storage,
and by reducing water losses while P. albiflora employs a drought escape strategy
by fixing more carbon during growing season and shedding leaves and roots at dry
season, leaving a dormant pseudobulb to minimize transpiration. These findings
may improve our understanding of the potential effects that climate change can
have on the population dynamics of different epiphytic taxa.
PMID- 27200056
TI - Microbe Associated Molecular Pattern Signaling in Guard Cells.
AB - Stomata, formed by pairs of guard cells in the epidermis of terrestrial plants,
regulate gas exchange, thus playing a critical role in plant growth and stress
responses. As natural openings, stomata are exploited by microbes as an entry
route. Recent studies reveal that plants close stomata upon guard cell perception
of molecular signatures from microbes, microbe associated molecular patterns
(MAMPs), to prevent microbe invasion. The perception of MAMPs induces signal
transduction including recruitment of second messengers, such as Ca(2+) and H2O2,
phosphorylation events, and change of transporter activity, leading to stomatal
movement. In the present review, we summarize recent findings in signaling
underlying MAMP-induced stomatal movement by comparing with other signalings.
PMID- 27200060
TI - Increased Virulence in Sunflower Broomrape (Orobanche cumana Wallr.) Populations
from Southern Spain Is Associated with Greater Genetic Diversity.
AB - Orobanche cumana Wallr. (sunflower broomrape) is a holoparasitic weed that
infects roots of sunflower in large areas of Europe and Asia. Two distant O.
cumana gene pools have been identified in Spain, one in Cuenca province in the
Center and another one in the Guadalquivir Valley in the South. Race F has been
hypothesized to have arisen by separate mutational events in both gene pools. In
the Guadalquivir Valley, race F spread in the middle 1990's to become predominant
and contained so far with race F hybrids. Recently, enhanced virulent populations
of O. cumana have been observed in commercial fields parasitizing race F
resistant hybrids. From them, we collected four independent populations and
conducted virulence and SSR marker-based genetic diversity analysis. Virulence
essays confirmed that the four populations studied can parasitize most of the
race F resistant hybrids tested, but they cannot parasitize the differential
inbred lines DEB-2, carrying resistance to race F and G, and P-96, resistant to F
but susceptible to races G from other countries. Accordingly, the new populations
have been classified as race GGV to distinguish them from other races G. Cluster
analysis with a set of populations from the two Spanish gene pools and from other
areas, mainly Eastern Europe, confirmed that race GGV populations maintain close
genetic relatedness with the Guadalquivir Valley gene pool. This suggested that
increased virulence was not caused by new introductions from other countries.
Genetic diversity parameters revealed that the four populations had much greater
genetic diversity than conventional populations of the same area, containing only
alleles present in the Guadalquivir Valley and Cuenca gene pools. The results
suggested that increased virulence may have resulted from admixture of
populations from the Guadalquivir Valley and Cuenca followed by recombination of
avirulence genes.
PMID- 27200061
TI - Comprehensive Analysis and Expression Profiling of the OsLAX and OsABCB Auxin
Transporter Gene Families in Rice (Oryza sativa) under Phytohormone Stimuli and
Abiotic Stresses.
AB - The plant hormone auxin regulates many aspects of plant growth and developmental
processes. Auxin gradient is formed in plant as a result of polar auxin
transportation by three types of auxin transporters such as OsLAX, OsPIN, and
OsABCB. We report here the analysis of two rice auxin transporter gene families,
OsLAX and OsABCB, using bioinformatics tools, publicly accessible microarray
data, and quantitative RT-PCR. There are 5 putative OsLAXs and 22 putative
OsABCBs in rice genome, which were mapped on 8 chromosomes. The exon-intron
structure of OsLAX genes and properties of deduced proteins were relatively
conserved within grass family, while that of OsABCB genes varied greatly. Both
constitutive and organ/tissue specific expression patterns were observed in
OsLAXs and OsABCBs. Analysis of evolutionarily closely related "gene pairs"
together with organ/tissue specific expression revealed possible "function
gaining" and "function losing" events during rice evolution. Most OsLAX and
OsABCB genes were regulated by drought and salt stress, as well as hormonal
stimuli [auxin and Abscisic Acid (ABA)], which suggests extensive crosstalk
between abiotic stresses and hormone signaling pathways. The existence of large
number of auxin and stress related cis-regulatory elements in promoter regions
might account for their massive responsiveness of these genes to these
environmental stimuli, indicating complexity of regulatory networks involved in
various developmental and physiological processes. The comprehensive analysis of
OsLAX and OsABCB auxin transporter genes in this study would be helpful for
understanding the biological significance of these gene families in hormone
signaling and adaptation of rice plants to unfavorable environments.
PMID- 27200063
TI - Structure and Function of Intra-Annual Density Fluctuations: Mind the Gaps.
AB - Tree rings are natural archives of climate and environmental information with a
yearly resolution. Indeed, wood anatomical, chemical, and other properties of
tree rings are a synthesis of several intrinsic and external factors, and their
interaction during tree growth. In particular, Intra-Annual Density Fluctuations
(IADFs) can be considered as tree-ring anomalies that can be used to better
understand tree growth and to reconstruct past climate conditions with intra
annual resolution. However, the ecophysiological processes behind IADF formation,
as well as their functional impact, remain unclear. Are IADFs resulting from a
prompt adjustment to fluctuations in environmental conditions to avoid stressful
conditions and/or to take advantage from favorable conditions? In this paper we
discuss: (1) the influence of climatic factors on the formation of IADFs; (2) the
occurrence of IADFs in different species and environments; (3) the potential of
new approaches to study IADFs and identify their triggering factors. Our final
aim is to underscore the advantages offered by network analyses of data and the
importance of high-resolution measurements to gain insight into IADFs formation
processes and their relations with climatic conditions, including extreme weather
events.
PMID- 27200062
TI - The Impact of Competition and Allelopathy on the Trade-Off between Plant Defense
and Growth in Two Contrasting Tree Species.
AB - In contrast to plant-animal interactions, the conceptual framework regarding the
impact of secondary metabolites in mediating plant-plant interference is
currently less well defined. Here, we address hypotheses about the role of
chemically-mediated plant-plant interference (i.e., allelopathy) as a driver of
Mediterranean forest dynamics. Growth and defense abilities of a pioneer (Pinus
halepensis) and a late-successional (Quercus pubescens) Mediterranean forest
species were evaluated under three different plant interference conditions: (i)
allelopathy simulated by application of aqueous needle extracts of Pinus, (ii)
resource competition created by the physical presence of a neighboring species
(Pinus or Quercus), and (iii) a combination of both allelopathy and competition.
After 24 months of experimentation in simulated field conditions, Quercus was
more affected by plant interference treatments than was Pinus, and a hierarchical
response to biotic interference (allelopathy < competition < allelopathy +
competition) was observed in terms of relative impact on growth and plant
defense. Both species modulated their respective metabolic profiles according to
plant interference treatment and thus their inherent chemical defense status,
resulting in a physiological trade-off between plant growth and production of
defense metabolites. For Quercus, an increase in secondary metabolite production
and a decrease in plant growth were observed in all treatments. In contrast, this
trade-off in Pinus was only observed in competition and allelopathy + competition
treatments. Although Pinus and Quercus expressed differential responses when
subjected to a single interference condition, either allelopathy or competition,
species responses were similar or positively correlated when strong interference
conditions (allelopathy + competition) were imposed.
PMID- 27200064
TI - Identification of a Candidate Gene for Panicle Length in Rice (Oryza sativa L.)
Via Association and Linkage Analysis.
AB - Panicle length (PL) is an important trait for improving panicle architecture and
grain yield in rice (Oryza sativa L.). Three populations were used to identify
QTLs and candidate genes associated with PL. Four QTLs for PL were detected on
chromosomes 4, 6, and 9 through linkage mapping in the recombinant inbred line
population derived from a cross between the cultivars Xiushui79 (short panicle)
and C-bao (long panicle). Ten SSR markers associated with PL were detected on
chromosomes 2, 3, 5, 6, 8, 9, and 10 in the natural population consisting of 540
accessions collected from East and Southeast Asia. A major locus on chromosome 9
with the largest effect was identified via both linkage and association mapping.
LONG PANICLE 1 (LP1) locus was delimited to a 90-kb region of the long arm of
chromosome 9 through fine mapping using a single segment segregating F2
population. Two single nucleotide polymorphisms (SNPs) leading to amino acid
changes were detected in the third and fifth exons of LP1. LP1 encodes a
Remorin_C-containing protein of unknown function with homologs in a variety of
species. Sequencing analysis of LP1 in two parents and 103 rice accessions
indicated that SNP1 is associated with panicle length. The LP1 allele of
Xiushui79 leads to reduced panicle length, whereas the allele of C-bao relieves
the suppression of panicle length. LP1 and the elite alleles can be used to
improve panicle length in rice.
PMID- 27200065
TI - Exogenous Application of Growth Enhancers Mitigate Water Stress in Wheat by
Antioxidant Elevation.
AB - The present study was conducted to investigate the response of two wheat
cultivars (AARI-11 and Millat-11) to a foliar application of four growth
enhancers which include: {H2O (water), MLE30 (moringa leaf extract), KCl
(potassium chloride), and BAP (benzyl-amino purine)}, within the six irrigation
water-regimes which are applied at the various critical growth stages such as
crown root initiation (CRI), tillering (T), booting (B), and heading (H).
Irrigation water-regimes include: CRI+T+B, CRI+T, CRI+B, T+B, T+H, and control
(CRI+T+B+H). The growth enhancers i.e., H2O, MLE30 (1:30), KCl (2%), and BAP (50
mg L(-1)) were applied @ 500 L ha(-1) at tillering and heading stages. The
results demonstrated some increased quantities of both enzymatic (superoxide
dismutase, peroxidase, catalase) and non-enzymatic (ascorbic acid, phenol)
antioxidants in leaves of AARI-11 when MLE30 was applied under T+B and T+H
irrigation water-regimes. Similar results were also observed in the case of leaf
chlorophyll "a" and "b" and K(+) contents in both cultivars under control, T+B
and CRI+T+B irrigation water regimes. AARI-11 produced the highest biological and
grain yield, due to the application of MLE30 and BAP under control, CRI+T+B, T+B,
and T+H irrigation water-regimes. However, KCl lagged behind among the treatments
set for both cultivars under all the irrigation water-regimes. Foliar spray of
MLE30 remained prominent growth enhancer and stresses mitigating agent under
water deficit conditions particularly under T+B and T+H irrigation water-regimes.
Moreover, economic analysis indicated that the foliar application of MLE30 is a
cost effective and environment friendly strategy for the maximum yield and
income.
PMID- 27200067
TI - OsGatB, the Subunit of tRNA-Dependent Amidotransferase, Is Required for Primary
Root Development in Rice.
AB - A short-root rice mutant was isolated from an ethyl methane sulfonate-mutagenized
library. From map-based cloning strategy, a point mutation, resulting in an amino
acid change from proline to leucine, was identified in the fourth exon of a
glutamyl-tRNA (Gln) amidotransferase B subunit family protein (OsGatB,
LOC_Os11g34210). This gene is an ortholog of Arabidopsis GatB and yeast PET112.
GatB is a subunit of tRNA-dependent amidotransferase (AdT), an essential enzyme
involved in Gln-tRNA(Gln) synthesis in mitochondria. Although previous studies
have described that cessation in mitochondrial translation is lethal at very
early developmental stages in plants, this point mutation resulted in a non
lethal phenotype of smaller root meristem and shorter root cell length. In the
root, OsGatB was predominantly expressed in the root tip and played an important
role in cell division and elongation there. OsGatB was localized in the
mitochondria, and mitochondrial structure and function were all affected in
Osgatb root tip cells.
PMID- 27200066
TI - Prevalent Exon-Intron Structural Changes in the APETALA1/FRUITFULL, SEPALLATA,
AGAMOUS-LIKE6, and FLOWERING LOCUS C MADS-Box Gene Subfamilies Provide New
Insights into Their Evolution.
AB - AP1/FUL, SEP, AGL6, and FLC subfamily genes play important roles in flower
development. The phylogenetic relationships among them, however, have been
controversial, which impedes our understanding of the origin and functional
divergence of these genes. One possible reason for the controversy may be the
problems caused by changes in the exon-intron structure of genes, which,
according to recent studies, may generate non-homologous sites and hamper the
homology-based sequence alignment. In this study, we first performed exon-by-exon
alignments of these and three outgroup subfamilies (SOC1, AG, and STK).
Phylogenetic trees reconstructed based on these matrices show improved resolution
and better congruence with species phylogeny. In the context of these
phylogenies, we traced evolutionary changes of exon-intron structures in each
subfamily. We found that structural changes have occurred frequently following
gene duplication and speciation events. Notably, exons 7 and 8 (if present)
suffered more structural changes than others. With the knowledge of exon-intron
structural changes, we generated more reasonable alignments containing all the
focal subfamilies. The resulting trees showed that the SEP subfamily is sister to
the monophyletic group formed by AP1/FUL and FLC subfamily genes and that the
AGL6 subfamily forms a sister group to the three abovementioned subfamilies.
Based on this topology, we inferred the evolutionary history of exon-intron
structural changes among different subfamilies. Particularly, we found that the
eighth exon originated before the divergence of AP1/FUL, FLC, SEP, and AGL6
subfamilies and degenerated in the ancestral FLC-like gene. These results provide
new insights into the origin and evolution of the AP1/FUL, FLC, SEP, and AGL6
subfamilies.
PMID- 27200068
TI - Common Bean: A Legume Model on the Rise for Unraveling Responses and Adaptations
to Iron, Zinc, and Phosphate Deficiencies.
AB - Common bean (Phaseolus vulgaris) was domesticated ~8000 years ago in the Americas
and today is a staple food worldwide. Besides caloric intake, common bean is also
an important source of protein and micronutrients and it is widely appreciated in
developing countries for their affordability (compared to animal protein) and its
long storage life. As a legume, common bean also has the economic and
environmental benefit of associating with nitrogen-fixing bacteria, thus reducing
the use of synthetic fertilizers, which is key for sustainable agriculture.
Despite significant advances in the plant nutrition field, the mechanisms
underlying the adaptation of common bean to low nutrient input remains largely
unknown. The recent release of the common bean genome offers, for the first time,
the possibility of applying techniques and approaches that have been exclusive to
model plants to study the adaptive responses of common bean to challenging
environments. In this review, we discuss the hallmarks of common bean
domestication and subsequent distribution around the globe. We also discuss
recent advances in phosphate, iron, and zinc homeostasis, as these nutrients
often limit plant growth, development, and yield. In addition, iron and zinc are
major targets of crop biofortification to improve human nutrition. Developing
common bean varieties able to thrive under nutrient limiting conditions will have
a major impact on human nutrition, particularly in countries where dry beans are
the main source of carbohydrates, protein and minerals.
PMID- 27200070
TI - Implication of Abscisic Acid on Ripening and Quality in Sweet Cherries:
Differential Effects during Pre- and Post-harvest.
AB - Sweet cherry, a non-climacteric fruit, is usually cold-stored during post-harvest
to prevent over-ripening. The aim of the study was to evaluate the role of
abscisic acid (ABA) on fruit growth and ripening of this fruit, considering as
well its putative implication in over-ripening and effects on quality. We
measured the endogenous concentrations of ABA during the ripening of sweet
cherries (Prunus avium L. var. Prime Giant) collected from orchard trees and in
cherries exposed to 4 degrees C and 23 degrees C during 10 days of post-harvest.
Furthermore, we examined to what extent endogenous ABA concentrations were
related to quality parameters, such as fruit biomass, anthocyanin accumulation
and levels of vitamins C and E. Endogenous concentrations of ABA in fruits
increased progressively during fruit growth and ripening on the tree, to decrease
later during post-harvest at 23 degrees C. Cold treatment, however, increased ABA
levels and led to an inhibition of over-ripening. Furthermore, ABA levels
positively correlated with anthocyanin and vitamin E levels during pre-harvest,
but not during post-harvest. We conclude that ABA plays a major role in sweet
cherry development, stimulating its ripening process and positively influencing
quality parameters during pre-harvest. The possible influence of ABA preventing
over-ripening in cold-stored sweet cherries is also discussed.
PMID- 27200069
TI - Copper Trafficking in Plants and Its Implication on Cell Wall Dynamics.
AB - In plants, copper (Cu) acts as essential cofactor of numerous proteins. While the
definitive number of these so-called cuproproteins is unknown, they perform
central functions in plant cells. As micronutrient, a minimal amount of Cu is
needed to ensure cellular functions. However, Cu excess may exert in contrast
detrimental effects on plant primary production and even survival. Therefore it
is essential for a plant to have a strictly controlled Cu homeostasis, an
equilibrium that is both tissue and developmentally influenced. In the current
review an overview is presented on the different stages of Cu transport from the
soil into the plant and throughout the different plant tissues. Special emphasis
is on the Cu-dependent responses mediated by the SPL7 transcription factor, and
the crosstalk between this transcriptional regulation and microRNA-mediated
suppression of translation of seemingly non-essential cuproproteins. Since Cu is
an essential player in electron transport, we also review the recent insights
into the molecular mechanisms controlling chloroplastic and mitochondrial Cu
transport and homeostasis. We finally highlight the involvement of numerous Cu
proteins and Cu-dependent activities in the properties of one of the major Cu
accumulation sites in plants: the cell wall.
PMID- 27200071
TI - Biomass Allocation of Stoloniferous and Rhizomatous Plant in Response to Resource
Availability: A Phylogenetic Meta-Analysis.
AB - Resource allocation to different functions is central in life-history theory.
Plasticity of functional traits allows clonal plants to regulate their resource
allocation to meet changing environments. In this study, biomass allocation
traits of clonal plants were categorized into absolute biomass for vegetative
growth vs. for reproduction, and their relative ratios based on a data set
including 115 species and derived from 139 published literatures. We examined
general pattern of biomass allocation of clonal plants in response to
availabilities of resource (e.g., light, nutrients, and water) using phylogenetic
meta-analysis. We also tested whether the pattern differed among clonal organ
types (stolon vs. rhizome). Overall, we found that stoloniferous plants were more
sensitive to light intensity than rhizomatous plants, preferentially allocating
biomass to vegetative growth, aboveground part and clonal reproduction under
shaded conditions. Under nutrient- and water-poor condition, rhizomatous plants
were constrained more by ontogeny than by resource availability, preferentially
allocating biomass to belowground part. Biomass allocation between belowground
and aboveground part of clonal plants generally supported the optimal allocation
theory. No general pattern of trade-off was found between growth and
reproduction, and neither between sexual and clonal reproduction. Using
phylogenetic meta-analysis can avoid possible confounding effects of phylogeny on
the results. Our results shown the optimal allocation theory explained a general
trend, which the clonal plants are able to plastically regulate their biomass
allocation, to cope with changing resource availability, at least in
stoloniferous and rhizomatous plants.
PMID- 27200072
TI - The Role of Slr0151, a Tetratricopeptide Repeat Protein from Synechocystis sp.
PCC 6803, during Photosystem II Assembly and Repair.
AB - The assembly and repair of photosystem II (PSII) is facilitated by a variety of
assembly factors. Among those, the tetratricopeptide repeat (TPR) protein Slr0151
from Synechocystis sp. PCC 6803 (hereafter Synechocystis) has previously been
assigned a repair function under high light conditions (Yang et al., 2014). Here,
we show that inactivation of slr0151 affects thylakoid membrane ultrastructure
even under normal light conditions. Moreover, the level and localization of
Slr0151 are affected in a variety of PSII-related mutants. In particular, the
data suggest a close functional relationship between Slr0151 and Sll0933, which
interacts with Ycf48 during PSII assembly and is homologous to PAM68 in
Arabidopsis thaliana. Immunofluorescence analysis revealed a punctate
distribution of Slr0151 within several different membrane types in Synechocystis
cells.
PMID- 27200074
TI - Erratum: Non-targeted Metabolite Profiling and Scavenging Activity Unveil the
Nutraceutical Potential of Psyllium (Plantago ovata Forsk).
AB - [This corrects the article on p. 431 in vol. 7, PMID: 27092153.].
PMID- 27200073
TI - Dissecting miRNAs in Wheat D Genome Progenitor, Aegilops tauschii.
AB - As the post-transcriptional regulators of gene expression, microRNAs or miRNAs
comprise an integral part of understanding how genomes function. Although miRNAs
have been a major focus of recent efforts, miRNA research is still in its infancy
in most plant species. Aegilops tauschii, the D genome progenitor of bread wheat,
is a wild diploid grass exhibiting remarkable population diversity. Due to the
direct ancestry and the diverse gene pool, A. tauschii is a promising source for
bread wheat improvement. In this study, a total of 87 Aegilops miRNA families,
including 51 previously unknown, were computationally identified both at the
subgenomic level, using flow-sorted A. tauschii 5D chromosome, and at the whole
genome level. Predictions at the genomic and subgenomic levels suggested A.
tauschii 5D chromosome as rich in pre-miRNAs that are highly associated with
Class II DNA transposons. In order to gain insights into miRNA evolution,
putative 5D chromosome miRNAs were compared to its modern ortholog, Triticum
aestivum 5D chromosome, revealing that 48 of the 58 A. tauschii 5D miRNAs were
conserved in orthologous T. aestivum 5D chromosome. The expression profiles of
selected miRNAs (miR167, miR5205, miR5175, miR5523) provided the first
experimental evidence for miR5175, miR5205 and miR5523, and revealed differential
expressional changes in response to drought in different genetic backgrounds for
miR167 and miR5175. Interestingly, while miR5523 coding regions were present and
expressed as pre-miR5523 in both T. aestivum and A. tauschii, the expression of
mature miR5523 was observed only in A. tauschii under normal conditions, pointing
out to an interference at the downstream processing of pre-miR5523 in T.
aestivum. Overall, this study expands our knowledge on the miRNA catalog of A.
tauschii, locating a subset specifically to the 5D chromosome, with ample
functional and comparative insight which should contribute to and complement
efforts to develop drought tolerant wheat varieties.
PMID- 27200075
TI - Epigenetic Characterization of CDKN1C in Placenta Samples from Non-syndromic
Intrauterine Growth Restriction.
AB - The cyclin-dependent kinase (CDK)-inhibitor 1C (CDKN1C) gene is expressed from
the maternal allele and is located within the centromeric imprinted domain at
chromosome 11p15. It is a negative regulator of proliferation, with loss-of
function mutations associated with the overgrowth disorder Beckwith-Wiedemann
syndrome. Recently, gain-of-function mutations within the PCNA domain have been
described in two disorders characterized by growth failure, namely IMAGe (intra
uterine growth restriction, metaphyseal dysplasia, adrenal hypoplasia congenita
and genital abnormalities) syndrome and Silver-Russell syndrome (SRS). Over
expression of CDKN1C by maternally inherited microduplications also results in
SRS, suggesting that in addition to activating mutations this gene may regulate
growth by changes in dosage. To determine if CDKN1C is involved in non-syndromic
IUGR we compared the expression and DNA methylation levels in a large cohort of
placental biopsies from IUGR and uneventful pregnancies. We observe higher levels
of expression of CDKN1C in IUGR placentas compared to those of controls. All
placenta biopsies heterozygous for the PAPA repeat sequence in exon 2 showed
appropriate monoallelic expression and no mutations in the PCNA domain were
observed. The expression profile was independent of both genetic or methylation
variation in the minimal CDKN1C promoter interval and of methylation of the cis
acting maternally methylated region associated with the neighboring KCNQ1OT1 non
coding RNA. Chromatin immunoprecipitation revealed binding sites for CTCF within
the unmethylated CDKN1C gene body CpG island and putative enhancer regions,
associated with the canonical enhancer histone signature, H3K4me1 and H3K27ac,
located ~58 and 360 kb away. Using 3C-PCR we identify constitutive higher-order
chromatin loops that occur between one of these putative enhancer regions and
CDKN1C in human placenta tissues, which we propose facilitates expression.
PMID- 27200077
TI - Editorial: DNA and RNA Metabolism Meet at Chromatin to Control Genome Stability.
PMID- 27200076
TI - The Evolving Diagnostic and Genetic Landscapes of Autism Spectrum Disorder.
AB - The autism spectrum disorders (ASD) are a heterogeneous set of neurodevelopmental
syndromes defined by impairments in verbal and non-verbal communication,
restricted social interaction, and the presence of stereotyped patterns of
behavior. The prevalence of ASD is rising, and the diagnostic criteria and
clinical perspectives on the disorder continue to evolve in parallel. Although
the majority of individuals with ASD will not have an identifiable genetic cause,
almost 25% of cases have identifiable causative DNA variants. The rapidly
improving ability to identify genetic mutations because of advances in next
generation sequencing, coupled with previous epidemiological studies
demonstrating high heritability of ASD, have led to many recent attempts to
identify causative genetic mutations underlying the ASD phenotype. However,
although hundreds of mutations have been identified to date, they are either rare
variants affecting only a handful of ASD patients, or are common variants in the
general population conferring only a small risk for ASD. Furthermore, the genes
implicated thus far are heterogeneous in their structure and function, hampering
attempts to understand shared molecular mechanisms among all ASD patients; an
understanding that is crucial for the development of targeted diagnostics and
therapies. However, new work is beginning to suggest that the heterogeneous set
of genes implicated in ASD may ultimately converge on a few common pathways. In
this review, we discuss the parallel evolution of our diagnostic and genetic
understanding of autism spectrum disorders, and highlight recent attempts to
infer common biology underlying this complicated syndrome.
PMID- 27200079
TI - Editorial: Improving Animal Welfare through Genetic Selection.
PMID- 27200080
TI - Evaluation of BRCAPRO Risk Assessment Model in Patients with Ductal Carcinoma In
situ Who Underwent Clinical BRCA Genetic Testing.
AB - The authors retrospectively aimed to determine which of the following three
scenarios, related to DCIS entry into BRCAPRO, predicted BRCA mutation status
more accurately: (1) DCIS as an invasive breast cancer (IBC) entered using the
actual age of diagnosis, (2) DCIS as IBC entered with 10 years added to the
actual age of diagnosis, and (3) DCIS entered as no cancer. Of the 85 DCIS
patients included in the study, 19% (n = 16) tested positive for a BRCA mutation,
and 81% (n = 69) tested negative. DCIS patients who tested positive for a BRCA
mutation had a higher BRCAPRO risk estimation (34.61%) than patients who tested
negative (11.4%) when DCIS was entered at the actual age of diagnosis. When DCIS
was entered with 10 years added to the actual age at diagnosis, the BRCAPRO
estimate was still higher amongst BRCA positive patients (25.4%) than BRCA
negative patients (7.1%). When DCIS was entered as no cancer, the BRCAPRO
estimate remained higher among BRCA positive patients (2.56%) than BRCA negative
patents (1.98%). In terms of accuracy of BRCA positivity, there was no
statistically significant difference between DCIS at age at diagnosis, DCIS at 10
years later than age at diagnosis, and DCIS entered as no cancer (AUC = 0.77,
0.784, 0.75, respectively: p = 0.60). Our results indicate that regardless of
entry approach into BRCAPRO, there were no significant differences in predicting
BRCA mutation in patients with DCIS.
PMID- 27200078
TI - Global-genome Nucleotide Excision Repair Controlled by Ubiquitin/Sumo Modifiers.
AB - Global-genome nucleotide excision repair (GG-NER) prevents genome instability by
excising a wide range of different DNA base adducts and crosslinks induced by
chemical carcinogens, ultraviolet (UV) light or intracellular side products of
metabolism. As a versatile damage sensor, xeroderma pigmentosum group C (XPC)
protein initiates this generic defense reaction by locating the damage and
recruiting the subunits of a large lesion demarcation complex that, in turn,
triggers the excision of aberrant DNA by endonucleases. In the very special case
of a DNA repair response to UV radiation, the function of this XPC initiator is
tightly controlled by the dual action of cullin-type CRL4(DDB2) and sumo-targeted
RNF111 ubiquitin ligases. This twofold protein ubiquitination system promotes GG
NER reactions by spatially and temporally regulating the interaction of XPC
protein with damaged DNA across the nucleosome landscape of chromatin. In the
absence of either CRL4(DDB2) or RNF111, the DNA excision repair of UV lesions is
inefficient, indicating that these two ubiquitin ligases play a critical role in
mitigating the adverse biological effects of UV light in the exposed skin.
PMID- 27200081
TI - Genes with a Combination of Over-Dominant and Epistatic Effects Underlie
Heterosis in Growth of Saccharomyces cerevisiae at High Temperature.
AB - Heterosis describes a phenotypic phenomenon of hybrid superiority over its
homozygous parents. It is a genetically intriguing phenomenon with great
importance for food production. Also called hybrid-vigor, heterosis is created by
non-additive effects of genes in a heterozygous hybrid made by crossing two
distinct homozygous parents. Few models have been proposed to explain how the
combination of parental genes creates an exceptional hybrid performance. Over
dominant mode of inheritance is an attractive model since a single gene can
potentially create the heterotic effect, but only a few such loci have been
identified. To a collection of 120 hybrids, made by crossing 16 divergent
Saccharomyces cerevisiae yeast strains, we applied a method for mapping
heterozygous loci that non-additively contribute to heterotic growth at 37
degrees . Among 803 candidate loci that were mapped, five were tested for their
heterotic effect by analyzing backcrosses and F2 populations in a specific hybrid
background. Consistently with the many mapped loci, specific analyses confirmed
the minor heterotic effect of the tested candidate loci. Allele-replacement
analyses of one gene, AEP3, further supported its heterotic effect. In addition
to over-dominant effects, the contribution of epistasis to heterosis was evident
from F2 population and allele-replacement analyses. Pairs of over-dominant genes
contributed synergistically to heterosis. We show that minor over-dominant
effects of multiple genes can combine to condition heterosis, similarly to loci
affecting other quantitative traits. Furthermore, by finding of epistatic
interactions between loci that each of them individually has an over-dominant
effect on heterosis, we demonstrate how hybrid advantage could benefit from a
synergistic combination of two interaction types (over-dominant and synergistic
epistatic). Thus, by portraying the underlying genetic complexity, these findings
advance our understanding of heterosis.
PMID- 27200083
TI - Current Approaches Toward Quantitative Mapping of the Interactome.
AB - Protein-protein interactions (PPIs) play a key role in many, if not all, cellular
processes. Disease is often caused by perturbation of PPIs, as recently indicated
by studies of missense mutations. To understand the associations of proteins and
to unravel the global picture of PPIs in the cell, different experimental
detection techniques for PPIs have been established. Genetic and biochemical
methods such as the yeast two-hybrid system or affinity purification-based
approaches are well suited to high-throughput, proteome-wide screening and are
mainly used to obtain qualitative results. However, they have been criticized for
not reflecting the cellular situation or the dynamic nature of PPIs. In this
review, we provide an overview of various genetic methods that go beyond
qualitative detection and allow quantitative measuring of PPIs in mammalian
cells, such as dual luminescence-based co-immunoprecipitation, Forster resonance
energy transfer or luminescence-based mammalian interactome mapping with bait
control. We discuss the strengths and weaknesses of different techniques and
their potential applications in biomedical research.
PMID- 27200084
TI - Integrated Systems for NGS Data Management and Analysis: Open Issues and
Available Solutions.
AB - Next-generation sequencing (NGS) technologies have deeply changed our
understanding of cellular processes by delivering an astonishing amount of data
at affordable prices; nowadays, many biology laboratories have already
accumulated a large number of sequenced samples. However, managing and analyzing
these data poses new challenges, which may easily be underestimated by research
groups devoid of IT and quantitative skills. In this perspective, we identify
five issues that should be carefully addressed by research groups approaching NGS
technologies. In particular, the five key issues to be considered concern: (1)
adopting a laboratory management system (LIMS) and safeguard the resulting raw
data structure in downstream analyses; (2) monitoring the flow of the data and
standardizing input and output directories and file names, even when multiple
analysis protocols are used on the same data; (3) ensuring complete traceability
of the analysis performed; (4) enabling non-experienced users to run analyses
through a graphical user interface (GUI) acting as a front-end for the pipelines;
(5) relying on standard metadata to annotate the datasets, and when possible
using controlled vocabularies, ideally derived from biomedical ontologies.
Finally, we discuss the currently available tools in the light of these issues,
and we introduce HTS-flow, a new workflow management system conceived to address
the concerns we raised. HTS-flow is able to retrieve information from a LIMS
database, manages data analyses through a simple GUI, outputs data in standard
locations and allows the complete traceability of datasets, accompanying metadata
and analysis scripts.
PMID- 27200086
TI - Editorial: Gene Targeting in Neuroscience: Entering the Future.
PMID- 27200082
TI - Ring of Change: CDC48/p97 Drives Protein Dynamics at Chromatin.
AB - The dynamic composition of proteins associated with nuclear DNA is a fundamental
property of chromosome biology. In the chromatin compartment dedicated protein
complexes govern the accurate synthesis and repair of the genomic information and
define the state of DNA compaction in vital cellular processes such as chromosome
segregation or transcription. Unscheduled or faulty association of protein
complexes with DNA has detrimental consequences on genome integrity.
Consequently, the association of protein complexes with DNA is remarkably dynamic
and can respond rapidly to cellular signaling events, which requires tight
spatiotemporal control. In this context, the ring-like AAA+ ATPase CDC48/p97
emerges as a key regulator of protein complexes that are marked with ubiquitin or
SUMO. Mechanistically, CDC48/p97 functions as a segregase facilitating the
extraction of substrate proteins from the chromatin. As such, CDC48/p97 drives
molecular reactions either by directed disassembly or rearrangement of chromatin
bound protein complexes. The importance of this mechanism is reflected by human
pathologies linked to p97 mutations, including neurodegenerative disorders,
oncogenesis, and premature aging. This review focuses on the recent insights into
molecular mechanisms that determine CDC48/p97 function in the chromatin
environment, which is particularly relevant for cancer and aging research.
PMID- 27200085
TI - Population Stratification in the Context of Diverse Epidemiologic Surveys Sans
Genome-Wide Data.
AB - Population stratification or confounding by genetic ancestry is a potential cause
of false associations in genetic association studies. Estimation of and
adjustment for genetic ancestry has become common practice thanks in part to the
availability of ancestry informative markers on genome-wide association study
(GWAS) arrays. While array data is now widespread, these data are not ubiquitous
as several large epidemiologic and clinic-based studies lack genome-wide data.
One such large epidemiologic-based study lacking genome-wide data accessible to
investigators is the National Health and Nutrition Examination Surveys (NHANES),
population-based cross-sectional surveys of Americans linked to demographic,
health, and lifestyle data conducted by the Centers for Disease Control and
Prevention. DNA samples (n = 14,998) were extracted from biospecimens from
consented NHANES participants between 1991-1994 (NHANES III, phase 2) and 1999
2002 and represent three major self-identified racial/ethnic groups: non-Hispanic
whites (n = 6,634), non-Hispanic blacks (n = 3,458), and Mexican Americans (n =
3,950). We as the Epidemiologic Architecture for Genes Linked to Environment
study genotyped candidate gene and GWAS-identified index variants in NHANES as
part of the larger Population Architecture using Genomics and Epidemiology I
study for collaborative genetic association studies. To enable basic quality
control such as estimation of genetic ancestry to control for population
stratification in NHANES san genome-wide data, we outline here strategies that
use limited genetic data to identify the markers optimal for characterizing
genetic ancestry. From among 411 and 295 autosomal SNPs available in NHANES III
and NHANES 1999-2002, we demonstrate that markers with ancestry information can
be identified to estimate global ancestry. Despite limited resolution, global
genetic ancestry is highly correlated with self-identified race for the majority
of participants, although less so for ethnicity. Overall, the strategies outlined
here for a large epidemiologic study can be applied to other datasets accessible
for genotype-phenotype studies but are sans genome-wide data.
PMID- 27200087
TI - Cartography of Pathway Signal Perturbations Identifies Distinct Molecular
Pathomechanisms in Malignant and Chronic Lung Diseases.
AB - Lung diseases are described by a wide variety of developmental mechanisms and
clinical manifestations. Accurate classification and diagnosis of lung diseases
are the bases for development of effective treatments. While extensive studies
are conducted toward characterization of various lung diseases at molecular
level, no systematic approach has been developed so far. Here we have applied a
methodology for pathway-centered mining of high throughput gene expression data
to describe a wide range of lung diseases in the light of shared and specific
pathway activity profiles. We have applied an algorithm combining a Pathway
Signal Flow (PSF) algorithm for estimation of pathway activity deregulation
states in lung diseases and malignancies, and a Self Organizing Maps algorithm
for classification and clustering of the pathway activity profiles. The analysis
results allowed clearly distinguish between cancer and non-cancer lung diseases.
Lung cancers were characterized by pathways implicated in cell proliferation,
metabolism, while non-malignant lung diseases were characterized by deregulations
in pathways involved in immune/inflammatory response and fibrotic tissue
remodeling. In contrast to lung malignancies, chronic lung diseases had
relatively heterogeneous pathway deregulation profiles. We identified three
groups of interstitial lung diseases and showed that the development of
characteristic pathological processes, such as fibrosis, can be initiated by
deregulations in different signaling pathways. In conclusion, this paper
describes the pathobiology of lung diseases from systems viewpoint using pathway
centered high-dimensional data mining approach. Our results contribute largely to
current understanding of pathological events in lung cancers and non-malignant
lung diseases. Moreover, this paper provides new insight into molecular
mechanisms of a number of interstitial lung diseases that have been studied to a
lesser extent.
PMID- 27200089
TI - Knowledge and Informed Decision-Making about Population-Based Colorectal Cancer
Screening Participation in Groups with Low and Adequate Health Literacy.
AB - Objective. To analyze and compare decision-relevant knowledge, decisional
conflict, and informed decision-making about colorectal cancer (CRC) screening
participation between potential screening participants with low and adequate
health literacy (HL), defined as the skills to access, understand, and apply
information to make informed decisions about health. Methods. Survey including 71
individuals with low HL and 70 with adequate HL, all eligible for the Dutch
organized CRC screening program. Knowledge, attitude, intention to participate,
and decisional conflict were assessed after reading the standard information
materials. HL was assessed using the Short Assessment of Health Literacy in
Dutch. Informed decision-making was analyzed by the multidimensional measure of
informed choice. Results. 64% of the study population had adequate knowledge of
CRC and CRC screening (low HL 43/71 (61%), adequate HL 47/70 (67%), p > 0.05).
57% were informed decision-makers (low HL 34/71 (55%), adequate HL 39/70 (58%), p
> 0.05). Intention to participate was 89% (low HL 63/71 (89%), adequate HL 63/70
(90%)). Respondents with low HL experienced significantly more decisional
conflict (25.8 versus 16.1; p = 0.00). Conclusion. Informed decision-making about
CRC screening participation was suboptimal among both individuals with low HL and
individuals with adequate HL. Further research is required to develop and
implement effective strategies to convey decision-relevant knowledge about CRC
screening to all screening invitees.
PMID- 27200088
TI - Anchoring a Leviathan: How the Nuclear Membrane Tethers the Genome.
AB - It is well established that the nuclear envelope has many distinct direct
connections to chromatin that contribute to genome organization. The functional
consequences of genome organization on gene regulation are less clear. Even less
understood is how interactions of lamins and nuclear envelope transmembrane
proteins (NETs) with chromatin can produce anchoring tethers that can withstand
the physical forces of and on the genome. Chromosomes are the largest molecules
in the cell, making megadalton protein structures like the nuclear pore complexes
and ribosomes seem small by comparison. Thus to withstand strong forces from
chromosome dynamics an anchoring tether is likely to be much more complex than a
single protein-protein or protein-DNA interaction. Here we will briefly review
known NE-genome interactions that likely contribute to spatial genome
organization, postulate in the context of experimental data how these anchoring
tethers contribute to gene regulation, and posit several hypotheses for the
physical nature of these tethers that need to be investigated experimentally.
Significantly, disruption of these anchoring tethers and the subsequent
consequences for gene regulation could explain how mutations in nuclear envelope
proteins cause diseases ranging from muscular dystrophy to lipodystrophy to
premature aging progeroid syndromes. The two favored hypotheses for nuclear
envelope protein involvement in disease are (1) weakening nuclear and cellular
mechanical stability, and (2) disrupting genome organization and gene regulation.
Considerable experimental support has been obtained for both. The integration of
both mechanical and gene expression defects in the disruption of anchoring
tethers could provide a unifying hypothesis consistent with both.
PMID- 27200090
TI - 11beta-Hydroxysteroid Dehydrogenase 2 in Preeclampsia.
AB - Preeclampsia is a serious medical problem affecting the mother and her child and
influences their health not only during the pregnancy, but also many years after.
Although preeclampsia is a subject of many research projects, the etiology of the
condition remains unclear. One of the hypotheses related to the etiology of
preeclampsia is the deficiency in placental 11beta-hydroxysteroid dehydrogenase 2
(11beta-HSD2), the enzyme which in normal pregnancy protects the fetus from the
excess of maternal cortisol. The reduced activity of the enzyme was observed in
placentas from pregnancies complicated with preeclampsia. That suggests the
overexposure of the developing child to maternal cortisol, which in high levels
exerts proapoptotic effects and reduces fetal growth. The fetal growth
restriction due to the diminished placental 11beta-HSD2 function may be supported
by the fact that preeclampsia is often accompanied with fetal hypotrophy. The
causes of the reduced function of 11beta-HSD2 in placental tissue are still
discussed. This paper summarizes the phenomena that may affect the activity of
the enzyme at various steps on the way from the gene to the protein.
PMID- 27200091
TI - Developing a Suitable Model for Water Uptake for Biodegradable Polymers Using
Small Training Sets.
AB - Prediction of the dynamic properties of water uptake across polymer libraries can
accelerate polymer selection for a specific application. We first built
semiempirical models using Artificial Neural Networks and all water uptake data,
as individual input. These models give very good correlations (R (2) > 0.78 for
test set) but very low accuracy on cross-validation sets (less than 19% of
experimental points within experimental error). Instead, using consolidated
parameters like equilibrium water uptake a good model is obtained (R (2) = 0.78
for test set), with accurate predictions for 50% of tested polymers. The
semiempirical model was applied to the 56-polymer library of L-tyrosine-derived
polyarylates, identifying groups of polymers that are likely to satisfy design
criteria for water uptake. This research demonstrates that a surrogate modeling
effort can reduce the number of polymers that must be synthesized and
characterized to identify an appropriate polymer that meets certain performance
criteria.
PMID- 27200092
TI - Oxidative Nanopatterning of Titanium Surface Influences mRNA and MicroRNA
Expression in Human Alveolar Bone Osteoblastic Cells.
AB - Titanium implants have been extensively used in orthopedic and dental
applications. It is well known that micro- and nanoscale surface features of
biomaterials affect cellular events that control implant-host tissue
interactions. To improve our understanding of how multiscale surface features
affect cell behavior, we used microarrays to evaluate the transcriptional profile
of osteoblastic cells from human alveolar bone cultured on engineered titanium
surfaces, exhibiting the following topographies: nanotexture (N),
nano+submicrotexture (NS), and rough microtexture (MR), obtained by modulating
experimental parameters (temperature and solution composition) of a simple yet
efficient chemical treatment with a H2SO4/H2O2 solution. Biochemical assays
showed that cell culture proliferation augmented after 10 days, and cell
viability increased gradually over 14 days. Among the treated surfaces, we
observed an increase of alkaline phosphatase activity as a function of the
surface texture, with higher activity shown by cells adhering onto nanotextured
surfaces. Nevertheless, the rough microtexture group showed higher amounts of
calcium than nanotextured group. Microarray data showed differential expression
of 716 mRNAs and 32 microRNAs with functions associated with osteogenesis.
Results suggest that oxidative nanopatterning of titanium surfaces induces
changes in the metabolism of osteoblastic cells and contribute to the explanation
of the mechanisms that control cell responses to micro- and nanoengineered
surfaces.
PMID- 27200093
TI - Antimicrobial Evaluation of Bacterial Isolates from Urine Specimen of Patients
with Complaints of Urinary Tract Infections in Awka, Nigeria.
AB - Urinary tract infections (UTIs) account for one of the major reasons for most
hospital visits and the determination of the antimicrobial susceptibility
patterns of uropathogens will help to guide physicians on the best choice of
antibiotics to recommend to affected patients. This study is designed to isolate,
characterize, and determine the antimicrobial susceptibility patterns of the
pathogens associated with UTI in Anambra State Teaching Hospital, Amaku, Anambra
State, Nigeria. Clean catch urine samples of inpatient and outpatient cases of
UTI were collected and bacteriologically analyzed using standard microbiological
procedures. Antibiogram was done by the Kirby-Bauer disc diffusion method. The
most prevalent isolates were S. aureus (28%), E. coli (24.6%), and S.
saprophyticus (20%). The antibacterial activities of the tested agents were in
the order of Augmentin < Ceftazidime < Cefuroxime < Cefixime < Gentamicin <
Ofloxacin < Ciprofloxacin < Nitrofurantoin. It was found that all the organisms
were susceptible in varying degrees to Nitrofurantoin, Ciprofloxacin, and
Ofloxacin. It was also observed that all the bacterial species except
Streptococcus spp. have a Multiple Antibiotic Resistance Index (MARI) greater
than 0.2. For empiric treatment of UTIs in Awka locality, Nitrofurantoin,
Ciprofloxacin, and Ofloxacin are the first line of choice.
PMID- 27200094
TI - SAPHIRE: Stress and Pulmonary Hypertension in Rheumatoid Evaluation-A Prevalence
Study.
AB - Pulmonary artery hypertension (PAH) is a disorder of elevated resistance in the
pulmonary arterial vessels, reflected by elevation of measured pulmonary artery
pressure (PAP), and presenting with breathlessness and, if untreated, progressing
to right heart failure and death. The heightened prevalence of PAH in populations
with underlying systemic autoimmune conditions, particularly scleroderma and its
variants, is well recognised, consistent with the proposed autoimmune
contribution to PAH pathogenesis, along with disordered thrombotic, inflammatory,
and mitogenic factors. Rheumatoid arthritis (RA) is one of a group of systemic
autoimmune conditions featuring inflammatory symmetrical erosive polyarthropathy
as its hallmark. This study explored the prevalence of PAH in a population of
unselected individuals with RA, using exercise echocardiography (EchoCG). The
high prevalence of EchoCG-derived elevation of PAP (EDEPP) in this population
(14%) suggests that, like other autoimmune conditions, RA may be a risk factor
for PAH. Patients with RA may therefore represent another population for whom PAH
screening with noninvasive tools such as EchoCG may be justified.
PMID- 27200095
TI - Bronchiolitis Obliterans Organizing Pneumonia as an Initial Presentation of
Systemic Lupus Erythematosus: A Rare Case Report and Literature Review.
AB - The etiology of bronchiolitis obliterans organizing pneumonia (BOOP) remains
controversial. While it has been reportedly associated with several connective
tissue disorders, there are only rare reports of BOOP associated with systemic
lupus erythematosus (SLE). Herein, we report a 56-year-old female patient who
presented with dyspnea on exertion, cough, fever, and joint pain of her left
wrist and fingers as initial symptoms. Laboratory tests revealed positivity for
anti-nuclear antibody, anti-Ro, and anti-double strand DNA antibody. In this
case, the patient with SLE had respiratory illness as the initial symptom due to
BOOP in the absence of clear etiology. The diagnosis of BOOP was confirmed by
thoracic surgery biopsy. Her respiratory symptoms and radiologic findings
significantly improved following prednisolone treatment.
PMID- 27200097
TI - The In Vitro and In Vivo Wound Healing Properties of the Chinese Herbal Medicine
"Jinchuang Ointment".
AB - "Jinchuang ointment" is a traditional Chinese herbal medicine complex for
treatment of incised wounds. For more than ten years, it has been used at China
Medical University Hospital (Taichung, Taiwan) for the treatment of diabetic foot
infections and decubitus ulcers. Three different cases are presented in this
study. "Jinchuang" ointment is a mixture of natural product complexes from nine
different components, making it difficult to analyze its exact chemical
compositions. To further characterize the herbal ingredients used in this study,
the contents of reference standards present in a subset of the ointment
ingredients (dragon's blood, catechu, frankincense, and myrrh) were determined by
HPLC. Two in vitro cell based assay platforms, wound healing and tube formation,
were used to examine the biological activity of this medicine. Our results show
that this herbal medicine possesses strong activities including stimulation of
angiogenesis, cell proliferation, and cell migration, which provide the
scientific basis for its clinically observed curative effects on nonhealing
diabetic wounds.
PMID- 27200098
TI - Study on the Mechanism Underlying the Regulation of the NMDA Receptor Pathway in
Spinal Dorsal Horns of Visceral Hypersensitivity Rats by Moxibustion.
AB - Visceral hypersensitivity is enhanced in irritable bowel syndrome (IBS) patients.
Treatment of IBS visceral pain by moxibustion methods has a long history and rich
clinical experience. In the clinic, moxibustion on the Tianshu (ST25) and
Shangjuxu (ST37) acupoints can effectively treat bowel disease with visceral pain
and diarrhea symptoms. To investigate the regulatory function of moxibustion on
the Tianshu (ST25) and Shangjuxu (ST37) acupoints on spinal cord NR1, NR2B, and
PKCepsilon protein and mRNA expression in irritable bowel syndrome (IBS) visceral
hypersensitivity rats, we did some research. In the study, we found that
moxibustion effectively relieved the IBS visceral hyperalgesia status of rats.
Analgesic effect of moxibustion was similar to intrathecal injection of Ro 25
6981. The expression of NR1, NR2B, and PKCepsilon in the spinal dorsal horns of
IBS visceral hyperalgesia rats increased. Moxibustion on the Tianshu and
Shangjuxu acupoints might inhibit the visceral hypersensitivity, simultaneously
decreasing the expression of NR1, NR2B, and PKCepsilon in spinal cord of IBS
visceral hyperalgesia rats. Based on the above experimental results, we
hypothesized NR1, NR2B, and PKCepsilon of spinal cord could play an important
role in moxibustion inhibiting the process of central sensitization and visceral
hyperalgesia state.
PMID- 27200099
TI - Acute and Subchronic Oral Toxicity Evaluation of Aqueous Root Extract of Dicoma
anomala Sond. in Wistar Rats.
AB - The present study evaluated the safety of aqueous root extract of Dicoma anomala
(AQRED) through acute and subchronic toxicity studies. Single oral dose of AQRED
at the concentration of 0, 5, 300, and 2000 mg/kg as well as 125, 250, and 500
mg/kg/day was administered to rats for 14-day acute and 90-day subchronic oral
toxicity studies. The results revealed no mortalities or observed clinical signs
of toxicity in all the rats during both investigation periods. In subchronic
toxicity testing, administration of AQRED also did not cause any changes in body
weight as well as food and water consumption patterns. The haematological
parameters and blood chemistry revealed no significant difference (p > 0.05)
between the treatment and the control except in platelet count, alkaline
phosphatase, and sodium levels where there was a significant increase (p < 0.05),
although there was also a significant reduction (p < 0.05) in alanine
transaminase, aspartate transaminase, and creatinine when compared to control.
However, these changes were not reflecting the results from histology.
Conclusively, the obtained results suggested that the LD50 of AQRED is in excess
of 2000 mg/kg and its oral administration for 90 days revealed that it is
unlikely to be toxic, hence, safe.
PMID- 27200096
TI - The Importance of CD44 as a Stem Cell Biomarker and Therapeutic Target in Cancer.
AB - CD44 is a cell surface HA-binding glycoprotein that is overexpressed to some
extent by almost all tumors of epithelial origin and plays an important role in
tumor initiation and metastasis. CD44 is a compelling marker for cancer stem
cells of many solid malignancies. In addition, interaction of HA and CD44
promotes EGFR-mediated pathways, consequently leading to tumor cell growth, tumor
cell migration, and chemotherapy resistance in solid cancers. Accumulating
evidence indicates that major HA-CD44 signaling pathways involve a specific
variant of CD44 isoforms; however, the particular variant almost certainly
depends on the type of tumor cell and the stage of the cancer progression.
Research to date suggests use of monoclonal antibodies against different CD44
variant isoforms and targeted inhibition of HA/CD44-mediated signaling combined
with conventional radio/chemotherapy may be the most favorable therapeutic
strategy for future treatments of advanced stage malignancies. Thus, this paper
briefly focuses on the association of the major CD44 variant isoforms in cancer
progression, the role of HA-CD44 interaction in oncogenic pathways, and
strategies to target CD44-overexpressed tumor cells.
PMID- 27200100
TI - Traditional Therapies Used to Manage Diabetes and Related Complications in
Mauritius: A Comparative Ethnoreligious Study.
AB - Religious communities from Mauritius still rely on traditional therapies (TT) for
primary healthcare. Nonetheless, there is still a dearth of scientific
information on TT used by the different religious groups to manage diabetes and
related complications (DRC). This study aimed to gather ethnomedicinal knowledge
on TT used by the different religious groups against DRC. Diabetic patients (n =
95) and traditional healers (n = 5) were interviewed. Fifty-two plant species
belonging to 33 families and 26 polyherbal formulations were documented to manage
DRC. The most reported DRC was hypertension (n = 36). Leaves (45.2%) and juice
(36%) were the most cited mode of preparation of herbal recipes. Plants which
scored high relative frequency of citation were Citrus aurantifolia (0.55) and
Morinda citrifolia (0.54). The cultural importance index showed that Ocimum
tenuiflorum, Cardiospermum halicacabum, Camellia sinensis, and Ophiopogon
japonicas were the most culturally important plants among Hindu, Muslim,
Christian, and Buddhist community, respectively. Hindu and Muslim community
showed the highest similarity of medicinal plants usage (Jaccard index = 95.8).
Seven animal species distributed over 4 classes were recorded for the management
of DRC. Plants and animals recorded as TT should be submitted to scientific
studies to confirm safety and efficacy in clinical practice and to identify
pharmacologically active metabolites.
PMID- 27200101
TI - Shengmai San Ameliorates Myocardial Dysfunction and Fibrosis in Diabetic db/db
Mice.
AB - In this study, we mainly investigated the effects of Shengmai San (SMS) on
diabetic cardiomyopathy (DCM) in db/db mice. The db/db mice were randomly divided
into model group and SMS group, while C57BLKS/J inbred mice were used as
controls. After 24-week treatment, blood glucose, body weight, and heart weight
were determined. Hemodynamic changes in the left ventricle were measured using
catheterization. The myocardial structure and subcellular structural changes were
observed by HE staining and electron microscopy; the myocardium collagen content
was quantified by Masson staining. To further explore the protective mechanism of
SMS, we analyzed the expression profiles of fibrotic related proteins. Compared
to nondiabetic mice, db/db mice exhibited enhanced diastolic myocardial
dysfunction and adverse structural remodeling. Higher expression of profibrotic
proteins and lower levels of extracellular matrix degradation were also observed.
After SMS oral administration for 24 weeks, cardiac dysfunction, hypertrophy, and
fibrosis in diabetic mice were greatly improved. Moreover, increased profibrotic
protein expression was strongly reversed by SMS treatment in db/db mice. The
results demonstrate that SMS exerts a cardioprotective effect against DCM by
attenuating myocardial hypertrophy and fibrosis via a TGF-beta dependent pathway.
PMID- 27200102
TI - Inhibitory Effects of Angelica Polysaccharide on Activation of Mast Cells.
AB - This study was designed to investigate the inhibitory effects of Angelica
polysaccharide (AP) on activation of mast cells and its possible molecular
mechanism. In our study, we determined the proinflammatory cytokines and allergic
mediators in anti-DNP IgE stimulated RBL-2H3 cells and found that AP (50, 100,
and 200 MUg/mL) significantly decreased the release of histamine, beta
hexosaminidase, leukotrienes C4 (LTC4), IL-1, IL-4, TNF-alpha, IL-6, and human
monocyte chemotactic protein-1 (MCP-1/CCL2) (p < 0.05). In addition, Ca(2+) entry
was inhibited by treatment with AP. AP also downregulated the protein expressions
of p-Fyn, p-Akt, p-P38, IL-4, TNF-alpha, and NF-kappaB p65 in both Fyn gene
upregulated and normal RBL-2H3 cells (p < 0.05). Collectively, our results showed
that AP could inhibit the activation of mast cells via suppressing the releases
of proinflammatory cytokines allergic mediators, Gab2/PI3-K/Akt and Fyn/Syk
pathways.
PMID- 27200103
TI - A Fomitopsis pinicola Jeseng Formulation Has an Antiobesity Effect and Protects
against Hepatic Steatosis in Mice with High-Fat Diet-Induced Obesity.
AB - This study investigated the antiobesity effect of an extract of the Fomitopsis
pinicola Jeseng-containing formulation (FAVA), which is a combination of four
natural components: Fomitopsis pinicola Jeseng; Acanthopanax senticosus; Viscum
album coloratum; and Allium tuberosum. High-fat diet- (HFD-) fed male C57BL/6J
mice were treated with FAVA (200 mg/kg/day) for 12 weeks to monitor the
antiobesity effect and amelioration of nonalcoholic fatty liver diseases (NAFLD).
Body and white adipose tissue (WAT) weights were reduced in FAVA-treated mice,
and a histological examination showed an amelioration of fatty liver in FAVA
treated mice without decreasing food consumption. Additionally, FAVA reduced
serum lipid profiles, leptin, and insulin levels compared with the HFD control
group. The FAVA extract suppressed lipogenic mRNA expression levels from WAT
concomitantly with the cholesterol biosynthesis level in the liver. These results
demonstrate the inhibitory effects of FAVA on obesity and NAFLD in the diet
induced obese (DIO) mouse model. Therefore, FAVA may be an effective therapeutic
candidate for treating obesity and fatty liver caused by a high-fat diet.
PMID- 27200105
TI - The Classification of Sini Decoction Pattern in Traditional Chinese Medicine by
Gene Expression Profiling.
AB - We investigated the syndromes of the Sini decoction pattern (SDP), a common ZHENG
in traditional Chinese medicine (TCM). The syndromes of SDP were correlated with
various severe Yang deficiency related symptoms. To obtain a common profile for
SDP, we distributed questionnaires to 300 senior clinical TCM practitioners.
According to the survey, we concluded 2 sets of symptoms for SDP: (1) pulse feels
deep or faint and (2) reversal cold of the extremities. Twenty-four individuals
from Taipei City Hospital, Linsen Chinese Medicine Branch, Taiwan, were
recruited. We extracted the total mRNA of peripheral blood mononuclear cells from
the 24 individuals for microarray experiments. Twelve individuals (including 6
SDP patients and 6 non-SDP individuals) were used as the training set to identify
biomarkers for distinguishing the SDP and non-SDP groups. The remaining 12
individuals were used as the test set. The test results indicated that the gene
expression profiles of the identified biomarkers could effectively distinguish
the 2 groups by adopting a hierarchical clustering algorithm. Our results suggest
the feasibility of using the identified biomarkers in facilitating the diagnosis
of TCM ZHENGs. Furthermore, the gene expression profiles of biomarker genes could
provide a molecular explanation corresponding to the ZHENG of TCM.
PMID- 27200106
TI - Hopes, Dreams and Anxieties: India's One-Child Families.
AB - While rapid fertility decline in India in the last two decades has received
considerable attention, much of the discourse has focused on a decline in high
parity births. However, this paper finds that, almost hidden from the public
gaze, a small but significant segment of the Indian population has begun the
transition to extremely low fertility. Among the urban, upper income, educated,
middle classes, it is no longer unusual to find families stopping at one child,
even when this child is a girl. Using data from the India Human Development
Survey of 2004-2005, we examine the factors that may lead some families to stop
at a single child. We conclude that the motivations for this very low fertility
are likely to be a more extreme form of those for low fertility rather than
reflecting the qualitative change in ideologies and worldviews that is
hypothesized to accompany very low fertility during the second demographic
transition.
PMID- 27200107
TI - Association of peripheral BDNF level with cognition, attention and behavior in
preschool children.
AB - BACKGROUND: Brain-derived neurotrophic factor (BDNF) has been reported to affect
development, cognition, attention and behavior. However, few studies have
investigated preschool children with regard to these areas. We evaluated the
relationship between cognition, attention and peripheral blood concentration of
BDNF in preschool children. METHODS: Twenty-eight children (mean age: 6.16 +/-
0.60 years) were recruited. For all subjects, serum and plasma BDNF levels were
assessed; intelligence was assessed using the Korean standardisation of the
Wechsler Intelligence Scale for Children (KEDI-WISC); attention was assessed
using the computerised continuous performance test (CCPT), the children's color
trails test (CCTT), the Stroop color-word test for preschool children, and the
attention-deficit/hyperactivity disorder rating scale (K-ARS); and finally
emotional and behavioral problems were assessed using the child behavior
checklist (K-CBCL). We confirmed the previously reported correlations between the
various psychometric properties assessed and serum and plasma levels of BDNF in
our sample. RESULTS: Serum BDNF levels were negatively correlated with both KEDI
WISC full scale IQ (FSIQ, r = -0.39, p = 0.04) and verbal IQ (VIQ, r = -0.05, p =
0.01), but not with the performance IQ (PIQ, r = -0.12, p = 0.56). There were no
significant relationships between plasma BDNF level and VIQ, PIQ or FSIQ. No
correlations were found between either serum or plasma level of BDNF and any of
the attentional measures (CCPT, ARS, CCTT or Stroop color word test). The CBCL
total behavioral problem and attention problem sections were positively
correlated with plasma BDNF level (r = 0.41, p = 0.03), (r = 0.44, p = 0.02),
however, no relationship was found between the serum BDNF and any of the
composite CBCL measures. CONCLUSIONS: Our results suggest that high peripheral
BDNF may be negatively correlated with intelligence, behavioral problems and
clinical symptoms of neuro-developmental disorders such as intellectual
disability in preschool children. A high peripheral BDNF concentration may, if
these findings are further replicated, prove to be a useful biomarker for such
issues in preschool children.
PMID- 27200104
TI - The Genus Phyllanthus: An Ethnopharmacological, Phytochemical, and
Pharmacological Review.
AB - The plants of the genus Phyllanthus (Euphorbiaceae) have been used as traditional
medicinal materials for a long time in China, India, Brazil, and the Southeast
Asian countries. They can be used for the treatment of digestive disease,
jaundice, and renal calculus. This review discusses the ethnopharmacological,
phytochemical, and pharmacological studies of Phyllanthus over the past few
decades. More than 510 compounds have been isolated, the majority of which are
lignins, triterpenoids, flavonoids, and tannins. The researches of their
remarkable antiviral, antioxidant, antidiabetic, and anticancer activities have
become hot topics. More pharmacological screenings and phytochemical
investigations are required to support the traditional uses and develop leading
compounds.
PMID- 27200108
TI - CE-UV/VIS and CE-MS for monitoring organic impurities during the downstream
processing of fermentative-produced lactic acid from second-generation renewable
feedstocks.
AB - BACKGROUND: During the downstream process of bio-based bulk chemicals, organic
impurities, mostly residues from the fermentation process, must be separated to
obtain a pure and ready-to-market chemical. In this study, capillary
electrophoresis was investigated for the non-targeting downstream process
monitoring of organic impurities and simultaneous quantitative detection of
lactic acid during the purification process of fermentatively produced lactic
acid. The downstream process incorporated 11 separation units, ranging from
filtration, adsorption and ion exchange to electrodialysis and distillation, and
15 different second-generation renewable feedstocks were processed into lactic
acid. The identification of organic impurities was established through spiking
and the utilization of an advanced capillary electrophoresis mass spectrometry
system. RESULTS: A total of 53 % of the organic impurities were efficiently
removed via bipolar electrodialysis; however, one impurity, pyroglutamic acid,
was recalcitrant to separation. It was demonstrated that the presence of
pyroglutamic acid disrupts the polymerization of lactic acid into poly lactic
acid. Pyroglutamic acid was present in all lactic acid solutions, independent of
the type of renewable resource or the bacterium applied. Pyroglutamic acid, also
known as 5-oxoproline, is a metabolite in the glutathione cycle, which is present
in all living microorganisms. pyroglutamic acid is found in many proteins, and
during intracellular protein metabolism, N-terminal glutamic acid and glutamine
residues can spontaneously cyclize to become pyroglutamic acid. Hence, the
concentration of pyroglutamic acid in the lactic acid solution can only be
limited to a certain amount. CONCLUSIONS: The present study proved the capillary
electrophoresis system to be an important tool for downstream process monitoring.
The high product concentration encountered in biological production processes did
not hinder the capillary electrophoresis from separating and detecting organic
impurities, even at minor concentrations. The coupling of the capillary
electrophoresis with a mass spectrometry system allowed for the straightforward
identification of the remaining critical impurity, pyroglutamic acid. Although 11
separation units were applied during the downstream process, the pyroglutamic
acid concentration remained at 12,900 ppm, which was comparatively high. All
organic impurities found were tracked by the capillary electrophoresis, allowing
for further separation optimization.
PMID- 27200110
TI - Mindful attention reduces linguistic intergroup bias.
AB - A brief mindfulness intervention diminished bias in favor of one's in-group and
against one's out-group. In the linguistic intergroup bias (LIB), individuals
expect in-group members to behave positively, and out-group members to behave
negatively. Consequently, individuals choose abstract language beset with
character inferences to describe these expected behaviors, and in contrast,
choose concrete, objective language to describe unexpected behaviors. Eighty-four
participants received either mindful attention instructions (observe their
thoughts as fleeting mental states) or immersion instructions (become absorbed in
the vivid details of thoughts). After instruction, participants viewed visual
depictions of an imagined in-group or out-group member's positive or negative
behavior, selecting the best linguistic description from a set of four
descriptions that varied in abstractness. Immersion groups demonstrated a robust
LIB. Mindful attention groups, however, exhibited a markedly tempered LIB,
suggesting that even a brief mindfulness-related instruction can implicitly
reduce the propensity to perpetuate stereotypical thinking through language.
These results contribute to understanding the mechanisms that facilitate
unprejudiced thinking.
PMID- 27200109
TI - Mindfulness-Based Interventions for Older Adults: A Review of the Effects on
Physical and Emotional Well-being.
AB - This comprehensive review examined the effects of mindfulness-based interventions
on the physical and emotional wellbeing of older adults, a rapidly growing
segment of the general population. Search procedures yielded 15 treatment outcome
studies meeting inclusion criteria. Support was found for the feasibility and
acceptability of mindfulness-based interventions with older adults. Physical and
emotional wellbeing outcome variables offered mixed support for the use of
mindfulness-based interventions with older adults. Potential explanations of
mixed findings may include methodological flaws, study limitations, and
inconsistent modifications of protocols. These are discussed in detail and future
avenues of research are discussed, emphasizing the need to incorporate geriatric
populations into future mindfulness-based empirical research.
PMID- 27200111
TI - [Accidental intra-oesophageal ingestion of a button].
PMID- 27200112
TI - TSH secreting adenoma: a rare cause of severe headache.
PMID- 27200113
TI - [Brain popcorn image].
PMID- 27200114
TI - [Budd-Chiari syndrome: a rare complication of hepatic sarcoidosis (about one
case)].
AB - Sarcoidotic involvement of the liver is frequent, albeit uncommonly symptomatic.
Anicteric cholestasis and portal hypertension are the main complications. Budd
Chiari syndrome is a little known and exceptional complication of hepatic
sarcoidosis. We present a case of A 45-year-old woman suffering from hepatic
sarcoidosis who developed Budd-Chiari syndrome.
PMID- 27200115
TI - [Periprosthetic hip fracture type C Vancouver, what not to do].
PMID- 27200116
TI - [Synovial chondromatosis of the wrist revealed by a carpal tunnel syndrome, an
exceptional case].
PMID- 27200117
TI - [Retroperitoneal cystic collection revealing a huge urinoma].
PMID- 27200118
TI - Rhomboid glossitis caused by Candida?
PMID- 27200119
TI - Muscular visualisation on a bone scan in paraneoplastic dermatomyositis
associated with breast cancer.
PMID- 27200120
TI - Dermoscopy in atypical phthiriais eyelash.
PMID- 27200121
TI - Isolation and characterization of Escherichia coli pathotypes and factors
associated with well and boreholes water contamination in Mombasa County.
AB - INTRODUCTION: Safe water for human consumption is important, but there is a
limited supply. Mombasa County has water shortages making residences rely on
other sources of water including boreholes and wells. Microbiological evaluation
of drinking water is important to reduce exposure to water borne enteric
diseases. This cross sectional study aimed at determining the frequency and
characterization of Escherichia coli (E. coli) pathotypes from water samples
collected from wells and boreholes in Mombasa County. METHODS: One hundred and
fifty seven (157) water samples were collected from four divisions of the county
and a questionnaire administered. The samples were inoculated to double strength
MacConkey broth and incubated at 370C for up to 48 hours. Positive results were
compared to the 3 tube McCrady MPN table. The E. coli were confirmed by Eijkman's
test and antibiotic susceptibility carried out. Using polymerase chain reaction
(PCR), the E. coli were characterized to establish pathotypes. RESULTS: One
hundred and thirty one (n = 131; 83.4%) samples had coliform bacteria with only
79 (60.3%) samples having E. coli. Significant values (<0.05) were noted when
coliforms were compared to variables with E. Coli showing no significance when
compared to similar variables. E. coli (n = 77; 100%) tested were sensitive to
Gentamicin, while all (n = 77; 100%) isolates were resistant to Ampicillin. PCR
typed isolates as enteroinvasive E. Coli (EIEC). CONCLUSION: Findings suggest
that coliforms and E. coli are major contaminants of wells and boreholes in
Mombasa County. The isolates have a variety of resistant and sensitivity patterns
to commonly used antibiotics.
PMID- 27200122
TI - [Bilateral temporo mandibular dislocation occurred during eclampsia].
PMID- 27200123
TI - Mucormycosis in a surgical defect masquerading as osteomyelitis: a case report
and review of literature.
AB - Mucormycosis is a rare, highly lethal opportunistic fungal disease affecting
immune compromised and diabetic patients. Mucormycosis is considered as the 3(rd)
most common invasive mycosis after candidiasis and aspergillosis in debilitating
patients. It is caused by the filamentous fungi of the class zygomycetes. The
infection usually begins in the nose due to inhalation of fungal spores. This
fatal fungal disease needs a prompt and early definitive diagnosis, aggressive
surgical therapy and high dose anti-fungal therapy. Here, we present a case
report of Mucormycosis in a 64 year elderly diabetic male patient who was
previously operated for myiasis and also the extensive review of the literature
of the mucormycosis.
PMID- 27200124
TI - [Successive ectopic pregnancies associated with tubal shistosomiasis in a French
traveler].
AB - Schistosomiasis is the second endemic parasitic disease in the world and is a
common cause of urogenital infections. Ectopic pregnancies due to tubal
obstruction by schistosoma's eggs are usually reported in Africa. Schistosomiasis
also affects travelers but infection of the female genital tract is less
frequently described. We report an unusual clinical case of two successive
ectopic pregnancies with tubal schistosomiasis in a French woman, seven years
after a travel to Mali. The first event was discovered after histologic
examination of salpingectomy and the second event required a controlateral
salpingotomy with an injection of methotrexate, two months later.
PMID- 27200125
TI - Metabolic syndrome in urban city of North-Western Nigeria: prevalence and
determinants.
AB - INTRODUCTION: The aim of this study was to investigate the prevalence of
metabolic syndrome in Sokoto metropolis of North-Western Nigeria. METHODS: A
cross-sectional community based study was carried out. Four hundred and ten
subjects (201 males and 209 females) were recruited for the study using a multi
stage sampling technique. Demographic and the life style data was obtained from
the participants. Evaluation of anthropometric variables, fasting blood sugar,
lipid profiles, insulin resistance and blood pressure was performed. The
classification of metabolic syndrome was based on the NCEP ATP III guidelines.
RESULTS: The mean (SD) age of the sample population was 39.6 (14.4) years. The
mean (SD) age of the male subjects was 38.4(14.9) years and that of the females
was 40.8(13.9) years (p> 0.05). The overall prevalence of metabolic syndrome was
35.1% with the females having 42.83% and the males 27.36%. The frequencies of
metabolic syndrome parameters in the study subjects were low HDL (56.1%),
hypertension (46.1%), dysglycemia (32.7%), central obesity (28%), and elevated
triglycerides (22.4%). Most of the women had low HDL (62.2%) and central obesity
elevated (49.8%). CONCLUSION: Metabolic syndrome is common in residents of North
Western Nigeria, commoner in the females than males. Risk factors for metabolic
syndrome should be detected in normal individuals for implementing effective
preventive measures.
PMID- 27200127
TI - The current status of dental graduates in India.
AB - The dental profession is a noble profession. It takes years of devotion towards
the subject of dentistry to get the graduate degree of Bachelor of Dental
Surgery. However, even after such painstaking efforts the current situation of
dental graduates in India is grave. There are a lot of issues that are the main
cause for this problem. The dental graduates are in a state of crisis due to lack
of support from the Government. If this situation continues it will lead to a
negative effect on the integrity of the dental profession, and highly trained
dental manpower of the country will go in vain.
PMID- 27200128
TI - [Pure radio carpal dislocation: a case report].
PMID- 27200126
TI - The when and how of male circumcision and the risk of HIV: a retrospective cross
sectional analysis of two HIV surveys from Guinea-Bissau.
AB - INTRODUCTION: Male circumcision (MC) reduces the risk of HIV, and this risk
reduction may be modified by socio-cultural factors such as the timing and method
(medical and traditional) of circumcision. Understanding regional variations in
circumcision practices and their relationship to HIV is crucial and can increase
insight into the HIV epidemic in Africa. METHODS: We used data from two
retrospective HIV surveys conducted in Guinea-Bissau from 1993 to 1996 (1996
cohort) and from 2004 to 2007 (2006 cohort). Multivariate logistical models were
used to investigate the relationships between HIV risk and circumcision status,
timing, method of circumcision, and socio-demographic factors. RESULTS: MC was
protective against HIV infection in both cohorts, with adjusted odds ratios
(AORs) of 0.28 (95% CI 0.12-0.66) and 0.30 (95% CI 0.09-0.93), respectively. We
observed that post-pubertal (>= 13 years) circumcision provided the highest level
of HIV risk reduction in both cohorts compared to non-circumcised. However, the
difference between pre-pubertal (<= 12 years) and post-pubertal (>= 13 years)
circumcision was not significant in the multivariate analysis. Seventy-six
percent (678/888) of circumcised males in the 2006 cohort were circumcised
traditionally, and 7.7% of those males were HIV-infected compared to 1.9% of
males circumcised medically, with AOR of 2.7 (95% CI 0.91-8.12). CONCLUSION: MC
is highly prevalent in Guinea-Bissau, but ethnic variations in method and timing
may affect its protection against HIV. Our findings suggest that sexual risk
behaviour and traditional circumcision may increases HIV risk. The relationship
between circumcision age, sexual behaviour and HIV status remains unclear and
warrants further research.
PMID- 27200129
TI - [Endoscopic contribution in the dilatation of caustic esophagus stenosis].
AB - INTRODUCTION: The aim of this work was to present the contribution of the
endoscopy in the management of esophageal dilatation for caustic esophageal
stenosis (CES). METHODS: This was a descriptive and prospective study in the
thoracic surgery department at the Hospital of Mali. A total of 46 cases of CES
is recorded and divided into 4 groups according to the topography of the
esophageal lesions. For the different methods of dilatation the number of
performed endoscopic support was determined to understand the contribution of
endoscopic means in the success of dilatation for CES. The outcome, complications
and mortality in the two methods were compared. RESULTS: Fibroscopy was used in
41.30% of patients with Savary Guillard dilators and in 47.82% of patients with
Lerut dilators. Video laryngoscopy was used in 58.69% of patients who underwent
dilatation with Lerut dilators. The passage of the guide wire was performed in
39.13% under video laryngoscopy and 58.68% under fibroscopy. In comparison of the
two methods, there is a significant difference in the occurrence of complications
(p=0.04075), general anesthesia (p=0.02287), accessibility (p=0.04805) and
mortality (p=0.00402). CONCLUSION: The CES is a serious disease and under
evaluated in Mali. The endoscopies contribute significantly to the success of
esophageal dilatation for caustic stenosis in the different methods we used.
PMID- 27200130
TI - Food plants used during traditional wrestling in Kabye land of Togo.
AB - INTRODUCTION: In the traditional sports like the fight, natural products from
minerals, animals and plants are used to increase physical resistance and
performance. For a better understanding of this practice, an ethnopharmacological
survey was carried out in kabye land, North of Togo, to identify current plants
used as foods plants during traditional wrestling. METHODS: Ethnopharmacological
data were collected through semi-structured method and personal interviews in the
Kabye locality during traditional wrestling. At least, twelve villages were
surveyed in the study. RESULTS: Results indicated that 57 plants are widely used
by local people as food plants generally during wrestling time. These plants are
used traditionally for many others purposes. CONCLUSION: We concluded that these
plants may serve as sources for pharmacological investigations in physical
performance improvement.
PMID- 27200131
TI - Magnitude and correlates of moderate to severe anemia among adult HIV patients
receiving first line HAART in Northwestern Tanzania: a cross sectional clinic
based study.
AB - INTRODUCTION: Moderate to severe anemia is an important clinical problem in HIV
patients on Highly Active Antiretroviral Therapy. The rate of progression and
mortality in this sub group of patients is high compared to non anemic patients.
In sub Saharan Africa with scale up of Anti retroviral therapy, the magnitude of
this problem is not known especially in Tanzania. This study aimed at determining
the magnitude and correlates of moderate to severe anemia in HIV patients
receiving first line ART in northwestern Tanzania. METHODS: This was a cross
sectional clinic based study, involving adult HIV patients on first line Highly
Active Antiretroviral Therapy at Bugando Medical Centre Care and Treatment
Center. The patients' data were analyzed using STATA version 11 to determine the
prevalence of moderate to severe anemia and risk factors that could predict
occurrence of anemia. RESULTS: In this study 346 patients on Highly Active Anti
Retroviral Therapy were enrolled, of whom 100(40.46%) had moderate to severe
anemia. The odds of being anemic were strongly predicted by Zidovudine based
regime, low baseline CD4 count (< 200 cells/MUl) and HIV stage 3&4 at enrollment.
Most of the anemic patients had mean corpuscular volume of >100 fl. CONCLUSION:
The prevalence of moderate to severe anemia is significantly high in this cohort
of HIV-infected patients on first line Anti Retroviral Therapy and it is strongly
predicted by Zidovudine based regime, low baseline CD4 and HIV stage 3 and 4. On
clinical grounds this suggests that patients who are initiated on Zidovudine
based regimen and those in advanced HIV at enrollment should have regular
haemoglobin follow up to identify anemia at its earliest stage to improve the
clinical outcome of these patients.
PMID- 27200132
TI - Antithyroid drug induced a granulocytosis: what still we need to learn?
AB - Antithyroid drugs (ATDs) induced agranulocytosis is a rare but life threatening
condition. We report a 29 years Filipino female diagnosed as having
hyperthyroidism with normal base line blood counts, liver and renal profile. She
was started on maximum 60 mg (20mg TID) oral dose of carbimazole since one month
by her treating physician. Exactly after one month of treatment she presented to
emergency room (ER) with fever, sore throat and generalized weakness for several
days.
PMID- 27200133
TI - [Helicobacter pylori gastritis: assessment of OLGA and OLGIM staging systems].
AB - Helicobacter pylori (H pylori) gastritis presents a risk of cancer related to
atrophy and intestinal metaplasia. Two recent classifications OLGA (Operative
Link on Gastritis Assessment) and OLGIM (Operative Link on Gastritic Intestinal
Metaplasia assessment) have been proposed to identify high-risk forms (stages III
and IV). The aim of this study is to evaluate the OLGA and OLGIM staging systems
in H pylori gastritis. A descriptive study of 100 cases of chronic H pylori
gastritis was performed. The revaluation of Sydney System parameters of atrophy
and intestinal metaplasia, of gastric antrum and corpus, allowed identifying
respectively the stages of OLGA and OLGIM systems. The progressive risk of our H
pylori gastritis was 6% according to OLGA staging and 7% according to OLGIM
staging. Significant correlation was revealed between age and OLGA staging. High
risk gastritis according to OLGIM staging was significantly associated with
moderate to severe atrophy. High-risk forms according to OLGA staging were
associated in 80% of the cases to intestinal metaplasia. OLGA and OLGIM systems
showed a highly significant positive correlation between them with a mismatch at
5% for H pylori gastritis. The OLGA and OLGIM staging systems in addition to
Sydney System, allow selection of high risk forms of chronic gastritis requiring
accurate observation.
PMID- 27200134
TI - Sero-epidemiological survey and risk factors associated with brucellosis in dogs
in south-western Nigeria.
AB - INTRODUCTION: In Nigeria, there is limited information on brucellosis
particularly in dogs, despite its public health implications. We undertook a sero
epidemiological survey of brucellosis in dogs to determine the prevalence of the
disease and associated risk factors for its occurrence in Nigeria. METHODS: A
cross-sectional study was conducted to screen dogs in south-western Nigeria for
antibodies to Brucella sp using the rapid slide agglutination test (RSA) and Rose
Bengal test (RBT), with positive samples confirmed respectively by serum
agglutination test (SAT) and competitive enzyme linked immunosorbent assay
(cELISA). Data were analyzed with STATA-12. RESULTS: From the 739 dog sera
tested, 81 (10.96%) were positive by RSA and 94 (12.72%) by RBT; these were
corroborated with SAT (4/81; 4.94%) and cELISA (1/94; 1.06%), respectively.
Logistic regression identified location (OR=0.04; 95% CI: 0.02-0.09), breed
(OR=1.71; 95% CI: 1.34-2.19), age (OR=0.10; 95% CI: 0.04-0.30) and management
system (OR=8.51; 95% CI: 1.07-68.05) as risk factors for Brucella infection by
RSA. However, location (OR=10.83; 95% CI: 5.48-21.39) and history of infertility
(OR=2.62; 95% CI: 1.41-4.84) were identified as risk factors using RBT.
CONCLUSION: Given the 10.96% to 12.72% seroprevalence of brucellosis recorded in
this study, we advocate control of the disease in dogs, and public health
education for those at risk of infection. Again, further studies are required to
elucidate the role of dogs in the epidemiology of brucellosis in Nigeria
considering the conducive human-animal interface and ecological factors
responsible for the transmission of the disease.
PMID- 27200136
TI - Brain metastasis from male breast cancer treated 12 years ago.
PMID- 27200135
TI - [Safety evaluation of anticancer drugs circuit in a regional hospital in
Tunisia].
AB - INTRODUCTION: Nowadays, the circuit of drugs is a plague. This situation may
cause serious harm to patients. In this context, we conducted a study with the
aim to describe and evaluate the circuit of anticancer drugs in a Tunisian
regional hospital. METHODS: This is an evaluative study of the risk of anticancer
drugs, conducted over a period of 15 days during the year 2014 in the Department
of Cancer Research of the Regional Hospital of Gafsa (Tunisia). The evaluation
method is based on that conducted by the project "SECURIMED" and developed by the
Coordination Committee of the Clinical Evaluation and Quality in Aquitaine
(CCECQA) in France. RESULTS: In our study, the observation of anticancer drugs
circuit has revealed some deficiencies. We noted that the roles of the various
actors are subject sometimes to tasks shifting, which may sometimes be dangerous.
The study also revealed a lack and an inadequacy with the standards in terms of
the necessary equipment for the preparation of the anticancer drugs. CONCLUSION:
Securing drugs circuit should be a priority included in all national processes
and shared by all stakeholders to achieve a premium goal: the quality of care and
patient safety.
PMID- 27200137
TI - [Scooter accidents in children at Aristide Le Dantec, Unversity Hospital of
Dakar: a study of 74 cases].
AB - INTRODUCTION: Scooter accidents are becoming more frequent in Dakar. The purpose
of this study is to report the epidemiological and lesional aspects of these
accidents on children in Dakar. MATERIALS AND METHODS: A retrospective and
descriptive study was conducted in Pediatric Surgery Unit of the Aristide Le
Dantec Hospital in Dakar from January 1st, 2009 to December 31, 2011. Various
parameters were studied. These parameters include: frequency, sociodemographic
and lesional aspects. RESULTS: Scooter accidents represent 12% of highway
accidents. They were more frequent in the day, from 12 am to 2 pm (27%) and in
the night, from 6 pm to 8 pm (28.4%). They might occur every day but were more
frequent during April (17.6%) and June (13.5%). They occurred mainly in the
suburban district of Dakar (78%). The age group most affected was the one from 3
to 8 years (60.8%). Male gender was predominant (sex ratio 1.5). Pedestrians are
the most vulnerable (93.2%). The fall was the dominant mechanism (98.7%). Lesions
affected mainly the lower limb (51.1%) and were essentially constituted by
fracture. CONCLUSION: The frequency of scooter accidents in children is related
to the galloping urbanization and to the increase of the number of cars on the
roads in the peninsula. Victims are essentially pedestrians and present most of
the time fractures.
PMID- 27200138
TI - Psoriasis and Staphylococcus aureus skin colonization in Moroccan patients.
AB - Psoriatic lesions are rarely complicated by recurrent infections. The aim of our
study is to determine skin colonisation and nasal carriage of Staphylococcus
aureus in patients with psoriasis and in healthy persons. PATIENTS AND METHODS: a
comparative study that include 33 patients with psoriasis and 33 healthy persons.
Samples were taken from lesional and non lesional psoriatic skin and from healthy
skin of control group. For S. aureus nasal carriage, we used sterile cotton
tipped swabs. Out of 165 samples (66 skin samples and 33 nasal swabs), 26 S.
Aureus strains were isolated in 26 persons, 57.69% in the control group and 42.3%
in the psoriasis group. S. aureus skin colonization was found in one case (3%) in
lesional psoriatic skin vs 9 cases (27.3%) in control skin OR=0.08 IC 95% (0.01
0.70) p=0.02 and in 12,1% in non lesional psoriatic skin vs 27, 3% in control
skin (p =0,13). This colonization was less important in lesional psoriatic skin
(3%) than in non lesional psoriatic skin (12.1%) p= 0.20. Nasal screening
identified (7/33) 21, 21% S. aureus carriers in psoriasis group and in control
group. Our results are in consensus with literature findings. They have confirmed
the importance of antimicrobial peptides in Innate immunity of human skin. These
peptides are normally produced by keratinocytes in response to inflammatory
stimuli such as psoriasis. Their high expression in psoriasis skin reduces the
risk of skin infection and skin colonization with S. Aureus.
PMID- 27200139
TI - An acute adrenal insufficiency revealing pituitary metastases of lung cancer in
an elderly patient.
AB - Metastases of solid tumors to the pituitary gland are often asymptomatic or
appereas as with diabetes insipid us. Pituitary metastases more commonly affect
the posterior lobe and the infundibulum than the anterior lobe. The presentation
with an acute adrenal insufficiency is a rare event. A 69-year-old men presented
with vomiting, low blood pressure and hypoglycemia. Hormonal exploration
confirmed a hypopituitarism. Appropriate therapy was initiated urgently. The
hypothalamic-pituitary MRI showed a pituitary hypertrophy, a nodular thickening
of the pituitary stalk. The chest X Rays revealed pulmonary opacity. Computed
tomography scan of the chest showed a multiples tumors with mediastinal
lymphadenopathy. Bronchoscopy and biopsy demonstrated a pulmonary adenocarcinoma.
Hence we concluded to a lung cancer with multiple pituitary and adrenal gland
metastases. This case emphasizes the need for an etiological investigation of
acute adrenal insufficiency after treatment of acute phase.
PMID- 27200141
TI - [Intracranial multiple aneurysms].
PMID- 27200140
TI - Plastibell circumcision of 2,276 male infants: a multi-centre study.
AB - INTRODUCTION: The World Health Organization's manual on male circumcision listed
Plastibell technique as a well-proven paediatric method with respect to the
results and complications. Although, literatures abound on its wide
acceptability, there are few multi-centered reports from this environment. The
objective was to evaluate the cases of infant circumcision by Plastibell device
from two medical institutions. METHODS: All consecutive infants who had Classical
Plastibell Circumcision (PC) at the Federal Staff Medical Centre, Abuja and the
Lagos State University Teaching Hospital, Ikeja between February 2011 and June
2015 were included in this cross-sectional study. The procedures were performed
by surgical registrars and medical officers after ninety minutes of topical
anesthesia to the penis. Data harvested from the standard proforma were analysed
using Statistical Package for Social Science 20.0 for window. RESULTS: A total of
2,276 infants had classical PC within the study period. Their ages at
circumcision ranged from 4 days to 3 months with a mean age of 17 days. Majority
of the boys were circumcised at second week of life (n=1,394,61.2%). All the
cases were performed for religious (53%) and cultural (47%)reasons. The most
common Plastibell size deployed was 1.3 cm (n=1,040, 45.7%) while 1.6 cm was the
least commonly used ring (n=10, 0.4%). The mean time for device to fall-off was 6
days (range 4-12 days). There was no correlation between the age at circumcision
and Plastibell size. We recorded an overall complication rate of 1.1% with
postoperative bleeding leading the pack (n=12, 48%). No case of urethrocutaneous
fistula was seen. We detected 17 cases (0.7%) of distal hypospadias in whom
circumcisions were postponed till the time of hypospadias repairs. CONCLUSION:
The main indication for infant circumcision in our environment was religious. The
PC has good safety profile with few easily correctable early complications.
Detailed attention to placement of ligature, selection of appropriate Plastibell
size and adequate parental education are key to preventing post-procedure
mishaps.
PMID- 27200142
TI - [Bilateral rupture of the anterior cruciate ligament in a ski player treated with
DIDT by arthroscopic surgery in one time].
AB - We report the case of a 25-year-old patient who suffered a ski accident that
causing a serious knees sprain. The patient underwent immobilization by plaster
knees. After 12 rehabilitation sessions, good progress was noticed in terms of
pain. However, the patient is unstable when walking and a positive Trillat
Lachman sign at both knees is observed. MRI of Knees confirmed a bilateral
rupture of the anterior cruciate ligament (figures A, B). We conducted a ligament
ACL with the DIDT technique on both knees, beginning with the left- side. After
the surgery, everything was normal. X-ray controls (face and profile views) of
both knees showed a good positioning of implants (figures C, D). Rehabilitation
was undertaken the day following the surgery. Six months later, the patient was
able to return to sport.
PMID- 27200143
TI - [Vascular malformations in the Williams-Beuren syndrome: report of three new
cases].
AB - The Williams-Beuren syndrome is a rare genetic disease. It combines classically
specific facial dysmorphism, cardiovascular malformations and specific
neuropsychological profile. We report three cases of Williams-Beuren syndrome in
children with particular emphasis on vascular abnormalities observed on CT
angiography and MR angiography.
PMID- 27200144
TI - Near-infrared spectrometry in pregnancy: progress and perspectives, a review of
literature.
AB - Near-infrared spectroscopy (NIRS) allows continuous noninvasive monitoring of in
vivo oxygenation in selected tissues. It has been used primarily as a research
tool for several years, but it is seeing wider application in the clinical arena
all over the world. It was recently used to monitor brain circulation in cardiac
surgery, carotid endarteriectomy, neurosurgery and robotic surgery. According to
the few studies used NIRS in pregnancy, it may be helpful to assess the impact of
severe forms of preeclampsia on brain circulation, to evaluate the efficacy of
different treatments. It may also be used during cesarean section to detect
earlier sudden complications. The evaluation of placental function via abdominal
maternal approach to detect fetal growth restriction is a new field of
application of NIRS.
PMID- 27200145
TI - [Pneumomediastinum during a dermatomyositis: a rare entity].
AB - Dermatomyositis is a connective characterized by inflammation of skeletal muscle
with cutaneous manifestations. Their etiologies, still unknown, associate
environmental and genetic factors. Among lung complications described,
interstitial pneumopathies are common complications. Other complications are
rarely reported as pneumomediastinum. We report a case of pneumomediastinum with
aeric in massive skin dissection occurred in a patient with dermatomyositis. We
will discuss the frequency, causes and pathophysiology of the disease according
to the literature.
PMID- 27200146
TI - Role of TFEB Mediated Autophagy, Oxidative Stress, Inflammation, and Cell Death
in Endotoxin Induced Myocardial Toxicity of Young and Aged Mice.
AB - Elderly patients are susceptible to sepsis. LPS induced myocardial injury is a
widely used animal model to assess sepsis induced cardiac dysfunction. The age
dependent mechanisms behind sepsis susceptibility were not studied. We analyzed
age associated changes to cardiac function, cell death, inflammation, oxidative
stress, and autophagy in LPS induced myocardial injury. Both young and aged
C57BL/6 mice were used for LPS administration. The results demonstrated that LPS
induced more cardiac injury (creatine kinase, lactate dehydrogenase, troponin I,
and cardiac myosin-light chains 1), cardiac dysfunction (left ventricular inner
dimension, LVID, and ejection fraction (EF)), cell death, inflammation, and
oxidative stress in aged mice compared to young mice. However, a significant age
dependent decline in autophagy was observed. Translocation of Transcription
Factor EB (TFEB) to nucleus and formation of LC3-II were significantly reduced in
LPS administered aged mice compared to young ones. In addition to that,
downstream effector of TFEB, LAMP-1, was induced in response to LPS challenge in
young mice. The present study newly demonstrates that TFEB mediated autophagy is
crucial for protection against LPS induced myocardial injury particularly in
aging senescent heart. Targeting this autophagy-oxidative stress-inflammation
cell death axis may provide a novel therapeutic strategy for cardioprotection in
the elderly.
PMID- 27200147
TI - Age-Associated Changes in the Vascular Renin-Angiotensin System in Mice.
AB - Background. This study evaluated whether the change in the renin-angiotensin
system (RAS) is associated with arterial aging in mice. Methods. Histologic
changes and expressions of transforming growth factor-beta (TGF-beta), collagen
IV, fibronectin, angiotensin II (Ang II), angiotensin-converting enzyme (ACE),
angiotensin-converting enzyme 2 (ACE2), angiotensin II type 1 receptor (AT1R),
angiotensin II type 2 receptor (AT2R), prorenin receptor (PRR), Mas receptor
(MasR), endothelial nitric oxide synthase (eNOS), NADPH oxidase 2 and oxidase 4
(Nox2 and Nox4), 8-hydroxy-2'-deoxyguanosine (8-OHdG), 3-nitrotyrosine, and
superoxide dismutase 1 and dismutase 2 (SOD1 and SOD2) were measured in the
thoracic aortas from 2-month-old, 12-month-old, and 24-month-old C57/BL6 mice.
Results. Twenty-four-month-old mice showed significantly increased aortic media
thickness and expressions of TGF-beta, collagen IV, and fibronectin, compared to
2-month-old and 12-month-old mice. The expressions of PRR, ACE, and Ang II, and
AT1R-positive area significantly increased, whereas expressions of ACE2 and MasR
and AT2R-positive area decreased with age. The expressions of phosphorylated
serine(1177)-eNOS, SOD1, and SOD2 decreased, and the 8-OHdG-positive area and the
3-nitrotyrosine-positive area increased with age. The expression of Nox2
significantly increased with age, but that of Nox4 did not change. Conclusions.
The enhanced PRR-ACE-Ang II-AT1R axis and reduced ACE2-MasR axis were associated
with arterial aging in mice.
PMID- 27200150
TI - Bilateral Thalamic and Right Fronto-temporo-parietal Gliomas in a 4 Years Old
Child Diagnosed by Magnetic Resonance Imaging.
AB - We report the neuroimaging findings of a 4-year-old girl with biopsy-proven
bilateral thalamic and right fronto-temporo-parietal cortical gliomas, which are
uncommon tumours involving the central nervous system. Despite their benignity,
the prognosis is usually poor because of involvement of the thalamic nuclei and
difficulty in surgical excision. These lesions have limited differential
diagnoses that include metabolic, toxic, infective, vascular and neoplastic.
Imaging characteristics on conventional Magnetic Resonance (MR), Magnetic
Resonance Spectroscopy (MRS) and Diffusion tensor imaging (DTI) can further
narrow the differential diagnosis and also provide additional information
regarding the degree of involvement of adjacent brain tissue and white matter
tracts around the lesions.
PMID- 27200149
TI - Hepatitis C Virus NS5A Protein Triggers Oxidative Stress by Inducing NADPH
Oxidases 1 and 4 and Cytochrome P450 2E1.
AB - Replication of hepatitis C virus (HCV) is associated with the induction of
oxidative stress, which is thought to play a major role in various liver
pathologies associated with chronic hepatitis C. NS5A protein of the virus is one
of the two key viral proteins that are known to trigger production of reactive
oxygen species (ROS). To date it has been considered that NS5A induces oxidative
stress by altering calcium homeostasis. Herein we show that NS5A-induced
oxidative stress was only moderately inhibited by the intracellular calcium
chelator BAPTA-AM and not at all inhibited by the drug that blocks the Ca(2+)
flux from ER to mitochondria. Furthermore, ROS production was not accompanied by
induction of ER oxidoreductins (Ero1), H2O2-producing enzymes that are implicated
in the regulation of calcium fluxes. Instead, we found that NS5A contributes to
ROS production by activating expression of NADPH oxidases 1 and 4 as well as
cytochrome P450 2E1. These effects were mediated by domain I of NS5A protein.
NOX1 and NOX4 induction was mediated by enhanced production of transforming
growth factor beta1 (TGFbeta1). Thus, our data show that NS5A protein induces
oxidative stress by several multistep mechanisms.
PMID- 27200151
TI - Pulmonary Alveolar Microlithiasis - Clinico-Radiological dissociation - A case
report with Radiological review.
AB - Pulmonary alveolar microlithiasis (PAM) is a rare chronic lung disease
characterized by deposition of intra alveolar calcium and phosphate in bilateral
lung parenchyma with predominance in lower and mid zones. Etiology and
pathogenesis is not fully understood. However, mutation in SLC34A2 gene that
encodes a sodium phosphate co-transporter in alveolar type-II cells resulting in
formation and accumulation of microliths rich in calcium phosphate due to
impaired clearance is considered the cause of disease. Patients with PAM are
asymptomatic till development of hypoxemia and cor pulmonale. It remains static,
while in some it progresses to pulmonary fibrosis, respiratory failure and cor
pulmonale. We report a case of 44 year old male patient presenting with
progressive shortness of breath on exertion for one year in duration with dry
cough, more since last six months. Chest radiograph showed dense micronodular
opacities giving classical sandstorm appearance. High resolution computed
tomography (HRCT) showed microcalcification, subpleural cystic changes and
calcified pleura. Lung biopsy showed calcospherites within alveolar spaces.
PMID- 27200153
TI - Extensive Erosion of Vertebral Bodies Due to a Chronic Contained Ruptured
Abdominal Aortic Aneurysm.
AB - This report describes a case of chronically ruptured abdominal aortic aneurysm
contained within the lumbar vertebral bodies that presented with dull abdominal
pain. Sudden, massive hemorrhage is an uncommon, yet well-known complication of
an untreated abdominal aortic aneurysm. In addition, misleading clinical and
radiological findings present difficult diagnostic challenges in such cases. This
report emphasizes the findings obtained with multidetector computed tomography
and delineates the differentiation of this condition from similar pathologies.
PMID- 27200152
TI - Prostate Brachytherapy seed migration to the Bladder presenting with Gross
Hematuria.
AB - We present the radiologic findings in a case of prostate brachytherapy seed
migration to the bladder presenting as gross hematuria. While prostate
brachytherapy seed implantation is considered a relatively safe procedure,
migration is not uncommon; however, it is usually clinically silent and the seeds
most commonly migrate to the lungs through the venous circulation via the
periprostatic venous plexus. Our case illustrates that local erosion is possible,
can be symptomatic, and therefore must be considered when evaluating select
patients.
PMID- 27200148
TI - The Role of Mitochondrial Reactive Oxygen Species in Cardiovascular Injury and
Protective Strategies.
AB - Ischaemia/reperfusion (I/R) injury of the heart represents a major health burden
mainly associated with acute coronary syndromes. While timely coronary
reperfusion has become the established routine therapy in patients with ST
elevation myocardial infarction, the restoration of blood flow into the
previously ischaemic area is always accompanied by myocardial injury. The central
mechanism involved in this phenomenon is represented by the excessive generation
of reactive oxygen species (ROS). Besides their harmful role when highly
generated during early reperfusion, minimal ROS formation during ischaemia and/or
at reperfusion is critical for the redox signaling of cardioprotection. In the
past decades, mitochondria have emerged as the major source of ROS as well as a
critical target for cardioprotective strategies at reperfusion. Mitochondria
dysfunction associated with I/R myocardial injury is further described and
ultimately analyzed with respect to its role as source of both deleterious and
beneficial ROS. Furthermore, the contribution of ROS in the highly investigated
field of conditioning strategies is analyzed. In the end, the vascular sources of
mitochondria-derived ROS are briefly reviewed.
PMID- 27200155
TI - Honoring our helpers.
AB - This special issue of the Journal of Radiology Case Reports honors the reviewers
who donated their time and expertise throughout the year 2015 to the high quality
and success of this journal.
PMID- 27200154
TI - Goldenhar syndrome with contralateral pulmonary aplasia: a rare association.
AB - We present a case of a 13-year-old boy with clinical features of Goldenhar
syndrome (hemifacial microsomia with malformed ears) and associated contralateral
pulmonary aplasia. The patient did not have any associated respiratory symptoms.
Pulmonary aplasia is an uncommon association of Goldenhar Syndrome. A case of
contralateral pulmonary aplasia has been rarely reported in the literature to the
best of our knowledge.
PMID- 27200156
TI - Primary osteogenic osteosarcoma of the ethmoid sinus in an adolescent: case
report.
AB - Osteosarcomas of the craniofacial bones account for fewer than 10% of all
osteosarcomas. Primary osteosarcomas of the nasal cavity and paranasal sinus are
rare (0.5-8.1% of the osteosarcomas occur in this location). Because of the
rarity of this presentation, we report a case of osteogenic osteosarcoma arising
de novo from the ethmoid bone in a 13 year old male who presented with discharge
from the right eye and headaches. We describe the imaging features of this rare
tumor and provide a brief review of the literature.
PMID- 27200157
TI - Apparent diffusion coefficient map of a case of extramedullary plasmacytoma.
AB - Plasmacytomas are rare tumors, which arise from the monoclonal proliferation of
malignant plasma cells. They may affect either the bony skeleton or rarely the
soft tissues, the latter being referred to as extramedullary or extraosseous. We
report a case of an extramedullary plasmacytoma that presented as a soft tissue
mass involving the muscles of the left leg, in a patient who was previously
treated for multiple myeloma. We describe the MR Imaging characteristics of the
tumor and highlight the usefulness of diffusion-weighted imaging with apparent
diffusion coefficient mapping.
PMID- 27200158
TI - Percutaneous Approach for Removal of a Migrated Cystogastric Stent from a
Pancreatic Pseudocyst: A Case Report and Review of the Literature.
AB - Stent migration into pancreatic pseudocysts during endosonographic (EUS)
cystogastrostomy is a relatively rare complication. The migrated stent may
induce, if it remains within the body, infection and perforation. Therefore,
retrieval and/or re-stenting is necessary. Endoscopic retrieval is commonly
attempted first. However, it is technically challenging and largely dependent on
the skill of the endoscopists; if retrieval is unsuccessful, surgery is usually
carried out. We report a case of stent migration into a pancreatic pseudocyst
that was retrieved with a percutaneous approach under imaging guidance using a
simple technique with available devices. A technique that enhances the role of
interventional radiology in the management of this rare complication.
PMID- 27200159
TI - Late Migration of a Covered Stent into the Stomach after Repair of a Splenic
Artery Pseudoaneurysm.
AB - We would like to report our experience of a rather rare complication that
occurred in a 76-year old patient tree years after endovascular repair of a
splenic artery pseudoaneurysm with a covered stent. Three years after stent
insertion, the patient complained of mild abdominal pain and melena; it was
revealed endoscopically that the covered stent has eroded the stomach wall and
migrated into the stomach. The splenic artery is the most common location among
the spectrum of potential presentation sites of visceral arteries aneurysms and
pseudoaneurysms. Endovascular treatment with the use of coils or stents is the
first option due to lower morbidity and mortality than open surgery. Endovascular
repair may also lead to complications and patients need to be followed up in
order to confirm aneurysm sealing, and exclude late complication. Minor stent
graft migration may occur in the long term, however extra vascular migration is
extremely rare.
PMID- 27200161
TI - Capecitabine-induced leukoencephalopathy involving the bilateral corticospinal
tracts.
AB - An 80 year old lady with a history of metastatic sigmoid carcinoma presented with
expressive dysphasia and unsteady gait 4 days after commencement of adjuvant
capecitabine chemotherapy. MRI demonstrated restricted diffusion and T2/FLAIR
hyperintensity involving the course of the bilateral corticospinal tracts, the
corpus callosum and the middle cerebellar peduncles. Discontinuation of
chemotherapy lead to symptom resolution in 2 days; repeat MRI at 2 months
demonstrated reversal of the diffusion changes and improvement of the previous
T2W/FLAIR hyperintensity. This report describes the first case of capecitabine
induced leukoencephalopathy causing restricted diffusion along the corticospinal
tracts, which should be differentiated from other entities that involve the
corticospinal tracts (i.e. amyotrophic lateral sclerosis (ALS), primary lateral
sclerosis (PLS), hypoglycemic coma, etc.).
PMID- 27200160
TI - Congenital anterior urethrocutaneous fistula at the penoscrotal junction with
proximal penile megalourethra: A case report.
AB - Congenital anterior urethrocutaneous fistula and megalourethra are both rare
anomalies. These anomalies are commonly associated with other anorectal or
genitourinary anomalies and evaluated with voiding cystourethrography. We
examined a 34-month-old boy who presented with a fistula at the penoscrotal
junction. A voiding cystourethrogram showed a jet of urine coming through the
fistula and proximal saccular dilatation of the penile urethra. We present the
imaging findings of the first case of an association between a congenital
anterior urethrocutaneous fistula at the penoscrotal junction and a proximal
penile megalourethra. We also discuss the etiology, management, and differential
diagnosis of this entity, and review the literature.
PMID- 27200162
TI - Male Pectoral Implants: Radiographic Appearance of Complications.
AB - There has been a significant surge in aesthetic chest surgery for men in the last
several years. Male chest enhancement is performed with surgical placement of a
solid silicone pectoral implant. In the past, male chest correction and
implantation were limited to the treatment of men who had congenital absence or
atrophy of the pectoralis muscle and pectus excavatum deformity. But today, the
popularization of increased chest and pectoral size fostered by body builders has
more men desiring chest correction with implantation for non-medical reasons. We
present a case of a 44-year-old, male with a displaced left pectoral implant with
near extrusion and with an associated peri-implant soft tissue mass and fluid
collection. While the imaging of these patients is uncommon, our case study
presents the radiographic findings of male chest enhancement with associated
complications.
PMID- 27200163
TI - Incidental Anterior Cruciate Ligament Calcification: Case Report.
AB - The calcification of knee ligaments is a finding noted only in a handful of case
reports. The finding of an anterior cruciate ligament calcification has been
reported once in the literature. Comparable studies involving the posterior
cruciate ligament, medial collateral ligament and an ossicle within the anterior
cruciate ligament are likewise discussed in reports of symptomatic patients. We
report a case of incidentally discovered anterior cruciate ligament
calcification. We discuss the likely etiology and clinical implications of this
finding.
PMID- 27200165
TI - A Rare Triad of Giant Occipital Encephalocele with Lipomyelomeningocele,
Tetralogy of Fallot, and Situs Inversus.
AB - Giant encephalocele is an uncommon congenital anomaly with very few published
reports available in the English literature. Tetralogy of Fallot associated with
situs inversus is also infrequently reported. To our knowledge there are no
published reports of an association between giant encephalocele and Tetralogy of
Fallot. The additional finding of situs inversus results in a rare pathologic
triad, not heretofore described.
PMID- 27200164
TI - Treatment of Hypersplenism by Partial Splenic Embolization Through Gastric
Collaterals.
AB - We report a case of Chronic lymphocytic leukemia (CLL) with associated
hypersplenism, that was referred to us for partial splenic embolization (PSE) as
the patient was not a surgical candidate for splenectomy. Initially, we were not
successful in catheterizing the splenic artery from the celiac trunk due to
significant atherosclerotic disease. Therefore, we successfully managed to access
the distal splenic artery through patent gastro-epiploic collateral circulation
along the greater curvature of the stomach. Partial splenic embolization was
successfully performed and resulted in improvement of the patient's peripheral
blood cell count as well as 60-70% reduction in the size of the spleen on follow
up. Our case highlights an alternative pathway for splenic artery embolization
when catheterization of the splenic artery is not feasible. To our knowledge, the
use of gastro-epiploic collaterals to embolize the spleen has not been previously
reported in literature.
PMID- 27200166
TI - Radiological features of a rare case of pancreatic panniculitis presenting in
bilateral lower extremities.
AB - Pancreatic panniculitis is a rare cutaneous presentation in patients with
pancreatic pathology. While it presents as cutaneous inflammation with painful
and erythematous nodules which demonstrate ulceration, imaging features of this
pathology are seldom described. The common sites of involvement are the
extremities. It demonstrates characteristic histological features of lobular
panniculitis with ghost cells. MR imaging with its excellent soft tissue contrast
can be helpful in confirming the diagnosis, demonstrating imaging features of fat
necrosis with surrounding inflammation as demonstrated in our patient.
PMID- 27200167
TI - Angioleiomyoma of the falx.
AB - A 43-year-old man arrived at the emergency department following a syncopal
episode. Computed tomography and magnetic resonance images demonstrated a small
interhemispheric, anterior parafalcine mass that mimicked a meningioma. Surgical
excision and subsequent pathologic evaluation revealed an angioleiomyoma and the
patient recovered without incident. Angioleiomyomas are classified as benign
smooth muscle tumors and are classically seen in adult females arising in the
soft tissues of the lower extremities. Although rare, these masses have been
described in various intracranial locations, usually extra-axially. A
comprehensive review of the literature and discussion are provided, emphasizing
histopathologic and imaging features of this uncommon intracranial neoplasm.
PMID- 27200168
TI - Gastric infarction following gastric bypass surgery.
AB - Gastric infarction is an extremely rare occurrence owing to the stomach's
extensive vascular supply. We report an unusual case of gastric infarction
following gastric bypass surgery. We describe the imaging findings and discuss
possible causes of this condition.
PMID- 27200169
TI - Rare Case of Retroperitoneal Lipomatosis in an Indian Woman.
AB - Retroperitoneal lipomatosis is a rare but distinct clinicopathological entity
characterized by non encapsulated lipoma development in the retroperitoneum.
Presenting complaints in the early stages are vague, and patients with
retroperitoneal lipomatosis are often misdiagnosed because considerable
abnormality is not detected by abdomino-pelvic sonography. However, because of
the progressive nature of this lesion, most patients eventually present with
varying degrees of urinary outflow obstruction and end stage renal disease, or
bladder malignancies in few cases. Here we report a case of a 35-year-old Indian
woman presenting with complaints of diffuse lumps in the abdomen and
constipation. Based on the findings of the imaging [sonography and computed
tomography (CT) scan] studies, benign retroperitoneal lipomatosis was
preopreratively diagnosed and a confirmatory exploratory laprotomy was performed.
Furthermore, we discuss the imaging findings obtained using various radiological
modalities such as plain radiographs, intravenous urography, barium enema,
sonography, CT and magnetic resonance imaging (MRI). We also discuss the
etiopathogenesis, demographics, and various differential diagnoses of
retroperitoneal lipomatosis.
PMID- 27200170
TI - Isolated Teres Major Rupture: A case report with a suggested dedicated imaging
protocol and review of the literature.
AB - Isolated injuries to the teres major muscle occur in competitive sporting
activities such as baseball pitching, hockey and tennis. We report a similar
event of a physically fit man sustaining an isolated teres major rupture while
waterskiing. Non-operative management was chosen, with pain resolution and no
appreciable functional limitations at follow up. Because teres major muscle
injury was suspected at the time of imaging, we present a dedicated imaging
protocol to optimize assessment for teres major injury.
PMID- 27200171
TI - A rare case of atypical skull base meningioma with perineural spread.
AB - Atypical meningioma is a rare cause of perineural tumour spread. In this report,
we present the case of a 46-year-old female with an atypical meningioma of the
skull base demonstrating perineural tumour spread. We describe the imaging
features of this condition and its distinguishing features from other tumours
exhibiting perineural spread.
PMID- 27200172
TI - Incidentally Discovered Middle Mesenteric Artery In A Renal Donor.
AB - The middle mesenteric artery is a very rare anomalous artery originating from the
ventral surface of the abdominal aorta in-between the superior mesenteric artery
and inferior mesenteric artery. We identified a middle mesenteric artery during
abdominal computed tomographic angiography in a renal donor patient as a part of
his work up. The middle mesenteric artery branched out into ileal and ileocolic
arteries, supplying the terminal ileal loops as well as the cecum. The anomalous
artery had no effect on patient's eligibility as a renal donor candidate.
PMID- 27200173
TI - A Torted Ruptured Intra-abdominal Testicular Seminoma Presenting As An Acute
Abdomen.
AB - The susceptibility of the undescended testis to malignant transformation is well
documented. The most common location of the undescended testis is within the
inguinal canal, with only a minority located within the abdominal cavity. When a
testicular mass develops, the risk of torsion increases. We describe a large
intra-abdominal testicular seminoma that had undergone torsion, rupture and
haemorrhage, presenting as an acute abdomen. A 30 year old man presented to the
emergency department with right iliac fossa pain. Computed tomography in the
emergency department showed haemoperitoneum and a torted large left testicular
mass, likely malignant. The patient underwent laparotomy and excision of the
mass. Histologic examination revealed a grossly enlarged seminomatous testis
which had torted and ruptured. While pre-operative imaging diagnosis of an intra
abdominal testicular seminoma has been published, reports are few. To the best of
the author's knowledge pre-operative imaging diagnosis of a malignant testicular
mass with torsion and intra-abdominal haemorrhage presenting as an acute abdomen
has not been described before.
PMID- 27200174
TI - Isolated Fallopian Tube Torsion With Fimbrial Cyst In A 10 Year-old Girl
Diagnosed By Ultrasound: A Case Report.
AB - Torsion of the fallopian tube without the involvement of the ipsilateral ovary is
a rare but important cause of acute abdominal pain in women as it is a surgical
emergency. Although uncommon, it should be considered as one of the differential
diagnosis in female children presenting with acute lower abdominal or pelvic
pain. The diagnosis of isolated fallopian tube torsion is difficult pre
operatively and is often made during laparoscopic or surgical exploration because
diagnostic features are usually non-specific. In this report, we present a case
of isolated fallopian tube torsion with fimbrial cyst in a young female patient
diagnosed pre-operatively by ultrasound.
PMID- 27200176
TI - Allosteric Glutaminase Inhibitors Based on a 1,4-Di(5-amino-1,3,4-thiadiazol-2
yl)butane Scaffold.
AB - A series of allosteric kidney-type glutaminase (GLS) inhibitors were designed and
synthesized using 1,4-di(5-amino-1,3,4-thiadiazol-2-yl)butane as a core scaffold.
A variety of modified phenylacetyl groups were incorporated into the 5-amino
group of the two thiadiazole rings in an attempt to facilitate additional binding
interactions with the allosteric binding site of GLS. Among the newly synthesized
compounds, 4-hydroxy-N-[5-[4-[5-[(2-phenylacetyl)amino]-1,3,4-thiadiazol-2
yl]butyl]-1,3,4-thiadiazol-2-yl]-benzeneacetamide, 2m, potently inhibited GLS
with an IC50 value of 70 nM, although it did not exhibit time-dependency as seen
with CB-839. Antiproliferative effects of 2m on human breast cancer lines will be
also presented in comparison with those observed with CB-839.
PMID- 27200175
TI - Inferior vena cava filter penetration following Whipple surgical procedure
causing ureteral injury.
AB - We report a case of an indwelling inferior vena cava filter that penetrated the
IVC wall after Whipple's pancreatico-duodenectomy procedure performed in a
patient with ampullary carcinoma, resulting in right ureteral injury and
obstruction with subsequent hydroureter and hydronephrosis. This was incidentally
discovered on a computed tomography scan performed as routine follow up to
evaluate the results of the surgery. We retrieved the inferior vena cava filter
and placed a nephrostomy catheter to relieve the ureteral obstruction. Our case
highlights the importance of careful inferior vena cava manipulation during
abdominal surgery in the presence of an inferior vena cava filter, and the option
of temporary removal of the filter to be placed again after surgery in order to
avoid this complication, unless protection is required against clot migration
during the surgical procedure.
PMID- 27200177
TI - Genome sequence and description of Actinomyces polynesiensis str. MS2 sp. nov.
isolated from the human gut.
AB - Actinomyces polynesiensis strain MS2 gen. nov., sp. nov. is a newly proposed
genus within the family Actinomycetaceae, isolated from the stools of a healthy
individual in Raiatea Island (French Polynesia, South Pacific). Actinomyces
massiliensis is an anaerobic, Gram-positive organism. Here we describe the
features of this organism, together with the complete genome sequence and
annotation-2 943 271 bp with a 70.80% G+C content, assembled into 15 scaffolds
and containing 2080 genes.
PMID- 27200178
TI - Romboutsia timonensis, a new species isolated from human gut.
AB - The exploration of the human microbiome was recently revolutionized by microbial
culturomics and taxonogenomics. Thanks to this approach, we report here the main
characteristics of Romboutsia timonensis strain Marseille-P326, a new bacterium
isolated from the right human colon by colonoscopy in a 63-year-old French man
with severe anaemia with melaena.
PMID- 27200179
TI - Obesity and the receipt of influenza and pneumococcal vaccination: a systematic
review and meta-analysis.
AB - BACKGROUND: Obesity is a risk factor for inadequate receipt of recommended
preventive care services. The objective of this study was to assess the
relationship between increasing body mass index and receipt of influenza and
pneumococcal vaccinations. A systematic review of the PubMed, Embase, and Web of
Science databases was conducted from January 1966 to May 2015 for cohort and
cross-sectional studies that assessed the relationship between body mass index
and the receipt of vaccinations for influenza and pneumococcus. Separate meta
analyses by obesity classification were performed using a random effects model.
RESULTS: Six cross-sectional and three cohort studies were included. Average
vaccine uptake was 50.4 % for influenza vaccination and 34.6 % for pneumococcal
vaccination. Compared to normal weight patients, combined odds ratio (95 %
confidence interval) for influenza vaccination was 1.11 (95 % CI 0.97-1.25) for
obese (>=30 kg/m(2)) patients. When the outcome was reported by obesity class,
combined odds ratios of influenza vaccination were 1.13 (95 % CI 1.02-1.24) for
Class I (30-34.9 kg/m(2)) obesity, 1.21 (95 % CI 1.05-1.37) for Class II obesity
(35-39.9 kg/m(2)), and 1.19 (95 % CI 0.95-1.42) for Class III obesity (>=40
kg/m(2)) patients. Compared to normal weight patients, combined odds ratio of
pneumococcal vaccination were 1.20 (95 % CI 1.13-1.27) for obese patients. When
the outcome was reported by obesity class, combined odds ratios were 1.08 (95 %
CI 1.04-1.13) for Class I obesity patients, 1.13 (95 % CI 1.10-1.16) for Class II
obesity patients, and 1.26 (95 % CI 1.15-1.38) for Class III obesity patients for
pneumococcal vaccination. CONCLUSIONS: Combined findings from the current
literature suggest that adults with obesity are more likely than non-obese peers
to receive vaccination for influenza and pneumococcus. However, suboptimal
vaccination coverage was observed across all body sizes, so future interventions
should focus on improving vaccination rates for all adults.
PMID- 27200180
TI - Dry aging of beef; Review.
AB - The present review has mainly focused on the specific parameters including aging
(aging days, temperature, relative humidity, and air flow), eating quality
(flavor, tenderness and juiciness), microbiological quality and economic
(shrinkage, retail yields and cost) involved beef dry aging process. Dry aging is
the process where beef carcasses or primal cuts are hanged and aged for 28 to 55
d under controlling environment conditions in a refrigerated room with 0 degrees
to 4 degrees C and with relative humidity of 75 to 80 %. However there are
various opinions on dry aging procedures and purveyors of such products are
passionate about their programs. Recently, there has been an increased interest
in dry aging process by a wider array of purveyors and retailers in the many
countries. Dry aging process is very costly because of high aging shrinkage (6
to15 %), trims loss (3 to 24 %), risk of contamination and the requirement of
highest grades meat with. The packaging in highly moisture-permeable bag may
positively impact on safety, quality and shelf stability of dry aged beef. The
key effect of dry aging is the concentration of the flavor that can only be
described as "dry-aged beef". But the contribution of flavor compounds of
proteolysis and lipolysis to the cooked dry aged beef flavor is not fully known.
Also there are limited scientific studies of aging parameters on the quality and
palatability of dry aged beef.
PMID- 27200181
TI - The bright side of reactive oxygen species: lifespan extension without cellular
demise.
AB - Oxidative stress and the generation of reactive oxygen species (ROS) can lead to
mitochondrial dysfunction, DNA damage, protein misfolding, programmed cell death
with apoptosis and autophagy, and the promotion of aging -dependent processes.
Mitochondria control the processing of redox energy that yields adenosine
triphosphate (ATP) through the oxidation of glucose, pyruvate, and nicotinamide
adenine dinucleotide. Ultimately, the generation of ROS occurs with the aerobic
production of ATP. Although reduced levels of ROS may lead to tolerance against
metabolic, mechanical, and oxidative stressors and the generation of brief
periods of ROS during ischemia-reperfusion models may limit cellular injury,
under most circumstances ROS and mitochondrial dysfunction can lead to apoptotic
caspase activation and autophagy induction that can result in cellular demise.
Yet, new work suggests that ROS generation may have a positive impact through
respiratory complex I reverse electron transport that can extend lifespan. Such
mechanisms may bring new insight into clinically relevant disorders that are
linked to cellular senescence and aging of the body's system. Further
investigation of the potential "bright side" of ROS and mitochondrial respiration
is necessary to target specific pathways, such as the mechanistic target of
rapamycin, nicotinamidases, sirtuins, mRNA decoupling and protein expression, and
Wnt signaling, that can impact oxidative stress-ROS mechanisms to extend lifespan
and eliminate disease onset.
PMID- 27200182
TI - Properties of Retinal Precursor Cells Grown on Vertically Aligned Multiwalled
Carbon Nanotubes Generated for the Modification of Retinal Implant-Embedded
Microelectrode Arrays.
AB - Background. To analyze the biocompatibility of vertically aligned multiwalled
carbon nanotubes (MWCNT), used as nanomodification to optimize the properties of
prostheses-embedded microelectrodes that induce electrical stimulation of
surviving retinal cells. Methods. MWCNT were synthesized on silicon wafers. Their
growth was achieved by iron particles (Fe) or mixtures of iron-platinum (Fe-Pt)
and iron-titanium (Fe-Ti) acting as catalysts. Viability, growth, adhesion, and
gene expression of L-929 and retinal precursor (R28) cells were analyzed after
nondirect and direct contact. Results. Nondirect contact had almost no influence
on cell growth, as measured in comparison to reference materials with defined
levels of cytotoxicity. Both cell types exhibited good proliferation properties
on each MWCNT-coated wafer. Viability ranged from 95.9 to 99.8%, in which better
survival was observed for nonfunctionalized MWCNT generated with the Fe-Pt and Fe
Ti catalyst mixtures. R28 cells grown on the MWCNT-coated wafers showed a
decreased gene expression associated with neural and glial properties. Expression
of the cell cycle-related genes CCNC, MYC, and TP53 was slightly downregulated.
Cultivation on plasma-treated MWCNT did not lead to additional changes.
Conclusions. All tested MWCNT-covered slices showed good biocompatibility
profiles, confirming that this nanotechnology is a promising tool to improve
prostheses bearing electrodes which connect with retinal tissue.
PMID- 27200183
TI - Different Strategies for the Treatment of Age-Related Macular Degeneration in
China: An Economic Evaluation.
AB - Purpose. To assess the cost-effectiveness of bevacizumab compared to ranibizumab,
verteporfin photodynamic therapy (PDT), and usual care for the treatment of age
related macular degeneration (AMD) in China. Methods. A Markov model was
developed according to patient visual acuity (VA) in the better-seeing eye
(Snellen scale). Four cohorts of patients were treated with one of the following
therapies: bevacizumab, ranibizumab, PDT, or usual care. Clinical data related to
treatments were obtained from published randomized clinical trials. Direct
medical costs and resource utilization in the Chinese health care setting were
taken into account. Health and economic outcomes were evaluated over a lifetime
horizon. Sensitivity analyses were performed. Results. Treatment with ranibizumab
provided the greatest gains in quality-adjusted life-years (QALYs). The cost per
marginal QALY gained with bevacizumab over usual care was $1,258, $3,803, and
$2,066 for the predominantly classic, minimally classic, and occult lesions,
respectively. One-way sensitivity analysis showed considerably influential
factors, such as utility values and effectiveness data. Probabilistic sensitivity
analysis indicated that, compared to usual care, PDT and ranibizumab most cases
would be cost-effective in the bevacizumab arm at a threshold of $7,480/QALY.
Conclusion. Bevacizumab can be a cost-effective option for the treatment of AMD
in the Chinese setting.
PMID- 27200184
TI - Prevalence and Sociodemographic Determinants of Hypertension History among Women
in Reproductive Age in Ghana.
AB - Background. Hypertension is a global health problem. Yet, studies on hypertension
rarely focus on women in Ghana. The purpose of this study is to ascertain the
prevalence and sociodemographic determinants of hypertension history among
Ghanaian women in reproductive age. Methods. This study used data from the 2014
Ghana Demographic and Health Survey. Bivariate and logistic regression analyses
were carried out to ascertain the prevalence and determinants of hypertension
history among the respondents. Results. The study found that the overall
prevalence of hypertension history among the respondents was 7.5%; however, there
were vast variations within most of the sociodemographic categories. Age, level
of education, marital status, work status, and wealth status had a significant
relationship with hypertension history among the respondents. Women in advanced
age groups, highly educated, married, and widowed/divorced/separated, nonworking
women, and women from wealthy households were at higher risk of having
hypertension history. Conclusion. Myriads of sociodemographic factors determine
the hypertension history of women in Ghana. It is therefore essential to target
medical and psychosocial hypertension interventions at Ghanaian women in the
higher risk groups.
PMID- 27200187
TI - Additional Analgesia for Central Venous Catheter Insertion: A Placebo Controlled
Randomized Trial of Dexmedetomidine and Fentanyl.
AB - We aimed to show that a single preprocedural dose of either dexmedetomidine or
fentanyl reduces procedural pain and discomfort and provides clinically
acceptable sedation. In this prospective, double-blind study, sixty patients
scheduled for elective surgery and requiring planned central venous catheter
insertion were randomized to receive dexmedetomidine (1 MUg/kg), fentanyl (1
MUg/kg), or 0.9% normal saline intravenously over ten minutes followed by local
anesthetic field infiltration before attempting central venous catheterization.
The primary outcome measures are assessment and analysis of pain, discomfort, and
sedation level before, during, and after the central venous catheter insertion at
five time points. The median (IQR) pain score is worst for normal saline group at
local anaesthetic injection [6 (4-6.7)] which was significantly attenuated by
addition of fentanyl [3 (2-4)] and dexmedetomidine [4 (3-5)] in the immediate
postprocedural period (P = 0.001). However, the procedure related discomfort was
significantly lower in dexmedetomidine group compared to fentanyl group in the
first 10 min of procedure after local anaesthetic Injection (P = 0.001). Fentanyl
is more analgesically efficient for central venous catheter insertion along with
local anaesthetic injection. However, dexmedetomidine has the potential to be
superior to fentanyl and placebo in terms of providing comfort to the patients
during the procedure.
PMID- 27200185
TI - The Association of Physical Activity during Weekdays and Weekend with Body
Composition in Young Adults.
AB - Physical activity (PA) is a key contributor in long-term weight management but
there remains limited research on the association between weekly PA patterns and
weight change. The purpose of the present study was to examine the prospective
association between weekly PA patterns and weight change in generally healthy
young adults. Anthropometric measurements, including dual X-ray absorptiometry,
were obtained every 3 months over a period of one year in 338 adults (53% male).
At each measurement time, participants wore a multisensor device for a minimum of
10 days to determine total daily energy expenditure and time spent sleeping,
sedentary, in light PA (LPA), in moderate PA (MPA), and in vigorous PA (VPA). PA
did not differ between weekdays and the weekend at baseline. Twenty-four-hour
sleep time, however, was significantly longer during weekends compared to
weekdays, which was associated with less time spent sedentary. Weight loss was
associated with a significant increase in LPA at the expense of sedentary time
during the weekend but not during weekdays. Regression analyses further revealed
an inverse association between change in VPA during the weekend and body
composition at 12-month follow-up. Taken together, these results suggest that
weekend PA plays an important role in long-term weight management.
PMID- 27200186
TI - Enteral Glutamine Administration in Critically Ill Nonseptic Patients Does Not
Trigger Arginine Synthesis.
AB - Glutamine supplementation in specific groups of critically ill patients results
in favourable clinical outcome. Enhancement of citrulline and arginine synthesis
by glutamine could serve as a potential mechanism. However, while receiving
optimal enteral nutrition, uptake and enteral metabolism of glutamine in
critically ill patients remain unknown. Therefore we investigated the effect of a
therapeutically relevant dose of L-glutamine on synthesis of L-citrulline and
subsequent L-arginine in this group. Ten versus ten critically ill patients
receiving full enteral nutrition, or isocaloric isonitrogenous enteral nutrition
including 0.5 g/kg L-alanyl-L-glutamine, were studied using stable isotopes. A
cross-over design using intravenous and enteral tracers enabled splanchnic
extraction (SE) calculations. Endogenous rate of appearance and SE of glutamine
citrulline and arginine was not different (SE controls versus alanyl-glutamine:
glutamine 48 and 48%, citrulline 33 versus 45%, and arginine 45 versus 42%).
Turnover from glutamine to citrulline and arginine was not higher in glutamine
administered patients. In critically ill nonseptic patients receiving adequate
nutrition and a relevant dose of glutamine there was no extra citrulline or
arginine synthesis and glutamine SE was not increased. This suggests that for
arginine synthesis enhancement there is no need for an additional dose of
glutamine when this population is adequately fed. This trial is registered with
NTR2285.
PMID- 27200188
TI - Growth Hormone-Releasing Peptide 6 Enhances the Healing Process and Improves the
Esthetic Outcome of the Wounds.
AB - In addition to its cytoprotective effects, growth hormone-releasing peptide 6
(GHRP-6) proved to reduce liver fibrotic induration. CD36 as one of the GHRP-6
receptors appears abundantly represented in cutaneous wounds granulation tissue.
The healing response in a scenario of CD36 agonistic stimulation had not been
previously investigated. Excisional full-thickness wounds (6 mmO) were created in
the dorsum of Wistar rats and topically treated twice a day for 5 days. The
universal model of rabbit's ears hypertrophic scars was implemented and the
animals were treated daily for 30 days. Treatments for both species were based on
a CMC jelly composition containing GHRP-6 400 MUg/mL. Wounds response
characterization included closure dynamic, RT-PCR transcriptional profile,
histology, and histomorphometric procedures. The rats experiment indicated that
GHRP-6 pharmacodynamics involves attenuation of immunoinflammatory mediators,
their effector cells, and the reduction of the expression of fibrotic cytokines.
Importantly, in the hypertrophic scars rabbit's model, GHRP-6 intervention
dramatically reduced the onset of exuberant scars by activating PPARgamma and
reducing the expression of fibrogenic cytokines. GHRP-6 showed no effect on the
reversion of consolidated lesions. This evidence supports the notion that CD36 is
an active and pharmacologically approachable receptor to attenuate wound
inflammation and accelerate its closure so as to improve wound esthetic.
PMID- 27200189
TI - BMI, HOMA-IR, and Fasting Blood Glucose Are Significant Predictors of Peripheral
Nerve Dysfunction in Adult Overweight and Obese Nondiabetic Nepalese Individuals:
A Study from Central Nepal.
AB - Objective. Nondiabetic obese individuals have subclinical involvement of
peripheral nerves. We report the factors predicting peripheral nerve function in
overweight and obese nondiabetic Nepalese individuals. Methodology. In this cross
sectional study, we included 50 adult overweight and obese nondiabetic volunteers
without features of peripheral neuropathy and 50 healthy volunteers to determine
the normative nerve conduction data. In cases of abnormal function, the study
population was classified on the basis of the number of nerves involved, namely,
"<2" or ">=2." Multivariable logistic regression analysis was carried out to
predict outcomes. Results. Fasting blood glucose (FBG) was the significant
predictor of motor nerve dysfunction (P = 0.039, 95% confidence interval (CI) =
1.003-1.127). Homeostatic model assessment of insulin resistance (HOMA-IR) was
the significant predictor (P = 0.019, 96% CI = 1.420-49.322) of sensory nerve
dysfunction. Body mass index (BMI) was the significant predictor (P = 0.034, 95%
CI = 1.018-1.577) in case of >=2 mixed nerves' involvement. Conclusion. FBG, HOMA
IR, and BMI were significant predictors of peripheral nerve dysfunction in
overweight and obese Nepalese individuals.
PMID- 27200190
TI - The Efficacy of Functional Electrical Stimulation of the Abdominal Muscles in the
Treatment of Chronic Constipation in Patients with Multiple Sclerosis: A Pilot
Study.
AB - Chronic constipation in patients with multiple sclerosis (MS) is common and the
current methods of treatment are ineffective in some patients. Anecdotal
observations suggest that functional electrical stimulation (FES) of the
abdominal muscles may be effective in the management of constipation in these
patients. Patients and Methods. In this exploratory investigation we studied the
effects of FES on the whole gut transit time (WGTT) and the colonic transit time
(CTT). In addition, we evaluated the treatment effect on the patients'
constipation-related quality of life and on the use of laxatives and the use of
manual bowel evacuation. FES was given for 30 minutes twice a day for a period of
six weeks. Four female patients were studied. Results. The WGTT and CTT and
constipation-related quality of life improved in all patients. The patients' use
of laxatives was reduced. No adverse effects of FES treatment were reported.
Conclusion. The findings of this pilot study suggest that FES applied to the
abdominal muscles may be an effective treatment modality for severe chronic
constipation in patients with MS.
PMID- 27200191
TI - Leveraging Comparative Genomics to Identify and Functionally Characterize Genes
Associated with Sperm Phenotypes in Python bivittatus (Burmese Python).
AB - Comparative genomics approaches provide a means of leveraging functional genomics
information from a highly annotated model organism's genome (such as the mouse
genome) in order to make physiological inferences about the role of genes and
proteins in a less characterized organism's genome (such as the Burmese python).
We employed a comparative genomics approach to produce the functional annotation
of Python bivittatus genes encoding proteins associated with sperm phenotypes. We
identify 129 gene-phenotype relationships in the python which are implicated in
10 specific sperm phenotypes. Results obtained through our systematic analysis
identified subsets of python genes exhibiting associations with gene ontology
annotation terms. Functional annotation data was represented in a semantic
scatter plot. Together, these newly annotated Python bivittatus genome resources
provide a high resolution framework from which the biology relating to reptile
spermatogenesis, fertility, and reproduction can be further investigated.
Applications of our research include (1) production of genetic diagnostics for
assessing fertility in domestic and wild reptiles; (2) enhanced assisted
reproduction technology for endangered and captive reptiles; and (3) novel
molecular targets for biotechnology-based approaches aimed at reducing fertility
and reproduction of invasive reptiles. Additional enhancements to reptile genomic
resources will further enhance their value.
PMID- 27200192
TI - Neural Correlates of Dual-Task Walking: Effects of Cognitive versus Motor
Interference in Young Adults.
AB - Walking while concurrently performing cognitive and/or motor interference tasks
is the norm rather than the exception during everyday life and there is evidence
from behavioral studies that it negatively affects human locomotion. However,
there is hardly any information available regarding the underlying neural
correlates of single- and dual-task walking. We had 12 young adults (23.8 +/- 2.8
years) walk while concurrently performing a cognitive interference (CI) or a
motor interference (MI) task. Simultaneously, neural activation in frontal,
central, and parietal brain areas was registered using a mobile EEG system.
Results showed that the MI task but not the CI task affected walking performance
in terms of significantly decreased gait velocity and stride length and
significantly increased stride time and tempo-spatial variability. Average
activity in alpha and beta frequencies was significantly modulated during both CI
and MI walking conditions in frontal and central brain regions, indicating an
increased cognitive load during dual-task walking. Our results suggest that
impaired motor performance during dual-task walking is mirrored in neural
activation patterns of the brain. This finding is in line with established
cognitive theories arguing that dual-task situations overstrain cognitive
capabilities resulting in motor performance decrements.
PMID- 27200193
TI - Thoracic Paravertebral Block, Multimodal Analgesia, and Monitored Anesthesia Care
for Breast Cancer Surgery in Primary Lateral Sclerosis.
AB - Objective. Primary lateral sclerosis (PLS) is a rare idiopathic neurodegenerative
disorder affecting upper motor neurons and characterized by spasticity, muscle
weakness, and bulbar involvement. It can sometimes mimic early stage of more
common and fatal amyotrophic lateral sclerosis (ALS). Surgical patients with a
history of neurodegenerative disorders, including PLS, may be at increased risk
for general anesthesia related ventilatory depression and postoperative
respiratory complications, abnormal response to muscle relaxants, and sensitivity
to opioids, sedatives, and local anesthetics. We present a case of a patient with
PLS and recent diagnosis of breast cancer who underwent a simple mastectomy
surgery uneventfully under an ultrasound guided thoracic paravertebral block,
multimodal analgesia, and monitored anesthesia care. Patient reported minimal to
no pain or discomfort in the postoperative period and received no opioids for
pain management before being discharged home. In patients with PLS, thoracic
paravertebral block and multimodal analgesia can provide reliable anesthesia and
effective analgesia for breast surgery with avoidance of potential risks
associated with general anesthesia, muscle paralysis, and opioid use.
PMID- 27200194
TI - Unilateral Maxillary First Molar Extraction in Class II Subdivision: An
Unconventional Treatment Alternative.
AB - The asymmetrical intra-arch relationship in Class II subdivision malocclusion
poses challenges in the treatment planning and mechanotherapy of such cases. This
case report demonstrates a treatment technique engaging unilateral extraction of
a maxillary first molar and Begg fixed appliances. The outcome stability and the
enhancing effect on the eruption of the third molar in the extraction segment
were confirmed by a 4-year follow-up examination.
PMID- 27200195
TI - Gallbladder Tuberculosis Mimicking Gallbladder Carcinoma: A Case Report and
Literature Review.
AB - Gallbladder tuberculosis (GT) is extremely rare, and it is difficult to
differentiate from other gallbladder diseases, such as gallbladder carcinoma and
Xanthogranulomatous Cholecystitis. A correct preoperative diagnosis of GT is
difficult. The final diagnosis is usually made postoperatively according to
surgical biopsy. Here, we report a case of a patient who underwent surgery with
the preoperative diagnosis of gallbladder carcinoma. We reviewed the literature
and present the process of differential diagnosis between two or more conditions
that share similar signs or symptoms.
PMID- 27200196
TI - Brucella Septic Arthritis: Case Reports and Review of the Literature.
AB - Brucellosis is one of the commonest zoonotic infections worldwide. The disease is
endemic in Saudi Arabia, the Middle East, and the Mediterranean area.
Osteoarticular involvement is a frequent manifestation of brucellosis. It tends
to involve the sacroiliac joints more commonly; however, spondylitis and
peripheral arthritis are increasingly reported. Brucellosis can be overlooked
especially in the presence of companion bacteria. Hence, it should be suspected
in all patients with septic arthritis in endemic areas or in patients visiting
such areas.
PMID- 27200198
TI - Febuxostat and Increased Dialysis as a Treatment for Severe Tophaceous Gout in a
Hemodialysis Patient.
AB - Uric acid accumulates in renal failure and is thought to be a uremic toxin-that
is, higher levels of uric acid are more damaging to the kidneys. Urate crystals
can precipitate in the kidney tubules, cause urate stones, and promote
inflammatory changes in the renal interstitium and vascular endothelium. Uric
acid is also a small non-protein-bound molecule and therefore easily dialyzable.
Here, we present the case of an anuric hemodialysis patient with severe
tophaceous gout who regained some renal function and whose gout burden
significantly decreased resulting in marked improvement in functional status
using a new gout medication, febuxostat, and increased frequency of dialysis.
PMID- 27200197
TI - Multifocal Aeromonas Osteomyelitis in a Child with Leukemia.
AB - Aeromonas hydrophila is a Gram negative organism causing both intestinal and
extraintestinal disease. The case of a 14-year-old girl with underlying
immunodeficiency and leukemia who developed systemic A. hydrophila infection is
described in this report. While in deep bone marrow aplasia she developed fever,
severe pain in the lower extremities, and swelling of the left femur. Blood
culture showed Escherichia coli and A. hydrophila whereas pus culture from the
soft tissue swelling showed the presence of A. hydrophila. Imaging studies showed
diffuse osteolytic lesions. Patient received 5 months of intravenous and oral
antibiotics and she improved clinically whereas the radiology findings persisted.
PMID- 27200199
TI - An Unusual Cause of Subacute Headache in a Patient Undergoing Chemotherapy for
Advanced Testicular Nonseminomatous Germ Cell Tumour.
AB - Testicular (germ cell) cancer is a model of a chemocurable malignancy and tends
to have a favourable prognosis even in advanced stages due to exquisite
sensitivity to platinum-based chemotherapy. However, both acute and longer-term
toxicities of multiagent chemotherapy remain significant as causes of morbidity,
very occasionally mortality, and impaired quality-of-life. Here, we report a case
of acute cerebral venous sinus thrombosis occurring within 10 days of
chemotherapy initiation in a young patient without comorbidities, whose only
predisposing factors were malignancy, chemotherapy, and perhaps mild dehydration.
The clinical presentation was also unusual with headache of moderate severity
only without focal or global neurologic deficits. We suspect that cisplatin may
have had direct vasculotoxic effects. The patient recovered fully after short
duration anticoagulation but oncologists must remain aware of unusual and
unpredictable complications of cytotoxic treatment.
PMID- 27200200
TI - A Rare Case of Bilateral Patellar Tendon Ruptures: A Case Report and Literature
Review.
AB - Bilateral patellar tendon ruptures are rare. The majority of case reports
describing bilateral patellar tendon ruptures have occurred in patients with
predisposing factors to tendinopathy. We describe a case of bilateral patellar
tendon rupture sustained following minimal trauma by a patient with no systemic
disease or history of steroid use. Due to the rarity of this injury, clinical
suspicion is low. It is reported that 38% of patellar tendon ruptures are
misdiagnosed initially. Therefore careful history taking and physical examination
is integral in ensuring a diagnosis is achieved for early primary repair. We
discuss the aetiology of spontaneous tendon rupture and report a literature
review of bilateral patellar tendon ruptures.
PMID- 27200201
TI - Horner's Syndrome Incidental to Medullary Thyroid Carcinoma Excision: Case Report
and Brief Literature Review.
AB - Horner's syndrome is characterized by a combination of ipsilateral miosis,
blepharoptosis, enophthalmos, facial anhidrosis, and iris heterochromia in
existence of congenital lesions. The syndrome results from a disruption of the
ipsilateral sympathetic innervation of the eye and ocular adnexa at different
levels. Though rare, thyroid and neck surgery could be considered as possible
causes of this clinical entity. We present a case of Horner's syndrome in a
patient after total thyroidectomy and neck dissection for medullary thyroid
cancer with neck nodal disease and attempt a brief review of the relevant
literature.
PMID- 27200202
TI - Osseointegrated Implants: An Alternative Approach in Patients with Bilateral
Auricular Defects due to Chemical Assault.
AB - Acid attacks committed as crimes of passion are unfortunately becoming far from
infrequent occurrence. The injuries sustained in such attacks mainly involve the
face and trunk, with the acid causing cutaneous and subcutaneous tissue burns
that can result in permanent scarring, loss of the nose or external ear, and
severe visual impairment. Different surgical solutions have been proposed for
reconstruction of the auricle following loss of the ear through traumatic injury
or cancer or in patients with congenital defects: surgical reconstruction may
involve the insertion of an autogenous rib cartilage framework or the use of a
porous polymer material inserted into an expanded postauricular flap.
Reconstruction with rib cartilage has given good results but requires more than
one surgical step and may be associated with adverse events involving both the
donor site and the recipient site, while rejections of polymeric prostheses have
been reported following their insertion into expanded postauricular flaps. The
use of a titanium dowel-retained silicone prosthesis, in which the dowel is
anchored to the temporal bone, is a surgical possibility, indicated particularly
in cases of pinna resection due to tumour or auricular scarring following
traumatic injury.
PMID- 27200203
TI - Acute Alithiasic Cholecystitis and Human Herpes Virus Type-6 Infection: First
Case.
AB - A three-year-old male child presented with erythematous maculopapular nonpruritic
generalized rash, poor feeding, vomiting, and cramping generalized abdominal
pain. He was previously healthy and there was no family history of immunologic or
other diseases. On examination he was afebrile, hemodynamically stable, with
painful palpation of the right upper quadrant and positive Murphy's sign.
Laboratory tests revealed elevated inflammatory markers, elevated
aminotransferase activity, and features of cholestasis. Abdominal ultrasound
showed gallbladder wall thickening of 8 mm with a positive sonographic Murphy's
sign, without gallstones or pericholecystic fluid. Acute Alithiasic Cholecystitis
(AAC) was diagnosed. Tests for underlying infectious causes were negative except
positive blood specimen for Human Herpes Virus Type-6 (HHV-6) by polymerase chain
reaction. With supportive therapy the child became progressively less symptomatic
with gradual improvement. The child was discharged on the sixth day, asymptomatic
and with improved analytic values. Two months later he had IgM negative and IgG
positive antibodies (1/160) for HHV-6, which confirmed the diagnosis of previous
infection. In a six-month follow-up period he remains asymptomatic. To the best
of our knowledge, this represents the first case of AAC associated with HHV-6
infection.
PMID- 27200204
TI - Vascular Anomalies in Pancreaticoduodenectomy: A Lesson Learned.
AB - It is essential to identify any variant anatomy prior to surgery as this could
have a drastic effect on surgical planning. We describe a case in which two
vascular irregularities, an Arc of Buhler and celiac stenosis, were identified on
angiogram after completion of a pancreaticoduodenectomy. While there could have
been catastrophic results from his surgery in the setting of celiac stenosis, the
presence of the aberrant Arc of Buhler allowed this patient to emerge without any
permanent morbidity.
PMID- 27200205
TI - Concurrent Occurrence of Tumor in Colon and Small Bowel following Intestinal
Obstruction: A Case Report and Review of the Literature.
AB - An intestinal obstruction occurs when either the small or large intestine is
partly or completely blocked so it prevents passing the food or fluid through the
small/large bowel. This blockage is due to the existence of a mechanical
obstruction such as foreign material, mass, hernia, or volvulus. Common symptoms
include cramping pain, nausea and vomiting, changes in bowel habits, inability to
pass stool, and lack of gas. We present a case of an 83-year-old man who had been
referred to Taleghani Hospital with symptoms of bowel obstruction. He underwent
the surgery. The findings of exploration of the entire abdomen showed two types
of mass separately in two different organs. In postoperative workup, pathology
reported two types of tumors (adenocarcinoma and neuroendocrine tumors).
PMID- 27200206
TI - The Modification Effect of Influenza Vaccine on Prognostic Indicators for
Cardiovascular Events after Acute Coronary Syndrome: Observations from an
Influenza Vaccination Trial.
AB - Introduction. The prognosis of acute coronary syndrome (ACS) patients has been
improved with several treatments such as antithrombotics, beta-blockers, and
angiotensin-converting enzyme inhibitors (ACEI) as well as coronary
revascularization. Influenza vaccination has been shown to reduce adverse
outcomes in ACS, but no information exists regarding the interaction of other
treatments. Methods. This study included 439 ACS patients from Phrommintikul et
al. A single dose of inactivated influenza vaccine was given by intramuscular
injection in the vaccination group. The cardiovascular outcomes were described as
major cardiovascular events (MACEs) which included mortality, hospitalization due
to ACS, and hospitalization due to heart failure (HF). The stratified and
multivariable Cox's regression analysis was performed. Results. The stratified
Cox's analysis by influenza vaccination for each cardiovascular outcome and
discrimination of hazard ratios showed that beta-blockers had an interaction with
influenza vaccination. Moreover, the multivariable hazard ratios disclosed that
influenza vaccine is associated with a significant reduction of hospitalization
due to HF in patients who received beta-blockers (HR = 0.05, 95% CI = 0.004-0.71,
P = 0.027), after being adjusted for prognostic indicators (sex, dyslipidemia,
serum creatinine, and left ventricular ejection fraction). Conclusions. The
influenza vaccine was shown to significantly modify the effect of beta-blockers
in ACS patients and to reduce the hospitalization due to HF. However, further
study of a larger population and benefits to HF patients should be investigated.
PMID- 27200207
TI - Assessment of the Potential Biological Activity of Low Molecular Weight
Metabolites of Freshwater Macrophytes with QSAR.
AB - The paper focuses on the assessment of the spectrum of biological activities
(antineoplastic, anti-inflammatory, antifungal, and antibacterial) with PASS
(Prediction of Activity Spectra for Substances) for the major components of three
macrophytes widespread in the Holarctic species of freshwater, emergent
macrophyte with floating leaves, Nuphar lutea (L.) Sm., and two species of
submergent macrophyte groups, Ceratophyllum demersum L. and Potamogeton
obtusifolius (Mert. et Koch), for the discovery of their ecological and
pharmacological potential. The predicted probability of anti-inflammatory or
antineoplastic activities above 0.8 was observed for twenty compounds. The same
compounds were also characterized by high probability of antifungal and
antibacterial activity. Six metabolites, namely, hexanal, pentadecanal,
tetradecanoic acid, dibutyl phthalate, hexadecanoic acid, and manool, were a part
of the major components of all three studied plants, indicating their high
ecological significance and a certain universalism in their use by various
species of water plants for the implementation of ecological and biochemical
functions. This report underlines the role of identified compounds not only as
important components in regulation of biochemical and metabolic pathways and
processes in aquatic ecological systems, but also as potential pharmacological
agents in the fight against different diseases.
PMID- 27200208
TI - Appraisal of Chicken Production with Associated Biosecurity Practices in
Commercial Poultry Farms Located in Jos, Nigeria.
AB - A questionnaire-based study of chicken production system with on-farm biosecurity
practices was carried out in commercial poultry farms located in Jos, Nigeria.
Commercial and semicommercial farms had 75.3% and 24.5% of 95,393 birds on 80
farms, respectively. Farms using deep litter and battery cage systems were 69
(86.3%) and 10 (12.5%), respectively. In our biosecurity scoring system, a
correct practice of each indicator of an event scored 1.00 and biosecurity score
(BS) of each farm was the average of the scores of biosecurity indicators for the
farm, giving BS of zero and 1.00 as absence of biosecurity and optimal
biosecurity, respectively. Semicommercial farms had higher BS than commercial
farms. The flock size did not significantly (p > 0.05) affect the mean BS.
Disease outbreaks correlated (r = -0.97) with BS, showing a tendency of reduction
of disease outbreaks with increasing BS. Outbreaks were significantly (p < 0.05)
associated with deep litter system. In conclusion, the chicken production system
requires increased drive for excellent biosecurity practices and weak points in
the biosecurity could be ameliorated by extension of information to farmers in
order to support expansion of chicken production with robust biosecurity measures
that drastically reduce risk of disease outbreak.
PMID- 27200209
TI - In Utero Nutritional Manipulation Provokes Dysregulated Adipocytokines Production
in F1 Offspring in Rats.
AB - Background. Intrauterine environment plays a pivotal role in the origin of fatal
diseases such as diabetes. Diabetes and obesity are associated with low-grade
inflammatory state and dysregulated adipokines production. This study aims to
investigate the effect of maternal obesity and malnutrition on adipokines
production (adiponectin, leptin, and TNF-alpha) in F1 offspring in rats.
Materials and Methods. Wistar rats were allocated in groups: F1 offspring of
control mothers under control diet (CF1-CD) and under high-fat diet (CF1-HCD), F1
offspring of obese mothers under CD (OF1-CD) and under HCD (OF1-HCD), and F1
offspring of malnourished mothers under CD (MF1-CD) and under HCD (MF1-HCD).
Every 5 weeks postnatally, blood samples were obtained for biochemical analysis.
Results. At the end of the 30-week follow-up, OF1-HCD and MF1-HCD exhibited
hyperinsulinemia, moderate dyslipidemia, insulin resistance, and impaired glucose
homeostasis compared to CF1-CD and CF1-HCD. OF1-HCD and MF1-HCD demonstrated low
serum levels of adiponectin and high levels of leptin compared to CF1-CD and CF1
HCD. OF1-CD, OF1-HCD, and MF1-HCD had elevated serum levels of TNF-alpha compared
to CF1-CD and CF1-HCD (p < 0.05). Conclusion. Maternal nutritional manipulation
predisposes the offspring to development of insulin resistance in their adult
life, probably via instigating dysregulated adipokines production.
PMID- 27200210
TI - Can Impaired Elasticity of Aorta Predict the Success of Vardenafil Treatment in
Patients with Erectile Dysfunction?
AB - Objective. Vardenafil is used in treatment of erectile dysfunction (ED) but
reveals variable clinical outcomes. Here, we aimed to evaluate the role of aortic
elasticity in predicting vardenafil success among patients with ED. Methods.
Sixty-one consecutive male subjects with primary ED and indication for vardenafil
treatment were included. All subjects fulfilled 5-item version of the
International Index of Erectile Function (IIEF-5) before the vardenafil
treatment. Pretreatment aortic stiffness index (ASI) and aortic distensibility
(AD) were obtained echocardiographically. Following two-month vardenafil
treatment, the patients were reevaluated with IIEF-5. Pretreatment,
posttreatment, and DeltaIIEF-5 scores and ASI values were compared. Results.
Average age was 54 +/- 8 years. Pretreatment and posttreatment IIEF-5 and
DeltaIIEF-5 scores were 9.1 +/- 2.5; 18.5 +/- 2.3; and 9.4 +/- 3, respectively.
Mean ASI and AD values were 3.10 +/- 0.54 and 4.13 +/- 2.55 1/(10(3) * mmHg)
accordingly. ASI value of severe pretreatment ED (n = 15) was significantly
higher than that of mild-moderate pretreatment ED (n = 12) (p < 0.001). All
pretreatment IIEF-5 scores increased significantly compared to posttreatment IIEF
5 scores (p < 0.001). ASI values were significantly correlated to pretreatment
IIEF-5 scores (p < 0.001) and DeltaIIEF-5 value (p < 0.001) but not to
posttreatment IIEF-5 score. Conclusion. Aortic elasticity was impaired in
accordance with degree of ED. The subjects with higher ASI values obtained more
benefits from vardenafil.
PMID- 27200212
TI - Study on GIS Visualization in Evaluation of the Human Living Environment in
Shenyang-Dalian Urban Agglomeration.
AB - Analysis of human living environmental quality of Shenyang-Dalian urban
agglomerations has important theoretical and practical significance in rapid
development region. A lot of investigations have been carried for Shenyang-Dalian
urban agglomerations, including 38 counties. Based on the carrying capacity of
resources, natural and socioeconomic environmental factors and regional changes
of human living environmental evaluation are analyzed with the application of
geographic information systems (GIS) software. By using principal component
analysis (PCA) model and natural breaks classification (NBC) method, the
evaluation results are divided into five categories. The results show that the
human living environmental evaluation (HLEE) indexes of Dalian, Shenyang, and
Liaoyang are higher than other counties. Among these counties, the human living
environmental evaluation (HLEE) indexes of coastal counties are significantly
higher than inland counties. The range of the human living environmental
evaluation index in most of the study area is at III, IV, and V levels,
accounting for 80.01%. Based on these results, it could illustrate the human
living environment is in relatively suitable condition in Shenyang-Dalian urban
agglomeration.
PMID- 27200211
TI - Modulation of Drug Resistance in Staphylococcus aureus with Coumarin Derivatives.
AB - Semisynthetic and commercial coumarins were investigated for their antibacterial
and adjuvant properties with antibiotic agents against norfloxacin, erythromycin,
and tetracycline resistant Staphylococcus aureus as based on efflux mechanisms.
The coumarins and certain commercial antibiotics had their Minimum Inhibitory
Concentrations determined by broth microdilution assay against resistant S.
aureus strains which overexpress efflux pump proteins. For evaluation of the
modulatory activity, the antibiotics MICs were determined in the presence of the
coumarin derivatives at subinhibitory concentration. Although the coumarins did
not display relevant antibacterial activity (MIC >= 128 ug/mL), they did modulate
the antibiotics activities. Various coumarins, especially the alkylated
derivatives in combination with antibiotics at subinhibitory concentrations,
modulated antibiotic activity, reducing the MIC for tetracycline and norfloxacin
by 2 to 8 times. Polar Surface Area (PSA) studies were performed and the fact
that the presence of apolar groups is an important factor for the modulatory
activity of coumarins was corroborated. Docking on the Penicillin-Binding Protein
from MRSA identified that 18 is a potential ligand presenting low E binding. The
results indicate that coumarin derivatives modulated antibiotic resistance and
may be used as potential antibiotic adjuvants, acting by bacterial efflux pump
inhibition in S. aureus.
PMID- 27200213
TI - Effects of Cadmium and Zinc on the Gamete Viability, Fertilization, and Embryonic
Development of Tripneustes gratilla (Linnaeus).
AB - Heavy metals are frequently reported for their mutagenic and teratogenic effects
on benthic organisms. Thus, this study aimed to determine the toxicity of cadmium
(Cd) and zinc (Zn) in the gametes of T. gratilla and to compare its fertilization
and embryonic development under the highest nongametotoxic concentrations of
these heavy metals. Gamete viability of T. gratilla under CdCl2 and ZnSO4
treatments was assayed through resazurin reduction test (RRT) and was confirmed
through gamete morphology assay. ZnSO4 was more toxic to T. gratilla gametes than
CdCl2 and egg cells were more sensitive to both than the sperm cells. Higher
concentrations of CdCl2 and ZnSO4 induced gamete apoptosis and necrosis while
highest nongametotoxic concentrations were determined at 1 * 10(-3) M and 1 * 10(
4) M, respectively, and were used in an in vitro fertilization and embryonic
development experiment. ZnSO4 treatment inhibited fertilization more than CdCl2
and yielded more deformed embryos, while both induced abnormalities and hindered
further embryonic development. This study gives the first report on the specific
concentrations of Cd and Zn that are toxic to T. gratilla gametes and has
confirmed the teratogenic effects of these heavy metals.
PMID- 27200214
TI - Steps We Have Taken and Steps We Must Take.
PMID- 27200215
TI - Effects of a Combined Exercise Program Using an iPad for Older Adults.
AB - OBJECTIVES: The purpose of this study was to examine the function, health status,
and efficacy effects of a combined exercise program using an iPad among older
women in Korea, a tech-savvy country. METHODS: The study employed a pretest and
posttest experimental design with a control group. The experimental group of
subjects comprised 16 female older adults and the control group comprised 10 who
were aged 65 years or older. The experimental group participated in a supervised
group-based exercise program and an individualized home-based exercise program
that involved the use of an iPad. The combined group and home-based exercise
program consisted of group exercise, which took place in a senior center for 30
minutes weekly, and a home-based iPad exercise program, which the subjects
followed at least 3 times a week. The collected data were analyzed using the
Statistical Analysis System (SAS ver. 9.3 TS Level 1M0) program, which utilized a
chi-square test, a Fisher exact test, a t-test, and a repeated-measures ANOVA.
RESULTS: The results showed that cognitive status changed significantly over
time, and there was an interaction between group and time. Further, self-efficacy
for exercise and outcome expectations for exercise changed significantly over
time. CONCLUSIONS: Exercise programs using iPad interventions may be useful for
the management of cognitive functioning and the integration of functional
physical abilities in older adults.
PMID- 27200216
TI - Utilization and Content Evaluation of Mobile Applications for Pregnancy, Birth,
and Child Care.
AB - OBJECTIVES: The purpose of this study was to explore the use of mobile
applications about pregnancy, birth, and child care among pregnant women and to
review the characteristics, contents, and credibility of the applications used by
these women. METHODS: This study was cross-sectional and was conducted using a
survey method. One hundred and ninety-three pregnant women participated in this
study. The questionnaire was developed to examine the pattern and reasons for
pregnancy-related application usage. The 47 mobile apps used by participants were
reviewed and categorized based on functions and developers. The credibility of
the information provided by the mobile applications was evaluated using a
structured measurement. RESULTS: Fifty-five percent of the participants were
using mobile apps related to pregnancy, birth, and/or child care. First-time
mothers used the apps significantly more often than women who were pregnant for
the second time. Women who had used a smartphone for a longer period of time were
more likely to use apps related to pregnancy, birth, and/or child care. The most
frequently-used information concerned signs of risk and disease during pregnancy.
Experts' quick opinions and Q&A formats related to diet and medication
administration during pregnancy were the women's most cited need for content in
applications. Information was the most common function of the apps. In the
evaluation of information credibility, the 'information source' category had the
lowest score. CONCLUSIONS: The results showed that applications related to
pregnancy, birth, and child care have become an important information source for
pregnant women. To fulfill the needs of users, credible applications related to
pregnancy, birth, and child care should be developed and managed by qualified
healthcare professionals.
PMID- 27200217
TI - GEE: An Informatics Tool for Gene Expression Data Explore.
AB - OBJECTIVES: Major public high-throughput functional genomic data repositories,
including the Gene Expression Omnibus (GEO) and ArrayExpress have rapidly
expanded. As a result, a large number of diverse high-throughput functional
genomic data retrieval systems have been developed. However, high-throughput
functional genomic data retrieval remains challenging. METHODS: We developed Gene
Expression data Explore (GEE), the first powerful, flexible web and mobile search
application for searching whole-genome epigenetic data and microarray data in
public databases, such as GEO and ArrayExpress. RESULTS: GEE provides an
elaborate, convenient interface of query generation competences not available via
various high-throughput functional genomic data retrieval systems, including GEO,
ArrayExpress, and Atlas. In particular, GEE provides a suitable query generator
using eVOC, the Experimental Factor Ontology (EFO), which is well represented
with a variety of high-throughput functional genomic data experimental
conditions. In addition, GEE provides an experimental design query constructor
(EDQC), which provides elaborate retrieval filter conditions when the user
designs real experiments. CONCLUSIONS: The web version of GEE is available at
http://www.snubi.org/software/gee, and its app version is available from the
Apple App Store.
PMID- 27200218
TI - Nomogram of Naive Bayesian Model for Recurrence Prediction of Breast Cancer.
AB - OBJECTIVES: Breast cancer has a high rate of recurrence, resulting in the need
for aggressive treatment and close follow-up. However, previously established
classification guidelines, based on expert panels or regression models, are
controversial. Prediction models based on machine learning show excellent
performance, but they are not widely used because they cannot explain their
decisions and cannot be presented on paper in the way that knowledge is
customarily represented in the clinical world. The principal objective of this
study was to develop a nomogram based on a naive Bayesian model for the
prediction of breast cancer recurrence within 5 years after breast cancer
surgery. METHODS: The nomogram can provide a visual explanation of the predicted
probabilities on a sheet of paper. We used a data set from a Korean tertiary
teaching hospital of 679 patients who had undergone breast cancer surgery between
1994 and 2002. Seven prognostic factors were selected as independent variables
for the model. RESULTS: The accuracy was 80%, and the area under the receiver
operating characteristics curve (AUC) of the model was 0.81. CONCLUSIONS: The
nomogram can be easily used in daily practice to aid physicians and patients in
making appropriate treatment decisions after breast cancer surgery.
PMID- 27200219
TI - Comparison of Predictive Models for the Early Diagnosis of Diabetes.
AB - OBJECTIVES: This study develops neural network models to improve the prediction
of diabetes using clinical and lifestyle characteristics. Prediction models were
developed using a combination of approaches and concepts. METHODS: We used
memetic algorithms to update weights and to improve prediction accuracy of
models. In the first step, the optimum amount for neural network parameters such
as momentum rate, transfer function, and error function were obtained through
trial and error and based on the results of previous studies. In the second step,
optimum parameters were applied to memetic algorithms in order to improve the
accuracy of prediction. This preliminary analysis showed that the accuracy of
neural networks is 88%. In the third step, the accuracy of neural network models
was improved using a memetic algorithm and resulted model was compared with a
logistic regression model using a confusion matrix and receiver operating
characteristic curve (ROC). RESULTS: The memetic algorithm improved the accuracy
from 88.0% to 93.2%. We also found that memetic algorithm had a higher accuracy
than the model from the genetic algorithm and a regression model. Among models,
the regression model has the least accuracy. For the memetic algorithm model the
amount of sensitivity, specificity, positive predictive value, negative
predictive value, and ROC are 96.2, 95.3, 93.8, 92.4, and 0.958 respectively.
CONCLUSIONS: The results of this study provide a basis to design a Decision
Support System for risk management and planning of care for individuals at risk
of diabetes.
PMID- 27200220
TI - Association of EMR Adoption with Minority Health Care Outcome Disparities in US
Hospitals.
AB - OBJECTIVES: Disparities in healthcare among minority groups can result in
disparate treatments for similar severities of symptoms, unequal access to
medical care, and a wide deviation in health outcomes. Such racial disparities
may be reduced via use of an Electronic Medical Record (EMR) system. However,
there has been little research investigating the impact of EMR systems on the
disparities in health outcomes among minority groups. METHODS: This study
examined the impact of EMR systems on the following four outcomes of black
patients: length of stay, inpatient mortality rate, 30-day mortality rate, and 30
day readmission rate, using patient and hospital data from the Medicare Provider
Analysis and Review and the Healthcare Information and Management Systems Society
between 2000 and 2007. The difference-in-difference research method was employed
with a generalized linear model to examine the association of EMR adoption on
health outcomes for minority patients while controlling for patient and hospital
characteristics. RESULTS: We examined the association between EMR adoption and
the outcomes of minority patients, specifically black patients. However, after
controlling for patient and hospital characteristics we could not find any
significant changes in the four health outcomes of minority patients before and
after EMR implementation. CONCLUSIONS: EMR systems have been reported to support
better coordinated care, thus encouraging appropriate treatment for minority
patients by removing potential sources of bias from providers. Also, EMR systems
may improve the quality of care provided to patients via increased responsiveness
to care processes that are required to be more time-sensitive and through
improved communication. However, we did not find any significant benefit for
minority groups after EMR adoption.
PMID- 27200221
TI - Auditing Knowledge toward Leveraging Organizational IQ in Healthcare
Organizations.
AB - OBJECTIVES: In this study, a knowledge audit was conducted based on
organizational intelligence quotient (OIQ) principles of Iran's Ministry of
Health and Medical Education (MOHME) to determine levers that can enhance OIQ in
healthcare. METHODS: The mixed method study was conducted within the MOHME. The
study population consisted of 15 senior managers and policymakers. A tool based
on literature review and panel expert opinions was developed to perform a
knowledge audit. RESULTS: The significant results of this auditing revealed the
following: lack of defined standard processes for organizing knowledge management
(KM), lack of a knowledge map, absence of a trustee to implement KM, absence of
specialists to produce a knowledge map, individuals' unwillingness to share
knowledge, implicitness of knowledge format, occasional nature of knowledge
documentation for repeated use, lack of a mechanism to determine repetitive
tasks, lack of a reward system for the formation of communities, groups and
networks, non-updatedness of the available knowledge, and absence of commercial
knowledge. CONCLUSIONS: The analysis of the audit findings revealed that three
levers for enhancing OIQ, including structure and process, organizational
culture, and information technology must be created or modified.
PMID- 27200222
TI - Functional Profiling of Human MeCP2 by Automated Data Comparison Analysis and
Computerized Expression Pathway Modeling.
AB - OBJECTIVES: Methyl-CpG binding protein 2 (MeCP2) is a ubiquitous epigenetic
factor that represses gene expression by modifying chromatin. Mutations in the
MeCP2 gene cause Rett syndrome, a progressive neurodevelopmental disorder. Recent
studies also have shown that MeCP2 plays a role in carcinogenesis. Specifically,
functional ablation of MeCP2 suppresses cell growth and leads to the
proliferation of cancer cells. However, MeCP2's function in adult tissues remains
poorly understood. We utilized a weight matrix-based comparison software to
identify transcription factor binding site (TFBS) of MeCP2-regulated genes, which
were recognized by cDNA microarray analysis. METHODS: MeCP2 expression was
silenced using annealed siRNA in HEK293 cells, and then a cDNA microarray
analysis was performed. Functional analysis was carried out, and transcriptional
levels in target genes regulated by MeCP2 were investigated. TFBS analysis was
done within genes selected by the cDNA microarray analysis, using a weight matrix
based program and the TRANSFAC 6.0 database. RESULTS: Among the differentially
expressed genes with a change in expression greater than two-fold, 189 genes were
up-regulated and 91 genes were down-regulated. Genes related to apoptosis and
cell proliferation (JUN, FOSL2, CYR61, SKIL, ATF3, BMABI, BMPR2, RERE, and FALZ)
were highly up-regulated. Genes with anti-apoptotic and anti-proliferative
functions (HNRPA0, HIS1, and FOXC1) were down-regulated. Using TFBS analysis
within putative promoters of novel candidate target genes of MeCP2, disease
related transcription factors were identified. CONCLUSIONS: The present results
provide insights into the new target genes regulated by MeCP2 under epigenetic
control. This information will be valuable for further studies aimed at
clarifying the pathogenesis of Rett syndrome and neoplastic diseases.
PMID- 27200223
TI - Development of an Integrated Biospecimen Database among the Regional Biobanks in
Korea.
AB - OBJECTIVES: This study developed an integrated database for 15 regional biobanks
that provides large quantities of high-quality bio-data to researchers to be used
for the prevention of disease, for the development of personalized medicines, and
in genetics studies. METHODS: We collected raw data, managed independently by 15
regional biobanks, for database modeling and analyzed and defined the metadata of
the items. We also built a three-step (high, middle, and low) classification
system for classifying the item concepts based on the metadata. To generate clear
meanings of the items, clinical items were defined using the Systematized
Nomenclature of Medicine Clinical Terms, and specimen items were defined using
the Logical Observation Identifiers Names and Codes. To optimize database
performance, we set up a multi-column index based on the classification system
and the international standard code. RESULTS: As a result of subdividing
7,197,252 raw data items collected, we refined the metadata into 1,796 clinical
items and 1,792 specimen items. The classification system consists of 15 high,
163 middle, and 3,588 low class items. International standard codes were linked
to 69.9% of the clinical items and 71.7% of the specimen items. The database
consists of 18 tables based on a table from MySQL Server 5.6. As a result of the
performance evaluation, the multi-column index shortened query time by as much as
nine times. CONCLUSIONS: The database developed was based on an international
standard terminology system, providing an infrastructure that can integrate the
7,197,252 raw data items managed by the 15 regional biobanks. In particular, it
resolved the inevitable interoperability issues in the exchange of information
among the biobanks, and provided a solution to the synonym problem, which arises
when the same concept is expressed in a variety of ways.
PMID- 27200224
TI - Current Status of Nursing Informatics Education in Korea.
AB - OBJECTIVES: This study presents the current status of nursing informatics
education, the content covered in nursing informatics courses, the faculty
efficacy, and the barriers to and additional supports for teaching nursing
informatics in Korea. METHODS: A set of questionnaires consisting of an 18-item
questionnaire for nursing informatics education, a 6-item questionnaire for
faculty efficacy, and 2 open-ended questions for barriers and additional supports
were sent to 204 nursing schools via email and the postal service. Nursing
schools offering nursing informatics were further asked to send their syllabuses.
The subjects taught were analyzed using nursing informatics competency categories
and other responses were tailed using descriptive statistics. RESULTS: A total of
72 schools (35.3%) responded to the survey, of which 38 reported that they
offered nursing informatics courses in their undergraduate nursing programs.
Nursing informatics courses at 11 schools were taught by a professor with a
degree majoring in nursing informatics. Computer technology was the most
frequently taught subject (27 schools), followed by information systems used for
practice (25 schools). The faculty efficacy was 3.76 +/- 0.86 (out of 5). The
most frequently reported barrier to teaching nursing informatics (n = 9) was lack
of awareness of the importance of nursing informatics. Training and educational
opportunities was the most requested additional support. CONCLUSIONS: Nursing
informatics education has increased during the last decade in Korea. However, the
proportions of faculty with degrees in nursing informatics and number of schools
offering nursing informatics courses have not increased much. Thus, a greater
focus is needed on training faculty and developing the courses.
PMID- 27200227
TI - Are Postgraduate Medical Residency Training Positions in Atlantic Canada Evenly
Distributed?
AB - Background The distribution of postgraduate medical training (residency)
positions in Canada is administered by medical schools and universities in
conjunction with individual provinces. In Atlantic Canada, the Maritime provinces
are considered a single unit under Dalhousie University in Nova Scotia (NS),
although distributed medical undergraduate education through Dalhousie and
Sherbrooke has enabled medical students to complete their entire course of study
in New Brunswick (NB). It is unclear if postgraduate medical education has been
distributed in a similar fashion in Atlantic Canada, particularly in New
Brunswick and Prince Edward Island (PE). Methods Data on the number of R1
residency positions was obtained from the Canadian Resident Matching Service
(CaRMS) database. The distribution of R1 positions was described and compared
nationally and through the Atlantic provinces. The analysis was completed using
MS Excel and Prism. Results Rates of R1 positions per million persons varied
widely; the national median rate was 97 positions per million persons, with a
range of 34 to 138. The combined Maritime provinces rate of R1 positions was 71
per million persons and the rate in Newfoundland (NL) was 138 positions per
million. The NS rate was 106 positions per million while the NB rate was 54 per
million and the PE rate 34 per million. Sixty-four percent of all residency
training positions in Atlantic Canada were based in the two most urban areas of
Halifax, NS or St John's, NL. Royal College (specialty) positions were more
likely to be based at the main university campus city than family medicine
training positions (97 vs. 3%; 33 vs. 67%, respectively). Conclusion There is a
high level of variation in available residency positions among the individual
provinces, especially in Atlantic Canada. The lower prevalence of opportunities
in NB and PE may influence the ability of these provinces to recruit and retain
new physicians.
PMID- 27200226
TI - Anterior Cervical Discectomy and Fusion (ACDF): Comparison Between Zero Profile
Implants and Anterior Cervical Plate and Spacer.
AB - INTRODUCTION: Interposition grafts combined with anterior plating currently
remain the gold standard for anterior cervical discectomy and fusion. The use of
anterior plates increases fusion rates but may be associated with higher rates of
postoperative dysphagia. The aim of the current study was to determine the
clinical and radiological outcomes following anterior cervical discectomy and
fusion (ACDF) using zero-profile anchored spacers versus standard interposition
grafts with anterior plating. METHODS: This was a retrospective case series. A
total of 53 male and 51 female consecutive patients (164 total operated levels)
who underwent ACDF between 2007 and 2011 were included. The mean clinical follow
up was 15.7 +/- 1.2 (SEM) months for patients with zero-profile implants and 14.8
+/- 2.1 months for patients with conventional ACDF with anterior plating. Patient
demographics, operative details, clinical outcomes, complications, and
radiographic imaging were reviewed. Dysphagia was determined using the Bazaz
criteria. RESULTS: Clinical outcome scores improved in both groups as measured by
the modified Japanese Orthopedic Association and Nurick scores. Zero-profile
constructs gave rise to significantly less prevertebral soft tissue swelling
compared to constructs with anterior plates postoperatively (15.74 +/- 0.52 as
compared to 20.48 +/- 0.85 mm, p < 0.001) and at the latest follow-up (10.88 +/-
0.39 mm vs. 13.72 +/- 0.67 mm, p < 0.001). There was a significant difference in
the incidence of dysphagia at the latest follow-up (1.5% vs. 20%, p=0.001, zero
profile vs. anterior plate, respectively). CONCLUSION: Zero-profile implants lead
to functional outcomes similar to standard anterior plate constructs. Avoiding
the use of an anterior locking plate may decrease the risk of persistent
postoperative dysphagia.
PMID- 27200228
TI - Nipple Is Not Elevated with Breast Augmentation.
PMID- 27200229
TI - Body Contouring: The Success of the Androgynous Model.
PMID- 27200225
TI - Design, Synthesis, and Chemical and Biological Properties of Cyclic ADP-4
Thioribose as a Stable Equivalent of Cyclic ADP-Ribose.
AB - Here we describe the successful synthesis of cyclic ADP-4-thioribose (cADPtR, 3),
designed as a stable mimic of cyclic ADP-ribose (cADPR, 1), a Ca2+-mobilizing
second messenger, in which the key N1-beta-thioribosyladenosine structure was
stereoselectively constructed by condensation between the imidazole nucleoside
derivative 8 and the 4-thioribosylamine 7 via equilibrium in 7 between the alpha
anomer (7alpha) and the beta-anomer (7beta) during the reaction course. cADPtR
is, unlike cADPR, chemically and biologically stable, while it effectively
mobilizes intracellular Ca2+ like cADPR in various biological systems, such as
sea urchin homogenate, NG108-15 neuronal cells, and Jurkat T-lymphocytes. Thus,
cADPtR is a stable equivalent of cADPR, which can be useful as a biological tool
for investigating cADPR-mediated Ca2+-mobilizing pathways.
PMID- 27200230
TI - Masseteric Nerve as "Baby Sitter" Procedure in Incomplete Facial Paralysis.
AB - Supplemental Digital Content is available in the text.
PMID- 27200231
TI - Combined Use of the Latissimus Dorsi Musculocutaneous Flap and the Anterolateral
Thigh Flap to Reconstruct an Extensive Shoulder Defect in an NF-1 Patient.
AB - Soft tissue coverage after the resection of a large malignant peripheral neural
sheath tumor (MPNST) is a challenge. We report the successful reconstruction of
an extensive shoulder defect after MPNST resection in a patient with a type 1
neurofibromatosis with a novel combination of flaps. A 70-year-old man with type
1 neurofibromatosis presented with a recurrent MPNST on his right shoulder. He
underwent a wide excision of the tumor, which resulted in a huge soft tissue
defect around the shoulder joint. The resultant defect was reconstructed with a
pedicled latissimus dorsi musculocutaneous flap and a free anterolateral thigh
flap. The flaps survived, and the wounds healed uneventfully. His affected arm
was useful. The combination of a pedicled latissimus dorsi musculocutaneous flap
and a free anterolateral thigh flap is a versatile option for the reconstruction
of an extensive shoulder defect.
PMID- 27200232
TI - Scalp Medical Tattooing Technique to Camouflage Bifid Parietal Whorls.
AB - BACKGROUND: To the best of the authors' knowledge, no reports have described
cosmetic problems arising from the hair direction around the parietal whorl (PW).
This study was performed to evaluate the efficacy of scalp medical tattooing
technique for camouflaging bifid PWs. METHODS: We retrospectively examined the
outcomes of scalp medical tattooing in 38 patients who were admitted for
camouflage of a bifid PW. RESULTS: All patients' cosmetic appearance was judged,
by both the patients and the surgeon, to be markedly improved. No specific
complications occurred, such as infection, hair loss in the operative field, or
other problems. CONCLUSION: Scalp medical tattooing appears to be an effective
method that helps to camouflage the see-through appearance of bifid PWs.
PMID- 27200233
TI - 30-year International Pediatric Craniofacial Surgery Partnership: Evolution from
the "Third World" Forward.
AB - BACKGROUND: Craniofacial diseases constitute an important component of the
surgical disease burden in low- and middle-income countries. The consideration to
introduce craniofacial surgery into such settings poses different questions,
risks, and challenges compared with cleft or other forms of plastic surgery. We
report the evolution, innovations, and challenges of a 30-year international
craniofacial surgery partnership. METHODS: We retrospectively report a
partnership between surgeons at the Uniwersytecki Szpital Dzieciecy in Krakow,
Poland, and a North American craniofacial surgeon. We studied patient conditions,
treatment patterns, and associated complications, as well as program advancements
and limitations as perceived by surgeons, patient families, and hospital
administrators. RESULTS: Since partnership inception in 1986, the complexity of
cases performed increased gradually, with the first intracranial case performed
in 1995. In the most recent 10-year period (2006-2015), 85 patients have been
evaluated, with most common diagnoses of Apert syndrome, Crouzon syndrome, and
single-suture craniosynostosis. In the same period, 55 major surgical procedures
have been undertaken, with LeFort III midface distraction, posterior vault
distraction, and frontoorbital advancement performed most frequently. Key
innovations have been the employment of craniofacial distraction osteogenesis,
the use of Internet communication and digital photography, and increased
understanding of how craniofacial morphology may improve in the absence of
surgical intervention. Ongoing challenges include prohibitive training pathways
for pediatric plastic surgeons, difficulty in coordinating care with surgeons in
other institutions, and limited medical and material resources. CONCLUSION: Safe
craniofacial surgery can be introduced and sustained in a resource-limited
setting through an international partnership.
PMID- 27200234
TI - No-drain DIEP Flap Donor-site Closure Using Barbed Progressive Tension Sutures.
AB - BACKGROUND: The use of progressive tension sutures has been shown to be
comparable to the use of abdominal drains in abdominoplasty. However, the use of
barbed progressive tension sutures (B-PTSs) in deep inferior epigastric artery
perforator (DIEP) flap donor-site closure has not been investigated. METHODS: A
retrospective chart review was performed on patients with DIEP flap
reconstruction in a 3-year period at 2 institutions by 2 surgeons. Patients were
compared by method of DIEP donor-site closure. Group 1 had barbed running
progressive tension sutures without drain placement. Group 2 had interrupted
progressive tension closure with abdominal drain placement (PTS-AD). Group 3 had
closure with only abdominal drain placement (AD). Data collected included
demographics, perioperative data, and postoperative outcomes. RESULTS: Seventy
five patients underwent DIEP reconstruction (25 B-PTS, 25 PTS-AD, and 25 AD).
Patient characteristics-age, body mass index, comorbidities, smoking status, and
chemotherapy-were not significantly different between groups. Rate of seroma was
1.3% (B-PTS = 0%, PTS-AD = 4%, AD = 0%), wound dehiscence 16% (B-PTS = 8%, PTS-AD
= 16%, AD = 24%), and umbilical necrosis 5.3% (B-PTS = 0%, PTS-AD = 0%, AD =
16%). No hematomas were observed in any patients. No statistically significant
difference was found between complication rates across groups. CONCLUSIONS: Use
of B-PTSs for abdominal closure after DIEP flap harvest can obviate the need for
abdominal drains. Complication rates following this technique are not
significantly different from closure using progressive tension suture and
abdominal drain placement. This practice can prevent the use of abdominal drains,
which can promote patient mobility, increase independence upon discharge, and
contribute to patient satisfaction.
PMID- 27200235
TI - Unusual Vascular Supply to Extensor Digitorum Brevis.
AB - Lower limb reconstruction after a traumatic event has always been a challenge for
plastic surgeons. The reliability of vessel integrity postinjury often drives us
away from a local reconstructive option. With the advancement of computed
tomography angiogram, it can not only demonstrate the continuation of major
vessels but also helps to map the vascular supply at a perforator level. We
hereby report an incidentally identified anatomical variant with dual dominant
blood supply to the extensor digitorum brevis muscle from an extra branch of
anterior tibial artery originated at midtibial level. This variant was picked up
preoperatively by computed tomography angiogram and confirmed intraoperatively to
be one of the dominant supply. We took advantage of this unusual anatomy by
basing our reconstruction on this branch and hence spared the need to terminalize
distal dorsalis pedis artery.
PMID- 27200236
TI - Pigmented Villonodular Synovitis of the Temporomandibular Joint: A Unique
Presentation.
AB - Pigmented villonodular synovitis (PVNS) is a rare and benign proliferative
disorder of synovium with potentially locally aggressive growth and invasion of
the bone. Occurring within the joints, tendon sheaths, and bursae, it is most
commonly a monoarticular disease affecting large joints. In particular, most
cases of PVNS occur in the knee. PVNS of the temporomandibular joint (TMJ) is a
highly rare disorder, with approximately 60 cases reported. Herein, we present a
unique case of an elderly male presenting with ear pain and subsequently
diagnosed with PVNS of the TMJ with a history of trauma to the area. Initial
imaging of the TMJ and the surrounding region looked concerning for invasive
and/or malignant disease, but an open biopsy confirmed PVNS.
PMID- 27200237
TI - Lateral Approach to the Popliteal Artery for Free Tissue Transfer to the Lower
Extremity.
AB - Free tissue transfer to the proximal leg and knee requires appropriate recipient
vessel selection. The popliteal vessels have historically been unpopular choices,
due to their remote location often necessitating vein grafts, and need for prone
positioning. In this report, we describe a lateral approach to the above-knee
popliteal vessels, which was utilized for 2 cases of free tissue transfer to the
lower extremity. Neither prone positioning nor vein grafts were needed. The
lateral approach to the above-knee popliteal vessels and their branches is a
viable option for the otherwise recipient vessel-depleted lower extremity.
PMID- 27200238
TI - Orthognathic Consequences of Sphincter Pharyngoplasty in Cleft Patients: A 2
Institutional Study.
AB - BACKGROUND: Understanding long-term sequelae of cleft treatment is paramount in
the refinement of treatment algorithms to accomplish optimized immediate and long
term outcomes. In this study, we reviewed sphincter pharyngoplasties as a method
of velopharyngeal insufficiency (VPI) treatment in relationship to orthognathic
surgery. METHODS: Cleft lip/palate and cleft palate patients, 15 years of age and
older, were reviewed for demographics, VPI surgery, revisions, and subsequent
orthognathic surgery at 2 institutions. Chi-square test, Student's t test, and
logistic regression analyses were performed. RESULTS: In 214 patients reviewed
(mean age, 19.5 years), 61.7% were male, 18.2% had isolated cleft palate, 61.2%
had unilateral cleft lip and palate, and 20.6% had bilateral cleft lip and
palate. A total of 33.6% were diagnosed with VPI and received a sphincter
pharyngoplasty (mean age, 11.9 years). When subsequent orthognathic surgery was
examined, sphincter pharyngoplasty was not associated with maxillary advancement
(P = 0.59) but did correlate with an increase in mandibular surgery from 2.8% to
11.1% (P = 0.02). The indications for mandibular surgery in the pharyngoplasty
population were related to congenital micrognathia. When cephalometric analyses
were evaluated, sphincter pharyngoplasty resulted in a decreased sella-to-nasion
to-B point angle (mean, 79.0-76.3 degrees, P = 0.02) and a higher incidence of
normal to class II maxillomandibular relationships as defined by A point-to
nasion-to-B point angles >0.5 (P = 0.02). CONCLUSIONS: Sphincter pharyngoplasty
decreases anterior mandibular growth and the discrepancy between
maxillomandibular skeletal relationships because of the frequent predisposition
of cleft patients to maxillary hypoplasia. In patients with congenital mandibular
micrognathia, a small increase in mandibular surgeries may occur.
PMID- 27200239
TI - Comparison of Shear-Wave and Strain Ultrasound Elastography for Evaluating Fat
Induration after Breast Reconstruction.
PMID- 27200240
TI - Decompression-Avulsion of the Auriculotemporal Nerve for Treatment of Migraines
and Chronic Headaches.
AB - Surgical decompression of peripheral branches of the trigeminal and occipital
nerves has been shown to alleviate migraine symptoms. Site II surgery involves
decompression of the zygomaticotemporal branch of the trigeminal nerve by the
technique developed by Guyuron. Failure of site II surgery may occur secondary to
an inability to recognize a second temporal trigger: site V, the auriculotemporal
nerve. A direct approach for site V has been used with no clear description in
the literature. Herein, we describe a safe and efficient method for
auriculotemporal nerve decompression during the Guyuron endoscopic approach.
Close attention to all temporal sites is necessary to avoid potential failure of
migraine decompression surgery.
PMID- 27200242
TI - Hyperbaric Oxygen following Bilateral Skin-sparing Mastectomies: A Case Report.
AB - We describe a case in which hyperbaric oxygen therapy was used to salvage
ischemic skin flaps after nipple-sparing mastectomy.
PMID- 27200241
TI - The BODY-Q: A Patient-Reported Outcome Instrument for Weight Loss and Body
Contouring Treatments.
AB - BACKGROUND: Body contouring performed for cosmetic purposes, or after weight
loss, has the potential to improve body image and health-related quality of life
(HRQL). The BODY-Q is a new patient-reported outcome (PRO) instrument designed to
measure patient perceptions of weight loss and/or body contouring. In this
article, we describe the psychometric properties of the BODY-Q scales after an
international field-test. METHODS: Weight loss and body contouring patients from
Canada, United States, and United Kingdom were recruited between November 2013
and February 2015. Data were collected using an iPad directly into a web-based
application or a questionnaire booklet. Rasch measurement theory analysis was
used for item reduction and to examine reliability, validity, and ability to
detect change. RESULTS: The sample included 403 weight loss and 331 body
contouring patients. Most BODY-Q items had ordered thresholds (134/138) and good
item fit. Scale reliability was acceptable, ie, Person separation index >0.70 for
16 scales, Cronbach alpha >=0.90 for 18 of 18 scales, and Test-retest >=0.87 for
17 of 18 scales. Appearance and HRQL scores were lower in participants with more
obesity-related symptoms, higher body mass index, and more excess skin and in
those pre- versus postoperative body contouring. The 134 weight loss patients who
completed the BODY-Q twice, either 6 weeks (weight loss/nonsurgical body
contouring program) or 6 months (bariatric program) later, improved significantly
on 7 appearance and 4 HRQL scales. CONCLUSION: The BODY-Q is a clinically
meaningful and scientifically sound patient-reported outcome instrument that can
be used to measure outcomes in patients who undergo weight loss and/or body
contouring.
PMID- 27200243
TI - Chen's Double Eyelid Fold Ratio.
AB - BACKGROUND: Double eyelidplasty can construct palpebral folds and enhance beauty
perception for Asians with single eyelids. A new palpebral parameter for the
quantitative interpretation of surgical outcomes is proposed on the basis of a
photometric study of the altered proportions of Asian eyes after double eyelid
operation. METHODS: A total of 100 Asian adults with single upper eyelids who
were satisfied with the enlarged eyes by operation were included in the study. A
retrospective measurement of palpebral parameters in the frontal profile both
preoperatively and 6 months postoperatively was performed. The proportions of
various parameters in the eyebrow-eye aesthetic unit were calculated and
analyzed. RESULTS: Double eyelidplasty can augment the vertical dimension of
palpebral fissure by 27.9% increase on average. The vertical ratio of palpebral
fissure to the eyebrow-eye unit is augmented by 34.4% increase. The vertical
ratio of the subunit below double eyelid fold peak to the unit is augmented by
82.6% increase. CONCLUSIONS: Double eyelidplasty can substantially enlarge the
vertical dimensions of the eyes of Asians with single eyelids. The eyes are
perceived to be larger because of the visually assimilated illusion of the
superimposed eyelid fold and the relative proportions of the eyebrow-eye unit.
The authors propose using a vertical ratio of the subunit below double eyelid
fold peak in the eyebrow-eye unit to measure the visually perceived proportion of
the eye in the unit. This ratio can be applied clinically for a quantitative
evaluation of the surgical outcome after double eyelidplasty.
PMID- 27200244
TI - Extracorporeal Free Flap Perfusion in Case of Prolonged Ischemia Time.
AB - In free flap surgery, a clinically established concept still has to be found for
the reduction of ischemia-related cell damage in the case of prolonged ischemia.
Although promising results using extracorporeal free flap perfusion in the
laboratory have been published in the past, until now this concept has not yet
paved its way into clinical routine. This might be due to the complexity of
perfusion systems and a lack of standardized tools. Here, we want to present the
results of the first extracorporeal free flap perfusion in a clinical setting
using a simple approach without the application of a complex perfusion machinery.
PMID- 27200245
TI - Serious Vascular Complications after Nonsurgical Rhinoplasty: A Case Report.
AB - There has been an increased global demand for dermal filler injections in recent
years. Although hyaluronic acid-based dermal fillers generally have a good safety
profile, serious vascular complications have been reported. Here we present a
typical case of skin necrosis following a nonsurgical rhinoplasty using
hyaluronic acid filler. Despite various rescuing managements, unsightly
superficial scars were left. It is critical for plastic surgeons and
dermatologists to be familiar with the vascular anatomy and the staging of
vascular complications. Any patients suspected to experience a vascular
complication should receive early management under close monitoring. Meanwhile,
the potentially devastating outcome caused by illegal practice calls for stricter
regulations and law enforcement.
PMID- 27200246
TI - A 15-year-old Girl with an Asymmetric Hemitruncal Fat Distribution:
Hemihyperthrophy or Hemiatrophy?
AB - A 15-year-old girl presented to the pediatrician with complaints of excessive fat
distribution on the right side and breast asymmetry. At age 2, she had undergone
a left-sided nephrectomy because of a stage III Wilms tumor using a transverse
cut supraumbilical approach, followed by systemic chemotherapy and local
radiotherapy. In the case of trunk asymmetry, it is questionable which side is
deviant. The asymmetry may be an expression of isolated hemihyperthrophy,
syndromal hemihypertrophy related to the Wilms tumor, or an expression of left
sided hemiatrophy as a late consequence of radiotherapy, chemotherapy, or
surgery. Late clinical manifestations of childhood cancer treatment are difficult
to distinguish from other independent diseases but must be considered as
explanations for new onset of symptoms in adolescents.
PMID- 27200247
TI - Blepharoplasty with a Buried Double Twisted Suture Technique to Correct Upper
Eyelid Epiblepharon.
AB - BACKGROUND: The authors evaluated the clinical efficacy of blepharoplasty using a
newly developed, buried double twisted suture (BDTS) technique to correct upper
eyelid epiblepharon. METHODS: This retrospective study was conducted from October
2013 to July 2015 at the Oculoplastic Clinic, Bundang CHA Medical Center, CHA
University, Seongnam, Korea. A total of 122 eyes from 61 patients with upper
eyelid epiblepharon were enrolled. Blepharoplasty with a BDTS technique was
performed on all eyes, followed by evaluation of clinical outcomes and
complications. After surgery, success was defined as the persistence of a double
eyelid and the upper eyelid eyelashes not touching the cornea under slit lamp
examination. RESULTS: The 61 patients were composed of 29 females and 32 males.
The average age was 9.0 +/- 7.7 years, and the average follow-up period was 7.3
+/- 5.2 months. After surgery, the margin reflex distance increased from 2.0 +/-
1.0 to 3.2 +/- 0.9 mm (P < 0.05). The success rate was 98.1%. Epiblepharon
recurred in 1 patient (1.9%) and was treated after 2 months. Knot exposure was a
postoperative complication in 2 patients (3.8%). The knots were buried in the
deep layer under local anesthesia. Overall, all cases showed good results without
complications. CONCLUSIONS: Blepharoplasty with a BDTS technique is relatively
simple and effective for correction of epiblepharon with a low rate of
complications. This technique can be applied to double eyelid surgery based on
the excellent cosmetic outcomes.
PMID- 27200248
TI - Vascularized Nerve Bypass Graft: A Case Report of an Additional Treatment for
Poor Sensory Recovery.
AB - End-to-side neurorrhaphy has proven effective in basic research and in clinical
application. One of the methods of end-to-side neurorrhaphy, nerve bypass
technique, has been reported and axon regeneration has been proven. In clinical
application, the utility of the nerve bypass technique has been revealed in some
cases; however, these bypasses were performed using nonvascularized nerves. We
initially used the vascularized nerve bypass graft technique with the sural nerve
as a secondary clinical procedure after median nerve injury in a 61-year-old
patient and achieved motor and sensory nerve regeneration, as supported by a
nerve conduction study and clinical sensory test. This technique has the
potential to become one of the choices for salvage procedure of severe nerve
injury.
PMID- 27200249
TI - Entrapment of the Flexor Digitorum Profundus in the Callus after a Closed Distal
Radial Fracture.
AB - A 17-year-old boy sustained a closed distal radius fracture; a closed reduction
and external fixation was performed. After failed rehabilitation for digital
flexion restriction, a surgical exploration was decided, revealing entrapment of
flexor digitorum profundus in the bony callus; tendons were freed, obtaining a
full range of motion.
PMID- 27200250
TI - Novel Technique for Sampling of Breast Implant-associated Seroma in Anaplastic
Large Cell Lymphoma.
AB - We describe a novel technique for the sampling of breast implant-associated
seroma. Using a blunt-tip lipofilling cannula, we have the freedom of movement to
sample all fluid collections and prevent the misfortunes of damaging the implant.
Also, we have demonstrated the inability of the Coleman style I lipofilling
cannula to perforate a silicone breast implant. This practical and reliable
technique will prove to be useful in managing the breast implant-associated
seroma, especially with the rising incidence of the anaplastic large cell
lymphoma, where the sampling of seroma is mandatory.
PMID- 27200251
TI - Three-dimensional Printing of Models of Cleft Lip and Palate.
AB - Supplemental Digital Content is available in the text.
PMID- 27200252
TI - FTSG on the Face: Do We Really Need Tie-over Dressings or Quilting Sutures?
PMID- 27200253
TI - Our Experience with 131 Cases of Simultaneous Breast Implant Exhange with Fat
(SIEF).
AB - BACKGROUND: Breast augmentation with fat injection is a growing trend in Japan.
Many Japanese patients experiencing breast implant complications are requesting
to have their breast implants removed and simultaneously exchanged with
autologous fat injection. The keys of our simultaneous implant exchange with fat
(SIEF) process are to embrace the "Coleman technique" and to carefully detach
implant capsules when removing breast implants. Furthermore, we carefully inject
fat to avoid necrosis. METHODS: Between January 2010 and January 2015, we
investigated consecutively 131 Japanese patients whom we could follow up for over
6 months postsurgery. We ascertained the usefulness of SIEF by assessing changes
in breast size, complications, and a satisfaction survey. RESULTS: There were no
serious complications. We had experienced mild complications in 9.2% of patients
(12/131). Partial fat necrosis with inflammation occurred in 2.3% of patients
(3/131), seroma in the capsule in 3.1% (4/131), complaints of nodules (cysts,
lumps) in 3.1% (4/131), and 1 hematoma patient (0.8%). At 6 months after surgery
(n = 131), breast cup size (by Japanese Industrial Standards) had decreased by
less than 1 cup size, despite SIEF. At 6 months, we performed a postsurgery
satisfaction survey, and only 4% of the patients (5/131) were not satisfied with
their surgery results. CONCLUSIONS: SIEF is a very safe and effective procedure.
Breast implants removed with a low invasive traumatic approach and centrifuged
fat injected with the "Coleman technique" are important principles in our
practice. Furthermore, avoiding fat necrosis is one of the most important
considerations, and our SIEF approach allows us to better control this potential
complication during the surgical process.
PMID- 27200254
TI - Masseter Atrophication after Masseteric Nerve Transfer. Is It Negligible?
AB - Supplemental Digital Content is available in the text.
PMID- 27200255
TI - Reconstruction of Extensive Volar Finger Defects with Double Cross-Finger Flaps.
AB - Cross-finger flaps still represent a viable option to reconstruct small- to
medium-sized full-thickness finger defects but they are not commonly used if
larger areas have to be covered. We present 2 cases showing a simple and
pragmatic approach with homodigital double cross-finger flaps to reconstruct
extensive volar finger soft-tissue defects. We observed very low donor-site
morbidity and excellent functional and aesthetic outcomes. Furthermore, there is
no need for microsurgical techniques or equipment when using this method.
Although this case report only addresses volar defects, one might also think of
applying this concept to dorsal defects using reversed double cross-finger flaps.
PMID- 27200257
TI - Switch Flap for Upper Eyelid Reconstruction-How Soon Should the Flap Be Divided?
AB - BACKGROUND: The results of a cohort of patients treated at one institution for
upper eyelid reconstruction with the switch flap method after a defect due to
excision of malignant tumor were reviewed. METHODS: A retrospective data file
review of all patients who had undergone total upper eyelid reconstruction with
the switch flap method was conducted at the Saga University Hospital between
April 2000 and October 2014. The follow-up lasted for varying periods during
which the preoperative and postoperative photographs were compared as well.
RESULTS: A total of 10 patients with upper eyelid tumors, that is, 7 sebaceous
carcinoma, 2 squamous cell carcinoma, and 1 basal cell carcinoma, underwent
reconstructive surgery. With the switch flap technique, the defects resulting
from tumor excision were completely covered in all cases. The mean of defect
widths after tumor excision (A) was 18.8 mm (range, 15-25 mm), the mean of widths
of switch flaps (B) was 13.3 mm (range, 8-22 mm), and the mean of B/A ratios was
0.69 (range, 0.5-0.88). When the switch flap was divided at 7 to 14 days, there
was no flap loss, trichiasis, or corneal ulcer. CONCLUSION: Our protocol managed
to make flaps with a B/A ratio of 0.5-0.7, and the flaps were divided at 7 to 14
days after surgery, the timing of which was much earlier than in the conventional
method, lessening the possibility of complications.
PMID- 27200256
TI - Patient Expectations of Bariatric and Body Contouring Surgery.
AB - BACKGROUND: Patient expectations are important in bariatric and body contouring
surgery because the goals include improvements in health-related quality of life,
appearance, and body image. The aim of this study was to identify patient
expectations along the weight loss journey and/or body contouring surgery.
METHODS: This qualitative study took an interpretive description approach.
Between September 2009 and February 2012, 49 patients were interviewed postbody
contouring surgery. Data were analyzed using a line-by-line approach whereby
expectations were identified and labeled as expected, unexpected, or neutral.
Constant comparison was used to ensure coding was done consistently. Interviews
continued until no new themes emerged. RESULTS: Participants described
expectations according to appearance, health-related quality of life, and patient
experience of care. Two areas stood out in terms of unmet expectations and
included appearance and physical health, ie, recovery from body contouring
surgery. Most participants, who underwent bariatric surgery, expected neither the
extent of excess skin after weight loss nor how the excess skin would make them
look and feel. For recovery, participants did not expect that it would be as long
or as hard as it was in reality. CONCLUSIONS: A full understanding of outcomes
and expectations for this patient population is needed to enhance patient
education and improve shared medical decision making. Education materials should
be informed by the collection of evidence-based patient-reported outcome
information using measures such as the BODY-Q. A patient-reported outcome scale
measuring patient expectations is needed for obese and bariatric patients.
PMID- 27200258
TI - Aesthetic Total Reconstruction of Lower Eyelid Using Scapha Cartilage Graft on a
Vascularized Propeller Flap.
AB - BACKGROUND: The aim of this study was to review the results of a cohort of
patients based on our experience with a new technique for total lower eyelid
reconstruction after a large defect caused by malignant tumor and trauma. A
scapha cartilage graft with small skin on a vascularized propeller flap was used
for 16 cases requiring lower eyelid reconstruction. METHODS: Patients were
identified from a database, and a retrospective case note review was conducted.
The scapha cartilage graft was sutured to the margin of the defect of the
palpebral conjunctiva and tarsus. The propeller flap, rotated by a perforator
based lateral orbital flap or a subcutaneous-based nasolabial flap, was
vascularized on the scapha cartilage graft as anterior lining of the lower
eyelid. The follow-up, including results of slit-lamp examination, lasted for
varying periods, but often it was for 12 months. RESULTS: The scapha cartilage
graft with small skin on a vascularized propeller flap was viable in all cases.
Slit-lamp examination detected no irritation or injury of the conjunctiva and
cornea, and visual acuity was maintained in all cases. A deformity in the donor
helix by this technique was also improved by getting a smaller skin harvested
from the scapha. CONCLUSION: Use of the scapha cartilage graft with small skin on
a vascularized propeller flap allows for a good fit to the orbit, short operative
time under local anesthesia, good graft viability, and a good esthetic result
with minimal donor site morbidity.
PMID- 27200260
TI - Immune effects and antiacetylcholinesterase activity of Polygonum hydropiper L.
AB - To determine the potential utility of Polygonum hydropiper (tade) as an anti
dementia functional food, the present study assessed the acetylcholinesterase
inhibitory and anti-inflammatory activities of tade crude extracts in human
cells. Crude extracts of tade were obtained by homogenizing tade in distilled
water and then heating the resulting crude extracts. The hot aqueous extracts
were purified by centrifugation and freeze-dried. The inhibition of
acetylcholinesterase (AChE) by tade was investigated quantitatively by Ellman's
method. Furthermore, the in vitro effects on human leukocytes (phagocytic
activity, phagosome-lysosome fusion, and superoxide anion release) of coating
inactive Staphylococcus aureus cells with tade crude extracts were studied. The
tade crude extracts inhibited AChE activity. Furthermore, they increased
phagocytic activity and phagosome-lysosome fusion in human neutrophils and
monocytes in a nominally dose-dependent manner. However, the tade crude extracts
did not alter superoxide anion release (O2 (-)) from neutrophils. Our results
confirmed that crude extracts of P. hydropiper exhibit antiacetylcholinesterase
and immunostimulation activities in vitro. P. hydropiper thus is a candidate
functional food for the prevention of dementia.
PMID- 27200261
TI - Perioperative supplementation with bifidobacteria improves postoperative
nutritional recovery, inflammatory response, and fecal microbiota in patients
undergoing colorectal surgery: a prospective, randomized clinical trial.
AB - The use of probiotics has been widely documented to benefit human health, but
their clinical value in surgical patients remains unclear. The present study
investigated the effect of perioperative oral administration of probiotic
bifidobacteria to patients undergoing colorectal surgery. Sixty patients
undergoing colorectal resection were randomized to two groups prior to resection.
One group (n=31) received a probiotic supplement, Bifidobacterium longum BB536,
preoperatively for 7-14 days and postoperatively for 14 days, while the other
group (n=29) received no intervention as a control. The occurrences of
postoperative infectious complications were recorded. Blood and fecal samples
were collected before and after surgery. No significant difference was found in
the incidence of postoperative infectious complications and duration of hospital
stay between the two groups. In comparison to the control group, the probiotic
group tended to have higher postoperative levels of erythrocytes, hemoglobin,
lymphocytes, total protein, and albumin and lower levels of high sensitive C
reactive proteins. Postoperatively, the proportions of fecal bacteria changed
significantly; Actinobacteria increased in the probiotic group, Bacteroidetes and
Proteobacteria increased in the control group, and Firmicutes decreased in both
groups. Significant correlations were found between the proportions of fecal
bacteria and blood parameters; Actinobacteria correlated negatively with blood
inflammatory parameters, while Bacteroidetes and Proteobacteria correlated
positively with blood inflammatory parameters. In the subgroup of patients who
received preoperative chemoradiotherapy treatment, the duration of hospital stay
was significantly shortened upon probiotic intervention. These results suggest
that perioperative oral administration of bifidobacteria may contribute to a
balanced intestinal microbiota and attenuated postoperative inflammatory
responses, which may subsequently promote a healthy recovery after colorectal
resection.
PMID- 27200259
TI - Paneth cell alpha-defensins and enteric microbiota in health and disease.
AB - Antimicrobial peptides are major effectors of innate immunity of multicellular
organisms including humans and play a critical role in host defense, and their
importance is widely recognized. The epithelium of the intestine is the largest
surface area exposed to the outer environment, including pathogens, toxins and
foods. The Paneth cell lineage of intestinal epithelial cells produces and
secretes alpha-defensin antimicrobial peptides and functions in innate enteric
immunity by removing pathogens and living symbiotically with commensal microbiota
to contribute to intestinal homeostasis. Paneth cells secrete alpha-defensins,
HD5 and HD6 in humans and cryptdins in mice, in response to bacterial,
cholinergic and other stimuli. The alpha-defensins have selective activities
against bacteria, eliciting potent microbicidal activities against pathogenic
bacteria but minimal or no bactericidal activity against commensal bacteria.
Therefore, alpha-defensins regulate the composition of the intestinal microbiota
in vivo and play a role in homeostasis of the entire intestine. Recently,
relationships between dysbiosis, or abnormal composition of the intestinal
microbiota, and diseases such as inflammatory bowel disease and lifestyle
diseases including obesity and atherosclerosis have been reported. Because alpha
defensins regulate the composition of the intestinal microbiota, Paneth cells and
their alpha-defensins may have a key role as one mechanism linking the microbiota
and disease.
PMID- 27200263
TI - A comparative study of bifidobacteria in human babies and adults.
AB - The composition and diversity of the gut microbiota are known to be different
between babies and adults. The aim of this project was to compare the level of
bifidobacteria between babies and adults and to investigate the influence of
lifestyle factors on the level of this bacterium in the gut. During this study,
the levels of bifidobacteria in 10 human babies below 2 years of age were
compared with that of 10 human adults above 40 years. The level of bifidobacteria
proved to be significantly higher in babies in comparison with adults. This
investigation concluded that a combination of several factors, such as age, diet,
and BMI, has an important effect on the level of bifidobacteria in adults, while
in babies, a combination of diet and age may influence the level of intestinal
bifidobacteria.
PMID- 27200262
TI - Oral administration of fermented milk supplemented with synbiotics can influence
the physiological condition of Wistar rats in a dose-sensitive and sex-specific
manner.
AB - Fermented milk supplemented with two probiotic strains (Bifidobacterium lactis Bi
07 and Lactobacillus acidophilus NCFM) and a prebiotic (isomaltooligosaccharide)
was orally administered to Wistar rats for 30 days using three dosages. A
commercial yogurt was used as a placebo. After treatment, the total protein,
hemoglobin, and albumin levels in serum were significantly increased in female
rats compared with those in the control group (p<0.05), whereas no significant
change occurred in the male rats. A significant decrease in serum glucose levels
was observed in male rats administered a low dosage of the tested fermented milk
(p<0.05). The serum triglyceride level was significantly decreased in both male
and female rats (p<0.05). No significant differences were found between rats
groups in body weight, food intake, food utilization rate, red blood cell counts,
white blood cell counts, alanine aminotransferase, aspartate aminotransferase,
urea nitrogen, creatinine, and total cholesterol. These results suggest that the
fermented milk supplemented with synbiotics altered the nutritive status of the
host animal and contributed to their health. However, such potent health
promoting effects could be deeply associated with the dose and sex specific.
Therefore, different physiological targets and population characteristics should
be managed with different combinations of probiotics and prebiotics.
PMID- 27200265
TI - Variability in baseline travel behaviour as a predictor of changes in commuting
by active travel, car and public transport: a natural experimental study.
AB - PURPOSE: To strengthen our understanding of the impact of baseline variability in
mode choice on the likelihood of travel behaviour change. METHODS: Quasi
experimental analyses in a cohort study of 450 commuters exposed to a new guided
busway with a path for walking and cycling in Cambridge, UK. Exposure to the
intervention was defined using the shortest network distance from each
participant's home to the busway. Variability in commuter travel behaviour at
baseline was defined using the Herfindahl-Hirschman Index, the number of
different modes of transport used over a week, and the proportion of trips made
by the main (combination of) mode(s). The outcomes were changes in the share of
commute trips (i) involving any active travel, (ii) involving any public
transport, and (iii) made entirely by car. Variability and change data were
derived from a self-reported seven-day record collected before (2009) and after
(2012) the intervention. Separate multinomial regression models were estimated to
assess the influence of baseline variability on behaviour change, both
independently and as an interaction effect with exposure to the intervention.
RESULTS: All three measures of variability predicted changes in mode share in
most models. The effect size for the intervention was slightly strengthened after
including variability. Commuters with higher baseline variability were more
likely to increase their active mode share (e.g. for HHI: relative risk ratio
[RRR] for interaction 3.34, 95% CI 1.41, 7.89) and decrease their car mode share
in response to the intervention (e.g. for HHI: RRR 7.50, 95% CI 2.52, 22.34).
CONCLUSIONS: People reporting a higher level of variability in mode choice were
more likely to change their travel behaviour following an intervention. Future
research should consider such variability as a potential predictor and effect
modifier of travel and physical activity behaviour change, and its significance
for the design and targeting of interventions.
PMID- 27200264
TI - The application of a mathematical model linking structural and functional
connectomes in severe brain injury.
AB - Following severe injuries that result in disorders of consciousness, recovery can
occur over many months or years post-injury. While post-injury synaptogenesis,
axonal sprouting and functional reorganization are known to occur, the network
level processes underlying recovery are poorly understood. Here, we test a
network-level functional rerouting hypothesis in recovery of patients with
disorders of consciousness following severe brain injury. This hypothesis states
that the brain recovers from injury by restoring normal functional connections
via alternate structural pathways that circumvent impaired white matter
connections. The so-called network diffusion model, which relates an individual's
structural and functional connectomes by assuming that functional activation
diffuses along structural pathways, is used here to capture this functional
rerouting. We jointly examined functional and structural connectomes extracted
from MRIs of 12 healthy and 16 brain-injured subjects. Connectome properties were
quantified via graph theoretic measures and network diffusion model parameters.
While a few graph metrics showed groupwise differences, they did not correlate
with patients' level of consciousness as measured by the Coma Recovery Scale -
Revised. There was, however, a strong and significant partial Pearson's
correlation (accounting for age and years post-injury) between level of
consciousness and network diffusion model propagation time (r = 0.76, p < 0.05,
corrected), i.e. the time functional activation spends traversing the structural
network. We concluded that functional rerouting via alternate (and less
efficient) pathways leads to increases in network diffusion model propagation
time. Simulations of injury and recovery in healthy connectomes confirmed these
results. This work establishes the feasibility for using the network diffusion
model to capture network-level mechanisms in recovery of consciousness after
severe brain injury.
PMID- 27200266
TI - Association of TLR and TREM-1 gene polymorphisms with atherosclerosis severity in
a Russian population.
AB - Local vascular immune response is primarily initiated via Toll-like receptors
(TLRs) and triggering receptor expressed on myeloid cells-1 (TREM-1). We
previously showed that certain TLR and TREM-1 gene polymorphisms are associated
with coronary artery disease (CAD). Therefore, we hypothesized that these gene
polymorphisms are associated with atherosclerosis severity. This study included
292 consecutive patients with CAD who were admitted to the Research Institute for
Complex Issues of Cardiovascular Diseases (Kemerovo, Russian Federation) during
2011-2012. Sample genotyping was performed in 96-well format using the TaqMan SNP
genotyping assay. We found that C/C genotype of the rs3804099 polymorphism within
TLR2 gene and T/T genotype of the rs4711668 polymorphism within TREM-1 gene were
significantly associated with severe coronary atherosclerosis while C allele of
the rs5743551 polymorphism within TLR1 gene, A/G genotype of the rs4986790
polymorphism and C/T genotype of the rs4986791 polymorphism within TLR4 gene, and
C allele of the rs3775073 polymorphism within TLR6 gene were significantly
associated with severe noncoronary atherosclerosis. However, A/A genotype of the
rs5743810 polymorphism within TLR6 gene was significantly associated with mild
noncoronary atherosclerosis. We conclude that certain TLR and TREM-1 gene
polymorphisms are significantly associated with atherosclerosis severity in a
Russian population.
PMID- 27200267
TI - Influence of cytokine and cytokine receptor gene polymorphisms on the degree of
liver damage in patients with chronic hepatitis C.
AB - Hepatic fibrosis may be the result of repetitive injury to hepatocytes caused by
HCV infection and the immune response to it. Cytokines regulate the inflammatory
response to injury and modulate hepatic fibrogenesis. Single nucleotide
polymorphisms (SNPs) located in cytokine genes may influence the cytokine
expression and secretion that may contribute to hepatic fibrogenesis in HCV
infection. The aim of this study was to determine the genotype of 22 SNPs found
in the genes of 13 cytokines/cytokine receptors to assess the influence of
polymorphic variants on the stage of liver damage in Brazilian patients
chronically infected with HCV genotype 1 only. 141 unrelated patients were
grouped according to their stage of fibrosis: absence of fibrosis or patients in
the initial stages of fibrosis (F0-F2, n = 84), patients with advanced stages of
fibrosis or cirrhosis (F3-F4, n = 57), without cirrhosis (F0-F3, n = 103), and
with cirrhosis (F4, n = 38). The comparison of frequencies in each sub-sample was
performed by 2 * 2 contingency tables using the chi-square or Fisher's exact
test. Stepwise logistic regression was also used to assess independent
associations between cirrhosis or fibrosis with polymorphic variants. The TNFA
308G:A genotype conferred increased risk of fibrosis and cirrhosis. The TNFA
238G:G genotype was associated with protection from cirrhosis. The IL10-819C:T
genotype conferred protection from fibrosis and the IL1B-511C:T genotype
conferred increased risk of cirrhosis. Some of these genotypes showed results on
the borderline of statistical significance in the bivariate analysis. We conclude
that gene variants of cytokines/receptors may influence liver damage in patients
chronically infected by HCV genotype 1.
PMID- 27200268
TI - Inflammation-associated changes in lipid composition and the organization of the
erythrocyte membrane.
AB - BACKGROUND: Reduced erythrocyte survival and deformability may contribute to the
so-called anemia of inflammation observed in septic patients. Erythrocyte
structure and function are affected by both the membrane lipid composition and
the organization. We therefore aimed to determine whether these parameters are
affected during systemic inflammation. METHODS: A sensitive matrix-assisted laser
desorption and ionization time-of-flight mass spectrometric method was used to
investigate the effect of plasma components of 10 patients with septic shock and
of 10 healthy volunteers subjected to experimental endotoxemia on erythrocyte
membrane lipid composition. RESULTS: Incubation of erythrocytes from healthy
control donors with plasma from patients with septic shock resulted in membrane
phosphatidylcholine hydrolysis into lysophosphatidylcholine (LPC). Plasma from
volunteers undergoing experimental human endotoxemia did not induce LPC
formation. The secretory phospholipase A2 IIA concentration was enhanced up to
200-fold in plasma of septic patients and plasma from endotoxin-treated subjects,
but did not correlate with the ability of these plasmas to generate LPC.
Erythrocyte phosphatidylserine exposure increased up to two-fold during
experimental endotoxemia. CONCLUSIONS: Erythrocyte membrane lipid remodeling as
reflected by LPC formation and/or PS exposure occurs during systemic inflammation
in a secretory phospholipase A2 IIA-independent manner. GENERAL SIGNIFICANCE:
Sepsis-associated inflammation induces a lipid remodeling of the erythrocyte
membrane that is likely to affect erythrocyte function and survival, and that is
not fully mimicked by experimental endotoxemia.
PMID- 27200269
TI - Comparison of rapid solvent extraction systems for the GC-MS/MS characterization
of polycyclic aromatic hydrocarbons in aged, contaminated soil.
AB - Polycyclic aromatic hydrocarbons (PAHs) are a major class of organic hydrocarbons
with high molecular weight that originate from both natural and anthropogenic
sources. Sixteen PAHs are included in the U.S Environmental Protection agency
list of priority pollutants due to their mutagenic, carcinogenic, toxic and
teratogenic properties. In this study, the development and optimization of a
simplified and rapid solvent extraction for the characterisation of 16 USEPA
priority poly aromatic hydrocarbons (PAHs) in aged contaminated soils was
established with subsequent analysis by GC-MS/MS. *Five different extraction
solvent systems: dichloromethane: acetone, chloroform: methanol, dichloromethane,
acetone: hexane and hexane were assessed in terms of their ability to extract
PAHs from aged PAH-contaminated soils.*Highest PAH concentrations were extracted
using acetone: hexane and chloroform: methanol. Given the greater toxicity
associated with chloroform: methanol, acetone: hexane appears the best choice of
solvent extraction system.*This protocol enables efficient extraction of PAHs
from aged weathered soils.
PMID- 27200271
TI - First molecular evidence of Toxoplasma gondii in opossums (Didelphis virginiana)
from Yucatan, Mexico.
AB - Toxoplasma gondii is an obligate intracellular parasite recognized as a causal
agent of toxoplasmosis; zoonotic disease endemic in many countries worldwide,
including Mexico. Different species of animals participate in the wild cycle
infection, including opossums of the species Didelphis virginiana. Thirteen D.
virginiana were captured in Yucatan, Mexico. Detection of T. gondii was achieved
by Polymerase Chain Reaction, which determined an infection of 76.9% (10/13) in
brains. Positive amplicons were sequenced for analysis, this produced results
similar to T. gondii with identity and coverage values of 98% and 96-100%,
respectively. This study presents the first molecular evidence of the circulation
of T. gondii in D. virginiana from Mexico.
PMID- 27200272
TI - Anaesthetic management of a unilateral adrenalectomy of an adrenocortical tumour
in a dog.
AB - Adrenalectomies in dogs are being more commonly performed, however anaesthetic
management of such cases can be challenging due to the multiple aetiologies of
adrenal tumours and the physiological role of adrenal glands. This case report
describes the anaesthetic management of a dog with clinical signs of
hyperadrenocorticism that underwent unilateral adrenalectomy via laparotomy and
discusses anaesthetic preparedness, protocol selection and management of
complications for dogs undergoing adrenalectomy.
PMID- 27200270
TI - Integrative veterinary medical education and consensus guidelines for an
integrative veterinary medicine curriculum within veterinary colleges.
AB - Integrative veterinary medicine (IVM) describes the combination of complementary
and alternative therapies with conventional care and is guided by the best
available evidence. Veterinarians frequently encounter questions about
complementary and alternative veterinary medicine (CAVM) in practice, and the
general public has demonstrated increased interest in these areas for both human
and animal health. Consequently, veterinary students should receive adequate
exposure to the principles, theories, and current knowledge supporting or
refuting such techniques. A proposed curriculum guideline would broadly introduce
students to the objective evaluation of new veterinary treatments while
increasing their preparation for responding to questions about IVM in clinical
practice. Such a course should be evidence-based, unbiased, and unaffiliated with
any particular CAVM advocacy or training group. All IVM courses require routine
updating as new information becomes available. Controversies regarding IVM and
CAVM must be addressed within the course and throughout the entire curriculum.
Instructional honesty regarding the uncertainties in this emerging field is
critical. Increased training of future veterinary professionals in IVM may
produce an openness to new ideas that characterizes the scientific method and a
willingness to pursue and incorporate evidence-based medicine in clinical
practice with all therapies, including those presently regarded as integrative,
complementary, or alternative.
PMID- 27200273
TI - A case of advanced second-degree atrioventricular block in a ferret secondary to
lymphoma.
AB - A female ferret was referred as an emergency for severe respiratory distress
symptoms. At presentation, the patient was listlessness, dyspnoeic, and hyper
responsive. The clinical examination evidenced dyspnea with cyanosis, altered
cardiac rhythm, and hepatomegaly. Electrocardiography showed an advanced second
degree atrioventricular (AV) block. The liver aspirate was diagnostic for
lymphoma. The patient did not respond to supportive therapy and rapidly died.
Post-mortem exams confirmed the presence of lymphoma with hepatic involvement.
Moreover, a pericardial lymphocytic infiltration and a widespread myocardial
nodular localization of lymphoma were evidenced as well. This condition was
probably the cause of the cardiac arrhythmia. To the best of our knowledge, ours
is the first report of cardiac lymphoma causing heart block in ferrets.
PMID- 27200275
TI - Marginal microleakage of cervical composite resin restorations bonded using etch
and-rinse and self-etch adhesives: two dimensional vs. three dimensional methods.
AB - OBJECTIVES: This study was evaluated the marginal microleakage of two different
adhesive systems before and after aging with two different dye penetration
techniques. MATERIALS AND METHODS: Class V cavities were prepared on the buccal
and lingual surfaces of 48 human molars. Clearfil SE Bond and Single Bond (self
etching and etch-and-rinse systems, respectively) were applied, each to half of
the prepared cavities, which were restored with composite resin. Half of the
specimens in each group underwent 10,000 cycles of thermocycling. Microleakage
was evaluated using two dimensional (2D) and three dimensional (3D) dye
penetration techniques separately for each half of each specimen. Data were
analyzed with SPSS 11.5 (SPSS Inc.), using the Kruskal-Wallis and Mann-Whitney U
tests (alpha = 0.05). RESULTS: The difference between the 2D and 3D microleakage
evaluation techniques was significant at the occlusal margins of Single bond
groups (p = 0.002). The differences between 2D and 3D microleakage evaluation
techniques were significant at both the occlusal and cervical margins of Clearfil
SE Bond groups (p = 0.017 and p = 0.002, respectively). The difference between
the 2D and 3D techniques was significant at the occlusal margins of non-aged
groups (p = 0.003). The difference between these two techniques was significant
at the occlusal margins of the aged groups (p = 0.001). The Mann-Whitney test
showed significant differences between the two techniques only at the occlusal
margins in all specimens. CONCLUSIONS: Under the limitations of the present
study, it can be concluded that the 3D technique has the capacity to detect
occlusal microleakage more precisely than the 2D technique.
PMID- 27200276
TI - Antifungal effects of synthetic human beta-defensin 3-C15 peptide.
AB - OBJECTIVES: The purpose of this ex vivo study was to compare the antifungal
activity of a synthetic peptide consisting of 15 amino acids at the C-terminus of
human beta-defensin 3 (HBD3-C15) with calcium hydroxide (CH) and Nystatin (Nys)
against Candida albicans (C. albicans) biofilm. MATERIALS AND METHODS: C.
albicans were grown on cover glass bottom dishes or human dentin disks for 48 hr,
and then treated with HBD3-C15 (0, 12.5, 25, 50, 100, 150, 200, and 300 ug/mL),
CH (100 ug/mL), and Nys (20 ug/mL) for 7 days at 37C. On cover glass, live and
dead cells in the biomass were measured by the FilmTracer Biofilm viability
assay, and observed by confocal laser scanning microscopy (CLSM). On dentin,
normal, diminished and ruptured cells were observed by field-emission scanning
electron microscopy (FE-SEM). The results were subjected to a two-tailed t-test,
a one way analysis variance and a post hoc test at a significance level of p =
0.05. RESULTS: C. albicans survival on dentin was inhibited by HBD3-C15 in a dose
dependent manner. There were fewer aggregations of C. albicans in the groups of
Nys and HBD3-C15 (>= 100 ug/mL). CLSM showed C. albicans survival was reduced by
HBD3-C15 in a dose dependent manner. Nys and HBD3-C15 (>= 100 ug/mL) showed
significant fungicidal activity compared to CH group (p < 0.05). CONCLUSIONS:
Synthetic HBD3-C15 peptide (>= 100 ug/mL) and Nys exhibited significantly higher
antifungal activity than CH against C. albicans by inhibiting cell survival and
biofilm.
PMID- 27200277
TI - Biocompatibility of two experimental scaffolds for regenerative endodontics.
AB - OBJECTIVES: The biocompatibility of two experimental scaffolds for potential use
in revascularization or pulp regeneration was evaluated. MATERIALS AND METHODS:
One resilient lyophilized collagen scaffold (COLL), releasing metronidazole and
clindamycin, was compared to an experimental injectable poly(lactic-co-glycolic)
acid scaffold (PLGA), releasing clindamycin. Human dental pulp stem cells
(hDPSCs) were seeded at densities of 1.0 * 10(4), 2.5 * 10(4), and 5.0 * 10(4).
The cells were investigated by light microscopy (cell morphology), MTT assay
(cell proliferation) and a cytokine (IL-8) ELISA test (biocompatibility).
RESULTS: Under microscope, the morphology of cells coincubated for 7 days with
the scaffolds appeared healthy with COLL. Cells in contact with PLGA showed signs
of degeneration and apoptosis. MTT assay showed that at 5.0 * 10(4) hDPSCs, COLL
demonstrated significantly higher cell proliferation rates than cells in media
only (control, p < 0.01) or cells co-incubated with PLGA (p < 0.01). In ELISA
test, no significant differences were observed between cells with media only and
COLL at 1, 3, and 6 days. Cells incubated with PLGA expressed significantly
higher IL-8 than the control at all time points (p < 0.01) and compared to COLL
after 1 and 3 days (p < 0.01). CONCLUSIONS: The COLL showed superior
biocompatibility and thus may be suitable for endodontic regeneration purposes.
PMID- 27200278
TI - Orthodontic bracket bonding to glazed full-contour zirconia.
AB - OBJECTIVES: This study evaluated the effects of different surface conditioning
methods on the bond strength of orthodontic brackets to glazed full-zirconia
surfaces. MATERIALS AND METHODS: Glazed zirconia (except for the control,
Zirkonzahn Prettau) disc surfaces were pre-treated: PO (control), polishing; BR,
bur roughening; PP, cleaning with a prophy cup and pumice; HF, hydrofluoric acid
etching; AA, air abrasion with aluminum oxide; CJ, CoJet-Sand. The surfaces were
examined using profilometry, scanning electron microscopy, and electron
dispersive spectroscopy. A zirconia primer (Z-Prime Plus, Z) or a silane primer
(Monobond-S, S) was then applied to the surfaces, yielding 7 groups (PO-Z, BR-Z,
PP-S, HF-S, AA-S, AA-Z, and CJ-S). Metal bracket-bonded specimens were stored in
water for 24 hr at 37C, and thermocycled for 1,000 cycles. Their bond strengths
were measured using the wire loop method (n = 10). RESULTS: Except for BR, the
surface pre-treatments failed to expose the zirconia substructure. A significant
difference in bond strengths was found between AA-Z (4.60 +/- 1.08 MPa) and all
other groups (13.38 +/- 2.57 - 15.78 +/- 2.39 MPa, p < 0.05). For AA-Z, most of
the adhesive remained on the bracket. CONCLUSIONS: For bracket bonding to glazed
zirconia, a simple application of silane to the cleaned surface is recommended. A
zirconia primer should be used only when the zirconia substructure is definitely
exposed.
PMID- 27200274
TI - Tularemia vaccine development: paralysis or progress?
AB - Francisella tularensis (Ft) is a gram-negative intercellular pathogen and
category A biothreat agent. However, despite 15 years of strong government
investment and intense research focused on the development of a US Food and Drug
Administration-approved vaccine against Ft, the primary goal remains elusive.
This article reviews research efforts focused on developing an Ft vaccine, as
well as a number of important factors, some only recently recognized as such,
which can significantly impact the development and evaluation of Ft vaccine
efficacy. Finally, an assessment is provided as to whether a US Food and Drug
Administration-approved Ft vaccine is likely to be forthcoming and the potential
means by which this might be achieved.
PMID- 27200280
TI - The effect of different fluoride application methods on the remineralization of
initial carious lesions.
AB - OBJECTIVES: The purpose of this study was to assess the effect of single and
combined applications of fluoride on the amount of fluoride release, and the
remineralization and physical properties of enamel. MATERIALS AND METHODS: Each
of four fluoride varnish and gel products (Fluor Protector, FP, Ivoclar Vivadent;
Tooth Mousse Plus, TM, GC; 60 Second Gel, A, Germiphene; CavityShield, CS, 3M
ESPE) and two fluoride solutions (2% sodium fluoride, N; 8% tin(ii) fluoride, S)
were applied on bovine teeth using single and combined methods (10 per group),
and then the amount of fluoride release was measured for 4 wk. The electron probe
microanalysis and the Vickers microhardness measurements were conducted to assess
the effect of fluoride application on the surface properties of bovine teeth.
RESULTS: The amount of fluoride release was higher in combined applications than
in single application (p < 0.05). Microhardness values were higher after combined
applications of N with FP, TM, and CS than single application of them, and these
values were also higher after combined applications of S than single application
of A (p < 0.05). Ca and P values were higher in combined applications of N with
TM and CS than single application of them (p < 0.05). They were also increased
after combined applications of the S with A than after single application (p <
0.05). CONCLUSIONS: Combined applications of fluoride could be used as a basis to
design more effective methods of fluoride application to provide enhanced
remineralization.
PMID- 27200279
TI - Push-out bond strength and dentinal tubule penetration of different root canal
sealers used with coated core materials.
AB - OBJECTIVES: The aim of this study was to compare the push-out bond strength and
dentinal tubule penetration of root canal sealers used with coated core materials
and conventional gutta-percha. MATERIALS AND METHODS: A total of 72 single-rooted
human mandibular incisors were instrumented with NiTi rotary files with
irrigation of 2.5% NaOCl. The smear layer was removed with 17%
ethylenediaminetetraacetic acid (EDTA). Specimens were assigned into four groups
according to the obturation system: Group 1, EndoRez (Ultradent Product Inc.);
Group 2, Activ GP (Brasseler); Group 3, SmartSeal (DFRP Ltd. Villa Farm); Group
4, AH 26 (Dentsply de Trey)/gutta-percha (GP). For push-out bond strength
measurement, two horizontal slices were obtained from each specimen (n = 20). To
compare dentinal tubule penetration, remaining 32 roots assigned to 4 groups as
above were obturated with 0.1% Rhodamine B labeled sealers. One horizontal slice
was obtained from the middle third of each specimen (n = 8) and scanned under
confocal laser scanning electron microscope. Tubule penetration area, depth, and
percentage were measured. Kruskall-Wallis test was used for statistical analysis.
RESULTS: EndoRez showed significantly lower push-out bond strength than the
others (p < 0.05). No significant difference was found amongst the groups in
terms of percentage of sealer penetration. SmartSeal showed the least penetration
than the others (p < 0.05). CONCLUSIONS: The bond strength and sealer penetration
of resin-and glass ionomer-based sealers used with coated core was not superior
to resin-based sealer used with conventional GP. Dentinal tubule penetration has
limited effect on bond strength. The use of conventional GP with sealer seems to
be sufficient in terms of push-out bond strength.
PMID- 27200281
TI - The effect of red and white wine on color changes of nanofilled and nanohybrid
resin composites.
AB - OBJECTIVES: This study investigated the effect of red and white wine on color
changes of nanofilled and nanohybrid resin composite. MATERIALS AND METHODS:
Sixty specimens of each resin composite were prepared. Baseline data color values
were recorded using a spectrophotometer. Three groups of discs (n = 20) were then
alternately immersed in red, white wine, and deionized water (as a control) for
twenty five minutes and artificial saliva for five minutes for four cycles.
Specimens were then stored in artificial saliva for twenty two hours. This
process was repeated for five days following immersion in artificial saliva for
two days. Subsequently, the process was repeated again. Data were analyzed by two
way repeated ANOVA, one-way ANOVA, and Tukey's HSD. RESULTS: Red wine caused
significantly higher color change (DeltaE(*) > 3.3) than did white wine and
deionized water (p < 0.05). Nanohybrid resin composites had significantly more
color changes than nanofilled resin composite (p < 0.05). CONCLUSIONS: The effect
of red and white wine on the color changes of resin composite restorative
materials depended upon the physical and chemical composition of the restorative
materials and the types of wine.
PMID- 27200282
TI - Progression of periapical cystic lesion after incomplete endodontic treatment.
AB - We report a case of large radicular cyst progression related to endodontic origin
to emphasize proper intervention and follow-up for endodontic pathosis. A 25 yr
old man presented with an endodontically treated molar with radiolucency. He
denied any intervention because of a lack of discomfort. Five years later, the
patient returned. The previous periapical lesion had drastically enlarged and
involved two adjacent teeth. Cystic lesion removal and apicoectomy were performed
on the tooth. Histopathological analysis revealed that the lesion was an
inflammatory radicular cyst. The patient did not report any discomfort except for
moderate swelling 3 days after the surgical procedure. Although the patient had
been asymptomatic, close follow-ups are critical to determine if any periapical
lesions persist after root canal treatment.
PMID- 27200283
TI - Fibre reinforcement in a structurally compromised endodontically treated molar: a
case report.
AB - The reconstruction of structurally compromised posterior teeth is a rather
challenging procedure. The tendency of endodontically treated teeth (ETT) to
fracture is considerably higher than vital teeth. Although posts and core build
ups followed by conventional crowns have been generally employed for the purpose
of reconstruction, this procedure entails sacrificing a considerable amount of
residual sound enamel and dentin. This has drawn the attention of researchers to
fibre reinforcement. Fibre-reinforced composite (FRC), designed to replace
dentin, enables the biomimetic restoration of teeth. Besides improving the
strength of the restoration, the incorporation of glass fibres into composite
resins leads to favorable fracture patterns because the fibre layer acts as a
stress breaker and stops crack propagation. The following case report presents a
technique for reinforcing a badly broken-down ETT with biomimetic materials and
FRC. The proper utilization of FRC in structurally compromised teeth can be
considered to be an economical and practical measure that may obviate the use of
extensive prosthetic treatment.
PMID- 27200284
TI - Nonsurgical endodontic retreatment of fused teeth with transposition: a case
report.
AB - Tooth transposition is a disorder in which a permanent tooth develops and erupts
in the normal position of another permanent tooth. Fusion and gemination are
developmental disturbances presenting as the union of teeth. This article reports
the nonsurgical retreatment of a very rare case of fused teeth with
transposition. A patient was referred for endodontic treatment of her maxillary
left first molar in the position of the first premolar, which was adjacent to it
on the distobuccal side. Orthopantomography and periapical radiography showed two
crowns sharing the same root, with a root canal treatment and an associated
periapical lesion. Tooth fusion with transposition of a maxillary molar and a
premolar was diagnosed. Nonsurgical endodontic retreatment was performed. At four
yr follow-up, the tooth was asymptomatic and the radiolucency around the apical
region had decreased, showing the success of our intervention. The diagnosis and
treatment of fused teeth require special attention. The canal system should be
carefully explored to obtain a full understanding of the anatomy, allowing it to
be fully cleaned and obturated. Thermoplastic techniques were useful in obtaining
hermetic obturation. A correct anatomical evaluation improves the set of
treatment options under consideration, leading to a higher likelihood of
esthetically and functionally successful treatment.
PMID- 27200285
TI - Statistical notes for clinical researchers: Sample size calculation 2. Comparison
of two independent proportions.
PMID- 27200286
TI - Phosphoric acid etching for multi-mode universal adhesive.
PMID- 27200287
TI - Durable Response of Spinal Chordoma to Combined Inhibition of IGF-1R and EGFR.
AB - Chordomas are rare primary malignant bone tumors arising from embryonal notochord
remnants of the axial skeleton. Chordomas commonly recur following surgery and
radiotherapy, and there is no effective systemic therapy. Previous studies
implicated receptor tyrosine kinases, including epidermal growth factor receptor
(EGFR) and type 1 insulin-like growth factor receptor (IGF-1R), in chordoma
biology. We report an adult female patient who presented in 2003 with spinal
chordoma, treated with surgery and radiotherapy. She underwent further surgery
for recurrent chordoma in 2008, with subsequent progression in pelvic deposits.
In June 2009, she was recruited onto the Phase I OSI-906-103 trial of EGFR
inhibitor erlotinib with linsitinib, a novel inhibitor of IGF-1R/insulin receptor
(INSR). Treatment with 100 mg QD erlotinib and 50 mg QD linsitinib was well
tolerated, and after 18 months a partial response was achieved by RECIST
criteria. From 43 months, a protocol modification allowed intra-patient
linsitinib dose escalation to 50 mg BID. The patient remained stable on trial
treatment for a total of 5 years, discontinuing treatment in August 2014. She
subsequently experienced further disease progression for which she underwent
pelvic surgery in April 2015. Analysis of DNA extracted from 2008 (pre-trial)
tissue showed that the tumor harbored wild-type EGFR, and a PIK3CA mutation was
detected in plasma, but not tumor DNA. The 2015 (post-trial) tumor harbored a
mutation of uncertain significance in ATM, with no detectable mutations in other
components of a 50 gene panel, including EGFR, PIK3CA, and TP53. By
immunohistochemistry, the tumor was positive for brachyury, the molecular
hallmark of chordoma, and showed weak-moderate membrane and cytoplasmic EGFR. IGF
1R was detected in the plasma membrane and cytoplasm and was expressed more
strongly in recurrent tumor than the primary. We also noted heterogeneous nuclear
IGF-1R, which has been linked with sensitivity to IGF-1R inhibition. Similar
variation in IGF-1R expression and subcellular localization was noted in 15
further cases of chordoma. In summary, this exceptionally durable response
suggests that there may be merit in evaluating combined IGF-1R/INSR and EGFR
inhibition in patients with chordomas that recur following failure of local
treatment.
PMID- 27200288
TI - Targeting mTOR in Pancreatic Ductal Adenocarcinoma.
AB - Treatment options for advanced pancreatic ductal adenocarcinoma (PDAC) are
limited; however, new therapies targeting specific tumor-related molecular
characteristics may help certain patient cohorts. Emerging preclinical data have
shown that inhibition of mammalian target of rapamycin (mTOR) in specific KRAS
dependent PDAC subtypes leads to inhibition of tumorigenesis in vitro and in
vivo. Early phase II studies of mono-mTOR inhibition have not shown promise.
However, studies have shown that combined inhibition of multiple steps along the
mTOR signaling pathway may lead to sustained responses by targeting mechanisms of
tumor resistance. Coordinated inhibition of mTOR along with specific KRAS
dependent mutations in molecularly defined PDAC subpopulations may offer a viable
alternative for treatment in the future.
PMID- 27200289
TI - Estrogen Receptor-Targeted Contrast Agents for Molecular Magnetic Resonance
Imaging of Breast Cancer Hormonal Status.
AB - The estrogen receptor (ER) alpha is overexpressed in most breast cancers, and its
level serves as a major prognostic factor. It is important to develop
quantitative molecular imaging methods that specifically detect ER in vivo and
assess its function throughout the entire primary breast cancer and in metastatic
breast cancer lesions. This study presents the biochemical and molecular
features, as well as the magnetic resonance imaging (MRI) effects of two novel ER
targeted contrast agents (CAs), based on pyridine-tetra-acetate-Gd(III) chelate
conjugated to 17beta-estradiol (EPTA-Gd) or to tamoxifen (TPTA-Gd). The
experiments were conducted in solution, in human breast cancer cells, and in
severe combined immunodeficient mice implanted with transfected ER-positive and
ER-negative MDA-MB-231 human breast cancer xenografts. Binding studies with ER in
solution and in human breast cancer cells indicated affinities in the micromolar
range of both CAs. Biochemical and molecular studies in breast cancer cell
cultures showed that both CAs exhibit estrogen-like agonistic activity, enhancing
cell proliferation, as well as upregulating cMyc oncogene and downregulating ER
expression levels. The MRI longitudinal relaxivity was significantly augmented by
EPTA-Gd in ER-positive cells as compared to ER-negative cells. Dynamic contrast
enhanced studies with EPTA-Gd in vivo indicated specific augmentation of the MRI
water signal in the ER-positive versus ER-negative xenografts, confirming EPTA-Gd
specific interaction with ER. In contrast, TPTA-Gd did not show increased
enhancement in ER-positive tumors and did not appear to interact in vivo with the
tumors' ER. However, TPTA-Gd was found to interact strongly with muscle tissue,
enhancing muscle signal intensity in a mechanism independent of the presence of
ER. The specificity of EPTA-Gd interaction with ER in vivo was further verified
by acute and chronic competition with tamoxifen. The chronic tamoxifen treatment
also revealed that this drug increases the microvascular permeability of breast
cancer xenograft in an ER-independent manner. In conclusion, EPTA-Gd has been
shown to serve as an efficient molecular imaging probe for specific assessment of
breast cancer ER in vivo.
PMID- 27200290
TI - Disparities in Receipt of Radiotherapy and Survival by Age, Sex, and Ethnicity
among Patient with Stage I Follicular Lymphoma.
AB - BACKGROUND: Radiotherapy (RT) is a first-line treatment option for stage I
follicular lymphoma (FL). We studied disparities in receipt of RT and survival
among patients with stage I FL. METHODS: Adult patients (age >=18 years) with
stage I FL, as the first primary cancer, diagnosed between 1992 and 2007 were
identified using Surveillance, Epidemiology, and End Results (SEER) 18 database.
Study population was divided into various subgroups based on age, sex, race, and
marital status. Factors associated with receipt of RT and survival, among
patients receiving RT, was evaluated using regression analysis and Cox PH
modeling, respectively. SEER*Stat was used to compute 1- and 5-year RS for
various subgroups and compared using Z score. RESULTS: Of the total 7315 patients
(median age: 64 years), 2671 (36.5%) received RT. African-Americans, older age
group, and single and separated/divorced/widow marital status predicted omission
of RT. The 1- and 5-year RS were significantly better in patients receiving RT.
In multivariate analysis, male sex, age <60 years, Caucasian race, and married
marital status were found to be independent predictor of better RS among patients
receiving RT (P < 0.0001). CONCLUSION: This study showed that 36.5% patients with
stage I FL received RT. Survival rates were significantly better for patients who
received RT.
PMID- 27200292
TI - Clinical Trial Accrual: Obstacles and Opportunities.
PMID- 27200291
TI - Fertility Preservation: A Key Survivorship Issue for Young Women with Cancer.
AB - Fertility preservation in the young cancer survivor is recognized as a key
survivorship issue by the American Society of Clinical Oncology and the American
Society of Reproductive Medicine. Thus, health-care providers should inform women
about the effects of cancer therapy on fertility and should discuss the different
fertility preservation options available. It is also recommended to refer women
expeditiously to a fertility specialist in order to improve counseling. Women's
age, diagnosis, presence of male partner, time available, and preferences
regarding use of donor sperm influence the selection of the appropriate fertility
preservation option. Embryo and oocyte cryopreservation are the standard
techniques used while ovarian tissue cryopreservation is new, yet promising.
Despite the importance of fertility preservation for cancer survivors' quality of
life, there are still communication and financial barriers faced by women who
wish to pursue fertility preservation.
PMID- 27200294
TI - Confronting the Care Delivery Challenges Arising from Precision Medicine.
AB - Understanding the biology of cancer at the cellular and molecular levels, and the
application of such knowledge to the patient, has opened new opportunities and
uncovered new obstacles to quality cancer care delivery. Benefits include our
ability to now understand that many, if not most, cancers are not one-size-fits
all. Cancers are a variety of diseases for which intervention may be very
different. This approach is beginning to bear fruit in gynecologic cancers where
we are investigating therapeutic optimization at a more focused level, that while
not yet precision care, is perhaps much improved. Obstacles to quality care for
patients come from many directions. These include incomplete understanding of the
role of the mutant proteins in the cancers, the narrow spectrum of agents,
broader mutational profiles in solid tumors, and sometimes overzealous
application of the findings of genetic testing. This has been further compromised
by the unbridled use of social media by all stakeholders in cancer care often
without scientific qualification, where anecdote sometimes masquerades as a fact.
The only current remedy is to wave the flag of caution, encourage all patients
who undergo genetic testing, either germline or somatic, to do so with the
oversight of genetic counselors and physician scientists knowledgeable in the
pathways involved. This aspiration is accomplished with well-designed clinical
trials that inform next steps in this complex and ever evolving process.
PMID- 27200293
TI - Metabolic Study of Breast MCF-7 Tumor Spheroids after Gamma Irradiation by (1)H
NMR Spectroscopy and Microimaging.
AB - Multicellular tumor spheroids are an important model system to investigate the
response of tumor cells to radio- and chemotherapy. They share more properties
with the original tumor than cells cultured as 2D monolayers do, which helps
distinguish the intrinsic properties of monolayer cells from those induced during
cell aggregation in 3D spheroids. The paper investigates some metabolic aspects
of small tumor spheroids of breast cancer and their originating MCF-7 cells,
grown as monolayer, by means of high-resolution (HR) (1)H NMR spectroscopy and MR
microimaging before and after gamma irradiation. The spectra of spheroids were
characterized by higher intensity of mobile lipids, mostly neutral lipids, and
glutamine (Gln) signals with respect to their monolayer cells counterpart, mainly
owing to the lower oxygen supply in spheroids. Morphological changes of small
spheroids after gamma-ray irradiation, such as loss of their regular shape, were
observed by MR microimaging. Lipid signal intensity increased after irradiation,
as evidenced in both MR localized spectra of the single spheroid and in HR NMR
spectra of spheroid suspensions. Furthermore, the intense Gln signal from spectra
of irradiated spheroids remained unchanged, while the low Gln signal observed in
monolayer cells increased after irradiation. Similar results were observed in
cells grown in hypoxic conditions. The different behavior of Gln in 2D monolayers
and in 3D spheroids supports the hypothesis that a lower oxygen supply induces
both an upregulation of Gln synthetase and a downregulation of glutaminases with
the consequent increase in Gln content, as already observed under hypoxic
conditions. The data herein indicate that (1)H NMR spectroscopy can be a useful
tool for monitoring cell response to different constraints. The use of spheroid
suspensions seems to be a feasible alternative to localized spectroscopy since
similar effects were found after radiation treatment.
PMID- 27200295
TI - BRAF Mutation Is Associated with Improved Local Control of Melanoma Brain
Metastases Treated with Gamma Knife Radiosurgery.
AB - OBJECTIVES: Evidence has implicated a possible role of tumor mutation status on
local control (LC) with radiotherapy. BRAF is a proto-oncogene that is mutated in
approximately 50% of patients with melanoma. We sought to analyze the influence
of BRAF status on LC of melanoma brain metastases (MBM) following Gamma Knife
radiosurgery (GK). METHODS: Among 125 patients treated with GK for MBM at our
institution between 2006 and 2015, we identified 19 patients with 69 evaluable
metastases whose BRAF mutation status was known and follow-up imaging was
available. LC of individual metastases was compared based on BRAF mutation status
using statistical techniques to control for measurements of multiple metastases
within each patient. CNS progression was defined as either local failure or
development of new lesions. RESULTS: Of the 69 metastases, BRAF was mutated in 30
and wild-type in 39. With a median follow-up of 30 months for all patients and a
median follow-up of 5.5 months for treated lesions, 1-year LC was significantly
better among metastases with mutated vs. wild-type BRAF (69 vs. 34%, RR = 0.3,
95% CI = 0.1-0.7, p = 0.01). BRAF mutation was found to be a significant
predictor of LC after stereotactic radiosurgery (SRS) in both univariate [RR =
0.3 (95% CI 0.1-0.7, p = 0.01)] and multivariate [RR = 0.2 (95% CI 0.1-0.7, p =
0.01)] analyses. There was also a trend toward improved CNS progression free
survival (PFS) at 1 year (26 vs. 0%, p = 0.06), favoring BRAF-mutated patients.
CONCLUSION: In this retrospective study, MBM treated with GK had significantly
improved LC for patients with BRAF mutation vs. wild-type. Our data suggest that
BRAF mutation may sensitize tumors to radiosurgery, and that BRAF wild-type
tumors may be more radioresistant.
PMID- 27200296
TI - Ovarian Cancer: The Fallopian Tube as the Site of Origin and Opportunities for
Prevention.
AB - High-grade serous carcinoma (HGSC) is the most common and aggressive histotype of
epithelial ovarian cancer (EOC), and it is the predominant histotype associated
with hereditary breast and ovarian cancer syndrome (HBOC). Mutations in BRCA1 and
BRCA2 are responsible for most of the known causes of HBOC, while mutations in
mismatch repair genes and several genes of moderate penetrance are responsible
for the remaining known hereditary risk. Women with a history of familial ovarian
cancer or with known germline mutations in highly penetrant genes are offered the
option of risk-reducing surgery that involves the removal of the ovaries and
fallopian tubes (salpingo-oophorectomy). Growing evidence now supports the
fallopian tube epithelia as an etiological site for the development of HGSC and
consequently, salpingectomy alone is emerging as a prophylactic option. This
review discusses the site of origin of EOC, the rationale for risk-reducing
salpingectomy in the high-risk population, and opportunities for salpingectomy in
the low-risk population.
PMID- 27200297
TI - Xerostomia after Radiotherapy for Oral and Oropharyngeal Cancer: Increasing
Salivary Flow with Tasteless Sugar-free Chewing Gum.
AB - INTRODUCTION: Radiation-induced xerostomia is a frequent late side effect after
treatment for oral and oropharyngeal cancers. This may induce swallowing
difficulties, compromised oral well-being, reduced nutrition intake, or speech
deficiencies. Consequently, quality of life is often impaired for these patients.
OBJECTIVES: The purpose of this study was to investigate the possibility to
mechanically stimulate residual saliva function by using tasteless and sugar-free
chewing gum. It was hypothesized that tasteless and sugar-free chewing gum could
immediately increase salivary flow and potentially improve oral well-being when
used on a regular basis. METHODS: From October to December 2014, 31 consecutive
patients treated with primary radiotherapy (RT) and concomitant cisplatin (in
locally advanced cases) for oral or oropharyngeal cancer consented to
participate. All patients had finalized RT 2-8 months prior to participation and
suffered from xerostomia. Samples of unstimulated and chewing gum-stimulated
saliva were obtained at the entry into the study (Visit 1). For 2 weeks, patients
used chewing gum on a regular basis whereupon saliva measurements were repeated
to verify the changes (Visit 2). An abbreviated EORTC H&N35 questionnaire was
completed for both visits. A small control group consisting of young and healthy
individuals also tested the chewing gum. RESULTS: Twenty patients completed the
study and an increase in saliva flow was observed for 14 patients. Before and
after intervention with chewing gum, an increase in mean saliva output was seen
between unstimulated and stimulated saliva for both Visit 1 and 2 (p = 0.008 and
p = 0.05, respectively). No change in saliva output was seen in the control
group. CONCLUSION: The chewing gum was able to stimulate saliva output that was
seen at the beginning and at the end of the intervention. No improvement in
baseline saliva was seen. Relevant changes in subjective measures of xerostomia
were seen after 2 weeks of chewing the gum.
PMID- 27200298
TI - Recent Advances in Targetable Therapeutics in Metastatic Non-Squamous NSCLC.
AB - Lung adenocarcinoma is the most common subtype of non-small cell lung cancer
(NSCLC). With the discovery of epidermal growth factor receptor (EGFR) mutations,
anaplastic lymphoma kinase (ALK) rearrangements, and effective targeted
therapies, therapeutic options are expanding for patients with lung
adenocarcinoma. Here, we review novel therapies in non-squamous NSCLC, which are
directed against oncogenic targets, including EGFR, ALK, ROS1, BRAF, MET, human
epidermal growth factor receptor 2 (HER2), vascular endothelial growth factor
receptor 2 (VEGFR2), RET, and NTRK. With the rapidly evolving molecular testing
and development of new targeted agents, our ability to further personalize
therapy in non-squamous NSCLC is rapidly expanding.
PMID- 27200299
TI - Cullin 3 Ubiquitin Ligases in Cancer Biology: Functions and Therapeutic
Implications.
AB - Cullin-RING ubiquitin ligases are the largest E3 ligase family in eukaryotes and
are multiprotein complexes. In these complexes, the Cullin protein serves as a
scaffold to connect two functional modules of the ligases, the catalytic subunit
and substrate-binding subunit. To date, eight members of the Cullin family
proteins have been identified. In the Cul3 ubiquitin ligases, Bric-a
brac/Tramtrack/Broad complex (BTB) domain-containing proteins function as a
bridge to connect Cul3 and substrates. While the BTB domain is responsible for
Cul3 binding, these proteins usually contain an additional domain for substrate
interaction, such as MATH, kelch, Zn finger, and PAM, Highwire, and RPM-1 (PHR
domain). With the existence of a large number of BTB proteins in human, the Cul3
ubiquitin ligases ubiquitinate a wide range of substrates involving in diverse
cellular functions. In this review, we will discuss recent advances on the
functions of Cul3 ubiquitin ligases in cancer development, progression, and
therapeutic response and the dysregulation of Cul3-mediated ubiquitination events
in human malignancies. In particular, we will focus on three Cul3 substrate
adaptors, kelch-like ECH-associated protein (Keap1), kelch-like family member 20
(KLHL20), and speckle type BTB/POZ protein (SPOP), with the intent to highlight
novel targets in cancer therapy.
PMID- 27200300
TI - Intensity-Modulated Radiation Therapy with Stereotactic Body Radiation Therapy
Boost for Unfavorable Prostate Cancer: The Georgetown University Experience.
AB - PURPOSE/OBJECTIVES: Stereotactic body radiation therapy (SBRT) is emerging as a
minimally invasive alternative to brachytherapy to deliver highly conformal, dose
-escalated radiation therapy (RT) to the prostate. SBRT alone may not adequately
cover the tumor extensions outside the prostate commonly seen in unfavorable
prostate cancer. External beam radiation therapy (EBRT) with high dose rate
brachytherapy boost is a proven effective therapy for unfavorable prostate
cancer. This study reports on early prostate-specific antigen and prostate cancer
specific quality of life (QOL) outcomes in a cohort of unfavorable patients
treated with intensity-modulated radiation therapy (IMRT) and SBRT boost.
MATERIALS/METHODS: Prostate cancer patients treated with SBRT (19.5 Gy in three
fractions) followed by fiducial-guided IMRT (45-50.4 Gy) from March 2008 to
September 2012 were included in this retrospective review of prospectively
collected data. Biochemical failure was assessed using the Phoenix definition.
Patients completed the expanded prostate cancer index composite (EPIC)-26 at
baseline, 1 month after the completion of RT, every 3 months for the first year,
then every 6 months for a minimum of 2 years. RESULTS: One hundred eight patients
(4 low-, 45 intermediate-, and 59 high-risk) with median age of 74 years
completed treatment, with median follow-up of 4.4 years. Sixty-four percent of
the patients received androgen deprivation therapy prior to the initiation of RT.
The 3-year actuarial biochemical control rates were 100 and 89.8% for
intermediate- and high-risk patients, respectively. At the initiation of RT, 9
and 5% of men felt their urinary and bowel function was a moderate to big
problem, respectively. Mean EPIC urinary and bowel function and bother scores
exhibited transient declines, with subsequent return to near baseline. At 2 years
posttreatment, 13.7 and 5% of men felt their urinary and bowel function was a
moderate to big problem, respectively. CONCLUSION: At 3-year follow-up,
biochemical control was favorable. Acute urinary and bowel symptoms were
comparable to conventionally fractionated IMRT and brachytherapy. Patients
recovered to near their baseline urinary and bowel function by 2 years
posttreatment. A combination of IMRT with SBRT boost is well tolerated with
minimal impact on prostate cancer-specific QOL.
PMID- 27200301
TI - Transcriptional and Bioinformatic Analysis Provide a Relationship between Host
Response Changes to Marek's Disease Viruses Infection and an Integrated Long
Terminal Repeat.
AB - GX0101, Marek's disease virus (MDV) strain with a long terminal repeat (LTR)
insert of reticuloendotheliosis virus (REV), was isolated from CVI988/Rispens
vaccinated birds showing tumors. We have constructed a LTR deleted strain
GX0101DeltaLTR in our previous study. To compare the host responses to GX0101 and
GX0101DeltaLTR, chicken embryo fibroblasts (CEF) cells were infected with two MDV
strains and a gene-chip containing chicken genome was employed to examine gene
transcription changes in host cells in the present study. Of the 42,368 chicken
transcripts on the chip, there were 2199 genes that differentially expressed in
CEF infected with GX0101 compared to GX0101DeltaLTR significantly. Differentially
expressed genes were distributed to 25 possible gene networks according to their
intermolecular connections and were annotated to 56 pathways. The insertion of
REV LTR showed the greatest influence on cancer formation and metastasis,
followed with immune changes, atherosclerosis, and nervous system disorders in
MDV-infected CEF cells. Based on these bio functions, GX0101 infection was
predicated with a greater growth and survival inhibition but lower oncogenicity
in chickens than GX0101DeltaLTR, at least in the acute phase of infection. In
summary, the insertion of REV LTR altered the expression of host genes in
response to MDV infection, possibly resulting in novel phenotypic properties in
chickens. Our study has provided the evidence of retroviral insertional changes
of host responses to herpesvirus infection for the first time, which will promote
to elucidation of the possible relationship between the LTR insertion and the
observed phenotypes.
PMID- 27200302
TI - Characterization of Spbhp-37, a Hemoglobin-Binding Protein of Streptococcus
pneumoniae.
AB - Streptococcus pneumoniae is a Gram-positive microorganism that is the cause of
bacterial pneumonia, sinusitis and otitis media. This human pathogen also can
cause invasive diseases such as meningitis, bacteremia and septicemia. Hemoglobin
(Hb) and haem can support the growth and viability of S. pneumoniae as sole iron
sources. Unfortunately, the acquisition mechanism of Hb and haem in this
bacterium has been poorly studied. Previously we identified two proteins of 37
and 22 kDa as putative Hb- and haem-binding proteins (Spbhp-37 and Spbhp-22,
respectively). The sequence of Spbhp-37 protein was database annotated as
lipoprotein without any function or localization. Here it was immunolocalized in
the surface cell by transmission electron microscopy using specific antibodies
produced against the recombinant protein. The expression of Spbhp-37 was
increased when bacteria were grown in media culture supplied with Hb. In
addition, the affinity of Sphbp-37 for Hb was determined. Thus, in this work we
are presenting new findings that attempt to explain the mechanism involved in
iron acquisition of this pathogen. In the future these results could help to
develop new therapy targets in order to avoid the secondary effects caused by the
traditional therapies.
PMID- 27200303
TI - Induction of Central Host Signaling Kinases during Pneumococcal Infection of
Human THP-1 Cells.
AB - Streptococcus pneumoniae is a widespread colonizer of the mucosal epithelia of
the upper respiratory tract of human. However, pneumococci are also responsible
for numerous local as well as severe systemic infections, especially in children
under the age of five and the elderly. Under certain conditions, pneumococci are
able to conquer the epithelial barrier, which can lead to a dissemination of the
bacteria into underlying tissues and the bloodstream. Here, specialized
macrophages represent an essential part of the innate immune system against
bacterial intruders. Recognition of the bacteria through different receptors on
the surface of macrophages leads thereby to an uptake and elimination of
bacteria. Accompanied cytokine release triggers the migration of leukocytes from
peripheral blood to the site of infection, where monocytes differentiate into
mature macrophages. The rearrangement of the actin cytoskeleton during
phagocytosis, resulting in the engulfment of bacteria, is thereby tightly
regulated by receptor-mediated phosphorylation cascades of different protein
kinases. The molecular cellular processes including the modulation of central
protein kinases are only partially solved. In this study, the human monocytic THP
1 cell line was used as a model system to examine the activation of Fcgamma and
complement receptor-independent signal cascades during infection with S.
pneumoniae. Pneumococci cultured either in chemically defined or complex medium
showed no significant differences in pneumococcal phagocytosis by phorbol 12
myristate 13-acetate (PMA) differentiated THP-1 cells. Double immuno-fluorescence
microscopy and antibiotic protection assays demonstrated a time-dependent uptake
and killing of S. pneumoniae 35A inside of macrophages. Infections of THP-1 cells
in the presence of specific pharmacological inhibitors revealed a crucial role of
actin polymerization and importance of the phosphoinositide 3-kinase (PI3K) and
Protein kinase B (Akt) as well during bacterial uptake. The participation of
essential host cell signaling kinases in pneumococcal phagocytosis was deciphered
for the kinase Akt, ERK1/2, and p38 and phosphoimmunoblots showed an increased
phosphorylation and thus activation upon infection with pneumococci. Taken
together, this study deciphers host cell kinases in innate immune cells that are
induced upon infection with pneumococci and interfere with bacterial clearance
after phagocytosis.
PMID- 27200304
TI - Modular Organization of the ESX-5 Secretion System in Mycobacterium tuberculosis.
AB - Mycobacteria utilize type VII secretion systems (T7SS) to export many of their
important virulence proteins. The T7SS encompasses five homologous secretion
systems (ESX-1 to ESX-5). Most pathogenic mycobacterial species, including the
human pathogen Mycobacterium tuberculosis, possess all five ESX systems. The ESX
1, -3, and -5 systems are important for virulence of mycobacteria but the
molecular mechanisms of their secretion apparatus and the identity and activity
of secreted effector proteins are not well characterized. The different ESX
systems show similarities in gene composition due to their common phylogenetic
origin but recent studies demonstrate mechanistic as well as functional
variations between the systems. For example, the ESX-1 system is involved in
lysis of the phagosomal membrane and phagosomal escape of the bacteria while the
ESX-5 system is required for mycobacterial cell wall stability and host cell
lysis. Mechanistically, the ESX-1 substrates show interdependence during
secretion while the ESX-5 system may use a duplicated four-gene region (ESX-5a)
as an accessory system for transport of a subset of proteins of the ESX-5
secretome. In the present review we will provide an overview of the molecular
components of the T7SS and their function with a particular focus on the ESX-5
system.
PMID- 27200306
TI - The risk of emergency cesarean section after failure of vaginal delivery
according to prepregnancy body mass index or gestational weight gain by the 2009
Institute of Medicine guidelines.
AB - OBJECTIVE: To evaluate the risk of emergency cesarean section according to the
prepregnancy body mass index (BMI) and gestational weight gain per the 2009
Institute of Medicine guidelines. METHODS: A retrospective analysis of data from
2,765 women with singleton full-term births (2009 to 2012) who attempted a
vaginal delivery was conducted. Pregnancies with preeclampsia, chronic
hypertension, diabetes, planned cesarean section, placenta previa, or cesarean
section due to fetal anomalies or intrauterine growth restriction were excluded.
Odds ratios (ORs) and confidence intervals (CIs) for emergency cesarean section
were calculated after adjusting for prepregnancy BMI or gestational weight gain.
RESULTS: Three-hundred and fifty nine (13.0%) women underwent emergency cesarean
section. The adjusted OR for overweight, obese, and extremely obese women
indicated a significantly increased risk of cesarean delivery. Gestational weight
gain by Institute of Medicine guidelines was not associated with an increased
risk of cesarean delivery. However, inadequate and excessive weight gain in obese
women was highly associated with an increased risk of emergency cesarean section,
compared to these in normal BMI (OR, 5.56; 95% CI, 1.36 to 22.72; OR, 3.63; 95%
CI, 1.05 to 12.54; respectively), while there was no significant difference
between normal BMI and obese women with adequate weight gain. CONCLUSION: Obese
women should be provided special advice before and during pregnancy for
controlling weight and careful consideration should be needed at the time of
vaginal delivery to avoid emergency cesarean section.
PMID- 27200305
TI - A review of the epidemiology and treatment of Peyronie's disease.
AB - INTRODUCTION: Peyronie's disease (PD) has significant effect on patients and
their partners. We provide a current review of the epidemiology as well as the
nonsurgical and surgical treatment of PD. MATERIALS AND METHODS: Review of
literature pertaining to PD with focus on epidemiology and treatment options.
CONCLUSION: PD is common and likely underreported. The availability of new and
highly effective treatment options will catalyze patient awareness and
subsequently the prevalence of disease.
PMID- 27200307
TI - How high is too high in cutoff levels from 50-g glucose challenge test.
AB - OBJECTIVE: To determine the highest 50-g glucose challenge test (GCT) value that
indicates no further diagnostic test is needed to confirm a diagnosis of
gestational diabetes mellitus (GDM) under the criteria of National Diabetes Data
Group (NDDG) or the Carpenter and Coustan (C&C) and fasting glucose thresholds
from the International Association of Diabetes and Pregnancy Study Group
(IADPSG). METHODS: We collected the 50-g GCT results from 16,560 pregnancies and
identified 2,457 gravidas with positive 50-g GCT (>=130 mg/dL) values who
underwent the 100-g glucose tolerance test. We investigated GDM prevalence in
pregnancies with positive 50-g GCT according to the respective diagnostic
thresholds and determined the 50-g GCT cutoff values with 100% positive
predictive value for GDM under each diagnostic threshold. RESULTS: Twelve point
five percent (306/2,457), 20.0% (492/2,457), and 9.6% (235/2,457) met the
diagnostic criteria of GDM with the application of NDDG, C&C criteria, and
fasting glucose thresholds from IADPSG (>=92 mg/dL), respectively. We also found
that the prevalence of GDM increased with increasing 50-g GCT values using each
diagnostic criterion. Importantly, we identified that all subjects with a 50-g
GCT value >=223, >=217, or >=228 mg/dL can be exclusively diagnosed as having
gestational diabetes according to the criteria of NDDG, C&C, and fasting glucose
thresholds from IADPSG, respectively. CONCLUSION: We propose that women with a 50
g GCT screening value >=228 mg/dL can be reliably omitted from further
confirmative tests for GDM, such as 100- or 75-g glucose tolerance test.
PMID- 27200308
TI - The influence of number of high risk factors on clinical outcomes in patients
with early-stage cervical cancer after radical hysterectomy and adjuvant
chemoradiation.
AB - OBJECTIVE: The purpose of this study was to evaluate the prognosis according to
the number of high risk factors in patients with high risk factors after radical
hysterectomy and adjuvant chemoradiation therapy for early stage cervical cancer.
METHODS: Clinicopathological variables and clinical outcomes of patients with
FIGO (International Federation of Gynecology and Obstetrics) stage IB1 to IIA
cervical cancer who had one or more high risk factors after radical hysterectomy
and adjuvant chemoradiation therapy were retrospectively analyzed. Patients were
divided into two groups according to the number of high risk factors (group 1,
single high risk factor; group 2, two or more high risk factors). RESULTS: A
total of 93 patients were enrolled in the present study. Forty nine out of 93
(52.7%) patients had a single high risk factor, and 44 (47.3%) had two or more
high risk factors. Statistically significant differences in stage and stromal
invasion were observed between group 1 and group 2. However, age, histology,
tumor size, and lymphovascular space invasion did not differ significantly
between the groups. Distant recurrence occurred more frequently in group 2, and
the probability of recurrence and death was higher in group 2. CONCLUSION:
Patients with two or more high risk factors had worse prognosis in early stage
cervical cancer. For these patients, consideration of new strategies to improve
survival may be worthwhile. Conduct of further clinical trials is warranted for
development of adjuvant treatment strategies individualized to each risk group.
PMID- 27200309
TI - Endometrial evaluation with transvaginal ultrasonography for the screening of
endometrial hyperplasia or cancer in premenopausal and perimenopausal women.
AB - OBJECTIVE: The aim of our study is to determine clinical factors and sonographic
findings associated with endometrial hyperplasia or cancer (EH+) in premenopausal
and perimenopausal women. METHODS: A total of 14,340 transvaginal ultrasonography
examinations of 9,888 healthy premenopausal and perimenopausal women were
included in this retrospective study. One hundred sixty-two subjects underwent
endometrial biopsy based on abnormal uterine bleeding (AUB), sonographic
endometrial abnormalities (thickened endometrium, endometrial mass, or
endometrial stripe abnormality), or both. The clinical factors and sonographic
endometrial abnormalities were evaluated with regard to EH+. RESULTS:
Histologically verified EH+ was found in fourteen subjects (8.6%); ten cases of
endometrial hyperplasia (EH) without atypia, three cases of EH with atypia (AEH),
and one case of endometrial cancer. Neither clinical factors nor AUB were
associated with EH+ (P=0.32) or AEH+ (P=0.72). Of sonographic findings,
endometrial stripe abnormality was significantly associated with EH+ (P=0.003)
and marginally associated with AEH+ (P=0.05), but a thickened endometrium was not
associated with EH+ (P=0.43). CONCLUSION: Endometrial stripe abnormality is a
significant factor to predict EH+ in healthy premenopausal and perimenopausal
women with and without AUB. However, simple measurement of endometrial thickness
has a limited role in this capacity.
PMID- 27200311
TI - A clinical study on the trocar-guided mesh repair system for pelvic organ
prolapse surgery.
AB - OBJECTIVE: To evaluate the complication and recurrence rates in patients
undergoing trocar-guided mesh implant for pelvic organ prolapse (POP) treatment.
METHODS: A retrospective study was performed based on the medical records of
patients who had undergone mesh implant by one surgeon from May 2006 to August
2013 at the Presbyterian Medical Center in Korea. We evaluated perioperative
complications such as bladder injury, mesh exposure, urinary symptoms,
infections, and chronic pelvic pain. Recurrence was defined as a POP
quantification system stage >=II or any symptomatic prolapse. RESULTS: Sixty
seven patients were evaluated, and the mean age of patients was 65.4+/-7.2 years.
Stage >=III POP-quantification Ba was noted in 61 patients (91%). Intraoperative
complications included three cases of bladder injury (4.5%). The mean follow-up
period was 44.1+/-7.9 months. Postoperative complications occurred in seven women
(10.5%): four cases of urinary symptoms (6%), two cases of infections (3%), and
one case of chronic pelvic pain (1.5%). Mesh exposure did not occur (0%).
Prolapse recurrence was reported in five patients (7.5%). CONCLUSION: Based on
our operational result, the trocar-guided mesh implant seems to provide safe and
effective outcomes.
PMID- 27200310
TI - Efficacy of hyaluronan-rich transfer medium on implantation and pregnancy rates
in fresh and frozen-thawed blastocyst transfers in Korean women with previous
implantation failure.
AB - OBJECTIVE: To evaluate the effect of hyaluronan-rich transfer medium on pregnancy
and implantation rates in fresh and frozen-thawed embryo transfers in Korean
women with previous implantation failure. METHODS: This retrospective study
included 283 blastocyst transfers in patients with previous embryo transfer
failure at a private fertility clinic. In the study group (n=88), blastocyst
transfers were performed using an hyaluronan-rich transfer medium prior to
transfer, whereas blastocyst transfers without any treatment served as controls
(n=195). According to the type of transfer (fresh elective or frozen-thawed), all
the blastocyst transfers were divided into two study and two control groups.
RESULTS: The patient's mean age, serum anti-Mullerian hormone level, causes of
infertility, embryo quality, and the number of transferred embryos were
comparable between the study and control groups. There were no significant
differences in clinical pregnancy rate (45.5% vs. 43.1%), implantation rate
(28.9% vs. 28.8%), and clinical abortion rate (10.0% vs. 8.3%) between the two
groups, and these findings were not changed after subgroup analysis according to
the type of transfer. CONCLUSION: The use of hyaluronan-rich transfer medium in
the blastocyst transfer does not appear to have any significant effect on the
implantation and pregnancy rates in patients with previous implantation failure.
PMID- 27200312
TI - The association of pelvic organ prolapse severity and improvement in overactive
bladder symptoms after surgery for pelvic organ prolapse.
AB - OBJECTIVE: The purpose of this study was to evaluate the impact of pelvic organ
prolapse (POP) repair on overactive bladder (OAB) symptoms in women with POP and
the effect of baseline POP severity on improvement in OAB after surgical repair
of POP. And we also tried to identify any preoperative factors for persistent
postoperative OAB symptoms. METHODS: A total of 87 patients with coexisting POP
and OAB who underwent surgical correction of POP were included and
retrospectively analyzed and postoperative data was obtained by telephone
interview. OAB was defined as an affirmative response to item no. 15 (urinary
frequency) and item no. 16 (urge incontinence) of the Pelvic Floor Distress
Inventory. POP severity was dichotomized by Pelvic Organ Prolapse Quantification
stage 1 to 2 (n=22) versus stage 3 to 4 (n=65). RESULTS: OAB symptoms were
significantly improved after surgical treatment (P<0.001). But there was no
significant differences in postoperative improvement of frequency and urge
incontinence between stage 1 to 2 group versus stage 3 to 4 group. Preoperative
demographic factors (age, parity, and POP stage) were not significantly related
to persistent postoperative OAB symptoms. CONCLUSION: Women with coexisting POP
and OAB who undergo surgical repair experience significant improvement in OAB
symptoms after surgery, but severity of POP had no significant difference in
improvement of OAB symptoms. Postoperative persistent OAB symptoms were not
related to age, parity, body mass index, and POP stage.
PMID- 27200313
TI - Role of vaginal estradiol pretreatment combined with vaginal misoprostol for
cervical ripening before operative hysteroscopy in postmenopausal women.
AB - OBJECTIVE: To assess the efficacy and safety of vaginal misoprostol after a
pretreatment with vaginal estradiol to facilitate the hysteroscopic surgery in
postmenopausal women. METHODS: In this observational comparative study, 35
control women (group A) did not receive any pharmacological treatment,26 women
(group B) received 25 ug of vaginal estradiol daily for 14 days and 400 ug of
vaginal misoprostol 12 hours before hysteroscopic surgery, 32 women (group C)
received 400 ug of vaginal misoprostol 12 hours before surgery. RESULTS:
Demographic data were well balanced and all variables were not significantly
different among the three groups. The study showed a significant difference in
the preoperative cervical dilatation among the group B (7.09+/-1.87 mm), the
group A (5.82+/-1.85 mm; B vs. A, P=0.040) and the group C (5.46+/-2.07 mm; B vs.
C, P=0.007). The dilatation was very easy in 73% of women in group B. The pain
scoring post surgery was lower in the group B (B vs. A, P=0.001; B vs. C,
P=0.077). In a small subgroup of women with suspected cervical stenosis, there
were no statistically significant differences among the three groups considered.
No complications during and post hysteroscopy were observed. CONCLUSION: In
postmenopausal women the pretreatment with oestrogen appears to have a crucial
role in allowing the effect of misoprostol on cervical ripening. The combination
of vaginal estradiol and vaginal misoprostol presents minor side effects and has
proved to be effective in obtaining satisfying cervical dilatation thus
significantly reducing discomfort for the patient.
PMID- 27200314
TI - Development and validation of novel digitalized cervicography system.
AB - OBJECTIVE: Digital cervicography systems would be expected to reduce the costs of
film cervicography, and provide the opportunity for "telemedicine-based"
screening. We aimed to develop web-based digital cervicography system, and
validate it compared with conventional film cervicography. METHODS: A hundred
cases from five centers were prospectively included, and cervical images
(analogue, digitalized by scanning analogue, and digital) were taken separately
using both analogue (Cerviscope) and digital camera (Dr. Cervicam) in each
patient. Nine specialists evaluated the three kinds of images of each case with
time interval between evaluations of each image. To validate novel digitalized
system, we analyzed intra-observer variance among evaluation results of three
kinds of images. RESULTS: Sixty-three cases were finally analyzed after excluding
technically defective cases that cannot be evaluable on analogue images. The
generalized kappa for analogue versus digital image was 0.83, for analogue versus
scanned image 0.72, and for digital versus scanned image was 0.71; all were in
excellent consensus. CONCLUSION: Digitalized cervicography system can be
substituted for the film cervicography very reliably, and can be used as a
promising telemedicine tool for cervical cancer screening.
PMID- 27200316
TI - Prenatal diagnosis of fetal adrenal hemorrhage and endocrinologic evaluation.
AB - We present a case of a fetal adrenal hemorrhage, a rare disease in fetal life,
detected prenatally at 36 weeks' gestation by ultrasound. Routine ultrasound
examination at 36 weeks' gestation by primary obstetrician showed a cyst on the
fetal suprarenal area. Initially, the suspected diagnosis was a fetal adrenal
hemorrhage, but we should diagnose differently from neuroblastoma. Subsequent
ultrasound examination at 38 and 39 weeks' gestation showed increase of the cyst
in size. A 3.34-kg-male neonate was born by spontaneous vaginal delivery at 39
weeks' gestation. The diagnosis of adrenal hemorrhage was confirmed by postnatal
follow-up sonograms and magnetic resonance imaging. Course and sonographic signs
were typical for adrenal hemorrhage and the neonate was therefore managed without
surgical exploration.
PMID- 27200315
TI - Chorioamniotic membrane separation caused by the seromucinous collection from a
placental chorioangioma.
AB - Placental chorioangioma is a benign non-trophoblastic tumor of the placenta that
can have various adverse effects on the mother and fetus depending on its size.
Chorioamniotic membrane separation is rare condition of detachment between the
amniotic membrane and chorionic membrane. Chorioamniotic membrane separation
after the second trimester of pregnancy is usually occurs after invasive
procedures or may occur spontaneously; it is mostly associated with fetal
abnormalities. Here, we report a case of chorioamniotic membrane separation that
might be occurred caused by the seromucinous secretion from a placental
chorioangioma.
PMID- 27200317
TI - Uterine prolapse in a primigravid woman.
AB - Uterine prolapse during pregnancy is an uncommon condition. It can cause preterm
labor, spontaneous abortion, fetal demise, maternal urinary complication,
maternal sepsis and death. We report the case of uterine prolapse in a 32-year
old healthy primigravid woman. She had no risk factors associated with uterine
prolapse. She was conservatively treated, resulting in a successful vaginal
delivery. This report is a very rare case of uterine prolapse in a young healthy
primigravid woman, resulting in a successful vaginal delivery.
PMID- 27200318
TI - Concomitant ultrasound-guided intra-gestational sac methotrexate-potassium
chloride and systemic methotrexate injection in the recurrent cesarean scar
pregnancy.
AB - Recurrent ectopic pregnancy of cesarean scar is very rare and its therapeutic
management is still not established. We reported the first case of recurrent
cesarean scar pregnancy that was successfully treated with concomitant intra
gestational sac methotrexate-potassium chloride injection and systemic
methotrexate injection. This case study provides physicians with a safe and
effective minimally invasive treatment option for recurrent cesarean scar
pregnancy.
PMID- 27200320
TI - Work-Life Balance: The True Failure Is in Not Trying.
PMID- 27200319
TI - Delayed retropneumoperitoneum following vaginal laceration in a 7-year-old girl.
AB - We describe an unusual case of delayed retropneumoperitoneum caused by a deep
vaginal laceration as a result trauma from a water jet in a fountain. A 7-year
old premenarcheal girl presented to the emergency department after experiencing
an injury from a water jet at a fountain park. Initially, the patient's vital
sign and perineum were within normal range. Because the patient's vital signs
became unstable 12 hours after vaginal injury, we carried out abdomino-pelvic
computed tomography resulting in retropneumoperitoneum. Arterial bleeding from
vaginal lateral wall was founded and controlled by electrocoagulation. No damage
to the rectum was laparoscopically confirmed. A diagnostic laparoscopy, not
laparotomy, should be considered in cases of retropneumoperitoneum with an
ambiguous cause first.
PMID- 27200321
TI - Work-Life Balance: How Can We Achieve It within the Work Environment?
PMID- 27200323
TI - Sonographic Renal Parenchymal Measurements for the Evaluation and Management of
Ureteropelvic Junction Obstruction in Children.
AB - PURPOSE: To correlate sonographic renal parenchymal measurements among patients
with ureteropelvic junction obstruction (UPJO) labeled society of fetal urology
(SFU) hydronephrosis grades 1-4 and to examine whether sonographic renal
parenchymal measurements could be used to differentiate conservative vs. surgical
management. MATERIALS AND METHODS: Retrospective chart review and sonographic
renal parenchymal measurements (renal length, medullary pyramid thickness, and
renal parenchymal thickness) were performed in patients with SFU grades 1-4
hydronephrosis secondary to UPJO managed between 2009 and 2014. Exclusion
criteria included other concomitant genitourinary pathology or incomplete follow
up. Anterior-posterior renal pelvic diameter (APRPD) and radionuclide renography
were also evaluated when available. RESULTS: One hundred four patients with UPJO
underwent 244 renal and bladder ultrasound (1,464 sonographic renal parenchymal
measurements in 488 kidneys). Medullary pyramid thickness and renal parenchymal
thickness progressively decreased from SFU grades 1-4 (p < 0.05). A similar trend
was appreciated when comparing SFU grades 1 and 2 vs. 3 and 4, as well as SFU
grades 3 vs. 4 (p < 0.05). SFU grade 3 and 4 patients who underwent pyeloplasty
had longer renal length in comparison to those who were managed conservatively (p
< 0.02). CONCLUSION: This is the first study that evaluates these objective,
quantifiable sonographic renal parenchymal measurements in children with
unilateral UPJO. These sonographic renal parenchymal measurements correlate
closely with worsening of hydronephrosis graded by the SFU and APRPD
classification systems. Prospective studies are needed to elucidate the role of
sonographic renal parenchymal measurements in the management of children with
UPJO.
PMID- 27200322
TI - Does Preputial Reconstruction Increase Complication Rate of Hypospadias Repair?
20-Year Systematic Review and Meta-Analysis.
AB - INTRODUCTION: We performed a systematic review of the literature on preputial
reconstruction (PR) during hypospadias repair to determine the cumulative risk of
preputial skin complications and the influence of PR on urethroplasty
complications, namely, fistula formation and overall reoperation rate of the
repair. MATERIALS AND METHODS: A systematic search of the literature published
after 06/1995 was performed in 06/2015 using the keyword "hypospadias." Only
studies on the outcome of PR in children, defined as dehiscence of the
reconstructed prepuce or secondary phimosis needing circumcision, were selected.
A meta-analysis of studies comparing PR vs. circumcision was performed for the
outcomes "hypospadias fistula formation" and "reoperation rate." RESULTS: Twenty
studies were identified. Nineteen reported the outcome of PR in 2115 patients.
Overall, 95% (2016/2115) of patients undergoing PR had distal hypospadias. The
cumulative rate of PR complications was 7.7% (163/2115 patients), including 5.7%
(121/2115 patients) preputial dehiscences and 1.5% (35/2117 reported patients)
secondary phimoses needing circumcision. A meta-analysis of seven studies
comparing patients undergoing PR vs. circumcision showed no increased risk of
urethral fistula formation associated with PR, odds ratio (OR) (Mantel-Haenszel,
Fixed effect, 95% CI), 1.25 (0.80-1.97). Likewise, two studies comparing the
overall reoperation rate did not show an increased risk of reoperation associated
with PR, OR (Mantel-Haenszel, Random effect, 95% CI), 1.27 (0.45-3.58).
CONCLUSION: PR carries an 8% risk of specific complications (dehiscence of
reconstructed prepuce or secondary phimosis needing circumcision), but does not
seem to increase the risk of urethroplasty complications, and the overall
reoperation rate of hypospadias repair.
PMID- 27200325
TI - Dietary Alpha-Lipoic Acid Alters Piglet Neurodevelopment.
AB - INTRODUCTION: Alpha-lipoic acid (a-LA) is an antioxidant shown to ameliorate age
associated impairments of brain and cardiovascular function. Human milk is known
to have high antioxidant capacity; however, the role of antioxidants in the
developing brain is largely uncharacterized. This exploratory study aimed to
examine the dose-response effects of a-LA on piglet growth and neurodevelopment.
METHODS: Beginning at 2 days of age, 31 male pigs received 1 of 3 diets: control
(CONT) (0 mg a-LA/100 g), low a-LA (LOW) (120 mg a-LA/100 g), or high a-LA (HIGH)
(240 mg a-LA/100 g). From 14 to 28 days of age, pigs were subjected to spatial T
maze assessment, and macrostructural and microstructural neuroimaging procedures
were performed at 31 days of age. RESULTS: No differences due to diet were
observed for bodyweight gain or intestinal weight and length. Spatial T-maze
assessment did not reveal learning differences due to diet in proportion of
correct choices or latency to choice measures. Diffusion tensor imaging revealed
decreased (P = 0.01) fractional anisotropy (FA) in the internal capsule of HIGH
fed pigs compared with both the CONT (P < 0.01)- and LOW (P = 0.03)-fed pigs,
which were not different from one another. Analysis of axial diffusivity (AD)
within the internal capsule revealed a main effect of diet (P < 0.01) in which
HIGH-fed piglets exhibited smaller (P < 0.01) rates of diffusion compared with
CONT piglets, but HIGH-fed piglets were not different (P = 0.12) than LOW-fed
piglets. Tract-based spatial statistics, a comparison of FA values along white
matter tracts, revealed 1,650 voxels where CONT piglets exhibited higher (P <
0.05) values compared with HIGH-fed piglets. CONCLUSION: The lack of differences
in intestinal and bodyweight measures among piglets indicate a-LA supplementation
does not impact overall growth, regardless of concentration. Additionally, no
observed differences between CONT- and LOW-fed piglets in behavior and
neuroimaging measures indicate a low concentration of a-LA does not affect normal
brain development. Supplementation of a-LA at a high concentration appeared to
alter white matter maturation in the internal capsule, which may indicate delayed
neurodevelopment in these piglets.
PMID- 27200324
TI - Rationale for Implementation of Warm Cardiac Surgery in Pediatrics.
AB - Cardiac surgery was developed thanks to the introduction of hypothermia and
cardiopulmonary bypass in the early 1950s. The deep hypothermia protective effect
has been essential to circulatory arrest complex cases repair. During the early
times of open-heart surgery, a major concern was to decrease mortality and to
improve short-term outcomes. Both mortality and morbidity dramatically decreased
over a few decades. As a consequence, the drawbacks of deep hypothermia, with or
without circulatory arrest, became more and more apparent. The limitation of
hypothermia was particularly evident for the brain and regional perfusion was
introduced as a response to this problem. Despite a gain in popularity, the
results of regional perfusion were not fully convincing. In the 1990s, warm
surgery was introduced in adults and proved to be safe and reliable. This option
eliminates the deleterious effect of ischemia-reperfusion injuries through a
continuous, systemic coronary perfusion with warm oxygenated blood. Intermittent
warm blood cardioplegia was introduced later, with impressive results. We were
convinced by the easiness, safety, and efficiency of warm surgery and shifted to
warm pediatric surgery in a two-step program. This article outlines the
limitations of hypothermic protection and the basic reasons that led us to
implement pediatric warm surgery. After tens of thousands of cases performed
across several centers, this reproducible technique proved a valuable alternative
to hypothermic surgery.
PMID- 27200326
TI - Overview of C3 Glomerulopathy.
AB - C3 glomerulopathy is an umbrella term, which includes several rare forms of
glomerulonephritis (GN) with underlying defects in the alternate complement
cascade. A common histological feature noted in all these GN is dominant C3
deposition in the glomerulus. In this review, we will provide an overview of the
complement system as well as mediators, with an introduction to pharmaceutical
agents that can alter the pathway.
PMID- 27200327
TI - Estimating Active Transportation Behaviors to Support Health Impact Assessment in
the United States.
AB - Health impact assessment (HIA) has been promoted as a means to encourage
transportation and city planners to incorporate health considerations into their
decision-making. Ideally, HIAs would include quantitative estimates of the
population health effects of alternative planning scenarios, such as scenarios
with and without infrastructure to support walking and cycling. However, the lack
of baseline estimates of time spent walking or biking for transportation
(together known as "active transportation"), which are critically related to
health, often prevents planners from developing such quantitative estimates. To
address this gap, we use data from the 2009 US National Household Travel Survey
to develop a statistical model that estimates baseline time spent walking and
biking as a function of the type of transportation used to commute to work along
with demographic and built environment variables. We validate the model using
survey data from the Raleigh-Durham-Chapel Hill, NC, USA, metropolitan area. We
illustrate how the validated model could be used to support transportation
related HIAs by estimating the potential health benefits of built environment
modifications that support walking and cycling. Our statistical model estimates
that on average, individuals who commute on foot spend an additional 19.8 (95% CI
16.9-23.2) minutes per day walking compared to automobile commuters. Public
transit riders walk an additional 5.0 (95% CI 3.5-6.4) minutes per day compared
to automobile commuters. Bicycle commuters cycle for an additional 28.0 (95% CI
17.5-38.1) minutes per day compared to automobile commuters. The statistical
model was able to predict observed transportation physical activity in the
Raleigh-Durham-Chapel Hill region to within 0.5 MET-hours per day (equivalent to
about 9 min of daily walking time) for 83% of observations. Across the Raleigh
Durham-Chapel Hill region, an estimated 38 (95% CI 15-59) premature deaths
potentially could be avoided if the entire population walked 37.4 min per week
for transportation (the amount of transportation walking observed in previous US
studies of walkable neighborhoods). The approach developed here is useful both
for estimating baseline behaviors in transportation HIAs and for comparing the
magnitude of risks associated with physical inactivity to other competing health
risks in urban areas.
PMID- 27200328
TI - Alignment of Epidemiology Practice and Academic Competencies through Effective
Collaboration.
AB - BACKGROUND: Online learning has recently garnered increased attention as
technology use in the classroom grows. However, most of the published approaches
regarding this topic in postgraduate education centers on clinical environments.
Models of partnerships between applied public health agencies and academic
centers to produce mutually beneficial online learning opportunities for graduate
level public health courses have not been explored in the literature. METHODS:
East Tennessee State University (ETSU) and the Tennessee Department of Health
(TDH) partnered to build three online, asynchronous epidemiology modules for an
interdisciplinary audience of graduate students. The goals of the modules were to
(1) introduce students to a public health issue, (2) provide students with hands
on learning about data and information available through TDH, and (3) allow
students to connect theory to practice by having them create a product for use by
TDH. TDH created topic-specific modules that would be used within the infectious
disease, chronic disease, and cancer epidemiology courses, and piloted during the
2015-2016 academic term. RESULTS: Conference calls between the two institutions
occurred in the spring and the summer of 2015. Two of the three epidemiology
modules were presented to ETSU staff for critique and edits at an in-person
meeting during the summer. The methods of delivery for each section within a
module varied from recorded webinar format to self-guided instruction. One module
utilized available learning tools provided by the Centers of Disease Control and
Prevention, while the other module was constructed entirely using TDH data. Both
modules included various exercises and assignments to be conducted in class and
as homework and concluded with the student being asked to construct a learning
product as a final project. The ETSU-TDH team decided that this learning product
would be provided back to TDH for possible future use. DISCUSSION: The innovative
partnership between a state government agency and an academic institution has
demonstrated the need for such collaborations in public health. Understanding how
applied public health practice would utilize what is learned in the classroom and
preparing students for real-world application may be the missing link between
theory and practice.
PMID- 27200329
TI - Comparing Efficacy of Online and In-Person Versions of a Training on U.S. Federal
Wage and Hour, Child Labor Laws, and Hazardous Occupations Orders for Secondary
School Professionals.
AB - BACKGROUND: The New Jersey Safe Schools Program (NJSS) offers courses required
for secondary school vocational-career-technical education teachers to become
school-sponsored structured learning experience supervisors. The "Federal Wage
and Hour and Child Labor Laws, Regulations and Hazardous Order Course" (FWH) was
originally conducted in-person by U.S. Department of Labor-Wage and Hour Division
from 2005 to Summer 2013, and then NJSS began conducting this course in-person
(October 2013-April 2015). Staring in March 2015, this course was conducted
online; beta-/pilot tests were conducted in Winter 2014-2015. Starting in May
2015, this course was offered exclusively online. This paper analyzes data from
the in-person and online versions of the FWH, including overall course evaluation
data comparing two versions with similar questions/constructs. METHODS: The New
Jersey Safe Schools Program modifications to FWH included adding information
regarding the Fair Labor Standards Act's Section 14(c) and supplemental case
studies. The online version included information/resources provided during the in
person training plus assessments to supplement each module; the online version
was split into modules to allow participants scheduling flexibility. Participants
were given multiple possible attempts to achieve a minimum passing grade of 70%,
excluding two ungraded activities (crossword puzzles simply completed).
Descriptive statistics evaluated user satisfaction online compared to the in
person version of FWH and performance on aforementioned online assessments
replacing in-person discussions/interactions. RESULTS: Between October 2013 and
April 2015, 160 participants completed the training in person; 156 had complete
data. Between April and November 2015, 78 participants completed the training
online; 74 participants had complete data. Other enrolled participants were in
progress (not done as of 12/23/2015). Overall satisfaction was similarly high for
in-person and online versions of FWH; over 95% of responding participants
recommended this course to colleagues. Course evaluations for in-person
participants indicated 83% felt the course objectives were completely met,
whereas 95% of the responding online cohort felt course objectives were
completely met. Further analyses examined performance of online assessments
regarding number of attempts and scores achieved and performance on highlighted
questions in certain module lessons. CONCLUSION: Data suggested the online format
as a viable alternative to an in-person version of this training and provided
NJSS and agency partners with ideas on how modifications/improvements can be
made.
PMID- 27200330
TI - Factors Associated with Recent HIV Testing among Heterosexuals at High Risk for
HIV Infection in New York City.
AB - BACKGROUND: The Centers for Disease Control and Prevention recommends persons at
high risk for HIV infection in the United States receive annual HIV testing to
foster early HIV diagnosis and timely linkage to health care. Heterosexuals make
up a significant proportion of incident HIV infections (>25%) but test for HIV
less frequently than those in other risk categories. Yet factors that promote or
impede annual HIV testing among heterosexuals are poorly understood. The present
study examines individual/attitudinal-, social-, and structural-level factors
associated with past-year HIV testing among heterosexuals at high risk for HIV.
METHODS: Participants were African-American/Black and Hispanic heterosexual
adults (N = 2307) residing in an urban area with both high poverty and HIV
prevalence rates. Participants were recruited by respondent-driven sampling in
2012-2015 and completed a computerized structured assessment battery covering
background factors, multi-level putative facilitators of HIV testing, and HIV
testing history. Separate logistic regression analysis for males and females
identified factors associated with past-year HIV testing. RESULTS: Participants
were mostly male (58%), African-American/Black (75%), and 39 years old on average
(SD = 12.06 years). Lifetime homelessness (54%) and incarceration (62%) were
common. Half reported past-year HIV testing (50%) and 37% engaged in regular,
annual HIV testing. Facilitators of HIV testing common to both genders included
sexually transmitted infection (STI) testing or STI diagnosis, peer norms
supporting HIV testing, and HIV testing access. Among women, access to general
medical care and extreme poverty further predicted HIV testing, while recent drug
use reduced the odds of past-year HIV testing. Among men, past-year HIV testing
was also associated with lifetime incarceration and substance use treatment.
CONCLUSION: The present study identified gaps in rates of HIV testing among
heterosexuals at high risk for HIV, and both common and gender-specific
facilitators of HIV testing. Findings suggest a number of avenues for increasing
HIV testing rates, including increasing the number and types of settings offering
high-quality HIV testing; promoting STI as well as HIV testing; better
integrating STI and HIV testing systems; implementing peer-driven
social/behavioral intervention approaches to harness the positive influence of
social networks and reduce unfavorable shared peer norms; and specialized
approaches for women who use drugs.
PMID- 27200331
TI - Seasonal Variation in Skin Cancer Diagnosis.
AB - PURPOSE: Seasonality of skin cancer is well known, and it is influenced by a
number of variables, such as exposure and personal characteristics, but also
health service factors. We investigated the variations in the diagnosis melanoma
skin cancer (MSC) and non-melanoma skin cancer (NMSC) during the year. METHODS:
We analyzed incident cases recorded in the Umbria Regional Cancer registry from
1994 to 2010 (1745 cases of MSC, 50% females, and 15,992 NMSC, 41% females). The
Walter-Elwood test was used to assess seasonal effects. Relative risks were
analyzed using negative binomial regression and splines. RESULTS: Seasonality of
MSC and NMSC was similar. Incidence peaks were observed in weeks 8, 24, and 43
(February, July, and October) and troughs in weeks 16, 32, 52, and 1 (August and
December). Both NMSC and MSC cancers showed most elevated risks in autumn. A
seasonal effect was present for trunk (p < 0.001) and absent for face cancers (p
= 0.3). CONCLUSION: The observed pattern of diagnoses presumably depends on
health service factors (e.g., organization of melanoma days, reduced access to
care in August and during Christmas holidays) and personal factors (e.g.,
unclothing in the summer and delays in seeking care). High incidence rates in
autumn could also in part depend on a late cancer progression effect of UV
exposure. More efforts should be placed in order to guarantee uniform access to
care through the year.
PMID- 27200332
TI - Development of Occupational Health Measures for the National Health Security
Preparedness Index.
PMID- 27200333
TI - Mirror, Mirror by the Stairs: The Impact of Mirror Exposure on Stair versus
Elevator Use in College Students.
AB - Previous research has indicated that self-awareness-inducing mirrors can
successfully incite behaviors that align with one's personal values, such as
helping others. Other research has found a large discrepancy between the high
percentage of young adults who report valuing the healthfulness of physical
activity (PA) and the low percentage who actually meet PA participation
standards. However, few studies have examined how mirror exposure and both
perceived and actual body size influence highly valued PA participation among
college students. The present study assessed stair versus elevator use on a
western college campus and hypothesized that mirror exposure would increase the
more personally healthy transportation method of stair use. In accordance with
previous research, it was also hypothesized that males and those with a lower
body mass index (BMI) would be more likely to take the stairs, and that body size
distorting mirrors would impact the stair-elevator decision. One hundred sixty
seven students (51% male) enrolled in an introductory psychology course were
recruited to take a survey about their "transportation choices" at an indoor
campus parking garage. Participants were individually exposed to either no
mirror, a standard full-length mirror, or a full-length mirror manipulated to
make the reflected body size appear either slightly thinner or slightly wider
than normal before being asked to go to the fourth floor of the garage for a
survey. Participants' choice of floor-climbing method (stairs or elevator) was
recorded, and they were administered an Internet-based survey assessing
demographic information, BMI, self-awareness, perceived body size, and other
variables likely to be associated with stair use. Results from logistic
regression analyses revealed that participants who were not exposed to a mirror
[odds ratios (OR) = 0.37, 95% CI: 0.14-0.96], males (OR = 0.33, 95% CI: 0.13
0.85), those with lower BMI (OR = 0.84, 95% CI: 0.71-0.99), those with higher
exercise participation (OR = 1.09, 95% CI: 1.02-1.18), and those engaging in more
unhealthy weight-control behaviors (OR = 1.55, 95% CI: 1.14-2.11) showed
increased odds of taking the stairs. Implications and future directions are
discussed.
PMID- 27200334
TI - Diet and Common Mental Disorders: The Imperative to Translate Evidence into
Action.
PMID- 27200336
TI - Perceptions of the Role of West Virginia's Cooperative Extension Service in
Tobacco Control Coalitions.
AB - Despite the fact that the consequences of tobacco use are well identified and
known, it remains the single most preventable cause of disease and death in the
United States. In West Virginia alone, the adult prevalence of cigarette smoking
is 26.8%. This study researches the perceptions of the Cooperative Extension
Service's involvement and role in county-level coalitions that address tobacco
use in West Virginia. The research findings provide practical areas to increase
the role of the Extension Service in these vital efforts to save lives, reduce
economic hardships on families, and reduce the health-care burden on the state
government.
PMID- 27200335
TI - Depressive Symptoms Are Associated with More Hopelessness among White than Black
Older Adults.
AB - BACKGROUND: Hopelessness is a core component of depression. Our information is,
however, very limited on ethnic variations in the magnitude of the link between
depression and hopelessness. Using a national sample of older adults in United
States, we compared Blacks and Whites for the magnitude of the association
between depressive symptoms and hopelessness. METHODS: With a cross-sectional
design, we used baseline data of the Religion, Aging, and Health Survey, 2001.
Linear regression models were used for data analysis. Depressive symptoms (CES-D)
and hopelessness were conceptualized as independent and dependent variables in
different models, respectively. Demographic factors (age and gender),
socioeconomic status (education and marital status), and health (self-rated
health) were covariates. Ethnicity was the moderator. RESULTS: In the pooled
sample, higher depressive symptoms were predictive of hopelessness, above and
beyond all covariates. We also found significant interactions suggesting that the
association between depressive symptoms and hopelessness is weaker among Blacks
compared to Whites. In ethnic-specific models, there were significant
associations between depressive symptoms and hopelessness among Whites but not
Blacks. CONCLUSION: Depressive symptoms accompany more hopelessness among Whites
than Blacks. This finding may explain why Blacks with depression have a lower
tendency to commit suicide. Future research should test whether or not Whites
with depression better respond to psychotherapies and cognitive behavioral
therapies that focus on hope enhancement. This finding may explain differential
correlates of depression based on race and ethnicity.
PMID- 27200337
TI - Interactive Dissemination: Engaging Stakeholders in the Use of Aggregated Quality
Improvement Data for System-Wide Change in Australian Indigenous Primary Health
Care.
AB - BACKGROUND: Integrating theory when developing complex quality improvement
interventions can help to explain clinical and organizational behavior, inform
strategy selection, and understand effects. This paper describes a theory
informed interactive dissemination strategy. Using aggregated quality improvement
data, the strategy seeks to engage stakeholders in wide-scale data interpretation
and knowledge sharing focused on achieving wide-scale improvement in primary
health-care quality. METHODS: An iterative process involving diverse stakeholders
in Australian Aboriginal and Torres Strait Islander health-care delivery uses
aggregated audit data collected across key areas of care. Phases of reporting and
online feedback are used to identify: (1) priority areas for improvement; (2)
health center, system, and staff attributes that may be important in addressing
the identified priority evidence-practice gaps; and (3) strategies that could be
introduced or strengthened to enable improvement. A developmental evaluation is
being used to refine engagement processes and reports as the project progresses.
DISCUSSION: This innovative dissemination approach is being used to encourage
wide-scale interpretation and use of service performance data by policy-makers,
managers, and other stakeholders, and to document knowledge about how to address
barriers to achieving change. Through the developmental evaluation, the project
provides opportunities to learn about stakeholders' needs in relation to the way
data and findings are described and distributed, and elements of the
dissemination strategy and report design that impact on the useability and uptake
of findings. CONCLUSION: The project can contribute to knowledge about how to
facilitate interactive wide-scale dissemination and about using data to co
produce knowledge to improve health-care quality.
PMID- 27200338
TI - System-Level Action Required for Wide-Scale Improvement in Quality of Primary
Health Care: Synthesis of Feedback from an Interactive Process to Promote
Dissemination and Use of Aggregated Quality of Care Data.
AB - INTRODUCTION: There is an enduring gap between recommended practice and care that
is actually delivered; and there is wide variation between primary health care
(PHC) centers in delivery of care. Where aspects of care are not being done well
across a range of PHC centers, this is likely due to inadequacies in the broader
system. This paper aims to describe stakeholders' perceptions of the barriers and
enablers to addressing gaps in Australian Aboriginal and Torres Strait Islander
chronic illness care and child health, and to identify key drivers for
improvement. METHODS: This paper draws on data collected as part of a large-scale
continuous quality improvement project in Australian Indigenous PHC settings. We
undertook a qualitative assessment of stakeholder feedback on the main barriers
and enablers to addressing gaps in care for Aboriginal and Torres Strait Islander
children and in chronic illness care. Themes on barriers and enablers were
further analyzed to develop a "driver diagram," an improvement tool used to
locate barriers and enablers within causal pathways (as primary and secondary
drivers), enabling them to be targeted by tailored interventions. RESULTS: We
identified 5 primary drivers and 11 secondary drivers of high-quality care, and
associated strategies that have potential for wide-scale implementation to
address barriers and enablers for improving care. Perceived barriers to
addressing gaps in care included both health system and staff attributes. Primary
drivers were: staff capability to deliver high-quality care; availability and use
of clinical information systems and decision support tools; embedding of quality
improvement processes and data-driven decision-making; appropriate and effective
recruitment and retention of staff; and community capacity, engagement and
mobilization for health. Suggested strategies included mechanisms for increasing
clinical supervision and support, staff retention, reorientation of service
delivery, use of information systems and community health literacy. CONCLUSION:
The findings identify areas of focus for development of barrier-driven, tailored
interventions to improve health outcomes. They reinforce the importance of system
level action to improve health center performance and health outcomes, and of
developing strategies to address system-wide challenges that can be adapted to
local contexts.
PMID- 27200339
TI - Transitioning toward Sustainable Development Goals: The Role of Household
Environment in Influencing Child Health in Sub-Saharan Africa and South Asia
Using Recent Demographic Health Surveys.
AB - The Millennium Development Goals are now replaced by 17 sustainable development
goals. The emphasis of old goals was on improving water, sanitation, and child
mortality conditions in developing countries. The study explored the major
question about the association between different household environment conditions
with child survival and health in Sub-Saharan African and South Asian countries
in the current scenario. This paper estimated the risk of death, morbidity, and
undernutrition among children living in households with the improved sources of
water, sanitation, and non-solid cooking fuel. Two sources of information are
explored in this study. First, data from World Health Statistics (WHS)-2014 for
all of the Sub-Saharan African and South Asian countries were used. Second,
available standard Demographic and Health Survey (DHS) performed in the countries
of Sub-Saharan Africa and South Asia after 2010 was included in the study. It
resulted in the inclusion of 15 countries which were Bangladesh (2011), Congo
Republic (2013-2014), Cote d'Ivoire (2011-2012), Ethiopia (2011), Gambia (2013),
Mali (2012-2013), Mozambique (2011), Namibia (2013), Nepal (2011), Niger (2012),
Nigeria (2013), Pakistan (2012-2013), Sierra Leone (2013), Uganda (2011), and
Zambia (2013). The scatter plot diagram was plotted, and the curve was fitted
using the WHS-2014. Cox regression and logistic regression were used to estimate
adjusted risks (odds ratio) of child mortality and health outcomes using DHSs.
The use of non-solid cooking fuel was very high in most of the Sub-Saharan
African and South Asian countries. There was a positive correlation between
improving access to safe drinking water and sanitation. The exponential curve
fitted well with child mortality and household environmental indicators. The use
of improved source of water and sanitation significantly related with the lower
odds ratio of death, morbidity, and undernutrition among children aged 12-59
months. The risks were not significant for children aged less than 12 months. The
study provides evidence that these environmental conditions hold importance for
improving child health, especially in Sub-Saharan African countries.
PMID- 27200341
TI - Base-Modified Nucleosides: Etheno Derivatives.
AB - This review presents synthesis and chemistry of nucleoside analogs, possessing an
additional fused, heterocyclic ring of the "etheno" type, such as 1,N(6)
ethenoadenosine, 1,N(4)-ethenocytidine, 1,N(2)-ethenoguanosine, and other related
derivatives. Formation of ethenonucleosides, in the presence of alpha
halocarbonyl reagents and their mechanism, stability, and degradation, reactions
of substitution and transglycosylation, as well as their application in the
nucleoside synthesis, have been described. Some of the discussed compounds may be
applied as chemotherapeutic agents in antiviral and anticancer treatment, acting
as pro-nucleosides of already known, biologically active nucleoside analogs.
PMID- 27200340
TI - Modified Nucleoside Triphosphates for In-vitro Selection Techniques.
AB - The development of SELEX (Selective Enhancement of Ligands by Exponential
Enrichment) provides a powerful tool for the search of functional
oligonucleotides with the ability to bind ligands with high affinity and
selectivity (aptamers) and for the discovery of nucleic acid sequences with
diverse enzymatic activities (ribozymes and DNAzymes). This technique has been
extensively applied to the selection of natural DNA or RNA molecules but, in
order to improve chemical and structural diversity as well as for particular
applications where further chemical or biological stability is necessary, the
extension of this strategy to modified oligonucleotides is desirable. Taking into
account these needs, this review intends to collect the research carried out
during the past years, focusing mainly on the use of modified nucleotides in
SELEX and the development of mutant enzymes for broadening nucleoside
triphosphates acceptance. In addition, comments regarding the synthesis of
modified nucleoside triphosphate will be briefly discussed.
PMID- 27200342
TI - Design and Preliminary Evaluation of a Two DOFs Cable-Driven Ankle-Foot
Prosthesis with Active Dorsiflexion-Plantarflexion and Inversion-Eversion.
AB - This paper describes the design of an ankle-foot robotic prosthesis controllable
in the sagittal and frontal planes. The prosthesis was designed to meet the
mechanical characteristics of the human ankle including power, range of motion,
and weight. To transfer the power from the motors and gearboxes to the ankle-foot
mechanism, a Bowden cable system was used. The Bowden cable allows for optimal
placement of the motors and gearboxes in order to improve gait biomechanics such
as the metabolic energy cost and gait asymmetry during locomotion. Additionally,
it allows flexibility in the customization of the device to amputees with
different residual limb sizes. To control the prosthesis, impedance controllers
in both sagittal and frontal planes were developed. The impedance controllers
used torque feedback from strain gages installed on the foot. Preliminary
evaluation was performed to verify the capability of the prosthesis to track the
kinematics of the human ankle in two degrees of freedom (DOFs), the mechanical
efficiency of the Bowden cable transmission, and the ability of the prosthesis to
modulate the impedance of the ankle. Moreover, the system was characterized by
describing the relationship between the stiffness of the impedance controllers to
the actual stiffness of the ankle. Efficiency estimation showed 85.4% efficiency
in the Bowden cable transmission. The prosthesis was capable of properly
mimicking human ankle kinematics and changing its mechanical impedance in two
DOFs in real time with a range of stiffness sufficient for normal human walking.
In dorsiflexion-plantarflexion (DP), the stiffness ranged from 0 to 236 Nm/rad
and in inversion-eversion (IE), the stiffness ranged from 1 to 33 Nm/rad.
PMID- 27200343
TI - Effect of Magnesium as Substitute Material in Enzyme-Mediated Calcite
Precipitation for Soil-Improvement Technique.
AB - The optimization of enzyme-mediated calcite precipitation was evaluated as a soil
improvement technique. In our previous works, purified urease was utilized to bio
catalyze the hydrolysis of urea, which causes the supplied Ca(2+) to precipitate
with [Formula: see text] as calcium carbonate. In the present work, magnesium
chloride was newly added to the injecting solutions to delay the reaction rate
and to enhance the amount of carbonate precipitation. Soil specimens were
prepared in PVC cylinders and treated with concentration-controlled solutions
composed of urea, urease, calcium, and magnesium chloride. The mechanical
properties of the treated soil specimens were examined through unconfined
compressive strength (UCS) tests. A precipitation ratio of the carbonate up to
90% of the maximum theoretical precipitation was achieved by adding a small
amount of magnesium chloride. Adding magnesium chloride as a delaying agent was
indeed found to reduce the reaction rate of the precipitation, which may increase
the volume of the treated soil if used in real fields because of the slower
precipitation rate and the resulting higher injectivity. A mineralogical analysis
revealed that magnesium chloride decreases the crystal size of the precipitated
materials and that another carbonate of aragonite is newly formed. Mechanical
test results indicated that carbonate precipitates within the soils and brings
about a significant improvement in strength. A maximum UCS of 0.6 MPa was
obtained from the treated samples.
PMID- 27200344
TI - Editorial: Engineering Synthetic Metabolons: From Metabolic Modeling to Rational
Design of Biosynthetic Devices.
PMID- 27200345
TI - Recent Updates on DTD (D-Tyr-tRNA(Tyr) Deacylase): An Enzyme Essential for
Fidelity and Quality of Protein Synthesis.
AB - During protein synthesis, there are several checkpoints in the cell to ensure
that the information encoded within genetic material is decoded correctly.
Charging of tRNA with its cognate amino acid is one of the important steps in
protein synthesis and is carried out by aminoacyl-tRNA synthetase (aaRS) with
great accuracy. However, due to presence of D-amino acids in the cell, sometimes
aaRS charges tRNA with D-amino acids resulting in the hampering of protein
translational process, which is lethal to the cell. Every species has some
mechanism in order to prevent the formation of D-amino acid-tRNA complex, for
instance DTD (D-Tyr-tRNA deacylase) is an enzyme responsible for the cleavage of
ester bond formed between D-amino acid and tRNA leading to error free translation
process. In this review, structure, function, and enzymatic mechanism of DTD are
discussed. The role of DTD as a drug target is also considered.
PMID- 27200347
TI - Fibroblast Growth Factor 8 Expression in GT1-7 GnRH-Secreting Neurons Is Androgen
Independent, but Can Be Upregulated by the Inhibition of DNA Methyltransferases.
AB - Fibroblast growth factor 8 (FGF8) is a potent morphogen that regulates the
embryonic development of hypothalamic neuroendocrine cells. Indeed, using Fgf8
hypomorphic mice, we showed that reduced Fgf8 mRNA expression completely
eliminated the presence of gonadotropin-releasing hormone (GnRH) neurons. These
findings suggest that FGF8 signaling is required during the embryonic development
of mouse GnRH neurons. Additionally, in situ hybridization studies showed that
the embryonic primordial birth place of GnRH neurons, the olfactory placode, is
highly enriched for Fgf8 mRNA expression. Taken together these data underscore
the importance of FGF8 signaling for GnRH emergence. However, an important
question remains unanswered: How is Fgf8 gene expression regulated in the
developing embryonic mouse brain? One major candidate is the androgen receptor
(AR), which has been shown to upregulate Fgf8 mRNA in 60-70% of newly diagnosed
prostate cancers. Therefore, we hypothesized that ARs may be involved in the
regulation of Fgf8 transcription in the developing mouse brain. To test this
hypothesis, we used chromatin-immunoprecipitation (ChIP) assays to elucidate
whether ARs interact with the 5'UTR region upstream of the translational start
site of the Fgf8 gene in immortalized mouse GnRH neurons (GT1-7) and nasal
explants. Our data showed that while AR interacts with the Fgf8 promoter region,
this interaction was androgen-independent, and that androgen treatment did not
affect Fgf8 mRNA levels, indicating that androgen signaling does not induce Fgf8
transcription. In contrast, inhibition of DNA methyltransferases (DNMT)
significantly upregulated Fgf8 mRNA levels indicating that Fgf8 transcriptional
activity may be dependent on DNA methylation status.
PMID- 27200346
TI - The Complexity of the ERK/MAP-Kinase Pathway and the Treatment of Melanoma Skin
Cancer.
AB - The central role played by the ERK/MAPK pathway downstream of RAS in human
neoplasias is best exemplified in the context of melanoma skin cancer. Signaling
through the MAPK pathway is crucial for the proliferation of melanocytes, the
healthy pigment cells that give rise to melanoma. However, hyper-activation of
the MAPK-pathway is found in over 90% of melanomas with approximately 50% of all
patients displaying mutations in the kinase BRAF, and approximately 28% of all
patients harboring mutations in the MAPK-pathway up-stream regulator NRAS. This
finding has led to the development of BRAF and MEK inhibitors whose application
in the clinic has shown unprecedented survival responses. Unfortunately the
responses to MAPK pathway inhibitors are transient with most patients progressing
within a year and a median progression free survival of 7-10 months. The disease
progression is due to the development of drug-resistance based on various
mechanisms, many of them involving a rewiring of the MAPK pathway. In this
article we will review the complexity of MAPK signaling in melanocytic cells as
well as the mechanisms of action of different MAPK-pathway inhibitors and their
correlation with clinical response. We will reflect on mechanisms of innate and
acquired resistance that limit patient's response, with a focus on the MAPK
signaling network. Because of the resurgence of antibody-based immune-therapies
there is a growing feeling of failure in the targeted therapy camp. However,
recent studies have revealed new windows of therapeutic opportunity for melanoma
sufferers treated with drugs targeting the MAPK pathway, and these opportunities
will be discussed.
PMID- 27200348
TI - The Molecular Architecture of Cell Adhesion: Dynamic Remodeling Revealed by
Videonanoscopy.
AB - The plasma membrane delimits the cell, which is the basic unit of living
organisms, and is also a privileged site for cell communication with the
environment. Cell adhesion can occur through cell-cell and cell-matrix contacts.
Adhesion proteins such as integrins and cadherins also constitute receptors for
inside-out and outside-in signaling within proteolipidic platforms. Adhesion
molecule targeting and stabilization relies on specific features such as
preferential segregation by the sub-membrane cytoskeleton meshwork and within
membrane proteolipidic microdomains. This review presents an overview of the
recent insights brought by the latest developments in microscopy, to unravel the
molecular remodeling occurring at cell contacts. The dynamic aspect of cell
adhesion was recently highlighted by super-resolution videomicroscopy, also named
videonanoscopy. By circumventing the diffraction limit of light, nanoscopy has
allowed the monitoring of molecular localization and behavior at the single
molecule level, on fixed and living cells. Accessing molecular-resolution details
such as quantitatively monitoring components entering and leaving cell contacts
by lateral diffusion and reversible association has revealed an unexpected
plasticity. Adhesion structures can be highly specialized, such as focal adhesion
in motile cells, as well as immune and neuronal synapses. Spatiotemporal
reorganization of adhesion molecules, receptors, and adaptors directly relates to
structure/function modulation. Assembly of these supramolecular complexes is
continuously balanced by dynamic events, remodeling adhesions on various
timescales, notably by molecular conformation switches, lateral diffusion within
the membrane and endo/exocytosis. Pathological alterations in cell adhesion are
involved in cancer evolution, through cancer stem cell interaction with stromal
niches, growth, extravasation, and metastasis.
PMID- 27200349
TI - Protein Kinase D1 Signaling in Angiogenic Gene Expression and VEGF-Mediated
Angiogenesis.
AB - Protein kinase D 1 (PKD-1) is a signaling kinase important in fundamental cell
functions including migration, proliferation, and differentiation. PKD-1 is also
a key regulator of gene expression and angiogenesis that is essential for
cardiovascular development and tumor progression. Further understanding molecular
aspects of PKD-1 signaling in the regulation of angiogenesis may have
translational implications in obesity, cardiovascular disease, and cancer. The
author will summarize and provide the insights into molecular mechanisms by which
PKD-1 regulates transcriptional expression of angiogenic genes, focusing on the
transcriptional regulation of CD36 by PKD-1-FoxO1 signaling axis along with the
potential implications of this axis in arterial differentiation and
morphogenesis. He will also discuss a new concept of dynamic balance between
proangiogenic and antiangiogenic signaling in determining angiogenic switch, and
stress how PKD-1 signaling regulates VEGF signaling-mediated angiogenesis.
PMID- 27200350
TI - CNS Remyelination and the Innate Immune System.
AB - A misguided inflammatory response is frequently implicated in myelin damage.
Particularly prominent among myelin diseases, multiple sclerosis (MS) is an
autoimmune condition, with immune-mediated damage central to its etiology.
Nevertheless, a robust inflammatory response is also essential for the efficient
regeneration of myelin sheaths after such injury. Here, we discuss the functions
of inflammation that promote remyelination, and how these have been
experimentally disentangled from the pathological facets of the immune response.
We focus on the contributions that resident microglia and monocyte-derived
macrophages make to remyelination and compare the roles of these two populations
of innate immune cells. Finally, the current literature is framed in the context
of developing therapies that manipulate the innate immune response to promote
remyelination in clinical myelin disease.
PMID- 27200354
TI - Response: "Commentary: Peripartum Cardiomyopathy in Intensive Care Unit: An
Update".
PMID- 27200352
TI - A Prospective Randomized Study on the Risk of Bacteremia in Banding versus
Sclerotherapy of Esophageal Varices.
AB - BACKGROUND: Esophageal variceal banding may be less likely to cause bacteremia
than sclerotherapy. The existing data about the frequency of bacteremia after
esophageal variceal banding are conflicting, and few studies include both banding
and sclerotherapy. AIMS: We conducted a prospective randomized controlled trial
to compare the frequency of bacteremia after esophageal variceal banding and
sclerotherapy. METHODS: Over a 2-year period, patients with liver disease
admitted for upper gastrointestinal bleeding or for outpatient elective variceal
therapy were enrolled. New patients were randomized preprocedure to either
banding or sclerotherapy, and subsequent sessions utilized the initial procedure.
The groups consisted of banding, sclerotherapy, and endoscopy without variceal
therapy. Subjects underwent endoscopy by one out of three gastroenterologists.
Blood cultures were obtained 5 min before and 30 min after endoscopy to check for
bacteremia. RESULTS: Postendoscopic blood cultures were positive following 4 out
of 139 (2.9%) sessions: 1 sclerotherapy and 3 control sessions. All
postendoscopic positive blood cultures were found following emergency sessions
(4/92, 4.3%). One pre-endoscopic blood culture was positive in a patient with
emergency banding. The rates of positive postendoscopic blood cultures among
groups with emergency banding (0/22, 0%), emergency sclerotherapy (1/41, 2.3%),
and emergency control (3/29, 10.3%) were not significantly different.
Postendoscopic positive blood cultures were not found after elective sessions
with either banding or sclerotherapy. CONCLUSIONS: Postendoscopic bacteremia was
infrequent following emergency endoscopy in patients with esophageal variceal
bleeding. Bacteremia was not found after esophageal variceal banding, although
this was not significantly less frequent than after sclerotherapy. Postendoscopic
bacteremia was not associated with elective variceal therapy.
PMID- 27200353
TI - A Cross-sectional Study of KLKB1 and PRCP Polymorphisms in Patient Samples with
Cardiovascular Disease.
AB - Plasma kallikrein formed from prekallikrein (PK) produces bradykinin from
kininogens and activates factor XII. Plasma PK is activated by factors alphaXIIa,
betaXIIa, or prolylcarboxypeptidase (PRCP). A cross-sectional investigation
determined if there is an association of PRCP and KLKB1 polymorphisms with
cardiovascular disease (CVD). DNA was obtained from 2243 individuals from the
Prevention of Events with Angiotensin Converting Enzyme trial. Two PRCP SNPs,
rs7104980 and rs2298668, and two KLKB1 SNPs, rs3733402 and rs3087505, were
genotyped. Logistic regression models were performed for history of diabetes,
myocardial infarction, stroke, angina, angiographic coronary disease, CABG,
intermittent claudication, percutaneous transluminal coronary angioplasty (PTCA),
and transient ischemic attack. The PRCP SNP rs7104980 increased the odds of
having a history of PTCA by 21% [odds ratio (OR) = 1.211; 95% confidence
intervals (CI) = (1.008, 1.454)]; P = 0.041, but was non-significant after
Bonferroni correction. Alternatively, having the G allele for rs3733402 (KLKB1
gene) decreased the odds of having a history of angiographic coronary disease by
24% [OR = 0.759; 95% CI = (0.622, 0.927)]; P = 0.007 that was statistically
significant (P < 0.01) after Bonferroni correction for multiple hypothesis
testing. When the best-fit model based on the Akaike information criterion
controlled for age, weight, gender, hypertension, and history of angina, the G
allele of KLKB1 rs3733402 that is associated with less plasma kallikrein activity
correlated with reduced history of CVD.
PMID- 27200355
TI - Laparoscopic Common Bile Duct Exploration: 9 Years Experience from a Single
Center.
AB - INTRODUCTION: The aim of the study was to evaluate the safety and feasibility of
laparoscopic common bile duct exploration (LCBDE) through cholangiotomy with T
tube placement in one seance for common bile duct stones (CBDS). METHODS: Between
January 2005 and December 2010, a total of 99 patients with CBDS stones
undergoing LCBDE with T-tube insertion at Enkoping Hospital, Sweden, were
registered prospectively. All patients were followed up by review of the patient
records according to a standardized protocol. RESULTS: No severe intraoperative
complications were registered. Four procedures required conversion to open
cholecystectomy due to impacted stones or technical difficulty. The mean
operative time was 194 min [(SD) 57 min]. The mean postoperative hospital stay
was 4.8 days, SD 2.4 days. At secondary cholangiography, 2 (2%) retained stones
were found. Two (2%) patients had minor bile leakage, which resolved
spontaneously. None of the patients experienced biliary peritonitis, biliary
fistula, pancreatitis, or cholangitis. No death within 30 days after surgery was
seen. No patient was readmitted with clinical signs of stricture. CONCLUSION: If
performed by a surgeon familiar with the technique, LCBDE is a safe and feasible
alternative for managing CBDS. The advantages are most pronounced in the case of
multiple and large CBDS. The risk for retained stones and stricture is low.
PMID- 27200356
TI - Expression of the Components of the Renin-Angiotensin System in Venous
Malformation.
AB - BACKGROUND: Venous malformation (VM) is the most common form of vascular
malformation, consisting of a network of thin-walled ectatic venous channels with
deficient or absent media. This study investigated the expression of the
components of the renin-angiotensin system (RAS), namely, (pro)renin receptor
(PRR), angiotensin-converting enzyme (ACE), angiotensin II receptor 1 (ATIIR1),
and angiotensin II receptor 2 (AIITR2) in subcutaneous (SC) and intramuscular
(IM) VM. MATERIALS AND METHODS: SC (n = 7) and IM (n = 7) VM were analyzed for
the expression of PRR, ACE, ATIIR1, and ATIIR2 using 3,3-diaminobenzidine and
immunofluorescent (IF) immunohistochemical (IHC) staining and NanoString gene
expression analysis. RESULTS: IHC staining showed expression of PRR, ACE, and
ATIIR1, and faint expression of ATIIR2 in the endothelium of SC and IM VM.
Furthermore, ATIIR2 was expressed by cells away from the endothelium in both SC
and IM VM lesions examined. NanoString analysis demonstrated the presence of PRR,
ACE, and ATIIR1 but not ATIIR2. CONCLUSION: The presence of PRR, ACE, ATIIR1, and
potentially ATIIR2, in both SC and IM VM, suggests a role for the RAS in the
biology of VM. This novel finding may lead to a mechanism-based therapy for VM.
PMID- 27200351
TI - p38 MAPK Signaling in Osteoblast Differentiation.
AB - The skeleton is a highly dynamic tissue whose structure relies on the balance
between bone deposition and resorption. This equilibrium, which depends on
osteoblast and osteoclast functions, is controlled by multiple factors that can
be modulated post-translationally. Some of the modulators are Mitogen-activated
kinases (MAPKs), whose role has been studied in vivo and in vitro. p38-MAPK
modifies the transactivation ability of some key transcription factors in
chondrocytes, osteoblasts and osteoclasts, which affects their differentiation
and function. Several commercially available inhibitors have helped to determine
p38 action on these processes. Although it is frequently mentioned in the
literature, this chemical approach is not always as accurate as it should be.
Conditional knockouts are a useful genetic tool that could unravel the role of
p38 in shaping the skeleton. In this review, we will summarize the state of the
art on p38 activity during osteoblast differentiation and function, and emphasize
the triggers of this MAPK.
PMID- 27200357
TI - Delayed Gastric Emptying after Pancreatic Surgery: Analysis of Factors
Determinant for the Short-term Outcome.
AB - BACKGROUND: Delayed gastric emptying (DGE) frequently complicates
pancreatoduodenectomy (PD). Mainly DGE develops as consequence of postoperative
intra-abdominal complications (secondary), while the incidence of primary DGE
(i.e., not related to surgical complications) has rarely been studied. Moreover,
the pathogenesis of DGE is complex and needs to be further elucidated. The
present study aimed at highlighting potential mechanisms behind primary and above
all secondary DGE by studying a variety of different pancreatic surgical
procedures. PATIENTS AND METHODS: During the time period 2008-2011, 327 patients
underwent pancreatic resective procedures at Karolinska University Hospital. Of
these, 242 were PD and 56 tail resections, 17 had a duodenal preserving
pancreatectomy for chronic pancreatitis, and 15 patients with familial duodenal
polyposis had a pancreas preserving duodenectomy. All postoperative courses were
assessed and scored according to Clavien-Dindo. The presence of DGE was evaluated
and recorded according to the definition launched by the International Study
Group for Pancreatic Surgery (ISGPS). Crude associations were studied in a
univariate model, followed by a multivariate analysis of the respective factors.
The associations were presented as odds ratios (ORs) with 95% confidence
intervals (CIs). RESULTS: In total DGE emerged during the postoperative course in
about 40% of the PD cases. About half of those (n = 47) were scored as being
primary. The majority of the primary DGEs were classified as A (n = 26) and only
four as grade C, whereas among the secondary cases significantly more patients
were scored as grade C (p < 0.01). In those submitted to a pancreatic body and
tail resection 25% reported DGE. The distribution of the different grades of DGE
in patients with a tail resection followed the same pattern with a predominance
of Grade A cases with an equal distribution between those being scored as primary
and secondary. Duodenal preservation, as well as keeping the pancreas intact
following duodenectomy, was not followed by primary DGE. Multivariate risk factor
analyses for the development of primary GE revealed no specific risk profile
except for high age. CONCLUSION: DGE is frequently seen after different surgical
procedures directed toward the pancreatic gland. DGE is most commonly seen after
PD, and half of these cases are scored as primary DGE. Primary and secondary DGE
are seen in one-quarter of the cases even after pancreatic tail resection
emphasizing the complex nature of the pathogenesis. Resection of the duodenum as
an important mechanism behind DGE is not supported by the present results.
PMID- 27200358
TI - Robotic Surgery in Gynecology.
AB - Minimally invasive surgery (MIS) can be considered as the greatest surgical
innovation over the past 30 years. It revolutionized surgical practice with well
proven advantages over traditional open surgery: reduced surgical trauma and
incision-related complications, such as surgical-site infections, postoperative
pain and hernia, reduced hospital stay, and improved cosmetic outcome.
Nonetheless, proficiency in MIS can be technically challenging as conventional
laparoscopy is associated with several limitations as the two-dimensional (2D)
monitor reduction in-depth perception, camera instability, limited range of
motion, and steep learning curves. The surgeon has a low force feedback, which
allows simple gestures, respect for tissues, and more effective treatment of
complications. Since the 1980s, several computer sciences and robotics projects
have been set up to overcome the difficulties encountered with conventional
laparoscopy, to augment the surgeon's skills, achieve accuracy and high precision
during complex surgery, and facilitate widespread of MIS. Surgical instruments
are guided by haptic interfaces that replicate and filter hand movements.
Robotically assisted technology offers advantages that include improved three
dimensional stereoscopic vision, wristed instruments that improve dexterity, and
tremor canceling software that improves surgical precision.
PMID- 27200359
TI - The Role of the Membrane-Initiated Heat Shock Response in Cancer.
AB - The heat shock response (HSR) is a cellular response to diverse environmental and
physiological stressors resulting in the induction of genes encoding molecular
chaperones, proteases, and other proteins that are essential for protection and
recovery from cellular damage. Since different perturbations cause accumulation
of misfolded proteins, cells frequently encounter fluctuations in the environment
which alter proteostasis. Since tumor cells use their natural adaptive mechanism
of coping with stress and misfolded proteins, in recent years, the proteostasis
network became a promising target for anti-tumor therapy. The membrane is the
first to be affected by heat shock and therefore may be the first one to sense
heat shock. The membrane also connects between the extracellular and the
intracellular signals. Hence, there is a "cross talk" between the HSR and the
membranes since heat shock can induce changes in the fluidity of membranes,
leading to membrane lipid remodeling that occurs in several diseases such as
cancer. During the last decade, a new possible therapy has emerged in which an
external molecule is used that could induce membrane lipid re-organization. Since
at the moment there are very few substances that regulate the HSR effectively, an
alternative way has been searched to modulate chaperone activities through the
plasma membrane. Recently, we suggested that the use of the membrane Transient
Receptor Potential Vanilloid-1 (TRPV1) modulators regulated the HSR in cancer
cells. However, the primary targets of the signal transduction pathway are yet un
known. This review provides an overview of the current literature regarding the
role of HSR in membrane remodeling in cancer since a deep understanding of the
membrane biology in cancer and the membrane heat sensing pathway is essential to
design novel efficient therapies.
PMID- 27200360
TI - Editorial: Optogenetic Tools in the Molecular Spotlight.
PMID- 27200361
TI - Mathematical Modeling and Dynamic Simulation of Metabolic Reaction Systems Using
Metabolome Time Series Data.
AB - The high-throughput acquisition of metabolome data is greatly anticipated for the
complete understanding of cellular metabolism in living organisms. A variety of
analytical technologies have been developed to acquire large-scale metabolic
profiles under different biological or environmental conditions. Time series data
are useful for predicting the most likely metabolic pathways because they provide
important information regarding the accumulation of metabolites, which implies
causal relationships in the metabolic reaction network. Considerable effort has
been undertaken to utilize these data for constructing a mathematical model
merging system properties and quantitatively characterizing a whole metabolic
system in toto. However, there are technical difficulties between benchmarking
the provision and utilization of data. Although, hundreds of metabolites can be
measured, which provide information on the metabolic reaction system,
simultaneous measurement of thousands of metabolites is still challenging. In
addition, it is nontrivial to logically predict the dynamic behaviors of
unmeasurable metabolite concentrations without sufficient information on the
metabolic reaction network. Yet, consolidating the advantages of advancements in
both metabolomics and mathematical modeling remain to be accomplished. This
review outlines the conceptual basis of and recent advances in technologies in
both the research fields. It also highlights the potential for constructing a
large-scale mathematical model by estimating model parameters from time series
metabolome data in order to comprehensively understand metabolism at the systems
level.
PMID- 27200362
TI - A Functional oriT in the Ptw Plasmid of Burkholderia cenocepacia Can Be
Recognized by the R388 Relaxase TrwC.
AB - Burkholderia cenocepacia is both a plant pathogen and the cause of serious
opportunistic infections, particularly in cystic fibrosis patients. B.
cenocepacia K56-2 harbors a native plasmid named Ptw for its involvement in the
Plant Tissue Watersoaking phenotype. Ptw has also been reported to be important
for survival in human cells. Interestingly, the presence of PtwC, a homolog of
the conjugative relaxase TrwC of plasmid R388, suggests a possible function for
Ptw in conjugative DNA transfer. The ptw region includes Type IV Secretion System
genes related to those of the F plasmid. However, genes in the adjacent region
shared stronger homology with the R388 genes involved in conjugative DNA
metabolism. This region included the putative relaxase ptwC, a putative coupling
protein and accessory nicking protein, and a DNA segment with high number of
inverted repeats and elevated AT content, suggesting a possible oriT. Although we
were unable to detect conjugative transfer of the Ptw resident plasmid, we
detected conjugal mobilization of a co-resident plasmid containing the ptw region
homologous to R388, demonstrating the cloned ptw region contains an oriT. A
similar plasmid lacking ptwC could not be mobilized, suggesting that the putative
relaxase PtwC must act in cis on its oriT. Remarkably, we also detected
mobilization of a plasmid containing the Ptw oriT by the R388 relaxase TrwC, yet
we could not detect PtwC-mediated mobilization of an R388 oriT-containing
plasmid. Our data unambiguously show that the Ptw plasmid harbors DNA transfer
functions, and suggests the Ptw plasmid may play a dual role in horizontal DNA
transfer and eukaryotic infection.
PMID- 27200364
TI - Seroprevalence of Foot-and-Mouth Disease in Susceptible Wildlife in Israel.
AB - Foot-and-mouth disease (FMD) epidemics recur in Israel almost every year. Wild
even-toed ungulates are seldom affected during these epidemics. The
seroprevalence of FMD in wild ungulates during 2000 and 2005-2013 was estimated
using anti-non-structural proteins ELISA. Overall, 209 samples were tested,
comprising sera of 120 wild boar (Sus scrofa lybicus), 64 mountain gazelles
(Gazella gazella gazella), 6 water buffaloes (Bubalus bubalis), and 19 Persian
fallow deer (Dama dama mesopotamica). None of the tested animals presented
clinical signs of FMD during blood collection. Sixteen samples [7.7% (95%
confidence interval (CI95%) = 4.4-12.1%)] were found to be seropositive. Fifteen
out of 120 samples (12.5%) from wild boar were seropositive, compared with only 1
out of 89 samples (1.1%) from all other species combined (Fisher's exact test: p
= 0.003). Most of the positive samples obtained from wild boar [13/15 (86.7%)]
were collected during 2007, and analysis was restricted to that year and species
only. The seroprevalence of FMD in this species during 2007 was estimated at
54.2% (CI95% = 32.8-74.5%; n = 24). A significant infection cluster, comprising
nine seropositive samples collected in three different locations, was identified
in the north-eastern part of Israel. These findings indicate that wild boar was
affected during the 2007 FMD epidemic, even though wild boar presenting FMD
typical clinical signs were not observed during that year. The actual role of
wild boar in the spread of FMD virus in this epidemic, however, could not be
determined. The negligible seroprevalence of FMD found for all other surveillance
years indicates that ongoing circulation of FMD among wildlife in Israel is
unlikely. It is concluded that while the role of wildlife species in the dynamics
of FMD in Israel is usually limited, there might be occasions, in which wildlife
plays a part in the spread of the virus.
PMID- 27200363
TI - The Brugada Syndrome: A Rare Arrhythmia Disorder with Complex Inheritance.
AB - For the last 10 years, applying new sequencing technologies to thousands of whole
exomes has revealed the high variability of the human genome. Extreme caution
should thus be taken to avoid misinterpretation when associating rare genetic
variants to disease susceptibility. The Brugada syndrome (BrS) is a rare
inherited arrhythmia disease associated with high risk of sudden cardiac death in
the young adult. Familial inheritance has long been described as Mendelian, with
autosomal dominant mode of transmission and incomplete penetrance. However, all
except 1 of the 23 genes previously associated with the disease have been
identified through a candidate gene approach. To date, only rare coding variants
in the SCN5A gene have been significantly associated with the syndrome. However,
the genotype/phenotype studies conducted in families with SCN5A mutations
illustrate the complex mode of inheritance of BrS. This genetic complexity has
recently been confirmed by the identification of common polymorphic alleles
strongly associated with disease risk. The implication of both rare and common
variants in BrS susceptibility implies that one should first define a proper
genetic model for BrS predisposition prior to applying molecular diagnosis.
Although long remains the way to personalized medicine against BrS, the high
phenotype variability encountered in familial forms of the disease may partly
find an explanation into this specific genetic architecture.
PMID- 27200365
TI - Computed Tomographic Features in a Case of Bilateral Neoplastic Cryptorchidism
with Suspected Torsion in a Dog.
AB - An 11-year-old male German Shepherd dog presented for inappetence and weight
loss. Physical examination and initial bloodwork revealed palpable abdominal
masses, mild non-regenerative anemia, and thrombocytopenia. Survey radiography
and abdominal ultrasonography confirmed the presence of bilateral abdominal
masses and lymphadenopathy. Contrast-enhanced computed tomography (CT) was
performed in order to further investigate the origin of the intraabdominal
masses, confirming two enlarged cryptorchid testes, one of which had an
associated CT "whirl sign." Histopathology of the testes and lymph nodes revealed
bilateral malignant Sertoli cell tumors and seminomas with lymph node metastasis
of both neoplasms. The purpose of this case report is to discuss the benefits of
CT in the diagnosis of cryptorchid testes and describe an additional organ that
may display CT "whirl sign."
PMID- 27200366
TI - The Relationship between Older Adults' Risk for a Future Fall and Difficulty
Performing Activities of Daily Living.
AB - Functional status is often defined by cumulative scores across indices of
independence in performing basic and instrumental activities of daily living
(ADL/IADL), but little is known about the unique relationship of each daily
activity item with the fall outcome. The purpose of this retrospective study was
to examine the level of relative risk for a future fall associated with
difficulty with performing various tasks of normal daily functioning among older
adults who had fallen at least once in the past 12 months. The sample was
comprised of community-dwelling individuals 70 years and older from the 1984-1990
Longitudinal Study of Aging by Kovar, Fitti, and Chyba (1992). Risk analysis was
performed on individual items quantifying 6 ADLs and 7 IADLs, as well as 10 items
related to mobility limitations. Within a subsample of 1,675 older adults with a
history of at least one fall within the past year, the responses of individuals
who reported multiple falls were compared to the responses of participants who
had a single fall and reported 1) difficulty with walking and/or balance (FRAIL
group, n = 413) vs. 2) no difficulty with walking or dizziness (NDW+ND group, n =
415). The items that had the strongest relationships and highest risk ratios for
the FRAIL group (which had the highest probabilities for a future fall) included
difficulty with: eating (73%); managing money (70%); biting or chewing food
(66%); walking a quarter of a mile (65%); using fingers to grasp (65%); and
dressing without help (65%). For the NDW+ND group, the most noteworthy items
included difficulty with: bathing or showering (79%); managing money (77%);
shopping for personal items (75%); walking up 10 steps without rest (72%);
difficulty with walking a quarter of a mile (72%); and
stooping/crouching/kneeling (70%). These findings suggest that individual items
quantifying specific ADLs and IADLs have substantive relationships with the fall
outcome among older adults who have difficulty with walking and balance, as well
as among older individuals without dizziness or difficulty with walking.
Furthermore, the examination of the relationships between items that are related
to more challenging activities and the fall outcome revealed that higher
functioning older adults who reported difficulty with the 6 items that yielded
the highest risk ratios may also be at elevated risk for a fall.
PMID- 27200367
TI - Pan-cancer analyses of the nuclear receptor superfamily.
AB - Nuclear receptors (NR) act as an integrated conduit for environmental and
hormonal signals to govern genomic responses, which relate to cell fate
decisions. We review how their integrated actions with each other, shared co
factors and other transcription factors are disrupted in cancer. Steroid hormone
nuclear receptors are oncogenic drivers in breast and prostate cancer and
blockade of signaling is a major therapeutic goal. By contrast to blockade of
receptors, in other cancers enhanced receptor function is attractive, as
illustrated initially with targeting of retinoic acid receptors in leukemia. In
the post-genomic era large consortia, such as The Cancer Genome Atlas, have
developed a remarkable volume of genomic data with which to examine multiple
aspects of nuclear receptor status in a pan-cancer manner. Therefore to extend
the review of NR function we have also undertaken bioinformatics analyses of NR
expression in over 3000 tumors, spread across six different tumor types (bladder,
breast, colon, head and neck, liver and prostate). Specifically, to ask how the
NR expression was distorted (altered expression, mutation and CNV) we have
applied bootstrapping approaches to simulate data for comparison, and also
compared these NR findings to 12 other transcription factor families. Nuclear
receptors were uniquely and uniformly downregulated across all six tumor types,
more than predicted by chance. These approaches also revealed that each tumor
type had a specific NR expression profile but these were most similar between
breast and prostate cancer. Some NRs were down-regulated in at least five tumor
types (e.g. NR3C2/MR and NR5A2/LRH-1)) whereas others were uniquely down
regulated in one tumor (e.g. NR1B3/RARG). The downregulation was not driven by
copy number variation or mutation and epigenetic mechanisms maybe responsible for
the altered nuclear receptor expression.
PMID- 27200368
TI - Genetic Variants of CD40 Gene Are Associated with Coronary Artery Disease and
Blood Lipid Levels.
AB - Objectives. The present study aimed to evaluate the effect of CD40 and CXCR4
genes polymorphisms on CAD susceptibility and the blood lipid levels and history
of cardiovascular risk factors in a Chinese Han population. Materials and
Methods. A total of 583 unrelated patients with CAD and 540 controls were
recruited. Two tag SNPs (rs4239702 and rs1535045) at the CD40 locus and one tag
SNP (rs2228014) at the CXCR4 locus were genotyped using the SEQUENOM Mass-ARRAY
system. Results. After adjusting the risk factors, the frequency of rs1535045-T
allele was also higher in patients than controls. Haplotype analysis showed that
the rs4239702(C)-rs1535045(T) haplotype was associated with CAD. People with
rs4239702-TT genotype had higher blood lipid levels in case group while it was
not in the control group. History of cardiovascular risk factors showed no
association for the three SNPs in case group and control group. Conclusions.
rs1535045 in CD40 gene is likely to be associated with CAD in the Chinese Han
population. rs4239702(C)-rs1535045(T) haplotype was associated with CAD. Only in
CAD patients, the blood lipid level of patients with rs4239702-TT genotype was
higher than other patients. CXCR4 gene may not relate to CAD.
PMID- 27200370
TI - Improve the Anaerobic Biodegradability by Copretreatment of Thermal Alkali and
Steam Explosion of Lignocellulosic Waste.
AB - Effective alteration of the recalcitrance properties like crystallization of
cellulose, lignin shield, and interlinking of lignocellulosic biomass is an ideal
way to utilize the full-scale potential for biofuel production. This study
exhibited three different pretreatment effects to enhance the digestibility of
corn stover (CS) for methane production. In this context, steam explosion (SE)
and thermal potassium hydroxide (KOH-60 degrees C) treated CS produced the
maximal methane yield of 217.5 and 243.1 mL/gvs, which were 40.0% and 56.4% more
than untreated CS (155.4 mL/gvs), respectively. Copretreatment of thermal
potassium hydroxide and steam explosion (CPTPS) treated CS was highly significant
among all treatments and improved 88.46% (292.9 mL/gvs) methane yield compared
with untreated CS. Besides, CPTPS also achieved the highest biodegradability up
to 68.90%. Three kinetic models very well simulated dynamics of methane
production yield. Moreover, scanning electron microscopy (SEM), Fourier transform
infrared (FTIR), and X-ray diffraction (XRD) analyses declared the most effective
changes in physicochemical properties after CPTPS pretreatment. Thus, CPTPS might
be a promising approach to deconstructing the recalcitrance of lignocellulosic
structure to improve the biodegradability for AD.
PMID- 27200369
TI - Roles and Clinical Applications of OPG and TRAIL as Biomarkers in Cardiovascular
Disease.
AB - Cardiovascular diseases (CVD) remain the major cause of death and premature
disability in Western societies. Assessing the risk of CVD is an important aspect
in clinical decision-making. Among the growing number of molecules that are
studied for their potential utility as CVD biomarkers, a lot of attention has
been focused on osteoprotegerin (OPG) and its ligands, which are receptor
activator of nuclear factor kappaB ligand (RANKL) and TNF-related apoptosis
inducing ligand. Based on the existing literature and on our experience in this
field, here we review what the possible roles of OPG and TRAIL in CVD are and
their potential utility as CVD biomarkers.
PMID- 27200371
TI - Lycium barbarum Polysaccharide Mediated the Antidiabetic and Antinephritic
Effects in Diet-Streptozotocin-Induced Diabetic Sprague Dawley Rats via
Regulation of NF-kappaB.
AB - Lycium barbarum, extensively utilized as a medicinal plant in China for years,
exhibits antitumor, immunoregulative, hepatoprotective, and neuroprotective
properties. The present study aims to investigate the hyperglycemic and
antidiabetic nephritic effects of polysaccharide which is separated from Lycium
barbarum (LBPS) in high-fat diet-streptozotocin- (STZ-) induced rat models. The
reduced bodyweight and enhanced blood glucose concentration in serum were
observed in diabetic rats, and they were significantly normalized to the healthy
level by 100 mg/kg of metformin (Met) and LBPS at doses of 100, 250, and 500
mg/kg. LBPS inhibited albuminuria and blood urea nitrogen concentration and serum
levels of inflammatory factors including IL-2, IL-6, TNF-alpha, IFN-alpha, MCP-1,
and ICAM-1 compared with diabetic rats, and it indicates the protection on renal
damage. Furthermore, the activities of SOD and GSH-Px in serum were enhanced
strikingly by LBPS which suggests its antioxidation effects. LBPS, compared with
nontreated diabetic rats, inhibited the expression of phosphor-nuclear factors
kappa B (NF-kappaB) and inhibitor kappa B alpha in kidney tissues. Collectively,
LBPS possesses antidiabetic and antinephritic effects related to NF-kappaB
mediated antioxidant and antiinflammatory activities.
PMID- 27200372
TI - Circulating Permeability Factors in Primary Focal Segmental Glomerulosclerosis: A
Review of Proposed Candidates.
AB - Primary focal segmental glomerulosclerosis (FSGS) is a major cause of the
nephrotic syndrome and often leads to end-stage renal disease. This review
focuses on circulating permeability factors in primary FSGS that have been
implicated in the pathogenesis for a long time, partly due to the potential
recurrence in renal allografts within hours after transplantation. Recently,
three molecules have been proposed as a potential permeability factor by
different groups: the soluble urokinase plasminogen activator receptor (suPAR),
cardiotrophin-like cytokine factor-1 (CLCF-1), and CD40 antibodies. Both CLCF-1
and CD40 antibodies have not been validated by independent research groups yet.
Since the identification of suPAR, different studies have questioned the validity
of suPAR as a biomarker to distinguish primary FSGS from other proteinuric kidney
diseases as well as suPAR's pathogenic role in podocyte damage. Researchers have
suggested that cleaved molecules of suPAR have a pathogenic role in FSGS but
further studies are needed to determine this role. In future studies, proposed
standards for the research of the permeability factor should be carefully
followed. The identification of the permeability factor in primary FSGS would be
of great clinical relevance as it could influence potential individual treatment
regimen.
PMID- 27200373
TI - Conditional Granger Causality Analysis of Effective Connectivity during Motor
Imagery and Motor Execution in Stroke Patients.
AB - Aims. Motor imagery has emerged as a promising technique for the improvement of
motor function following stroke, but the mechanism of functional network
reorganization in patients during this process remains unclear. The aim of this
study is to evaluate the cortical motor network patterns of effective
connectivity in stroke patients. Methods. Ten stroke patients with right hand
hemiplegia and ten normal control subjects were recruited. We applied conditional
Granger causality analysis (CGCA) to explore and compare the functional
connectivity between motor execution and motor imagery. Results. Compared with
the normal controls, the patient group showed lower effective connectivity to the
primary motor cortex (M1), the premotor cortex (PMC), and the supplementary motor
area (SMA) in the damaged hemisphere but stronger effective connectivity to the
ipsilesional PMC and M1 in the intact hemisphere during motor execution. There
were tighter connections in the cortical motor network in the patients than in
the controls during motor imagery, and the patients showed more effective
connectivity in the intact hemisphere. Conclusions. The increase in effective
connectivity suggests that motor imagery enhances core corticocortical
interactions, promotes internal interaction in damaged hemispheres in stroke
patients, and may facilitate recovery of motor function.
PMID- 27200374
TI - Prevalence and Influencing Factors of Thyroid Dysfunction in HIV-Infected
Patients.
AB - Thyroid dysfunction is more common in human immunodeficiency virus (HIV)
patients. But the effects of highly active antiretroviral therapy (HAART) and
hepatitis B/C virus (HBV/HCV) coinfection on thyroid function is unclear. We
retrospectively reviewed the data of 178 HIV patients and determined the
prevalence of thyroid dysfunction and the relationship between thyroid hormone
levels, CD4 cell count, HIV-1 duration, HAART duration/regimens, and HBV/HCV
coinfection. Of the 178 patients, 59 (33.1%) had thyroid dysfunction, mostly
hypothyroidism. Thyroid dysfunction was significantly more frequent in the HAART
group (41/104, 39.4%) than in the HAART-naive group (18/74, 24.3%; P < 0.05). The
mean CD4 cell count was significantly lower in patients with hypothyroidism (372
+/- 331/MUL) than in the other patients (P < 0.05). The FT4 level was
significantly lower in the HAART group than in the HAART-naive group (1.09 +/-
0.23 versus 1.20 +/- 0.29 pg/mL, P < 0.05). FT3/FT4 levels were negatively
related to HIV duration and FT3 levels were positively related to CD4 cell (P <
0.05). HBV patients had lower FT3 levels, while HCV patients had higher FT3 and
FT4 levels (P < 0.05). Thyroid dysfunction is more common in HIV patients on
HAART, mainly manifested as hypothyroidism. FT3/FT4 levels are correlated with
HIV progression. HBV/HCV coinfection increases the probability of thyroid
dysfunction.
PMID- 27200375
TI - Lack of an Association between Neutrophil-to-Lymphocyte Ratio and PSA Failure of
Prostate Cancer Patients Who Underwent Radical Prostatectomy.
AB - Introduction. The neutrophil-to-lymphocyte ratio (NLR), which can be easily
calculated from routine complete blood counts of the peripheral blood, has been
suggested to serve as a prognostic factor for some solid malignancies. In the
present study, we aimed to determine the relationship between NLR in prostate
cancer patients undergoing radical prostatectomy (RP) and their prognosis.
Materials and Methods. We assessed NLR in 73 men (patients) who received RP for
their prostate cancer. We also performed immunohistochemistry for CD8 and CD66b
in a separate set of RP specimens. Results. The median NLR in the 73 patients was
1.85. There were no significant correlations of NLR with tumor grade (p = 0.834),
pathological T stage (p = 0.082), lymph node metastasis (p = 0.062), or resection
margin status (p = 0.772). Based on the area under the receiver operator
characteristic curve (AUROC) to predict biochemical recurrence after RP,
potential NLR cut-off point was determined to be 2.88 or 3.88. However, both of
these cut-off points did not precisely predict the prognosis. There were no
statistically significant differences in the number of CD66b-positive neutrophils
or CD8-positive lymphocytes between stromal tissues adjacent to cancer glands and
stromal tissues away from cancer glands and between different grades or stages of
tumors. Conclusions. There was no association between NLR and biochemical failure
after prostatectomy.
PMID- 27200377
TI - Tissue Engineering in Gene and Cell Therapies for Neurological Disorders.
PMID- 27200378
TI - Association of Microalbuminuria with Metabolic Syndrome among Aged Population.
AB - Background. The impact of the various components of metabolic syndrome (MetS) on
chronic kidney disease has been conflicting. We aim to investigate the
association between MetS and microalbuminuria and identify the major contributing
components of MetS that result in microalbuminuria in the Chinese aged
population. Methods. A total of 674 adults aged 55-98 years (males: 266; mean
age: 66.5 +/- 7.5 years) were studied. MetS was defined by the 2004 Chinese
Diabetes Society criteria and microalbuminuria by urine albumin-creatinine ratio
(UACR) >=3 mg/mmoL. Results. The prevalence of microalbuminuria was gradually
increased with increasing number of MetS components (P < 0.05). In multivariate
regression, after adjusting for age and sex, MetS was the strongest correlate of
microalbuminuria (OR = 1.781, 95% CI = 1.226-2.587; P < 0.05) followed by the
fasting plasma glucose (FPG) (OR = 1.217, 95% CI = 1.044-1.092; P < 0.05),
systolic blood pressure (SBP) (OR = 1.011, 95% CI = 1.107-1.338; P < 0.05), and
high-density lipoprotein cholesterol (HDL-C) (OR = 0.576, 95% CI = 0.348-0.953; P
< 0.05). Conclusions. MetS is independently associated with microalbuminuria in
the Chinese aged population. Elevated FPG is the most predominant component of
metabolic syndrome associated with microalbuminuria followed by elevated SBP and
reduced HDL-C.
PMID- 27200376
TI - The Effect of Autologous Platelet Lysate Eye Drops: An In Vivo Confocal
Microscopy Study.
AB - Purpose. To determine the effectiveness of autologous platelet lysate (APL) eye
drops in patients with primary Sjogren syndrome (SS) dry eye, refractory to
standard therapy, in comparison with patients treated with artificial tears. We
focused on the effect of APL on cornea morphology with the in vivo confocal
microscopy (IVCM). Methods. Patients were assigned to two groups: group A used
autologous platelet lysate QID, and group B used preservative-free artificial
tears QID, for 90 days. Ophthalmological assessments included ocular surface
disease index (OSDI), best corrected visual acuity (BCVA), Schirmer test,
fluorescein score, and breakup time (BUT). A subgroup of patients in group A
underwent IVCM: corneal basal epithelium, subbasal nerves, Langerhans cells,
anterior stroma activated keratocytes, and reflectivity were evaluated. Results.
60 eyes of 30 patients were enrolled; in group A (n = 20 patients) mean OSDI,
fluorescein score, and BUT showed significant improvement compared with group B
(n = 10 patients). The IVCM showed a significant increase in basal epithelium
cells density and subbasal nerve plexus density and number and a decrease in
Langerhans cells density (p < 0.05). Conclusion. APL was found effective in the
treatment of SS dry eye. IVCM seems to be a useful tool to visualize cornea
morphologic modifications.
PMID- 27200380
TI - Immune Thrombocytopenia (ITP) Secondary to Subclinical Hashimoto's Thyroiditis:
Role of Levothyroxine in Improving the Clinical Outcome of ITP.
AB - Immune thrombocytopenia (ITP) is the most common cause of isolated
thrombocytopenia in healthy people. ITP may rarely coexist with autoimmune
thyroid disorders, which may indicate more complex defect in immune system.
Primary ITP usually responds well to steroids and intravenous immunoglobulins.
However, ITP may be difficult to treat when associated with thyroid autoimmune
disorders. In such cases, treating the underlying thyroid disorder may
significantly improve platelet count and can either cause remission of disease or
improve response to standard ITP therapy. We report a case of 47-year-old male
who was diagnosed with ITP and was also found to have subclinical Hashimoto's
thyroiditis. Treatment of subclinical hypothyroidism with levothyroxine in our
patient significantly improved the platelets, thus successfully bringing the
disease in remission.
PMID- 27200379
TI - Lower Hemoglobin Concentration Is Associated with Retinal Ischemia and the
Severity of Diabetic Retinopathy in Type 2 Diabetes.
AB - Aims. To assess the association of blood oxygen-transport capacity variables with
the prevalence of diabetic retinopathy (DR), retinal ischemia, and macular oedema
in patients with type 2 diabetes mellitus (T2DM). Methods. Cross-sectional, case
control study (N = 312) with T2DM: 153 individuals with DR and 159 individuals
with no DR. Participants were classified according to the severity of DR and the
presence of retinal ischemia or macular oedema. Hematological variables were
collected by standardized methods. Three logistic models were adjusted to
ascertain the association between hematologic variables with the severity of DR
and the presence of retinal ischemia or macular oedema. Results. Individuals with
severe DR showed significantly lower hemoglobin, hematocrit, and erythrocyte
levels compared with those with mild disease and in individuals with retinal
ischemia and macular oedema compared with those without these disorders.
Hemoglobin was the only factor that showed a significant inverse association with
the severity of DR [beta-coefficient = -0.52, P value = 0.003] and retinal
ischemia [beta-coefficient = -0.49, P value = 0.001]. Lower erythrocyte level
showed a marginally significant association with macular oedema [beta-coefficient
= -0.86, P value = 0.055]. Conclusions. In patients with DR, low blood oxygen
transport capacity was associated with more severe DR and the presence of retinal
ischemia. Low hemoglobin levels may have a key role in the development and
progression of DR.
PMID- 27200383
TI - Validated Measures of Publication Quality: Guide for Novice Researchers to Choose
an Appropriate Journal for Paper Submission.
PMID- 27200381
TI - Weathering a Cytokine Storm: A Case of EBV-Induced Hemophagocytic
Lymphohistiocytosis.
AB - Hemophagocytic lymphohistiocytosis (HLH) is a rare but life-threatening disease
caused by excessive immune activation. Acquired HLH is seen in adults and is
often caused by infection or malignancy. Diagnosis is difficult and usually
missed as clinical and laboratory findings are nonspecific. Moreover, the
pathophysiology of the systemic inflammatory response syndrome and/or sepsis is
remarkably similar to HLH. Thus, in patients presenting with presumed severe
sepsis or septic shock complicated by multiorgan failure without a clear
infectious source, HLH should be considered. A disproportionately high ferritin
may be one of the earlier laboratory findings to suggest HLH. We discuss a case
of a young male who presented with presumed septic shock with multiorgan failure
who was eventually found to have Epstein-Barr virus-induced HLH.
PMID- 27200382
TI - Strategies to generate high-titer, high-potency recombinant AAV3 serotype
vectors.
AB - Although recombinant adeno-associated virus serotype 3 (AAV3) vectors were
largely ignored previously, owing to their poor transduction efficiency in most
cells and tissues examined, our initial observation of the selective tropism of
AAV3 serotype vectors for human liver cancer cell lines and primary human
hepatocytes has led to renewed interest in this serotype. AAV3 vectors and their
variants have recently proven to be extremely efficient in targeting human and
nonhuman primate hepatocytes in vitro as well as in vivo. In the present studies,
we wished to evaluate the relative contributions of the cis-acting inverted
terminal repeats (ITRs) from AAV3 (ITR3), as well as the trans-acting Rep
proteins from AAV3 (Rep3) in the AAV3 vector production and transduction. To this
end, we utilized two helper plasmids: pAAVr2c3, which carries rep2 and cap3
genes, and pAAVr3c3, which carries rep3 and cap3 genes. The combined use of AAV3
ITRs, AAV3 Rep proteins, and AAV3 capsids led to the production of recombinant
vectors, AAV3-Rep3/ITR3, with up to approximately two to fourfold higher titers
than AAV3-Rep2/ITR2 vectors produced using AAV2 ITRs, AAV2 Rep proteins, and AAV3
capsids. We also observed that the transduction efficiency of Rep3/ITR3 AAV3
vectors was approximately fourfold higher than that of Rep2/ITR2 AAV3 vectors in
human hepatocellular carcinoma cell lines in vitro. The transduction efficiency
of Rep3/ITR3 vectors was increased by ~10-fold, when AAV3 capsids containing
mutations in two surface-exposed residues (serine 663 and threonine 492) were
used to generate a S663V+T492V double-mutant AAV3 vector. The Rep3/ITR3 AAV3
vectors also transduced human liver tumors in vivo approximately twofold more
efficiently than those generated with Rep2/ITR2. Our data suggest that the
transduction efficiency of AAV3 vectors can be significantly improved both using
homologous Rep proteins and ITRs as well as by capsid optimization. Thus, the
combined use of homologous Rep proteins, ITRs, and capsids should also lead to
more efficacious other AAV serotype vectors for their optimal use in human gene
therapy.
PMID- 27200384
TI - Posterolateral Corner of the Knee: Current Concepts.
AB - Injuries to the posterolateral corner (PLC) comprise a significant portion of
knee ligament injuries. A high index of suspicion is necessary when evaluating
the injured knee to detect these sometimes occult injuries. Moreover, a thorough
physical examination and a comprehensive review of radiographic studies are
necessary to identify these injuries. In this sense, stress radiographs can help
to objectively determine the extent of these lesions. Non-operative and operative
treatment options have been reported depending on the extent of the injury.
Complete PLC lesions rarely heal with non-operative treatment, and are therefore
most often treated surgically. The purpose of this article was to review the
anatomy and clinically relevant biomechanics, diagnosis algorithms, treatment and
rehabilitation protocols for PLC injuries.
PMID- 27200385
TI - Traumatic First Time Shoulder Dislocation: Surgery vs Non-Operative Treatment.
AB - Management of first shoulder dislocation following reduction remains
controversial. The two main options are immobilisation and arthroscopic
stabilisation. The aim of this article is to highlight some of the issues that
influence decision making when discussing management options with these patients,
including natural history of the first time dislocation, outcomes of surgery and
non-operative management particularly on the risk of future osteoarthritis (OA),
the effects of delaying surgery and the optimal method of immobilisation.
Extensive literature review was performed looking for previous publication
addressing 4 points. i) Natural history of primary shoulder dislocation ii)
Effect of surgical intervention on natural history iii) Risk of long term
osteoarthritis with and without surgical intervention iv) Immobilisation
techniques post reduction. Individuals younger than 25 years old are likely to re
dislocate with non-operative management. Surgery reduces risk of recurrent
instability. Patients with recurrent instability appear to be at a higher risk of
OA. Those who have surgical stabilisation do not appear to be at a higher risk
than those who dislocate just once, but are less likely to develop OA than those
with recurrent instability. Delaying surgery makes the stabilisation more
demanding due to elongation of capsule, progressive labro-ligamentous injury,
prevalence and severity of glenoid bone loss. Recent studies have failed to match
the preliminary outcomes associated with external rotation braces. Defining the
best timing and type of treatment remains a challenge and should be tailored to
each individual's age, occupation and degree of physical activity.
PMID- 27200386
TI - A Chick Embryo in-Vitro Model of Knee Morphogenesis.
AB - BACKGROUND: In this feasibility study, a mechanically loaded in-vitro tissue
culture model of joint morphogenesis using the isolated lower extremity of the 8
day old chick embryo was developed to assess the effects of mechanical loading on
joint morphogenesis. METHODS: The developed in-vitro system allows controlled
flexion and extension of the chick embryonic knee with a range of motion of 20
degrees from a resting position of 90-100 degrees of flexion. Joint morphogenesis
at 2, 3, 4 and 7 days of culture was assessed by histology and micro MRI in 4
specimen types: undisturbed in-ovo control embryos, in-ovo paralyzed embryos, in
vitro unloaded limb cultures, and in-vitro loaded limb cultures. Relative
glycosaminoglycan (GAG) concentration across the joint was assessed with an MRI
technique referred to as dGEMRIC (delayed gadolinium enhanced MRI of cartilage)
where T1 is proportional to glycosaminoglycan concentration. RESULTS: Average T1
over the entire tissue image for the normal control (IC) knee was 480 msec; for
the 4 day loaded specimen average T1 was 354 msec; and for the 7 day loaded
specimens T1 was 393 msec. The 4 day unloaded specimen had an average T1 of 279
msec while the 7 day unloaded specimen had an average T1 of 224 msec. The higher
T1 values in loaded than unloaded specimens suggest that more glycosaminoglycan
is produced in the loaded culture than in the unloaded preparation. CONCLUSION:
Isolated limb tissue cultures under flexion-extension load can be viable and
exhibit more progression of joint differentiation and glycosaminoglycan
production than similarly cultured but unloaded specimens. However, when compared
with controls consisting of intact undisturbed embryos in-ovo, the isolated
loaded limbs in culture do not demonstrate equivalent amounts of absolute growth
or joint differentiation.
PMID- 27200387
TI - Analysis of the Geometry of the Distal Femur and Proximal Tibia in the
Osteoarthritic Knee: A 3D Reconstruction CT Scan Based Study of 449 Cases.
AB - BACKGROUND: The aim of this study is to evaluate the geometry of the distal femur
and the proximal tibia in the osteoarthritic knee using 3D reconstructive CT scan
imaging. METHODS: 449 patients with knee osteoarthritis were treated surgically
in our center with patient-specific technology total knee arthroplasty.
Preoperatively, all the patients underwent a CT scan according to a standard
protocol. Using this database, the Hip-Knee-Angle (HKA), the Femur Valgus Angle
(FVA), the Tibia Varus Angle (TVA), the Posterior Tibia Slope (PTS), and the
angle between the posterior condylar axis and the anatomical transepicondylar
axis (PCA) for each patient were recorded and statistically evaluated. RESULTS:
In overall, the mean HKA angle was 177.3+/-5.55, the mean FVA angle was 3.19+/
2.08, the mean TVA was 3.28+/-2.35, the PTS angle was 9.02+/-3.46, and the PCA
angle was 2.86+/-0.78. Evaluation of the correlations between HKA and PCA
(r=0.035), HKA and PTS (r=-0.047), and PCA and PTS (r=0.05) showed non
significant relationships (P=0.46, P=0.32, and P=0.29 respectively). No
significant differences were revealed from the comparison of male patients with
female patients, regarding the mean HKA, FVA, TVA, PTS, and PCA. CONCLUSION: The
posterior condylar axis is a well-defined but not a reliable axis, while the
transepicondylar and the anteroposterior are reliable, but not easily defined
axes. Given the large ranges and standard deviations of the location of posterior
condylar axis, and the important inter- and intraobserver variability in the
intraoperative location of the transepicondylar and the anteroposterior axes, the
use of a preoperative 3D CT scan is recommended.
PMID- 27200389
TI - Malnutrition in Joint Arthroplasty: Prospective Study Indicates Risk of Unplanned
ICU Admission.
AB - BACKGROUND: Malnutrition has been linked to poor outcomes after elective joint
arthroplasty, but the risk of unplanned postoperative intensive care unit (ICU)
admission in malnourished arthroplasty patients is unknown. METHODS: 1098
patients were followed as part of a prospective risk stratification program at a
tertiary, high-volume arthroplasty center. Chronic malnutrition was defined as
preoperative albumin <3.5 g/dL. RESULTS: The overall incidence of malnutrition
was 16.9% (primary and revision arthroplasty patients). Average BMI was highest
for patients in albumin category 3.0-3.5 (BMI 35.7). Preoperative albumin <3.0
and <3.5 g/dL translated to 15.4% and 3.8% rates of unplanned ICU admission,
respectively, indicating nutritional status to be a factor in postoperative ICU
admission. CONCLUSION: Patients with poor nutritional status must be counseled on
the risks of adverse medical complications.
PMID- 27200388
TI - Evaluation of Distal Femoral Rotational Alignment with Spiral CT Scan before
Total Knee Arthroplasty (A Study in Iranian population).
AB - BACKGROUND: Evaluating the landmarks for rotation of the distal femur is a
challenge for orthopedic surgeons. Although the posterior femoral condyle axis is
a good landmark for surgeons, the surgical transepicondylar axis may be a better
option with the help of preoperative CT scanning. The purpose of this study was
to ascertain relationships among the axes' guiding distal femur rotational
alignment in preoperative CT scans of Iranian patients who were candidates for
total knee arthroplasty and the effects of age, gender, and knee alignment on
these relationships. METHODS: One hundred and eight cases who were admitted to
two university hospitals for total knee arthroplasty were included in this study.
The rotation of the distal femur was evaluated using single axial CT images
through the femoral epicondyle. Four lines were drawn digitally in this view:
anatomical and surgical transepicondylar axes, posterior condylar axis and the
Whiteside anteroposterior line. The alignment of the extremity was evaluated in
the standing alignment view. Then the angles were measured along these lines and
their relationship was evaluated. RESULTS: The mean angle between the anatomical
transepicondylar axis and posterior condylar axis and between the surgical
transepicondylar axis and posterior condylar axis were 5.9 +/- 1.6 degrees and
1.6+/-1.7 degrees respectively. The mean angle between the Whiteside's
anteroposterior line and the line perpendicular to the posterior condylar axis
was 3.7+/-2.1 degrees. Significant differences existed between the two genders in
these relationships. No significant correlation between the age of patients and
angles of the distal femur was detected. The anatomical surgical transepicondylar
axis was in 4.3 degrees external rotation in relation to the surgical
transepicondylar axis. CONCLUSION: Preoperative CT scanning can help accurately
determine rotational landmarks of the distal femur. If one of the reference axes
cannot be determined, other reference axes can be used because of the good
correlation between these landmarks.
PMID- 27200390
TI - Pelvic Incidence in Patients with Hip Osteoarthritis.
AB - BACKGROUND: Hip osteoarthritis (OA) is a major cause of pain and disability that
results in considerable social and medical costs. Mechanics such as posture,
alignment and orientation of the hips and the spinal column and the relationship
between these factors have been implicated in the development of both hip and
spine pathologies. This study aims to test the hypothesis if pelvic incidence
varies in patients with and without osteoarthritis. We assessed the relationship
between spinopelvic alignment as measured by pelvic incidence (PI) and the
presence of hip OA. METHODS: We collected supine pelvis CT scans of 1,012
consecutive patients not known to have hip OA. Our first group consisted of 95
patients with moderate to severe hip OA as per radiology reports. The second
group included 87 patients with no evidence of hip OA. Power analysis revealed
the need for 77 patients per group to find a mean difference in PI of 5 degrees
or less between both groups. Two trained physicians independently measured the PI
to account for inter-observer reliability. RESULTS: Patients with moderate to
severe hip OA had a mean PI of 56.5 degrees +/-12.8 degrees . The mean PI for
patients without hip OA was 57.2 degrees +/-7.5 degrees . An independent samples
t-test revealed no significant difference between the PI values of the two
groups. Spearman's correlation coefficient of 0.754 demonstrated a high inter
observer reliability. CONCLUSION: There was no difference in PI angle of hip OA
patients and "healthy" patients. Our measurements of patients without OA were
almost identical to the reported normal PI values in the literature. It appears
that hip OA is not associated with PI angle, refuting the hypothesis made in
previous studies, stating that elevated PI contributes to the future development
of hip arthritis. CT scan seems to be a reliable and accurate way of assessing
pelvic incidence.
PMID- 27200391
TI - Position of the Patella among Emirati Adult Knees. Is Insall-Salvati Ratio
Applicable to Middle-Easterners?
AB - BACKGROUND: Abnormal patellar height is associated with anterior knee pain and
several conditions that affect the patellofemoral joint. The aim of this study
was to 1) report the incidence of patella alta and patella baja and 2)
investigate whether the normal limits of the Insall-Salvati ratio is applicable
in adult Middle-Easterners. METHODS: A radiographic review of the lateral
radiographs of 736 Middle-Eastern knees were performed. Patellar tendon length
(TL) and the patellar length (TP) was digitally measured and the ratios of these
measures was used to calculate the Insall-Salvati ratio. RESULTS: The overall
mean TL/PL ratio was 1.20+/-0.17. The Insall-Salvati ratio was higher (p=0.0013)
in males (1.22+/- 0.12) than in females (1.18+/-0.17). According to our
measurement, the recommended levels for defining abnormal patellar position
should be 0.86 for patella baja and 1.54 for patella alta. CONCLUSION: The use of
TL/PL ratio demonstrated a higher incidence of patella alta and a higher mean
TL/PL ratio compared to other techniques. The normal ranges for the TL/PL differs
from western populations and may be attributed to lifestyle differences.
PMID- 27200392
TI - MRI-Arthroscopic Correlation in Rotator Cuff Tendon Pathologies; A Comparison
between Various Centers.
AB - BACKGROUND: Magnetic resonance imaging (MRI) has long been considered a perfect
imaging study for evaluation of shoulder pathologies despite occasional
discrepancies between MR reports and arthroscopic findings. In this study we aim
to evaluate impact of imaging center as an indicator of image quality on accuracy
of MRI reports in diagnosis of rotator cuff tendon pathologies. METHODS: We
reviewed MR reports of 64 patients who underwent arthroscopy in university center
hospital. MRIs were done in various centers including both university-affiliated
and out-centers. All studies were reported by two radiologists in consensus
unaware of the arthroscopic results or previous reports. An inter-observer
agreement analysis using the kappa statistics was performed to determine
consistency among imaging and surgical reports. RESULTS: Kappa values for out
centers were as follows: 0.785 for biceps, 0.469 for suscapularis, 0.846 for
supraspinatus and 0.785 for infraspinatus tendons. In university centers values
were 0.799 for biceps, 0.802 for suscapularis, 0.789 for supraspinatus and 0.770
for infraspinatus tendons. CONCLUSION: Image reporting in university centers with
proficient sequences increased accuracy of diagnosis in 3/4 of evaluated features
and showed subtle decreased inter-observer agreement in 1/4 of features.
Uniformity of the scanners and protocols as well as evaluation on a workstation
rather than hard copies cumulatively resulted in a meaningful increase in the
accuracy of the same radiologists in diagnosis of rotator cuff tendon tear.
PMID- 27200393
TI - A Comparison of Hand Pain and Hand Function after Z-plasty Reconstruction of the
Transverse Carpal Ligament with Traditional Median Neurolysis in Carpal Tunnel
Syndrome.
AB - BACKGROUND: Carpal tunnel syndrome is the most common focal mono-neuropathy. A
study was designed to compare the effects of traditional open carpal tunnel
release with median neurolysis and Z-plasty reconstruction of the transverse
carpal ligament on post-operative hand pain and hand function in patients with
idiopathic carpal tunnel syndrome. METHODS: Fifty-two patients with idiopathic
carpal tunnel syndrome entered the study. The patients were randomly assigned
into two groups to undergo simple transverse carpal ligament release or division
of the ligament with Z-lengthening reconstruction. Forty-five patients completed
the study. Two patients of the simple open surgery group and 5 patients of the Z
plasty reconstruction group did not complete the follow up course. After the
procedure, the patients were followed to assess post-operative pain and hand
function during a 12-week period. RESULTS: The scores of hand pain on the first
day after surgery were not statistically different between the two groups
(P=0.213). But the score of hand pain was significantly lower in the Z-plasty
reconstruction group at week 1, week 3, and week 6 after surgery (P<0.001).
However, at week 12, no patient complained of hand pain in both groups.
Considering hand function, no patient had normal hand grip after the first week,
but after three weeks, a significantly higher proportion of patients in the Z
plasty reconstruction group had reached near normal hand grip (76.1% vs. 29.1%;).
However, at weeks 6 and 12, the differences were not statistically different
between the two groups. CONCLUSION: We observed significant reduction in hand
pain, shorter duration of hand pain and shorter period of time to reach normal
hand grip by Z-plasty reconstruction of the TCL.
PMID- 27200394
TI - Assessment of Decisional Conflict about the Treatment of carpal tunnel syndrome,
Comparing Patients and Physicians.
AB - BACKGROUND: As part of the process of developing a decision aid for carpal tunnel
syndrome (CTS) according to the Ottawa Decision Support Framework, we were
interested in the level of 'decisional conflict' of hand surgeons and patients
with CTS. This study addresses the null hypothesis that there is no difference
between surgeon and patient decisional conflict with respect to test and
treatment options for CTS. Secondary analyses assess the impact of patient and
physician demographics and the strength of the patient-physician relationship on
decisional conflict. METHODS: One-hundred-twenty-three observers of the Science
of Variation Group (SOVG) and 84 patients with carpal tunnel syndrome completed a
survey regarding the Decisional Conflict Scale. Patients also filled out the Pain
Self-efficacy Questionnaire (PSEQ) and the Patient Doctor Relationship
Questionnaire (PDRQ-9). RESULTS: On average, patients had significantly greater
decision conflict and scored higher on most subscales of the decisional conflict
scale than hand surgeons. Factors associated with greater decision conflict were
specific hand surgeon, less self-efficacy (confidence that one can achieve one's
goals in spite of pain), and higher PDRQ (relationship between patient and
doctor). Surgeons from Europe have--on average--significantly more decision
conflict than surgeons in the United States of America. CONCLUSIONS: Patients
with CTS have more decision conflict than hand surgeons. Decision aids might help
narrow this gap in decisional conflict.
PMID- 27200396
TI - Corrective Osteotomy for Intra-Articular Distal Humerus Malunion.
AB - BACKGROUND: An intra-articular distal humerus malunion can be disabling. To
improve function, reduce pain and/or prevent further secondary osteoarthritis an
intra-articular corrective osteotomy can be considered. Herein we present the
indications, practical guidelines for pre- operative planning and surgical
technique. Subsequently, we provide long-term results in a small series. METHODS:
We included six consecutive patients operated for intra-articular distal humerus
malunion. Mean follow-up was 88 months. At lastest follow up elbow function was
assessed according to standardized questionnaires and classification systems.
RESULTS: All six patients healed their osteotomies. Three patients had a
postoperative complication which were treated succesfully. Range of motion
improved significantly and all patients were satisfied with the outcome. The
elbow performance scores were good to excellent in all. Correlation analyses
showed that age and level of osteoarthritis are very strong predictors for the
long-term elbow function and quality of life. CONCLUSION: An intra-articular
corrective osteotomy for a malunited distal humerus fracture is a worthwhile
procedure. Based on our results it should particularly be considered in young
patients with minimal osteoarthritis and moderate to severe functional disability
and/or pain.
PMID- 27200395
TI - Temporal and Spatial Expression of TGF-b1 in the Early Phase of Patellar Tendon
Healing after Application of Platelet Rich Plasma.
AB - BACKGROUND: The aim of this study is to find out the spatial and temporal
expression of TGF-b1 during the tendon healing, after application of Platelet
Rich Plasma (PRP). METHODS: A patellar tendon defect model in rabbits was used
for this purpose. 48 skeletally mature New Zealand White rabbits, weighing 3.5
kg, were used for this study. Equal numbers of animals from both groups were
sacrificed at 4 different time points (1st, 2nd, 3rd, and 4th week). A full
thickness patellar tendon substance in the right limb of each animal was excised
from its central portion during the operation. PRP with a gel form was applied
and filled the tendon defect in PRP group. No PRP was applied in the tendon
defect of controls. Histological sections with hematoxylin-eosin and
immunohistochemical sections with an anti-TGF-b1 primary antibody were made for
the evaluation of the results. RESULTS: A differentiation of the healing process
was observed in the PRP group in comparison with the control group. TGF-b1
expression was detected in various cell populations (inflammatory cells,
endothelial cells, macrophages, and tenocytes). Both cytoplasmic and nuclear
expressions were present. The larger amounts of immunoexpression were localized
in epitenon and in the repair site. PRP group showed stronger and more extensive
staining at 1st and 2nd week (P<0.0001), whereas control group showed more
extensive staining at the 3(rd) and 4(th) week (P<0.0001). CONCLUSIONS: Our study
demonstrates that locally application of PRP result in an alteration of TGF-b1
expression during the healing of a patellar tendon defect.
PMID- 27200397
TI - Aneurysmal Bone Cyst: An Analysis of 38 Cases and Report of Four Unusual Surface
Ones.
AB - Aneurysmal bone cyst (ABC) is a benign expansile bone tumor, most commonly
involving the medulla of long bones. ABC rarely arises within the cortex or in
the subperiosteal region, radiographically mimicking other conditions, in
particular surface osteosarcomathat is low-grade in nature and may go secondary
ABC changes, and telangiectatic osteosarcoma. Both of these are sometimes
mistaken microscopically for primary ABC. We review the characteristics of ABC
cases in our center and report four unusualsurface ABCs arising in the
subperiosteal or cortical region of long bones, identified among 38
histologically proven ABCs during a four-year period in our center. The surface
ABCs occurred at an older agewith a predilection for diaphysis of femur, tibia,
and humerus.
PMID- 27200398
TI - Treatment of Displaced Sacroiliac Fracture Using the Lateral Window for Short
Plate Buttress Reduction and Percutaneous Sacroiliac Screw Fixation.
AB - Fractures through the sacroiliac joint are very challenging to treat, technically
difficult to reduce through closed methods on account of the multiaxial
displacement of fractures fragments, frequently occur in very unwell patients,
and have poor outcomes if malreduction is present. We describe a technique
utilising the lateral window and a short buttress plate to reduce and stabilize
the fragments prior to percutaneous fixation with sacroiliac screws.
PMID- 27200399
TI - Interstitial Tear of the Subscapularis Tendon, Arthroscopic Findings and
Technique of Repair.
AB - Tears of the subscapularis tendon have been significantly recognized as a source
of shoulder pain and dysfunction in the past decade, thanks to arthroscopic
evaluation of the shoulder and biomechanical and anatomical studies of the
tendon. Current classification of subscapularis tendon tear is based on insertion
site of the tendon. Recently, a classification for non-insertional types of
subscapularis tendon tear has been published. Interstitial tear of subscapularis
tendon has not been described in classifications available in the literature.
This report describes significant interstitial tear of the subscapularis tendon.
This tear looks normal in superior, bursal and articular sides. Then its specific
arthroscopic findings as "Air bag sign" and repair technique of the pathology is
explained.
PMID- 27200400
TI - Tailoring Tendon Transfer Surgery and Rehabilitation for a Musician: A Case
Study.
AB - Tendon transfers in hand patients are a commonly performed procedure after
extensor tendon rupture. However, the standard side to side technique is not
applicable in every patient. We present a case of a musician with unique demands
to demonstrate the option to customize surgical technique and therapy regimen to
the unique needs of each patient. An extensor indicis proprius to extensor
digitorum communis transfer was performed in a 73 year old musician. A controlled
active motion therapy protocol was followed. The patients musical practice
regimen was incorporated into the therapy. The patient was able to independently
extend her ring and small fingers in order to play her instrument and resumed
play within one month postoperatively. A patient's functional goals including
avocations need to be considered when selecting the appropriate surgical and
therapeutic approach.
PMID- 27200401
TI - Fanconi Anemia Concurrent with an Unusual Thumb Polydactyly: A Case Report.
AB - This case report presents a case of Fanconi's Anemia with an unusual thumb
polydactyly in a 2-year-old boy. The extra thumb had no nail, nail bed and distal
phalanx. The extra thumb had no active motion. The duplication of the thumb
occurred at the carpometacarpal joint but its morphology did not match with any
classification described for thumb polydactyly. Although his thumb polydactyly
was apparent at birth, Fanconi's anemia was not suspected until during a routine
pre-operative laboratory test (CBC) for the elective surgery of his thumb. An
early diagnosis of FA is important and the hand surgeons may be the first to have
the opportunity to suspect and diagnose the underlying life threatening disorder.
This case report presented an opportunity to diagnosis a fatal disorder by a
routine pre operative laboratory test. To the best of my knowledge, the phenotype
of the thumb polydactyly of the current case has not yet reported.
PMID- 27200402
TI - Neglected Alkaptonuric Patient Presenting with Steppage Gait.
AB - Even though intervertebral disc degeneration can be found in the natural course
of alkaptonuria, detection of the disease by black disc color change in a patient
without any other presentation of alkaptonuria is an exceptionally rare
condition. We have reported a very rare case of alkaptonuria presented with low
back pain and steppage gait in a 51-year-old male with a complaint of chronic low
back pain and steppage gait who was operated on for prolapsed lumbar disc
herniation. Intraoperatively his lumbar disk was discovered to be black. The
alkaptonuria diagnosis was considered after histopathological examination of the
black disc material and elevated urinary concentration of homogentisic acid
confirmed the diagnosis. To our knowledge, this presentation has not been
reported previously in literature.
PMID- 27200404
TI - Comparison of organ-specific-radiation dose levels between 70 kVp perfusion CT
and standard tri-phasic liver CT in patients with hepatocellular carcinoma using
a Monte-Carlo-Simulation-based analysis platform.
AB - PURPOSE: The aim of this study was to systematically compare organ-specific
radiation dose levels between a radiation dose optimized perfusion CT (dVPCT)
protocol of the liver and a tri-phasic standard CT protocol of the liver using a
Monte-Carlo-Simulation-based analysis platform. METHODS AND MATERIALS: The
complete CT data of 52 patients (41 males; mean age 65 +/- 12) with suspected HCC
that underwent dVPCT examinations on a 3rd generation dual-source CT (Somatom
Force, Siemens) with a dose optimized tube voltage of 70 kVp or 80 kVp were
exported to an analysis platform (Radimetrics, Bayer). The dVPCT studies were
matched with a reference group of 50 patients (35 males; mean age 65 +/- 14) that
underwent standard tri-phasic CT (sCT) examinations of the liver with 130 kVp
using the calculated water-equivalent-diameter of the patients. The analysis
platform was used for the calculation of the organ-specific effective dose (ED)
as well as global radiation-dose parameters (ICRP103). RESULTS: The organ
specific ED of the dVPCT protocol was statistically significantly lower when
compared to the sCT in 14 of 21, and noninferior in a total of 18 of 21 examined
items (all p < 0.05). The EDs of the dVPCT examinations were especially in the
dose sensitive organs such as the red marrow (17.3 mSv vs 24.6 mSv, p = < 0.0001)
and the liver (33.3 mSv vs 46.9 mSv, p = 0.0003) lower when compared to the sCT.
CONCLUSION: Our results suggest that dVPCT performed at 70 or 80 kVp compares
favorably to sCT performed with 130 kVp with regard to effective organ dose
levels, especially in dose sensitive organs, while providing additional
functional information which is of paramount importance in patients undergoing
novel targeted therapies.
PMID- 27200405
TI - Retracted: Differential Evolution Algorithm with Diversified Vicinity Operator
for Optimal Routing and Clustering of Energy Efficient Wireless Sensor Networks.
AB - [This retracts the article DOI: 10.1155/2015/729634.].
PMID- 27200403
TI - Iranian Joint Registry (Iranian National Hip and Knee Arthroplasty Registry).
AB - Periodic evaluation and monitoring the health and economic outcome of joint
replacement surgery is a common and popular process under the territory of joint
registries in many countries. In this article we introduce the methodology used
for the foundation of the National Iranian Joint Registry (IJR) with a joint
collaboration of the Social Security Organization (SSO) and academic research
departments considering the requirements of the Iran's Ministry of Health and
Education.
PMID- 27200406
TI - Retracted: An Improved Differential Evolution Solution for Software Project
Scheduling Problem.
AB - [This retracts the article DOI: 10.1155/2015/232193.].
PMID- 27200407
TI - Retracted: Dynamic Harmony Search with Polynomial Mutation Algorithm for Valve
Point Economic Load Dispatch.
AB - [This retracts the article DOI: 10.1155/2015/147678.].
PMID- 27200408
TI - A New Surgical Approach for the Treatment of Conjunctivochalasis: Reduction of
the Conjunctival Fold with Bipolar Electrocautery Forceps.
AB - Aim. To report a new surgical technique for the treatment of conjunctivochalasis.
Methods. A new surgical technique in which specially designed bipolar
electrocautery forceps facilitate the complete reduction of the conjunctival
folds without creating lesions near the corneoscleral limbus was designed. A
retrospective revision of the medical records of patients treated with this
technique between the years 2011 and 2013 was made, and eighteen eyes of sixteen
patients with conjunctivochalasis treated with this new technique were included.
Results. All the eyes treated showed a significant improvement with no evidence
of scar lesions after a mean follow-up time of 10 months. Conclusions. The
surgical technique presented here could be a good alternative for the management
of conjunctivochalasis.
PMID- 27200409
TI - Retracted: An Automatic Web Service Composition Framework Using QoS-Based Web
Service Ranking Algorithm.
AB - [This retracts the article DOI: 10.1155/2015/207174.].
PMID- 27200410
TI - Retracted: Medical Dataset Classification: A Machine Learning Paradigm
Integrating Particle Swarm Optimization with Extreme Learning Machine Classifier.
AB - [This retracts the article DOI: 10.1155/2015/418060.].
PMID- 27200411
TI - The Comparison of Lichtenstein Procedure with and without Mesh-Fixation for
Inguinal Hernia Repair.
AB - Aim. Although inguinal hernia repair is the most frequently performed surgical
procedure in the world, the best repair method has not gained acceptance yet. The
ideal repair must be safe, simple, and easy to perform and require minimal
dissection which provides enough exploration, maintain patient's comfort in the
early stage, and also be cost-effective, reducing operation costs, labor loss,
hospital stay, and recurrence. Materials and Methods. There were eighty-five
patients between the ages of 18 and 75, diagnosed with inguinal hernia in our
clinic. Lichtenstein procedure for hernia repair was performed under spinal
anesthesia in all patients. Forty-two patients had the standard procedure and, in
43 patients, the polypropylene mesh was used without fixation. All patients were
examined and questioned on the 7th day of the operation in terms of pain, scrotal
edema, and the presence of seroma and later on in the 6th postoperative month in
terms of paresthesia, neuropraxia, and recurrence by a single physician. Results.
Operative time and pain scores in the nonfixation group were significantly lower,
without any increase in rates of recurrence. Conclusion. Based on these findings,
in Lichtenstein hernia repair method, nonfixation technique can be used safely
with better results.
PMID- 27200412
TI - Perturbations of Respiratory Rhythm and Pattern by Disrupting Synaptic Inhibition
within Pre-Botzinger and Botzinger Complexes.
AB - The pre-Botzinger (pre-BotC) and Botzinger (BotC) complexes are the brainstem
compartments containing interneurons considered to be critically involved in
generating respiratory rhythm and motor pattern in mammals. Current models
postulate that both generation of the rhythm and coordination of the inspiratory
expiratory pattern involve inhibitory synaptic interactions within and between
these regions. Both regions contain glycinergic and GABAergic neurons, and
rhythmically active neurons in these regions receive appropriately coordinated
phasic inhibition necessary for generation of the normal three-phase respiratory
pattern. However, recent experiments attempting to disrupt glycinergic and
GABAergic postsynaptic inhibition in the pre-BotC and BotC in adult rats in vivo
have questioned the critical role of synaptic inhibition in these regions, as
well as the importance of the BotC, which contradicts previous physiological and
pharmacological studies. To further evaluate the roles of synaptic inhibition and
the BotC, we bilaterally microinjected the GABAA receptor antagonist gabazine and
glycinergic receptor antagonist strychnine into the pre-BotC or BotC in
anesthetized adult rats in vivo and in perfused in situ brainstem-spinal cord
preparations from juvenile rats. Muscimol was microinjected to suppress neuronal
activity in the pre-BotC or BotC. In both preparations, disrupting inhibition
within pre-BotC or BotC caused major site-specific perturbations of the rhythm
and disrupted the three-phase motor pattern, in some experiments terminating
rhythmic motor output. Suppressing BotC activity also potently disturbed the
rhythm and motor pattern. We conclude that inhibitory circuit interactions within
and between the pre-BotC and BotC critically regulate rhythmogenesis and are
required for normal respiratory motor pattern generation.
PMID- 27200413
TI - Mapping Cortical Responses to Somatosensory Stimuli in Human Infants with
Simultaneous Near-Infrared Spectroscopy and Event-Related Potential Recording.
AB - Near-infrared spectroscopy (NIRS) and electroencephalography (EEG) have recently
provided fundamental new information about how the newborn brain processes
innocuous and noxious somatosensory information. However, results derived
independently from these two techniques are not entirely consistent, raising
questions about the relationship between hemodynamic and electrophysiological
responses in the study of touch and pain processing in the newborn. To address
this, we have recorded NIRS and EEG responses simultaneously for the first time
in the human infant following noxious (time-locked clinically required heel
lances) and innocuous tactile cutaneous stimulation in 30 newborn infants. The
results show that both techniques can be used to record quantifiable and distinct
innocuous and noxious evoked activity at a group level in the newborn cortex.
Noxious stimulation elicits a peak hemodynamic response that is 10-fold larger
than that elicited by an innocuous stimulus (HbO2: 2.0 vs 0.3 uM) and a distinct
nociceptive-specific N3P3 waveform in electrophysiological recordings. However, a
novel single-trial analysis revealed that hemodynamic and electrophysiological
responses do not always co-occur at an individual level, although when they do
(64% of noxious test occasions), they are significantly correlated in magnitude.
These data show that, while hemodynamic and electrophysiological touch and pain
brain activity in newborn infants are comparable in group analyses, important
individual differences remain. These data indicate that integrated and multimodal
brain monitoring is required to understand central touch and pain processing in
the newborn.
PMID- 27200415
TI - Pharmacokinetic, biodistribution and therapeutic efficacy of 5-fluorouracil
loaded pH-sensitive PEGylated liposomal nanoparticles in HCT-116 tumor bearing
mouse.
AB - The objective of the study was to investigate the pharmacokinetics and efficacy
of 5-FU entrapped pH-sensitive liposomal nanoparticles with surface-modified anti
epidermal growth factor receptor (EGFR) antibody (pHLNps-5-FU) delivery system.
Cytotoxicity of 5-FU and pHLNps-5-FU was determined in vitro against HCT-116
cells. The biodistribution and pharmacokinetic parameters of the administered 5
FU and pHLNps-5-FU as well as efficacy of 5-FU and pHLNps-5-FU were determined in
HCT-116 subcutaneous mouse model. Mean size of pHLNp-5-FU was 164.3 +/- 8.4 nm
with entrapment efficiency (E.E) of 54.17%. While cytotoxicity of 5-FU and pHLNps
5-FU showed a strong dose-dependent, pHLNps-5-FU proved to be more effective (2-3
fold high) than that of 5-FU against HCT-116 cells. Pharmacokinetic study showed
a prolonged plasma circulation of pHLNps-5-FU and a more significant body
exposure while accumulation of pHLNps-5-FU in tumor was significantly higher than
that of free 5-FU. Further, the efficacy of pHLNps-5-FU, was greater than free 5
FU at equivalent 5-FU dose. The study suggests that pHLNps may be an effective
drug delivery system to enhance the anticancer activity of 5-FU against
colorectal tumor growth.
PMID- 27200414
TI - Quantifying Repetitive Transmission at Chemical Synapses: A Generative-Model
Approach.
AB - The dependence of the synaptic responses on the history of activation and their
large variability are both distinctive features of repetitive transmission at
chemical synapses. Quantitative investigations have mostly focused on trial
averaged responses to characterize dynamic aspects of the transmission--thus
disregarding variability--or on the fluctuations of the responses in steady
conditions to characterize variability--thus disregarding dynamics. We present a
statistically principled framework to quantify the dynamics of the probability
distribution of synaptic responses under arbitrary patterns of activation. This
is achieved by constructing a generative model of repetitive transmission, which
includes an explicit description of the sources of stochasticity present in the
process. The underlying parameters are then selected via an expectation
maximization algorithm that is exact for a large class of models of synaptic
transmission, so as to maximize the likelihood of the observed responses. The
method exploits the information contained in the correlation between responses to
produce highly accurate estimates of both quantal and dynamic parameters from the
same recordings. The method also provides important conceptual and technical
advances over existing state-of-the-art techniques. In particular, the repetition
of the same stimulation in identical conditions becomes unnecessary. This paves
the way to the design of optimal protocols to estimate synaptic parameters, to
the quantitative comparison of synaptic models over benchmark datasets, and, most
importantly, to the study of repetitive transmission under physiologically
relevant patterns of synaptic activation.
PMID- 27200416
TI - Age, Stigma, Adherence and Clinical Indicators in HIV-Infected Women.
AB - Stigma has become a gendered phenomenon that affects increasing numbers of HIV
infected women worldwide. This study examined the role of age as a possible
moderator of the relationship between stigma and antiretroviral therapy
adherence, CD4% and viral load among 120 HIV-infected women. A secondary analysis
was conducted using data from the Keeping Healthy and Active with Risk Reduction
and Medication Adherence (KHARMA) Project, an National Institutes of Health (NIH)
funded randomized controlled trial to improve Antiretroviral treatment (ART)
adherence and reduce risky behaviors in HIV-infected women at five clinical sites
in a South-eastern city from 2005 to 2008. Stigma was measured using the
Perceived Personal Stigma of Human Immunodeficiency Virus and Acquired Immune
Deficiency Syndrome (HIV/AIDS) scale. Among participants <50 years old (n=90),
age was significantly associated with viral load (rho=-.24, p=.02) and stigma was
negatively associated with CD4% (r =-.26, p=.02). For the 30 participants >50
years old, age was not significantly associated with viral load, stigma or CD4%,
and there was no significant association between stigma and CD4% (r=.07, p=.70).
These findings indicate the need for further study regarding this potential
moderating effect and possible interventions to address the susceptibility of
younger women to the harmful effects of stigma.
PMID- 27200417
TI - Gradient-Based Algorithm for Determining Tumor Volumes in Small Animals Using
Planar Fluorescence Imaging Platform.
AB - Planar fluorescence imaging is widely used in biological research because of its
simplicity, use of non-ionizing radiation, and high-throughput data acquisition.
In cancer research, where small animal models are used to study the in vivo
effects of cancer therapeutics, the output of interest is often the tumor volume.
Unfortunately, inaccuracies in determining tumor volume from surface-weighted
projection fluorescence images undermine the data, and alternative physical or
conventional tomographic approaches are prone to error or are tedious for most
laboratories. Here, we report a method that uses a priori knowledge of a tumor
xenograft model, a tumor-targeting near infrared probe, and a custom-developed
image analysis planar view tumor volume algorithm (PV-TVA) to estimate tumor
volume from planar fluorescence images. Our algorithm processes images obtained
using near infrared light for improving imaging depth in tissue in comparison
with light in the visible spectrum. We benchmarked our results against the actual
tumor volume obtained from a standard water volume displacement method. Compared
with a caliper-based method that has an average deviation from an actual volume
of 18% (204.34 +/- 115.35 mm3), our PV-TVA average deviation from the actual
volume was 9% (97.24 +/- 70.45 mm3; P < .001). Using a normalization-based
analysis, we found that bioluminescence imaging and PV-TVA average deviations
from actual volume were 36% and 10%, respectively. The improved accuracy of tumor
volume assessment from planar fluorescence images, rapid data analysis, and the
ease of archiving images for subsequent retrieval and analysis potentially lend
our PV-TVA method to diverse cancer imaging applications.
PMID- 27200418
TI - The Impact of Arterial Input Function Determination Variations on Prostate
Dynamic Contrast-Enhanced Magnetic Resonance Imaging Pharmacokinetic Modeling: A
Multicenter Data Analysis Challenge.
AB - Dynamic contrast-enhanced MRI (DCE-MRI) has been widely used in tumor detection
and therapy response evaluation. Pharmacokinetic analysis of DCE-MRI time-course
data allows estimation of quantitative imaging biomarkers such as Ktrans(rate
constant for plasma/interstitium contrast reagent (CR) transfer) and ve
(extravascular and extracellular volume fraction). However, the use of
quantitative DCE-MRI in clinical prostate imaging islimited, with uncertainty in
arterial input function (AIF, i.e., the time rate of change of the concentration
of CR in the blood plasma) determination being one of the primary reasons. In
this multicenter data analysis challenge to assess the effects of variations in
AIF quantification on estimation of DCE-MRI parameters, prostate DCE-MRI data
acquired at one center from 11 prostate cancer patients were shared among nine
centers. Each center used its site-specific method to determine the individual
AIF from each data set and submitted the results to the managing center. Along
with a literature population averaged AIF, these AIFs and their reference-tissue
adjusted variants were used by the managing center to perform pharmacokinetic
analysis of the DCE-MRI data sets using the Tofts model (TM). All other variables
including tumor region of interest (ROI) definition and pre-contrast T1 were kept
the same to evaluate parameter variations caused by AIF variations only.
Considerable pharmacokinetic parameter variations were observed with the within
subject coefficient of variation (wCV) of Ktrans obtained with unadjusted AIFs as
high as 0.74. AIF-caused variations were larger in Ktrans than ve and both were
reduced when reference-tissue-adjusted AIFs were used. The parameter variations
were largely systematic, resulting in nearly unchanged parametric map patterns.
The CR intravasation rate constant, kep (= Ktrans/ve), was less sensitive to AIF
variation than Ktrans (wCV for unadjusted AIFs: 0.45 for kepvs. 0.74 for Ktrans),
suggesting that it might be a more robust imaging biomarker of prostate
microvasculature than Ktrans.
PMID- 27200419
TI - Insulin decreases atherosclerosis by inducing endothelin receptor B expression.
AB - Endothelial cell (EC) insulin resistance and dysfunction, caused by diabetes,
accelerates atherosclerosis. It is unknown whether specifically enhancing EC
targeted insulin action can decrease atherosclerosis in diabetes. Accordingly,
overexpressing insulin receptor substrate-1 (IRS1) in the endothelia of Apoe-/-
mice (Irs1/Apoe-/-) increased insulin signaling and function in the aorta.
Atherosclerosis was significantly reduced in Irs1/ApoE-/- mice on diet-induced
hyperinsulinemia and hyperglycemia. The mechanism of insulin's enhanced
antiatherogenic actions in EC was related to remarkable induction of NO action,
which increases endothelin receptor B (EDNRB) expression and intracellular
[Ca2+]. Using the mice with knockin mutation of eNOS, which had Ser1176 mutated
to alanine (AKI), deleting the only known mechanism for insulin to activate
eNOS/NO pathway, we observed that IRS1 overexpression in the endothelia of
Aki/ApoE-/- mice significantly decreased atherosclerosis. Interestingly,
endothelial EDNRB expression was selectively reduced in intima of arteries from
diabetic patients and rodents. However, endothelial EDNRB expression was
upregulated by insulin via P13K/Akt pathway. Finally EDNRB deletion in EC of Ldlr
/- and Irs1/Ldlr-/- mice decreased NO production and accelerated atherosclerosis,
compared with Ldlr-/- mice. Accelerated atherosclerosis in diabetes may be
reduced by improving insulin signaling selectively via IRS1/Akt in the EC by
inducing EDNRB expression and NO production.
PMID- 27200420
TI - Effects of aqueous extract from Asparagus officinalis L. roots on hypothalamic
pituitary-gonadal axis hormone levels and the number of ovarian follicles in
adult rats.
AB - BACKGROUND: Asparagus is a plant with high nutritional, pharmaceutical, and
industrial values. OBJECTIVE: The present study aimed to evaluate the effect of
aqueous extract of asparagus roots on the hypothalamic-pituitary-gonadal axis
hormones and oogenesis in female rats. MATERIALS AND METHODS: In this
experimental study, 40 adult female Wistar rats were divided into five groups,
which consist 8 rats. Groups included control, sham and three experimental groups
receiving different doses (100, 200, 400 mg/kg/bw) of aqueous extract of
asparagus roots. All dosages were administered orally for 28 days. Blood samples
were taken from rats to evaluate serum levels of Gonadotropin releasing hormone
(GnRH), follicular stimulating hormone (FSH), Luteinal hormone (LH), estrogen,
and progesterone hormones. The ovaries were removed, weighted, sectioned, and
studied by light microscope. RESULTS: Dose-dependent aqueous extract of asparagus
roots significantly increased serum levels of GnRH, FSH, LH, estrogen, and
progestin hormones compared to control and sham groups. Increase in number of
ovarian follicles and corpus luteum in groups treated with asparagus root extract
was also observed (p<0.05). CONCLUSION: Asparagus roots extract stimulates
secretion of hypothalamic- pituitary- gonadal axis hormones. This also positively
affects oogenesis in female rats.
PMID- 27200421
TI - Effect of body weight on serum homocysteine level in patients with polycystic
ovarian syndrome: A case control study.
AB - BACKGROUND: Polycystic ovarian syndrome (PCOS) represent one of the common
endocrine disorders which influence around 8% of reproductive women whom usually
suffering from obesity and increase cardiovascular risk. Serum homocysteine
levels are associated with bad impact on endothelial functions and considered as
an independent risk factor for cardiovascular disease. OBJECTIVE: The aim was to
study the level of plasma homocysteine in obese and non-obese Iraqi patients with
PCOS. MATERIALS AND METHODS: This study was carried out on 207 women. Of theme,
101 women with PCOS and 106 PCOS- free women served as controls. Blood sample was
taken from each participant on the 2(nd) day of menstruation morning after an
overnight fasting. Serum levels of follicle-stimulating hormone (FSH),
luteinizing hormone (LH), free testosterone and androstenedione were measured.
Moreover, total lipid profile and plasma homocysteine levels were measured in
both groups. RESULTS: Sixty percent of PCOS women were overweight or obese and
56% of them had a waist circumference >88cm. Moreover plasma homocysteine
concentrations were found to be higher in patients with PCOS (11.5+/-5.41MUmol/L)
as compared with control (8.10+/-1.89 MUmol/L) (p<0.002). Furthermore the
homocysteine concentrations were 13.19+/-5.97 MUmol/L and 9.38+/-2.99 MUmol/L in
both obese and normal-weight PCOS women respectively which was significantly
higher than obese (p<0.002) and normal-weight (p<0.004) control women.
CONCLUSION: Increase in body weight is not an independent risk factor to increase
plasma homocysteine levels in PCOS women.
PMID- 27200422
TI - Sexual dysfunction in infertile women.
AB - BACKGROUND: Sexual problems have different effects on the life of people by
influencing their interpersonal and marital relationships and satisfaction.
Relationship between sexual dysfunctions and infertility can be mutual. Sexual
dysfunction may cause difficulty conceiving but also attempts to conceive, may
cause sexual dysfunction. OBJECTIVE: This paper compares sexual dysfunction in
fertile and infertile women. MATERIALS AND METHODS: In this cross-sectional
study, 110 infertile couples referring to Montasarieh Infertility Clinic and 110
fertile couples referring to five healthcare centers in Mashhad were selected by
class cluster sampling method. Data collection tools included demographic
questionnaire and Glombok-Rust Inventory of Sexual Satisfaction. Data were
analyzed through descriptive and analytical statistical methods by SPSS. RESULTS:
There was no significant difference in total score of sexual problems and other
dimensions of sexual problems (except infrequency) in fertile 28.9 (15.5) and
infertile 29.0 (15.4) women. Fertile women had more infrequency than infertile
women (p=0.002). CONCLUSION: There was no significant difference between fertile
and infertile women in terms of sexual problems. Paying attention to sexual
aspects of infertility and presence of programs for training of sexual skills
seems necessary for couples.
PMID- 27200423
TI - Effect of Genistein on reproductive parameter and serum nitric oxide levels in
morphine-treated mice.
AB - BACKGROUND: The predominant phytoestrogen in soy and derived products is the
isoflavone Genistein. Genistein has antioxidant properties. Morphine is a main
psychoactive chemical in opium that can increase the generation of free radicals
and therefore it could adversely affects the spermatogenesis. OBJECTIVE: The main
goal was to investigate whether the Genistein could protect morphine adverse
effects on sperm cells viability, count, motility, and testis histology and
testosterone hormone and nitric oxide in blood serum. MATERIALS AND METHODS: In
this study, various doses of Genistein (0, 1, 2, and 3 mg/kg) and Genistein plus
morphine (0, 1, 2, and 3 mg/kg) were administered interaperitoneally to 48 male
mice for 30 consequent days. These mice were randomly assigned to 8 groups (n=6)
and sperm parameters (sperm cells viability, count, motility and morphology),
testis weight and histology, testosterone hormone (ELISA method), FSH and LH
hormones (immunoradiometry) and serum nitric oxide (griess assay) were analyzed
and compared. RESULTS: The results indicated that morphine administration
significantly decreased testosterone (0.03 ng/mg) LH and FSH level, histological
parameters, count, viability (55.3%), morphology and motility of sperm cells
(1%), testis weight (0.08 gr) and increase nitric oxide compared to saline group
(p=0.00). However, administration of Genistein and Genistein plus morphine
significantly boosted motility, morphology, count, viability of sperm cells,
seminiferous tubules diameter, germinal thickness, testosterone, LH and FSH while
decrease nitric oxide level in all groups compared to morphine group (p<0.025).
CONCLUSION: It seems that Genistein administration could increase the quality of
spermatozoa and prevent morphine- induced adverse effects on sperm parameters.
PMID- 27200424
TI - The IL-6 -634C/G polymorphism: a candidate genetic marker for the prediction of
idiopathic recurrent pregnancy loss.
AB - BACKGROUND: Recurrent pregnancy loss (RPL) is defined as two or more miscarriages
before the 20(th) week of gestation and its etiology is unknown in 50% of the
cases. Interleukin 6 is an immune mediator, plays a regulatory role in embryo
implantation and placental development. OBJECTIVE: The purpose was to assess the
association between IL-6 -634C/G polymorphism and, susceptibility to idiopathic
RPL for the first time in Iran. MATERIALS AND METHODS: In total 121 women with
RPL and 121 healthy women as control group were enrolled in this case-control
study. This study was performed from August 2013 to October 2014 in the Molecular
Genetics Laboratory of Arsanjan University. Candidate polymorphism was evaluated
by PCR-RFLP method on extracted genomic DNA. Data was analyzed using the
statistical SPSS package. RESULTS: Our results showed an increased risk of RPL in
patients with GG + GC genotype (OR=5.1, 95%CI: 1.04-25.3, p=0.04) in comparison
to CC genotype. The frequency of mutant allele G in patients and controls was
0.75 and 0.66 respectively. The mutant allele G predisposes women to miscarriage
1.5 times greater than controls (OR=1.5, 95%CI: 1.03-2.27, p=0.036). The mean
number of live births in RPL women (1.3+/-2.3) was significantly lower compared
to control women (4.8+/-2.3). CONCLUSION: This study indicated that the promoter
polymorphism (-634C/G) of the IL-6 gene has likely influence on individual
susceptibility to RPL.
PMID- 27200425
TI - Effect of acute lithium administration on penile erection: involvement of nitric
oxide system.
AB - BACKGROUND: Lithium has been the treatment of choice for bipolar disorder (BD)
for many years. Although erectile dysfunction is a known adverse effect of this
drug, the mechanism of action by which lithium affects erectile function is still
unknown. OBJECTIVE: The aim was to investigate the possible involvement of nitric
oxide (NO) in modulatory effect of lithium on penile erection (PE). We further
evaluated the possible role of Sildenafil in treatment of lithium-induced
erectile dysfunction. MATERIALS AND METHODS: Erectile function was determined
using rat model of apomorphine-induced erections. For evaluating the effect of
lithium on penile erection, rats received intraperitoneal injection of graded
doses of lithium chloride 30 mins before subcutaneous injection of apomorphine.
To determine the possible role of NO pathway, sub-effective dose of N (G)-nitro-L
arginine methyl ester (L-NAME), a nitric oxide synthase (NOS) inhibitor, was
administered 15 min before administration of sub-effective dose of lithium
chloride. In other separate experimental groups, sub- effective dose of the
nitric oxide precursor, L-arginine, or Sildenafil was injected into the animals
15 min before administration of a potent dose of lithium. 30 min after
administration of lithium chloride, animals were assessed in apomorphine test.
Serum lithium levels were measured 30 min after administration of effective dose
of lithium. RESULTS: Lithium at 50 and 100 mg/kg significantly decreased number
of PE (p<0.001), whereas at lower doses (5, 10 and 30 mg/kg) had no effect on
apomorphine induced PE. The serum Li+ level of rats receiving 50 mg/kg lithium
was 1+/-0.15 mmol/L which is in therapeutic range of lithium. The inhibitory
effect of Lithium was blocked by administration of sub-effective dose of nitric
oxide precursor L-arginine (100 mg/kg) (p<0.001) and sildenafil (3.5 mg/kg)
(p<0.001) whereas pretreatment with a low and sub-effective dose of L-NAME
(10mg/kg) potentiated sub-effective dose of lithium, (p<0.001). CONCLUSION: These
results suggest acute treatments with lithium cause erectile dysfunction in an in
vivo rat model. Furthermore it seems that the NO pathway might play role in
erectile dysfunction associated with lithium treatment. Findings also suggest
that Sildenafil may be effective in treatment of lithium-associated erectile
dysfunction.
PMID- 27200426
TI - Comparison of sexual dysfunction in women with infertility and without
infertility referred to Al-Zahra Hospital in 2013-2014.
AB - BACKGROUND: One of the affected aspects in infertile women that have not been
given sufficient attention is sexual function. Sexual function is a key factor in
physical and marital health, and sexual dysfunction could significantly lower the
quality of life. Aim of this study was to assess the comparison sexual
dysfunction in women with infertility and without infertility, admitted to Al-
Zahra Hospital. OBJECTIVE: We decided to assess the prevalence of women sexual
disorders in fertile and infertile subjects, admitted to Al-Zahra Hospital.
MATERIALS AND METHODS: 149 fertile and 147 infertile women who referred to
infertility clinic of Al-Zahra Hospital during 2013-2014 were entered this cross
sectional study and Female Sexual Function Index questionnaire (FSFI) had been
filled by all the cases. Most of women were married for 6-10 years (35.5%) and
mean marriage time in participants was 9.55+/-6.07 years. Data were analyzed
using SPSS software Ver. 18 and (2) test and logistic regression model has been
used for analysis. RESULTS: Results showed significant differences between desire
(p=0.004), arousal (p=0.001), satisfaction (p=0.022) and total sexual dysfunction
(p=0.011) in both groups but in lubrication (p=0.266), orgasm (p=0.61) and pain
(p=0.793) difference were not significant. CONCLUSION: Some of sexual dysfunction
indices are high in all infertile women. Our findings suggest that infertility
impacts on women's sexual function in desire, arousal, satisfaction and total
sexual dysfunction. Health care professional should be sensitive to impact that
diagnosis of infertility can have on women's sexuality.
PMID- 27200427
TI - The effect of Non- ionizing electromagnetic field with a frequency of 50 Hz in
Rat ovary: A transmission electron microscopy study.
AB - BACKGROUND: Recently, there are increasing concerns and interests about the
potential effects of Electromagnetic Field (EMF) on both human and animal health.
OBJECTIVE: The goal of this study was to evaluate the harmful effects of 50 Hz
non-ionizing EMF on rat oocytes. MATERIALS AND METHODS: In this experimental
study 30 rats were randomly taken from laboratory animals and their ags and
weights were determined. These 3 month's old rats were randomly divided into 3
groups. The control group consisted of 10 rats without receiving any treatment
and kept under normal conditions. Experimental group 1 (10 rats) received EMF for
8 weeks (3 weeks intrauterine +5 weeks after births) and experimental group 2 (10
rats) received EMF for 13 weeks (3 weeks intrauterine +10 weeks after birth).
After removing the ovaries and isolating follicles, granulosa cells were fixed in
glutaraldehyde and osmium tetroxide. Electron microscopy was used to investigate
the traumatic effects of EMF on follicles. RESULTS: In control group nucleus
membrane and mitochondria in follicle's cytoplasm seemed normal in appearance.
Theca layer of primary follicles in experimental group was separated clearly,
zona layer demonstrated trot with irregular thickness and ovarian stroma seemed
isolated with dilated vessels showing infiltration. CONCLUSION: According to the
results of this study, it can be concluded that EMF has harmful effects on the
ovarian follicles.
PMID- 27200428
TI - Protective effect of methanolic extract of Berberis integerrima Bunge. root on
carbon tetrachloride-induced testicular injury in Wistar rats.
AB - BACKGROUND: Tissue protective effect of compounds with antioxidant properties has
been demonstrated. The alkaloids found in barberry root are considered as
antioxidants. OBJECTIVE: According to barberry protective effects in different
tissues, in this study, the protective effect of Berberis integerrima Bge. root
)MEBIR) was evaluated against CCl4-induced testicular damages in Wistar rats.
MATERIALS AND METHODS: 40 mature male rats were randomly divided into 5 groups:
1: Normal control, 2: Sham: received CCl4 diluted in olive oil (50% v/v; 1ml/kg
bw), intraperitoneally, twice a week for 4 weeks, 3 and 4: Sham rats treated with
MEBIR (250 and 500 mg/kg bw) for 28 days, 5: Sham rats treated with silymarin (50
mg/kg bw) for 28 days. After 28 days, serum testosterone level, absolute testis
weight, catalase activity, malondialdehyde level, and histological parameters
were investigated. RESULTS: In the treated rats with MEBIR (250 and 500 mg/kg bw)
or silymarin (50 mg/kg bw), there was a significant increase in the absolute
testis weight, testosterone level, seminiferous tubules diameter (p<0.001),
thickness of the epithelium, tubule differentiation index) p<0.001),
spermiogenesis index (p<0.001), the activity of catalase, and a significant
decrease in interstitial tissue thickness (p<0.001) and malondialdehyde level in
comparison with CCl4-treated group. The effect of the MEBIR at dose of 500 mg/kg
bw is more than that of the standard drug, silymarin (50 mg/kg bw). CONCLUSION:
From the results, it is suggested that the protective effects of MEBIR is
possibly due to antioxidant effects of its bioactive compounds.
PMID- 27200429
TI - Coadministration of calcium chloride with lead acetate can improve motility of
cauda epididymal spermatozoa in Swiss white mice.
AB - BACKGROUND: Lead is an industrial heavy metal that can decrease sperm motility.
OBJECTIVE: The aim was to investigate the protective effects of calcium against
lead on motility of spermatozoa. MATERIALS AND METHODS: In total 40 adult male
Swiss white mice were randomly divided into 5 groups (control, lead of 1(st) wk,
lead of 2(nd) wk, lead/calcium of 1(st) wk and lead/calcium of 2(nd) wk). The
lead groups of mice were injected by a single dose of lead acetate (200 mg/kg)
intraperitoneally. Lead/calcium groups of mice were injected by a single same
dose of lead acetate along with three doses of 80 mg/kg calcium chloride. The
control group of mice was injected only with same volume of distilled water
through the same route. Mice of 1(st) and 2(nd) wk groups were sacrificed through
cervical dislocation one and two weeks after injections respectively. RESULTS:
Mean of the progressive motile spermatozoa of cauda epididymis in lead/calcium
group of the first week was higher than the lead group of the first week and this
difference was significant. There was not any significant difference among weight
of testes and epididymides of all groups. CONCLUSION: It can be concluded that
calcium can decrease the effects of lead on sperm motility.
PMID- 27200430
TI - Quantitative Evaluation of MDP-Ca Salt and DCPD after Application of an MDP-based
One-step Self-etching Adhesive on Enamel and Dentin.
AB - PURPOSE: To investigate the effects of an experimental 10-methacryloyloxydecyl
dihydrogen phosphate (MDP)-based one-step self-etching adhesive (EX adhesive)
applied to enamel and dentin on the production of calcium salt of MDP (MDP-Ca
salt) and dicalcium phosphate dehydrate (DCPD) at various periods. MATERIALS AND
METHODS: The EX adhesive was prepared. Bovine enamel and dentin reactants were
prepared by varying the application period of the EX adhesive: 0.5, 1, 5, 30, 60
and 1440 min. Enamel and dentin reactants were analyzed using x-ray diffraction
and solid-state phosphorus-31 nuclear magnetic resonance (31P NMR). Curvefitting
analyses of corresponding 31P NMR spectra were performed. RESULTS: Enamel and
dentin developed several types of MDP-Ca salts and DCPDs with amorphous and
crystalline phases throughout the application period. The predominant molecular
species of MDP-Ca salt was determined as the monocalcium salt of the MDP monomer.
Dentin showed a faster production rate and greater produced amounts of MDP-Ca
salt than did enamel, since enamel showed a knee-point in the production rate of
the MDP-Ca salt at the application period of 5 min. In contrast, enamel developed
greater amounts of DCPD than did dentin and two types of DCPDs with different
crystalline phases at application periods > 30 min. The amounts of MDP-Ca salt
developed during the 30-s application of the EX adhesive on enamel and dentin
were 7.3 times and 21.2 times greater than DCPD, respectively. CONCLUSION: The
MDP-based one-step adhesive yielded several types of MDP-Ca salts and DCPD with
an amorphous phase during the 30-s application period on enamel and dentin.
PMID- 27200431
TI - Short- and Long-term Evaluation of Dentin-Resin Interfaces Formed by Etch-and
Rinse Adhesives on Plasma-treated Dentin.
AB - PURPOSE: To investigate the influence of atmospheric pressure plasma (APP)
treatment on the microtensile dentin bond strength of two etch-and-rinse adhesive
systems, after one week and one year of water storage, and additionally to
observe the micromorphology of resin/dentin interfaces under scanning electronic
microscopy (SEM). MATERIALS AND METHODS: The occlusal enamel was removed from
third human molars to expose a flat dentin surface. The teeth were then randomly
divided into six groups (n = 7), according to two adhesives (Optibond FL and XP
Bond) and three APP treatments (untreated dentin [control], APP application
before or after acid etching). After performing the composite resin buildup on
bonded dentin, the teeth were sectioned perpendicularly to the bonded interface
to obtain beam-shaped specimens (cross-sectional area of ~0.9 mm2). The specimens
were tested in tension until failure after one week and one year of water storage
(1.0 mm/min rate). Bond strength data were analyzed by three-way ANOVA and
Tukey's post-hoc test (alpha = 0.05%). Bonded beam specimens from each tooth were
also prepared for interfacial SEM investigation. RESULTS: At one week, APP
treatment applied after acid etching increased the dentin bond strength for XP
Bond, while no effect was observed for Optibond FL. After one year, the bond
strength of XP Bond decreased in groups where APP was applied after etching. The
evaluation time did not influence the bond strength for Optibond FL. CONCLUSION:
One-year evaluation did not show any sign of degradation of interfacial
structures in any group. Application of APP to etched dentin combined with a two
step etch-and-rinse adhesive significantly increased bond strength at one week,
but the effect was not stable after one year and was adhesive dependent.
PMID- 27200432
TI - Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self
etching Adhesives and Multimode One-bottle Self-etching Adhesives.
AB - PURPOSE: To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel
interface of self-etching adhesives with or without prior phosphoric acid
etching. MATERIALS AND METHODS: Four adhesives were used in 8 groups: Clearfil SE
Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil
BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with
phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively).
After application of self-etching adhesives on ground enamel surfaces of human
teeth, a flowable composite was placed. For observation of the acid-base
resistant zone (ABRZ), the bonded interface was exposed to demineralizing
solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20
min. After the acid-base challenge, morphological attributes of the interface
were observed using SEM. RESULTS: ABRZ formation was confirmed in all groups. The
funnel-shaped erosion beneath the interface was present in SBU and ONE, where
nearly 10 to 15 MUm of enamel was dissolved. With phosphoric acid etching, the
ABRZs were obviously thicker compared with no phosphoric acid etching.
CONCLUSION: Enamel beneath the bonding interface was more susceptible to acid
dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives
and universal adhesives that intrinsically have higher pH values, enamel etching
should be recommended to improve the interfacial quality.
PMID- 27200433
TI - Glass Fiber Post/Composite Core Systems Bonded to Human Dentin: Analysis of
Tensile Load vs Calculated Tensile Strength of Various Systems Using Pull-out
Tests.
AB - PURPOSE: Pull-out testing was used to determine the tensile load (TL) and tensile
strength (TS) of five different fiber post systems bonded to human intracanal
dentin. MATERIALS AND METHODS: 120 caries-free premolars, canines, and maxillary
central incisors were divided into 5 different groups for 5 fiber post systems (n
= 24): 1. RelyX Fiber Post 3D (RX3D); 2. RelyX Fiber Post (RX); 3. Luxa- Post
(LP); 4. FibreKleer 4X Tapered Post (FK); 5. ParaPost Taper Lux (PP). The teeth
were prepared and posts inserted. Core buildups were performed with the
corresponding product's resin composite. All specimens were stored in water for
24 h at 37 degrees C. TL and TS were tested on half of the specimens (n =
12/group). The remaining samples were thermocycled (10,000 x 5 degrees C/55
degrees C) before testing. TL was directly measured and TS was calculated using
the bonding surface. Failure modes were identified using a stereomicroscope. Data
were analyzed using twoway ANOVA with the post-hoc Scheffe test, as well as the
chi-squared test (p < 0.05). RESULTS: FK and LP resulted in the lowest mean TL
but were not significantly different from those of RX and RX3D. The highest mean
TL and TS were observed for PP. Nevertheless, PP fell within the same statistical
subset as RX3D and RX. Thermocycling showed no impact on the results. RX3D
predominantly showed debonding of the post plus core buildup from the tooth; all
other systems mainly demonstrated detachment of the core from the posts.
CONCLUSION: PP, RX, and RX3D together with an adhesive core buildup yielded the
highest bond strength to human dentin. Parameters TL and TS showed the same
tendencies and statistical evidence.
PMID- 27200434
TI - Different Strategies to Bond Bis-GMA-based Resin Cement to Zirconia.
AB - PURPOSE: To evaluate the effect of different bonding strategies on short- and
long-term bis-GMA-based resin cement bond strengths to zirconia. MATERIALS AND
METHODS: One hundred twenty samples of fully-sintered zirconia (Prettau Zirconia)
were sandblasted and randomly distributed into 5 groups (n = 24): UA: Scotchbond
Universal Adhesive; SZP: Signum Zirconia Bond I + II; ZPP: Z-Prime Plus; EXP: MZ
experimental primer; CO: no primer application (control). After performing these
surface treatments, translucent tubes (0.8 mm diameter and 1.0 mm height) were
placed on the zirconia specimens, and bis-GMA-based cement (Duo-Link) was
injected into them and light cured. Specimens were tested for microshear bond
strength either 24 h or 6 months (m) after water storage (37 degrees C) and
surfaces were characterized by SEM and EDX. Two-way ANOVA and Tukey's post-hoc
test (p < 0.05) were used to evaluate bond strength results. RESULTS: The mean
bond strengths (MPa +/- SD) were: UA=14.6 +/- 4.7a (24 h); 16.0 +/- 4.8a (6 m);
SZP = 14.0 +/- 5.4ab (24 h); 11.9 +/- 2.6ab (6 m); ZPP=8.0 +/- 1.8b (24 h); 8.6
+/- 3.3b (6 m); EXP = 1.2 +/- 0.5c (24 h); 0.6 +/- 0.7c (6 m); CO=1.0 +/- 1.2c
(24 h); 1.3 +/- 1.2c (6 m). Bonding strategy significantly influenced bond
strength (p = 0.0001), but storage time did not (p = 0.841). Groups UA and SZP
showed a homogeneous layer covering the zirconia surface. In these groups, EDX
demonstrated the presence of phosphorus. Group ZPP resulted in a nonhomogeneous
layer, exposing the zirconia substrate underneath. EXP application resulted in an
undetectable layer. CONCLUSION: Water storage did not affect resin cement bond
strengths to zirconia irrespective of the surface treatment. Bis-GMA-based resin
cement bond strengths to zirconia are affected by specific bonding strategies.
PMID- 27200435
TI - Characterisation of gold catalysts.
AB - Au-based catalysts have established a new important field of catalysis, revealing
specific properties in terms of both high activity and selectivity for many
reactions. However, the correlation between the morphology and the activity of
the catalyst is not always clear although much effort has been addressed to this
task. To some extent the problem relates to the complexity of the
characterisation techniques that can be applied to Au catalyst and the broad
range of ways in which they can be prepared. Indeed, in many reports only a few
characterization techniques have been used to investigate the potential nature of
the active sites. The aim of this review is to provide a critical description of
the techniques that are most commonly used as well as the more advanced
characterization techniques available for this task. The techniques that we
discuss are (i) transmission electron microscopy methods, (ii) X-ray spectroscopy
techniques, (iii) vibrational spectroscopy techniques and (iv) chemisorption
methods. The description is coupled with developing an understanding of a number
of preparation methods. In the final section the example of the supported AuPd
alloy catalyst is discussed to show how the techniques can gain an understanding
of an active oxidation catalyst.
PMID- 27200436
TI - Template-free electrodeposition of AlFe alloy nanowires from a room-temperature
ionic liquid as an anode material for Li-ion batteries.
AB - AlFe alloy nanowires were directly electrodeposited on copper substrates from
trimethylamine hydrochloride (TMHC)-AlCl3 ionic liquids with small amounts of
FeCl3 at room temperature without templates. Coin cells composed of AlFe alloy
nanowire electrodes and lithium foils were assembled to characterize the alloy
electrochemical properties by galvanostatic charge/discharge tests. Effects of
FeCl3 concentration, potential and temperature on the alloy morphology,
composition and cyclic performance were examined. Addition of Fe into the alloy
changed the nanowires from a 'hill-like' bulk morphology to a free-standing
morphology, and increased the coverage area of the alloy on Cu substrates. As an
inactive element, Fe could also buffer the alloys' large volume changes during Li
intercalation and deintercalation. AlFe alloy nanowires composed of a small
amount of Fe with an average diameter of 140 nm exhibited an outstanding cyclic
performance and delivered a specific capacity of about 570 mA h g(-1) after 50
cycles. This advanced template-free method for the direct preparation of high
performance nanostructure AlFe alloy anode materials is quite simple and
inexpensive, which presents a promising prospect for practical application in Li
ion batteries.
PMID- 27200437
TI - Temperature dependence of the electrode potential of a cobalt-based redox couple
in ionic liquid electrolytes for thermal energy harvesting.
AB - Increasing the application of technologies for harvesting waste heat could make a
significant contribution to sustainable energy production. Thermoelectrochemical
cells are one such emerging technology, where the thermal response of a redox
couple in an electrolyte is used to generate a potential difference across a cell
when a temperature gradient exists. The unique physical properties of ionic
liquids make them ideal for application as electrolytes in these devices. One of
the keys to utilizing these media in efficient thermoelectrochemical cells is
achieving high Seebeck coefficients, Se: the thermodynamic quantity that
determines the magnitude of the voltage achieved per unit temperature difference.
Here, we report the Se and cell performance of a cobalt-based redox couple in a
range of different ionic liquids, to investigate the influence of the nature of
the IL on the thermodynamics and cell performance of the redox system. The
results reported include the highest Se to-date for an IL-based electrolyte. The
effect of diluting the different ILs with propylene carbonate is also reported,
which results in a significant increase in the output powers and current
densities of the device.
PMID- 27200438
TI - Photodeoxygenation of dinaphthothiophene, benzophenanthrothiophene, and
benzonaphthothiophene S-oxides.
AB - Photoinduced deoxygenation of dibenzothiophene S-oxide (DBTO) has been suggested
to release atomic oxygen [O((3)P)]. To expand the conditions and applications
where O((3)P) could be used, generation of O((3)P) at longer wavelengths was
desirable. The sulfoxides benzo[b]naphtho-[1,2,d]thiophene S-oxide,
benzo[b]naphtho[2,1,d]thiophene S-oxide, benzo[b]phenanthro[9,10-d]thiophene S
oxide, dinaphtho[2,1-b:1',2'-d]thiophene S-oxide, and dinaphtho[1,2-b:2',1'
d]thiophene S-oxide all absorb light at longer wavelengths than DBTO. To
determine if these sulfoxides could be used to generate O((3)P), quantum yield
studies, product studies, and computational analysis were performed. Quantum
yields for the deoxygenation were up to 3 times larger for these sulfoxides
compared to DBTO. However, oxidation of the solvent by these sulfoxides resulted
in different ratios of oxidized products compared to DBTO, which suggested a
change in deoxygenation mechanism. Density functional calculations revealed a
much larger singlet-triplet gap for the larger sulfoxides compared to DBTO. This
led to the conclusion that the examined sulfoxides could undergo deoxygenation by
two different mechanisms.
PMID- 27200439
TI - Toward food analytics: fast estimation of lycopene and beta-carotene content in
tomatoes based on surface enhanced Raman spectroscopy (SERS).
AB - Carotenoids are molecules that play important roles in both plant development and
in the well-being of mammalian organisms. Therefore, various studies have been
performed to characterize carotenoids' properties, distribution in nature and
their health benefits upon ingestion. Nevertheless, there is a gap regarding a
fast detection of them at the plant phase. Within this contribution we report the
results obtained regarding the application of surface enhanced Raman spectroscopy
(SERS) toward the differentiation of two carotenoid molecules (namely, lycopene
and beta-carotene) in tomato samples. To this end, an e-beam lithography (EBL)
SERS-active substrate and a 488 nm excitation source were employed, and a
relevant simulated matrix was prepared (by mixing the two carotenoids in defined
percentages) and measured. Next, carotenoids were extracted from tomato plants
and measured as well. Finally, a combination of principal component analysis and
partial least squares regression (PCA-PLSR) was applied to process the data, and
the obtained results were compared with HPLC measurements of the same extracts. A
good agreement was obtained between the HPLC and the SERS results for most of the
tomato samples.
PMID- 27200440
TI - Preface.
PMID- 27200441
TI - Retraction notice to: ''Copper-doped borosilicate bioactive glass scaffolds with
improved angiogenic and osteogenic capacity for repairing osseous defects" [Acta
Biomater. 14 (2015) 185-196].
PMID- 27200442
TI - A Vesalian guide to neuroscience.
PMID- 27200443
TI - Self-tolerance revisited.
PMID- 27200444
TI - Bridging bioethics and biology.
PMID- 27200445
TI - Metaphors and tracers: Radioactivity in twentieth-century biology.
PMID- 27200446
TI - Authors' reply.
PMID- 27200447
TI - Peer review: Close inspection.
PMID- 27200448
TI - Relationships: Doctor's advice.
PMID- 27200449
TI - Trade talk: Cell negotiator.
PMID- 27200452
TI - Do I Have a Story for You.
PMID- 27200450
TI - [Juan Antonio Gomez Gerique].
PMID- 27200453
TI - [Research on Electrical Impedance Tomography Technology].
AB - This article reviews the principle of electrical impedance tomography imaging and
measurement system; focuses on electrical impedance tomography imaging detection
system of incentive mode and several typical image reconstruction algorithm of
electrical impedance imaging; and objectively compares and effectively evaluates
several image reconstruction algorithm.
PMID- 27200454
TI - Macrocyclic Tetraimines: Synthesis and Reversible Uptake of Diethyl Phthalate by
a Porous Macrocycle.
AB - The imine bond has attracted much attention for the synthesis of macrocycles used
to construct porous materials. In the present article, we report on the synthesis
of two series of isomeric macrocyclic tetraimines based on bis-alkynylbenzene
diamines. Under heterogeneous solid-liquid conditions the condensation of the
diamines with isophthalaldehyde or terephthaldehyde afforded mainly the
corresponding [2 + 2] adducts. Among the eight macrocycles studied, only the
macrocycle 1 has a porous structure. The article describes not only the synthesis
of these macrocycles but also the encountered difficulties during their
preparation. Finally, we expand the use of 1a as a porous solid support by
studying its reversible and preferential liquid-solid adsorption properties for
diethyl phthalate in front of other commercial phthalates.
PMID- 27200455
TI - Deep Learning Applications for Predicting Pharmacological Properties of Drugs and
Drug Repurposing Using Transcriptomic Data.
AB - Deep learning is rapidly advancing many areas of science and technology with
multiple success stories in image, text, voice and video recognition, robotics,
and autonomous driving. In this paper we demonstrate how deep neural networks
(DNN) trained on large transcriptional response data sets can classify various
drugs to therapeutic categories solely based on their transcriptional profiles.
We used the perturbation samples of 678 drugs across A549, MCF-7, and PC-3 cell
lines from the LINCS Project and linked those to 12 therapeutic use categories
derived from MeSH. To train the DNN, we utilized both gene level transcriptomic
data and transcriptomic data processed using a pathway activation scoring
algorithm, for a pooled data set of samples perturbed with different
concentrations of the drug for 6 and 24 hours. In both pathway and gene level
classification, DNN achieved high classification accuracy and convincingly
outperformed the support vector machine (SVM) model on every multiclass
classification problem, however, models based on pathway level data performed
significantly better. For the first time we demonstrate a deep learning neural
net trained on transcriptomic data to recognize pharmacological properties of
multiple drugs across different biological systems and conditions. We also
propose using deep neural net confusion matrices for drug repositioning. This
work is a proof of principle for applying deep learning to drug discovery and
development.
PMID- 27200456
TI - Ruthenium-Catalyzed Enantioselective Hydrogenation of 1,8-Naphthyridine
Derivatives.
AB - The first asymmetric hydrogenation of 2,7-disubstituted 1,8-naphthyridines
catalyzed by chiral cationic ruthenium diamine complexes has been developed. A
wide range of 1,8-naphthyridine derivatives were effectively hydrogenated to give
1,2,3,4-tetrahydro-1,8-naphthyridines with up to 99% ee and full conversions. The
method provides a practical and facile approach to the preparation of valuable
chiral heterocyclic building blocks and useful motifs for a new kind of P,N
ligand.
PMID- 27200457
TI - EndoSd: an IgG glycan hydrolyzing enzyme in Streptococcus dysgalactiae subspecies
dysgalactiae.
AB - AIM: The aim of this study was to identify and characterize EndoS-like enzymes in
Streptococcus dysgalactiae subspecies dysgalactiae (SDSD). MATERIALS & METHODS:
PCR, DNA sequencing, recombinant protein expression, lectin blot, ultra high
performance liquid chromatography analysis and a chitinase assay were used to
identify ndoS-like genes and characterize EndoSd. RESULTS: EndoSd were found in
four SDSD strains. EndoSd hydrolyzes the chitobiose core of the glycan on IgG.
The amino acid sequence of EndoSd is 70% identical to EndoS in S. pyogenes, but
it has a unique C-terminal sequence. EndoSd secretion is influenced by the
carbohydrate composition of the growth medium. CONCLUSION: Our findings indicate
that IgG glycan hydrolyzing activity is present in SDSD, and that the activity
can be attributed to the here identified enzyme EndoSd.
PMID- 27200458
TI - Significantly Increasing the Ductility of High Performance Polymer Semiconductors
through Polymer Blending.
AB - Polymer semiconductors based on donor-acceptor monomers have recently resulted in
significant gains in field effect mobility in organic thin film transistors
(OTFTs). These polymers incorporate fused aromatic rings and have been designed
to have stiff planar backbones, resulting in strong intermolecular interactions,
which subsequently result in stiff and brittle films. The complex synthesis
typically required for these materials may also result in increased production
costs. Thus, the development of methods to improve mechanical plasticity while
lowering material consumption during fabrication will significantly improve
opportunities for adoption in flexible and stretchable electronics. To achieve
these goals, we consider blending a brittle donor-acceptor polymer, poly[4-(4,4
dihexadecyl-4H-cyclopenta[1,2-b:5,4-b']dithiophen-2-yl)-alt
[1,2,5]thiadiazolo[3,4-c]pyridine] (PCDTPT), with ductile poly(3-hexylthiophene).
We found that the ductility of the blend films is significantly improved compared
to that of neat PCDTPT films, and when the blend film is employed in an OTFT, the
performance is largely maintained. The ability to maintain charge transport
character is due to vertical segregation within the blend, while the improved
ductility is due to intermixing of the polymers throughout the film thickness.
Importantly, the application of large strains to the ductile films is shown to
orient both polymers, which further increases charge carrier mobility. These
results highlight a processing approach to achieve high performance polymer OTFTs
that are electrically and mechanically optimized.
PMID- 27200459
TI - High Thermal and Electrical Conductivity of Template Fabricated P3HT/MWCNT
Composite Nanofibers.
AB - Nanoporous alumina membranes are filled with multiwalled carbon nanotubes
(MWCNTs) and then poly(3-hexylthiophene-2,5-diyl) (P3HT) melt, resulting in
nanofibers with nanoconfinement induced coalignment of both MWCNT and polymer
chains. The simple sonication process proposed here can achieve vertically
aligned arrays of P3HT/MWCNT composite nanofibers with 3 wt % to 55 wt % MWCNT
content, measured using thermogravimetric methods. Electrical and thermal
transport in the composite nanofibers improves drastically with increasing carbon
nanotube content where nanofiber thermal conductivity peaks at 4.7 +/- 1.1 Wm(
1)K(-1) for 24 wt % MWCNT and electrical percolation occurs once 20 wt % MWCNT
content is surpassed. This is the first report of the thermal conductivity of
template fabricated composite nanofibers and the first proposed processing
technique to enable template fabrication of composite nanofibers with high filler
content and long aspect ratio fillers, where enhanced properties can also be
realized on the macroscale due to vertical alignment of the nanofibers. These
materials are interesting for thermal management applications due to their high
thermal conductivity and temperature stability.
PMID- 27200460
TI - Laparoscopic Treatment of Choledochal Cyst Using Barbed Sutures.
AB - PURPOSE: The usage of barbed sutures is increasingly being reported in the field
of laparoscopic surgery. However, there have been reports of suture-related
complications such as small bowel obstruction or anastomosis stricture. We
present our experience of hepaticojejunostomy (HJ) using V-loc, during
laparoscopic cyst excision for choledochal cyst. METHODS: At our center, from
August 2014 to January 2015, 4 patients were treated for choledochal cyst.
Laparoscopic cyst excision with Roux-en-Y HJ was performed, and HJ was performed
with intracorporeal suturing using unidirectional barbed sutures. After surgery,
the patients were followed up in the outpatient clinic every 3 months to monitor
for long-term complications such as biliary stricture. RESULTS: There were no
short-term complications. Among the 4 patients, 3 patients did not experience any
long-term complications. As of this writing, the follow-up period for the 4
patients is 16 months for the first 2 patients and 11 months for the later 2
patients. Biliary stricture was diagnosed in 1 patient at 7 month follow-up. HJ
revision was performed with an open right subcostal incision. The anastomosis
showed dense fibrosis and stricture. The patient recovered uneventfully after the
surgery. CONCLUSIONS: HJ using barbed sutures was relatively easy to perform, but
barbed sutures may have a tendency to cause stricture when used in biliary
enteric anastomosis. Caution must be taken to prevent overtightening of the
suture.
PMID- 27200461
TI - Generation of Aspherical Optical Lenses via Arrested Spreading and Pinching of a
Cross-Linkable Liquid.
AB - Aspherical optical lenses with spatially varying curvature are desired for
capturing high quality, aberration free images in numerous optical applications.
Conventionally such lenses are prepared by multistep top-down processes which are
expensive, time-consuming, and prone to high failure rate. In this context, an
alternate method is presented here based on arrested spreading of a sessile drop
of a transparent, cross-linkable polymeric liquid on a solid substrate heated to
an elevated temperature. Whereas surface tension driven flow tends to render it
spherical, rapid cross-linking arrests such flow so that nonequilibrium
aspherical shapes are attained. It is possible to tune also the initial state of
the drop via delayed pinching of a liquid cylinder which precedes its release on
the substrate. This method has led to the generation of a wide variety of optical
lenses, ranging from spherical plano convex to superspherical solid immersion to
exotic lenses not achieved via conventional methods.
PMID- 27200462
TI - Combining Psychodynamic Psychotherapy and Pharmacotherapy.
AB - Many patients with depression, anxiety disorders, and other psychiatric disorders
are treated with combinations of psychodynamic psychotherapy and medication.
Whether this is better than monotherapy is an empirical question that requires
much more extensive research than is currently available. When medications were
first introduced to treat psychiatric illnesses, some psychopharmacologists
insisted that it heralded a new area of "biological psychiatry" that would
ultimately render psychotherapy obsolete. Psychodynamic theorists and
practitioners, on the other hand, argued that psychopharmacology offered only a
superficial approach to treatment. Fortunately, these battles are now largely
supplanted by the belief that whatever treatment offers the patient the best
outcome should be employed, regardless of the therapist's theoretical outlook.
This should motivate more extensive study of the value of combination treatment.
So far, the few studies that have been done suggest that the combination of
psychodynamic psychotherapy and medication may be superior for the treatment of
mood and anxiety disorders, but most of these studies have small sample sizes and
involve only short-term psychotherapy. An examination of the neuroscience of mood
and anxiety disorders and of the mechanism of action of psychodynamic
psychotherapy and of antidepressant medication suggests several routes by which
the two treatment modalities could be synergistic: stimulation of hippocampal
neurogenesis; epigenetic regulation of gene expression; dendritic remodeling;
enhanced prefrontal cortical control of limbic system activity; and action at
specific neurohormonal and neurotransmitter targets. The evidence for each of
these mechanisms is reviewed with an eye toward potential experiments that might
be relevant to them.
PMID- 27200463
TI - How Senior Psychodynamic Psychiatrists Regard Retirement.
AB - The variety of personal experiences and attitudes about professional work among
psychodynamic psychiatrists who have attained retirement age are explored through
semi-structured interviews. Of 21 members of the American Academy of
Psychoanalysis and Dynamic Psychiatry interviewed, 6 report fulltime engagement
in professional activity, 10 partial reduction, and 5 full retirement from
practice. Through direct quotations from the respondents' interviews several
matters are considered including the concept of retirement, structural changes in
practice, health concerns, dream experience, spirituality and matters of legacy,
how others have influenced attitudes toward continued work, and how fears of
retirement are manifest among those currently in practice. Among the conclusions
is the suggestion that the sense of self-regard and personal satisfaction of
those who do retire is far greater than anticipated by those still in active
practice.
PMID- 27200464
TI - On Beginnings and Endings: Reflections of an Early Career Psychiatrist and
Psychoanalyst.
PMID- 27200465
TI - The Emergence of Psychodynamic Psychotherapy for Treatment Resistant Patients:
Intensive Short-Term Dynamic Psychotherapy.
AB - Intensive short-term dynamic psychotherapy (ISTDP) was developed out of the need
for relatively short psychodynamic psychotherapeutic treatment approaches to
complex and resistant patient populations so common in public health systems.
Based on extensive study of video recordings, Habib Davanloo discovered, and
other researchers have validated, some important clinical ingredients that align
the therapist with healthy aspects of the patient striving for resolution of
chronic neurotic disorders and fragile character structure. In the case of
character neurotic highly resistant patients, these approaches including
"pressure," "clarification," "challenge to defenses," and "head on collision" can
be used in a tailored and properly timed way to help the chronically suffering
patient to overcome his or her own resistance and access core drivers of these
pathologies. In this article the meta-psychological basis of ISTDP is reviewed
and illustrated with an extended case vignette.
PMID- 27200466
TI - Enactments in Psychoanalysis: Therapeutic Benefits.
AB - The therapeutic benefits of enactments are addressed. Relevant literature reveals
disparate conceptions about the nature and use of enactments. Clarification of
the term is discussed. This analyst's theoretical and technical evolution is
addressed; it is inextricably related to using enactments. How can it not be? A
taxonomy of enactments is presented. The article considers that enactments may be
fundamental in the evolution from orthodox to contemporary analytic technique.
Assumptions underlying enactments are explored, as are guidelines for using
enactments. Finally, the article posits that enactments have widened the scope of
analysis and contributed to its vitality.
PMID- 27200467
TI - A Cognitive-Developmental Model of Emotional Awareness and Its Application to the
Practice of Psychotherapy.
AB - The ability to be aware of one's own emotional states has been a time-honored
ingredient of successful psychodynamic psychotherapy. With the rise of cognitive
behavioral therapy (CBT), however, the utility of experiencing and reflecting
upon emotional experience has become less certain, and a quantifiable measure of
emotional awareness for clinicians has not been previously available. Several
recent advances cast the role of emotional awareness in psychotherapy in a
different light: (1) a new theory of change in psychotherapy has been formulated
that highlights emotional experience as an important ingredient of change in a
variety of modalities; (2) new evidence shows that individual differences in the
capacity for emotional awareness predict successful psychotherapeutic outcome in
the treatment of panic disorder both by manualized psychodynamic psychotherapy
and CBT; and (3) a new online version of the electronic Levels of Emotional
Awareness Scale (eLEAS) has been created that can be used with individual
patients as a guide to psychotherapy treatment. Here we review evidence of a
reliable and valid measure of emotional awareness that has been used in both
normative and clinical contexts. The psychotherapeutic treatment of three
patients is described to illustrate the clinical manifestations of the different
levels of emotional awareness and the ways the eLEAS can be useful in the
clinical context, including (1) assessment of the patient's current level of
emotional functioning and his or her potential for higher levels of functioning,
(2) the targeting of interventions to facilitate functioning at the next level,
and (3) a possible marker of treatment progress. These observations suggest that
the eLEAS has the potential to be a clinically useful tool that may assist
clinicians in guiding psychotherapy treatment.
PMID- 27200469
TI - Superhard Monoborides: Hardness Enhancement through Alloying in W1- x Tax B.
AB - In tungsten monoboride (WB), the boron atoms are linked in parallel serpentine
arrays, with tungsten atoms in between. This lattice is metallic, unlike
conventional covalent superhard materials such as diamond or cubic boron nitride.
By selectively substituting tungsten atoms with tantalum, the Vickers hardness
can be increased to 42.8 GPa, creating a new superhard metal.
PMID- 27200470
TI - International Data Sharing in Practice: New Technologies Meet Old Governance.
AB - The social structures that govern data/sample release aim to safeguard the
confidentiality and privacy of cohort research participants (without whom there
would be no data or samples) and enable the realization of societal benefit
through optimizing the scientific use of those cohorts. Within collaborations
involving multiple cohorts and biobanks, however, the local, national, and
supranational institutional and legal guidelines for research (which produce a
multiplicity of data access governance structures and guidelines) risk impeding
the very science that is the raison d'etre of these consortia. We present an
ethnographic study, which examined the epistemic and nonepistemic values driving
decisions about data access and their consequences in the context of the pilot of
an integrated approach to co-analysis of data. We demonstrate how the potential
analytic flexibility offered by this approach was lost under contemporary data
access governance. We identify three dominant values: protecting the research
participant, protecting the study, and protecting the researcher. These values
were both supported by and juxtaposed against a "public good" argument, and each
was used as a rationale to both promote and inhibit sharing of data. While
protection of the research participants was central to access permissions,
decisions were also attentive to the desire of researchers to see their efforts
in building population biobanks and cohorts realized in the form of scientific
outputs. We conclude that systems for governing and enabling data access in large
consortia need to (1) protect disclosure of research participant information or
identity, (2) ensure the specific expectations of research participants are met,
(3) embody systems of review that are transparent and not compromised by the
specific interests of one particular group of stakeholders, and (4) facilitate
data access procedures that are timely and efficient. Practical solutions are
urgently needed. New approaches to data access governance should be trialed (and
formally evaluated) with input from and discussion with stakeholders.
PMID- 27200471
TI - Inhibition of TNF-alpha, IL-1alpha, and IL-1beta by Pretreatment of Human
Monocyte-Derived Macrophages with Menaquinone-7 and Cell Activation with TLR
Agonists In Vitro.
AB - Circulatory markers of low-grade inflammation such as tumor necrosis factor-alpha
(TNF-alpha), interleukin-1 alpha (IL-1alpha), and interleukin-1 beta (IL-1beta)
positively correlate with endothelial damage, atheroma formation, cardiovascular
disease, and aging. The natural vitamin K2-menaquinone-7 (MK-7) added to the cell
culture of human monocyte-derived macrophages (hMDMs) at the same time as toll
like receptor (TLR) agonists did not influence the production of TNF-alpha. When
the cells were pretreated up to 6 h with MK-7 before treatment with TLR agonists,
MK-7 did not inhibit significantly the production of TNF-alpha after the TLR
activation. However, 30 h pretreatment of hMDMs with at least 10 MUM of MK-7
effectively and dose dependently inhibited the proinflammatory function of hMDMs.
Pretreatment of hMDMs with 10 MUM of MK-7 for 30 h resulted in 20% inhibition of
TNF-alpha production after lipopolysaccharide (LPS) activation (P < .05) and 43%
inhibition after macrophage-activating lipopeptide (MALP) activation (P < .001).
Pathogen-associated molecular pattern (PMPP) activation was inhibited by 20% with
MK-7 pretreatment; however, this inhibition was not statistically significant.
The 30 h pretreatment of a THP-1-differentiated monocyte cell line with MK-7
resulted in a dose-dependent downregulation of TNFalpha, IL-1alpha, and IL-1beta
gene expression as evaluated by RNA semiquantitative reverse transcription
polymerase chain reaction (RT-PCR). MK-7 is able to modulate immune and
inflammatory reactions in the dose-response inhibition of TNF-alpha, IL-1alpha,
and IL-1beta gene expression and protein production by the healthy hMDMs in
vitro.
PMID- 27200472
TI - Simultaneous determination of lovastatin and its metabolite lovastatin acid in
rat plasma using UPLC-MS/MS with positive/negative ion-switching electrospray
ionization: Application to a pharmacokinetic study of lovastatin nanosuspension.
AB - Lovastatin (LOV) is an antihyperlipidemic agent which exhibits low
bioavailability due to its poor solubility. Therefore, a nanosuspension (NS) was
developed as an efficient strategy to improve its oral bioavailability. To
evaluate the pharmacokinetics of LOV-NS, a novel, sensitive, and rapid UPLC-MS/MS
method was developed and validated for the simultaneous determination of LOV and
its metabolite lovastatin acid (LOVA) in rat plasma. Simvastatin (IS) was chosen
as the internal standard, and a liquid-liquid extraction method was used to
isolate LOV and LOVA from biological matrices. The analytes were analyzed on an
Acquity UPLC BEH C18 column, and a gradient program was applied at a flow rate of
0.2mL/min. Then, a tandem quadrupole mass spectrometer coupled with a
positive/negative ion-switching electrospray ionization interface was employed to
detect the analytes. Quantitation of the analytes was performed in the multiple
reaction monitoring mode to monitor the transitions of m/z 427.1->325.0 for LOV
and m/z 441.1->325.0 for IS in the positive ion mode and m/z 421.0->101.0 for
LOVA in the negative ion mode, respectively. The method was validated over the
concentration range 0.25-500ng/mL (r(2)>=0.99) for both LOV and LOVA. The intra
day and inter-day precision (RSD%) of LOV and LOVA were less than 12.87% and the
accuracy (RE%) was less than 5.22%. The average extraction recoveries were 90.1%
and 91.9% for LOV and LOVA, and the matrix effects were found to be between 85%
and 115%. The stability study showed that both analytes were stable during the
experiment. Finally, this method has been successfully applied to a
pharmacokinetic study in rats following a single oral dose of 10mg/kg LOV-NS.
PMID- 27200473
TI - Source discrimination of drug residues in wastewater: The case of salbutamol.
AB - Analytical methods used for pharmaceuticals and drugs of abuse in sewage play a
fundamental role in wastewater-based epidemiology (WBE) studies. Here
quantitative analysis of drug metabolites in raw wastewaters is used to determine
consumption from general population. Its great advantage in public health studies
is that it gives objective, real-time data about community use of chemicals,
highlighting the relationship between environmental and human health. Within a
WBE study on salbutamol use in a large population, we developed a procedure to
distinguish human metabolic excretion from external source of contamination,
possibly industrial, in wastewaters. Salbutamol is mainly excreted as the
sulphate metabolite, which is rapidly hydrolyzed to the parent compound in the
environment, so this is currently not detected. When a molecule is either
excreted un-metabolized or its metabolites are unstable in the environment,
studies can be completed by monitoring the parent compound. In this case it is
mandatory to assess whether the drug in wastewater is present because of
population use or because of a specific source of contamination, such as
industrial manufacturing waste. Because commercial salbutamol mainly occurs as a
racemic mixture and is stereoselective in the human metabolism, the enantiomeric
relative fraction (EFrel) in wastewater samples should reflect excretion, being
unbalanced towards one of two enantiomers, if the drug is of metabolic origin.
The procedure described involves chiral analysis of the salbutamol enantiomers by
liquid chromatography-tandem mass spectrometry (LC-MS-MS) and calculation of
EFrel, to detect samples where external contamination occurs. Samples were
collected daily between October and December 2013 from the Milano Nosedo
wastewater treatment plant. Carbamazepine and atenolol were measured in the
sewage collector, as "control" drugs. Salbutamol EFrel was highly consistent in
all samples during this three-month period, but a limited number of samples had
unexpectedly high concentrations where the EFrel was close to that observed of
the un-metabolized, commercially available drug, supporting the idea of an
external source of contamination, besides human metabolic excretion. Results
showed that, when present, non-metabolic daily loads could be evaluated
indicating an average of 4.12g/day of salbutamol extra load due to non-metabolic
sources. The stereoselectivity in metabolism and enantiomeric ratio analysis
appears to be a useful approach in WBE studies to identify different sources of
drugs in the environment, when no metabolic products are present at useful
analytical levels.
PMID- 27200477
TI - A multidimension cloud model-based approach for water quality assessment.
AB - Lakes are vitally important, because they perform a multitude of functions, such
as water supply, recreation, fishing, and habitat. However, eutrophication limits
the ability of lakes to perform these functions. In order to reduce
eutrophication, the first step is its evaluation. The process of evaluation
entails randomness and fuzziness which must therefore be incorporated. This study
proposes an eutrophication evaluation method, named Multidimension Normal Cloud
Model (MNCM). The model regards each evaluation factor as a one-dimension
attribute of MNCM, chooses reasonable parameters and determines the weights of
evaluation factors by entropy. Thus, all factors of MNCM belonging to each
eutrophication level are generated and the final eutrophication level is
determined by the certainty degree. MNCM is then used to evaluate eutrophication
of 12 typical lakes and reservoirs in China and its results are compared with
those of the reference method, one-dimension normal cloud model, related weighted
nutrition state index method, scoring method, and fuzzy comprehensive evaluation
method. Results of MNCM are found to be consistent with the actual water status;
hence, MNCM can be an effective evaluation tool. With respect to the former one
dimension normal cloud model, parameters of MNCM are improved without increasing
its complexity. MNCM can directly determine the eutrophication level according to
the degree of certainty and can determine the final degree of eutrophication;
thus, it is more consistent with the complexity of water eutrophication
evaluation.
PMID- 27200478
TI - Spatiotemporal trends in ground-level ozone concentrations and metrics in France
over the time period 1999-2012.
AB - The hourly ozone (O3) data from 332 background monitoring stations, spread in
France, were analyzed over the period 1999-2012 and short-term trends were
calculated. In the current climate change context, the calculation of human
health- and vegetation-relevant metrics, and of associated trends, provides a
consistent method to establish proper and effective policies to reduce the
adverse O3 effects. The generation of optimal O3 maps, for risk and exposure
assessment, is challenging. To overcome this issue, starting from a set of
stations, a hybrid regression-interpolation approach was proposed. Annual surface
O3 metrics, O3 human health metrics (number of exceedances of daily maximum 8-h
values greater than 60 ppb and SOMO35) and O3 vegetation impact metrics (AOT40
for vegetation and forests) were investigated at individual sites. Citizens are
more exposed to high O3 levels in rural areas than people living in the cities.
The annual mean concentrations decreased by -0.12ppbyear(-1) at rural stations,
and the significant reduction at 67% of stations, particularly during the warm
season, in the number of episodic high O3 concentrations (e.g. 98th percentile,
0.19ppbyear(-1)) can be associated with the substantial reductions in NOx and
VOCs emissions in the EU-28 countries since the early 1990s Inversely, the O3
background level is rising at 76% of urban sites (+0.14ppbyear(-1)), particularly
during the cold period. This rise can be attributed to increases in imported O3
by long-range transport and to a low O3 titration by NO due to the reduction in
local NOx emissions. The decrease in health-related and vegetation-relevant O3
metrics, at almost all stations, is driven by decreases in regional photochemical
O3 formation and in peak O3 concentrations. The short-term trends highlight that
the threat to population and vegetation declined between 1999 and 2012 in France,
demonstrating the success of European control strategies over the last 20 years.
However, for all exposure metrics, the issue of non-attainment of the target
value for O3 persists in comparison with the objectives of air quality
directives. The region at highest O3 risk is the South-eastern France. This study
contains new information on the i) spatial distribution of surface O3
concentration, ii) exceedances and iii) trends to define more suitable standards
for human health and environmental protection in France.
PMID- 27200479
TI - A direct method for e-cigarette aerosol sample collection.
AB - E-cigarette use is increasing in populations around the world. Recent evidence
has shown that the aerosol produced by e-cigarettes can contain a variety of
toxicants. Published studies characterizing toxicants in e-cigarette aerosol have
relied on filters, impingers or sorbent tubes, which are methods that require
diluting or extracting the sample in a solution during collection. We have
developed a collection system that directly condenses e-cigarette aerosol samples
for chemical and toxicological analyses. The collection system consists of
several cut pipette tips connected with short pieces of tubing. The pipette tip
based collection system can be connected to a peristaltic pump, a vacuum pump, or
directly to an e-cigarette user for the e-cigarette aerosol to flow through the
system. The pipette tip-based system condenses the aerosol produced by the e
cigarette and collects a liquid sample that is ready for analysis without the
need of intermediate extraction solutions. We tested a total of 20 e-cigarettes
from 5 different brands commercially available in Maryland. The pipette tip-based
collection system condensed between 0.23 and 0.53mL of post-vaped e-liquid after
150 puffs. The proposed method is highly adaptable, can be used during field work
and in experimental settings, and allows collecting aerosol samples from a wide
variety of e-cigarette devices, yielding a condensate of the likely exact
substance that is being delivered to the lungs.
PMID- 27200482
TI - The Author File: Robert E. Campbell.
PMID- 27200480
TI - Equine schlafen 11 restricts the production of equine infectious anemia virus via
a codon usage-dependent mechanism.
AB - Human schlafen11 is a novel restriction factor for HIV-1 based on bias regarding
relative synonymous codon usage (RSCU). Here, we report the cloning of equine
schlafen11 (eSLFN11) and the characteristics of its role in restricting the
production of equine infectious anemia virus (EIAV), a retrovirus similar to HIV
1. Overexpression of eSLFN11 inhibited EIAV replication, whereas knockdown of
endogenous eSLFN11 by siRNA enhanced the release of EIAV from its principal
target cell. Notably, although eSLFN11 significantly suppressed expression of
viral Gag protein and EIAV release into the culture medium, the levels of
intracellular viral early gene proteins Tat and Rev and viral genomic RNA were
unaffected. Coincidently, similar altered patterns of codon usage bias were
observed for both the early and late genes of EIAV. Therefore, our data suggest
that eSLFN11 restricts EIAV production by impairing viral mRNA translation via a
mechanism that is similar to that employed by hSLFN11 for HIV-1.
PMID- 27200481
TI - Colorectal cancer screening: Estimated future colonoscopy need and current volume
and capacity.
AB - BACKGROUND: In 2014, a national campaign was launched to increase colorectal
cancer (CRC) screening rates in the United States to 80% by 2018; it is unknown
whether there is sufficient colonoscopy capacity to reach this goal. This study
estimated the number of colonoscopies needed to screen 80% of the eligible
population with fecal immunochemical testing (FIT) or colonoscopy and determined
whether there was sufficient colonoscopy capacity to meet the need. METHODS: The
Microsimulation Screening Analysis-Colon model was used to simulate CRC screening
test use in the United States (2014-2040); the implementation of a national
screening program in 2014 with FIT or colonoscopy with 80% participation was
assumed. The 2012 Survey of Endoscopic Capacity (SECAP) estimated the number of
colonoscopies that were performed and the number that could be performed.
RESULTS: If a national screening program started in 2014, by 2024, approximately
47 million FIT procedures and 5.1 million colonoscopies would be needed annually
to screen the eligible population with a program using FIT as the primary
screening test; approximately 11 to 13 million colonoscopies would be needed
annually to screen the eligible population with a colonoscopy-only screening
program. According to the SECAP survey, an estimated 15 million colonoscopies
were performed in 2012, and an additional 10.5 million colonoscopies could be
performed. CONCLUSIONS: The estimated colonoscopy capacity is sufficient to
screen 80% of the eligible US population with FIT, colonoscopy, or a mix of
tests. Future analyses should take into account the geographic distribution of
colonoscopy capacity. Cancer 2016;122:2479-86. (c) 2016 American Cancer Society.
PMID- 27200483
TI - Purification, characterization and allergenicity assessment of 26kDa protein, a
major allergen from Cicer arietinum.
AB - Chickpea (CP), a legume of the family Fabaceae, is an important nutrient-rich
food providing protein, essential amino acids, vitamins, dietary fibre, and
minerals. Unfortunately, several IgE-binding proteins in CP have been detected
that are responsible for allergic manifestations in sensitized population.
Therefore, the prevalence of CP induced allergy prompted us towards purification,
characterization and allergenicity assessment of a major ~26kDa protein from
chickpea crude protein extract (CP-CPE). Purification of CP 26kDa protein was
done using a combination of fractionation and anion exchange chromatography. This
protein was further characterized as "Chain A, crystal structure of a plant
albumin" from Cicer arietinum with Mol wt 25.8kDa by Liquid chromatography-tandem
mass spectrometry (LC-MS/MS) analysis. Further, allergenic potential of purified
25.8kDa protein was assessed using in vivo and in vitro model. Purified protein
showed IgE-binding capacity with sensitized BALB/c mice and CP allergic patient's
sera. Enhanced levels of specific and total IgE, MCP-1, MCPT-1, myeloperoxidase,
histamine, prostaglandin D2, and cysteinyl leukotriene were found in sera of mice
treated with CP ~26kDa protein. Further, expressions of Th2 cytokines (i.e. IL-4,
IL-5, IL-13), transcription factors (i.e. GATA-3, STAT-6, SOCS-3) and mast cell
signaling proteins (Lyn, cFgr, Syk, PLC-gamma2, PI-3K, PKC) were also found
increased at mRNA and protein levels in the intestines of mice treated with CP
~26kDa protein. In addition, enhanced release of beta-hexosaminidase, histamine,
cysteinyl leukotriene and prostaglandin D2 were observed in RBL2H3 cell line when
treated (125MUg) with CP 26kDa protein. Conclusively, in vivo and in vitro
studies revealed the allergenic potential of purified CP 26kDa protein. Being a
potential allergen, plant albumin may play a pivotal role in CP induced
allergenicity. Current study will be helpful for better development of
therapeutic approaches to prevent the allergenicity in CP sensitized individuals.
PMID- 27200484
TI - 3DSEM++: Adaptive and intelligent 3D SEM surface reconstruction.
AB - Structural analysis of microscopic objects is a longstanding topic in several
scientific disciplines, such as biological, mechanical, and materials sciences.
The scanning electron microscope (SEM), as a promising imaging equipment has been
around for decades to determine the surface properties (e.g., compositions or
geometries) of specimens by achieving increased magnification, contrast, and
resolution greater than one nanometer. Whereas SEM micrographs still remain two
dimensional (2D), many research and educational questions truly require knowledge
and facts about their three-dimensional (3D) structures. 3D surface
reconstruction from SEM images leads to remarkable understanding of microscopic
surfaces, allowing informative and qualitative visualization of the samples being
investigated. In this contribution, we integrate several computational
technologies including machine learning, contrario methodology, and epipolar
geometry to design and develop a novel and efficient method called 3DSEM++ for
multi-view 3D SEM surface reconstruction in an adaptive and intelligent fashion.
The experiments which have been performed on real and synthetic data assert the
approach is able to reach a significant precision to both SEM extrinsic
calibration and its 3D surface modeling.
PMID- 27200485
TI - Positron backscattering from solid targets: Modeling of scattering processes via
various approaches.
AB - Monte Carlo simulation of 1-4keV positron backscattering from semi-infinite solid
targets ranging from Be (z=4) to Au (z=79) with normal angle of incidence is here
reported. In our study, the elastic and inelastic scattering cross sections are
modeled by using various approaches based on either a classical or a quantum
mechanical treatment. Calculations of positron backscattering coefficient are
then reported for the solid targets of interest. The results obtained show a
fairly good agreement with the data available in the literature. The dependence
of the positron backscattering coefficient versus the atomic number of the solid
target of interest has been investigated. In this respect, polynomial functions
are proposed which does not require any recourse to Monte Carlo calculations.
PMID- 27200486
TI - Influence of torso and arm positions on chest examinations by electrical
impedance tomography.
AB - Electrical impedance tomography (EIT) is increasingly used in patients suffering
from respiratory disorders during pulmonary function testing (PFT). The EIT chest
examinations often take place simultaneously to conventional PFT during which the
patients involuntarily move in order to facilitate their breathing. Since the
influence of torso and arm movements on EIT chest examinations is unknown, we
studied this effect in 13 healthy subjects (37 +/- 4 years, mean age +/- SD)
and 15 patients with obstructive lung diseases (72 +/- 8 years) during stable
tidal breathing. We carried out the examinations in an upright sitting position
with both arms adducted, in a leaning forward position and in an upright sitting
position with consecutive right and left arm elevations. We analysed the
differences in EIT-derived regional end-expiratory impedance values, tidal
impedance variations and their spatial distributions during all successive study
phases. Both the torso and the arm movements had a highly significant influence
on the end-expiratory impedance values in the healthy subjects (p = 0.0054 and
p < 0.0001, respectively) and the patients (p < 0.0001 in both cases). The
global tidal impedance variation was affected by the torso, but not the arm
movements in both study groups (p = 0.0447 and p = 0.0418, respectively). The
spatial heterogeneity of the tidal ventilation distribution was slightly
influenced by the alteration of the torso position only in the patients (p =
0.0391). The arm movements did not impact the ventilation distribution in either
study group. In summary, the forward torso movement and the arms' abduction exert
significant effects on the EIT waveforms during tidal breathing. We recommend
strict adherence to the upright sitting position during PFT when EIT is used.
PMID- 27200487
TI - The impact of langerin (CD207)+ dendritic cells and FOXP3+ Treg cells in the
small bowel mucosa of children with celiac disease and atopic dermatitis in
comparison to children with functional gastrointestinal disorders.
AB - In the present study we aimed to evaluate the impact of langerin (CD207)+
dendritic cells (DCs) and FOXP3+ Treg cells in the intestinal mucosa of children
with celiac disease (CD) and atopic dermatitis (AD) in comparison to children
with functional gastrointestinal disorders (FGD). Seventy-five children (37 male,
mean age 8.4 +/- 4.8 years), who randomly underwent small bowel biopsy, were
studied. The CD was diagnosed in 14 children, including five persons with
concomitant AD (all positive for anti-tissue transglutaminase IgA antibodies and
with small bowel atrophy). Normal small bowel mucosa was found in eight patients
with AD and in 53 patients with FGD. The sera of all patients were tested for
total and specific IgE antibodies to food allergen panels. Staining for CD11c+,
langerin (CD207+) DCs, CD4+, and FOXP3+ Treg cells was performed on paraffin
embedded sections of bioptates using immunohistochemistry. The density of CD11c+
DCs, CD4+, and FOXP3+ Treg cells was higher in the CD patients compared to the AD
and FGD patients (p = 0.02; p = 0.001). In AD, significantly higher density of
CD11c+ DCs was detected in patients positive for specific IgE to food allergen
panels (p = 0.02). The FGD patients with elevated total IgE had increased density
of langerin (CD207)+ DCs compared to the patients with normal total IgE levels (p
= 0.01). The increased density of FOXP3+ Treg cells, CD4+, cells and CD11c+ DCs
was associated with CD but not with AD. The elevated level of total IgE or
specific IgE to food allergens was associated with more pronounced expression of
DCs, indicating a possible link between the presence of these cells in small
bowel mucosa with elevated level of serum IgE.
PMID- 27200488
TI - Elastic chitosan/chondroitin sulfate multilayer membranes.
AB - Freestanding multilayered films were obtained using layer-by-layer (LbL)
technology from the assembly of natural polyelectrolytes, namely chitosan (CHT)
and chondroitin sulfate (CS). The morphology and the transparency of the
membranes were evaluated. The influence of genipin (1 and 2 mg ml(-1)), a
naturally-derived crosslinker agent, was also investigated in the control of the
mechanical properties of the CHT/CS membranes. The water uptake ability can be
tailored by changing the crosslinker concentration that also controls the Young's
modulus and ultimate tensile strength. The maximum extension tends to decrease
upon crosslinking with the highest genipin concentration, compromising the
elastic properties of CHT/CS membranes: nevertheless, when using a lower genipin
concentration, the ultimate tensile stress is similar to the non-crosslinked one,
but exhibits a significantly higher modulus. Moreover, the crosslinked multilayer
membranes exhibited shape memory properties, through a simple hydration action.
The in vitro biological assays showed better L929 cell adhesion and proliferation
when using the crosslinked membranes and confirmed the non-cytotoxicity of the
developed CHT/CS membranes. Within this research work, we were able to construct
freestanding biomimetic multilayer structures with tailored swelling, mechanical
and biological properties that could find applicability in a variety of
biomedical applications.
PMID- 27200489
TI - Using metabolomics to dissect host-parasite interactions.
AB - Protozoan parasites have evolved diverse growth and metabolic strategies for
surviving and proliferating within different extracellular and intracellular
niches in their mammalian hosts. Metabolomic approaches, including high coverage
metabolite profiling and (13)C/(2)H-stable isotope labeling, are increasingly
being used to identify parasite metabolic pathways that are important for
survival and replication in vivo. These approaches are highlighting new links
between parasite carbon metabolism and the ability of different parasite stages
to colonize specific niches or host cell types. They have also revealed novel
metabolic regulatory mechanisms that are important for homeostasis and survival
in potentially nutrient variable environments. These studies highlight the
importance of parasite and host metabolism as determinants of host-parasite
interactions.
PMID- 27200490
TI - Updating the definition of pain.
PMID- 27200491
TI - Can immunostimulatory agents enhance the abscopal effect of radiotherapy?
AB - Ionising radiation (IR) may harm cancer cells through a rare indirect out-of
field phenomenon described as the abscopal effect. Increasing evidence
demonstrates that radiotherapy could be capable of generating tumour-specific
immune responses. On the other hand, effects of IR also include inhibitory immune
signals on the tumour microenvironment. Following these observations, and in the
context of newly available immunostimulatory agents in metastatic cancers (anti
cytotoxic T lymphocyte-associated antigen 4 and programmed cell death protein-1
or -ligand 1 [PD1 or PDL-1]), there is a remarkable potential for synergistic
combinations of IR with such agents that act through the reactivation of immune
surveillance. Here, we present and discuss the pre-clinical and clinical
rationale supporting the enhancement of the abscopal effect of IR on the blockade
of immune checkpoints and discuss the evolving potential of immunoradiotherapy.
PMID- 27200492
TI - When relative allocation depends on total resource acquisition: implication for
the analysis of trade-offs.
AB - A central tenet of evolutionary biology states that life-history traits are
linked via trade-offs, as classically exemplified by the van Noordwijk and de
Jong model. This model, however, assumes that the relative resource allocation to
a biological function varies independently of the total resource acquisition.
Based on current empirical evidence, we first explored the dependency between the
total resource acquisition and the relative resource allocation to reproduction
and showed that such dependency is the rule rather than the exception. We then
derived the expression of the covariance between traits when the assumption of
independence is relaxed and used simulations to quantify the importance of such
dependency on the detection of trade-offs between current reproduction and future
survival. We found that the dependency between the total energy acquisition and
the relative allocation to reproduction can influence the probability to detect
trade-offs between survival and reproduction. As a general rule, a negative
dependency between the total energy acquisition and the relative allocation to
reproduction should lead to a higher probability of detecting a trade-off in
species with a fast pace of life, whereas a positive dependency should lead to a
higher probability of detecting a trade-off in species with a slow pace of life.
In addition to confirming the importance of resource variation to reveal trade
offs, our finding demonstrates that the covariance between resource allocation
and resource acquisition is generally not null and also plays a fundamental role
in the detection of trade-offs.
PMID- 27200493
TI - Red marrow and blood dosimetry in (131)I treatment of metastatic thyroid
carcinoma: pre-treatment versus in-therapy results.
AB - Treatment with radioiodine is a standard procedure for patients with well
differentiated thyroid cancer, but the main approach to the therapy is still
empiric, consisting of the administration of fixed activities. A predictive
individualized dosimetric study may represent an important tool for physicians to
determine the best activity to prescribe. The aim of this work is to compare red
marrow and blood absorbed dose values obtained in the pre-treatment (PT)
dosimetry phase with those obtained in the in-treatment (IT) dosimetry phase in
order to estimate the predictive power of PT trial doses and to determine if they
can be used as a decision-making tool to safely administer higher (131)I activity
to potentially increase the efficacy of treatment. The PT and IT dosimetry for 50
patients has been evaluated using three different dosimetric approaches. In all
three approaches blood and red marrow doses, are calculated as the sum of two
components, the dose from (131)I activity in the blood and the dose from (131)I
activity located in the remainder of the body (i.e. the blood and whole-body
contributions to the total dose). PT and IT dose values to blood and red marrow
appear to be well correlated irrespective of the dosimetric approach used. Linear
regression analyses of PT and IT total doses, for blood and red marrow, and the
whole-body contribution to these doses, showed consistent best fit slope and
correlation coefficient values of approximately 0.9 and 0.6, respectively:
analyses of the blood dose contribution to the total doses also yielded similar
values for the best fit slope but with correlation coefficient values of
approximately 0.4 reflecting the greater variance in these dose estimates. These
findings suggest that pre-treatment red marrow dose assessments may represent an
important tool to personalize metastatic thyroid cancer treatment, removing the
constraints of a fixed activity approach and permitting potentially more
effective higher (131)I activities to be safely used in-treatment.
PMID- 27200495
TI - Responsivity to dyslexia training indexed by the N170 amplitude of the brain
potential elicited by word reading.
AB - The present study examined training effects in dyslexic children on reading
fluency and the amplitude of N170, a negative brain-potential component elicited
by letter and symbol strings. A group of 18 children with dyslexia in 3rd grade
(9.05+/-0.46years old) was tested before and after following a letter-speech
sound mapping training. A group of 20 third-grade typical readers (8.78+/
0.35years old) performed a single time on the same brain potential task. The
training was differentially effective in speeding up reading fluency in the
dyslexic children. In some children, training had a beneficial effect on reading
fluency ('improvers') while a training effect was absent in others ('non
improvers'). Improvers at pre-training showed larger N170 amplitude to words
compared to non-improvers. N170 amplitude decreased following training in
improvers but not in non-improvers. But the N170 amplitude pattern in improvers
continued to differ from the N170 amplitude pattern across hemispheres seen in
typical readers. Finally, we observed a positive relation between the decrease in
N170 amplitude and gains in reading fluency. Collectively, the results that
emerged from the present study indicate the sensitivity of N170 amplitude to
reading fluency and its potential as a predictor of reading fluency acquisition.
PMID- 27200494
TI - Performance on a computerized neurocognitive battery in 22q11.2 deletion
syndrome: A comparison between US and Israeli cohorts.
AB - Increasingly, the effects of copy number variation (CNV) in the genome on brain
function and behaviors are recognized as means to elucidate pathophysiology of
psychiatric disorders. Such studies require large samples and we characterized
the neurocognitive profile of two cohorts of individuals with 22q11.2 deletion
syndrome (22q11DS), the most common CNV associated with schizophrenia, in an
effort to harmonize phenotyping in multi-site global collaborations. The Penn
Computerized Neurocognitive Battery (PCNB) was administered to individuals with
22q11DS in Philadelphia (PHL; n=155, aged 12-40) and Tel Aviv (TLV; n=59, aged 12
36). We examined effect sizes of performance differences between the cohorts and
confirmed the factor structure of PCNB performance efficiency in the combined
sample based on data from a large comparison community sample. The cohorts
performed comparably with notable deficits in executive function, episodic memory
and social cognition domains that were previously associated with abnormal
neuroimaging findings in 22q11DS. In mixed model analysis, while there was a main
effect for site for accuracy (number of correct response) and speed (time to
correct response) independently, there were no main site effects for standardized
efficiency (average of accuracy and speed). The fit of a structural model was
excellent indicating that PCNB tests were related to the targeted cognitive
domains. Thus, our results provide preliminary support for the use of the PCNB as
an efficient tool for neurocognitive assessment in international 22q11DS
collaborations.
PMID- 27200496
TI - Epigallocatechin gallate sensitizes cisplatin-resistant oral cancer CAR cell
apoptosis and autophagy through stimulating AKT/STAT3 pathway and suppressing
multidrug resistance 1 signaling.
AB - Epigallocatechin gallate (EGCG) is a green tea polyphenol that presents
anticancer activities in multiple cancer cells, but no available report was
addressed for the underling molecular mechanism of cytotoxic impacts on drug
resistant oral squamous cell carcinoma cells. In the present study, the
inhibitory effects of EGCG were experienced on cisplatin-resistant oral cancer
CAR cells. EGCG inhibited cell viability in a time- and concentration-dependent
manner by a sulforhodamine B (SRB) assay. EGCG induced CAR cell apoptosis and
autophagy by 4',6-diamidino-2-phenylindole (DAPI) dye, acridine orange (AO)
staining and green fluorescent protein (GFP)-tagged LC3B assay, respectively.
EGCG also significantly enhanced caspase-9 and caspase-3 activities by caspase
activity assay. EGCG markedly increased the protein levels of Bax, cleaved
caspase-9, cleaved caspase-3, Atg5, Atg7, Atg12, Beclin-1, and LC3B-II, as well
as significantly decreased the expression of Bcl-2, phosphorylated AKT (Ser473)
and phosphorylation of STAT3 on Tyr705 by western blotting in CAR cells.
Importantly, the protein and gene expression of multidrug resistance 1 (MDR1)
were dose-dependently inhibited by EGCG. Overall, downregulation of MDR1 levels
and alterations of AKT/STAT3 signaling contributed to EGCG-induced apoptosis and
autophagy in CAR cells. Based on these results, EGCG has the potential for
therapeutic effect on oral cancer and may be useful for long-term oral cancer
prevention in the future. (c) 2016 Wiley Periodicals, Inc. Environ Toxicol 32:
845-855, 2017.
PMID- 27200497
TI - HLA-DQ antibodies: are they real? Are they relevant? Why so many?
AB - PURPOSE OF REVIEW: Recent reports on donor-specific antibodies documented an
overwhelming frequency of antibodies to one specific locus - human leukocyte
antigen DQ (HLA-DQ). This article provides a short summary of clinical
observations, a historic perspective to account for the late recognition of the
role of HLA-DQ antibodies as well as potential explanations. RECENT FINDINGS: The
basic understanding of the complexity of HLA-DQ molecules (antigens and
antibodies) existed already 3-4 decades ago. However, only more recent
advancements in molecular techniques as well as solid phase platforms, that allow
for testing antibody specificities against individual HLA targets, provided state
of-the-art tools that are also amenable to mass applications. Thus, the
significance of the polymorphic nature of both polypeptide chains of the DQ
molecule, DQalpha and DQbeta, is only now re-emerging. SUMMARY: HLA-DQ antibodies
are real, relevant, and abundant. In order to achieve a clinically useful
understanding of this phenomenon, HLA-DQ antigens and antibodies should be viewed
at the level of the physiologic structure, as it appears on the cell surface,
namely, one unit composed as DQalphabeta. Preliminary data demonstrated that such
an approach is likely to lead to more equitable calculation of calculated panel
reactive antibody, improving the accuracy of virtual crossmatch prediction, and
increasing the likelihood of finding a compatible donor for the very highly
sensitized patients.
PMID- 27200498
TI - Clinically relevant interpretation of solid phase assays for HLA antibody.
AB - PURPOSE OF REVIEW: Accurate and timely detection and characterization of human
leukocyte antigen (HLA) antibodies are critical for pre-transplant and post
transplant immunological risk assessment. Solid phase immunoassays have provided
increased sensitivity and specificity, but test interpretation is not always
straightforward. This review will discuss the result interpretation considering
technical limitations; assessment of relative antibody strength; and the
integration of data for risk stratification from complementary testing and the
patient's immunological history. RECENT FINDINGS: Laboratory and clinical studies
have provided insight into causes of test failures - false positive reactions
because of antibodies to denatured HLA antigens and false negative reactions
resulting from test interference and/or loss of native epitopes. Test
modifications permit detection of complement-binding antibodies and determination
of the IgG subclasses. The high degree of specificity of single antigen solid
phase immunoassays has revealed the complexity and clinical relevance of
antibodies to HLA-C, HLA-DQ, and HLA-DP antigens. Determination of antibody
specificity for HLA epitopes enables identification of incompatible antigens not
included in test kits. SUMMARY: Detection and characterization of HLA antibodies
with solid phase immunoassays has led to increased understanding of the role of
those antibodies in graft rejection, improved treatment of antibody-mediated
rejection, and increased opportunities for transplantation. However, realization
of these benefits requires careful and accurate interpretation of test results.
PMID- 27200500
TI - Systematic review of self-management in patients with schizophrenia: psychometric
assessment of tools, levels of self-management and associated factors.
AB - AIMS: The aim of this study was to provide an overview of existing knowledge
about self-management assessment tools used in patients with schizophrenia, and
levels of self-management and associated factors in these patients. BACKGROUND:
Self-management empowers patients with chronic conditions to manage their illness
and psychosocial consequences. With respect to patients with schizophrenia,
knowledge concerning self-management is scarce. A systematic review of existing
literature focusing on self-management in these patients may contribute to
further research programming and practice development. DESIGN: A systematic
review of the literature. DATA SOURCES: A systematic literature search was
conducted in March 2015 in Medline, Embase, PsycINFO and CINAHL. REVIEW METHODS:
Twelve articles were included. Data were extracted and categorized following the
objectives of this review: (1) self-management assessment tools and their
psychometric properties; (2) level of self-management; and (3) factors associated
with self-management in patients with schizophrenia. RESULTS: The PIH scale, the
PAM-MH and the IMR scale were used to assess self-management. The overall
psychometric quality of these instruments showed to be fair to poor. The level of
self-management in patients with schizophrenia is comparable with other mental
health conditions, higher than general population and lower than patients with
physical health conditions. Several factors (e.g. sense of coherence, recovery
and hope) were found to be associated. CONCLUSION: Further efforts are needed to
increase the methodological quality of psychometric research on self-management
assessment tools. More insight in the level of self-management and associated
factors may enhance the development of future interventions.
PMID- 27200499
TI - Optimization of saturation-recovery dynamic contrast-enhanced MRI acquisition
protocol: monte carlo simulation approach demonstrated with gadolinium MR
renography.
AB - Dynamic contrast-enhanced (DCE) MRI is widely used for the measurement of tissue
perfusion and to assess organ function. MR renography, which is acquired using a
DCE sequence, can measure renal perfusion, filtration and concentrating ability.
Optimization of the DCE acquisition protocol is important for the minimization of
the error propagation from the acquired signals to the estimated parameters, thus
improving the precision of the parameters. Critical to the optimization of
contrast-enhanced T1 -weighted protocols is the balance of the T1 -shortening
effect across the range of gadolinium (Gd) contrast concentration in the tissue
of interest. In this study, we demonstrate a Monte Carlo simulation approach for
the optimization of DCE MRI, in which a saturation-recovery T1 -weighted gradient
echo sequence is simulated and the impact of injected dose (D) and time delay
(TD, for saturation recovery) is tested. The results show that high D and/or high
TD cause saturation of the peak arterial signals and lead to an overestimation of
renal plasma flow (RPF) and glomerular filtration rate (GFR). However, the use of
low TD (e.g. 100 ms) and low D leads to similar errors in RPF and GFR, because of
the Rician bias in the pre-contrast arterial signals. Our patient study including
22 human subjects compared TD values of 100 and 300 ms after the injection of 4
mL of Gd contrast for MR renography. At TD = 100 ms, we computed an RPF value of
157.2 +/- 51.7 mL/min and a GFR of 33.3 +/- 11.6 mL/min. These results were all
significantly higher than the parameter estimates at TD = 300 ms: RPF = 143.4 +/-
48.8 mL/min (p = 0.0006) and GFR = 30.2 +/- 11.5 mL/min (p = 0.0015). In
conclusion, appropriate optimization of the DCE MRI protocol using simulation can
effectively improve the precision and, potentially, the accuracy of the measured
parameters. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27200501
TI - [Pd4(MU3-SbMe3)4(SbMe3)4]: A Pd(0) Tetrahedron with MU3-Bridging
Trimethylantimony Ligands.
AB - The palladium(II) chlorostibine complex [PdCl2(SbMe2Cl)2]2 has a dimeric
structure in the solid state, stabilized by hyper-coordination at the Lewis
amphoteric Sb centers. Reaction with 8 equiv of MeLi forms [Pd4(MU3
SbMe3)4(SbMe3)4], whose structure comprises a tetrahedral Pd(0) core with four
terminal SbMe3 ligands and four MU3-SbMe3 ligands, one capping each triangular
Pd3 face. Density functional theory calculations, supported by energy
decomposition analysis and the natural orbitals for chemical valence scheme,
highlight significant donor and acceptor orbital contributions to the bonding
between both the terminal and the bridging SbMe3 ligands and the Pd4 core.
PMID- 27200502
TI - Changing national guidelines is not enough: the impact of 1990 IOM
recommendations on gestational weight gain among US women.
AB - BACKGROUND AND OBJECTIVES: Gestational weight gain (GWG) is associated with both
long- and short-term maternal and child health outcomes, particularly obesity.
Targeting maternal nutrition through policies is a potentially powerful pathway
to influence these outcomes. Yet prior research has often failed to evaluate
national policies and guidelines that address maternal and child health. In 1990,
the U.S. Institute of Medicine (IOM) released guidelines recommending different
GWG thresholds based on women's pre-pregnancy body mass index (BMI), with the
goal of improving infant birth weight. In this study, we employ quasi
experimental methods to examine whether the release of the IOM guidelines led to
changes in GWG among a diverse and nationally representative sample of women.
METHODS: Our sample included female participants of the National Longitudinal
Survey of Youth who self-reported GWG for pregnancies during 1979-2000 (n=7442
pregnancies to 4173 women). We compared GWG before and after the guidelines were
released using difference-in-differences (DID) and regression discontinuity (RD)
analyses. RESULTS: In DID analyses we found no reduction in GWG among
overweight/obese women relative to normal/underweight women. Meanwhile, RD
analyses demonstrated no changes in GWG by pre-pregnancy BMI for either
overweight/obese or normal/underweight women. Results were similar for women
regardless of educational attainment, race or parity. CONCLUSIONS: These findings
suggest that national guidelines had no effect on weight gain among pregnant
women. These results have implications for the implementation of policies
targeting maternal and child health via dietary behaviors.
PMID- 27200503
TI - Leptin administration in physiological or pharmacological doses does not alter
circulating irisin levels in humans.
AB - Leptin is an adipokine causing browning of adipose tissue, and it thus increases
energy expenditure. The same is true for irisin. We studied whether exogenously
administered metreleptin affects serum irisin concentrations in humans, which
would suggest a direct interplay between leptin and irisin. We performed two
studies: a dose-escalating 1-day-long study and a randomized placebo-controlled
study. Study 1: 15 healthy, normal-weight and/or obese male and female
individuals participated in three 1-day-long trials of metreleptin administration
in the fed state. Metreleptin was administered once at physiological and
pharmacological (0.01, 0.1 and 0.3 mg per kg body weight) doses. Study 2: 18
apparently healthy hypoleptinemic young women with hypoleptinemia and secondary
amenorrhea took part in this study. Subjects received either metreleptin in
replacement doses (0.08 and/or 0.12 mg kg(-1)) or placebo for 16 weeks. Blood
samples were analyzed for leptin and irisin. We found no effect of metreleptin
administration on irisin levels of subjects studied at either the fasting or the
fed state either in the short or the long term. We provide evidence that leptin
is not altering circulating irisin levels in humans.
PMID- 27200504
TI - Moving to a personalized medicine approach to promote health across the weight
spectrum.
PMID- 27200506
TI - Capsaicinoids and energy balance: the next step.
PMID- 27200505
TI - Recovery of brain structural abnormalities in morbidly obese patients after
bariatric surgery.
AB - BACKGROUND/OBJECTIVES: Obesity-related brain structural abnormalities have been
reported extensively, and bariatric surgery (BS) is currently the most effective
intervention to produce sustained weight reduction in overtly obese (OB) people.
It is unknown whether BS can repair the brain circuitry abnormalities
concomitantly with long-term weight loss. SUBJECTS/METHODS: In order to
investigate whether BS promotes neuroplastic structural recovery in morbidly OB
patients, we quantified fractional anisotropy (FA), mean diffusivity (MD) and
gray (GM) and white (WM) matter densities in 15 morbidly OB patients and in 18
normal weight (NW) individuals. OB patients were studied at baseline and also 1
month after laparoscopic sleeve gastrectomy surgery. RESULTS: Two-sample t-test
between OB (baseline) and NW groups showed decreased FA values, GM/WM densities
and increased MD value in brain regions associated with food intake control (that
is, caudate, orbitofrontal cortex, body and genu of corpus callosum) and
cognitive-emotion regulation (that is, inferior frontal gyrus, hippocampus,
insula, external capsule) (P<0.05, family-wise error correction). Paired t-test
in the OB group between before and after surgery showed that BS generated partial
neuroplastic structural recovery in the OB group, but the differences had
relative less strength and smaller volume (P<0.001). CONCLUSIONS: This study
provides the first anatomical evidence for BS-induced acute neuroplastic recovery
that might in part mediate the long-term benefit of BS in weight reduction. It
also highlights the importance of this line of gut-brain axis research employing
the combined BS and neuroimaging model for identifying longitudinal changes in
brain structure that correlated with obesity status.
PMID- 27200508
TI - Effect of C8mimPF6 on miniemulsion polymerization for application in new latex
coating products.
AB - C8mimPF6, as a type of room temperature ionic liquid (RTIL) with non-volatility
and a low melting point, may replace conventional coalescing agents in latex
coatings, thus preventing volatile organic compound (VOC) emissions caused by
coalescing agents. In this study, systematic investigations on the effect of
various factors including initiator type, initiator concentration, temperature
and C8mimPF6 concentration on the conversion of latex and droplet/particle size
of a miniemulsion during polymerization have been conducted. The presence of
C8mimPF6 has shown to have a marked effect on the reaction rate. Such an effect
strongly depends on the type of initiator being used. For polymerization
initiated by 2,2-azobis (isobutyronitrile) (AIBN), C8mimPF6 had a promoting
effect on the reaction rate at low concentrations, but this effect might be
reversed upon certain C8mimPF6 concentrations, e.g. 10 wt%. While initiated by
H2O2/Vc, this promoting effect faded even at low C8mimPF6 concentrations. The
different limiting factors, which determine the reaction rate with different
types of initiator, may contribute to the results. For reactions initiated by
hydrophobic AIBN, the reaction was dominated by kinetics. The presence of
C8mimPF6 may cause an enhanced chain propagation rate and reduced chain
termination rate, which may further contribute to the increase in reaction rate
at lower concentrations of C8mimPF6. With hydrophilic H2O2/Vc, the resistance for
the transfer of radicals into a droplet/particle might be increased significantly
with increasing C8mimPF6 concentration due to a tighter interfacial structure at
lower concentrations of C8mimPF6. Thus, such transfer of radicals may become a
limiting step whilst the presence of C8mimPF6 increases the transfer resistance
on radicals resulting in a decrease in reaction rate. The reaction temperature,
which is related to the decomposition temperature of the initiator being used,
was another factor affecting the conversion of latex and the size of latex
particles. A higher temperature e.g. 50 degrees C promotes the coalescence of
droplets/particles, and hence produces larger latex particles. In the presence of
C8mimPF6, the reaction temperature could be significantly reduced to as low as 40
degrees C, which prevents phase separation. The final particle size depends on
the nucleation mechanism as well as the coalescence of droplets/particles during
polymerization.
PMID- 27200507
TI - Obesity but not high-fat diet impairs lymphatic function.
AB - BACKGROUND/OBJECTIVES: High-fat diet (HFD)-induced obesity has significant
negative effects on lymphatic function, but it remains unclear whether this is a
direct effect of HFD or secondary to adipose tissue deposition. METHODS: We
compared the effects of HFD on obesity-prone and obesity-resistant mice and
analyzed lymphatic function in vivo and in vitro. RESULTS: Only obesity-prone
mice had impaired lymphatic function, increased perilymphatic inflammation and
accumulation of lipid droplets surrounding their lymphatic endothelial cells
(LECs). LECs isolated from obesity-prone mice, in contrast to obesity-resistant
animals, had decreased expression of VEGFR-3 and Prox1. Exposure of LECs to a
long-chain free fatty acid increased cellular apoptosis and decreased VEGFR-3
expression, while inhibition of intracellular inhibitors of VEGFR-3 signaling
pathways increased cellular viability. CONCLUSIONS: Collectively, our studies
suggest that HFD-induced obesity decreases lymphatic function by increasing
perilymphatic inflammation and altering LEC gene expression. Reversal of
diminished VEGFR-3 signaling may rescue this phenotype and improve lymphatic
function.
PMID- 27200509
TI - Hydrothermally Oxidized Single-Walled Carbon Nanotube Networks for High
Volumetric Electrochemical Energy Storage.
AB - Improving volumetric energy density is one of the major challenges in
nanostructured carbon electrodes for electrochemical energy storage device
applications. Herein, a simple hydrothermal oxidation process of single-walled
carbon nanotube (SWNT) networks in dilute nitric acid is reported, enabling
simultaneous physical densification and chemical functionalization of the as
assembled randomly-packed SWNT films. After the hydrothermal oxidation process,
the density of the SWNT films increases from 0.63 to 1.02 g cm(-3) and a
considerable amount of redox-active oxygen functional groups are introduced on
the surface of the SWNTs. The functionalized SWNT films are used as positive
electrodes against Li metal negative electrodes for potential Li-ion capacitors
or Li-ion battery applications. The functionalized SWNT electrodes deliver high
volumetric as well as gravimetric capacities, 154 Ah L(-1) and 152 mAh g(-1) ,
respectively, owing to the surface redox reactions between the introduced oxygen
functional groups and Li ions. In addition, these electrodes exhibit a remarkable
rate-capability by retaining its high capacity of 94 Ah L(-1) (92 mAh g(-1) ) at
a high discharge rate of 10 A g(-1) . These results demonstrate the simple
hydrothermal oxidation process as an attractive strategy for improving the
volumetric performance of nanostructured carbon electrodes.
PMID- 27200510
TI - In vivo bioimpedance changes during haemorrhagic and ischaemic stroke in rats:
towards 3D stroke imaging using electrical impedance tomography.
AB - Electrical impedance tomography (EIT) could be used as a portable non-invasive
means to image the development of ischaemic stroke or haemorrhage. The purpose of
this study was to examine if this was possible using time difference imaging, in
the anesthetised rat using 40 spring-loaded scalp electrodes with applied
constant currents of 50-150 MUA at 2 kHz. Impedance changes in the largest 10% of
electrode combinations were -12.8% +/- 12.0% over the first 10 min for
haemorrhage and +46.1% +/- 37.2% over one hour for ischaemic stroke (mean +/-
SD, n = 7 in each group). The volume of the pathologies, assessed by tissue
section and histology post-mortem, was 12.6 MUl +/- 17.6 MUl and 12.6 MUl +/-
17.6 MUl for haemorrhage and ischaemia respectively. In time difference EIT
images, there was a correspondence with the pathology in 3/7 cases of haemorrhage
and none of the ischaemic strokes. Although the net impedance changes were
physiologically reasonable and consistent with expectations from the literature,
it was disappointing that it was not possible to obtain reliable EIT images. The
reason for this are not clear, but probably include confounding effects of
secondary ischaemia for haemorrhage and tissue and cerebrospinal fluid shifts for
the stroke model. With this method, it does not appear that EIT with scalp
electrodes is yet ready for clinical use.
PMID- 27200512
TI - High-dose chemotherapy and autologous bone marrow or stem cell transplantation
versus conventional chemotherapy for women with early poor prognosis breast
cancer.
AB - BACKGROUND: Overall survival rates are disappointing for women with early poor
prognosis breast cancer. Autologous transplantation of bone marrow or peripheral
stem cells (in which the woman is both donor and recipient) has been considered a
promising technique because it permits use of much higher doses of chemotherapy.
OBJECTIVES: To compare the effectiveness and safety of high-dose chemotherapy and
autograft (either autologous bone marrow or stem cell transplantation) with
conventional chemotherapy for women with early poor prognosis breast cancer.
SEARCH METHODS: We searched the Cochrane Breast Cancer Group Specialised
Register, MEDLINE (1966 to October 2015), EMBASE (1980 to October 2015), the
World Health Organization's International Clinical Trials Registry Search
Platform, and ClinicalTrials.gov on the 21 October 2015. SELECTION CRITERIA:
Randomised controlled trials (RCTs) comparing high-dose chemotherapy and
autograft (bone marrow transplant or stem cell rescue) versus chemotherapy
without autograft for women with early poor prognosis breast cancer. DATA
COLLECTION AND ANALYSIS: Two review authors selected RCTs, independently
extracted data and assessed risks of bias. We combined data using a Mantel
Haenszel fixed-effect model to calculate pooled risk ratios (RRs) and 95%
confidence intervals (CIs). We assessed the quality of the evidence using GRADE
methods. Outcomes were survival rates, toxicity and quality of life. MAIN
RESULTS: We included 14 RCTs of 5600 women randomised to receive high-dose
chemotherapy and autograft (bone marrow transplant or stem cell rescue) versus
chemotherapy without autograft for women with early poor prognosis breast cancer.
The studies were at low risk of bias in most areas.There is high-quality evidence
that high-dose chemotherapy does not increase the likelihood of overall survival
at any stage of follow-up (at three years: RR 1.02, 95% CI 0.95 to 1.10, 3 RCTs,
795 women, I2 = 56%; at five years: RR 1.00, 95% CI 0.96 to 1.04, 9 RCTs, 3948
women, I2 = 0%; at six years: RR 0.94, 95% CI 0.81 to 1.08, 1 RCT, 511 women; at
eight years: RR1.17, 95% CI 0.95 to 1.43, 1 RCT, 344 women; at 12 years: RR 1.18,
95% CI 0.99 to 1.42, 1 RCT, 382 women).There is high-quality evidence that high
dose chemotherapy improves the likelihood of event-free survival at three years
(RR 1.19, 95% CI 1.06 to 1.34, 3 RCTs, 795 women, I2 = 56%) but this effect was
no longer apparent at longer duration of follow-up (at five years: RR 1.04, 95%
CI 0.99 to 1.09, 9 RCTs, 3948 women, I2 = 14%; at six years RR 1.04, 95% CI 0.87
to 1.24, 1 RCT, 511 women; at eight years: RR 1.27, 95% CI 0.99 to 1.64, 1 RCT,
344 women; at 12 years: RR 1.18, 95% CI 0.95 to 1.45, 1 RCT, 382 women).Treatment
related deaths were much more frequent in the high-dose arm (RR 7.97, 95% CI 3.99
to 15.92, 14 RCTs, 5600 women, I2 = 12%, high-quality evidence) and non-fatal
morbidity was also more common and more severe in the high-dose group. There was
little or no difference between the groups in the incidence of second cancers at
four to nine years' median follow-up (RR 1.25, 95% CI 0.90 to 1.73, 7 RCTs, 3423
women, I2 = 0%, high-quality evidence). Women in the high-dose group reported
significantly worse quality-of-life scores immediately after treatment, but there
were few statistically significant differences between the groups by one year.The
primary studies were at low risk of bias in most areas, and the evidence was
assessed using GRADE methods and rated as high quality for all comparisons.
AUTHORS' CONCLUSIONS: There is high-quality evidence of increased treatment
related mortality and little or no increase in survival by using high-dose
chemotherapy with autograft for women with early poor prognosis breast cancer.
PMID- 27200511
TI - The role of interventional sialendoscopy and intraductal steroid therapy in
patients with recurrent sine causa sialadenitis: a prospective cross-sectional
study.
AB - OBJECTIVES: To verify the role of interventional sialendoscopy and steroidal
ductal irrigation in patients with recurrent sialadenitis. DESIGN: A prospective,
cross-sectional pilot study. SETTING: University of Milan. PARTICIPANTS: Fifty
four patients with sine causa recurrent sialadenitis who underwent interventional
sialendoscopy (group A, 36 patients) or interventional sialendoscopy followed by
a intraductal steroidal irrigations (group B, 18 patients). MAIN OUTCOMES
MEASURES: The number of episodes of sialadenitis three and 6 months before and
after sialendoscopy, and their severity assessed by means of a 0-10 pain visual
analogue scale. RESULTS: In the population as a whole, a significant post
treatment reduction in the number of episodes of 30.7 +/- 5.5 after 3 months and
34.6 +/- 10.2 after 6 months (P < 0.001) and a significant reduction in pain
visual analogue scale values of 4.7 +/- 0.4 after 6 months (P < 0.001) occurred.
There was a statistically significant reduction in both parameters at the same
time points in both treatment groups (P >= 0.001), with no significant between
group difference in pain visual analogue scale values, an albeit non-significant
trend in favour of group B in terms of the number of episodes 3 months after
therapy that became significant after 6 months (11.0 +/- 9.9 versus 20.5 +/- 9.5;
P = 0.05). CONCLUSIONS: Interventional sialendoscopy is effective for the
treatment of recurrent sialadenitis; the addition of intraductal steroidal
irrigations seems to increase its value in the medium term. Further studies of
larger case series with longer follow-up are needed to establish the possibly
primary role of steroid therapy in blocking inflammation.
PMID- 27200513
TI - Magnetic cylindrical colloids at liquid interfaces exhibit non-volatile switching
of their orientation in an external field.
AB - We study the orientation of magnetic cylindrical particles adsorbed at a liquid
interface in an external field using analytical theory and high resolution finite
element simulations. Cylindrical particles are interesting since they possess
multiple locally stable orientations at the liquid interface so that the
orientational transitions induced by an external field will not disappear when
the external field is removed, i.e., the switching effect is non-volatile. We
show that, in the absence of an external field, as we reduce the aspect ratio
alpha of the cylinders below a critical value (alphac~ 2) the particles undergo
spontaneous symmetry breaking from a stable side-on state to one of two
equivalent stable tilted states, similar to the spontaneous magnetisation of a
ferromagnet going through the Curie point. By tuning both the aspect ratio and
contact angle of the cylinders, we show that it is possible to engineer particles
that have one, two, three or four locally stable orientations. We also find that
the magnetic responses of cylinders with one or two stable states are similar to
that of paramagnets and ferromagnets respectively, while the magnetic response of
systems with three or four stable states are even more complex and have no
analogs in simple magnetic systems. Magnetic cylinders at liquid interfaces
therefore provide a facile method for creating switchable functional monolayers
where we can use an external field to induce multiple non-volatile changes in
particle orientation and self-assembled structure.
PMID- 27200514
TI - Changes in Potassium Concentration During Opioid Antagonist Induction: Comparison
of Two Randomized Clinical Trials.
AB - OBJECTIVES: Opioid antagonist induction under general anesthesia or heavy
sedation has been criticized due to its associated morbidity and mortality.
Information on the potential causes of these complications is limited. We aimed
to compare electrolyte concentration changes during rapid opioid antagonist
induction under general anesthesia and conscious sedation, and to find out
whether these changes are associated with cardiovascular complications. METHODS:
We used a pooled database analysis of 2 prospective randomized controlled
clinical trials carried out in Lithuania between 2002 and 2014. Opioid-dependent
patients underwent opioid antagonist induction under general anesthesia (n = 50)
or conscious sedation (n = 68). Electrolyte levels were measured before the
procedure, 3 hours after antagonist induction, and 3 hours after the end of the
procedure. RESULTS: General anesthesia was associated with initial hyperkalemia,
which was followed by rapid reduction in potassium concentration (P < 0.01).
Plasma potassium increase was noted in 92% of cases, and in 24%, these levels
increased above 6.0 mmol/L, with a highest value of 6.7 mmol/L. Potassium
concentration changes in the conscious sedation group were not statistically
significant. There were no differences in sodium, calcium, chloride, and
magnesium concentrations in both groups. CONCLUSIONS: Plasma potassium
concentration changes in the general anesthesia group were significant, whereas
conscious sedation had no effect on electrolyte levels. Our data support the
recommendation of the American Society of Addiction Medicine and other
professional societies that opioid antagonist induction under general anesthesia
must not be offered.
PMID- 27200515
TI - CLABSI Reduction Strategy: A Systematic Central Line Quality Improvement
Initiative Integrating Line-Rounding Principles and a Team Approach.
AB - BACKGROUND: Central line-associated bloodstream infections (CLABSIs) are the most
common hospital-acquired infections costing hospitals millions of dollars
annually. An evidence-based practice literature review revealed that utilizing a
systematic team approach for proper line maintenance is effective in reducing
CLABSI rates. PURPOSE: The purpose of this quality improvement initiative was to
reduce the CLABSI rate in the neonatal intensive care unit from 3.9 per 1000 line
days in 2011 by at least 50% in 2014. Policies, protocols, team members utilized,
competencies, and techniques were created and a formal line-rounding and dressing
change competency was established. The competency included specific criteria for
performing daily line rounds and a 2-person sterile technique for dressing
changes. FINDINGS/RESULTS: Central line-associated bloodstream infection rate was
effectively reduced from 3.9 in 2011 to 0.3 per 1000 line days in 2014, with an
overall 92% improvement. IMPLICATIONS FOR PRACTICE: Introduction of a dedicated
CLABSI team has been shown to be effective in the reduction of CLABSI rates in
the neonatal intensive care unit. IMPLICATIONS FOR RESEARCH: Further research is
needed to evaluate how a team approach could be used to reduce other hospital
acquired conditions; catheter-associated urinary tract infection, and hospital
acquired pressure ulcers.
PMID- 27200516
TI - Solitary rectal ulcer syndrome in a patient with anorexia nervosa: A case report.
AB - This case report describes the clinical presentation, diagnosis, and management
of a 26-year-old patient with anorexia nervosa (AN) diagnosed with Solitary
Rectal Ulcer Syndrome (SRUS). To our knowledge, this is the first case report to
document SRUS in AN, whose pathogenesis in this case seems to have been
determined by the patient's malnourished and underweight state. Furthermore, SRUS
symptoms appear to have interacted with the eating disorder psychopathology,
increasing the need to exert control over eating. Cognitive behavioral strategies
and procedures were accordingly used to address the eating disorder
psychopathology and to promote complete weight restoration, which brought about a
significant reduction in the size of the ulcer and the complete resolution of
SRUS symptoms. (c) 2016 Wiley Periodicals, Inc.(Int J Eat Disord 2016; 49:731
735).
PMID- 27200517
TI - Opt-Out Referral of Men Who Have Sex With Men Newly Diagnosed With HIV to Partner
Notification Officers: Results and Yield of Sexual Partners Being Contacted.
AB - BACKGROUND: Given its potential for reducing the proportion of people with human
immunodeficiency virus (HIV) unaware of their diagnosis, partner notification for
HIV has been underutilized. This study aimed to determine if the implementation
of opt-out referral of men who have sex with men, newly diagnosed with HIV, to
partner notification officers (PNO) increased the proportion of sexual partners
notified. METHODS: In April 2013, all individuals newly diagnosed with HIV at the
Melbourne Sexual Health Centre, Australia were referred to Department of Health
PNO to facilitate partner notification. The number of sexual partners reported by
men and the proportion contacted in the 12 months before (opt-in period) and
after (opt-out period) this policy change were determined through review of the
clinical PNO records. RESULTS: Overall, 111 men were diagnosed with HIV during
the study period. Compared with men in the opt-in period (n = 51), men in the opt
out period (n = 60) were significantly more likely to accept assistance from the
PNO (12 [24%] vs 51 [85%]; P < 0.001). A significantly higher proportion of
reported partners were notified with opt-out referral (85/185, 45.9%; 95%
confidence interval, 38.6-53.4) compared with opt-in referral (31/252, 12.3%; 95%
confidence interval, 8.5-17.0) (P < 0.001). DISCUSSION: Opt-out referral to PNO
was associated with a substantially higher proportion of partners at risk of HIV
being contacted.
PMID- 27200518
TI - Factors Associated With Recent Human Immunodeficiency Virus Testing Among Men Who
Have Sex With Men in Puerto Rico, National Human Immunodeficiency Virus
Behavioral Surveillance System, 2011.
AB - BACKGROUND: Annual human immunodeficiency virus (HIV) testing is considered a key
strategy for HIV prevention for men who have sex with men (MSM). In Puerto Rico,
HIV research has primarily focused on injection drug use, yet male-to-male sexual
transmission has been increasing in recent years. METHODS: Cross-sectional data
from the National HIV Behavioral Surveillance system collected in 2011 in San
Juan, Puerto Rico, were analyzed to identify factors associated with HIV testing
in the past 12 months (recent testing). RESULTS: Overall, 50% of participants
were tested recently. In the multivariate analysis, testing recently was
associated with having multiple partners in the past 12 months (adjusted
prevalence ratio [aPR] [>=4 vs 1 partner] = 1.5; 95% confidence interval [95%
CI], 1.2-2.0), visiting a health care provider in the past 12 months (aPR, 1.4;
95% CI, 1.04-1.8), and disclosing male-male attraction/sex to a health care
provider (aPR< 1.4; 95% CI, 1.1-1.7). CONCLUSIONS: Human immunodeficiency virus
testing was suboptimal among MSM in San Juan. Strategies to increase HIV testing
among MSM may include promoting HIV testing for all sexually active MSM including
those with fewer partners, increasing utilization of the healthcare system, and
improving patient-provider communication.
PMID- 27200519
TI - The Effect of Human Immunodeficiency Virus Prevention and Reproductive Health
Text Messages on Human Immunodeficiency Virus Testing Among Young Women in Rural
Kenya: A Pilot Study.
AB - BACKGROUND: More than half of human immunodeficiency virus (HIV)-infected
individuals in Kenya are unaware of their status, and young women carry a
disproportionate burden of incident HIV infections. We sought to determine the
effect of an SMS intervention on uptake of HIV testing among female Kenyan
college students. METHODS: We conducted a quasi-experimental study to increase
HIV testing among women 18 to 24 years old. Four midlevel training colleges in
Central Kenya were allocated to have their study participants receive either
weekly SMS on HIV and reproductive health topics or no SMS. Monthly 9-question
SMS surveys were sent to all participants for 6 months to collect data on HIV
testing, sexual behavior, and HIV risk perception. We used multivariate Cox
proportional hazards regression to detect differences in the time to the first
HIV test reported by women during the study period. RESULTS: We enrolled 600
women between September 2013 and March 2014 of whom 300 received weekly SMS and
monthly surveys and 300 received only monthly surveys. On average, women were 21
years of age (interquartile range, 20-22), 71.50% had ever had sex and 72.62% had
never tested for HIV. A total of 356 women reported testing for HIV within the 6
months of follow-up: 67% from the intervention arm and 51% from the control arm
(hazard ratio, 1.57; 95% confidence interval, 1.28-1.92). CONCLUSIONS: Use of
weekly text messages about HIV prevention and reproductive health significantly
increased rates of HIV testing among young Kenyan women and would be feasible to
implement widely among school populations.
PMID- 27200520
TI - Online Sex Partner Meeting Venues as a Risk Factor for Testing HIV Positive Among
a Community-Based Sample of Black Men Who Have Sex With Men.
AB - OBJECTIVES: In the United States, black men who have sex with men (BMSM) are
disproportionately affected by the HIV epidemic. The elevated estimates of HIV
among BMSM suggest that to slow rates of HIV infections, a range of factors that
may contribute to transmission must be researched. Use of online venues for
seeking out sex partners is one such area that may further advance our
understanding of risks for HIV among BMSM. METHODS: Black men who have sex with
men residing in Atlanta, GA, reporting HIV-negative/unknown status completed
survey assessments and HIV antibody testing. Logistic regression using
generalized linear modeling was used to conduct both bivariate and multivariable
analyses of psychosocial variables-that is, substance use, sexually transmitted
infection symptoms/diagnoses, sexual risk behavior, online sex partner meeting,
and HIV test results. RESULTS: Two hundred thirty-two BMSM tested HIV negative
and 39 BMSM tested HIV positive (14% new diagnoses). Reporting symptoms of a
rectal sexually transmitted infection (odds ratio, 4.28; 95% confidence interval,
1.06-15.41) and use of sexual networking apps (odds ratio, 2.15; 95% confidence
interval, 1.06-4.36) were both associated with testing HIV positive in a
multivariable analysis. CONCLUSIONS: The use of sexual networking apps is
associated with risks for HIV infection above and beyond what is captured by
sexual risk behavior alone. Evaluating how sexual networking apps affect sexual
networks and social norms regarding sexual risk taking and HIV transmission is an
important and novel area for HIV prevention and intervention development.
PMID- 27200521
TI - Did L Strains Responsible for Lymphogranuloma Venereum Proctitis Spread Among
People With Genital Chlamydia trachomatis Infection in France in 2013?
AB - We retrospectively analyzed 1802 nonrectal Chlamydia trachomatis-positive
specimens to determine if the L strains responsible for rectal Lymphogranuloma
venereum in men who have sex with men could spread to the heterosexual
population. No evidence for Lymphogranuloma venereum transmission among
heterosexuals in France was observed in 2013. L2b strains seem to be restricted
to the men who have sex with men population.
PMID- 27200522
TI - Population Excess Fraction of Ectopic Pregnancy Due to Chlamydia trachomatis in
Finland.
PMID- 27200523
TI - Increased Gonorrhea Screening and Case Finding After Implementation of Expanded
Screening Criteria-Urban Indian Health Service Facility in Phoenix, Arizona, 2011
2013.
AB - BACKGROUND: Gonorrhea screening is recommended for women at risk and men who have
sex with men; expanded screening is encouraged based on local epidemiology. In
response to a substantial increase in gonorrhea cases at an urban medical center
serving American Indians, gonorrhea screening of all sexually active patients
aged 14 to 45 years was initiated in March 2013. We describe gonorrhea screening
coverage and case finding before and after implementation of expanded screening.
METHODS: In March 2013, provider training, electronic health record prompts, and
bundled laboratory orders were implemented to facilitate gonorrhea screening of
all sexually active patients aged 14 to 45 years. We assessed the proportions of
patients screened and testing positive for gonorrhea in the 2 years before (March
2011-February 2012 [indicated as 2011], March 2012-February 2013 [2012]) and 1
year after (March 2013-February 2014 [2013]) expanded screening measures.
RESULTS: Gonorrhea screening coverage increased from 22% (2012) to 38% (2013);
coverage increased 50% among females and 202% among males. Screening coverage
increased in nearly all clinics. Gonorrhea case finding increased 68% among
females in 2013 (n = 104) compared with 2012 (n = 62), primarily among women aged
25 to 29 years. No corresponding increase in gonorrhea case finding occurred
among males. Most increased case finding occurred in the emergency department.
CONCLUSIONS: After introduction of expanded gonorrhea screening, there was a
significant increase in gonorrhea screening coverage and a subsequent increase in
gonorrhea case finding among females. Despite increased screening in all clinics,
increased case finding only occurred in the emergency department.
PMID- 27200526
TI - Erratum: The heterogeneous energy landscape expression of KWW relaxation.
PMID- 27200525
TI - Measures to improve microbial quality surveillance of gastrointestinal
endoscopes.
AB - BACKGROUND AND STUDY AIM: Infectious outbreaks associated with the use of
gastrointestinal endoscopes have increased in line with the spread of highly
resistant bacteria. The aim of this study was to determine the measures required
to improve microbial quality surveillance of gastrointestinal endoscopes.
METHODS: We reviewed the results of all microbiological surveillance testing of
gastrointestinal endoscopes and automatic endoscope reprocessors (AERs) performed
at Brest Teaching Hospital from 1 January 2008 to 1 June 2015. We analyzed the
influence of the time of incubation on the rate of positive results using the
Kaplan - Meier method. We also studied risk factors for gastrointestinal
endoscope contamination using a multivariable logistic regression model. RESULTS:
Over the study period, 1100 microbiological tests of gastrointestinal endoscopes
(n = 762) and AERs (n = 338) were performed. A total of 264 endoscope tests (34.6
%) showed a level of contamination higher than the target. After 2 days of
incubation, contamination was apparent in only 55.5 % of the endoscopes that were
later shown to be contaminated (95 % confidence interval [CI] 49.2 - 61.8).
Multivariable analysis showed that the use of storage cabinets for heat-sensitive
endoscopes significantly reduced the risk of endoscope contamination (odds ratio
[OR] 0.23, 95 %CI 0.09 - 0.54; P < 0.001) and that the use of endoscopes older
than 4 years significantly increased this risk (OR >= 6 vs. < 2 years 2.92, 95
%CI 1.63 - 5.24; P < 0.001). CONCLUSIONS: Microbiological culture technique,
mainly incubation duration, strongly influenced the results of endoscope
sampling. Samples should be cultured for more than 2 days to improve the
detection of contaminated endoscopes. Particular attention should be paid to
endoscopes older than 2 years and to those that are not stored in storage
cabinets for heat-sensitive endoscopes.
PMID- 27200524
TI - Severity of endoscopically identified esophageal rings correlates with reduced
esophageal distensibility in eosinophilic esophagitis.
AB - BACKGROUND/AIMS: A grading system for the endoscopic features of eosinophilic
esophagitis (EoE) has recently been validated. The EoE Endoscopic Reference Score
(EREFS) incorporates both inflammatory and remodeling features of EoE. High
resolution impedance planimetry using the functional luminal imaging probe (FLIP)
is a technique for quantification of esophageal remodeling. The aim of this study
was to evaluate the association between endoscopic severity with EREFS and
esophageal distensibility as measured with the FLIP. METHODS: Upper
gastrointestinal endoscopy with biopsies and FLIP were performed in 72 adults
with EoE. Endoscopic features of edema, rings, exudates, furrows, and stricture
were evaluated using the EREFS system. Esophageal distensibility metrics obtained
by FLIP, including the distensibility slope and distensibility plateau, were
compared with EREFS parameters. Bivariate associations between EREFS parameters
and histologic eosinophil density were assessed. RESULTS: Higher ring scores were
associated with a lower distensibility plateau (rs = -0.46; P < 0.0001). An
association was found between severity of exudates and eosinophil density (rs =
0.27; P = 0.02), as well as between furrows and eosinophil density (rs = 0.49; P
< 0.0001). Severity of exudates and furrows, and degree of eosinophilia were not
associated with the distensibility parameters. CONCLUSIONS: Endoscopic assessment
of ring severity can serve as a marker for esophageal remodeling and may be
useful for food impaction risk stratification in EoE. Eosinophil count was not
significantly associated with esophageal distensibility, consistent with previous
reports of dissociation between inflammatory activity and fibrostenosis in EoE.
Endoscopic inflammatory features show a weak correlation with histopathology but
should not replace histologic indices of inflammation.
PMID- 27200527
TI - Multiwalled carbon nanotube reinforced biomimetic bundled gel fibres.
AB - This work describes the fabrication and characterization of hydroxypropyl
cellulose (HPC)-based biomimetic bundled gel fibres. The bundled gel fibres were
reinforced with multiwalled carbon nanotubes (MWCNTs). A phase-separated aqueous
solution with MWCNT and HPC was transformed into a bundled fibrous structure
after being injected into a co-flow microfluidic device and applying the sheath
flow. The resulting MWCNT-bundled gel fibres consist of multiple parallel
microfibres. The mechanical and electrical properties of MWCNT-bundled gel fibres
were improved and their potential for tissue engineering applications as a cell
scaffold was demonstrated.
PMID- 27200528
TI - Long-Term Impact of the Dutch Colorectal Cancer Screening Programme on Cancer
Incidence: Exploration of the Serrated Pathway.
PMID- 27200529
TI - Early Stage Cost-Effectiveness Analysis of a Brca1-Like Test to Detect Triple
Negative Breast Cancers Responsive to High Dose Alkylating Chemotherapy.
PMID- 27200530
TI - The Cost of Costing Treatments Incorrectly: Errors in the Application of Drug
Prices in Economic Models Due to Differing Patient Weights.
PMID- 27200531
TI - Primary Treatments for Intermediate-Risk Prostate Cancer: a Cost-Effectiveness
and Value-of-Information Analysis.
PMID- 27200532
TI - The Evaluation of Economic Methods to Assess the Social Value of Medical
Interventions for Ultra-Rare Disorders (URDS).
PMID- 27200533
TI - Evaluating the Quality of Evidence from a Network Meta-Analysis.
PMID- 27200534
TI - Value in the Making: Harvesting the Value of Complex Medical Innovations in
Practice.
PMID- 27200535
TI - Amending the Guide to Methods of Technology Appraisal at Nice to Incorporate two
New Value Elements: Burden of Illness And Wider Societal Impact.
PMID- 27200536
TI - Cost-Effectiveness (CE) of Imaging-Guided Strategies for the Diagnosis of
Coronary Artery Disease (CAD): Results From the Evinci Study.
PMID- 27200537
TI - The Value of Risk-Stratified Information in the National Lung Cancer Screening
Trial.
PMID- 27200538
TI - Diagnosing Anxiety Disorders in Primary Care: a Systematic Review and Meta
Analysis.
PMID- 27200539
TI - Determinants of Increasing the Likelihood for a Positive Drug Reimbursement
Recommendation in Scotland.
PMID- 27200540
TI - Biosimilars Versus Brands for Rheumatoid Arthritis: Eu5 Payers and Prescribers
Place their Bets.
PMID- 27200541
TI - Preferences for Prioritizing Patients with Rare Diseases: a Survey of the General
Population in Sweden.
PMID- 27200542
TI - Effect of Self-Monitoring of Blood Glucose on Glycemic Control, Clinical
Outcomes, and Health Care Costs in Diabetic Patients Using Insulin: a
Retrospective Analysis.
PMID- 27200543
TI - Understanding the Payer Dilemma with Biosimilar Mabs: Striking the Right Balance
Between Budget Needs and Patient Outcomes.
PMID- 27200544
TI - Quasi-Monte Carlo Simulation and Variance Reduction Techniques Substantially
Reduce Computational Requirements of Patient-Level Simulation Models: an
Application to a Discrete Event Simulation Model.
PMID- 27200545
TI - Transition Probability Estimation Using Repeated Sampling from a Fitted Mixed
Model.
PMID- 27200546
TI - Extrapolation of Trial-Based Survival Curves Using External Information.
PMID- 27200547
TI - Economic orphans? the prevalence of child-specific utilities in nice appraisals
for paediatric indications.
PMID- 27200548
TI - Estimating Survival Data from Published Kaplan-Meier Curves: a Comparison of
Methods.
PMID- 27200549
TI - Cost-Utility of Cancer Therapies - the 'Cost' of Different Utility Generation
Strategies.
PMID- 27200550
TI - Do new cancer drugs offer good value for money? the perspective of oncologists,
payers, patients, and general population.
PMID- 27200551
TI - Reimbursement Decisions for Pharmaceuticals in Sweden: the Impact of Cost
Effectiveness and Disease Severity.
PMID- 27200552
TI - The Importance of Treatment Classifications that Account for Concomitant
Treatments in the Context of a Network Meta-Analysis Comparing Pharmacological
Treatments for Chronic Heart Failure.
PMID- 27200554
TI - Incidence Description and Costs of Acute Heart Failure in the Netherlands.
PMID- 27200553
TI - Work Productivity Loss and Indirect Costs Associated with New Cardiovascular
Events in High-Risk Patients with Hyperlipidemia - Estimates from Population
Based Register Data in Sweden.
PMID- 27200555
TI - Health Utility in Patients Following Cardiovascular Events.
PMID- 27200556
TI - Follow-UP Autoantibody Testing and Health Care Utilization Among Patients with
(Sustained) Celiac Disease Activity in the Netherlands.
PMID- 27200557
TI - Impact of Morbidity in Populations of North London Clinical Commissioning Groups
on Patient Admission Rates and Gp Referrals.
PMID- 27200558
TI - The Impact of Different Levels of Clinical Pharmacist Interventions on the
Therapeutic Plan and Cost Saving.
PMID- 27200559
TI - Exploring the Flaws in Clinical Data that Lead to Rejection of Nice Submissions.
PMID- 27200560
TI - Adherence to Antiretroviral Therapy (Art) Among Adult Hiv Positive Patients in
Volta Regional Hospital, Ghana.
PMID- 27200561
TI - Assessing the Relationship Between Patient Compliance to Blood Glucose Monitoring
and Health Related Quality of Life.
PMID- 27200563
TI - Cost-Effectiveness of Real-Time Medication Monitoring in Children with Asthma.
PMID- 27200562
TI - The Burden Associated with Non-Adherence in European Patients with Depression.
PMID- 27200564
TI - Information Used in the Decision-Making Process Regarding Influenza Vaccination
Policy: Perceptions of Stakeholders in France and the Netherlands.
PMID- 27200565
TI - Nice's Proposed Value-Based Assessment of Health Technologies: Concerns of
Inconsistent Consideration of Social Values.
PMID- 27200566
TI - Survival or Mortality: Framing of the Risk Attribute in a Discrete Choice
Experiment.
PMID- 27200567
TI - Mapping from SF-6D to EQ-5D: Changes in Estimates Based on the Choice of
Algorithm.
PMID- 27200568
TI - Do health technology agencies accept methods for dealing with treatment
switching?
PMID- 27200569
TI - Predicting the Impact of Value-Based Assessment on Future Nice Appraisals.
PMID- 27200570
TI - Investigating the Impact of Perspective on Weighting Qalys: a Discrete Choice
Experiment.
PMID- 27200571
TI - Elicitation of Health State Utilities Associated with Varying Severities of Flare
in Systemic Lupus Erythematosus.
PMID- 27200572
TI - Valuing EQ-5D-5L: Does the Ordering of the Health Dimensions Impact on Health
State Valuations?
PMID- 27200573
TI - The Impact of Discrimination, Victimization and Social Standing on Health-Related
Quality of Life.
PMID- 27200574
TI - Quality of Life Decrements after Stroke.
PMID- 27200575
TI - Differences Between Hypothetical and Experience-Based Value Sets for Eq-5d:
Implications for Decision Makers.
PMID- 27200576
TI - Hipos-ER (Hypoglycemia in Portugal Observational Study - Emergency Room):
Outcomes with Different Anti-Hyperglycemic Agents.
PMID- 27200577
TI - Hipos-ER (Hypoglycemia in Portugal Observational Study - Emergency Room):
Clinical Outcomes in the Emergency Room.
PMID- 27200578
TI - Regional Assessment of Severe Hypoglycemic Coma Events in Finland.
PMID- 27200579
TI - Hipos-ER (Hypoglycemia in Portugal Observational Study - Emergency Room):
Clinical Outcomes in Admitted Patients.
PMID- 27200580
TI - Comparative Efficacy and Safety of Empagliflozin with Other Anti-Diabetic Drugs
for the Treatment of Patients with Type 2 Diabetes Mellitus Who are Failing
Insulin.
PMID- 27200581
TI - Glycemic, Lipid, and Blood Pressure Control Among Individuals with Type 2
Diabetes Mellitus in Saudi Arabia.
PMID- 27200582
TI - A Systematic Review and Network Meta-Analysis Assessing the Effectiveness and
Tolerability of Gliptins and Sulfonylureas as Monotherapy in Patients with Type 2
Diabetes Mellitus If Metformin is not Considered Appropriate.
PMID- 27200583
TI - Assessing the Relationship between Improved Life Expectancy Due to Better
Cardiovascular Risk Factor Management and the Likelihood of Microvascular
Complications in Type 2 Diabetes Mellitus.
PMID- 27200584
TI - Long-Term Modeling of Using Manually Coded and Autocoded Blood Glucose Meters in
Diabetes Treatment.
PMID- 27200585
TI - Comparative Efficacy and Safety of Empagliflozin with Other Oral Antidiabetic
Drugs for the Second Line Treatment of Type 2 Diabetes Mellitus.
PMID- 27200586
TI - Comparative Efficacy and Safety of Empagliflozin with Other Antidiabetic Drugs
for the Third Line Treatment of Type 2 Diabetes Mellitus.
PMID- 27200587
TI - Budget Impect Analysis.
PMID- 27200588
TI - A Decision-Focused Mixed Treatment Comparison (MTC) of Alternative Dpp-4
Inhibitors (Dpp-4i's) Used in Combination With Metformin or a Sulfonylurea for
the Treatment of Type 2 Diabetes Mellitus (T2DM).
PMID- 27200589
TI - Identifying Consistent Inconsistency in Network Meta-Analyses - An Illustration
In Type 2 Diabetes.
PMID- 27200590
TI - The Efficacy and Effectiveness in HBA1C-Lowering is Dependent on Baseline Body
Mass Index (BMI) for Sitagliptin but not Canagliflozin in the Treatment of Type 2
Diabetes Mellitus (T2DM).
PMID- 27200591
TI - Treatment Maintenance Duration of Dual Therapy with Metformin and Sitagliptin in
Type 2 Diabetes - Real-World Data From Odyssee Study.
PMID- 27200592
TI - Assessing Consistency in a Network Meta-Analysis to Compare Once Weekly
Dulaglutide Versus Other Glp-1 Receptor Agonists in Patients with Type 2
Diabetes.
PMID- 27200593
TI - Efficacy and Safety of Dipeptidyl Peptidase-4 Inhibitors: Systematic Review and
Meta-Analysis.
PMID- 27200594
TI - New Meta-Analysis of Patient-Level Data on Efficacy And Hypoglycaemia with
Insulin Glargine or Nph Insulin in Type 2 Diabetes Mellitus (T2DM) According to
Concomitant Oral Therapy.
PMID- 27200595
TI - Preventing the Progression to Type 2 Diabetes Mellitus in Adults at High Risk: A
Systematic Review And Network Meta-Analysis of Lifestyle, Pharmacological and
Surgical Interventions.
PMID- 27200596
TI - Management of Type 2 Diabetes Mellitus Among Patients Attending a Primary Health
Care Setting in Qatar: A Study on Medication Use Pattern and Clinical Outcomes.
PMID- 27200597
TI - Progression of Physiological Parameters Over Time in Type 1 Diabetes Mellitus
Patients in France.
PMID- 27200598
TI - Epidemiology and Unmet Medical Need in Diabetes Mellitus Type 2 in Germany
Results of a Literature Search.
PMID- 27200599
TI - Uses of Electronic Patient Information Systems and National Registers -
Implementation of the Clinical Practice Guideline and Evaluation of Costs and Use
of Resources in Patients with Incident Type 2 Diabetes in Finland.
PMID- 27200600
TI - Economic Impact of Combining Metformin with Dipeptidyl Peptidase Inhibitors In
Diabetic Patients With Renal Failure.
PMID- 27200601
TI - Recombinant Growth Hormone Therapy in Children with GH Deficiency: First
Interventional Study in Armenia.
PMID- 27200602
TI - Effectiveness, Safety and Patients' Subjective Feelings of Insulin Pen-Needle: A
Systematic Review.
PMID- 27200603
TI - Assessment of The Economic Value Of Dpp-4 Inhibitor Alogliptin Compared With
Sitagliptin, Saxagliptin, And Linagliptin.
PMID- 27200604
TI - Trend in Prevalence and Distribution of Diabetes Mellitus Type I and Type II in
the Netherlands.
PMID- 27200605
TI - Factors Associated with Hospitalization of Type 2 Diabetic Patients with
Hypoglycemic Episodes Assisted at Emergency Departments.
PMID- 27200607
TI - Budget Impact Analysis of Adding Dapaglifozin To The Therapy of Diabetes Mellitus
Type 2 In Bulgaria.
PMID- 27200606
TI - The Impact of Treatment of Thyreoid Disease in Pregnant Women to the Outcome of
Giving Birth.
PMID- 27200608
TI - Cost-Effectiveness Analysis of Autocoded and Manually Coded Blood Glucose Meters
In Diabetes Treatment.
PMID- 27200609
TI - Effect of Smoking Status on Health Care Costs In Patients With Type 2 Diabetes: A
Retrospective Nested Case-Control Economic Study In Routine Clinical Practice.
PMID- 27200610
TI - Association of Changes In Body Weight With Health Care Costs Among Patients With
Newly-Diagnosed Type-2 Diabetes In Sweden.
PMID- 27200611
TI - Benefit Of Positive Airway Pressure (Pap) Therapy In Sleep Apnoea (Sa) Patients
With Type Ii Diabetes Mellitus (T2dm) In Germany: A Retrospective Comparative
Cohort Analysis Based On A Statutory Health Insurance Database.
PMID- 27200612
TI - Evaluation of Potential Waste Of Growth Hormone Across Available Growth Hormone
Pen Devices And An Electronic Growth Hormone Delivery Device.
PMID- 27200613
TI - Health Economic Impact of Bariatric Surgery Revisted: Structured Review of
Literature and Health Technology Assessments.
PMID- 27200615
TI - Estimation of Costs Associated With Stroke In Diabetic Patients in Madrid (Spain)
Using A New Simulation Model.
PMID- 27200614
TI - Direct Costs Of Diabetes Mellitus In Poland.
PMID- 27200616
TI - Estimation of Costs Associated With Cardiovascular Complications of Diabetic
Patients In Madrid (Spain) Using A New Simulation Model.
PMID- 27200618
TI - Metformin in Combination With Dipeptidyl Peptidase-4 Inhibitors or Sulfonylureas
in The Treatment of Type 2 Diabetes: Clinical And Economic Impact.
PMID- 27200617
TI - ARE TOTAL HEALTH CARE EXPENDITURES IMPACTED BY A NEW DIABETES DIAGNOSTIC FACTOR:
HBA1C?
PMID- 27200619
TI - Cost and Burden Of Hypercholesterolemia In Portugal.
PMID- 27200620
TI - Cost Of Diabetes Related Chronic Complication In South Korea 2011.
PMID- 27200621
TI - Medical Expenditure for People With Diabetes in Urban Employee Basic Medical
Insureance in Fujian.
PMID- 27200622
TI - Patient-Level Estimates of Diabetic Complications on Direct Medical Cost.
PMID- 27200623
TI - Key Cost Drivers Of Type 2 Diabetes Mellitus: an International Literature Review.
PMID- 27200624
TI - Indirect Costs of Diabetes Mellitus (Dm) From the Perspective of The Social
Insurance Institution (Zus) In Poland.
PMID- 27200625
TI - How to Estimate The Cost Of Diabetes Based on Information From The French Health
Insurance Database (Sniiram)?
PMID- 27200626
TI - Comparison of the Economic Burden and Health care Utilizations of u. S. Veteran
Patients Diagnosed with Type 2 Diabetes Mellitus.
PMID- 27200627
TI - Direct Cost of Diffuse Toxic Goiter and Its Complications In Ukraine.
PMID- 27200628
TI - Medical Expenditures Associated With Type 2 Diabetes Mellitus in Japan: A Large
Claims Database Study.
PMID- 27200629
TI - Health Care Costs in Patients With Type 2 Diabetes in Flanders Based on A
Combination of Clinical And Health Insurance Data.
PMID- 27200630
TI - Multimorbidity Pharmaceutical Cost of Diabetes Mellitus.
PMID- 27200631
TI - Impact of Early Microbiologic Culture in the Economic Burden of Care for Patients
With Infected Diabetic Foot Ulcers At A Model Of Secondary Level Imss' Hospitals
in Mexico.
PMID- 27200632
TI - Direct Costs of Diabetic Foot Ulcers in Russia.
PMID- 27200633
TI - Health Economic Evaluation of Canagliflozin in the Treatment of Type 2 Diabetes
Mellitus in Czech Republic.
PMID- 27200634
TI - Health Economics Evaluation for Insulin Injection Pen-Needle with Different
Lengths in Patients With Diabetes in China.
PMID- 27200635
TI - Burden of Non-Adherence To Type 1 Diabetes Mellitus Therapeutic Guidelines In
France.
PMID- 27200636
TI - Cost-Effectiveness Analysis of Insulin Detemir Versus Insulin Neutral Protamine
Hagedorn (Nph) In Patients With Type 1 Diabetes Mellitus In Spain.
PMID- 27200637
TI - Short-Term Cost-Effectiveness Analysis of Insulin Detemir Versus Insulin Neutral
Protamine Hagedorn (Nph) In Patients With Type 2 Diabetes Mellitus in Spain.
PMID- 27200638
TI - The Impact of Long-Term Clinical Evidence on Cost-Effectiveness of Exenatide Once
Weekly (Bydureon(r)) Versus Insulin Glargine for Patients With Type 2 Diabetes
Mellitus (T2dm) From A Uk Nhs Perspective.
PMID- 27200639
TI - Cost-Effectiveness-Analysis of The New-Born Screening in Austria.
PMID- 27200640
TI - Dapagliflozin (Forxiga(r)) Versus Glipizide As Add-On Therapies In Type 2
Diabetes Mellitus (T2dm); An Update of The Cost-Effectiveness Based On Long-Term
Clinical Evidence From Uk Nhs Perspective.
PMID- 27200641
TI - Health Economic Evaluation of Canagliflozin in the Treatment of Type 2 Diabetes
Mellitus in Portugal.
PMID- 27200642
TI - The Cost-Effectiveness OF Canagliflozin (Cana) Versus Dapagliflozin (Dapa) In
Patients With Type 2 Diabetes Mellitus (T2dm) With Inadequate Control On
Metformin (Met) Monotherapy In The United Kingdom.
PMID- 27200644
TI - Economic Assessment of Delaying Insulin Treatment Through The Use of Newer Anti
Diabetic Agents, Dapagliflozin (Forxiga(r)) And Exenatide (Bydureon(r)), Both As
Add-On To Metformin; A Cost-Effectiveness Analysis From A Uk Nhs Perspective.
PMID- 27200643
TI - Health-Economic Comparison of Sensor-Augmented Pump With Low Glucose Suspend
Versus Insulin Pump Alone For The Treatment of Hypo-Prone Type 1 Diabetes In
Hungary.
PMID- 27200645
TI - The Cost-Effectiveness of Exenatide Bid Versus Insulin Lispro Tid As Add-On
Therapy to Titrated Insulin Glargine in Patients With Type 2 Diabetes - An
Analysis From The Swedish Health Care Perspective.
PMID- 27200646
TI - Health Economic Evaluation of Canagliflozin in the Treatment of Type 2 Diabetes
Mellitus in France.
PMID- 27200647
TI - Health Economic Evaluation of Canagliflozin In The Treatment of Type 2 Diabetes
Mellitus In Slovakia.
PMID- 27200649
TI - Health Economic Evaluation of Canagliflozin In The Treatment of Type 2 Diabetes
Mellitus In Norway.
PMID- 27200648
TI - Health-Economic Comparison of Sensor-Augmented Pump With Low Glucose Suspend
Versus Insulin Pump Alone For The Treatment Of Hypo-Prone Type 1 Diabetes In
Slovakia.
PMID- 27200650
TI - The Cost-Effectiveness Of Canagliflozin Verse Liraglutide In Patients With Type 2
Diabetes (T2dm) Failing To Achieve Glycaemic Control On Metformin Monotherapy In
Ireland.
PMID- 27200651
TI - Is Canagliflozin Cost-Effective Compared to Sitagliptin Across Multiple Lines of
Type 2 Diabetes Mellitus (T2dm) Therapy In Ireland?
PMID- 27200652
TI - Cost-Effectiveness Analysis of Liraglutide Versus Sitagliptin or Exenatide in
Patients With Inadequately Controlled Type 2 Diabetes On Oral Antidiabetic Drugs
In Greece.
PMID- 27200653
TI - Is a Home Based Video Teleconcultation Setup Cost Effective For Lowering Hba1c
For Patients With Type-2 Diabetes Over A Six-Month Period?
PMID- 27200654
TI - The Cost-Effectiveness of Canagliflozin Verse Insulin-Secretagogues
(Sulphonylureas) or Insulin In Patients With Type 2 Diabetes Mellitus (T2dm) As
An Add-On To Metformin In Ireland.
PMID- 27200655
TI - Cost Effectiveness Evaluation of Canagliflozin In Combination With Metformin in
the Treatment Of Type 2 Diabetes Mellitus In Poland.
PMID- 27200657
TI - The Cost-Effectiveness of Canagliflozin Compared With Liraglutide in Patients
With Type 2 Diabetes Inadequately Controlled With Metformin and Sulfonylurea In
France.
PMID- 27200656
TI - Cost-Effectiveness of Interventions Aimed at Decreasing The Number of Amputations
Among Patients With Diabetes Mellitus.
PMID- 27200658
TI - Layering Interventions for Type-2 Diabetes Prevention Using The Sphr Diabetes
Model.
PMID- 27200659
TI - Cost-Effectiveness of Dapagliflozin Versus Dpp-4 Inhibitors as Monotherapy in the
Treatment of Type 2 Diabetes Mellitus From A Uk Health Care Perspective.
PMID- 27200660
TI - Cost-Effectiveness of Dapagliflozin Compared To Dpp-4 Inhibitors as Triple
Therapy In Combination With Metformin and A Sulphonylurea In The Treatment Of
Type 2 Diabetes Mellitus From A Uk Health Care Perspective.
PMID- 27200661
TI - Cost-Effectiveness of Saxagliptin Compared To Glp-1 Analogues As An Add-On To
Insulin in the Treatment of Type 2 Diabetes Mellitus From A Uk Health Care
Perspective.
PMID- 27200662
TI - The Place of Dpp-4 Inhibitors in the Treatment Algorithm of Diabetes Type 2: A
Systematic Review of Cost-Effectiveness Studies.
PMID- 27200663
TI - Systematic Review of Economic Evaluations of Dipeptidyl Peptidase-4 Inhibitors
for the Treatment of Type 2 Diabetes Mellitus.
PMID- 27200664
TI - Projection of Long Term Health-Economic Benefits of Sensor Augmented Pump (Sap)
Versus Pump Therapy Alone (Csii) In Type 1 Diabetes, A Uk Perspective.
PMID- 27200665
TI - Projection of Long Term Health Economic Benefits of Sensor Augmented Pump (Sap)
Versus Pump Therapy Alone (Csii) In Uncontrolled Type 1 Diabetes In France.
PMID- 27200666
TI - Cost-Effectiveness of A Short Message Service (Sms) Intervention To Prevent Type
2 Diabetes Among Adults With Impaired Glucose Tolerance.
PMID- 27200667
TI - Cost-Minimisation Analysis of Dapagliflozin Compared To Lixisenatide As An Add-On
To Insulin In The Treatment of Type 2 Diabetes Mellitus From A Uk Health Care
Perspective.
PMID- 27200668
TI - Economic Evaluation of Lanreotide Autogel in the Management of Acromegaly in
Greece.
PMID- 27200669
TI - The Opportunity of Treating Type Ii Diabetes With Dpp4i: An Economic Evaluation
Verse Conventional Treatment in the Italian Setting.
PMID- 27200670
TI - Cost-Minimisation Analysis of Saxagliptin Compared to Sitagliptin And Linagliptin
As Triple Therapy In Combination With Metformin And A Sulphonylurea In The
Treatment Of Type 2 Diabetes Mellitus From A Uk Health Care Perspective.
PMID- 27200672
TI - Cost-Effectiveness of Exenatide Twice Daily (Bid) Added To Basal Insulin Compared
To A Bolus Insulin Add-On In Turkey.
PMID- 27200671
TI - Cost-Effectiveness of Sitagliptin Versus Sulfonylurea As An Add-On Therapy To
Metformin In Patients With Type 2 Diabetes In A Belgium Setting.
PMID- 27200673
TI - Comparative Cost-Effectiveness Analysis of Adding Twice-Daily Exenatide To
Insulin Glargine Versus Adding Insulin Lispro To Treat Type 2 Diabetes In Spain.
PMID- 27200674
TI - Retrospective analysis of the economic burden among cushing's disease patients in
the u. S. Medicaid program.
PMID- 27200675
TI - The Cost-Effectiveness of Tolvaptan for the Treatment of Hyponatraemia Secondary
To Syndrome Of Inappropriate Antidiuretic Hormone Secretion in Sweden.
PMID- 27200676
TI - The Cost-Effectiveness Of Saxagliptin When Added To Metformin And Sulphonylurea
In The Treatment Of Type 2 Diabetes Mellitus In Spain.
PMID- 27200677
TI - The Cost-Effectiveness of Dapagliflozin In Combination With Insulin for the
Treatment of Type 2 Diabetes Mellitus (T2dm) In Spain.
PMID- 27200678
TI - The Potential Value of Ongoing Support In Type-1 Diabetes Mellitus With
Dafneplus: Exploratory Pre-Trial Cost-Effectiveness Analysis On Proposed Trial
End-Point Target For 12-Month Hba1c Improvement.
PMID- 27200679
TI - Cost-Effectiveness of Empagliflozin (Jardiance(r)) 10 Mg And 25 Mg Administered
As An Add-on To Metformin Compared To Other Sodium-Glucose Co-Transporter 2
Inhibitors (Sglt2is) for Patients With Type 2 Diabetes Mellitus (T2dm) In The UK.
PMID- 27200680
TI - Cost Effectiveness Analysis of Flash Glucose Monitoring for Type 2 Diabetes
Patients Receiving Insulin Treatment In The Uk.
PMID- 27200681
TI - Examining The Role Of Insulin Pen Devices In Acute Care Settings: A Review And
Analysis of Health Resource Utilization.
PMID- 27200682
TI - Cost-Effectiveness of Empagliflozin (Jardiance(r)) 10 Mg And 25 Mg Administered
As An Add-On To Metformin And Sulfonilurea (Met+Su) Compared To Other Sodium
Glucose Co-Transporter 2 Inhibitors (Sglt2is) in Patients with Type 2 Diabetes
Mellitus (T2dm) In The Uk.
PMID- 27200683
TI - Cost Effectiveness Evaluation of Canagliflozin in Combination with Metformin and
Sulfonylurea in Comparison To Nph Insulin in the Treatment of Type 2 Diabetes
Mellitus In Poland.
PMID- 27200684
TI - Absenteeism and Presenteeism in A Population of Patients with Diabetic Foot
Ulcers in Poland.
PMID- 27200685
TI - Cost Analysis of Severe Hypoglycemia in Treated Type 2 Diabetic Patients
According to Anti-Hyperglycemic Agent Therapy.
PMID- 27200686
TI - Hipos-Er (Hypoglycemia in Portugal Observational Study - Emergency Room): Costs
And Health Care Resource Consumption Data.
PMID- 27200687
TI - The Health Service and Economic Impact of Glucagon Rescue Administration During
Severe Hypoglycemic Events.
PMID- 27200688
TI - Epidemiology and Direct Health Care Costs of Diabetic Retinopathy: Results From a
Population-Based Study.
PMID- 27200689
TI - Costs of Hospitalization of Type 2 Diabetic Patients Associated with Severe
Hypoglycemia.
PMID- 27200690
TI - Evaluation of the Burden of Illness of u. S. Medicare Patients Diagnosed with
Hyperpotassemia.
PMID- 27200691
TI - Factors Associated With Discontinuation of Sulfonylurea Therapy In Type 2
Diabetes Patients Who Initiate Insulin.
PMID- 27200692
TI - Impact of Hypoglycemia on Discontinuing or Down-Titrating Sulfonylurea Among Type
2 Diabetes Patients Without Insulin.
PMID- 27200693
TI - Guideline Adherence and Control Of Diabetes Mellitus With Co-Morbidities in a
Tertiary-Care Hospital in Malaysia.
PMID- 27200694
TI - The Relationship Between Macular Edema and Health Outcomes Among Patients With
Diabetes in Western Europe.
PMID- 27200695
TI - The Burden of Severe Hypoglicaemias and Diabetes Ketoacidosis: A Population-Based
Study.
PMID- 27200696
TI - EQ-5D Scores In Patients Receiving Tolvaptan for the Treatment Of Hyponatraemia
Secondary to the Syndrome of Inappropriate Antidiuretic Hormone Secretion.
PMID- 27200697
TI - German Patients' Preferences for Attributes Of Type 2 Diabetes Medications.
PMID- 27200698
TI - Patient Experience With The Single-Use Pen For Injection of Once Weekly
Dulaglutide in Injection-Naive Patients With Type 2 Diabetes.
PMID- 27200699
TI - Best Practices in Integrating Home Glucometer Measurements With Electronic
Patient Reported Outcomes (Epro) In Clinical Trials.
PMID- 27200700
TI - The Development of an Integrated Ecoa Solution To Improve The Quality of Data
Capture In Diabetes Clinical Trials.
PMID- 27200701
TI - Self-Reported Frequency and Impact of Non-Severe Hypoglycaemia in Insulin-Treated
Adults in the UK.
PMID- 27200702
TI - Patient-Reported Outcomes of Dipeptidyl Peptidase-4 Inhibitors: A Systematic
Review.
PMID- 27200703
TI - Patients' Preferences in Oral Diabetes Treatment: A Discrete Choice Experiment in
Type2 Diabetes Mellitus.
PMID- 27200704
TI - Assessment of Effect of Continuous Subcutaneous Insulin Infusion Treatment,
Insulin Analog And Human Insulin of Children With Diabetes.
PMID- 27200705
TI - Sagit(c): A Novel Clinician-Reported Outcome for Managing Acromegaly in Clinical
Practice.
PMID- 27200706
TI - Hye and me as Identical Currencies in Preference Studies? A Discrete-Choice
Experiment in Type2 Diabetes Mellitus.
PMID- 27200707
TI - Patient Preferences in Treatment of Diabetes Mellitus: A Systematic Review of
Stated Preference Surveys.
PMID- 27200709
TI - Health Related Quality of Life Outcomes (Hrqol) and Resource Use Associated With
Type 2 Diabetes Patients Taking Steps To Lose Weight In 5 European Countries.
PMID- 27200708
TI - Psychometric Evaluation of the Hypoglycaemia Perspectives Questionnaire in
Patients With Type 2 Diabetes Mellitus.
PMID- 27200710
TI - The Impact Of Daytime And Nocturnal Non-Severe Hypoglycaemic Events On People
With Diabetes In Turkey.
PMID- 27200711
TI - The Prevalence of Hypoglycemia and Its Impact on The Quality of Life of Type 2
Diabetes Mellitus Patients in Greece (The Hypo Study).
PMID- 27200712
TI - Racial Disparities in Type 2 Diabetes Health Care Utilization in Medicaid Adults
With Developmental Disabilities.
PMID- 27200713
TI - Effect of A Pharmacy-Based Diabetes Management Program on Glycemic Control in an
Inpatient General Medicine Population.
PMID- 27200714
TI - Quality Of Life in Teenagers and Young Adolescent Patients With Diabetes Mellitus
Type I With Insulin Pens Or Insulin Pumps In The Czech Republic.
PMID- 27200715
TI - Evaluation of The Impact of Pharmaceutical Cognitive Service on Quality of Life
in Diabetic Patients.
PMID- 27200716
TI - Association of Hypoglycemia With Different Oral Antidiabetic Treatments and Its
Impact on Quality of Life and Disease Control In Patients With Type 2 Diabetes
Mellitus (The Hypo Study).
PMID- 27200717
TI - Is the rule of halves applicable in Diabetes Type 2? Evidence from Greece.
PMID- 27200718
TI - Physicians Estimation Regarding The Impact Of Recession on Patient Adherence To
Treatment In Diabetes Type 2 In Greece.
PMID- 27200719
TI - Compliance to Hemoglobin A1c Testing Recommendations Following Initial Diabetes
Diagnosis.
PMID- 27200720
TI - Cost-Effectiveness of Theintroduction of A National Adherence Program for Type 2
Diabetes In Hungary.
PMID- 27200721
TI - Which Newly-Diagnosed Diabetics Should Receive Dietary Counseling Services?
Estimating Individualized Treatment Allocations that Optimize cost-Effectiveness
in Real-World Data.
PMID- 27200723
TI - Chronic Care Management.
PMID- 27200722
TI - A Comparative Analysis on The Reimbursement Status Of Sensor Augmented Pump
Therapy in Turkey and Other Selected Countries.
PMID- 27200724
TI - Type 2 Diabetes Treatment Patterns Across Europe.
PMID- 27200725
TI - Prescription of Rosiglitazone and Pioglitazone Folowing Safety Warnings: A
Comparative Analysis of Trends in Dispensing Patterns in Denmark and Germany From
2007 To 2013.
PMID- 27200726
TI - Assessing The Medication Use Among Diabetic Patients With Comorbid Diseases.
PMID- 27200727
TI - Exploring The Determinants of Endocrinologist Visits In France.
PMID- 27200728
TI - Non-Adherence And Non-Persistence Related To Glp-1 Therapy In Patients With
Diabetes Mellitus Type 2 (T2dm): Analysis of A Large German Claims-Based Dataset
And Comparison To Oral Anti-Diabetics.
PMID- 27200729
TI - Six Years Of Incretin-Based Agents in Diabetes 2nd Type Treatment in the Czech
Republic: The Utilization And Expenditure.
PMID- 27200730
TI - An Observational Cohort Study of Diabetes-Associated Secondary Health Care
Utilisation in Patients With Type 2 Diabetes Prescribed Dual Combination Therapy
With Oral Anti-Hyperglycaemic Agents in the UK.
PMID- 27200731
TI - The Use of Real World Data in the Decision-Making Process: An Example Using Blood
Glucose Test Strip Use In Patients With Type 2 Diabetes In Ireland.
PMID- 27200732
TI - Cost of Severe Hypoglycaemia in Hospitalized Patients In Poland- Is It Financed
and Reported in a Right Way?
PMID- 27200734
TI - Payer's Perceptions of Glucagon Kits Aimed at Reducing Administration Complexity
During Severe Hypoglycemic Events.
PMID- 27200733
TI - Factors Associated With Weight Gain and Hypoglycaemia and The Impact Upon
Hospitalisation in Type 2 Diabetes Patients Managed With Metformin Plus
Sulphonylurea.
PMID- 27200735
TI - Patient Specific Landscape of Information Technology Solutions for Diabetes Self
Management.
PMID- 27200736
TI - Quality of Diabetes Care Among Obese and Overweight Patients at Nhg Polyclinics.
PMID- 27200737
TI - Using Modern Information Technology For Medical and Pharmaceutical Care Of
Patients With Diabetes Mellitus In Ukraine.
PMID- 27200738
TI - Data Sources of Disease Epidemiology in German Drug Reimbursement Dossiers: Case
Study Of Diabetes Mellitus Type II.
PMID- 27200739
TI - Examining the Economic Benefits of Lifestyle Intervention in Segments of A
Prediabetic Population.
PMID- 27200740
TI - Efficacy of The Automated Target Glucose Control: A Systematic Review.
PMID- 27200741
TI - Comparison in Methods Between National Institute for Health And Care Excellence
(Nice) Submissions For Sodium-Glucose Co-Transporter 2 Inhibitors (Sglt-2i).
PMID- 27200742
TI - Treatment Patterns Among Type 2 Diabetes Mellitus Patients in Saudi Arabia.
PMID- 27200743
TI - Usage of Self-Monitoring of Blood Glucose (Smbg) By Diabetes Therapy Type in
India.
PMID- 27200744
TI - The Comparison Of Clinical Impact Between Entecavir And Tenofovir In Chronic Hbv
Infection.
PMID- 27200745
TI - Adherence to Nice Guidance For Initiating Glp-1 Mimetics Among Patients With Type
2 Diabetes In Primary Care In England And Wales - An Evaluation Using The
Clinical Practice Research Datalink (Cprd).
PMID- 27200746
TI - Effectiveness Of Probiotics In Irritable Bowel Syndrome: A Systematic Review With
Meta-Analysis.
PMID- 27200747
TI - The Incidence Of Upper And Lower Gastrointestinal Complications: A Retrospective
Study Using A Japanese Health Care Database.
PMID- 27200748
TI - Establishment Of A Hepatitis C Virus (Hcv) Cohort In A Large Israeli Hmo.
PMID- 27200749
TI - Associations Between Crohn's Disease Severity And Specific Socio-Demographic,
Quality-Of-Life And Coping Factors.
PMID- 27200750
TI - Development And External Validation Of A Risk Calculator For Predicting Anemia In
Patients Treated With Triple Therapy (Tt) Containing Boceprevir (Boc), Pegylated
Interferon And Ribavirin (Pr).
PMID- 27200751
TI - Budget Impact Analysis Of Sofosbuvir For The Treatment Of Hepatitis C In The
Veneto Region, Italy.
PMID- 27200752
TI - Budget Impact Analysis Of Biosimilar Infliximab For The Treatment Of Crohn's
Disease In Six Central Eastern European Countries.
PMID- 27200753
TI - Proton Pump Inhibitors In South Africa: Role Of Branded Generics.
PMID- 27200755
TI - Burden Of Hospitalizations Related To Chronic Hepatitis C In France: Evolution
Between 2009 And 2012.
PMID- 27200754
TI - Direct Treatment Costs Of Cirrhosis In The Brazilian Public Health Care System: A
2008-2012 Retrospecteve Analysis.
PMID- 27200756
TI - Mean Annual Cost Of Patients Hospitalized For Chronic Hepatitis C In France: The
Hepc-Lone Study.
PMID- 27200757
TI - Direct Health Care Costs Associated With Opioid-Induced Constipation.
PMID- 27200758
TI - Economic And Quality-Of-Life Burden Of Moderate-To-Severe Irritable Bowel
Syndrome With Constipation (Ibs-C) In Spain: The Ibis-C Study.
PMID- 27200759
TI - Hospitalization Costs Associated With Liver Cirrhosis.
PMID- 27200760
TI - The Cost Of Irritable Bowel Syndrome (Ibs) In England.
PMID- 27200761
TI - A Cost Of Care Model For Inflammatory Bowel Disease With A Uk Nhs Perspective.
PMID- 27200762
TI - Economic Evaluation Of Various Strategies For Antiviral Therapy For Previously
Treated Patients With Chronic Hcv Genotipe 1 Infection.
PMID- 27200763
TI - Health Care Cost Associated To Constipation Predominant Irritable Bowel Syndrome
In Spain.
PMID- 27200764
TI - Lubiprostone In Chronic Idiopathic Constipation: A Cost-Effectiveness Analysis.
PMID- 27200765
TI - Cost-Effectiveness Of Linaclotide: A Valuable Option In The Treatment Of
Irritable Bowel Syndrome.
PMID- 27200766
TI - Cost-Effectiveness Analysis Of A Personalized Therapy For Genotype 1, Naive,
Chronic Hepatitis C Patients In Italy.
PMID- 27200767
TI - Sofosbuvir For The Treatment Of Chronic Hepatitis C: A Comprehensive Cost
Effectiveness Analysis Across Hcv Genotypes, Pretreatment Conditions And Hiv Co
Infection.
PMID- 27200768
TI - Cost-Effectiveness Analysis Of Antiviral Pharmacotherapies For Treatment Of
Chronic Hepatitis C Virus Infection In Russia.
PMID- 27200769
TI - Cost-Effectiveness Analysis Of Triple Therapy With Peginterferon, Ribavirin, And
Boceprevir For The Treatment Of Chronic Hepatitis C Virus Genotype 1 With Severe
Fibrosis Under "Real-Life" Conditions.
PMID- 27200770
TI - The Cost Effectiveness Analysis Of The Oral Anti-Viral Treatments Alternatives
For The Chronic Hepatitis B In Turkey.
PMID- 27200771
TI - Cost-Effectiveness Of Everolimus Plus Reduced Tacrolimus In De Novo Liver
Recipients In The Italian Setting.
PMID- 27200772
TI - Cost-Utility Analysis Of Screening Strategies For Nonalcoholic Steatohepatitis.
PMID- 27200773
TI - The Cost-Effectiveness Of Sofosbuvir And Ribavirin Treatment In Hcv-Infected
Patients Listed For Liver Transplantation.
PMID- 27200774
TI - Cost-Utility Analysis Of Sofosbuvir For Treatment Of Genotype2 Chronic Hepatitis
C In Japan.
PMID- 27200775
TI - Quality Of Life Of Diarrheal Children And Caregivers In Thailand.
PMID- 27200776
TI - Adherence Rates For Peginterferon + Ribavirin Compared With Telaprevir +
Peginterferon + Ribavirin In Medicaid And Commercial Patients Treated For Chronic
Hepatitis C.
PMID- 27200777
TI - Estimating The Cost Of Liver Transplantation In Patients Diagnosed With Chronic
Hepatitis C And B In The Uk.
PMID- 27200778
TI - Economic Evaluation Studies In Gastroenterology In Brazil: A Systematic Review.
PMID- 27200779
TI - Cost-Utility Analysis Of Fidaxomicin Compared To Vancomycin In The Management Of
Severe Clostridium Difficile Infection In Poland.
PMID- 27200780
TI - Self-Reported Health Related Quality Of Life Of Hepatitis C Virus (Hcv) Genotype
1 Patients With And Without Comorbid Conditions.
PMID- 27200781
TI - Translation And Cultural Adaptation Difficulties Encountered During Linguistic
Validation Of The Bristol Stool Scale.
PMID- 27200782
TI - A Comparison Between The Health-Related Quality Of Life Reported By The General
Population And By Patients With Major Liver Diseases.
PMID- 27200783
TI - Mapping May Cause Straining: The Inconsistent Relationship Between A Disease-
Specific Questionnaire (Pac-Qol) And Eq-5d Mapping In Constipation.
PMID- 27200784
TI - How Does Non-Malignant Opioid Induced Constipation (Oic) Impact Health State
Utility?
PMID- 27200785
TI - A Health Technology-Related Cost Description Concerning Italian Ibd Centres
Dealing With Crohn's Disease Results From Sole Study.
PMID- 27200786
TI - Utilization Of Total Parenteral Nutrition In A South Indian Tertiary Care
Hospital.
PMID- 27200787
TI - Impact Of Irritable Bowel Syndrome With Constipation On Work Productivity And
Daily Activity Among Commercially Insured Patients In The United States.
PMID- 27200788
TI - Should Cost-Effectiveness Analysis Include The Cost Of Consumption Activities? An
Empirical Investigation.
PMID- 27200789
TI - Diagnosis And Management Of Moderate-To-Severe Irritable Bowel Syndrome With
Constipation (Ibs-C) In Spain: The Ibis-C Study.
PMID- 27200790
TI - Real World Studies Using Japanese Administrative Databases: Chronic Hepatitis C
Treatment Pattern And Resource Use.
PMID- 27200791
TI - High Therapeutic Efficiency With Sofosbuvir For The Treatment Of Chronic
Hepatitis C.
PMID- 27200792
TI - Which Metric To Choose For Indirect Comparison Of Treatments When Multiple
Comparisons Are Feasible: Lubiprostone Verse Prucalopride In Chronic
Constipation.
PMID- 27200793
TI - The Costs-Effectiveness Of Sofosbuvir Verse Standard Of Care (Soc) In Chronic
Hepatitis C From A Belgian Reimbursement Perspective.
PMID- 27200794
TI - Cohort Of Hcv Patients In Italy: Sizing And Treatments In A Sample Of Italian
Hepatology Centers.
PMID- 27200795
TI - Comparison Of The Burden Of Ibs With Constipation On Health-Related Quality Of
Life (Hrqol), Work Productivity, And Health Care Utilization To Asthma, Migraine,
And Rheumatoid Arthritis In The Us, Uk, And France.
PMID- 27200796
TI - Assesment Of Serial Transverse Enteroplasty: Systematic Review.
PMID- 27200797
TI - Prescribing Pattern Of Drugs For Ulcerative Colitis In Japan.
PMID- 27200798
TI - Patients Diagnostic Therapeutic Pathways For Hcv Patients In Italy: Impact Of
Regionalization In Treatments And Guidelines.
PMID- 27200799
TI - Claims Database Analysis Of Patients With Chronic Hepatitis C In Japan.
PMID- 27200800
TI - Impact of Apremilast on Physical Function over 52 weeks in Patients with Active
Psoriatic Arthritis.
PMID- 27200801
TI - Work Productivity Improvement Associated With Apremilast, An Oral
Phosphodiesterase 4 Inhibitor, in Patients With Psoriatic Arthritis Results Of A
Phase 3, Randomized, Controlled Trial.
PMID- 27200802
TI - Network Meta-Analysis With Baseline Risk Adjustment To Assess The Relative
Efficacy Of Ustekinumab In Adult Patients With Active Psoriatic Arthritis.
PMID- 27200803
TI - Comparison Of Disease Status And Outcomes Of Patients With Psoriatic Arthritis
(Psa) Receiving Adalimumab Or Etanercept Monotherapy In Europe.
PMID- 27200804
TI - Relative Efficacy And Safety of Ustekinumab Compared to Anti-TNF-Alfa Therapies
in Patients With Active Psoriatic Arthritis.
PMID- 27200805
TI - Drug Usage Analysis and Health Care Resources Consumption in Patients With
Rheumatoid Arthritis.
PMID- 27200806
TI - Comparative Effectiveness of Biological Agents With Diseases Modifying Anti
Rheumatic Drugs For Methotrexate Failure Rheumatoid Arthritis Patients: A Baysian
Mixed Treatment Comparison.
PMID- 27200807
TI - Heal Rate In 4,190 Fresh Fractures Treated With Low-Intensity Pulsed Ultrasound
(Lipus).
PMID- 27200808
TI - Quality Of Life Assessments In Korean Patients With Rheumatoid Arthritis (Ra): An
Analysis From The Phase Iii Trial To Evaluate Equivalence Of The Etanercept
Biosimilar Hd203 And Enbrel(r) In Combination With Methotrexate (Mtx) In Patients
With Ra; The Hera Study.
PMID- 27200810
TI - Efficacy Of Novel Dmards In Early Active Rheumatoid Arthritis: An Indirect
Comparison.
PMID- 27200809
TI - Comparison of Disease Status And Outcomes of Patients With Rheumatoid Arthritis
(Ra) Receiving Adalimumab or Etanercept Monotherapy In Europe.
PMID- 27200811
TI - Using Health Assessment Questionnaire - Disability Index To Estimate Eq-5d
Utility Values for Patients With Rheumatoid Arthritis in Taiwan.
PMID- 27200813
TI - Pain Therapy for Osteoarthritis in Germany: Analysis of Sickness Fund Claims
Data.
PMID- 27200812
TI - Mast (Minimal Access Spinal Technologies) Versus Open Surgery: Cost Analysis From
Hospital Perspective.
PMID- 27200814
TI - A Budget Impact Analysis of Ustekinumab in the Management of Psoriatic Arthritis
in Greece.
PMID- 27200815
TI - Pharmacoeconomic Evaluation of Biologic Therapies in Russian Patients With
Rheumatoid Arthritis and Intolerance or Inadequate Response to Conventional Basic
Therap.
PMID- 27200816
TI - Budget Impact Analysis of Certolizumab Pegol in the Management of Patients With
Moderate-To-Severe Active Rheumatoid Arthritis in Greece.
PMID- 27200817
TI - Current and Future Costs of Osteoporotic Fractures in the Netherlands.
PMID- 27200819
TI - Direct Non-Medical Costs Of Rheumatoid Arthritis By Disease Level In Portugal.
PMID- 27200818
TI - Claims Data Analysis on the Annual Frequency and Incremental Cost of Reoperations
in Instrumental Spinal Surgeries in Germany.
PMID- 27200820
TI - Cost Per Responder Of Apremilast Versus Etanercept And Adalimumab In Patients
With Active Psoriatic Arthritis.
PMID- 27200821
TI - Burden Of Disease Analysis Of Ankylosing Spondylitis In Hungary.
PMID- 27200822
TI - Cost Comparison of Surgical and Non-Surgical Treated Lumbar Spinal Stenosis
Patients.
PMID- 27200823
TI - Burden of Disease Analysis of Psoriatic Arthritis In Hungary.
PMID- 27200825
TI - Economic Modeling of The Use of Botulinum Toxin A in A Homogenous Patient
Population Based on Real-Life Clinical Practice: Ulis-Ii (The Upper Limb
International Spasticity Study).
PMID- 27200824
TI - Early Retirement Indirect Costs Attributable to Rheumatic Diseases in Portugal.
PMID- 27200826
TI - The Pension Costs of Musculoskeletal Diseases. Estimation of the Economic Burden
Borne by the Italian Social Security System.
PMID- 27200827
TI - The Costs of Diagnosis and Treatment of Ankle Sprains and Fractures, 1980-2013: A
Systematic Review.
PMID- 27200828
TI - Hospitalization Burden Among Dialysis Patients In Brazil: an Analysis of The
Public Health System Database.
PMID- 27200829
TI - Systematic Review of Societal Cost of Illness Evidence in Patients With Psoriatic
Arthritis.
PMID- 27200830
TI - Systematic Review of Societal Cost of Illness Evidence in Patients With
Ankylosing Spondylitis.
PMID- 27200831
TI - Determination Of The Annual Health Insurance Cost Of Outpatient Care
Physiotherapy Services For Low Back Pain.
PMID- 27200832
TI - Cost of Treating Hip Fractures With Cephalomedullary Nails: A Retrospective
Claims Database Review.
PMID- 27200833
TI - Cost Related to the Waiting List of Patients With Vertebral Malformation.
PMID- 27200834
TI - Treating to the Target of Das28 < 2.6 in Rheumatoid Arthritis: the Impact of
Efficacy on Cost Effectiveness.
PMID- 27200835
TI - Cost-Effectiveness of Certolizumab Pegol in the Treatment of Active Rheumatoid
Arthritis, Axial Spondyloarthritis, and Psoriatic Arthritis In Romania.
PMID- 27200836
TI - Cost-Effectiveness of Celecoxib and Non Selective Non Steroidal Anti-Inflammatory
Drug (Nsaid) Therapy for the Treatment of Osteoarthritis in Spain: A Decision
Tree Model.
PMID- 27200837
TI - Cost-Effectiveness Of Routine Testing For Hla-B*5801 In Caucasian Patients Newly
Diagnosed With Gout In Portuguese Nhs Hospitals.
PMID- 27200838
TI - Cost-Effectiveness Analysis of Tocilizumab Verse Infliximab for the Patients With
Rheumatoid Arthritis in Kazakhstan.
PMID- 27200839
TI - Estimating the Cost-Effective Intervention Thresholds for Osteoporotic Fractures
Based on Frax(r) in the Greek Setting.
PMID- 27200841
TI - Pharmacoeconomic Evaluation of Treatment With Tocilizumab in Russian Children
With Systemic Juvenile Idiopathic Arthritis.
PMID- 27200840
TI - The Cost-Effectiveness of Biologic Dmards in Patients With Severe or Mild-To
Severe Rheumatoid Arthritis After Conventional Dmards.
PMID- 27200842
TI - Health Economic Evaluation of Osteoporosis Screening and Treatment Strategy in
the Elderly Japanese Women.
PMID- 27200843
TI - Cost-Effectiveness of Use of Barricaid(r) In Lumbar Discectomy Surgery in Turkey.
PMID- 27200844
TI - A Cost-Effectiveness Analysis for Total Knee Arthroplasty Telerehabilitation:
Proof of Concept of A Decision Model.
PMID- 27200845
TI - Cost-Effectiveness Analysis of Etanercept in the Treatment of Rheumatoid
Arthritis In Portugal.
PMID- 27200846
TI - The Impact of Disease Modification on the Cost-Effectiveness of Pegloticase for
the Treatment of Severe Debilitating Chronic Tophaceous Gout In Adult Patients.
PMID- 27200847
TI - Pharmacoeconomic Analysis Tofacitinib Use in Rheumatoid Arthritis Treatment
Scheme.
PMID- 27200848
TI - Rehabilitation In Resurfacing Hip Arthroplasty Patients: Preliminary Cost
Effectiveness Results From A Clinical Trial.
PMID- 27200849
TI - Cost-Effectiveness Model of Dual-Mobility Cups for Total Hip Replacement in
France.
PMID- 27200850
TI - Comparison of Diagnostic Strategies to Detect Prevalent Vertebral Fracture for
Adults Over Age 50: Use of Vertebral Fracture Assessment or Spine Radiography.
PMID- 27200852
TI - Cost-Effectiveness Analysis of Strontium Ranelate Verse Alendronate for
Management of Osteoporosis Among Post-Menopausal Women in Malaysia Using A Markov
Modelling Approach.
PMID- 27200851
TI - Cost-Effectiveness of Multiple Anti-Osteoporotic Therapies for Secondary Fracture
Prevention in Japan.
PMID- 27200853
TI - Cost-Utility Analysis of Certolizumab Pegol Plus Methotrexate for the Treatment
of Moderate-To-Severe Active Rheumatoid Arthritis In Greece.
PMID- 27200854
TI - Rituximab as First Choice for Patients With Refractory Rheumatoid Arthritis: Cost
Effectiveness Analysis in Iran Based on A Systematic Review and Meta-Analysis.
PMID- 27200855
TI - Mabthera(r) (Rituximab) for the Treatment of Severe Granulomatosis With
Polyangiitis (Gpa) and Microscopic Polyangiitis (Mpa) - A Cost-Utility Model for
the United Kingdom.
PMID- 27200856
TI - Work Productivity Loss Due To Rheumatoid Arthtiris (Ra), Crohn's Disease (Cd) And
Psoriasis (Ps) In Poland.
PMID- 27200857
TI - Organizational and Management Impact Analysis of Using the New Subcutaneous
Formulation of Tocilizumab in Selected Italian Rheumatology Centers.
PMID- 27200858
TI - Systematic Review and Meta-Analysis of Persistence With Denosumab in Patients
With Osteoporosis.
PMID- 27200859
TI - Long-Term Work Productivity Costs Among Subjects With Early Rheumatoid Arthritis
A Nationwide Analysis Based on 7,831 Subjects' Sickness Absence Days and Income.
PMID- 27200860
TI - Characterizing Work Productivity Loss In Incident Rheumatoid Arthritis In Sweden.
PMID- 27200861
TI - A Comparison of the Impact of Rheumatic Diseases and Other Chronic Diseases on
Early Retirement in Portugal.
PMID- 27200862
TI - Cost of Pharmacotherapy in Polish Patients With Rheumatoid Arthritis.
PMID- 27200863
TI - Use of Medication Reminders in Patients With Rheumatoid Arthritis.
PMID- 27200864
TI - Persistence Rate With Subcutaneous Biologic Therapies in Patients With Rheumatoid
Arthritis (Ra).
PMID- 27200865
TI - Treatment Persistence With Subcutaneous Biologic Therapies in Patients With
Psoriatic Arthritis (Psa).
PMID- 27200866
TI - Determinants of Non-Persistence to Antiosteoporotic Drugs by Using Administrative
Database.
PMID- 27200867
TI - Persistence Rate With Subcutaneous Biologic Therapies in Patients With Ankylosing
Spondylitis (As).
PMID- 27200868
TI - Impact of Medication Adherence by Using Indian Version Compliance Questionnaire
Rheumatology (Cqr) and Medication Adherence Report Scale (Mars) Tools on Quality
of Life of Patients With Rheumatoid Arthritis.
PMID- 27200869
TI - Quality of Life in Psoriatic Arthritis: Consistent and Stable Across Datasets.
PMID- 27200870
TI - Long-Term Maintenance of Improvements in Patient-Reported Outcomes With
Certolizumab Pegol in Patients With Axial Spondyloarthritis, Including Ankylosing
Spondylitis and Non-Radiographic Axial Spondyloarthritis: 96-Week Results of the
Rapid-Axspa Study.
PMID- 27200871
TI - Are Patients' Preferences Transferable Between Countries? A Cross-European
Discrete-Choice Experiment to Elicit Patients' Preferences for Osteoporosis Drug
Treatment.
PMID- 27200872
TI - Patient Preferences in the Choice of Disease Modifying Anti-Rheumatic Drugs.
PMID- 27200873
TI - Inadequate Pain Relief Among Patients With Primary Knee Osteoarthritis - Analysis
From The Portuguese Sample Of The Survey Of Osteoarthritis Real World Therapies
(Sort).
PMID- 27200875
TI - Long-Term Maintenance of Improvements in Multiple Facets of Psoriatic Arthritis
With Certolizumab Pegol: 96-Week Patient-Reported Outcome Results Of The Rapid
Psa Study.
PMID- 27200874
TI - Qualitative Equivalence Between A Paper and Electronic Tablet Version of the
Womac(r)Nrs3.1 and Patient Global Assessment.
PMID- 27200876
TI - Usability Testing of A Novel Pain Medication Diary Administered Electronically.
PMID- 27200877
TI - Quality of Life in Patients With Axial Spondyloarthritis in Clinical Practice In
Sweden: Baseline Results From A Longitudinal Study.
PMID- 27200878
TI - Functional Status, Quality of Life And Work Disability for Patients With
Rheumatic Diseases in Greece.
PMID- 27200879
TI - Sustained Improvements in Workplace and Household Productivity and Social
Participation With Certolizumab Pegol Over 96 Weeks in Patients With Psoriatic
Arthritis.
PMID- 27200880
TI - Quality of Life in Patients With Chronic Lumbosciatic Syndrome in the Slovak
Republic.
PMID- 27200881
TI - Reasons for Treatment Discontinuation of Biologics - Development and Validation
of A Questionnaire.
PMID- 27200882
TI - Assessing Willigness to Pay Among Psoriasis and Psoriatic Arthritis Patients.
PMID- 27200883
TI - Sustained Improvements in Workplace and Household Productivity and Social
Participation With Certolizumab Pegol Over 96 Weeks in Patients With Axial
Spondyloarthritis, Including Ankylosing Spondylitis and Non-Radiographic Axial
Spondyloarthritis.
PMID- 27200884
TI - Predicting the Burden of Knee Arthroplasty Revision Over A 20-Year Horizon.
PMID- 27200885
TI - Comparison of Clinical Characteristics of Patients With Rheumatoid Arthritis (Ra)
Receiving Biologic Monotherapy and Biologic-Containing Combination Therapy in
Europe.
PMID- 27200886
TI - Anti-Tnf Biosimilars Indicated for Rheumatoid Arthritis are Increasingly
Available in Europe: How Do Payers and Key Stakeholders Perceive Them?
PMID- 27200887
TI - Market Access of Implantable Medical Devices - Part Ii: Decision Drivers Across
Global Markets.
PMID- 27200888
TI - Coping With A New Biologic Paradigm: Payer Strategies for the Purchasing of
Complex Biosimilars.
PMID- 27200889
TI - An Assessment of the Association Between Rural Status and Health Service Resource
Use Among Patients With Ankle Sprains in Ontario.
PMID- 27200890
TI - The Use of Clinical Data Repository for the Establishment of an Osteoporosis
Registry in A Large Health Organization in Israel: Epidemiologic and
Pharmaepidemiologic Findings.
PMID- 27200891
TI - What Could the Future Hold? Simulating the Demand for Osteoarthritis (oa) Care in
Alberta to Plan a Sustainable Oa Care System.
PMID- 27200892
TI - Baseline Patient Characteristics of A Prospective Observational Study to Evaluate
the Care Map of Women With Postmenopausal Osteoporosis (Pmo) in Switzerland
(Campos).
PMID- 27200893
TI - Increased Bone Mineral Density (Bmd) In Postmenopausal Women With Osteoporosis
(Op) Receiving Two Denosumab Injections In Routine Clinical Practice In Bulgaria.
PMID- 27200894
TI - Cachexia in the Us Health Care System.
PMID- 27200895
TI - Gastrointestinal Risk Factors and Treatment Pattenrs of Rheumatoid Arthritis
Versus Osteoarthritis Patients in Korea.
PMID- 27200896
TI - Concomitant Use of Non-Steroidal Anti-Inflammatory Drugs (Nsaids) And Proton Pump
Inhibitors (Ppis) in Newly Diagnosed Patients With Osteoarthritis (Oa),
Rheumatoid Arthritis (Ra) or Ankylosing Spondylitis (As).
PMID- 27200897
TI - The Burden of Parkinson Disease Amongst Caregivers in Spain Over 4 Years.
PMID- 27200898
TI - A Systematic Review and Network Meta-Analysis of Pharmacological Therapies Used
for Patients with Advanced Parkinson's Disease.
PMID- 27200899
TI - Modelling the Impact of Persistence Improvements with an Electronic Injection
Device on Escalation to 2nd Line Treatment in Patients with Relapsing Remitting
Multiple Sclerosis (RRMS).
PMID- 27200900
TI - Restless Leg Syndrome Detection in Hemodialysis.
PMID- 27200902
TI - Image-Guided Navigation Systems (IGNS) Improve Accuracy of Catheter Placement in
Shunted Hydrocephalus Patients.
PMID- 27200901
TI - A Comprehensive Literature Review of the Burden of Gaucher Disease.
PMID- 27200903
TI - Risk of Relapse Among Propensity Score Matched Multiple Sclerosis Patients
Receiving Natalizumab or Platform Therapy in the US.
PMID- 27200904
TI - Prevalence of Cystic Fibrosis Among the U. S. National Medicaid Population.
PMID- 27200905
TI - Cerebrospinal Fluid f"-Amyloid1-42 Levels in the Differential Diagnosis of
Alzheimer's Disease - Systematic Review and Meta-Analysis.
PMID- 27200906
TI - A Real-World Assessment of Annual Multiple Sclerosis Prevalence and Disease
Modifying Drug Treatment Rates Using an Administrative Claims Database.
PMID- 27200907
TI - Evaluation of Disability Progression as an Endpoint in Clinical Trials for
Relapsing-Remitting Multiple Sclerosis (RRMS): Comparison of the Define and
Confirm Studies.
PMID- 27200908
TI - The Clinical Evidence Base of Treatment Options in Alzheimer's Disease: A
Systematic Literature Search.
PMID- 27200909
TI - Assessing the Comparative Outcomes from Teriflunomide and Dimethyl Fumarate
Studies in Relapsing Ms: Use of "Number Needed to Treat" Analysis.
PMID- 27200910
TI - The Characteristics of Multiple Sclerosis in Iran.
PMID- 27200911
TI - Epidemiology of Multiple Sclerosis in Latin America: Critical Analysis of the
Literature.
PMID- 27200912
TI - Entacapone did not Increase Prostate Cancer Risk or Mortality in Patients with
Parkinson's Disease.
PMID- 27200913
TI - The Clinical and Economic Value of Antibiotic-Impregnated Shunt Catheters (AISC)
in the Treatment of Hydrocephalus.
PMID- 27200914
TI - Budget Impact Analysis of Fingolimod in Relapsing Remitting Multiple Sclerosis.
PMID- 27200915
TI - The Cost Burden of Switching Patients with Relapsing-Remitting Multiple Sclerosis
from Glatiramer Acetate To Newly-Approved Disease Modifying Therapies.
PMID- 27200916
TI - Improving Clinical Outcomes and Health Care Resources Utilization in Multiple
Sclerosis: A Portuguese Hospital Perspective.
PMID- 27200917
TI - Cost Analysis of two Aftercare Strategies in Chronic Continuous Intrathecal
Baclofen Therapy in Patients with Intractable Spasticity.
PMID- 27200918
TI - Alzheimer's Disease: Medication Costs and Impact of Generic Substitution.
PMID- 27200919
TI - Systematic Review of the Economics of Multiple Sclerosis in Latin America.
PMID- 27200920
TI - Cost Analysis of the Use of Glatiramer Acetate Compared to Interferon-A in
Patients with Relapsing-Remitting Multiple Sclerosis and Spasticity in Spain.
PMID- 27200921
TI - Costs Associated with the Use of Enzyme-Inducing Anti-Epileptic Drugs Versus Non
Enzyme-Inducing Anti-Epileptic Drugs: A Systematic Review.
PMID- 27200922
TI - Pharmacoeconomic Aspects of Multiple Sclerosis Treatments in Iran.
PMID- 27200923
TI - Pharmacoeconomic Study of Botulinium Toxin Type a in Treatment of Post-Stroke
Spasticity in the Russian Federation: Cost-Effectiveness Analysis.
PMID- 27200924
TI - Does Current Portuguese Financing Model for Multiple Sclerosis Covers for
Estimated Needs?
PMID- 27200925
TI - Relationship Between the Direct Medical Costs and Direct Non-Medical Costs of
Parkinson's Disease According to Disease Severity During 4 Years of Follow-Up in
Spain.
PMID- 27200927
TI - Cost Comparison of Deep Drain Stimulation (DBS) and Continued Subcutaneous
Apomorphine Infusion (CSAI) in Patients with Advanced Parkinson's Disease.
PMID- 27200926
TI - Retrospective Analysis of the Economic Burden of U. S. Long-Term Care Facility
Residents Diagnosed with Parkinson's Disease.
PMID- 27200928
TI - Health Care Resource Use and Cost Of Multiple Sclerosis in Slovakia: Results from
the National Cross-Sectional Study.
PMID- 27200929
TI - Nuedexta for the Treatment of Pseudobulbar Affect: Estimating the Financial
Impact to the Scottish NHS.
PMID- 27200930
TI - Clinical Outcomes and Health Care Resource Utilization in a 1-Year Observational
Study of Patients with Non-focal Disabling Spasticity who are Resistant or
Intolerant to Oral Therapy Treated with Intrathecal Baclofen Therapy at the
Institut Guttmann (Spain). Epice Study.
PMID- 27200931
TI - Financial and Clinical Implications of Intramuscular Versus Subcutaneous
Interferon Beta-1a in Portugal, Based on the Findings from the Cochrane
Collaboration Review of First-Line Treatments for Relapsing-Remitting Multiple
Sclerosis.
PMID- 27200932
TI - Whole Exome Sequencing as a Diagnostic Tool for Complex Neurological Disorders.
PMID- 27200933
TI - Analysis of Expenditure in Multiple Sclerosis Disease Modifying Therapies
Evolution Between 2004-2013 in Spain.
PMID- 27200934
TI - Cost of Health Care Services Offered by Parkinson Disease Associations in Spain.
PMID- 27200935
TI - The Impact of Adherence and Development of Neutralizing Antibodies to Interferons
beta on Treatment of Multiple Sclerosis in the Czech Republic.
PMID- 27200936
TI - Cost-Effectiveness Evaluation of Data from the Evidence (Evidence of Interferon
Dose-Response: European North American Comparative Efficacy) Study.
PMID- 27200937
TI - An Economic Evaluation of Subcutaneous and Intramuscular Interferon Beta-1a in
Multiple Sclerosis Using a Direct Head-To-Head Study.
PMID- 27200938
TI - Economic Evaluation of Lacosamide in the Management of Epileptic Partial Onset
Seizures in Greece.
PMID- 27200939
TI - Comparison of a Markov Cohort Model and a Discrete-Event Simulation for Economic
Analyses of Treatments for Multiple Sclerosis.
PMID- 27200940
TI - Cost-Effectiveness of Subcutaneous Verse Intramuscular Interferon Beta-1A In
Portugal Based on the Findings of Cochrane Collaboration Review of First-Line
Treatments for Relapsing-Remitting Multiple Sclerosis.
PMID- 27200942
TI - Cost-Effectiveness of Subcutaneous Interferon Beta-1A in a Sub-Population of
Multiple Sclerosis Patients (Kurtzke Expanded Disability Status Scale [EDSS]:
>3.5-5.0).
PMID- 27200941
TI - Treating Verse Non-Treating Obsturictive Sleep Apnea in Italy and France: A
Markov Model-Based Cost-Effectiveness Analysis.
PMID- 27200944
TI - The Long-Term Value of Glatiramer Acetate for the Treatment of Relapsing
Remitting Multiple Sclerosis in the Netherlands.
PMID- 27200943
TI - A Cost-Utility Analysis of Sacral Anterior Root Stimulation (SARS) Compared to
Medical Treatment in Complete Spinal Cord Injured Patients with a Neurological
Bladder.
PMID- 27200945
TI - Cost-Utility Analysis (cua) Of First-Line Disease-Modifying Treatments (DMT)
Versus Best Supportive Care (Bsc) In Finnish Relapsing-Remitting Multiple
Sclerosis (RRMS) Patients.
PMID- 27200947
TI - Cost-Minimisation Analysis of Colistimethate Sodium in Serbia- off Label Use
Approach.
PMID- 27200946
TI - Health Care Utilization and Costs of Medicaid Program Services for Patients
Diagnosed with Multiple Sclerosis.
PMID- 27200948
TI - Cost-Effectiveness Model Results of Intrathecal Baclofen Therapy Compared to
Conventional Medical Management in Patients with Non-Focal Disabling Spasticity
Who are Resistant or Intolerant to Oral Therapy at the Institut Guttmann.
PMID- 27200949
TI - Expected Value of Partial Perfect Information for the Disability Progression
Efficacy of Teriflunomide and Fingolimod in the Treatment of Relapsing-Remitting
Multiple Sclerosis.
PMID- 27200950
TI - Long-Term Costs and Consequences of Patients with Familial Chylomicronemia
Syndrome - A Simulation Model Approach.
PMID- 27200951
TI - Using a Panel Survey to Identify Predictors of Disease-Modifying Drug Adherence
in Patients with Multiple Sclerosis.
PMID- 27200952
TI - Persistence with Fingolimod Versus Dimethyl Fumarate in Patients with Multiple
Sclerosis: Retrospective Analysis of us Open-Source Pharmacy Data.
PMID- 27200953
TI - Working Ability and Monetarily Valued Productivity of Patients with Multiple
Sclerosis Treated with Natalizumab.
PMID- 27200954
TI - Modelling the Persistence of Disease-Modifying Drug Treatment (DMT) and its
Independent Drivers in Finnish Multiple Sclerosis (MS) Patients: Parametric
Survival Modelling.
PMID- 27200955
TI - Quality of Life Among Patients with Multiple Sclerosis Treated with Prolonged
Release Fampridine 10 Mg Tablets for Walking Impairment.
PMID- 27200956
TI - The Effect of Insomnia and Insomnia Treatment Side Effects on Health Status, Work
Productivity, and Health Care Resource Use.
PMID- 27200957
TI - Humanistic Research Outcomes in Multiple Sclerosis: Review of the Literature from
Latin America.
PMID- 27200958
TI - Health-Related Quality of Life in Migraine Without Aura Based on Attack
Frequency: A time Trade-Off Study.
PMID- 27200959
TI - Persistence in Open and Closed Data Sources: A Study of Fingolimod Verse
Interferons/Glatiramer Acetate in Patients with Multiple Sclerosis.
PMID- 27200960
TI - Comparison of the Valuation of Treatment Alternatives in Parkinson's Disease with
Best-Worst Scaling, Time Trade-off and Visual Analogue Scales.
PMID- 27200961
TI - The Humanistic and Economic Burden of Partial Onset Seizures in the Europe Five
and Brazil Using a Patient Survey.
PMID- 27200962
TI - The Value of Diagnostic Tests for Alzheimer's Disease: Discrete-Choice Experiment
and Contingent-Valuation.
PMID- 27200963
TI - Factors Associated with Caregiver's Burden in Relapsing-Remitting Multiple
Sclerosis and Satisfaction with Current Therapies. MS-Feeling Study.
PMID- 27200964
TI - The Burden of Primary Generalized Tonic-Clonic Seizures in Europe and the United
States: An Analysis of The National Health and Wellness Survey.
PMID- 27200965
TI - Impact of Caregiving for Patients with Alzheimer's Disease and Dementia on
Psychiatric and Clinical Comorbidities in Brazil.
PMID- 27200966
TI - Antiepileptic Drug (AED) Treatment Sequencing in the Uk in Patients with
Epilepsy: Real-Life Practice Data Using CPRD.
PMID- 27200967
TI - Significant and Meaningful Improvement in Treatment Satisfaction with
Teriflunomide Versus Subcutaneous IFNB-1A in Patients with Relapsing MS Results
from Tenere.
PMID- 27200968
TI - Evaluating Working Ability and Quality of Life of Patients with Multiple
Sclerosis.
PMID- 27200969
TI - Cognitive Impairment and Health Related Quality of Life in Relapsing Remitting
Multiple Sclerosis.
PMID- 27200970
TI - Psychometric Analyses to Inform Item Reduction and Evaluate Sensitivity of the
Early Mobility Impairment Questionnaire for Multiple Sclerosis.
PMID- 27200971
TI - Analysis of the Recent HTA Decision Making Landscape for Multiple Sclerosis
Therapies - Trends and Future Opportunities.
PMID- 27200972
TI - Comparing Characteristics of Hungarian "Real Life" Patients With Participants of
RCTS: First-Line Disease Modifying Therapies in Multiple Sclerosis.
PMID- 27200973
TI - Characteristics of Patients with Relapsing Remitting Multiple Sclerosis Taking
Injectable and Oral Disease Modifying Treatments in the United States.
PMID- 27200974
TI - Frequency of Outpatient Physiotherapy Services in Neurology Diseases in Hungary.
PMID- 27200975
TI - Patterns of Use of Tests to Monitor Disease Activity Among Patients With
Relapsing Remitting Multiple Sclerosis in the United States and Europe.
PMID- 27200976
TI - Adoption of Oral Disease Modifying Treatments to Manage Patients with Relapsing
Remitting Multiple Sclerosis from 2011-2013 in the United States.
PMID- 27200977
TI - Attitudes of Patients Toward Generic Substitution and Implication for Practice in
Slovakia: First Results From Adopting The Law in 2012.
PMID- 27200978
TI - The Simultaneous Effects of Pharmaceutical Policies from Payers' and Patients'
Perspectives. Italy as a Case Study.
PMID- 27200979
TI - Patient, Insuree and Public Participation in Health Technology Assessment: An
International Comparison.
PMID- 27200980
TI - The Effect of Degressive Financing Method on the Hungarian Drg Based Hospital
Reimbursement Between 2011-2013.
PMID- 27200981
TI - Awareness and Interest in the United States Health Insurance Marketplace.
PMID- 27200982
TI - Nub Status - A 2014 Situation Analysis for Drugs: Oncology As Leading Therapeutic
Area.
PMID- 27200983
TI - Price Comparison in the European Pharmaceutical Market.
PMID- 27200984
TI - Health-Economics in Czech Republic: Can Formal Health-Economic Methodology
Improve The Quality Of Submitted Analysis?
PMID- 27200985
TI - A Gender Medicine Post-Hoc Analysis: Background and Methods of the Metagem
Project.
PMID- 27200986
TI - Trends in Physical and Occupational Therapy Utilziation in the Us And Western
Europe.
PMID- 27200987
TI - Multicriteria Decision Analysis and Cost Analysis in Health Care Decision Making:
A Literature Review.
PMID- 27200988
TI - Letting The Data Speak: A Shift of the Pharmaceutical Spending to Households in
Greece.
PMID- 27200989
TI - Are Prices of Patent-Protected Pharamceuticals in the Top 5 Eu Countries
Converging?
PMID- 27200990
TI - Impact Of Pharmacoeconomic Guidelines on the Reimbursement Process of Medicines
In Serbia In 2014.
PMID- 27200992
TI - How is Current Pharmaceuticals Pricing Policy on Generics Performing in Turkey
Regarding Price Erosion?
PMID- 27200991
TI - Cost-Effectiveness of Targeted Pharmacotherapy - A Systematic Review of the
Literature.
PMID- 27200993
TI - Economic Burden of Intravenous Iron Products In Public Hospitals of Paris and
Impact of Their New Hospital-Restricted Status.
PMID- 27200994
TI - Boosting Biosimilars Uptake in European Countries.
PMID- 27200995
TI - Impact of Health Policy Changes on Trends of Pharmaceutical Market in Turkey.
PMID- 27200996
TI - Trends In Clinical Drug Development Timeframes, 1981-2013 - An Example From
Virology.
PMID- 27200997
TI - The Effects of Reforms, Price Cuts and Global Budget Implementation on
Biotechnological Medicine Sales Which Have Annual Average Highest Amount Of Sales
Between 2008-2013 In Turkey.
PMID- 27200998
TI - The Impact of Cost Containment Reforms to the Pharmaceutical Benefits Scheme
(Pbs) On Prescribing Volumes And Expenditure In Australia: 1992 To 2011.
PMID- 27200999
TI - A Multi-Stakeholder (Physician, Payer, Patient, and Industry) Qualitative
Analysis of the Policies That Would Support A Sustainable European Biosimilars
Medicines Market Combined With A Quantitative Analysis of the Multi-Stakeholder
Benefits A Sustainable Medicines Market Would Deliver.
PMID- 27201000
TI - Is the French Liste-En-Sus Still Supporting Access To Innovative Medicines?
PMID- 27201001
TI - Quantification of Switching Trends in the Greek Pharmaceutical Market During the
Period Of Crisis.
PMID- 27201002
TI - Implications Of External Price Referencing of Pharmaceuticals in Middle East
Countries.
PMID- 27201004
TI - Impact of Health Policy Changes on the Growth Locally Manufactured and Imported
Pharmaceutical Markets of Top Selling Atc1 Phramaceutical Group (Alimentary and
Metabolism (A0) In Turkey.
PMID- 27201005
TI - An Overview of the Biosimilar Market in the Us.
PMID- 27201003
TI - Effects of Reference Price System on Medicines Which Have Annual Average Highest
Amount Of Sales Of Between Years 2008-2013.
PMID- 27201006
TI - Impact of Health Policy Changes on the Growth Locally Manufactured and Imported
Pharmaceutical Markets In Turkey.
PMID- 27201007
TI - The Effects of Reforms, Price Cuts And Global Budget Implementation on
Original/Generic Medicine Sales Which Have Annual Average Highest Amount Of Sales
Between 2008-2013 In Turkey.
PMID- 27201008
TI - Saving Money in Health Care: Cost Effectiveness of Individual Drugs (As By Nice)
Or Budget Cuts (As Under Pprs)?
PMID- 27201009
TI - Impact of Health Policy Changes on the Cost Sales of 5 Top Selling ATC1
Phramaceutical Groups in Turkey.
PMID- 27201010
TI - Transformation of Green Card Program for the Poor: One Step Further to Universal
Health Care Coverage in Turkey.
PMID- 27201012
TI - Impact Of Health Policy Changes on Unit Sales of 5 Top Selling Atc1
Phramaceutical Groups In Turkey.
PMID- 27201011
TI - Characteristics of The Medicines Which Have Annual Average Highest Amount Of
Sales Of Between Years 2008-2013.
PMID- 27201014
TI - The Grass is Always Greener on the Other Side or Why There Is Little Meaning in
International Pharmaceutical Price Comparison.
PMID- 27201013
TI - Applicability of Turkish Pricing Policy on Price Increases.
PMID- 27201015
TI - Generic Penetration Within Top-10 Genericized Molecules - Greece Versus Major
European Countries.
PMID- 27201016
TI - An Analysis of the 2014 Moroccan Drug Price Cuts.
PMID- 27201017
TI - Prevention and Management of Medicine Shortages in Belgium, France and from The
Perspective of the European Union.
PMID- 27201018
TI - Evaluating Rates of Potential Generic Substitution for Prescription Drugs: Can We
Improve On Existing Incentive Schemes?
PMID- 27201019
TI - Promoting Quality Use of Generic Medicines: Knowledge, Attitudes And Practices of
Community Pharmacists in A Middle Eastern Country.
PMID- 27201020
TI - Expedited Regulatory Review and Authorisation of Medicines and Their Subsequent
Appraisal By Hta Agencies in the European Union.
PMID- 27201022
TI - Trends in Time To Market Access In Europe - Is It Getting Better?
PMID- 27201023
TI - Market Access for Medical Devices: Adapting to Change.
PMID- 27201024
TI - Qualitative Research on the Impact Of European Hospital Procurement Practices on
Market Access For Disposable Medical Devices.
PMID- 27201021
TI - Challenges: in Implementing HTA In The Reimbursement Decisions In Algeria / A
Compartive Analysis.
PMID- 27201025
TI - Pricing of Medicines In Poland - Two-Year Overview Of How The New Law Affected
Costs Of Reimbursement.
PMID- 27201026
TI - The Impact of the Information System of Medical Devices in Portugal.
PMID- 27201027
TI - Accessing the Medical Device Market in the People's Republic of China--Policy
Changes Since the Restructuring of the China Fda.
PMID- 27201028
TI - Therapeutic Positioning Report: New Collaborative Network of Drug Assessment in
Spain - The Start Of P&R Based On Relative Effectiveness?
PMID- 27201029
TI - Are There Any Commonalities In Payer Requirements and Reimbursement Pathways for
Medical Devices in the Dach (Germany, Austria, Switzerland) Region?
PMID- 27201031
TI - Analysis of Cost-Effectiveness Assessments In France By The French National
Authority For Health (Has).
PMID- 27201030
TI - Integration of Cost-Effectiveness Assessment in the Market Access Scheme of Drugs
and Medical Devices In France.
PMID- 27201032
TI - The Relevance of Health Services Research for the Pharmaceutical Industry in
Germany -Results of A Representative Online Survey.
PMID- 27201033
TI - When Gender Matters: Impact of Fda Safety Warning on Dispensed Zolpidem Dose.
PMID- 27201034
TI - Impact of Cost- Containment Policies on Biosimilars Market.
PMID- 27201035
TI - Economic Impact of Drugs De-Funding and Pharmaceutical Co-Payment.
PMID- 27201036
TI - Availability of Risk Sharing Agreements in the Turkish Pharmaceutical Sector.
PMID- 27201037
TI - The Benefits and Challenges of Submitting to the Nice Medical Technologies
Evaluation Programme-Manufacturer Perceptions And Experiences.
PMID- 27201038
TI - Non-Steroidal Anti-Inflammatory Drugs in Household Drug Supplies in Serbia.
PMID- 27201039
TI - Pharmacoeconomic Analysis of Antifungal Drug Use in the Intensive Care Unit.
PMID- 27201040
TI - Prescribing Pattern of Antibiotics In Neonates in a Tertiary Care Hospital.
PMID- 27201041
TI - Using Data Envelopment Analysis (Dea) To Rank Gp's According To Their P4p Quality
and Efficiency Scores.
PMID- 27201042
TI - Do Quality or Efficiency Indicators Inside French P4p Perimeter Influence Quality
Or Efficiency Indicators Outside P4p?
PMID- 27201043
TI - Impact of the Early Benefit Assessment on A Pharmaceutical's Real Consumption in
Germany.
PMID- 27201045
TI - Trend Comparison of the Colombian Multidimensional Poverty Index, Inequities in
Maternal Mortality, Neonatal Mortality and Gini Coefficient, 1997-2011.
PMID- 27201044
TI - Advantages of Extemporaneous Dosage Forms in Ukraine.
PMID- 27201046
TI - Nurses Verse Other Health Professionals Perceptions on Quality and Safety Culture
Elements in Greek Hospitals.
PMID- 27201047
TI - Pharmaceutical Market Access in Emerging Markets Through Innovative Patient
Access Schemes.
PMID- 27201048
TI - Patient Envolvement in Reimbursment of Drugs in Slovakia.
PMID- 27201049
TI - Towards Universal Health Care- A Review of the Basic Basket of Care Associated
With Universal Health Care Delivery Models.
PMID- 27201050
TI - Do Nice Decisions Affect Decisions in Other Countries?
PMID- 27201051
TI - Experiences With Price Competition of Biosimilar Drugs in Hungary.
PMID- 27201052
TI - Measuring the Efficiency of Hungarian Hospitals by Data Envelopment Analysis.
PMID- 27201053
TI - National Rare Disease Strategies: The Current State for Orphan Drug Market Access
in European Union (Eu) Member States.
PMID- 27201054
TI - Impact of Prior Authorization Restrictions on Resource Utilization and Costs In
US Health Plans: A Review Of Literature.
PMID- 27201055
TI - Complications, costs And Resource Utilization in Real-World Complex Abdominal
Wall Reconstruction Patients.
PMID- 27201056
TI - The Growing Financial and Quality-of-Life Burden Associated With Atrial
Fibrillation (Af), Diabetes, Chronic Obstructive Pulmonary Disease (Copd) And
Asthma in Ireland.
PMID- 27201057
TI - A Quantification of Expenditure on Hospital Stays In 5 European Countries.
PMID- 27201058
TI - What Is Working Well In Louisiana For Us Employers: A Descriptive Analysis of
Employers Actively Engaged In Promoting Employee Health.
PMID- 27201059
TI - Do Specialty Drugs Offer Greater Value for Money Than Traditional Drugs?
PMID- 27201060
TI - R&D Investments, Intangible Capital and Profitability in the Pharmaceutical
Industry.
PMID- 27201061
TI - HTA Informed Price Negotiations: Cost Savings To The Health Payer in Ireland.
PMID- 27201062
TI - Setting Targets For Public Spending Under Eu-Imf Assistance To Portugal - The
Case Of Health Care and Pharmaceuticals.
PMID- 27201063
TI - Access To Non Communicable Disease Medicines in India: A Comparative Analysis of
State Level Public Procurement Data.
PMID- 27201064
TI - Constructing A Comorbidity Index According To Iso-Resource Consumption.
PMID- 27201065
TI - Assessing Assessment: Does Health Technology Assessment Do Its Job of Controlling
Costs Without Compromising Quality?
PMID- 27201066
TI - The Trend of the Prices Of New Marketed Drugs in Turkey.
PMID- 27201067
TI - Correlation Between Hospitalized Morbidity and Cost of Treatment of Selected
Chronic Diseases.
PMID- 27201068
TI - Patient Reported Outcomes and Their Relevance in Reimbursement Decisions.
PMID- 27201069
TI - Interventions and Policy Measures in Health Care And Pharmaceutical Sector To
Increase Effeciency And Recovery of Greek Health Care System.
PMID- 27201070
TI - Determinants of Hospitals' Attractiveness For Patients: Application to Expensive
Drugs.
PMID- 27201071
TI - Economic Impact of Clinical Researches to the Research Centers and Reimbursement
Systems in Turkey.
PMID- 27201072
TI - Pharmaceutical Developpement: Africa an Emergent Market.
PMID- 27201073
TI - Funding Integrated Health Care Services.
PMID- 27201074
TI - Market Analysis in Regard to Biologically Active Supplements And Medicines in
Armenia.
PMID- 27201075
TI - Impact of 2011 German Health Care Reform on Prices.
PMID- 27201076
TI - Real World Data (Rwd) At T=4 in the Netherlands.
PMID- 27201077
TI - Opportunity Costs of Implementing Nice Decisions in NHS Wales.
PMID- 27201078
TI - Acceptance Of Telemonitoring By Health Care Professionals in Germany: A Question
Of Financial Conditions.
PMID- 27201079
TI - Gender-Related Behaviors in Drug Consumption In Italy.
PMID- 27201080
TI - A Comparison of Cobb-Douglas, Translog and Additive Models of the Production
Functions of Inpatient Services In Public Hospitals.
PMID- 27201081
TI - External Reference Pricing Impact of the Integration of the Amnog Discount In The
List Price.
PMID- 27201082
TI - Home Pharmacies: An Insight In Self-Medication Practice.
PMID- 27201083
TI - Value of Life And Cost of Pre-Mature Deaths With The Perspective Of Productivity
As Net Tax Revenue: A Comparison In Usa, Canada, Japan and Australia.
PMID- 27201084
TI - Patterns and Predictors of Hospital Readmission in Taiwan.
PMID- 27201085
TI - Value of Life And Cost of Pre-Mature Deaths With the Perspective of Productivity
As Net Tax Revenue for Turkey.
PMID- 27201086
TI - Switching Patients With Primary Antibody Deficiencies to Home-Based Subcutaneous
Immunoglobulin: Economic Evaluation of An Interprofessional Drug Therapy
Management Program.
PMID- 27201088
TI - Secure System for Iv Administrations: Health Economic Impact Of A "Smart"
Infusion Safety System.
PMID- 27201087
TI - Value of Life and Cost of Pre-Mature Deaths With The Perspective of Productivity
As Net Tax Revenue: A Comparison in France, Germany, Italy, Spain, United
Kingdom.
PMID- 27201090
TI - Community Pharmacist Perceptions of Generic Medicines: A Survey in Tehran.
PMID- 27201089
TI - Gender Medicine in Germany: What is so Difficult about its Implementation? - An
Empirical Study in Germany.
PMID- 27201091
TI - Building A "High-Alert/High Risk Medications Reminder System" To Improve Patient
Safety.
PMID- 27201092
TI - Assessment of Validity of An Indicator Module In Identification of adverse Drug
Events in Patients of Medicine Department.
PMID- 27201093
TI - Value of Life And Cost of Pre-Mature Deaths With The Perspective Of Productivity
As Net Tax Revenue: A Comparison In Argentina, Brazil And Mexico.
PMID- 27201094
TI - Cost-Effectiveness of Telemedicine: Lessons To Learn From An International
Review.
PMID- 27201095
TI - Exposure To Potential Drug-Drug Interactions in Teaching Hospital Of South
Punjab, Pakistan.
PMID- 27201096
TI - Can A Global Value Dossier Meet Headquarters and Affiliates's Expectations?
PMID- 27201097
TI - Management of Crises and Risks in German Hospitals - Factors Influencing
Medication Errors.
PMID- 27201098
TI - Comparison of Expected Versus Actual Cost Consequence Of Reimbursed Drugs in the
Netherlands Between 2009 and 2013.
PMID- 27201099
TI - Predicted Versus Actual Budget Impact of High-Cost Drugs In Ireland.
PMID- 27201100
TI - Costs and Effectiveness of the Mediguide Technology in the Conditions of the
Czech Republic.
PMID- 27201101
TI - Barriers of Pharmaceutical Care in Community Pharmacies: Evidences From Emerging
Counteries.
PMID- 27201102
TI - Comparison of Post-Authorisation Measures From Regulatory Authorities With
Additional Evidence Requirements From HTA Bodies in Germany.
PMID- 27201103
TI - Regulatory Approval To Patient Access, An Evaluation of Eu5 And Us National
Timing Differences: An Update.
PMID- 27201104
TI - Standard Costs for Health Economic Evaluations: An International Comparison.
PMID- 27201105
TI - Black Box Amnog Rebates: What Is Driving the Price in the Negotiation With The
Gkv-Spitzenverband?
PMID- 27201106
TI - Redel Study: Differences in Reimbursement Delays in Cee Countries.
PMID- 27201107
TI - Impact of Chronic Diseases on Health Care Expenditures: A Multivariate Linear
Model From Pharmaceutical Reimbursement Data.
PMID- 27201108
TI - The Effect of China's Basic Medical Insurance Schemes on Health Service
Utilization.
PMID- 27201109
TI - Price Negotiation For Pharmaceuticals in Germany: High Influence Of Eu Price
Weighting Method.
PMID- 27201110
TI - A Payers Perspective to Pharmaceutical Market Access: Defining Market Access.
PMID- 27201111
TI - Market Access Pathway for Medical Nutrition in Europe and The Us.
PMID- 27201112
TI - The Reimbursement of Expensive Drugs In Hospitals in Western European Countries.
PMID- 27201113
TI - Pay-For-Performance: Balancing Cost and Care.
PMID- 27201114
TI - Swisshta Recommendation as an Optimal approach for Pragmatic HTA Evaluations? An
International Comparison.
PMID- 27201115
TI - Review of Pricing And Reimbursement Systems in South-Eastern Europe.
PMID- 27201116
TI - Pricing and Reimbursement Environment For A Biologic Obtaining A Licence In A
Second Indication in Key European Countries.
PMID- 27201117
TI - Cost and Quantity Characteristics of Medical Devices In Slovakia.
PMID- 27201118
TI - The Greek Health Care Reform After Troika's Involvement: The Potential Impact on
Global Pricing and Access Strategy.
PMID- 27201119
TI - A Comparison of Factors Influencing Reimbursement and Coverage Decisions in
Scotland (Smc), The Netherlands (Nzi) and Germany (G-Ba).
PMID- 27201121
TI - Hta Status of Biosimlars Across the UK and Ireland.
PMID- 27201120
TI - A Comparison of Additional Benefit Scores in Germany (Gba) and France (Has).
PMID- 27201122
TI - Does Not Reaching an Agreement on the Final Nice Scope Have Any Impact on The
Final Apraisal Outcome?
PMID- 27201124
TI - Time Limits Restriction in Germany.
PMID- 27201123
TI - Product Quality Aspect in Reimbursement of Medical Devices: Comparison of Turkey
Verse Europe.
PMID- 27201126
TI - Reimbursement Trends and Evidence Requirements for Ultra-Orphan Therapies Across
Europe: Optimising Market Access in Increasingly Challenging Markets.
PMID- 27201125
TI - An Examination of the Regulatory and Reimbursement Processes for Biobetters and
Comparison With Biosimilars.
PMID- 27201127
TI - Global HTA Assessments of Ultra-Orphan Products: A Case Study Of Eculizumab
(Soliris) and Iduronate-2-Sulfatase (Elaprase).
PMID- 27201128
TI - Evidence-Based Market Access Value Resource: Navigating The Hurdles for A
Biologic Obtaining A License In A Second Indication in Key European Countries.
PMID- 27201129
TI - National Health Insurance Fund Drug Expenditure in Bulgaria, 2007-2012: Reference
Based Pricing Alone or in Combination With Other Approaches to Pricing.
PMID- 27201130
TI - Evaluation of Social Welfare Part of Hungarian Drug Provision System.
PMID- 27201131
TI - Analysis of New Model of Therapeutic Positioning Reports As A P&R Decision-Making
Tool in Spain.
PMID- 27201132
TI - Determination of Referral Utilization Rate: Linking Households To Health Care
System; Kenyan Rural Setting Experience.
PMID- 27201133
TI - Medicare Provider Utilization and Payment Data: The Book to Bill Gap.
PMID- 27201134
TI - Claims Reimbursement Analysis of the National Health Insurance Scheme in Ghana.
PMID- 27201135
TI - Provincial Entry of New Drugs In China.
PMID- 27201136
TI - Using The Ispor 2013 European Congress as a Big Data Case Study.
PMID- 27201137
TI - Common Illnesses Identified by Community Health Workers in the Households and
Referrred to Primary Health Facilities For Care.
PMID- 27201138
TI - An Evaluation of Patient Satisfaction In Pafos, Cyprus With The "Europep"
Instrument.
PMID- 27201139
TI - Clinical Trial Activity in Greece From 2010 To 2012: Still Missing the
Opportunities?
PMID- 27201140
TI - Predictors of Working Motivation in Job Satisfaction Among Nurses in Cyprus.
PMID- 27201141
TI - Factors Influencing Job Satisfaction Among Nurses of Pafos General Hospital in
Cyprus.
PMID- 27201142
TI - Legal and Ethical Implications of Using Data From Social Media Websites.
PMID- 27201143
TI - Phenomenon of Inappropriate Drug Manufacturing in China - Past and Present.
PMID- 27201144
TI - The Ecology of Medical Care in Japan Revisited.
PMID- 27201145
TI - What Is The Proportion of Patients Who Return Counter Referral Slips to the
Original Referral Service For Confirmation of Arrival to the Hospital?
PMID- 27201146
TI - What Is The Patients' Median Delay From The Referring Community Health Worker To
Arrival in the Link Health Facility?
PMID- 27201147
TI - Choosing Important Health Outcomes For Comparative Effectiveness Research: A
Systematic Review.
PMID- 27201148
TI - The Role of Patients in Clinical Research and Evidence Based Decision Making as
Reported Via A Survey of Patient Advocates.
PMID- 27201149
TI - Drug Repurposing as An Efficient Strategy In Drug Development - Example Of Cns
Area.
PMID- 27201150
TI - Health Care Reform in China And The United States: A Tale of Two Nations Seeking
To Improve Access To Affordable Coverage.
PMID- 27201152
TI - Pharmacoeconomic Education in Brazilian Schools of Pharmacy.
PMID- 27201151
TI - Components of Sustainable Health Systems: What Is Known About The Cost
Effectiveness of Clinical Care?
PMID- 27201153
TI - Application of A 'Nice Post-Hoc B/S Analysis' To the Nice Appraisal Process.
PMID- 27201154
TI - Impact of Story Books on Promoting Knowledge and Behavior of 4th Stage Elementary
Students About Rational Use of Medicines in Kermanshah Provine of Iran 2008.
PMID- 27201155
TI - Clinical Trials in France: An Underexploited Opportunity.
PMID- 27201156
TI - Will Value Based Assessment (VBA) Revolutionise The Nice Assessment?
PMID- 27201157
TI - The Future of Education In HTA and Health Economics.
PMID- 27201158
TI - Selection of Topics For Nice Technology Appraisal 2005-2011: What Matters Most?
PMID- 27201159
TI - The Evolution of International Reference Pricing: An Analysis of 39 Countries.
PMID- 27201160
TI - Making Sense of Nice's 'New' MTA and STA Process Guide: A Narrative Synthesis.
PMID- 27201161
TI - Methodological Requirements Regarding Quality of Life Measurement in the Early
Assessment of Benefit In Germany.
PMID- 27201162
TI - Exploring The Flaws in Cost-Effectiveness Models That Lead to Rejection of Nice
Submissions.
PMID- 27201163
TI - Is it Possible to Predict The Market Access of a New Pharmaceutical in Germany? A
Systematic Evaluation of Federal Joint Committee Decisions on Early Benefit
Assessments According to The German Law For Reforming The Market of
Pharmaceuticals.
PMID- 27201164
TI - Nice Restrictiveness Compared To the Market Authorization.
PMID- 27201165
TI - Trends and Key Decision Drivers For Rejecting An Orphan Drug Submission Across
Five Different HTA Agencies.
PMID- 27201166
TI - A Literature Review of Patient Advocacy Group (Pag) Involvement in HTA.
PMID- 27201167
TI - Systematic Review of Economic Evaluation of Health Technologies Developed In
Brazil From 1980-2013.
PMID- 27201168
TI - Determination of Cost-Effectiveness Threshold For Malaysia.
PMID- 27201169
TI - Predictors of German Amnog Decisions and GKV Rebate Negotiations: A Database
Analysis.
PMID- 27201170
TI - Hta Epidemiology Data in Different Geographical Regions: Investigation of
Requirements For Oncology Drugs.
PMID- 27201171
TI - The Risky Business of Drug Development: The Final Say of National Hta Agencies on
A Pharmaceutical's Benefit During The Last Stretch of An Expensive, Long-Lasting
And Arduous Development Journey - As Illustrated By The Decisions Of Germany's
Gemeinsamer Bundesausschuss (G-Ba).
PMID- 27201172
TI - Decision Drivers For Brazil: An Analysis of Conitec Recommendations.
PMID- 27201173
TI - Out With The Old - In With The New: Would New Social Preference Weights For Eq-5d
Inevitably Require A Reappraisal of Previous Cost-Effectiveness Determinations?
PMID- 27201175
TI - Reality in Market Access In Germany and France - Comparative Analysis of Added
Benefit Decisions on Innovative Pharmaceutical Therapies.
PMID- 27201174
TI - Multicriteria Decision Analysis (MCDA) In HTA - Pilot Study in the Czech
Republic.
PMID- 27201176
TI - Rapid Relative Effectiveness Assessment of Pharmaceuticals: Transferability and
Completeness of Information Derived From Global Value Dossiers To Complete A
Eunethta Submission.
PMID- 27201177
TI - Encepp-HTA Working Group Survey on Capacity To Conduct Research in Support Of
Health Technology Assessment.
PMID- 27201178
TI - What The English Could Learn From The Irish: Making The Nice Approval Process
More Cost-Effective.
PMID- 27201179
TI - Going Beyond The Qaly In Assessing The Benefits of Medical Devices.
PMID- 27201180
TI - Inclusion and Consideration of Patient Preferences in Amnog Early Benefit
Assessments.
PMID- 27201181
TI - Exploring Uncertainty in Economic Evaluation Of Medicines: A Review of The First
Manufacturers' Submissions To the French National Authority For Health (Has).
PMID- 27201182
TI - Trends In Early Engagement Between Industry And HTA: Analysis of Scientific
Advice Service Provided By Nice Since 2009.
PMID- 27201184
TI - Measuring Extent of Access For Nice Health Technology Assessment Decisions:
Trends From 2008 to 2013.
PMID- 27201183
TI - A Comparison of International Health Technology Assessment Systems - Does The
Perfect System Exist?
PMID- 27201185
TI - Factors Influencing Dutch Drug Reimbursement Recommendations; A Database
Analysis.
PMID- 27201187
TI - Pricing Additional Benefit in Germany.
PMID- 27201186
TI - Conditional Resolutions in the Amnog Early Benefit Assessment.
PMID- 27201188
TI - Risk of Bias in Trial-Based Economic Evaluations.
PMID- 27201189
TI - UK Value-Based Assessment: Will Scotland's Smc Approach It In The Same Way?
PMID- 27201190
TI - Discrepancy Between National Drug Recommendations And Local Uptake in the Swedish
Inpatient Sector.
PMID- 27201191
TI - HTA Approach in Italy. Structure, Methods, And Process Of Veneto Region's
Evaluation Of Pharmaceutical Effectiveness Unit (Unita Di Valutazione
Dell'efficacia Del Farmaco, Uvef).
PMID- 27201192
TI - The Inverse Correlation Between Internal And External Risk Under International
Reference Pricing: An Analysis of Six European Countries.
PMID- 27201193
TI - Understanding The Role of Subgroup Analysis And Tests For Homogeneity or
Interaction in the Amnog Dossier.
PMID- 27201194
TI - Quality Of Life - A Rarely Acknowledged Key Category Within The Amnog Process in
Germany.
PMID- 27201196
TI - Transferability of Economic Evaluations To Central and Eastern Euroepan and
Former Soviet Countries.
PMID- 27201195
TI - Combining Headroom and Return on Investment Analysis To Rank Potential Commercial
Value of Six Medical Devices in Development.
PMID- 27201197
TI - An Archetype For Classification and Comparison of Hta Activities in Latin
America.
PMID- 27201198
TI - Hta In The Brazilian Health Care System and Potential Lessons Learned For Other
Brics States.
PMID- 27201199
TI - Is G-Ba Strategically Discounting The Benefit Assessment of Relatively High Cost
Drugs?
PMID- 27201200
TI - An Update on Clinical And Economic Evidence Requirements for Advanced-Therapy
Medicinal Products in Europe.
PMID- 27201201
TI - The Definition and Role of Quality of Life In Germany's Early Assessment of Drug
Benefit.
PMID- 27201202
TI - Ten Years Of Development Studies In Health Technology Assessment In Brazil:
Profile Of Studies And Operational Indicators.
PMID- 27201203
TI - Romanian Quick-HTA Development In 2013.
PMID- 27201204
TI - Correlation Between End-of-Life Status of A Treatment And Likelihood of A Patient
Access Scheme in The Setting of A Nice Review in The UK.
PMID- 27201205
TI - The Costs and Effects of Post-Authorisation Safety Studies For New Active
Substances.
PMID- 27201206
TI - Development of Hta in Turkey.
PMID- 27201207
TI - First Experiences With The New Testing Examination and Treatment: Methods in
Germany: Is This A New Amnog Clone?
PMID- 27201208
TI - Disease Burden in Brazil and Health Technology Assessment: A Retrospective of Ten
Years of Supporting.
PMID- 27201209
TI - Determination of Major Chronic Diseases by Using Pharmaceutical Reimbursement
Data From A Large Belgian Health Insurer Claims Database.
PMID- 27201210
TI - Use Of Factor Analysis To Obtain Independent Health Performance Indicators.
PMID- 27201211
TI - Impact of Economic Crisis on the Greek Health Care System and on the Population
Health.
PMID- 27201212
TI - Estimation of Stress Resistance of Medical Students in LVIV.
PMID- 27201213
TI - Breastfeeding and Its Effect On The Probability of Occurance of the Probability
of Occurance of the Disease And Related Costs.
PMID- 27201214
TI - Supporting Interoperable Eu Patient Registries: Survey of Registry Holders'
Needs.
PMID- 27201215
TI - Type of Multimorbidity and Patient-Centered Care Among Elderly Medicare
Beneficiaries.
PMID- 27201216
TI - Guidance for Risk Sharing Agreements / Pay Per: Results SCHEMES FOR
PHARMACEUTICALS IN CATALONIA (SPAIN).
PMID- 27201217
TI - Guidance For Economic Evaluation and Budget Impact Analysis For Pharmaceuticals
in Catalonia (Spain).
PMID- 27201218
TI - Prescribing Patterns of General Practitioners (Gps) in Primary Health Care:
Evidence From Greece.
PMID- 27201219
TI - Evaluation of Medicine Prescription Pattern Using World Health Organization
Prescribing Indicators in Iran: A Cross-Sectional Study.
PMID- 27201220
TI - Impacts Of Bar-Code Medication Administration (Bcma) On Patients' Safety in
Taiwan.
PMID- 27201221
TI - The Effect of Procurement Design on Entry and Success of Generic Drug Firms.
PMID- 27201222
TI - 10 Years Evaluation of Costs And Revenues Of Heath Care Services Provider Under
The New Health Care Legislation and Price Policy.
PMID- 27201223
TI - The Effect of Decentralised Public Health Care Provision on Accessibility To
Medicines in Bosnia And Herzegovina.
PMID- 27201224
TI - The Comparison of the Risk-Sharing Schemes Proposed in Reimbursement Applications
Received By Ahtapol In 2012 and 2013.
PMID- 27201225
TI - Pharmacoeconomic Evaluation For Reimbursement Purposes in Bulgaria: Recent
Updates.
PMID- 27201226
TI - Managed Entry Agreements in UK, Italy And Spain.
PMID- 27201227
TI - The Possibility of Initiating True Risk-Sharing Agreements in the Current
Economic Situation.
PMID- 27201228
TI - Risk-Sharing Schemes In Poland - Analysis And Classification of Rss Proposed In
Reimbursement Application Received by Ahtapol In 2013.
PMID- 27201229
TI - Whatever Happened To Nice Value-Based Pricing? Welcome, Value-Based Assessment.
PMID- 27201231
TI - Coverage With Evidence Development Activities Around The World: An Environment
Scan.
PMID- 27201230
TI - National Immunisation Technical Advisory Groups - A Framework For Assessment and
Insights From Research.
PMID- 27201232
TI - The Cost-Effectiveness Threshold For Orphan Designations in Poland Based on
Reimbursement Decisions.
PMID- 27201233
TI - Conflict Of Interest in HTA Recommendations and Case Law In France.
PMID- 27201234
TI - Measuring and Observing Positive And Negative Externalities Caused By Vaccines:
Do We Have The Right Assessment Approach Available?
PMID- 27201235
TI - Market Access and Reimbursement: The Increasing Role of Real-World Evidence.
PMID- 27201237
TI - Discontinuities Between Health Technology Assessment (HTA) and Health Care
Service Objectives of the NHS.
PMID- 27201236
TI - The Economic Value of Vaccination: Why Prevention Is Wealth.
PMID- 27201238
TI - An Ethic System Overview: Brazilian Perspectives For Observational Studies.
PMID- 27201240
TI - Early Nice Decision Problem Meetings: Implications For Cross-Functional Industry
Teams.
PMID- 27201239
TI - The Irish Cost-Effectiveness Threshold: Does It Support Rational Rationing or
Might It Lead To Systematic Damage Of Ireland's Health System?
PMID- 27201242
TI - Reimbursement Hurdles For High-Cost Brand-On-Brand Combinations and Impact on
Patient Access.
PMID- 27201241
TI - The Case For Early Payer Engagement.
PMID- 27201243
TI - Market Access and Reimbursement Options For Orphan Durg Hospital Only Medicines
In Europe - One Size Fits All?
PMID- 27201244
TI - Economic Evaluation In Portugal - Establishment of The National Health Technology
Assessment System (Sinats).
PMID- 27201245
TI - Sustainable Health Care Systems: The Role of Therapeutic Value and Value Based
Pricing.
PMID- 27201247
TI - Proposed Framework for Patient And Public Involvement in the Hta Process In
Ireland.
PMID- 27201246
TI - Patient Access To Life-Saving Medication; Preventing Stock-Outs Due To Parallel
Trade.
PMID- 27201248
TI - State of the Art Research In Austria: Dexhelpp - Decision Support For Health
Policy and Planning: Methods, Models and Technologies Based On Existing Health
Care Data.
PMID- 27201250
TI - Patient's Participation in Improvement of Health Related Outcomes: The Better
Care Plan.
PMID- 27201249
TI - Access To Orphan Drugs in France: The Case Of Siklos For The Treatment of Sickle
Cell Syndrome Before The Council of State.
PMID- 27201251
TI - The Risk of Metabolic Disorders in Patients Treated with Asenapine or Olanzapine:
A Real World Data Study Conducted in Italy and Spain.
PMID- 27201252
TI - Efficacy of the Phosphorylated Tau P181 for the Alzheimer's Disease Dementia - a
Systematic Review and Meta Analysis.
PMID- 27201253
TI - Cost and Duration Of Regulatory Process in an Observational Study in Europe and
USA.
PMID- 27201254
TI - Network Meta-Analysis of the Relative Efficacy of Pharmacological and
Psychological Interventions in Adults with Obsessive Compulsive Disorder.
PMID- 27201255
TI - Adaptive Video Games can Assess and Enhance Cognitive Health.
PMID- 27201256
TI - Systematic Literature Review and Mixed Treatment Comparison of Gxr Versus other
Treatments in Children and Adolescents with Attention Deficit Hyperactivity
Disorder (ADHD).
PMID- 27201257
TI - An Evaluation of the Comparative Effectiveness of Clomethiazole Against Diazepam
in the Treatment of Alcohol Withdrawal Syndrome in Routine Clinical Practice.
PMID- 27201258
TI - Predictors Pf Remission in the Treatment of Depression in the Middle East: Real
World Evidence From A 6-Month Prospective Observational Study.
PMID- 27201259
TI - Relationship of Insight with Medication Adherence and the Impact on Outcomes in
Patients with Schizophrenia and Bipolar Disorder: Results From A 1-Year European
Outpatient Observational Study.
PMID- 27201260
TI - The Quality of Prescribing for Psychiatric Patients.
PMID- 27201261
TI - Systematic Review and Mixed Treatment Comparison of Lithium or an Atypical Anti
Psychotic (AAP) used to Augment a Selective Serotonin Reuptake Inhibitor (SSRI)
in Treatment Resistant Depression (TRD).
PMID- 27201262
TI - The Prevalence and Disease Burden of Treatment-Resistant Depression - a
Systematic Review of the Literature.
PMID- 27201263
TI - Social Contacts Reduce Negative Symptoms, Especially Emotional Withdrawal in
Patients with Schizophrenia.
PMID- 27201265
TI - Costs of Employees with Treatment-Resistant Depression Based on a Canadian
Private Claims Database.
PMID- 27201264
TI - Outpatient Treatment of Adolescents in Japan with Drugs for Attention Deficit
Disorders.
PMID- 27201266
TI - Treatment Cost Comparison: Paliperidone Palmitate Versus Risperidone Long Acting
in Brazil.
PMID- 27201267
TI - Analysis of 'Revolving Door' Patients in Opioid Dependent Patients: the Impact of
Treatment Discontinuation on Relapse Rates and Health Care Costs in us Public
Health Insurance Claims.
PMID- 27201268
TI - The Potential Benefits of Long-Acting Atypical Antipsycothic Therapy in
Preventing Relapse in Brazil.
PMID- 27201269
TI - Prevalence of Metabolic Syndrome in Patients with Schizophrenia According to the
Presence or Absence of Negative Symptoms.
PMID- 27201270
TI - Aripiprazole Once-Monthly is a Cost-Effective Therapeutic Option in the
Maintenance Treatment of Schizophrenia: Results from a Markov Model.
PMID- 27201271
TI - Atomoxetine for the Treatment of Newly Diagnosed Adults with Adhd - a Cost
Effectiveness Analysis in Spain.
PMID- 27201272
TI - Productivity Loss and Resource Utilization in Individuals Providing Care for
Adults with Schizophrenia in the 5eu.
PMID- 27201273
TI - A Model to Estimate the Health System Burden of Prescription Opioid Abuse in
Europe.
PMID- 27201275
TI - Medication Usage Pattern, Health Resource Utilization and Economic Burden for
Patients with Mdd in Beijing, China.
PMID- 27201274
TI - The Societal Costs of Schizophrenia in Switzerland.
PMID- 27201276
TI - The Cost Effectiveness of Group Art Therapy for Patients with Non-Psychotic
Mental Health Disorders in England And Wales.
PMID- 27201277
TI - Paliperidone Versus Atypical Long-Acting Antipsychotics for Relapsed Chronic
Schizophrenia: an Economic Analysis.
PMID- 27201278
TI - The Effect of Attention-Deficit/Hyperactivity Disorder on Functioning and
Resource Utilization by Psychiatric Outpatients in Europe.
PMID- 27201279
TI - Economic Evaluation of Nalmefene for the Treatment of Alcohol Dependence in
Greece.
PMID- 27201280
TI - Prescribing Anti-Depressants by Baseline Severity: Evidence Synthesis, Economic
Model and Value of Information Analysis.
PMID- 27201281
TI - Cost-Effectiveness of Lithium Versus an Atypical Anti-Psychotic (AAP) used to
Augment Treatment with a Selective Serotonin Reuptake Inhibitor (SSRI) in
Treatment Resistant Depression (TRD).
PMID- 27201283
TI - Cost-Utility Analysis of Long-Acting Paliperidone in Comparison with Oral
Risperidone, Oral Paliperidone and Long-Acting Risperidone in the Maintenance
Treatment of Schizophrenia in the Czech Republic.
PMID- 27201282
TI - Computerised Cognitive Behaviour Therapy for Depression Management: A Cost
Effectiveness Analysis.
PMID- 27201284
TI - Cost-Utility of Vortioxetine in the Treatment of Major Depressive Disorder:
Comparison with Agomelatine, Bupropion, Sertraline and Venlafaxine in the Finnish
Setting.
PMID- 27201285
TI - Cost-Effectiveness of Paliperidone Palmitate Versus other Antipsychotics for the
Treatment of Schizophrenia in France.
PMID- 27201286
TI - Which Adverse Effects Influence the Dropout Rate in Selective Serotonin Reuptake
Inhibitor (Ssri) Treatment?
PMID- 27201287
TI - General Beliefs about Medicines Among Depressed Patients in Saudi Arabia.
PMID- 27201288
TI - Adherence to Psychotropic Medications by Outpatients in Psychiatric Hospital,
Uselu Benin City, Nigeria.
PMID- 27201289
TI - Economic Evaluation of Agomelatine for Major Depressive Disorders Relative to
other Antidepressants in the Italian Setting.
PMID- 27201290
TI - Retrospective Database Study on Health Care Resource Utilization of Patients
Initiating Long-Acting Olanzapine in Sweden.
PMID- 27201291
TI - Use of Services and Cost of Agitation and Containment in Psychiatric Hospitals: a
Systematic Review.
PMID- 27201293
TI - Describing the Health Status of Schizophrenia Caregivers in the 5E.U.
PMID- 27201292
TI - Treatment Continuation and Treatment Characteristics of 3 Long Acting
Antipsychotic Medications (Paliperidone Palmitate, Risperidone Microspheres and
Haloperidol Decanoate) in Belgium.
PMID- 27201295
TI - The Anxiety Inventory for Respiratory Disease (Air): an Exploration of the Air's
Psychometric Properties Through Rasch Analysis.
PMID- 27201294
TI - The Impact on Work and Social Activities Among Carers of Children with Adhd in
Sweden Relative to Other Nordic Countries.
PMID- 27201296
TI - Conceptual Comprehensiveness of Anxiety Instruments in Chronic Obstructive
Pulmonary Disease: Exploring the Potential for Confounding Somatic Items.
PMID- 27201298
TI - Quality of Life and the Predictors of Thai Depressive Disorders Patients.
PMID- 27201297
TI - Does Giving Carers a Break Improve their Wellbeing? Results from an Evaluation
using the EQ-5D-5L.
PMID- 27201299
TI - Cultural Adaptation of the Alcohol Quality of Life Scale for Use in Japan, China,
and Korea.
PMID- 27201300
TI - Alcohol Consumption: the Burden of Entourage.
PMID- 27201301
TI - The Patient Experience of Alcohol use Disorder.
PMID- 27201302
TI - Societal Costs and Qol of Children with Adhd and their Parents: a Comparison to a
Reference Group from the General Population.
PMID- 27201303
TI - Quality of Life in Hospitalized Seniors with Psychiatric Disorders.
PMID- 27201304
TI - A Potential Gender Bias in the Quality of Life - an Exploratory Standard Gamble
Experiment Among Economics Students.
PMID- 27201305
TI - Economic Evaluation of Dialectical Behavior Therapy (Dbt) Amongst those with
Borderline Personality Disorder (Bpd) who Engage in Self-Harm in Ireland.
PMID- 27201306
TI - Work Productivity Loss with Depression, Diagnosed and Undiagnosed, among Employed
Respondents in an Internet-Based Survey Conducted in Japan.
PMID- 27201307
TI - Comparison of Health Care Resource use and Costs in Patients with Opioid
Prescription Drug Dependence (Opd) Treated with Buprenorphine/Naloxone and
Patients without Pharmacological Treatment: Retrospective Analysis of us Public
Insurance Claims.
PMID- 27201308
TI - Description of Agitation and Crisis Interventions in a Psychiatric Hospital in
Spain: a Qualitative Study.
PMID- 27201309
TI - Drug Utilization Study of Antipsychotics used for the Hospital Treatment Of
Schizophrenia in Russia.
PMID- 27201310
TI - Antidepressant use and Suicide Rate in England: the Geographic Divide.
PMID- 27201312
TI - Utilization Patterns of Antipsychotics Usage in Tertiary Care Hospital Patients
with Schizophrenia.
PMID- 27201311
TI - Analysis of Prescribing Patterns of Atypical Antipsychotics in Lhu Caserta.
PMID- 27201313
TI - Drug Utilization Pattern of Lisdexamfetamine Dimesylate in Germany.
PMID- 27201314
TI - Comparison of Resource use and Health Care Costs in New Initiators of Long-Acting
Injectable (Lai) and Oral Second Generation Antipsychotics.
PMID- 27201315
TI - Economic Burden of Major Depressive Disorder (Mdd) in Five European Countries:
Description of Resource use by Health State.
PMID- 27201316
TI - The Health Economic Impact of Resource use in Dementia: the Erlanger Dementia
Registry (EDR).
PMID- 27201317
TI - Maintenance Daily Dose of Venlafaxine and Duloxetine in the Monotherapy of
Patients with Major Depressive Disorder Resistant to Selective-Serotonin-Reuptake
Inhibitors in Routine Clinical Practice in Spain.
PMID- 27201318
TI - The Impact of Economic Crisis on Suicide Rates in Greece.
PMID- 27201319
TI - Population Health: Mental Health of us Veterans by Benefits Enrollment Status.
PMID- 27201320
TI - Treatment Patterns and Health Care Costs in Patients with Schizophrenia
Initiating with First- or Second-Generation Antipsychotic: Results from a
Japanese Claims Database Analysis.
PMID- 27201321
TI - History of Antidepressant use Among Primary Care Depressed Patients Switching
Treatments in the United Kingdom.
PMID- 27201322
TI - Treatment Patterns and Health Care Costs in Patients with Depression Treated with
Antidepressant only or Combined with Benzodiazepine: Results From a Japanese
Claims Database Analysis.
PMID- 27201323
TI - Level of Testing for Potential Medication-Related Co-Morbidities for Patients
Taking Antipsychotics.
PMID- 27201324
TI - Comparative Efficacy And Tolerability Of Solifenacin 5mg Versus Oral
Antimuscarinic Agents In Overactive Bladder (Oab): A Systematic Literature Review
(Slr) And Mixed Treatment Comparison (Mtc).
PMID- 27201325
TI - Comparative Effectiveness Of Automated Versus Continuous Ambulatory Peritoneal
Dialysis On Patients With End-Stage Renal Disease In Taiwan.
PMID- 27201326
TI - An Economic Model To Investigate The Budget Impact In Spain Of Onabotulinumtoxina
To Manage Urinary Incontinence In Patients With Idiopathic Overactive Bladder.
PMID- 27201327
TI - A Budget Impact Analysis (Bia) Of The Use Of Paricalcitol For The Treatment Of
Secondary Hyperparathyroidism (Shpt) In End Stage Renal Disease Patients.
PMID- 27201328
TI - Be Cautious Of Triple Whammy!!!
PMID- 27201329
TI - A Study To Assess Disease Progression To Esrd Within A Year In Patients With
Advanced Ckd.
PMID- 27201330
TI - Analysis Of Budget Impact Of Anemia Correction In Russian Patients With Chronic
Kidney Disease.
PMID- 27201331
TI - Budget Impact Evaluation Of Treatment With A Low Protein Diet And Ketoanalogues
Of Essential Aminoacids For Predialysis Patients In Russian Federation.
PMID- 27201333
TI - Cic Users' Preference For Catheters Reducing The Uti Frequency.
PMID- 27201332
TI - Cost-Utility And Value Of Information (Voi) Analyses On The Feasibility Of A
Future Randomised Controlled Trial (Rct) Of Invasive Urodynamic Testing Prior To
Surgery For Stress Urinary Incontinence In Women.
PMID- 27201334
TI - A Review Of Cost Of Illness Studies In Patients With End Stage Renal Disease.
PMID- 27201336
TI - The Impact Of Cardiovascular Disease And Type 2 Diabetes Mellitus On Social Cost
In Chronic Kidney Disease Patients In Italy.
PMID- 27201335
TI - The Economic Cost Of Urinary Tract Infections In The Community: Results From
Ireland.
PMID- 27201337
TI - Cost Consequence Analysis Of Darbepoetin Alfa For The Treatment Of Anemia Due To
Chronic Kidney Disease (Ckd) In Greece.
PMID- 27201338
TI - Cost Effectiveness Of Extracorporeal Shock Wave Lithotripsy Against Ureteroscopic
Laser Lithotripsy For Treatment Of Ureteral Calculi.
PMID- 27201339
TI - Cost Effectiveness Of Solifenacin Compared With Oral Antimuscarinic Agents For
The Treatment Of Patients With Overactive Bladder (Oab) In The Uk.
PMID- 27201340
TI - Cost-Effectiveness Of Mycophelonate Sodium On Patients With Renal Transplant At
The Public Mexican Health Care System.
PMID- 27201341
TI - Economic Evaluation Of Percent Free Psa For Prostate Cancer Detection In
Taiwanese Men.
PMID- 27201342
TI - Cost-Effectiveness Of Mirabegron 50mg Compared To Tolterodine Er 4mg In The
Treatment Of Patients With Overactive Bladder In Canada.
PMID- 27201343
TI - Early Versus Late Ketoanalogs Supplementation In Patients With Chronic Kidney
Disease In Taiwan - A Cost-Effectiveness Analysis.
PMID- 27201344
TI - COST- MINIMIZATION ANALYSIS OF THE DIRECT COSTS OF SEVELAMER CARBONATE AND
LANTHANUM CARBONATE IN THE TREATMENT OF CKD-ND PATIENTS.
PMID- 27201345
TI - A Spanish Cost-Effectiveness Analysis Of Sevelamer Versus Calcium Carbonate In
Nondialysis-Dependent Chronic Kidney Disease (Ckd) Patients.
PMID- 27201346
TI - Burden On Secondary Care Of Overactive Bladder Patients Who Are Inadequately
Managed With Anticholinergics In England.
PMID- 27201347
TI - A Cost-Effectiveness Analysis Of Onabotulinumtoxina Verse. Best Supportive Care
(bsc) For The Treatment Of Anticholinergic Treatment-Refractory Neurogenic
Detrusor Overactivity (ndo).
PMID- 27201348
TI - Cost-Effectiveness Comparison Of Botulinum Toxin Type A Plus Best Supportive Care
Versus Best Supportive Care Alone In The Treatment Of Idiopathic Overactive
Bladder With Urinary Incontinence Among Patients Not Adequately Managed By
Anticholinergic Therapy In France.
PMID- 27201349
TI - Persistence And Adherence With Mirabegron, A New Beta-3 Receptor Agonist, Versus
Antimuscarinics In Overactive Bladder: Early Experience In Canada.
PMID- 27201350
TI - Accept(r) Questionnaire: Relation Between Acceptance And Compliance In Liver- And
Kidney-Transplanted Patients Converted To Once-Daily Tacrolimus.
PMID- 27201351
TI - Treatment Discontinuation In Patients With Urinary Incontinence Suffering From
Glaucoma.
PMID- 27201352
TI - Health-Related Quality Of Life (Hrqol) Of Asian Patients With End-Stage Renal
Disease (Esrd) In Singapore.
PMID- 27201353
TI - Health-Related Quality Of Life And Subjective Happiness Of Patients With Benign
Prostatic Hyperplasia: First Results Of A Cross-Sectional Survey From Hungary.
PMID- 27201354
TI - Men's Preferences For The Treatment Of Lower Urinary Tract Symptoms (Luts)
Associated With Benign Prostatic Hyperplasia (Bph): A Discrete Choice Experiment
(Dce).
PMID- 27201355
TI - Incidence Of Unlicensed And Off-Label Prescription In Urologic Cancers Therapy In
Turkey: Assessment Of Legislative And Regulatory Policy.
PMID- 27201356
TI - Readmittance To Hospital Within 6 Months After A Venous Thromboembolism Event:
Prefer In Vte Registry.
PMID- 27201357
TI - A Mixed Methods Approach (Mma) To Understanding Men's Attitudes Toward The
Management Of Lower Urinary Tract Symptoms (Luts) Associated With Benign
Prostatic Hyperplasia (Bph).
PMID- 27201358
TI - Prevalence Of Symptoms And Cluster Analysis In Dialysis Patients Using Kdqol-36.
PMID- 27201359
TI - Clotting Factor (Cf) Product Use And Same-Day Risk For Thrombotic Adverse Events
(Tes), As Recorded In Large Health Care Database During 2008-2013 Study Period.
PMID- 27201360
TI - Development Of A Collaborative European Pharmacoepidemiologic Post-Authorization
Safety Study (Pass) Programme Examining Rivaroxaban Use In Routine Clinical
Practice.
PMID- 27201361
TI - The Effect Of Atriala Fibrillation In Acute Myocardial Infarction Patients In
Taiwan.
PMID- 27201362
TI - The Additional Costs Of Clinical Complications In Patients Undergoing
Transcatheter Aortic Valve Replacement In The German Health Care System.
PMID- 27201363
TI - Discontinuation And Hospitalisation Rates In Patients With Atrial Fibrillation:
Follow-Up Results Of The Prefer In Af Registry.
PMID- 27201364
TI - Coronary And Cardiovascular Disease Risks In Migraine Patients: Evidence From
National Health And Nutrition Examination Survey 1999-2004.
PMID- 27201365
TI - Effectiveness And Costs Of Different Strategies For The Diagnosis Of Stable
Coronary Artery Disease Results From The Evinci Study.
PMID- 27201366
TI - An Assessment Of The Current Literature On Apheresis Use In The Treatment Of
Familial Hypercholesterolemia.
PMID- 27201367
TI - Estimated Added Benefit Of Catheter-Based Renal Denervation For Moderate
Treatment-Resistant Hypertension: Impact Of Age And Cardiovascular Risk Factors.
PMID- 27201368
TI - The Use Of Minimally Invasive Surgery (Mis) And Intraoperative Imaging Modalities
In The Treatment Of Intracerebral Hemorrhage (Ich): A Systematic Review Of The
Literature.
PMID- 27201369
TI - Pharmacoeconomic Grounding Of Using Polypill Amlodipine With Atorvastatin Versus
Monodrugs In Patients With Hypertension And Dyslipidemia In Ukraine.
PMID- 27201370
TI - Lifetime Clinical Events Avoided And Resource Utilization With Apixaban Compared
To Low-Molecular-Weight Heparin Followed By A Vitamin K Antagonist For The
Treatment And Prevention Of Venous Thromboembolism.
PMID- 27201371
TI - The Effectiveness Of Carotid Artery Stenting Compared With Endarterectomy In
Symptomatic Patients With Carotid Stenosis In Korean Multi-Center Setting.
PMID- 27201372
TI - Real-Time Assessment Of Medication Taking And Activities Of Daily Living In
Patients With Uncontrolled Hypertension.
PMID- 27201373
TI - Use Of Computer Simulation To Generate Evidence To Aid Health Care Decision
Making: An Example Using The Archimedes Model To Compare Rosuvastatin With
Atorvastatin.
PMID- 27201374
TI - Critical Appraisal Of Network Meta-Analyses Evaluating The Efficacy And Safety Of
New Oral Anticoagulants In Atrial Fibrillation Stroke Prevention Trials.
PMID- 27201375
TI - Targeted Literature Review Of Unmet Need In The Hyperlipidaemia Population With
High Risk Of Cardiovascular Disease.
PMID- 27201376
TI - Study On Drug Utilization And Assessment Of Stroke Risk Using Chads2 And Cha2ds2
Vasc Scoring In Elderly Patients With Non-Valvular Atrial Fibrillation.
PMID- 27201377
TI - Management Of Chronic Thromboembolic Pulmonary Hypertension: Clinical And
Reported Outcomes From A Referral Hospital In Spain.
PMID- 27201378
TI - The 3.5-Year Mortality Impact Of Drugs In Secondary Prevention Of Myocardial
Infarction In Real-Life (Interim Analysis Of The Eole Cohort).
PMID- 27201379
TI - A Database Analysis Of Patients Eligible For Second-Line Lipid-Lowering Treatment
For Hypercholesterolaemia In England.
PMID- 27201380
TI - More Than One In Two Instances Of Venous Thromboembolism (Vte) Treated In French
Hospitals Could Have Occurred During The Hospital Stay.
PMID- 27201381
TI - Real World Incidences And Hospital Cost Of Venous And Pulmonary Thromboembolic
Events In France.
PMID- 27201383
TI - Retrospective Analysis On Hospitalization And Health Care Costs, According To
Serum Uric Acid Levels In Patients From A Sample Of Italian Local Health Units.
PMID- 27201382
TI - Cognitive Function And Non-Adherence To Antihypertensive Medications.
PMID- 27201384
TI - Risk Factors Associated With Venous Thromboembolism Recurrence In A European
Population.
PMID- 27201385
TI - A Retrospective Study Of Mortality In Risk Patients With High Dose Statin Usage
And No Statin Usage.
PMID- 27201386
TI - The Association Between The Nature And Timing Of Dental Visits And C-Reactive
Protein Levels.
PMID- 27201387
TI - Traditional And Non-Traditional Risk Factors For Cardiovascular Disease In Type 2
Diabetes: Systematic Review Of Longitudinal Studies.
PMID- 27201388
TI - Relation Of The Time In Therapeutic Range (Ttr) Of Warfarin To Bleeding
Incidences In Patients With Atrial Fibrillation.
PMID- 27201389
TI - Statin Use And Risk Of Developing Diabetes In Cardiovascular Disease: Systematic
Literature Review And Meta-Analysis.
PMID- 27201390
TI - Budgetary Impact Analysis Of Reimbursement Varenicline In The Smoking Cessation
Treatment Of Patients With Cardiovascular Diseases, Chronic Obstructive Pulmonary
Disease Or Type-2 Diabetes Mellitus: A National Health System Perspective In
Spain.
PMID- 27201391
TI - The Budget Impact Of New Generation Ct Scanners For Difficult-To-Image, Low-Risk
Patients With Suspected Cad.
PMID- 27201392
TI - Budget Impact Analysis Of Botulinum Toxin A Therapy For Upper Limb Spasticity In
Germany.
PMID- 27201394
TI - Simvastatin Plus Fenofibrate As A Fixed Dose Combination In The Treatment Of
Mixed Dyslipidemia In Greece: Budget Impact Analysis.
PMID- 27201393
TI - Budget Impact Analysis Of Apixaban Versus Other Noacs For The Prevention Of
Stroke In Italian Non-Valvular Atrial Fibrillation Patients.
PMID- 27201395
TI - Budget Impact Analysis Of Hypertensive Treatment With Indapamide And Amlodipine
Single-Pill Combination In The Polish Setting.
PMID- 27201396
TI - Modeling The Impact Of A Digital Health Feedback System In Uncontrolled
Hypertensive Patients.
PMID- 27201398
TI - The Move Towards Full Implementation Of The Nice Guidelines For Stroke Prevention
In Atrial Fibrillation: The Potential Cost And Clinical Impact.
PMID- 27201397
TI - Estimating The Value Of Cangrelor From Eliminating Preloading In Coronary Artery
Bypass Graft (Cabg) Patients.
PMID- 27201399
TI - Renal Denervation With The Symplicity Catheter System For Treatment-Resistant
Hypertension: A Budget Impact Analysis.
PMID- 27201400
TI - Dabigatran verse. Dicoumarins In Non-Valvular Atrial Fibrillation. Budget Impact
Study In The Extremadura Public Health System.
PMID- 27201401
TI - Budget Impact Of The Introduction Of New Oral Anticoagulants (Noac) For No Valve
Atrial Fibrillation (Nvaf) In Extremadura.
PMID- 27201402
TI - Cost Estimation Of Home Blood Pressure Monitoring Versus Combined Office And
Ambulatory Measurements In Hypertension Management.
PMID- 27201403
TI - Cost Effectiveness Analysis Of Mitraclip In Mitral Regurgitation For High Risk
Patients.
PMID- 27201404
TI - Comparing Actual Patient Level Hospital Costs To The Canadian Cmg+ Costing
Estimates For Acute Myocardial Infarction.
PMID- 27201405
TI - Cost-Effectiveness And Budget Impact Analyses Of Risk Stratification Of Patients
With Moderate Risk Of Cardiovascular Events Using Lp-Pla2 Testing.
PMID- 27201406
TI - Goal Directed Perfusion (Gdp): A Differential Cost Analysis In Uk And Us.
PMID- 27201407
TI - The Cost Comparison Of Drug-Eluting Stents (Des) And Bare-Metal Stents (Bms) - A
Retrospective Cohort Matched Study.
PMID- 27201408
TI - Study Of Costs Of The Cardiac And Diabetes Mellitus Patient In A Cardiology
Hospital Of High Complexity.
PMID- 27201409
TI - A Cost Comparison Analysis Of Medtronic's Stent Graft System To Competition For
Endovascular Aneurysm Repair For Abdominal Aortic Aneurysms.
PMID- 27201410
TI - Can A Cvd Polypill Save Money In The 'Real World'?
PMID- 27201411
TI - Economics And Clinical Evaluation Of Endovascular And Surgical Treatment Of
Patients With Disability Of Superficial Femoral Artery.
PMID- 27201412
TI - Hospitalizations And Costs In Patients With Implantable Cardioverter
Defibrillators: Association Of Long Verse Standard Detection Intervals.
PMID- 27201413
TI - Interest Of A Hospital Database To Analyze The Cost For Acute Stroke: The Example
Of Versailles Hospital.
PMID- 27201414
TI - Burden Of Cardiovascular Complications In Patients With Atrial Fibrillation In
France.
PMID- 27201415
TI - Cost Of Bleeding In Complex Cardiac Surgery.
PMID- 27201416
TI - Clnical Management Of Non-Valvular Atrial Fibrillation In Hong Kong.
PMID- 27201417
TI - The Cost Burden Of Syncope At A Hospital Level In Spain.
PMID- 27201418
TI - The Economic Impact Of Cardiovascular Events In Patients Post Myocardial
Infarction: Uk Health Care Perspective.
PMID- 27201419
TI - Cost Of Bleeding In Trauma Patients.
PMID- 27201420
TI - Cost Of Illness: Heart Failure In Ireland.
PMID- 27201422
TI - Pharmacoeconomic Burden Of Statins In Patients With Ischemic Heart Disease In The
Health Care Of Belarus.
PMID- 27201421
TI - The Cost Of Illness Of Atrial Fibrillation In Italy: A Cohort Of Hospitalized
Patients.
PMID- 27201423
TI - A Systematic Review Of Cost-Of-Illness Studies In Chronic Heart Failure.
PMID- 27201424
TI - The Costs Of Atherosclerotic And Haemorrhagic Events Associated With Acute
Coronary Syndrome (Acs) In Turkey.
PMID- 27201425
TI - Does A 12-Lead Ecg More Reliably Detect Atrial Fibrilation Than A Rhythm Strip
Only Ecg?
PMID- 27201427
TI - Annual Cost Of Conservative Treatment Of Supraventricular Tachycardias In Poland.
PMID- 27201426
TI - Linking Health Care Administrative Databases And National Registry Data In Order
To Monitor Icd Therapy In Italy.
PMID- 27201429
TI - Hospital Costs Of Ischemic Stroke And Transient Ischemic Attack In The
Netherlands.
PMID- 27201428
TI - Costs Of Treating Cardiovascular Events In Germany: A Systematic Literature
Review.
PMID- 27201430
TI - The Economic Impact Of Hypertension In Health Care System Of Pakistan.
PMID- 27201431
TI - Cost-Effectiveness Of Dabigatran Compared With Warfarin, Apixaban, Rivaroxaban
And Low Molecular Weight Heparins For The Treatment And Secondary Prevention Of
Venous Thromboembolism In Colombia.
PMID- 27201432
TI - Economic Evaluation Of Ferric Carboxymaltose In Patients With Chronic Heart
Failure And Iron Deficiency: An Analysis For Greece Based On Fair-Hf Trial.
PMID- 27201433
TI - Potential Cost-Effectiveness Of Therapeutic Drug Monitoring In Patients With
Resistant Hypertension.
PMID- 27201434
TI - Cost-Effectiveness Analysis Of Ivabradine In Heart Failure With Reduced Left
Ventricular Ejection Fraction In Spain.
PMID- 27201435
TI - Pharmacoeconomic Assessment Of Apixaban Versus Standard Of Care For The
Prevention Of Stroke In Italian Non-Valvular Atrial Fibrillation Patients.
PMID- 27201436
TI - Cost Consequence Comparison Of Hemostatic Matrix Agents.
PMID- 27201437
TI - Cost-Effectiveness Analysis Of Ticagrelor In Treating Patients With Acute
Coronary Syndrome In Hong Kong.
PMID- 27201438
TI - Cost-Effectiveness Of Ranolazine For The Treatment Of Angina Pectoris In Russia.
PMID- 27201439
TI - Assessing The Cost Effectiveness Of An Anticoagulation Clinic In Comparison With
The Usual Medical Clinic In Kuala Lumpur Hospital.
PMID- 27201440
TI - The Cost Of Increasing Physical Activity And Decreasing Body Mass Index For Mid
Life African Women.
PMID- 27201441
TI - Cost Effectiveness Analysis Of Apixaban Versus Other Noacs For The Prevention Of
Stroke In Italian Non-Valvular Atrial Fibrillation Patients.
PMID- 27201442
TI - Cost Effectiveness Of Ivabradine In Chronic Heart Failure Patients With Heart
Rate Above Bpm In Taiwan.
PMID- 27201443
TI - Cost-Effectiveness Of Apixaban Compared To Other Anticoagulants For Lifetime
Treatment And Prevention Of Recurrent Venous Thromboembolism.
PMID- 27201444
TI - Novel Imaging Technology To Select Patients For Individualized Therapies: Test
Performance And Cost-Effectiveness.
PMID- 27201445
TI - Cost-Effectiveness Of Extracorporeal Cardiopulmonary Resuscitation In Patients
With Refractory Cardiac Arrest.
PMID- 27201446
TI - Economic Analysis Of Thrombo Incode, A Clinical-Genetic Function For Assessing
The Risk Of Venous Thromboembolism.
PMID- 27201448
TI - The Cost-Effectiveness Of Dabigatran Etexilate Compared With Warfarin And
Rivaroxaban In The Treatment Of Acute Pulmonary Embolism In The Uk.
PMID- 27201447
TI - A Cost-Effectiveness Analysis Of Interventions For Symptomatic Varicose Veins.
PMID- 27201449
TI - The Cost-Effectiveness Of Dabigatran Etexilate Compared With Rivaroxaban In The
Treatment Of Acute Venous Thromboembolism In The Uk.
PMID- 27201450
TI - Cost-Effectiveness Of Apixaban Compared To Warfarin And Aspirin In Patients With
Non-Valvular Atrial Fibrillation (Nvaf) In The Russian Federation.
PMID- 27201451
TI - The Cost-Effectiveness Of Dabigatran Etexilate Compared With Warfarin In The
Treatment And Secondary Prevention Of Acute Venous Thromboembolism In The Uk.
PMID- 27201453
TI - Cost Model Analysis Of Gore(r) Propaten(r) Vascular Graft Verse. Standard Eptfe
Vascular Graft For Infrapopliteal Bypass In Peripheral Arterial Disease (pad)
Management: Spanish Scenario.
PMID- 27201452
TI - Economic Evaluation Of Valsartan Versus Olmesartan Addition To Amlodipine And
Hydrochlorothiaziade Single-Pill Triple Antihypertensive Therapy.
PMID- 27201454
TI - Impact Of A Pharmacological Cardioversion With Vernakalant On The Management Cost
Of Recent Atrial Fibrillation In Belgium.
PMID- 27201455
TI - Cost-Effectiveness Of High-Sensitive Troponin Assays For The Early Rule-Out Or
Diagnosis Of Acute Myocardial Infarction (Ami) In People With Acute Chest Pain: A
Nice Diagnostic Assessment.
PMID- 27201456
TI - Efficiency Of Rehabilitation Programs For Patients After Traumatic Brain Injury
And Acute Cerebrovascular Accident (Stroke) In Russia.
PMID- 27201457
TI - Cost Effectiveness Of Renal Denervation Therapy For The Treatment Of Resistant
Hypertension In The Netherlands.
PMID- 27201458
TI - Burden Of Hyperlipidemia Resulting From Productivity Loss - Estimates From
Population-Based Register Data In Sweden.
PMID- 27201459
TI - Cost-Utility Analysis Of Carotid Artery Stenting Versus Endarterectomy For
Symptomatic Carotid Stenosis Patients.
PMID- 27201460
TI - Cost Effectiveness Analysis Of Ticagrelor Versus Generic Clopidogrel In The
Treatment Of Patients With Acute Coronary Syndrome In Spain.
PMID- 27201461
TI - Cost-Effectiveness Of Ldl-P-Guided Statin Therapy.
PMID- 27201463
TI - Cost-Utility Analysis Of Hypertensive Treatment With Indapamide And Amlodipine
Single-Pill Combination In The Polish Setting.
PMID- 27201462
TI - Pharmacoeconomic Analysis Of Rosuvastatin Use In Patients With
Hypercholesterolemia In The Health Care Of Belarus.
PMID- 27201464
TI - Understanding Medication Adherence Using Stated-Preference Data.
PMID- 27201465
TI - Health Behavior And Medication Adherence.
PMID- 27201466
TI - Clinical Pathway And Health Care Resources Utilization Of A Patients Cohort At
High Risk Of Cardiovascular Disease Of Local Health Care Unit (Asln degrees 1) Of
Milan: A Results Of Intervention On Secondary Prevention.
PMID- 27201468
TI - Health Care Costs Associated With Cardiovascular Events In Patients With
Hyperlipidemia - Estimates From Population-Based Register Data In Sweden.
PMID- 27201467
TI - Inpatient Case-Related Treatment Costs For Different Cardiovascular Diseases In
Germany.
PMID- 27201469
TI - Cardiovascular Risk, Gender And Medication Adherence In Rural Area Of Vietnam.
PMID- 27201470
TI - Health State Utilities In Chronic Heart Failure In The Uk.
PMID- 27201471
TI - Health State In Patients With Venous Thromboembolism On Conventional And Non-Vka
Oral Anticoagulants As Assessed With The Eq-5d-5l Questionnaire: Prefer In Vte
Registry.
PMID- 27201472
TI - Health State In Patients With Atrial Fibrillation On New Oral Anticoagulants As
Assessed With The New Eq-5d-5l Questionnaire At Baseline And 12-Month Follow-Up:
Prefer In Af Registry.
PMID- 27201473
TI - Acute And Chronic Impact Of Cardiovascular Events On Health State Utilities.
PMID- 27201474
TI - Patient Adherence Among Adolescents With Arterial Hypertension.
PMID- 27201475
TI - Sensitivity Of The Safuca Questionnaire To Detect Differences Between Atrial
Fibrillation Patients Treated With Vitamin-K Antagonist Against Those Treated
With New Oral Anticoagulants.
PMID- 27201476
TI - Unmet Needs And Solutions For Heart Failure Admission.
PMID- 27201477
TI - Clinical Psychologists: Closing The Communication Gap Between Physicians And
Patients, Leading To Higher Patient Satisfaction And Compliance.
PMID- 27201478
TI - Evaluating The Gap Between Physicians' And Patients' Understanding Of Patient
Needs.
PMID- 27201479
TI - The Intermediate Burden Of Diabetes Mellitus In Patients With Cardiovascular
Disease (Cvd): A Quality Adjusted Life Year (Qaly) -Analysis Based On Primary
Longitudinal Data.
PMID- 27201481
TI - Uisess-B Oral Health Questionnaire Validity And Reliability In A Mexican
Diabetic, Systemic Hypertension And Obese Patients.
PMID- 27201480
TI - Beliefs About Medicines In An Urban Black Hypertension Population.
PMID- 27201482
TI - The "Venous Age": A New Tool To Sensitize Patients To Their Venous Disease.
PMID- 27201483
TI - Preferences Regarding The Attributes Of Oral Anticoagulants In Patients With
Atrial Fibrillation Results Of A Discrete Choice Experiment.
PMID- 27201484
TI - Health Related Quality Of Life At One Year Post Discharge In Patients With Heart
Failure.
PMID- 27201485
TI - Literature Review Of Pro Measures Assessing Anticoagulant Therapy.
PMID- 27201486
TI - Place Of Residence And Employment Status After Stroke.
PMID- 27201487
TI - Treatment Patterns And Quality Of Life Of Patients With Non-Valvular Atrial
Fibrillation: An Experience Of A Tertiary Health Care Centers (Treq-Af Study).
PMID- 27201488
TI - Quality Of Life In Patients With Permanent Cardiac Pacemaker In The Slovak
Republic.
PMID- 27201489
TI - The Evaluation Of The Health Related Quality Of Life Among Adults With
Hypertension.
PMID- 27201490
TI - Treatment Satisfaction In Patients With Venous Thromboembolism As Measured With
Pact-Q2: Prefer In Vte Registry.
PMID- 27201492
TI - Health Utility Of Acute Coronary Syndrome Patients From An Asian Population.
PMID- 27201491
TI - Health-Related Quality Of Life Impact Of Triple Combinations Of Olmesartan
Medoxomil, Amlodipine Besylate And Hydrochlorothiazide In Subjects With
Hypertension.
PMID- 27201494
TI - The Clinical Impact Of Rivaroxaban To Chinese At Atrial Fibrillation Patients
Results From A Simple Communication Tool.
PMID- 27201493
TI - The Clinical Impact Of Rivaroxaban To Chinese At Deep Vein Thrombosis Patients
Results From A Simple Communication Tool.
PMID- 27201496
TI - Primary Pacemaker Insertion: Gender Differences In Prior Er Utilization.
PMID- 27201495
TI - Ldl-C Goal Attainment In Patients With Hyperlipidemia - Estimates From Population
Based Register Data In Sweden.
PMID- 27201497
TI - Treatment Satisfaction In Patients With Atrial Fibrillation On New Oral
Anticoagulants As Assessed With Pact-Q2 At Baseline And 12-Month Follow-Up:
Prefer In Af Registry.
PMID- 27201498
TI - The Impact Of Pharmaceutical Policies On Pharmaceutical Sales Patterns In Sweden
And Japan.
PMID- 27201499
TI - Assessment Of The Impact Of Legislation On The Utilization Of Statins In
Slovakia.
PMID- 27201500
TI - Impact Laws And Decrees On Activities: The Ilda Study.
PMID- 27201501
TI - Segmentation Is A Key Strategic Tool For Effective Prioritisation And Targeting
Of Payers In Highly Competitive Markets; A Client's Perspective.
PMID- 27201502
TI - Comparing Quality Effects Of Patient Care In Integrated And Regular Care For
Patients With Hypertension.
PMID- 27201503
TI - Cost-Effectiveness Of Disease Management Programs For Cardiovascular Risk And
Copd In The Netherlands.
PMID- 27201504
TI - Analysis of Cardiac Implants Recalls in the Last Decade: An International
Comparison.
PMID- 27201505
TI - Dabigatran Users With Non-Valvular Atrial Fibrillation in the Us: A
Characterization of Dabigatran Initiators and Switchers.
PMID- 27201506
TI - Impact of Drug Policy Regulations on the Consumption of Antihypertensive Drugs in
Slovakia.
PMID- 27201507
TI - Initiation of Oral Anticoagulant Drugs: Identification of Drivers of Prescribing
of New Agents Versus Warfarin.
PMID- 27201508
TI - The Impact of Modifications of the Formula for Generic Drug Prescription Rate on
the Switch To New Brand-Name Drugs With Similar Therapeutic Uses.
PMID- 27201509
TI - Local Variation in Primary Care Prescribing Behavior in England: Ticagrelor.
PMID- 27201510
TI - The Impact of Drug Policy on the Utilization of Medicines for Treatment of
Cardiovascular Diseases in Slovak Republic.
PMID- 27201511
TI - Implementation of an Automatic Laboratory Data Checking System To Reduce
Deduction of Statins Reimbursement in A Teaching Hospital in Taiwan.
PMID- 27201512
TI - Clinical and Demographics Characteristics of Non-Valvular Atrial Fibrillation
Patients Switching From Warfarin To Novel Oral Anticoagulants.
PMID- 27201513
TI - Investment Aspects of Generic Drug Policies in Countries With Severe Resource
Constraints.
PMID- 27201514
TI - Drug Utilisation in Cardiovascular Diseases Management in Slovakia: 8 Years
Overview.
PMID- 27201515
TI - Catastrophic Health Expenditures and Chronic Condition Patients in Greece.
PMID- 27201516
TI - Challenges and Opportunities in The Management of Chronic Diseases During The
Economic Crisis In Greece: A Qualitative Approach.
PMID- 27201517
TI - The Determinants of Uptake and Diffusion of Innovative Health Technologies. An
Empirical Analysis.
PMID- 27201518
TI - Determinants of Health Care Utilization in Hypertensive Patients: A Longitudinal
Analysis.
PMID- 27201519
TI - Treatment Patterns in Hyperlipidemia Patients With New Cardiovascular Events -
Estimates From Population-Based Register Data in Sweden.
PMID- 27201520
TI - Optimizing Process Efficiency Through Implanting Reveal Linq Verse Reveal Xt/Dx
From Three Spanish Hospital Perspective.
PMID- 27201521
TI - The Association of Hosptial Type and Stroke Centre With Mortality, Length of Stay
and Hospital Cost of Ischemic Stroke Patients in Alberta.
PMID- 27201522
TI - Regional Variation in Hospital Mortality, Length of Stay and Cost of Ischemic
Stroke Patients in Alberta.
PMID- 27201523
TI - Snapshot of Prescribing Practice for Clopidogrel and Esomeprazole Co-Prescription
and Cost Evaluation of Guidelines Application.
PMID- 27201525
TI - Recruiting Cardiologists and Chronic Heart Patients From A Managed Physician
Panel To Support Clinical Studies Phase Iii/Iv Or Health Outcome Studies.
PMID- 27201524
TI - In-Patient Hospital Costs of Stroke: A Focused Literature Review.
PMID- 27201526
TI - Launching Novel Class Iii Implantable Cardiac Devices for Cardiology in Europe
First, Is This Common Commercial Practice Improving Health Care Quality for
Europeans.
PMID- 27201527
TI - Acute Ischemic Stroke (Ais) Patient Management in French Stroke Units and Impact
Estimation of Thrombolysis On Care Pathways and Associated Costs.
PMID- 27201528
TI - Knowledge Transfer Gap Between Cardiologists and Patients Undergoing Percutaneous
Coronary Intervention Regarding Risks Associated With Drug-Eluting Stents: An
Asian & European Survey.
PMID- 27201529
TI - Health Care Stakeholders' Evaluation of A User-Friendly Tool Which Estimates Long
Term Health Gains Following the Reduction of Ldl Levels.
PMID- 27201530
TI - Effect of Oral Nutritional Supplements On Hospital Outcomes in Patients Aged 65+
With Congestive Heart Failure.
PMID- 27201531
TI - Logistics of Monitoring of Vitamin K Antagonists in Western European Countries:
Prefer in Vte Registry.
PMID- 27201532
TI - Treatment Patterns and Health Resource Utilization Among Atrial Fibrillation
Patients in United Arab Emirates and Saudi Arabia.
PMID- 27201533
TI - Ldl-C Lowering Efficacy of Evolocumab (Amg 145) Could Reduce Apheresis in
Patients At High Risk for Cardiovascular Events in Germany.
PMID- 27201534
TI - Pattern of Benzodiazepines Utilization In Outpatients With Hypertension in
Serbia.
PMID- 27201535
TI - Treatment Patterns Among Heart Failure Patients Within 30 Days Post Diagnosis:
Results From A Us Claims Database Analysis.
PMID- 27201536
TI - Predictive Validity of Inappropriate Prescribing Criteria for Adverse Drug
Events, Hospitalizations, and Emergency Department Visits: A Time-To-Event
Comparison of the Beers and Stopp Criteria.
PMID- 27201537
TI - Current Situation of Paediatric and Adult Patients With Fragile X Syndrome:
Preliminary Data From the Explain Fxs Registry.
PMID- 27201538
TI - Feasibility of A Network Meta-Analysis in Endometriosis.
PMID- 27201539
TI - The Efficacy of Oximes in Acute Human Organophosphorus Poisoning; An Updated Meta
Analysis.
PMID- 27201540
TI - labour induction with prostaglandins: what works best? A systematic review,
network meta-analysis and cost-effectiveness analysis.
PMID- 27201541
TI - Prevalence of Chronic Diseases Among Older Patients (>65 Years) in German General
Practitioner Practices.
PMID- 27201542
TI - Economic Assessment of Preeclampsia: Screening, Diagnosis, Treatment Options, and
Long Term Outcomes, A Systematic Review.
PMID- 27201543
TI - Effects of A Multidisciplinary Home-Based Medication Review Program On Hospital
Admissions In Older Adult Singaporeans.
PMID- 27201544
TI - Over the Counter Medication and Dietary Supplements Use Among Older Adults.
PMID- 27201546
TI - Potential Efficency and Cost Savings To the English Nhs By A Laparoscopic Aproach
To Total Abdominal Hysterectomy.
PMID- 27201545
TI - Identifying Potentially Inappropriate Medication (Pim) and Major Risk Factors for
Hospitalization for Elderly Patients Admitting To Teaching Hospital: Study From
Indian Perspective.
PMID- 27201547
TI - Examination of the Efficiency of Electrical Stimulation in Case of Stress and
Urge Incontinence.
PMID- 27201549
TI - Epidemiological and Financial Burden of Preterm Labor Hospitalizations - An
Analysis of German Claims Data.
PMID- 27201548
TI - Incidence and Long-Term Cost of Oral Steroid-Related Adverse Events in Chronic
Diseases in Poland.
PMID- 27201550
TI - Burden and Cost of Multiple Chronic Diseases in A Large Cohort of Elderly in
Italy.
PMID- 27201551
TI - The Prevalence and Cost of Illness in Women With Endometriosis in Ukraine.
PMID- 27201552
TI - Malnutrition in Institutionalized and Community-Dwelling Older Adults in Spain:
Estimates of Its Costs To the National Health System.
PMID- 27201553
TI - Price Variation in Obstetrical Services in A Rural State.
PMID- 27201554
TI - Cost Effectiveness Analysis of A Vaccination Programme for the Prevention of
Herpes Zoster and Post-Herpetic Neuralgia in Adults Aged 65 and Over in Norway.
PMID- 27201555
TI - Misoprostol Vaginal Insert Pharmacoeconomic Model for 5 European Countries.
PMID- 27201556
TI - Cost-Benefit Model of Varying Nexplanon and Other Long-Acting Reversible
Contraceptive (Larc) Methods: Uptake Compared to the Oral Contraceptive Pill: UK
Perspective.
PMID- 27201557
TI - Cost-Effectiveness Analysis of Use of Dydrogesterone in Premenstrual Syndrome.
PMID- 27201558
TI - Potentially Inappropriate Medication in the Elderly - Relevance and Economics of
the 30 Top-Selling Priscus Agents in Germany.
PMID- 27201559
TI - A Cost-Effectiveness Analysis of Different Types of Labor for Singleton Pregnancy
- Real Life Data.
PMID- 27201560
TI - Cost Effectiveness of Pentavalent Rotavirus Vaccine (Rv5) in Slovenia.
PMID- 27201561
TI - Health Economic Model On the Costs and Effects of Rotavirus Vaccination in
Romania.
PMID- 27201562
TI - Cost-Effectiveness Analysis of Coffee Consumption for Prevention of All-Cause
Mortality in Germany.
PMID- 27201563
TI - Cost-Effectiveness Analysis of Screening Syphilis Among Pregnant Women.
PMID- 27201564
TI - Cost-Effectiveness Analysis of Surgical Management of Stress Urinary Incontinence
With Single-Incision Mini-Sling Versus Tension-Free Vaginal Obturator in Spain.
PMID- 27201565
TI - Cost Effectiveness of Calcium Supplement in Reducing Preeclampsia-Related
Maternal Mortality.
PMID- 27201566
TI - Economic Evaluation of Ulipristal Acetate for the Treatment of Patients With
Moderate and Severe Symptoms of Uterine Fibroids in Romania.
PMID- 27201567
TI - The Cost-Effectiveness of Emergency Hormonal Contraception With Ulipristal
Acetate Versus Levonorgestrel for Minors in France.
PMID- 27201568
TI - Cervical Assessment With Progesterone in the Prevention of Preterm Birth: A
Strategy Based On Cost-Effectiveness.
PMID- 27201569
TI - Cost-Effectiveness of Palivizumab Use in High Risk Children From Brazilian Health
System Perspective.
PMID- 27201570
TI - Cost-Effectiveness Analysis of the New Biomarkers for Diagnosis of Acute Kidney
Injury in Children After Cardiac Surgery.
PMID- 27201572
TI - Cost-Effectiveness Analysis of the Therapy of Endometriosis.
PMID- 27201571
TI - Cost-Utility Analysis of Preventive Home Visits in Older Adults.
PMID- 27201573
TI - Evaluation of the Economic Burden of Menopausal Women in the U. S. Medicaid
Program.
PMID- 27201574
TI - Cost-Utility Analysis Comparing Propranolol With Corticosteroids in the Treatment
of Proliferating Infantile Hemangioma in Italy.
PMID- 27201575
TI - Cost Effectiveness Analysis of A Vaccine To Prevent Herpes Zoster and
Postherpetic Neuralgia in Italy.
PMID- 27201576
TI - Cost-Utility Analysis of A Medication Review With Follow-Up for Older People With
Polypharmacy in Community Pharmacies in Spain: Consigue Program.
PMID- 27201577
TI - Retrospective Analysis of the Economic Burden of Long-Term Care Facility
Residents Diagnosed With Alzheimer's Disease in the United States.
PMID- 27201578
TI - Validation of the Adherence Barriers Questionnaire (Abq) - An Instrument for
Identifying Potential Risk Factors Associated With Medication-Related Non
Adherence.
PMID- 27201579
TI - Stress Load Factors in the Scope of Students.
PMID- 27201580
TI - Failure To Obtain the First Prescribed Refill (Early Medication Non-Persistence):
A Meta-Analysis of Rates and Causes of Variation in Rates By Chronic Disease
Class and Analytic Methods.
PMID- 27201582
TI - Time-Trade-off Modelling of Health Utility Values for Menopausal Symptoms and
Their Treatment.
PMID- 27201581
TI - Patients' Acceptance of Their Medication: Results From a French Multi-Diseases
Study With Patient Online Community Using the Acceptance By the Patients of Their
Treatment (Accept(c)) Questionnaire.
PMID- 27201583
TI - A Utility Algorithm forthe Pressure Ulcer Quality of Life - Utility Instrument
(Puqol-Ui).
PMID- 27201585
TI - Patient Perspective: Pro Compliance and Effective Reminder Strategies.
PMID- 27201584
TI - Using the Analytic Hierarchy Process To Derive Health State Utilities From
Ordinal Preference Data.
PMID- 27201586
TI - Geographical Variations of Health Perception in the Us, Using Brfss Data 2012.
PMID- 27201587
TI - Attitude Change Among 18-19 Years Old Boys After School-Drug Prevention Program.
PMID- 27201589
TI - Patient-Reported Fall Related Health Care Services in Elderly Women.
PMID- 27201588
TI - A Comparison of Value for Health States Worse Than Dead Between Japan and Uk.
PMID- 27201591
TI - Differential Item Functioning and the Eq-5d: Evidence From the Uk Hospital
Episode Statistics.
PMID- 27201590
TI - Long-Term Grading of Health-Related Quality of Life of Care-Needed Elderly: A 2
Yr Follow-Up Study.
PMID- 27201593
TI - Disutility Associated With Erectile Dysfunction in the Middle-Aged Or Older
Males.
PMID- 27201592
TI - Reference Eq-5d-3l and Eq-5d-5l Data From the Italian General Population.
PMID- 27201594
TI - Antenatal Depression and Its Risk Factors Among Women in Chengdu of China Results
From A Hospital Based Survey.
PMID- 27201595
TI - Implementation of An Ambulatory Pharmacist-Managed Anticoagulation Clinic In
Qatar: Development of A New Service and A Pilot On Patients' Satisfaction and
Quality of Life.
PMID- 27201596
TI - Family Preferences in the Volume Verse Outcome Debate: Implications for the
Delivery of Complex Pediatric Care.
PMID- 27201598
TI - Evaluating Prevalence of Self-Medication in Bahawalpur.
PMID- 27201597
TI - A Systematic Review To Identify the Use of Preference Elicitation Methods in
Health Care Decision Making.
PMID- 27201599
TI - Patient Preferences: Pro Mixed Modes - Epro Versus Paper.
PMID- 27201601
TI - Does Price Matter? The Impact of Cost Information On Patient Decision Making.
PMID- 27201600
TI - Health Literacy and Self-Reported Health Status Using the Eq-5d-5l: An
Exploratory Analysis.
PMID- 27201602
TI - Assessment of Health States and Erectile Dysfunction-Associated Quality of Life
Among Adult Males and Females With Male Partners in Germany, the United Kingdom
and the United States.
PMID- 27201603
TI - How Iranian People Think About Generic Substitution?
PMID- 27201604
TI - Assessing the Translatability of the Term "Frustrated".
PMID- 27201605
TI - Comparison of Equity Weights of Life Year Gains: A Discrete Choice Experiment for
Japanese and Korean General Public.
PMID- 27201606
TI - Mobile Phone Use in Patient Reported Outcomes- An Updated Literature Search.
PMID- 27201607
TI - Regulatory Issues in Pro Advertising: A Review of the Ddmac/Opdp Letters From
1998 To 2013 To Identify Pro Claims Violations and Examine Their Evolution Over
Time.
PMID- 27201608
TI - Comparing the Equivalence of Eq-5d-5l Across Different Modes of Administration.
PMID- 27201609
TI - Are Patient Reported Outcomes Relevant To Patients? Learnings From A Patient
Advocate Survey.
PMID- 27201610
TI - The Endometriosis Health Profile (Ehp) - A Case Study of Successful Epro
Collaboration.
PMID- 27201611
TI - The Use of Patient Reported Outcomes (Pros) By the Pharmaceutical Industry in
Japan - A Brief Review of Pmda Data in Comparison With Fda and Ema-Approved Label
Claims.
PMID- 27201612
TI - Hospital Drg Costing and Health Services Use of Very Pre-Term Infants From the
Proprems Neuro Study Across 10 Hospitals in Australia and New Zealand.
PMID- 27201613
TI - Quality of Life in Pregnant Women Attending Anti-Natal Clinics in Rural and Urban
Areas of Delta State.
PMID- 27201614
TI - Health Related Quality of Life in Patients Receiving Home Enteral Nutrition in
Spain Assessed By A Specific Questionnaire: Nutriqol(r).
PMID- 27201615
TI - Theoretical and Practical Possibilities of the Measurement of Postoperative Pain
in Obstetric Intensive Ward.
PMID- 27201616
TI - Evaluating the Translatability of Physical Assessment Clinical Outcomes
Assessment (Coa) Items.
PMID- 27201617
TI - Fda Cdx Category Medication Use During Pregnancy in the United States.
PMID- 27201618
TI - Implementation of A Collaborative Pharmacy Practice Model in Nursing Homes of A
Swiss Canton: Drug Cost Monitoring Between 2009 and 2012.
PMID- 27201619
TI - The Efficiency Evaluation of the Rule From Drug Market Regulation Chamber (Cmed)
Proposed To Public Medicines Acquisitions.
PMID- 27201620
TI - The Efficiency Evaluation of the Rule From Drug Market Regulation Chamber (Cmed)
Proposed To Public Medicines Acquisitions in Antitrust Market Conditions.
PMID- 27201621
TI - Evaluation of Patient and Financial Outcomes Associated With Advanced Infertility
Treatment Options.
PMID- 27201622
TI - Daily Dose and Costs Associated With Maintenance Therapy of Topical Testosterone
Agents Among Hypogonadal Men.
PMID- 27201624
TI - Aging Impact Over the National Health Cost in Extremadura Public Health
Expenditure of Extremadura in the Period 2011-21.
PMID- 27201623
TI - Impact of Assisted Reproductive Therapy (Art) On Infant Health and Health Care
Cost Outcomes.
PMID- 27201625
TI - Potentially Inappropriate Medicines and Potential Prescribing Omissions in Older
People and Their Association With Health Care Utilization: A Retrospective Cohort
Study.
PMID- 27201626
TI - Predictions for Medical Subsidy Enrollment Among Young Children From High-Risk
Families in Taipei.
PMID- 27201628
TI - Kazakhstan Verse Uzbekistan: A Review of the Drug Provision Systems.
PMID- 27201627
TI - Psychometric Properties of the 16-Item Sort form Version of the Menopause
Cervantes Health-Related-Quality-of-Life Scale: the Cervantes-Sf.
PMID- 27201629
TI - What Factors Are Associated With Vaccination Programme Success?
PMID- 27201630
TI - Estimation of Serum Calcium Level in Peri and Postmenopausal Women: A Comparative
Study.
PMID- 27201631
TI - Patient-Reported Outcomes (Pro) in Go/No-Go Decision Making in Drug Development.
PMID- 27201632
TI - Pain Incidence and Analgesic Consumption During Haemodialysis Sessions: Impact on
Health-Related Quality Of Life.
PMID- 27201633
TI - Patient Characteristics and Medication Treatment Patterns Among Men With Erectile
Dysfunction (Ed), Lower Urinary Tract Symptoms Secondary To Benign Prostatic
Hyperplasia (Bph-Luts), Or Co-Occurring Ed and Bph-Luts In the Uk Primary Care
Setting.
PMID- 27201634
TI - Clinical Utility of the Collect Scale To Assess Comorbidities In Patients With
Chronic Lymphocytic Leukemia.
PMID- 27201635
TI - Impact of Biologics Use on Depression and Anxiety Frequency and Health Care
Resource Utilization In Psoriasis: An Analysis Using the Quebec Provincial Drug
Reimbursement Program Database.
PMID- 27201636
TI - A Real-World Characterization of Patients With "Moderate-To-Severe" Systemic
Lupus Erythematosus.
PMID- 27201637
TI - Effectiveness of Heavy-Light Chain Quantitative Test: A Systematic Review.
PMID- 27201638
TI - Disappearance of B-Symptoms In Comorbid Patients Receiving First-Line
Obinutuzumab (Ga101) -Chlorambucil (G-Clb) Or Rituximab-Chlorambucil (R-Clb) for
Chronic Lymphocytic Leukemia (Cll).
PMID- 27201639
TI - Comparison of Disease Status and Outcomes of Patients With Ankylosing Spondylitis
(As) Receiving Adalimumab Or Etanercept Monotherapy In Europe.
PMID- 27201640
TI - A Double-Blind Controlled Study of the Efficacy and Safety of Long-Acting
Amfepramone Treatment In Mexican Obese Patients.
PMID- 27201641
TI - Comparative Effectiveness Study of Enzymatic Replacement Therapies In the
Treatment of Gaucherxs Disease On Adults.
PMID- 27201642
TI - A Pilot Study of the Effectiveness of Treatment Patients With Hemophilia In
Ukraine.
PMID- 27201643
TI - The Oncology Pain Treatment Clinical Studies Quality Assessment.
PMID- 27201645
TI - Prevalence and Treatment of Chronic Lymphocytic Leukaemia (Cll) In Germany: An
Analysis of Sickness Funds.
PMID- 27201644
TI - How To Improve Health Outcomes In the Treatment of Chronic Myeloid Leukemia.
PMID- 27201646
TI - Pre-Symptomatic Genetic Testing In Familial Amyloid Polyneuropathy: The
Reproductive Options.
PMID- 27201647
TI - Trends In Prescription Opiate Use Among Patients With Commercial Or Government
Sponsored Health Insurance In the Us From 2010-2013.
PMID- 27201648
TI - Acute Myeloid Leukemia and Myelodisplasic Syndrome Treated With Intensive
Chemotherapy In France Based On National Hospital Databases (Pmsi).
PMID- 27201649
TI - Allogeneic Stem Cell Transplant With Graft Versus Host Disease In France In 2012
Based On National Hospital Databases (Pmsi).
PMID- 27201650
TI - The Presence of Anxiety Among Elementary Schools Obese Children.
PMID- 27201651
TI - Budget Impact Analysis of Drugs for Ultra-Rare Non-Oncological Diseases In
Europe.
PMID- 27201652
TI - Estimating the Costs of Drug Supply for Rare Diseases Patients In Russia.
PMID- 27201654
TI - Budget Impact of Orphan Drugs In the Netherlands In The Period 2006-2012.
PMID- 27201653
TI - Clinical and Cost-Effectiveness and Budget Impact of Routine Use of Bispectral
Index Monitors In Theatres.
PMID- 27201655
TI - Budget Impact Analysis of Introducing Biosimilar Infliximab for the Treatment of
Auto Immune Disorders In Five European Countries.
PMID- 27201656
TI - Budget Impact Analysis of Belimumab In the Treatment of Patients With Systemic
Lupus Erythematosus In Russian Federation.
PMID- 27201657
TI - Public Expenditure On Authorised Orphan Drugs In the Czech Republic Between 2008
and 2013.
PMID- 27201658
TI - Qutenza(r) Estimated Costs Per Patient In Primary Versus Secondary Care. A
Comparison Between Qutenza(r), Pregabalin and Lidocaine for the Treatment of
Peripheral Neuropathic Pain.
PMID- 27201659
TI - Economic Impact Linked To the Reduction of Exacerbations When A Treatment Regime
With Inhaled Antibiotics Is Switched To Aztreonam Lysine In Patients With Cystic
Fibrosis and Chronic Pulmonary Infection Caused By Pseudomonas Aeruginosa.
PMID- 27201660
TI - Impact of Generic Substitution On the Prescribing of Meprobamate-Containing
Combination Analgesics In South Africa.
PMID- 27201661
TI - The Economic Burden of Treating Thalassemia In Greece.
PMID- 27201662
TI - Economic Considerations On the Use of Mifamurtide In the Treatment of
Osteosarcoma In Spain.
PMID- 27201663
TI - Pill Burden, Health Care Resource Utilization and Costs Among Subpopulations of
Immediate Release Hydrocodone Users.
PMID- 27201664
TI - Opioid Prescribing and the Impact of Branded Generics.
PMID- 27201665
TI - Cost Analysis In the Treatment of Patients With Systemic Lupus Erythematosus In
Russian Federation.
PMID- 27201666
TI - Rates of Diagnosed Opioid Abuse Or Dependence and Incremental Direct Health Care
Costs Among Patients With Long-Term Use of Immediate Release Hydrocodone.
PMID- 27201667
TI - Prevalence-Based Measurement of the Economic Burden of Rare Diseases: Case Review
To Determine the Annual Cost of Acromegaly In France.
PMID- 27201668
TI - Prevalence-Based Measurement of the Economic Burden of Rare Diseases: Case Review
To Determine the Annual Cost of Acromegaly In Italy.
PMID- 27201669
TI - Cost of Illness Analysis of Duchenne Muscular Dystrophy In Italy.
PMID- 27201670
TI - Social Costs of Different Procedures In Bariatric Surgery In Patients With
Obesity-Related Comorbidities.
PMID- 27201671
TI - Costs of Absenteeism In Psoriatic and Enteropathic Arthropathies Based On Real
Life Data From Poland's Social Insurance Institution Database In 2012.
PMID- 27201672
TI - The Burden of Myelofibrosis In Greece.
PMID- 27201673
TI - The Indirect Costs of Multiple Sclerosis Associated With Absenteeism In Poland.
PMID- 27201674
TI - Romiplostim Cost Per Response In Itp Treatment In the Brazilian Health Care
System.
PMID- 27201675
TI - Direct and Indirect Costs Associated With Increasing Body Mass Index (Bmi) In the
Eu5.
PMID- 27201676
TI - Costs of Absenteeism In Ankylosing Spondylitis Based On Real-Life Data From
Poland's Social Insurance Institution Database In 2012.
PMID- 27201677
TI - The Economic Burden of Systemic Lupus Erythematosus: A Structured Literature
Review.
PMID- 27201678
TI - The Cost of Active Systemic Lupus Erythematosus in Greece Results From the Lycos
Study.
PMID- 27201679
TI - Cost-Effectiveness Analysis of Maintenance Treatment With Rituximab In Patients
With Follicular Lymphoma Responding To First Line Induction Therapy In Portugal.
PMID- 27201680
TI - An Evaluation of Medical Resource Utilisation In Patients With Autosomal Dominant
Polycystic Kidney Disease In Europe.
PMID- 27201681
TI - Cost Per Response Analysis for Thrombopoietin Receptor Agonists (Tpo-Ras), In the
Treatment of Adult Chronic Immune Thrombocytopenia (Itp) In Mexico.
PMID- 27201682
TI - Cross-Country Comparison of Medical Resource Utilisation In Patients With
Autosomal Dominant Polycystic Kidney Disease In Europe.
PMID- 27201683
TI - Cost-Effectiveness Analysis of Belimumab In the Treatment of Adult Systemic Lupus
Erythematosus (Sle) Patients With Positive Biomarkers In Spain.
PMID- 27201684
TI - Cost-Consequences Analysis of the Long-Term Prophylaxis In A Type 1 Von
Willebrand Disease Patient With Recurrent Bleedings In Italy.
PMID- 27201685
TI - Cost-Effectiveness Analysis of Amfepramone (Diethylpropion) for the Obesity
Treatment In Mexico.
PMID- 27201686
TI - The Cost-Effectiveness of Expanding the Nhs Newborn Bloodspot Screening Programme
To Include Homocystinuria (Hcu), Maple Syrup Urine Disease (Msud), Glutaric
Aciduria Type 1 (Ga1), Isovaleric Acidaemia (Iva), and Long-Chain Hydroxyacyl-Coa
Dehydrogenase Deficiency (Lchadd).
PMID- 27201687
TI - Cost-Effectiveness of the Lidocaine 5% Medicated Plaster Verse Pregabalin and
Amitriptyline for the Treatment of Post-Herpetic Neuralgia In the Netherlands.
PMID- 27201688
TI - Cost Effectiveness Analysis Evaluating Factor Viii As Primary Prophylaxis
Treatment for Patients With Severe Haemophilia A In the Netherlands.
PMID- 27201689
TI - Cost-Effectiveness of Capsaicin 8% Patch (Qutenza(tm)) Compared With Pregabalin
for the Treatment of Patients With Peripheral Neuropathic Pain (Pnp) In Scotland.
PMID- 27201690
TI - Belimumab for the Treatment of Systemic Lupus Erythematosus (Sle) In Greece: A
Cost-Effectiveness and Cost-Utility Analysis.
PMID- 27201691
TI - Cost-Effectiveness Analysis of Eltrombopag As Support Treatment In Chronic Hcv
Infected Patients With Thrombocytopenia To Enable Interferon-Based Regimens.
PMID- 27201692
TI - Comparative Pharmacoeconomic Analysis of the Application of Posaconazole,
Fluconazole and Itraconazole With the Purpose of Primary Prevention of Invasive
Fungal Infection In Patients With Neutropenia During Chemotherapy for Acute
Myelogenous Leukemia Or Myelodysplastic Syndrome.
PMID- 27201693
TI - Cost-Effectiveness Analysis of Oxycodone Lp An Opioid Analgesic for Patients With
Moderate To Severe Pain Secondary To Cancer In Mexico.
PMID- 27201694
TI - Cost-Effectiveness of Romiplostim for the Treatment of Chronic Immune
Thrombocytopenia In Portugal.
PMID- 27201695
TI - Cost-Minimization Analysis of Methadona Opioid Analgesic for Mexican Patients
With Acute and Chronic Secondary Cancer As Rotation Option In Severe Pain.
PMID- 27201696
TI - Cost Minimization Analysis of Activated Prothrombin Complex Concentrate (Apcc)
Compared To Recombinant Factor Viia (Rfviia) for Hemophilia Patients With
Inhibitors.
PMID- 27201698
TI - Cost-Effectiveness Analysis of Celecoxib In the Treatment of Patients With
Chronic Pain In Japan.
PMID- 27201697
TI - Population-Based Cost-Efficiency Simulation of Partial Versus Complete
Thromboprophylaxis In Hospitalized Patients In Saudi Arabia: Application of A
British Model.
PMID- 27201699
TI - Cost Effectiveness of Romiplostim for the Treatment of Immune Thrombocytopenia
(Itp) Patients In the Czech Republic.
PMID- 27201700
TI - Cost-Utility Analysis of Bosutinib for Previously Treated Chronic Myeloid
Leukemia (Cml) In Portugal.
PMID- 27201701
TI - Real-World Cost-Utility Evaluation of Multiple Myeloma Treatments In Stem Cell
Transplanted Patients.
PMID- 27201702
TI - Orphan Drug Pricing In France: Influence of Main Factors.
PMID- 27201704
TI - Adherence To Anticoagulant Therapy In Children Hospitalized for Pulmonary
Embolism and Deep Vein Thrombosis.
PMID- 27201703
TI - Impact of Patient Programs On Adherence In Inflammation and Immunology: A Global
Systematic Review and Meta-Analysis of Published Evidence.
PMID- 27201705
TI - New Observer-Reported Outcomes To Measure Treatment Satisfaction, Compliance,
Palatability, and Gi Symptoms for Patients Needing Iron-Chelation Therapy.
PMID- 27201706
TI - Evaluation of Use of Belimumab In Clinical Practice Settings (Observe Study) In
Spain: Health Resource Utilization and Labour Absenteeism.
PMID- 27201707
TI - Is Obesity A Problem In Brazil?
PMID- 27201708
TI - The Multicentric Castleman's Disease (Mcd) -Symptom Scale (Mcd-Ss): Development
and Validation of A Patient-Reported Outcome (Pro) Measure for An Ultra-Orphan
Disease.
PMID- 27201709
TI - "I Don't Know How It Happened Or When Everything Changed. It's Like I Blinked and
All of A Sudden, I Didn't Recognise My Own Body": Using Qualitative Insights To
Develop A Conceptual Model To Understand the Lived Experience of Patients With
Systemic Sclerosis.
PMID- 27201710
TI - Prevalence of Neuropathic Pain and Its Disease Burden In Korea Patients With
Lumbar Spine Surgery.
PMID- 27201711
TI - Impact of Pulmonary Exacerbations On Eq-5d Measures In Patients With Cystic
Fibrosis.
PMID- 27201712
TI - Evaluating Relationship Between White Blood Cells and Platelets During Recovery
Phase In Dengue Hemorrhagic Fever Cases In Punjab, Pakistan: A Retrospective
Study.
PMID- 27201713
TI - Psychometric Validation of the Newly Developed Phenylketonuria- Quality of Life
(Pku-Qol) Questionnaires Assessing the Impact of Phenylketonuria and Its
Treatment On Patients' Quality of Life.
PMID- 27201714
TI - Pro Claims In Orphan Medicines Approved By the European Medicines Agency (Ema)
for the Treatment of Lymphoproliferative Disorders.
PMID- 27201716
TI - The Pain Assessment for Lower Back Symptoms (Pal-S): Refinement of A New Pro
Instrument Through A Mixed Methods Approach.
PMID- 27201715
TI - Impacts of Lower Back Pain: Refinement of the Pain Assessment for Lower Back
Impacts Questionnaire (Pal-I) Using a Mixed Methods Approach.
PMID- 27201717
TI - Patient-Reported Outcomes In Moderate To Severe Hemophilia Patients: Finding From
A Cross-Sectionalstudy In Korea.
PMID- 27201718
TI - A Systematic Literature Review of the Humanistic Burden of Multiple Myeloma.
PMID- 27201720
TI - Preferences of Spanish Patients Over the Attributes of Biological Agents for the
Treatment of Rheumatic Diseases Depending On the Administration Route.
PMID- 27201719
TI - Preference for Rituximab Subcutaneous (Sc) and Intravenous (Iv) Among Patients
With Cd20+ Non-Hodgkin's Lymphoma (Nhl) Completing the Rasq Measure In Randomized
Phase Iii Studies Prefmab and Mabcute.
PMID- 27201721
TI - Physicians' and Patients' Preferences Over the Attributes of Biological Agents
Used In the Treatment of Rheumatic Diseases In Spain: A Conjoint Analysis.
PMID- 27201722
TI - Quality of Life (Qol) With Psoriasis: Ethnography Study Evaluating the Impact of
Psoriasis On Moderate To Severe Patients In Europe (Eu), From A Patient's
Perspective.
PMID- 27201723
TI - Health Related Quality of Life of Patients and Their Caregivers In Rare Diseases
Results of the Burqol-Rd Project In Hungary.
PMID- 27201724
TI - Is the Disease-Specific Lupusqol Sensitive To Changes of Disease Activity In
Systemic Lupus Erythematosus Patients After Treatment of A Flare?
PMID- 27201725
TI - The Quality of Life of Patients Treated With Robotic Versus Traditional Surgery
Results From An Italian Observational Multicenter Study.
PMID- 27201726
TI - Burden of Lupus Nephritis (Ln) Among Patients Managed In Routine Clinical
Practices In Europe (Eu).
PMID- 27201727
TI - Mcda Approach To Ranking Rare Diseases In Russia: Preliminary Results.
PMID- 27201728
TI - Orphan Drug Policy: Approaches To Market Access In Multiple Countries.
PMID- 27201729
TI - Characteristics of Patients With Systemic Lupus Erythematosus (Sle) Currently On
Remission, With Active Disease But Not Experiencing Flare, and Those Experiencing
Flares In Clinical Practices In Europe.
PMID- 27201730
TI - Comparative Analysis of Hta Decisions, Price and Reimbursement Level of Orphan
Drugs In France and Italy.
PMID- 27201731
TI - Orphan Drug Approvals In Europe: Historical Review and Trends.
PMID- 27201732
TI - Patterns and Trends In Opioid Use In Iran From 2007 To 2011.
PMID- 27201733
TI - Health Technology Assessment, Price and Reimbursement Review for Orphan Drugs In
Italy.
PMID- 27201734
TI - Top 20 Orphan Drugs Availability, Pricing and Reimbursement In Slovakia: 2005
2012 Review.
PMID- 27201736
TI - To What Extent Do Disease and Treatment Characteristics Influence Hta-Based
Recommendations for A Sample of Orphan Drugs In Three Countries, and Could These
Indicate Whether Orphan Drugs Have A "Special Status"?
PMID- 27201735
TI - Why Are There Differences In Hta Recommendations Across Countries? A Systematic
Comparison of Hta Decision Processes for A Sample of Orphan Drugs In Four
Countries.
PMID- 27201737
TI - Hta Studies On Orphan Drugs By Rebratsxmembers.
PMID- 27201738
TI - Health Technology Assessment, Price and Reimbursement Review for Orphan Drugs In
France.
PMID- 27201739
TI - Database Analysis On Patients Using Immunobiological Drugs In A Brazilian Private
Health Care Plan: A Real World Data Analysis.
PMID- 27201740
TI - Health Care Utilisation and Selected Expenditures Associated With Neuroblastoma
In England.
PMID- 27201741
TI - Self Reported Health Care Resource Use and Indirect Economic Burden of Opioid
Induced Constipation (Oic).
PMID- 27201743
TI - Effect of Excluding Non-Patient Benefits As An Element On Acmg Newborn Screening
(Nbs) Recommendations.
PMID- 27201742
TI - Access To Orphan Drugs In Greece During Economic Crisis.
PMID- 27201744
TI - Multi-Criteria Decision Analysis for Reimbursing Orphan Drugs: A Dutch
Demonstration Study Using the Analytic Hierarchy Process Method.
PMID- 27201745
TI - Variations In Treatment Patterns and Disease Severity Among Patients With
Psoriasis Receiving Their First Biologic Therapy In Europe (Eu).
PMID- 27201746
TI - Comparison of Treatment Patterns and Disease Severity Among Patients With
Psoriatic Arthritis (Psa) Receiving Their First Biologic, Treated By
Rheumatologists and Dermatologists In Europe (Eu).
PMID- 27201747
TI - Behavior Therapy for Obesity Treatment Considering Approved Drug Therapy - An
Update.
PMID- 27201749
TI - Orphan and Rare Diseases - the Payer Perspective.
PMID- 27201748
TI - Sources of Information and Pharmacists' Knowledge Regarding Rare Diseases and
Orphan Drugs: Cross-Sectional Study In Serbia.
PMID- 27201750
TI - Treatment Effect Heterogeneity in Clinical Trials: An Evaluation of 13 Large
Clinical Trials Using Individual Patient Data.
PMID- 27201751
TI - Hierarchical Network Meta-Analysis Incorporating Ordering Constraints on
Increasing Doses of Interventions - Application to Overactive Bladder Syndrome.
PMID- 27201752
TI - Predictors of Functional Disability in Patients With Chronic Lumbosacral
Radicular Pain.
PMID- 27201753
TI - Symtomatic Factors in Patients With Major Depressive Disorder (MDD): Results from
an Observational Study.
PMID- 27201755
TI - Assessing the Relationship Between Treatment Effect and Baseline Risk in Network
Meta-Analsysis of Moderate to Severe Chornic Plaque Psoriasis Trials.
PMID- 27201754
TI - Apoptosis and Oxidative Stress Induced By Exposure of Microwave Radiation In Rat
Thymus: Modulatory Effect of Melatonin.
PMID- 27201756
TI - Non-Treatment Specific Parameter Value Estimates: Relationship Between BMI and
Utility.
PMID- 27201757
TI - Comparison Of Iqwig and G-BA Benefit Ratings in Oncology.
PMID- 27201758
TI - Survival Status in (Pharmaco) Epidemiological Studies can be Successfully
Investigated Using Administrative Residential Registries.
PMID- 27201759
TI - Recruiting Myelofibrosis Patients for Clinical and Health Outcome Studies Using
Managed Physician Panels in 5 EU Countries.
PMID- 27201760
TI - Can Gastric Cancer Patients be Successfully Recruited for Clinical Phase III/IV
and Health Outcome Studies Using Managed Physician Panels?
PMID- 27201761
TI - Riding the E-Publication Wave.
PMID- 27201762
TI - Strengthening Evidence Base for Traditional Medicine in Asean, Quality of
Reporting of Randomised Controlled Trials of Herbal Interventions in Asean Plus
Six Countries: A Systematic Review.
PMID- 27201763
TI - Individualised Growth Response Optimisation (IGRO): A Multi-Language Software
Medical Device to Predict Growth Response in Children Treated With Growth Hormone
(GH).
PMID- 27201764
TI - Comparative Real World Effectiveness of Novel Agents Versus Conventional
Therapies in Multiple Myeloma Patients in Sweden.
PMID- 27201765
TI - Endpoints in Pain: the Suitability for Health Economic Evaluation of Endpoint
Designs in Chronic Pain Studies.
PMID- 27201766
TI - Predictive Modelling for Optimal Target Population and Real-World Study Design:
An Example In Mother-To-Child Transmission of HIV.
PMID- 27201767
TI - Predictive Modeling to Assess Predictors of Treatment Success and Failure Among
Combination Statin Therapy Patients.
PMID- 27201768
TI - Sharing of Information Across Studies to Inform Choice of Functional Form When
Conducting Parametric Survival Analysis.
PMID- 27201769
TI - Inverse Probability of Censoring Weighted Analysis to Adjust the Treatment Effect
on Overall Survival for Subsequent Therapy: A Case Study in a Clinical Trial in
Multiple Myeloma.
PMID- 27201770
TI - Comparing the Use of Patient-Level Data to An Average Patient Profile Within a
Type 2 Diabetes Simulation Model.
PMID- 27201771
TI - Quantifying Nonlinear Effects in Stochastic Markov Simulation Using UKPDS 68 and
Ukpds 82 Equations in Type 2 Diabetes Modeling Analysis With the IMS Core
Diabetes Model (CDM).
PMID- 27201772
TI - Validation of The Hospital Episode Statistics Outpatient Dataset in England.
PMID- 27201773
TI - A Chart Abstraction Based Method to Classify Real World Patients With Pulmonary
Arterial Hypertension Based on Who Functional Classification.
PMID- 27201774
TI - Impact of Single Risk Factor Changes on Long Term Outcomes and Cost in a Type 2
Diabetes Modeling Study Contrasting Projections With UKPDS 68 Versus UKPDS 82
Risk Equations.
PMID- 27201775
TI - The statistical analysis of delayed effects in survival outcomes for
immunotherapies. Estimation of time-delay and application of weighted log rank.
PMID- 27201776
TI - Quality Assessment of Controlled Trials Evaluating Chinese Herbal Medicine in
Patients With Rheumatoid Arthritis: A Systematic Review.
PMID- 27201777
TI - Identifying the Broader Value of Vaccines in Low and Middle Income Countries.
PMID- 27201778
TI - Estimating Costs in A Cost-Effectiveness Analysis: Adherence to HTA Guidance.
PMID- 27201779
TI - Should Changes in Drug Price Over Time be Considered in Cost-Effectiveness
Analyses?
PMID- 27201780
TI - Systematic Review and Critique of Health Economic Models on Relapsing-Remitting
Multiple Sclerosis in the UK.
PMID- 27201781
TI - Proposal for a Comprehensive Definition of Budget Impact Analysis.
PMID- 27201782
TI - Can Using a Resource Use Log in an Economic Evaluation Alongside a Randomised
Controlled Trial Reduce the Amount of Recall Bias?
PMID- 27201783
TI - Episodes of Care and their Costs Based on ICPC-2 Classification: Three Month
Follow-Up Study in Finland.
PMID- 27201784
TI - Do the Us Panel Recommendations Hold for Europe? Investigating the Relation
Between Quality of Life Versus Work-Status, Absenteeism and Presenteeism.
PMID- 27201786
TI - A Systematic Review of Methods to Assess the Economic Impact of Air Pollution.
PMID- 27201785
TI - Cost of Previously Treated Chronic Lymphocytic Leukemia (CLL) and Indolent Non
Hodgkin's Lymphoma (INHL) in the United Kingdom (UK).
PMID- 27201787
TI - Cost-Effectiveness Analysis of Ipilimumab in Previously Untreated Patients With
Unresectable Malignant Melanoma in Scotland.
PMID- 27201788
TI - IMTA Productivity Cost Questionnaire (IPCQ).
PMID- 27201789
TI - A Review of The Utility Values Used in Published Cost-Effectiveness Analyses of
Angiotensin-Converting Enzyme Inhibitor or Angiotensin Receptor Blocker Therapy
in Patients With Diabetic Nephropathy.
PMID- 27201791
TI - A Methodology for Estimating the Population of Advanced or Metastatic EGFR M+ Non
Small Cell Lung Cancer Patients in the UK and Ireland.
PMID- 27201790
TI - Are Care-Seekers Good Candidates for Subgroups Cost-Effectiveness Analyses?
PMID- 27201792
TI - BCEA: A R Package to Perform Bayesian Cost-Effectiveness Analysis.
PMID- 27201793
TI - Clusters of Health-States Valuations.
PMID- 27201794
TI - Feasibility of the Headroom Analysis in Early Economic Evaluation of Innovative
Diagnostic Technologies With no Immediate Treatment Implications.
PMID- 27201795
TI - Modelling Dependence Between Disability Status and Health Service Costs of People
With Rheumatoid Arthritis in Hungary.
PMID- 27201796
TI - Pharmacy Cost Calculator for Hepatitis C Virus Patients in Turkey.
PMID- 27201797
TI - A De-Novo Economic Model to Assess Clinical and Economic Consequences of
Bronchiectasis.
PMID- 27201798
TI - Different Strategies for Latent TB Assessment in Patients Undergoing Anti-TNF
Treatment: an Economic Model.
PMID- 27201799
TI - An Efficient Design for Cost-Effectiveness Studies of Personalized Medicine
Strategies.
PMID- 27201801
TI - Applying Multiple Criteria Decision Analysis in the Context of Health Technology
Assessement: an Empirical Case Study.
PMID- 27201800
TI - Methods for Health Economic Evaluations of Vaccines - Results from an
International Expert-Workshop.
PMID- 27201802
TI - Comparison of Generic, Condition-Specific and Mapped Health State Utility Values
for Pediatric Asthma.
PMID- 27201803
TI - Estimating Means from Medians: A Case Study With Treatments for Metastatic
Colorectal Cancer (MCRC).
PMID- 27201804
TI - Adopting an Evidence Synthesis Approach for Assessing Cost-Effectiveness of
Screening Strategies for Prostate Cancer in Ireland.
PMID- 27201805
TI - Preliminary Steps in the Development of an Algorithm for Identifying Relapsed CLL
Patients in Secondary Data.
PMID- 27201806
TI - Occurrence, Survival And Annual Cost of Colorectal-, Breast-, Prostate- and Lung
Cancer in Hungary.
PMID- 27201807
TI - Update of the Patient-Reported Outcome and Quality of Life Instruments Database
(PROQOLID): Integration of The New COA Taxonomy - The Clinro Example.
PMID- 27201808
TI - ECOA Licensing: Lessons Learned from the Copyright of COA Translations and
Specificities of ECOAS.
PMID- 27201809
TI - Mapping European Database Usage: An Analysis of Published Data Types.
PMID- 27201810
TI - Using an Innovative Approach to Build a Prospective Diabetes Cohort Registry of
Patients With Type 2 Diabetes in Germany: DIAREG.
PMID- 27201811
TI - Impact of Influenza B in France.
PMID- 27201812
TI - Insight In Health Care Databases in Asian Pacific Region.
PMID- 27201813
TI - Evaluation of Dissemination of Brazilian Network for Health Technology Assessment
(REBRATS).
PMID- 27201814
TI - Analysis of the Expenses for the Introduction of Electric Medical Record System
in the National Hospital Organization.
PMID- 27201815
TI - A Dutch Administrative Database in Support of Economic Evaluations: A Feasibility
Study.
PMID- 27201816
TI - Common Pregnancy Symptoms Increase the Risk Of Cardiovascular Disease.
PMID- 27201817
TI - Easy Come, Hardly Go: Epidemiological Methods to Evaluate the Effect of Ispor
Board of Directors Membership on Publication Activity.
PMID- 27201818
TI - Reimbursement Decisions in Oncology Drugs: An International Analysis.
PMID- 27201819
TI - A Tutorial on Dimensionality Reduction in Large Claims Data Sets.
PMID- 27201820
TI - INDIRECT COMPARISON OF THE EFFECTS OF ANTI-TNF BIOLOGICAL AGENTS IN PATIENTS WITH
ANKYLOSING SPONDYLITIS BY MEANS OF A MIXED TREATMENT COMPARISON PERFORMED ON
EFFICACY DATA FROM PUBLISHED RANDOMISED, CONTROLLED TRIALS.
PMID- 27201821
TI - Increased Accuracy of Distribution Based Missing Value Imputation: An Alternative
to Mean Inputation in Real World Environment Survey Research.
PMID- 27201822
TI - Creating Patient Profile in Individual Simulations: A Comparison of Approaches.
PMID- 27201823
TI - Application of A Model Of Decision Based on Fuzzy Logic to Pharmacoeconomics:
Treatment of CROHN'S Disease With Antitnf in Out of Label Use.
PMID- 27201824
TI - Multi-Criteria Decision Analysis (MCDA): Testing a Proposed Mcda Model for Orphan
Drugs.
PMID- 27201825
TI - Comparing Three Different Methods of Half-Cycle Correction.
PMID- 27201826
TI - Use Of Model Averaging Techniques in Cost-Effectiveness Analysis in Oncology.
PMID- 27201827
TI - Validation of the SPHR Diabetes Prevention Model.
PMID- 27201828
TI - Advishe: a New Tool to Report Validation of Health-Economic Decision Models.
PMID- 27201829
TI - Cost-Effectiveness Models for Chronic Obstructive Pulmonary Disease (COPD): Cross
Model Comparison of Hypothetical Treatment Scenarios.
PMID- 27201830
TI - Comparison of Methods to Estimate Health State Utilities in Metastatic Breast
Cancer (MBC).
PMID- 27201831
TI - Approaches Used to Model the Relationship Between Progression-Free Survival (PFS)
/ Time-To-Progression (TTP) And Overall Survival (OS) Within Health Economic
Models of Cancer Therapies.
PMID- 27201832
TI - Health Economic Models in Alzheimer's Disease: A Critical Assessment.
PMID- 27201833
TI - Modelling Survival in the Presence of Different Mechanisms of Action: Ipilimumab
and Vemurafenib in Advanced Melanoma.
PMID- 27201834
TI - Are Cycles Needed in Markov Models? - The Continuous Model as a Simpler Approach.
PMID- 27201836
TI - All-Cause Mortality Validation of the Core Diabetes Model Against Predictions of
the Charlson Comorbidity Index.
PMID- 27201835
TI - Deterministic Versus Stochastic Prediction of Risk for Cardiovascular Events.
PMID- 27201837
TI - Estimating the Lifetime Health Outcomes of Type 2 Diabetes Mellitus (T2dm)
Patients Inadequately Controlled on Metformin Plus Sulphonylurea Receiving Either
Canagliflozin or Sitagliptin Using the UKPDS Outcomes Model V1.3.
PMID- 27201838
TI - A Comparison of Modelling Techniques: Patient Simulation Verse Markov Modelling
in Ophthalmology.
PMID- 27201839
TI - A DE-NOVO Model to Predict Outcomes of a New Hypothetical Intervention to Reduce
CV Risk in Post Mi Patients.
PMID- 27201840
TI - Validation of A Global Economic Model to Evaluate The Cost-Effectiveness Of
Targeted Treatments Using Companion Diagnostics In Advanced/Metastatic Cancer
Treatment Using Kras Testing For Cetuximab Therapy In Metastatic Colorectal
Cancer.
PMID- 27201841
TI - Clinical Trial Simulation Considering Quality Of Life Outcomes.
PMID- 27201842
TI - Systematic Overview On Value-Of Information Analyses In Cancer Research.
PMID- 27201843
TI - The Oncotyrol Prostate Cancer Outcome and Policy Model - How Latent Prevalence
Affects the Benefit-Harm Balance of Screening.
PMID- 27201844
TI - A Systematic Search and Methodological Review of Economic Models of Analgesics
for Chronic Pain.
PMID- 27201845
TI - MIGRATION OF HEALTH ECONOMICS MODELS TO WEB AND MOBILE ENVIRONMENTS. WHY SHOULD
MODELS GO WEB?
PMID- 27201846
TI - Validating A Model To Predict Disease Progression Outcomes In Patients With COPD.
PMID- 27201848
TI - Cost-Effectiveness Analysis Of An Antimicrobial Transparent Dressing For
Protecting Central Vascular Accesses In Critically Ill Patients Versus Standard
Transparent Dressings In France: A Comparison Of Two Modeling Approaches:
Decision-Tree Versus Non-Homogeneous Markov Model.
PMID- 27201847
TI - Systematic Review Of Mathematical Models Predicting Relative Effectiveness.
PMID- 27201849
TI - HEALTH ECONOMIC EVALUATION OF DIAGNOSTIC AND PROGNOSTIC PREDICTION MODELS. A
SYSTEMATIC REVIEW.
PMID- 27201851
TI - Development Of An Influenza Outbreak Forecasting Model Using Time Series Analysis
Methods.
PMID- 27201850
TI - Application Of A Model Of Decision Based On Fuzzy Logic To Pharmacoeconomics:
Ranibizumab Verse Aflibercert In AMD.
PMID- 27201852
TI - A Web Based Optimisation Model For A Portfolio Of Preventative Interventions
Utilizing Multi Criteria Decision Analyses (Mcda) Framework.
PMID- 27201853
TI - Calibration And Statistical Modeling To Inform A Micro-Simulation Model For Early
HTA.
PMID- 27201854
TI - How Does Uncertainty Around Costs And Effects Relate To Uncertainty Around Cost
Effectiveness?
PMID- 27201855
TI - Development Of A Global Economic Model To Evaluate The Cost-Effectiveness Of
Targeted Treatments Using Companion Diagnostics In Advanced/Metastatic Cancer
Treatment.
PMID- 27201856
TI - Visualizing Methods For Discrete-Event-Simulations Using The Example Of A Breast
Cancer Decision-Analytic Model.
PMID- 27201857
TI - Assessing The Relationship Between Individual Attributes Identified In Review Of
Multi-Criteria Decision Analysis (MCDA) Of Rare Diseases And Annual Treatment
Costs In Rare Endocrine Disorders.
PMID- 27201858
TI - Development Of A Model To Assess The Cost-Effectiveness Of Therapies For Patients
With Type 2 Diabetes Mellitus (T2DM) Following A Reference Model Framework.
PMID- 27201860
TI - Methodological Evaluation Of The Impact Of Survival Costs In Oncology Modelling.
PMID- 27201859
TI - Microsimulation Model For The Assessment Of Personalized Cancer Care: The Mapcca
Model Framework.
PMID- 27201861
TI - Use Of External Data To Guide Long-Term Survival Extrapolations Of Trial Data For
Chronic Lymphocytic Leukemia.
PMID- 27201862
TI - Modelling Evolving Cancer Risk During Epidemiological Transition Using Economic
Data.
PMID- 27201863
TI - Modeling Disease Progression In Alzheimer's Dementia To Inform HTA (CEA).
PMID- 27201864
TI - Impact Of International And Therapeutic Referencing On Prices And Launch
Optimization.
PMID- 27201865
TI - Dose-Response Network Meta-Analysis To Address Dose Heterogeneity In A Cost
Effectiveness Analysis In Acute Migraine.
PMID- 27201866
TI - Forecasting Cancer Incidence Using Gross Domestic Product.
PMID- 27201867
TI - Development Of A Model To Predict Disease Progression In Autosomal Dominant
Polycystic Kidney Disease (ADPKD).
PMID- 27201868
TI - Estimating Crossover Bias In A Randomized Clinical Trial Of Ovarian Cancer
Treatment.
PMID- 27201870
TI - Stratified Cost-Effectiveness Analysis To Guide Genetic Screening For Cancer
Risk.
PMID- 27201869
TI - Cost-Effectiveness Modeling Of Antimicrobial Dressings For Preventing Catheter
Related Bloodstream Infection: Homogeneous Verse Non-Homogeneous Markov
Approaches.
PMID- 27201871
TI - The Impact Of The New Drug Co-Payment Scheme On Economic Evaluations In Spain.
PMID- 27201872
TI - Modelling Long-Term Changes In Opioid Induced Constipation (OIC).
PMID- 27201873
TI - Discrete Event Simulation For The Cost-Effectiveness Evaluation Of Pet-Ct Scans
In The Diagnosis Of Conn's Disease In Hypertensive Patients.
PMID- 27201874
TI - Patient Preferences And Hiv Drugs: What About Uncertainty?
PMID- 27201875
TI - Companion Diagnostics-Targeted Therapies Pairings Model-Based Economic
Evaluation: Reflection On A General Modeling Framework And Key Methodological
Points.
PMID- 27201876
TI - MODELLING HEALTH-RELATED QUALITY OF LIFE (HRQOL) LONGITUDINALLY. A BAYESIAN MIXED
BETA REGRESSION APPROACH.
PMID- 27201877
TI - Effect Of Sample Size And Data Maturity On Parametric Survival Modeling
Projections In Advanced Cancer.
PMID- 27201878
TI - Understanding Real Life Treatment Patterns Among Patients With Hypertension: A
Markov Model.
PMID- 27201879
TI - The Proportional Odds Model Is More Efficient Than The Multinomial Logistic Model
For Network Meta-Analyses Of Ordered Outcomes.
PMID- 27201880
TI - Predictive Modelling: Predicting Hospitalisation And Estimating The Cost And Risk
To The Third Party Funder.
PMID- 27201881
TI - Joint Modelling Of The Change In Tumor Size And Overall Survival; A Parametric
Model Considering Patient Heterogeneity Not Observed At Baseline.
PMID- 27201882
TI - Evaluating The Effect Of Immunotherapy In Advanced Non-Small- Cell Lung Cancer
Patients Using Two Components Mixture Model.
PMID- 27201884
TI - Mapping Fact-P To EQ-5D In Metastatic Castration-Resistant Prostate Cancer
(MCRPC): Performance Of A Previously Developed Algorithm When Applied On A Sample
With A Different Disease Stage.
PMID- 27201883
TI - How Do Individuals Complete The Choice Tasks In A Discrete Choice Experiment?
PMID- 27201886
TI - Assessment Of The Huntington Clinical Self-Reported Instrument (H-CSRI) Cross
Cultural Validity.
PMID- 27201885
TI - Severity And Functional Disability Of Patients With Occupational Contact
Dermatitis: Validation Of The German Version Of The Occupational Contact
Dermatitis Disease Severity Index (ODDI).
PMID- 27201887
TI - Assessment Of The Huntington Quality Of Life Instrument (H-QOL-I) Cross-Cultural
Validity.
PMID- 27201888
TI - Psychometric Evaluation Of The Patient's Knee Implant Performance (PKIP)
Questionnaire For The Assessment Of Primary Total Knee Arthroplasty.
PMID- 27201889
TI - Validity And Responsiveness Of The Bristol Rheumatoid Arthritis Fatigue
Multidimensional Questionnaire (BRAF-MDQ) In A Randomized Controlled Clinical
Trial.
PMID- 27201890
TI - THE DEVELOPMENT AND PRELIMINARY VALIDATION OF THE MANCHESTER SLEEP SYMPTOMS INDEX
(MSSI) FOR PEOPLE WITH CHRONIC OBSTRUCTIVE PULMONARY DISEASE (COPD).
PMID- 27201891
TI - DISCRETE-CHOICE EXPERIMENT VERSE RATING SCALE EXERCISE TO EVALUATE THE RELATIVE
IMPORTANCE OF ATTRIBUTES: A STUDY OF THE MAASTRICHT ISPOR STUDENT CHAPTER.
PMID- 27201892
TI - The Measurement Of Utilities In Asthma Patients: A Preliminary Study.
PMID- 27201893
TI - RASCH FIRST? FACTOR FIRST?
PMID- 27201894
TI - Patient Reported Utilities In First-Line Advanced Or Metastatic Melanoma:
Analysis Of Trial CA184-024.
PMID- 27201895
TI - Cdad-DaysymsTM: A New Patient-Reported Outcome Tool For Clostridium Difficile
Associated Diarrhoea.
PMID- 27201896
TI - Predicting Suicidal Behavior In Veterans And Active Military Personnel:
Possibilities For Electronic Deployment To Discover A Predictive Assessment.
PMID- 27201897
TI - The Influence Of Gene Expression Profiling (GEP) On Decisional Conflict In
Chemotherapy Treatment Decision-Making For Early-Stage Breast Cancer (BRCA).
PMID- 27201898
TI - Development And Content Validity Testing Of A Treatment Acceptance Measure For
Use In Hypercholesterolemia Patients Receiving Treatment Via Subcutaneous
Injection.
PMID- 27201900
TI - An Evaluation of the Performance of Eq-5d: A Review of Reviews of Psychometric
Properties.
PMID- 27201899
TI - Health-Related Quality of Life in Italian Patients With Moderate and Severe
Crohn's Disease: Interim Results from the Sole Study.
PMID- 27201901
TI - Validity of the Eq-5d-5l in Stroke Patients.
PMID- 27201902
TI - Physicians' Preferences for Bone Metastases Treatments in Turkey.
PMID- 27201903
TI - Re-Validation of the Self-Injection Assessment Questionnaire(c) (Siaqv2.0(c)) in
Rheumatoid Arthritis Patients on Certolizumab Pegol Treatment.
PMID- 27201904
TI - Current Sample Size Practices in the Psychometric Evaluation of Patient-Reported
Outcomes for Use in Clinical Trials.
PMID- 27201905
TI - A Reliability Generalisation of the Eortc Qlq-Br23.
PMID- 27201906
TI - Improving Performance in Diabetes Care: Benefits of Information Technology
Enabled Diabetes Management.
PMID- 27201907
TI - Re Introduction of the Ranking Task in Eq-5d Valuation. Improved Data Quality and
Reduced Level of Inconsistencies?
PMID- 27201908
TI - Are "Lively" and "Full of Pep" Similar Or Different Concepts? Challenges in
Translating These Terms in Seven Languages.
PMID- 27201909
TI - Efficacy of Virtual Reality Exposure Therapy in the Management of Symptoms
Associated With Post Traumatic Stress Disorder.
PMID- 27201911
TI - The Development and Preliminary Validation of the Manchester Early Morning
Symptoms Index (Memsi) for People With Chronic Obstructive Pulmonary Disease
(Copd).
PMID- 27201912
TI - What Is the Appropriate Comparator Health State To Use in Time Trade-off Studies?
PMID- 27201910
TI - An Electronic Version of the Pdq-39: Acceptability To Respondents and Assessment
of Alternative Response formats.
PMID- 27201914
TI - Quality of Life Elements in Schizophrenia for Patients and Carers offer
Challenges To and Opportunities for Intervention.
PMID- 27201913
TI - Assessing the Methodological Value of Digital Real-Time Collection of Qualitative
Content in Supporting In-Depth Qualitative Interviews Exploring the Symptoms and
Impacts of Gout on Health-Related Quality of Life.
PMID- 27201915
TI - An Analysis of the Health Technology Assessment Recommendation and Guidance on
Use of Eq-5d-5l in Cost-Effectiveness Modeling.
PMID- 27201917
TI - The Selection of Appropriate Health State Utility Values (Hsuvs) for Health
Technology Assessment (Hta): Lessons To Be Learnt.
PMID- 27201916
TI - From Clinically Relevant Outcome Measures To Quality of Life in Epilepsy.
PMID- 27201918
TI - Translation and Linguistic Validation of the Electronic Colombia Suicide Severity
Rating Scale in Asia-Pac.
PMID- 27201919
TI - Health Related Quality of Life in Cancer Patients: Evaluation With A Self
Administered Ipad Application.
PMID- 27201920
TI - Comparability of Interview and Self-Administration of the Functional Assessment
of Chronic Illness Therapy-Tuberculosis (Facit-Tb) Instrument in Iraqi Pulmonary
Tuberculosis Patients.
PMID- 27201921
TI - Quality of Life in Patients Undergoing Hemodialysis: A Vision of the Influence of
Time.
PMID- 27201922
TI - Patient Driven Questionnaire Development, Item Feedback from Users of A Patient
Network.
PMID- 27201923
TI - Impact of Osteoporotic Fractures on Quality of Life - Design of A Mapping Study
of Qualiost To Eq-5d.
PMID- 27201924
TI - Methods Used To Measure Patient Preferences In Psoriasis Treatments - An Overview
With Regards To The German Iqwig And G-Ba.
PMID- 27201925
TI - The Importance of Migration Assessments: Ecoa Translations and Linguistic
Validation.
PMID- 27201926
TI - Modification of Patient Reported Outcomes Measures of Compliance,
Gastrointestinal Symptoms, Palatability and Treatment Satisfaction for Patients
Needing Iron Chelation therapy.
PMID- 27201927
TI - Development of the Behavior Rating Inventory of Executive Function - Preschool
Version (Brief-P) in 10 Languages.
PMID- 27201928
TI - Challenges In Recruiting Patients For The Linguistic Validation Of Pro
Instruments Developed For Rare Diseases: A Case Study With Alagille Syndrome.
PMID- 27201929
TI - Responsiveness and Minimal Clinically Important Difference of A Specific Health
Related Quality of Life (Hrqol) Questionnaire for Home Enteral Nutrition (Hen)
Patients: Nutriqol(r) Questionnaire.
PMID- 27201930
TI - Methods To Elicit Patient Preferences: A Case Study In Metastatic Breast Cancer.
PMID- 27201931
TI - Measuring Change in Quality of Life: Can We Distinguish Recall Bias and Scale
Recalibration?
PMID- 27201932
TI - Review of Patient-Reported Outcomes in Diabetic Macular Edema.
PMID- 27201933
TI - Evaluation of Methods for the Inclusion of Real World Evidence in Network Meta
Analysis - A Case Study in Multiple Sclerosis.
PMID- 27201934
TI - Integrating Health Psychometrics With Health Economics: Can the 'Mapping' Toolbox
Be Extended Using Ordinal Structural Equation Models?
PMID- 27201935
TI - Frequentist Approach for Detecting Heterogeneity in Meta-Analysis Pair-Wise
Comparisons: Enhanced Q-Test Use By Using I2 and H2 Statistics.
PMID- 27201936
TI - Real-World Verse Randomised Controlled Trial Data: A Case Study On The Cost
Effectiveness Of Laparoscopic Surgery For Chronic Reflux.
PMID- 27201937
TI - The Use and Acceptance of Novel Statistical Analyses To Support Technology
Submissions To Hta Authorities.
PMID- 27201938
TI - Meta-Analysis in Open Bugs: How To Assess the Convergence of Mcmc Chain?
PMID- 27201939
TI - Adjusting for Treatment Crossover in A Trametinib Metastatic Melanoma Rct:
Identifying the Appropriate Method.
PMID- 27201940
TI - Assessing Balance in Baseline Characteristics Using Different Propensity Adjusted
Methods for Bipolar I Mixed Disorder Patients Initiating Asenapine Versus Other
Oral Atypical Antipsychotics.
PMID- 27201941
TI - Graphical Interactive Meta-Analysis Module for Facilitating Evidence-Based
Decision Making in Health Care.
PMID- 27201942
TI - Task-Based Versus Case-Based Analysis of Time Outcomes in Multi-Country Time and
Motion (T&M) Studies: Methodological Considerations and Application.
PMID- 27201943
TI - Knowledge on Medication Taking Behaviour, Balanced Diet and Physical Activity - A
Survey Among the Adolescents.
PMID- 27201944
TI - Development of A Web-Based Tool To Elicit the Opinion of Regionally Dispersed
Health Care Professionals Responsible for Medical Device Vigilance.
PMID- 27201945
TI - Analysis of Volume and Structure of Oral Antidiabetic Drugs Consumption in
Ukraine.
PMID- 27201946
TI - The Lumley-Method, A Recommended Network Meta-Analysis for Indirect Comparisons,
Summarized for Practitioners.
PMID- 27201947
TI - Multi-Level Network Meta-Analysis To Account for Dose-Response and Class Effects.
PMID- 27201948
TI - An Evaluation and Comparison of Methods Used in Survival Analysis To Fit
Distributional Curves To Kaplan-Meier Data.
PMID- 27201949
TI - Proposed Checklist for Non-Statisticians To Assess the Quality of A Network Meta
Analysis in the Context of A Nice Submission.
PMID- 27201950
TI - Methodological Assessment of Matching-Adjusted Indirect Comparisons: Case Study
Application To Attention Deficit/Hyperactivity Disorder (Adhd).
PMID- 27201951
TI - Systematic Review and Critical Appraisal of the Statistical Methods Used in
Published Studies To Indirectly Compare Novel Anticoagulants (Noacs) With
Warfarin for the Prevention of Stroke in Patients With Atrial Fibrillation (Af).
PMID- 27201952
TI - Uncertainty and Probabilistic Methods in Multi-Criteria Decision Analysis.
PMID- 27201953
TI - Modeling the Effect of Combining Alogliptin With Dual therapy in Type 2 Diabetes.
PMID- 27201954
TI - Simulating Individual Patient Level Data To Address Treatment Switching When Only
Summary Data Are Available.
PMID- 27201955
TI - Identifying Psoriasis and Psoriatic Arthritis Patients in Retrospective Databases
When Diagnosis Code Is Not Available: A Validation Study Comparing
Medication/Prescriber Visit Based Algorithms To Diagnosis Codes.
PMID- 27201956
TI - Modelling Longitudinal Trajectories of Patient-Reported Outcomes To Evaluate
Treatment Effect.
PMID- 27201957
TI - Methodology For Selecting Expert Groups For The Purpose Of Decision-Making Tasks.
PMID- 27201958
TI - The Randomized Blind Start Trial: Evaluation of A New Study Design for Assessing
Clinical Outcomes in Rare and Heterogeneous Patient Populations.
PMID- 27201959
TI - The Quality of Search Methodology and Search Reporting in Published Systematic
Reviews of Economic Evaluations: Search Sources.
PMID- 27201960
TI - Assessing the Effectiveness of Counter Matching for Improving the Efficiency of
the Nested Case-Control Design in Observational Studies.
PMID- 27201961
TI - Assessment of the Methodological Quality of Randomized Controlled Trials
Published in "Russian Allergology Journal" in 2009-2013.
PMID- 27201962
TI - Simulation of An Additional Go/No-Go Efficacy Interim Analysis in A Head-To-Head
Rct.
PMID- 27201963
TI - Immature Survival Data From Early Trial Termination - Theory and Hta Practice.
PMID- 27201964
TI - Evidence Resulting From Chart Review Methodology Applied To Named Patient
Programme Participation And Compassionate Medication Use: Peri-Approval
Approximation Of Post-Market Practice Patterns And Costs.
PMID- 27201965
TI - Negative Reimbursement Consequences From Trial Design Choices.
PMID- 27201966
TI - The Management of Irritable Bowel Syndrome (Ibs) in England: A Real World Study
in Primary Care Clinical Practice.
PMID- 27201967
TI - The Effect of A Likely Overemphasis on Ficiency-Related Test Attributes on Acmg
Recommendations and Access To Newborn Screening (Nbs).
PMID- 27201968
TI - Workflow Mapping for Paediatric Vaccination Process in the United Kingdom (Uk): A
Precursor of A Time and Motion (T&M) Study.
PMID- 27201969
TI - (Cost-) Effectiveness of A Multi-Component Intervention for Adults With Epilepsy:
Study Protocol of A Dutch Randomized Controlled Trial.
PMID- 27201970
TI - Comparative Effectiveness Research of Medical Devices - New Methods Needed?
PMID- 27201971
TI - Implementation of International Chart Review Studies: An Assessment of Ethics and
Regulatory Considerations.
PMID- 27201972
TI - Cost Per Patient in Non Interventional Studies and Added Value of Direct To
Patient Contact Service.
PMID- 27201973
TI - Retrospective Chart Review Studies: Strategies To Ensure Robust Data Quality.
PMID- 27201974
TI - Research Prioritization In An Mcda Context: Existing Methods - New Results.
PMID- 27201975
TI - A Statistical Modeling Framework To Characterize the Impact of Progression on
Survival in Oncology.
PMID- 27201976
TI - Towards Integration of Research Evidence on Patient Preferences in Coverage
Decisions and Clinical Practice Guidelines: A Proposal for A Taxonomy of
Preference-Related Terms.
PMID- 27201977
TI - When It May Not Be Necessary To Model Overall Survival for Economic Evaluations
of Anti-Cancer Drugs.
PMID- 27201978
TI - A Framework for the Economic Evaluation of Sequential Therapies for Chronic
Conditions.
PMID- 27201979
TI - Feasibility of Conducting Retrospective Studies Using Hashtags and Social Media
Data From Facebook and Twitter.
PMID- 27201980
TI - Novel Indirect Comparison Methodology for Estimating Time-Dependent Response To
Antimuscarinics for the Treatment of Oab.
PMID- 27201982
TI - Effective Prioritisation of National Health Technology Assessments.
PMID- 27201981
TI - Bayesian Models for Cost-Effectiveness Analysis in the Presence of Structural
Zero Costs.
PMID- 27201983
TI - Goal Attainment Scaling - A Useful Individualized Clinical Outcome Measure.
PMID- 27201984
TI - Avoiding and Identifying Errors and Other Threats To the Credibility of Health
Economic Models.
PMID- 27201985
TI - Impacts of Epro Data Collection Mode Selection on Patient Inclusion.
PMID- 27201986
TI - Clinical Outcome Assessment (Coa) Instrument Scoring: the Validity and Precision
of Unweighted Summary Scores Verse Irt Weighted Scores, and the Added Value of
Irt Standard Errors.
PMID- 27201987
TI - Evaluation of Estimators of Treatment Effect in Observational Studies.
PMID- 27201988
TI - Assessing Heterogeneity of Treatment Effect Using Real World Data.
PMID- 27201989
TI - Challenges In Meeting Evidence Needs Of Payer, Physician, Patient And Industry
Stakeholders For Novel Therapeutics.
PMID- 27201990
TI - Health Technology Assessments for Personalised Medicines: Are Current
Methodologies Suitable for the Assessment of Personalised Therapies?
PMID- 27201992
TI - Multiple Decision Criteria for Assessing An Incremental Cost-Effectiveness Ratio
of Expensive Health Technologies.
PMID- 27201991
TI - Efficacy, Effectiveness and the "Efficacy-To-Effectiveness Gap": Review of the
Current State of Play and Perspectives. First Results From the Imi Getreal
Consortium.
PMID- 27201993
TI - Health Technology Assessment and Environmental Costs: Time for Health Care To
Catch Up?
PMID- 27201994
TI - Non-Interventional Research Ethical Requirements in England and France: Shared
Experience From A Binational Research Project.
PMID- 27201995
TI - An Epidemiologic Modeling Application To Pharmacoeconomics for Improved Health
Care Planning.
PMID- 27201996
TI - Getting To Reimbursement Faster: Combining Randomised, Pragmatic, and
Observational Clinical Trial Data.
PMID- 27201997
TI - Propensity Score Matching and Subclassification With Multi-Level Treatments.
PMID- 27201998
TI - Real World Studies, Challenges, Needs and Trends from the Industry.
PMID- 27201999
TI - Pathways of Implementation of Multi-Criteria Decision Analysis Into Orphan Drug
Approval Procedure for Drug Supply Programs in Russian Federation.
PMID- 27202000
TI - Publication Manual of Budget Impact Analysis (Bia) by the Department of Science
and Technology of the Ministry of Health (Decit).
PMID- 27202002
TI - Effectiveness of Montelukast on Asthma Control in Infants: A Claims Data Study.
PMID- 27202001
TI - Prospective Study on Cost-Effectiveness of Nurse Interviw Introducing Retesting
With in Vitro Diagnostics (IVD) To Parents of Children With Suspected Food
Allergy in Finland.
PMID- 27202003
TI - Clinical Trial-Based Cost-Effectiveness Analysis of Indacaterol (ONBREZ(r) 150
MCG) Versus Tiotropium (SPIRIVA(r)) in the Treatment of Chronic Obstructive
Pulmonary Disease (COPD) IN TURKEY.
PMID- 27202004
TI - A Network Meta-Analysis Comparing the Efficacy And Safety of Ceftobiprole and
Selected Comparators in the Treatment of Hospital-Acquired Pneumonia.
PMID- 27202005
TI - Comparative Efficacy of Umeclidinium Bromide Versus Other Long-Acting
Anticholinergic Monotherapies as Treatments for Copd Patients.
PMID- 27202006
TI - A Database Study to Investigate the Incidence of Anaphylaxis and the Prescription
Rate of Self-Injection Epinephrine in Japan.
PMID- 27202007
TI - Systematic Review of Observational Studies and Rcts of Omalizumab in Severe
Persistent Allergic Asthma and Meta-Analysis Feasibility Assessment.
PMID- 27202008
TI - Impact of Omalizumab on Poor Asthma Control Events and Medication Utilisation in
Patients With Moderate or Severe Persistent Asthma.
PMID- 27202009
TI - Indirect Comparison of Exacerbation Frequency Between Aclidinium and Tiotropium
in Patients With Chronic Obstructive Pulmonary Disease.
PMID- 27202010
TI - Treatment Plan Comparison: An Observational Study of the Marche Region.
PMID- 27202011
TI - Current Annual Cost Calculation is the Best Predictor of Mortality at Three Years
in COPD.
PMID- 27202012
TI - The Prevalence of Tobacco Smoking in Patients With Diabetes in Hospital Pulau
Pinang, Malaysia.
PMID- 27202014
TI - Estimating Smoking Cessation Rates and Smoking Prevalences Using Public Data and
a Published Dynamic Model.
PMID- 27202013
TI - Epidemiology and Severity of Chronic Obstructive Pulmonary Disease (COPD) in the
United Kingdom (UK).
PMID- 27202015
TI - Incidence and Prevalence of COPD By Gold 2013 Classification in the Netherlands.
PMID- 27202016
TI - Patients With COPD who Initiate Roflumilast in Sweden.
PMID- 27202017
TI - Estimation of Increased Costs in Switching from Tiotropium to Other Lama Therapy
During Maintenance Treatment of COPD in the UK.
PMID- 27202018
TI - The Economic Impact of Therapy Optimization in Chronic Obstructive Pulmonary
Disease in Portugal.
PMID- 27202019
TI - The Budget Impact of Duoresp(r) Spiromax(r) (Budesonide + Formoterol Fumarate
Dihydrate) Compared With Symbicort(r) Turbohaler(r) for the Management of Asthma
and Chronic Obstructive Pulmonary Disease in the United Kingdom: Impact on Health
Care Costs and Inhalation Technique.
PMID- 27202020
TI - Budgetary Implications of Introducing Fluticasone Furoate/Vilanterol for Asthma
in the UK.
PMID- 27202021
TI - Budgetary Implications of Introducing Fluticasone Furoate/Vilanterol for COPD in
the UK.
PMID- 27202022
TI - Economic Burden of Hospitalized Pneumonia from a Private Health Care System
Perspective in Brazil.
PMID- 27202023
TI - Cost-Minimization and Budget-Impact Analysis of Fixed-Dose Combination Inhalers
in Treatment of Copd in Slovenia.
PMID- 27202024
TI - Cost-Minimization and Budget-Impact Analysis of Fixed-Dose ICS/LABA Combination
Inhalers in the Treatment of Asthma in Slovenia.
PMID- 27202025
TI - Benefit of Positive Airway Pressure (PAP) Therapy in Sleep Apnoea (SA) Patients
With Chronic Obstructive Pulmonary Disease (COPD) in Germany: A Retrospective
Comparative Cohort Analysis Based on a Statutory Health Insurance Database.
PMID- 27202026
TI - An Analysis of Us Medicare Beneficiaries: Burden of Direct Medical Costs in
Patients With Idiopathic Pulmonary Fibrosis.
PMID- 27202027
TI - Examination of the Burden of Illness of U. S. Medicare Patients Diagnosed with
Chronic Obstructive Pulmonary Disease.
PMID- 27202028
TI - The Cost Burden of Community-Acquired Pneumonia in Russia in Adults of 50 and
Older: A Regional Study and National Estimates.
PMID- 27202029
TI - The Medical Costs of Chronic Obstructive Pulmonary Disease in South Korea.
PMID- 27202030
TI - The Direct Cost of Asthma in Turkey.
PMID- 27202031
TI - Economic Burden in Direct Costs of Chronic Obstructive Pulmonary Disease (COPD)
in Russia.
PMID- 27202032
TI - Estimation of the Cost of Childhood Asthma in Turkey.
PMID- 27202033
TI - Cost of a Pulmonary Arterial Hypertension-Related Hospitalization in Belgium.
PMID- 27202034
TI - The Cost Study of Health Services in Mongolia.
PMID- 27202035
TI - Resource Use and Health Care Costs of Chronic Obstructive Pulmonary Disease in
Slovakia.
PMID- 27202036
TI - Prospective Study on the Average Cost of Therapy for Bronchial Asthma Patients in
an Indian Tertiary Care Teaching Hospital.
PMID- 27202038
TI - Pharmacoeconomic Evaluation of Acute Exacerbation of Asthma in Patients in
Malaysia.
PMID- 27202037
TI - Pharmacoeconomic Evaluation and Burden of Illness of Acute Exacerbation of Copd
in Patients in Malaysia.
PMID- 27202039
TI - A Pharmacoeconomic Care Analysis of Tuberculosis Control in Pakistan.
PMID- 27202040
TI - The Costs of Illness of Atopic Dermatitis in South Korea.
PMID- 27202041
TI - Cost-Effectiveness of Conjugate Pneumococcal Vaccination in Romania.
PMID- 27202043
TI - Cost Effectiveness of Bedaquiline for the Treatment of Multidrug-Resistant
Tuberculosis.
PMID- 27202042
TI - Cost-Effectiveness of a COPD Disease Management Program in Primary Care: The
Recode Cluster Randomized Trial.
PMID- 27202044
TI - Cost-Effectiveness Analysis of Umeclidinium Bromide Compared to Tiotropium
Bromide for Symptomatic Patients with COPD in the UK.
PMID- 27202045
TI - Cost Effectiveness of Umeclidinium/Vilanterol (UMEC/VI) Combination Therapy Among
Symptomatic COPD Patients.
PMID- 27202046
TI - Cost Effective Analysis of Dry Powdered Inhalers Versus Metered Dose Inhalers of
Salbutamol for Asthma in Rural Secondary Care Hospital of South India.
PMID- 27202047
TI - Cost-Effectiveness Analysis of Community-Acquired Pneumonia Treatment.
PMID- 27202048
TI - Economic Evaluation of the Fixed Dose Combination of Indacaterol/ Glycopyrromium,
as a Maintenance Bronchodilator Treatment in Adult Mexican Patients With COPD.
PMID- 27202049
TI - Cost- Effectiveness of Real Life Asthma Pharmacotherapy.
PMID- 27202050
TI - Cost-Effectiveness Analysis of High-Dose Levofloxacin Therapy of Patients With
Community-Acquired Pneumonia.
PMID- 27202051
TI - Cost-Effectiveness of Asthma Management in a Hospital-Based Adult Asthma Clinic
in Spain.
PMID- 27202052
TI - A Cost-Effectiveness Analysis of Treatment for Mild to Moderate Obstructive Sleep
Apnea-Hypopnea Syndrome (OSAHS) in France.
PMID- 27202053
TI - Economic Evaluation of the Use of an Infant Formula Based on Partially Hydrolyzed
Serum Protein as Compared With a Standard Whole Cow's Milk Formula for Prevention
of Atopic Dermatitis in Children Under 3 Years Old.
PMID- 27202054
TI - Impact of Allergen Immunotherapy on Symptom-Free Days and Health Care Costs in
Patients With Grass Pollen-Induced Allergic Rhinitis in Germany.
PMID- 27202055
TI - Cost-Effectiveness of Subcutaneous Immunothereapy in Allergic Rhinitis Using One
or More Allergens - An Analysis Long Overdue.
PMID- 27202057
TI - Economic Evaluation of Omalizumab Compared With Standard Therapy in the Treatment
of Severe Allergic Asthma in Adult Patients in Greece: a Cost Effectiveness
Analysis Based on Clinical Trial and Real-World Data.
PMID- 27202056
TI - Impact of Allergen Immunotherapy on Quality of Life and Health Care Costs in
Adults and Children With Grass Pollen-Induced Allergic Rhinitis in Germany.
PMID- 27202058
TI - Cost-Effectiveness Analysis of Allergen Immunotherapy in Patients With Grass
Pollen-Induced Allergic Rhinitis in Spain.
PMID- 27202059
TI - Cost-Effectiveness Analysis of Indacaterol/Glycopirronium (QVA149) as a
Maintenance Bronchodilator Treatment in Adult Patients With Chronic Obstructive
Pulmonary Disease in Spain.
PMID- 27202060
TI - Cost-Effectiveness of Endobronchial Valve Therapy for Severe Emphysema: A Model
Based Projection Based on the Vent Study.
PMID- 27202061
TI - Can Improved Treatment of Allergic Rhinitis Improve Workplace Productivity? The
Role of Intranasal Formulation of Azelastine Hydrochloride and Fluticasone
Propionate (Dymista).
PMID- 27202062
TI - The Potential Societal Cost Benefits of Improved Inhalation Technique With
Duoresp(r) Spiromax(r) (Budesonide + Formoterol Fumarate Dihydrate) Compared With
Symbicort(r) Turbuhaler(r) for the Management of Asthma and Chronic Obstructive
Pulmonary Disease in Sweden.
PMID- 27202063
TI - Tecepoc II Study. How to Improve the Inhalation Techniques in Patient with Copd.
The Influence of Preferences.
PMID- 27202064
TI - Medium Term Avoided Costs: High-Dose Hypoallergenic House Dust Mite Preparation
Immunotherapy Verse Conventional Symptomatic Treatment.
PMID- 27202065
TI - Device Handling Errors and the Impact on Quality of Life and Health Care Resource
Use in Asthmatic Patients.
PMID- 27202066
TI - Establishing the Relationship of Inhaler Satisfaction, Adherence, Smoking History
and Allergic Rhinitis With Patient Outcomes: Real World Observations in US Adult
Asthma Patients.
PMID- 27202067
TI - Impact of Omalizumab On All-Cause and Asthma-Related Health Care Resource
Utilisation in Patients With Moderate or Severe Persistent Asthma.
PMID- 27202068
TI - Inhalation Technique Evolution After Training in Copd. The Role of the Device.
PMID- 27202069
TI - Identification of Dry Powder Inhaler Attributes, and their Relative Importance to
Asthma and Chronic Obstructive Pulmonary Disease Patients, to Inform a Discrete
Choice Experiment.
PMID- 27202071
TI - Translation and Linguistic Validation of Two COPD Symptom Diaries (Nicsi And
Emsci) for Use in 14 Countries.
PMID- 27202072
TI - Testing E-PRO Device Usability During the Translation Process: A Case Study of
the Exact in 7 Countries.
PMID- 27202070
TI - Symptom Burden and Health Related Quality of Life in Patients With Idiopathic
Pulmonary Fibrosis in Clinical Practice: Insights-Ipf Registry.
PMID- 27202073
TI - Health-Related Quality of Life Among Tuberculosis Patients in Pakistan: A Cross
Sectional Study Using WHOQOL-BREF.
PMID- 27202075
TI - Health-Related Quality of Life (HRQOL) in Patients With Idiopathic Pulmonary
Fibrosis.
PMID- 27202074
TI - A Comparison of The Reliability and Validity of the Four-Item and Six-Item NISCI
Symptom Summary Scores.
PMID- 27202076
TI - Asthma and Copd In Spain: Quality of Life and Health Consumption Resources.
PMID- 27202077
TI - Health Related Quality of Life Among Young Smokers.
PMID- 27202078
TI - Health Related Quality of Life And Health Care Utilization in Primary Care
Patients With Moderate/Persistent Severity Asthma.
PMID- 27202079
TI - Evaluation of Selected Ken-Drgs in Greek Public Hospitals: The Degree to Which
they Reflect Actual Expenditure and Average Length of Stay.
PMID- 27202080
TI - Impact of Non-Consented Switch and Subsequent Switch in Asthma Medication:
Qualitative Study of Patient Perspective in the UK.
PMID- 27202081
TI - Prospective Study on Quality of Life (QOL) of Bronchial Asthma Patients in a
Tertiary Care Teaching Hospital.
PMID- 27202082
TI - Systematic Literature Review Assessing Data on the Burden of Allergic Rhinitis
from a Cost and Quality of Life Perspective.
PMID- 27202083
TI - Patterns of Asthma Treatment Utilization in Newly Diagnosed Elderly Patients are
Inconsistent With Asthma Management Guidelines.
PMID- 27202084
TI - Determination of Availability of Antimicrobial Preparations for Treatment of
Community-Acquired Pneumonia in Ukraine.
PMID- 27202085
TI - Monte-Carlo Simulation to Estimate the Health Care Costs Avoided With Fluticasone
Furoate/Vilanterol Due to Exacerbation Rate Reduction in Spanish COPD Patients.
PMID- 27202086
TI - Sustainable Policy: Higher Medication Use & Adherence During Reimbursement of
Pharmacologic Smoking Cessation Treatments.
PMID- 27202087
TI - Social Media Meets Population Health: A Sentiment And Demographic Analysis of
Tobacco and E-Cigarette Use Across The "Twittersphere".
PMID- 27202088
TI - Doctors' Failure in Observance of the COPD Management Guidelines: Case of the
CZECH Republic.
PMID- 27202089
TI - How Much the Appropriate Tobacco Price Would Be?: A Discrete Choice Experiment of
General Public in Japan.
PMID- 27202090
TI - Efficacy of Treatments for Macular Oedema Secondary to Branch Retinal Vein
Occlusion: A Network Meta-Analysis.
PMID- 27202091
TI - Comparative Effectiveness of An Acellular Synthetic Matrix As An Adjunct to
Standard Care In The Treatment of Venous And Mixed Leg Ulcers: Modeling of
Clinical Data And Routine Data.
PMID- 27202092
TI - Cost-Effectiveness of Omalizumab in Chronic Idiopathic Urticaria Refractory to H1
Antihistamines in Turkey.
PMID- 27202093
TI - Outcomes of Patients With Neovascular Age-Related Macular Degeneration (Namd) In
Greece Under Ranibizumab.
PMID- 27202094
TI - Results of A Decubitus Prevention and Wound Care Project.
PMID- 27202095
TI - Knowledge and Perception of Medical and Pharmacy Students Toward The Usage of
Sunblock.
PMID- 27202096
TI - Pharmacoepidemiology of Cellular/Tissue Derived Products for the Treatment of
Venous Leg Ulcers in Outpatient Care Settings.
PMID- 27202097
TI - The Epidemiology of Medical Treatment for Glaucoma and Ocular Hypertension in
Germany.
PMID- 27202098
TI - A Us Hospital Economic Impact Model for Oritavancin In Absssi Patients With Risk
of Mrsa Infections.
PMID- 27202099
TI - Clinical Effectiveness of Fumaric Acid Esters (Fumaderm) in Psoriasis: A
Systematic Review of Literature.
PMID- 27202100
TI - Economic Impact of Visual Impairment: A Pilot Study in Singapore.
PMID- 27202101
TI - Costs of Burn Care: A Systematic Review.
PMID- 27202102
TI - Costs of Psoriasis in Europe. A Systematic Review of the Literature.
PMID- 27202103
TI - Economic Burden of Eye Disease in Diabetic Patients: Literature Review.
PMID- 27202104
TI - Cost-Analysis of Chronic Idiopathic Urticaria Disease.
PMID- 27202105
TI - The Direct and Indirect Costs of Wet Age-Related Macular Degeneration (Wamd) and
Diabetic Macular Edema (Dme) in Greece.
PMID- 27202106
TI - Economic Burden of Burn Injuries in the Netherlands.
PMID- 27202107
TI - Modeled Outcomes and Overall Costs of the 13-Valent Pneumococcal Conjugate
Vaccine in the Tunisian National Vaccination Program.
PMID- 27202109
TI - Cost-of-Illness Study of Senile Cataract in the Czech Republic.
PMID- 27202108
TI - The Cost of Blindness in the Republic of Ireland 2010-2020.
PMID- 27202110
TI - Costs of Dental Outpatient Care - Resource Use Differentials Across Clinical
Dentistry Branches.
PMID- 27202111
TI - Cost-Effectiveness Analysis of Ustekinumab Compared With Etanercept for the
Treatment of Moderate to Severe Psoriasis in Costa Rica.
PMID- 27202113
TI - Ranibizumab for the Treatment of Visual Impairment Due to Myopic Choroidal
Neovascularization: Cost-Effectiveness Versus Aflibercept.
PMID- 27202112
TI - Cost-Effectiveness of Ranibizumab on Patients With Diffuse Diabetic Macular Edema
Within the Public Mexican Health Care System.
PMID- 27202114
TI - Cost-Effectiveness of Aflibercept in the Treatment of Macular Oedema Secondary to
Central Retinal Vein Occlusion In Sweden.
PMID- 27202115
TI - Cost-Effectiveness of Laser Doppler Imaging in Burn Care in The Netherlands; A
Randomised Controlled Trial.
PMID- 27202116
TI - Cost-Effectiveness of 13-Valent Versus 10-Valent Pneumococcal Conjugate Vaccine
Use in Croatia National Vaccination Program.
PMID- 27202117
TI - Cost-Effectiveness Analysis of Ingenolo Mebutato Verse Imiquimod in the Treatment
of Actinic Keratoses in the Perspective of the Italian Health System.
PMID- 27202118
TI - The Future Health Economic Potential of Next Generation Artificial Vision Devices
for Treating Blindness in Germany: an Early Cost-Utility Assessment.
PMID- 27202119
TI - Cost-Effectiveness of Ranibizumab Versus Photodynamic Therapy for the Treatment
of Neovascluar Age-Related Macular Degeneration Based in China Cost Setting.
PMID- 27202120
TI - Cost-Utility Analysis of Recommended Ranibizumab Regimen for Age-Related Macular
Degeneration in China.
PMID- 27202121
TI - Non-Proliferative Diabetic Retinopathy: is It Cost-Effective to Treat Early?
PMID- 27202122
TI - Cost-Utility Analysis (Cua) of First-Line Actinic Keratosis (Ak) Treatments in
Finland.
PMID- 27202123
TI - Cost-Effectiveness of Ranibizumab Verse Aflibercept in Treatment of Treatment of
Visual Impairment Due to Diabetic Macular Oedema (Dmo).
PMID- 27202124
TI - Health State Utilities for Pressure Ulcers - A Comparison of Condition-Specific
and Generic Measures and Time-Trade-Off (Tto).
PMID- 27202125
TI - Medication Adherence and Discontinuation Predicted by Disease Duration in
Glaucoma Patients: Findings From A Cross-Sectional Study in Korea.
PMID- 27202126
TI - Drug Survival Rates And Cost of Biological Agents for the Treatment of Moderate
to Severe Psoriasis in the Balearic Islands (Spain).
PMID- 27202127
TI - Implications for Time Savings Using New Intraoperative Measuring Technology.
PMID- 27202128
TI - Estimating Utility Data for Patient Symptom Severity in Chronic Spontaneous
Urticaria.
PMID- 27202129
TI - Health Related Quality of Life in Patients With Actinic Keratosis - Results From
Patients Treated in Dermatology Specialist Care In Denmark.
PMID- 27202130
TI - Categorical Health States In Chronic Spontaneous Urticaria (Csu) Based On The
Weekly Urticaria Activity Score (Uas7): Are They Distinct, Discriminative, And
Reproducible?
PMID- 27202131
TI - The Burden Of Primary Hyperhidrosis On The Patient: Eq-5d-5l Utilities,
Willingness To Pay And Daily Time Spent In Managing The Condition.
PMID- 27202132
TI - Subjective Expectations Regarding Life Expectancy And Health-Related Quality Of
Life In Moderate To Severe Psoriasis Patients.
PMID- 27202134
TI - The Decision Making Process In Receiving Bone Conduction Implants (Bci) For
Single Sided Deafness.
PMID- 27202133
TI - The Burden Of Chronic Urticaria In Europe: A Systematic Literature Review.
PMID- 27202135
TI - A Review Of Patient Reported Outcomes (Pros) In Psoriasis According To The Food
And Drug Administration (Fda) Pro Guidance Criteria.
PMID- 27202136
TI - Factors Conditioning Health Related Quality Of Life In Patients With Psoriasis In
Europe: A Systematic Review Of The Literature.
PMID- 27202137
TI - How Can the Quality of Life in Hand Eczema Questionnaire (qolheq) be Interpreted?
A Banding Study.
PMID- 27202138
TI - Cost-Effectiveness and Value of Information Analyses of Nutritional Support in
Preventing Pressure Ulcers in High Risk Hospitalised Patients.
PMID- 27202139
TI - Current Management and Barriers to Treatment for Wet Age-Related Macular
Degeneration (Wamd): Perspectives From Patients and Caregivers.
PMID- 27202140
TI - A Comaprative Cross-Sectional Study On Health-Related Quality Of Life In
Psoriasis From Hungary And Iran.
PMID- 27202141
TI - Dental Care Use And Associated Factors Among People With Rheumatoid Arthritis: A
Nationwide, Population-Based, Propensity Score-Matched Follow-Up Study.
PMID- 27202142
TI - The Analysis of Dental Care in Ukraine at the Regional Level.
PMID- 27202143
TI - Fabrication Of Voriconazole Solid Lipid Nanoparticles For Effective Ocular
Delivery.
PMID- 27202144
TI - Understanding Trends In Ophthalmologist Patient Selection And Care Based On
Patterns Of Billing.
PMID- 27202145
TI - The Impact of the German Drg-System on Policy Decision Making in Ent.
PMID- 27202146
TI - Macular Oedema Due to Retinal Vein Occlusion Methods for the Identification of
Treatment Guidelines and Areas of Unmet Clinical Needs by Means of Systematic
Review.
PMID- 27202147
TI - Ophthalmology: Therapy Trends in Europe Based on Clinical Trial Registry Data.
PMID- 27202148
TI - Treatment Patterns and Health Outcomes Among Patients with Radioiodine-Refractory
Differentiated Thyroid Cancer in the United States and Western Europe.
PMID- 27202149
TI - Approving Drugs Based on Early Stage Data - How Phase II Trial Data Correlates
with Phase III Outcomes. Case Study: NSCLC.
PMID- 27202150
TI - Cervical Human Papilloma Virus (HPV) DNA Primary Screening Test Results of the
Experience of a Regional Laboratory in Central Italy.
PMID- 27202151
TI - Comparative Effectiveness of Treatments for Relapsed or Refractory Mantle Cell
Lymphoma (R/R MCL), Using Matching Adjusted Indirect Comparison.
PMID- 27202152
TI - Systematic Review of Relapsed or Refractory Mantle Cell Lymphoma (MCL) Clinical
Trials: Implications for Decision Modeling.
PMID- 27202153
TI - Health Care Costs in Patients Treated with Ipilimumab for Advanced Melanoma
Results of a Retrospective Chart Review.
PMID- 27202154
TI - Treatments for EGFR Mutation-Positive (M+) NSCLC Patients - A Network Meta
Analysis (NMA) by Mutation Type.
PMID- 27202155
TI - Overall Survival in Patients with HER2+ Early Stage Breast Cancer Patients
Treated with Trastuzumab in the US Department of Defense Practice Setting.
PMID- 27202156
TI - The Relative Efficacy of Treatments in First-Line Management of Newly Diagnosed
Chronic Myeloid Leukaemia: Systematic Literature Review and Indirect Comparison.
PMID- 27202157
TI - An Indirect Treatment Comparison of Cabozantinib Verse Vandetanib in Progressive
Medullary Thyroid Cancer (MTC).
PMID- 27202158
TI - Analysis of Treatment Options for Relapsed or Refractory Chronic Lymphocytic
Leukemia (CLL).
PMID- 27202159
TI - Clinical Effectiveness of Robotic Image-Guided Stereotactic Radiosurgery
(CyberKnife) in Selected Primary and Secondary Soft Tissue Neoplasms: A
Systematic Review.
PMID- 27202160
TI - Comparison of Mean Overall Survival (OS) and Radiographic Progression Free
Survival (RPFS) Based on Matching Adjusted Indirect Comparison of Abiraterone
Acetate and Enzalutamide for the Treatment of Castration-Resistant Prostate
Cancer in Chemotherapy Naive Patients.
PMID- 27202161
TI - The Efficacy of Current Treatment Options for Metastatic Cervical Cancer.
PMID- 27202163
TI - A Systematic Literature Review to Identify Trials in First-Line Ras Wild-Type
(WT) Metastatic Colorectal Cancer (MCRC) Patients.
PMID- 27202162
TI - Bortezomib Re-treatment in Patients with Multiple Myeloma (MM). A Real World
Medical Practice Experience from a Swedish National Registry.
PMID- 27202164
TI - Characteristics of Patients with Pleural Mesothelioma in the Russian Federation.
PMID- 27202165
TI - First-Line Therapy for Patients With Multiple Myeloma: Direct and Indirect
Comparison of Treatment Regimens on the Existing Market.
PMID- 27202166
TI - What is the Clinical Effectiveness and Cost- Effectiveness of Erythropoietin
Stimulating Agents for the Treatment of Patients with Cancer-Treatment Induced
Anaemia? Insights from Cumulative Meta-Analyses (CMA) and Lessons for Cost
Effectiveness Analyses.
PMID- 27202167
TI - Treatment Sequencing Survival Model for Patients with Multiple Myeloma Ineligible
for Stem Cell Transplantation (SCT).
PMID- 27202168
TI - The Importance of Long-Term Surveillance of Stage IB Melanomas: Unexpectedly Low
Survival Subsequent to Recurrence.
PMID- 27202170
TI - Long-Term Trends in Breast Cancer Epidemiology in the Slovak Republic.
PMID- 27202169
TI - Real-World Data on the Epidemiology and Treatment of HR +, HER2-Advanced Breast
Cancer in Postmenopausal Patients in Different Regions of Russia for Forming
Markov Models of Managment of Patients.
PMID- 27202171
TI - Projecting Health Gains: The Population Impact of New Treatments to Metastatic
Breast Cancer.
PMID- 27202172
TI - Burden of Disease in Stage IV Non-Small Cell Lung Cancer: Comparing ALK Positive
and ALK Negative Mutation Status in Egypt, Russia and the United Arab Emirates.
PMID- 27202173
TI - Epidemiology of Patients with Metastatic Castrate Resistant Prostate Cancer in
Europe and Australia.
PMID- 27202174
TI - Comparison of Epidemiology and Drug Treatment in HER2 Negative Metastatic Breast
Cancer (MBC) in EU5.
PMID- 27202175
TI - Association of Diabetes and Cancer Diagnosis in Primary Care Practices in France.
PMID- 27202176
TI - A Validated Prediction Model and Nomogram for Risk of Recurrence in Early Breast
Cancer Patients.
PMID- 27202177
TI - Patient Count Projections for Advanced Melanoma by Line of Therapy and Other
Clinical Characteristics in EU Countries: Results from The UK, Germany, France,
Italy and Spain (EU-5).
PMID- 27202178
TI - Long Term Survival of Patients with Various Lung Cancer Histology in Seer Between
2004-2011.
PMID- 27202179
TI - Impact of Hospital Volume on Breast Cancer Outcome: A Population Based Study in
the Netherlands.
PMID- 27202180
TI - Simulation Model of Ibrutinib in Treatment of Relapsed or Refractory Mantle Cell
Lymphoma (MCL).
PMID- 27202181
TI - The Benefit of Her-2 Targeted Therapies on Overall Survival of Patients With
Metastatic Breast Cancer - A Systematic Review.
PMID- 27202182
TI - Simulation Model of Ibrutinib for Chronic Lymphocytic Leukemia (CLL) With Prior
Treatment.
PMID- 27202183
TI - Survival After Locoregional Recurrence or Second Primary Breast Cancer: Impact of
the Disease-Free Interval.
PMID- 27202184
TI - Estimating the Economic Impact of Sorafenib in Treatment of Locally Recurrent or
Metastatic, Progressive, Differentiated Thyroid Carcinoma (DTC) That is
Refractory to Radioactive Iodine (RAI) Treatment.
PMID- 27202185
TI - Budget Impact Analysis of Aflibercept in yhe Treatment of Metastatic Colorectal
Cancer (MCRC) in Poland.
PMID- 27202186
TI - Forecasting Outpatient Pharmaceutical Expenditure for Cancer Treatment in
Germany.
PMID- 27202187
TI - Budget Impact Analysis of Everolimus for the Treatment of Hormone Receptor
Positive, Human Epidermal Growth Factor Receptor-2 Negative (HER2-) Advanced
Breast Cancer in Kazakhstan.
PMID- 27202188
TI - Budget Impact Analysis of CYP2C19 Genotyping to Target Voriconazole Prophylaxis
During Induction-Consolidation Therapy in Acute Myeloid Leukemia (AML) in The
United States.
PMID- 27202189
TI - Budget Impact Analysis of the Introduction of New Therapeutic Agents for the
Treatment of Metastatic Castration Resistant Prostate Cancer (MCRPC) Patients
After Docetaxel Failure in the Brazilian Private Health System.
PMID- 27202190
TI - Buget Impact Analysis of Rituximab for Chronic Lymphocytic Leukemic: The Case of
Brazilian Public Health.
PMID- 27202192
TI - Budget Impact Analysis of the Use of Crizotinib for Non-Small Cell Lung Cancer
and ALK+ Mutation in the Two Main Public Health Care Institutions in Mexico.
PMID- 27202191
TI - Budget Impact Analysis of Everolimus Plus Exemestane Versus Gemcitabine Plus
Paclitaxel and Capecitabine Plus Docetaxel in Metastatic Breast Cancer Patients
in Egypt.
PMID- 27202193
TI - Budget Impact Model of Ceplene(r) As Maintenance Therapy in Adult Patients with
Acute Myeloid Leukemia in First Remission.
PMID- 27202194
TI - Real-World Costs of Laboratory Tests for Non-Small Cell Lung Cancer.
PMID- 27202195
TI - Positron Emission Tomography/Computed Tomography Imaging for Non-Small Cell Lung
Cancer: A Budget Impact Analysis.
PMID- 27202196
TI - Budget Impact and Incremental Survival Benefit of Eribulin Mesylate as a
Treatment for Metastatic Breast Cancer in Brazil.
PMID- 27202197
TI - Budget Impact on the Use of Pegfilgrastim to Reduce the Febrile Neutropenia
During Chemotherapy for Breast Cancer with Moderate Risk Compared to a Standard
Therapy.
PMID- 27202198
TI - Costs of Pneumonia in Patients With Cancer Diagnosis from the Private Health
System Perspective in Brazil.
PMID- 27202199
TI - Economic Impact of a Genomic Companion Diagnostic Test for Breast Cancer Patients
in French Private Hospitals.
PMID- 27202200
TI - Cost-Effectiveness and Budget-Impact Analysis of Braf Inhibitors in Patients With
Metastatic Malignant Melanoma (MMM) in Slovenia.
PMID- 27202201
TI - Budget Impact Analysis of a Return-To-Work Intervention for Cancer Patients Shows
Hospitals Bear the Costs, for Society to Enjoy the Benefits.
PMID- 27202202
TI - Cost of Treating Acute Promyelocytic Leukemia (APL) Patients in Italy.
PMID- 27202204
TI - Costed Treatment Pathways of Diffuse Large B Cell Lymphoma in a UK Population
Based Cohort: A Patient Level Simulation Model.
PMID- 27202203
TI - Economic Impact of Centralized Histological Reviews in Patients with Sarcoma,
Gist, and Desmoid Tumors.
PMID- 27202206
TI - Cost-Consequence Analysis of an Aprepitant Regimen Versus a Standard Antiemetic
Regimen for the Prevention of Highly Emetogenic Chemotherapy-Induced Nausea and
Vomiting in Italy.
PMID- 27202205
TI - A Cost-Analysis of Complex Radiotherapy in Patients with Head and Neck Cancer
Results from the Art-Orl Study.
PMID- 27202207
TI - Cost of Non Small Cell Lung Cancer by Vietnamese and European Treatment Standards
in Vietnam.
PMID- 27202208
TI - Hospital Cost of Thromboembolic Events in Breast or Prostate Cancer Patients.
PMID- 27202209
TI - Assessing the Economic Burden and Health Care Utilization of U. S. Medicare
Patients Diagnosed with Melanoma.
PMID- 27202210
TI - Resource Use and Health Care Costs of Cervical Lesions and Cervical Cancer in
Slovakia.
PMID- 27202211
TI - Economic Burden of Melanoma in Three European Countries: A Retrospective
Observational Study.
PMID- 27202212
TI - Cost and Burden of Non-Small Cell Lung Cancer's in Portugal.
PMID- 27202213
TI - Metastatic Prostate Cancer and Skeletal Related Events, a Cost of Illness Study.
PMID- 27202214
TI - Economic Impact Model of Breast Cancer Treatment at Early Stages in the Mexican
Public Health Care Sector.
PMID- 27202215
TI - The Burden of Non-Small Cells Lung Cancer (NSCLC) in First Line (1L) Treatment:
Patterns of Care and Cost of Illness.
PMID- 27202216
TI - Burden of Prostate Cancer and Future Need for Health Care Services.
PMID- 27202217
TI - Economic Burden of Asthma in Vietnam: An Analysis from Patients' Perspective.
PMID- 27202218
TI - Burden of Disease of the Mastacarcinoma in Austria.
PMID- 27202219
TI - Economic Burden of Disease in France In 2012: A Top-Down Allocation of Health
Care Expenditure by Disease Based on the French Health insurance Database
(SNIIRAM).
PMID- 27202220
TI - The Burden of Health Care Costs Associated with Prostate Cancer in Ireland.
PMID- 27202221
TI - Health Care Costs and Utilization of U. S. Veteran Patients Diagnosed with
Pancreatic Cancer.
PMID- 27202222
TI - Real World Management and Costs in Unresectable Metastatic Melanoma (UMM)
Patients Treated at the Antwerp University Hospital (UZA).
PMID- 27202223
TI - Economic Burden of Chemotherapy Related Toxicities in Third Line Metastatic
Breast Cancer Patients.
PMID- 27202224
TI - The Cost of NSCLC Treatment in Three Countries: France, Germany and UK.
PMID- 27202225
TI - Trends in Secondary Care Costs for Treatment of Head and Neck Cancer in England.
PMID- 27202226
TI - A Systematic Literature Review of the Economic Burden in Multiple Myeloma.
PMID- 27202227
TI - Exploring the Usefulness of Social Media and Patient Forums in Identifying
indirect Costs of a Disease.
PMID- 27202228
TI - Mastectomy Due to Breast Cancer in Brazil: Geographic Distribution and Costs from
the Public Health Care Perspective.
PMID- 27202229
TI - Estimation of Economic Losses Resulting from Diseases Associated with Smoking in
Mexican Insured and Uninsured Population.
PMID- 27202230
TI - Cost Comparison Among First Line Monoclonal Antibodies-Based Oncology Treatment
Protocols.
PMID- 27202231
TI - A Guideline-Based Estimate of Health Care Resource Use and Cost of Metastatic
Unresectable Osteosarcoma.
PMID- 27202232
TI - Use Patterns and Costs of Isolated Limb Perfusion and Infusion in the Treatment
of Regionally Metastatic Melanoma: A Retrospective Database Analysis.
PMID- 27202233
TI - Cost of Best Supportive Care for Non-Small Cell Lung Cancer Patients - A German
Perspective.
PMID- 27202234
TI - Resource Use and Health Care Costs of Metastatic Malignant Melanoma in Slovakia.
PMID- 27202235
TI - Cost-Benefit Assessment of the Electronic Health Records for Clinical Research
(EHR4CR) European Project.
PMID- 27202236
TI - Cost-Effectiveness of Colonic Stents for the Management of Malignant Large Bowel
Obstruction.
PMID- 27202237
TI - A Multi-State Model of Metatstatic Colorectal Cancer.
PMID- 27202239
TI - Cost Consequence Model Investigating the Impact of Bowel Cleansing on Prevention
of Colorectal Cancer in a German Screening Population.
PMID- 27202238
TI - Economic Consequences of the Adaption of the 21 Gene Reverse Transcriptase
Polymerase Chain Reaction RT-PCR Assay from The Greek Third Payer Perspective.
PMID- 27202240
TI - A Cost-Consequence Analysis of Human Papillomavirus Vaccination in Romania.
PMID- 27202241
TI - Association of Health Care Cost with Quality of Life for Various Types of
Cancers.
PMID- 27202242
TI - Cost-Effectiveness Model of Pertuzumab in Combination with Trastuzumab and
Docetaxel Compared with Trastuzumab in Combination with Docetaxel for the 1st
Line Treatment of HER2+ Metastatic Breast Cancer in Colombia.
PMID- 27202243
TI - Cost-Effectiveness of Ipilimumab for Previously Untreated Patients with Advanced
Metastatic Melanoma in Spain.
PMID- 27202244
TI - The Potential of (TARGETED) MR Colonography as a Screening Tool for Colorectal
Cancer: A Cost-Effectiveness Analysis.
PMID- 27202245
TI - Everolimus Plus Exemestane Compared to Exemestane and Fulvestrant for the
Treatment of ER+ HER2- Metastastic Breast Cancer in the United Kingdom - A
Societal Perspective.
PMID- 27202246
TI - Economic Evaluation of NAB-Paclitaxel Plus Gemcitabine Versus Gemcitabine Alone
for The Management of Metastatic Pancreatic Cancer in Greece.
PMID- 27202247
TI - Cost-Effectiveness Analysis of Panitumumab Plus Mfolfox6 Versus Bevacizumab Plus
Mfolfox6 for First-Line Treatment of Patients with Wild-Type Ras Metastatic
Colorectal Cancer.
PMID- 27202248
TI - Cost-Effectiveness Analysis of Abiraterone Acetate Treatment Compared with
Cabacitaxel in the Republic of Panama, in Patients with Metastatic Castration
Resistant Prostate Cancer that Have Failed to Chemotherapy with Docetaxel.
PMID- 27202249
TI - Cost-Utility Analysis of Pazopanib Verse Sunitinib as First-Line Treatment of
Metastatic Renal Cell Carcinoma (MRCC) iN Spain.
PMID- 27202250
TI - Economic Evaluation of the Use of Gefitinib for the Treatment of Locally Advanced
or Metastatic NSCLC.
PMID- 27202251
TI - Cost-Effectiveness of Ofatumumab Plus Chlorambucil in First Line Chronic
Lymphocytic Leukemia in Canada.
PMID- 27202252
TI - Cost-Effectiveness Analysis of Panitumumab+Mfolfox over Bevacizumab+Mfolfox as a
First-Line Treatment for Metastatic Colorectal Cancer Patients with Wild-Type Ras
in Greece.
PMID- 27202253
TI - Cost-Effectiveness and Cost-Utility of Granulocyte Colony-Stimulating Factors in
the Primary Prophylaxis of Chemotherapy Induced Febrile Neutropenia (FN) in
Breast Cancer Patients in Greece: A Comparative Analysis.
PMID- 27202254
TI - Cost-Effectiveness of Vismodegib Verse Standard of Care Therapy in the Treatment
of Locally-Advanced or Symptomatic Metastatic Basal Cell Carcinoma in Hungary - A
Global Cost-Effectiveness Model Adaptation.
PMID- 27202255
TI - Cost-Effectiveness of Aprepitant in Egyptian Patients Receiving Highly Emetogenic
Therapy from the Third Party Payer Perspective.
PMID- 27202256
TI - Cost Effectiveness Analysis of Everolimus + Exemestane for Patients with Advanced
Breast Cancer with Positive Estrogen Receptor (ER +), HER2-, Refractory to Non
Steroidal Aromatase Inhibitors (NSAIS) in Chile.
PMID- 27202257
TI - Cost-Effectiveness of 2-DOSE AS04-Adjuvanted Human Papillomavirus 16/18
Vaccination Schedule in Slovakia.
PMID- 27202258
TI - Cost-Effectiveness Analysis of Bevacizumab- Paclitaxel-Carboplatin (PC) Versus PC
in First-Line Therapy of Advanced Non-Small Cell Lung Cancer from Patients'
Perspective in Vietnam.
PMID- 27202259
TI - Potential Monetary Value of Human Papillomavirus Vaccination on Human
Papillomavirus-Related Cancers and Genital Warts in the United Kingdom.
PMID- 27202260
TI - A Cost Effectiveness Analysis of Everolimus Plus Exemestane Compared to
Chemotherapy Agents for the Treatment of ER+ HER2- Metastastic Breast Cancer in
the United Kingdom.
PMID- 27202261
TI - Cost-Effectiveness Analysis of Abiraterone Acetate Treatment Compared with
Cabacitaxel in Dominican Republic, in Patients With Metastatic Castration
Resistant Prostate Cancer that Have Failed to Chemotherapy with Docetaxel.
PMID- 27202262
TI - Cost-Effectiveness Analysis of Abiraterone Acetate Treatment Compared With
Cabacitaxel in Costa Rica, in Patients with Metastatic Castration-Resistant
Prostate Cancer That Have Failed to Chemotherapy with Docetaxel.
PMID- 27202263
TI - Cost-Effectiveness Simulation of Colonography Versus Colonoscopy in Germany: Is
Laxative-Free Colonography Cost-Effective?
PMID- 27202264
TI - Clinical and Economic Analysis of Effectiveness of Everolimus in the Treatment Of
HR+, HER2- Advanced Breast Cancer in Russia.
PMID- 27202265
TI - A Cost-Effectiveness Analysis of EGFR-TK Mutation Status-Guided 1st- and 2nd-Line
Treatment of Stage III/IV Non-Small Cell Lung Cancer in the UK.
PMID- 27202266
TI - Comparative Cost-Effectiveness Study of Modern Radiation Therapies in Hungary for
Localized Prostate Cancer.
PMID- 27202267
TI - Systematic Critical Review of Economic Evaluations of Rituximab, Added to
Conventional Chemotherapy Regimen in the Treatment of Patients with Chronic
Lymphocytic Leukemic Refractory.
PMID- 27202268
TI - Literature Review of Decision-Analytical Models Used in the Economic Evaluation
of Empirical/Targeted Antifungal Treatments for Invasive Fungal Infections.
PMID- 27202269
TI - What is the Most Cost-Effective Strategy for Treating Chronic Myeloid Leukemia
After Imatinib Loses Patent Exclusivity in Europe?
PMID- 27202270
TI - Cost-Effectiveness of Radical Prostatectomy, Radiation Therapy and Active
Surveillance for the Treatment of Localized Prostate Cancer - A Claims Data
Analysis.
PMID- 27202271
TI - Expansion of the Norwegian HPV Vaccination Program.
PMID- 27202272
TI - Cost-Effectiveness Evaluation of Brentuximab Vedotin for Refractory/Relapsed
Hodgkin Lymphoma: A Comparative Analysis of the Results of Mexico and Venezuela.
PMID- 27202273
TI - Critical Review of Cost-Effectiveness Analyses (CEA) of Prevention Strategies
Against Diseases Associated with Human Papillomavirus (HPV) Infection.
PMID- 27202274
TI - Cost-Effectiveness Analysis of Fulvestrant in the Treatment of Metastatic Breast
Cancer in Second-Line Chemotherapy.
PMID- 27202276
TI - Economic Evaluetion of Fulvestrant 500 MG (F500) Versus Original Nonsteroidal
Aromatase Inhibitors in Patient with Advanced Breast Canser in Russia (2 LINE
THERAPY).
PMID- 27202275
TI - Will Governments be Able to Afford a Cancer Cure Under Current Health Economic
Evaluation Methods?
PMID- 27202277
TI - Economic Impact of the Inclusion of Pertuzumab for the Treatment of Metastatic
Breast Cancer HER2.
PMID- 27202278
TI - Cost Effectiveness of Sunitinib as First-Line Targeted Therapy for Metastatic
Renal Cell Carcinoma in China.
PMID- 27202279
TI - Cost-Effectiveness Analysis of Bevacizumab, Fotemustine and Extended-Dose
Temozolomide in Patients with Recurrent Glioblastoma in Spain.
PMID- 27202280
TI - Cost Effectiveness of Cetuximab in 1st-Line Treatment of RAS Wild-Type Metastatic
Colorectal Cancer in Scotland: A Summary of the Submission to the Scottish
Medicines Consortium.
PMID- 27202281
TI - An Evidence-Based Model Design to Inform the Cost-Effectiveness Evaluation of
Primary Endocrine Therapy And Surgery for Older Women with Primary Breast Cancer.
PMID- 27202282
TI - Pharmacoeconomic Analysis of Axitinib as Second-Line Treatment for Metastatic
Renal Cell Carcinoma.
PMID- 27202283
TI - Decision Analysis on the Cost-Effectiveness of Sequential Treatment Strategies
for Patients with Chronic Myeloid Leukemia in the United States.
PMID- 27202284
TI - Prioritization of Future Outcomes Research Studies in Chronic Myeloid Leukemia:
Value of Information Analysis.
PMID- 27202285
TI - Cost-Effectiveness Analysis of Hydralazine and Magnesium Valproate LP Associated
With Treatment for Adult Patients with Metastatic Recurrent or Persistent
Cervical Cancer in Mexico.
PMID- 27202286
TI - The Cost-Effectiveness of Brentuximab Vedotin in Hodgkin Lymphoma in Sweden.
PMID- 27202287
TI - Economic Evaluation of Axitinib for Second Line Treatment in Adult Patients with
Advanced Renal Cell Carcinoma - the Portuguese Case.
PMID- 27202288
TI - Cost Effectiveness Analysis of Targeted Intraoperative Radiotherapy Alone (TARGIT
A) in Early Breast Cancer Patients.
PMID- 27202289
TI - Cost-Minimization Analysis of Trastuzumab Intravenous Versus Trastuzumab
Subcutaneous for the Treatment of Patients With HER2+ Early Breast Cancer And
Metastatic Breast Cancer in Greece.
PMID- 27202290
TI - A Cost Effectivness Analysis of Everolimus Compared with Axitinib in the
Treatment of Metastatic Renal Cell Carcinoma in the United Kingdom.
PMID- 27202291
TI - Early Cost-Effectiveness Modeling for Tumor Infiltrating Lymphocytes (TIL)
Treatment Versus Ipilimumab in Metastatic Melanoma Patients.
PMID- 27202292
TI - Economic Evaluation of Paclitaxel Albumin, Paclitaxel, and Docetaxel as a Second
Line Treatment for Metastatic Breast Cancer.
PMID- 27202293
TI - Cost-Minimization Analysis of Bevacizumab Verse Cetuximab in First-Line Treatment
for Metastatic Colorectal Cancer in Kras Wild-Type Patients in the Supplementary
Health Care System in Brazil.
PMID- 27202294
TI - Model-Based Cost-Utility Analysis of Erythropoiesis-Stimulating Agents for the
Treatment of Cancer-Treatment Induced Anaemia in the UK NHS.
PMID- 27202295
TI - 'DE NOVO' Quantification of Genotype-Directed Therapy with Afatinib in Metastatic
Lung Cancer.
PMID- 27202296
TI - Cost-Effectiveness Analysis of Bendamustin-Rituximab Compared to Chop-Rituximab
in the Treatment of Indolent Follicular Non-Hodgkin Lymhoma in the Czech
Republic.
PMID- 27202297
TI - Pharmacoeconomic Analysis of Oral Capecitabine and Tegafur for Colorectal Cancer
Treatment in Russia.
PMID- 27202298
TI - Economic Impact of Using Subcutaneous Trastuzumab.
PMID- 27202299
TI - Economic Evaluation of Lapatinib in Her-2-Positive Metastatic Breast Cancer
Patients in Egypt.
PMID- 27202300
TI - Health Care Utilization and Costs of Breast Cancer in the Medicaid Program.
PMID- 27202301
TI - Nab-Paclitaxel or Docetaxel as Alternatives to Solvent-Based Paclitaxel in
Metastatic Breast Cancer (Mbc): A Cost Utility Analysis from a Chinese Health
Care Perspective.
PMID- 27202302
TI - The Cost-Effectiveness of Second-Line Crizotinib in Eml4-Alk Rearranged Advanced
Non-Small Cell Lung Cancer.
PMID- 27202303
TI - Cost-Effectiveness of Ipilimumab in Previously Untreated Patients for Advanced
Melanoma in Sweden.
PMID- 27202304
TI - Qaly Weightings Based on the Burden of Illness Applied to a Uk Cost-Effectiveness
Analysis of Nab-Paclitaxel + Gemcitabine Versus Gemcitabine Alone for the
Treatment of Metastatic Pancreatic Cancer.
PMID- 27202305
TI - What's the optimal visual inspection screening intervals for cervical cancer
screening in real practice of rural china? A cost-utility modeling study.
PMID- 27202306
TI - Economic Evaluation of Home Parenteral Nutriton in Cancer Patients; The French
Context.
PMID- 27202307
TI - Cost-Effectiveness Analysis of Testing for Brca Mutations in Women Diagnosed with
Ovarian Cancer and their Female First-Degree Relatives: A Uk Health Service
Perspective.
PMID- 27202308
TI - Cost-Effectiveness Analysis of Ugt1a1 Genotyping Before Colorectal Cancer
Treatment with Irinotecan.
PMID- 27202309
TI - Cost-Utility Analysis of Trastuzumab in Treatment Of Metastatic Her2-Positive
Breast Cancer in Vietnam.
PMID- 27202310
TI - Burden of Drug Waste in Oncology: Optimization of Resource Use.
PMID- 27202311
TI - Real World Data in Oncology: Third- and Fourth-Line Treatments Administered in
Metastatic Colon-Rectal Cancer (MCRC).
PMID- 27202312
TI - Estimating the Voi of Pivotal Studies Towards Predictive Biomarkers of High Dose
Alkylating Chemotherapy in Triple Negative Breast Cancer.
PMID- 27202313
TI - Burden of Renal Impairment: Relative Health Care Resource use in Prostate Cancer
Patients with Bone Metastases.
PMID- 27202314
TI - Resources Utilization for the Investigation of Pulmonary Nodules in a University
Hospital Center in Quebec, Canada.
PMID- 27202315
TI - Impact on Hospitalization Derived from the Use of Denosumab for the Prevention of
Skeletal-Related Events in Patients with Bone Metastases Secondary to Breast
Cancer in Germany.
PMID- 27202316
TI - Amnog Benefit Assessment for Oncologic and Orphan Drugs in Germany - Implications
for Price Discounts.
PMID- 27202317
TI - Targeted Literature Review of Medication Event Monitoring Systems to Evaluate
Adherence in Observational Real-World Studies.
PMID- 27202318
TI - A Systematic Review of Health State Utility Values for Advanced Ovarian Cancer.
PMID- 27202319
TI - Clinical Implementation of Genomic Sequencing in Pediatric Oncology:
Identification and Valuation of Resources and Costs Associated with Next
Generation Sequencing.
PMID- 27202320
TI - Health-State Utility Values in Breast And Prostate Cancer Measured using the EQ
5D: A Systematic Review of the Literature.
PMID- 27202321
TI - Obtaining Indirect Utilities with the Sf-6d and the Porpus-U in Prostate Cancer
Patients.
PMID- 27202322
TI - Health State Utility Valuation in Radio-Iodine Refractory Differentiated Thyroid
Cancer (RR-DTC).
PMID- 27202323
TI - French Utility Elicitation in Previously Treated European Patients with Indolent
Non-Hodgkin Lymphoma (INHL).
PMID- 27202324
TI - Generating Health State Utility Values from Fact-Ovarian Data Collected in a
Phase Ii Maintenance Study in Platinum Sensitive Recurrent Ovarian Cancer (Study
19): A Comparison of Mapping Algorithms.
PMID- 27202325
TI - Patient Satisfaction Regarding their Treatment and Disease Decisions in Infra
Centimetric Breast Cancer.
PMID- 27202326
TI - Health Related Quality of Life and Patient Satisfaction in Colorectal Cancer
Patients Treated Through Radical Surgery in Curative Intent in a Colo-Proctology
Clinical Department.
PMID- 27202327
TI - Health Related Quality of Life (Hrqol) in Multiple Myeloma Patients Treated in a
Tertiary Referral Hospital.
PMID- 27202329
TI - Brio: A European Prospective Observational Study to Assess the Burden of Disease
and Treatment in Metastatic Breast Cancer (Mbc) Patients Treated with Oral
Vinorelbine (Nvboral) or Intravenous Vinorelbine (Ivvino).
PMID- 27202328
TI - Public Preferences for Genetic Screening for Colorectal Cancer: A Discrete Choice
Experiment.
PMID- 27202330
TI - Health Related Quality of Life and Patient Satisfaction in Prostate Cancer
Patients Treated Through Radical Prostatectomy.
PMID- 27202331
TI - Investigating the Framing-Effects of Risk Attributes in Discrete Choice
Experiments: A Pilot Study.
PMID- 27202332
TI - Results and implications of using a new eq-5d value set for cost-utility analyses
in sweden. An application using enzalutamide (xtandi(r)) verse best supportive
care for treatment of metastatic castration resistant prostate cancer (MCRPC).
PMID- 27202333
TI - Possibilities of Breast Cancer Prevention.
PMID- 27202334
TI - Knowledge of Human Papillomavirus among University Students in Hungary.
PMID- 27202335
TI - What Matters to Patients and their Caregivers: Using Social Media and Patient
Forums to Obtain Valuable Information from a Patient and Carer Perspective.
PMID- 27202336
TI - Patients' Preferences for Bone Metastases Treatments in Turkey.
PMID- 27202337
TI - Patient and Disease Characteristics are Important Determinants of Health-Related
Quality of Life of Patients with Metastatic Renal Cell Carcinoma Results from a
Population-Based Registry.
PMID- 27202338
TI - Development of a Conceptual Model for Pediatric Oncology Results from a Review of
Qualitative Research Literature and Clinician Interviews.
PMID- 27202339
TI - Misuse, Abuse, and Diversion of instanyl(r) (Fentanyl Nasal Spray) in France.
PMID- 27202341
TI - Development of a Patient-Led End of Study Questionnaire to Evaluate the
Experience of Clinical Trial Participation.
PMID- 27202340
TI - Patient-Reported Outcomes Assessed Using the Breast-Q Instrument in Women
Undergoing Breast Reconstruction Post-Mastectomy: A Systematic Literature Review.
PMID- 27202342
TI - Skeletal-Related Events (SRES) Impact Significantly the Health-Related Quality of
Life (HRQOL) of Chemo-Naive Men With Metastatic Castration Resistant Prostate
Cancer (MCRPC).
PMID- 27202343
TI - Patients' Priorities in the Treatment of Neuroendocrine Tumors: An Analytic
Hierarchy Process.
PMID- 27202344
TI - What Relapsed/Refractory Cll/Mcl Treatment Outcomes Do German Patients And
Physicians Find Most Important? Results from Qualitative Interviews.
PMID- 27202345
TI - Patients' Preferences in Late Stage Treatment of Non-Small-Cell Lung Cancer: A
Discrete-Choice Experiment.
PMID- 27202346
TI - Carer Perceived Burden as a Predictor of Health-Related Quality of Life: The Case
of Colorectal Cancer.
PMID- 27202347
TI - Impact of Brain Metastases on Quality of Life and Estimated Life Expectancy in
Patients with Advanced Non-Small Cell Lung Cancer.
PMID- 27202348
TI - Shared Decision-Making in Women with Early Stage Breast Cancer and Implications
for Long-Term Health-Related Quality of Life.
PMID- 27202349
TI - Validation of the Proposed Reduced Quality of Life Questionnaire to the Eortc QLQ
C30 in Cubans Patients with Cancer.
PMID- 27202350
TI - Quality of Life in Patients With Lung Cancer in the Slovak Republic.
PMID- 27202351
TI - Quality of Life in Patients with Multiple Myeloma in Slovakia.
PMID- 27202352
TI - Sustainable Measurement of Response Shift in Prostate Cancer Patients: Adjusting
Health Related Quality of Life with the Then-Test.
PMID- 27202353
TI - Evidence-Based Medicine as a Driver of Improving Colorectal Cancer Screening in
Ukraine.
PMID- 27202354
TI - 5-Year Survival is not an Appropriate Indicator for Cancer Control in the
Population: Revisiting the Issue Based on UK Data.
PMID- 27202355
TI - How is Research and Development Innovation Evolving? Focus on Oncology and
Cardiovascular Disease.
PMID- 27202356
TI - Next Generation Sequencing Technology: Health Technology Assessment, Market
Access Trends and Potential Impacts on The Future of Companion Diagnostic
Testing.
PMID- 27202357
TI - Expert Elicitation Used for Early Technology Assessment to Inform on Cost
Effectiveness of Next Generation Sequencing.
PMID- 27202358
TI - A Comprehensive Assessment of Early Trial Evidence in Primary Breast Cancer: How
Decisions Change Over Time.
PMID- 27202359
TI - An Italian Oncology Research to Evaluate Adherence to Clinical Guidelines for
Cancer Treatment: The Right Program.
PMID- 27202360
TI - Which is More Important for Doctors in a Low-Middle Income Country: a National
Guideline or the Medical Literature? A Guideline Adherence Survey of Trastuzumab
use for Breast Cancer in iran.
PMID- 27202361
TI - Time Savings With Transtuzumab Subcutaneous (SC) Injection Verse Trastuzumab
Intravenous (IV) Infusion: A Time and Motion Study in 3 Russian Centers.
PMID- 27202362
TI - Process Mapping to Capture Breast Cancer Patients' Journey in Greek Public
Oncological Hospitals.
PMID- 27202363
TI - Assessment of Lung Cancer Treatment by Disease Phase Using National Cancer
Registry Data Linked with Treatment Pathway cost Data in Austria.
PMID- 27202364
TI - Current Status of Reimbursement Decisions for Orphan Drugs or Cancer Drugs and
Implementation for Access Schemes in Korea.
PMID- 27202365
TI - Market Access Levers and Barriers for Key Oncology Agents in the EU5: Surveyed
Oncologist and Interviewed Payer Insights.
PMID- 27202366
TI - Pricing and Reimbursement Analysis of Bacillus Calmette-Guerin (BCG)
Immunotherapy for Bladder Cancer.
PMID- 27202368
TI - Impact of Introducing Costs/Qaly Threshold on Access to Oncology Medicines in
Slovakia.
PMID- 27202367
TI - The Expanding Value Footprint of Oncology Treatments.
PMID- 27202369
TI - A Comparison of Market Access Evaluations for new Oncology Therapies in France,
Germany and the UK: An Analysis Using the Prismaccess Database.
PMID- 27202370
TI - The FDA Black Box Warning Does Reduce the Use of Erythropoietin Stimulating
Agents and Increases Blood Transfusions in Insured, Low Income Cancer Patients.
PMID- 27202371
TI - Innovation May Drive Streamlined Access to New Biopharmaceuticals Across Some
Emea Markets.
PMID- 27202372
TI - Treatment Patterns And Outcomes Of Patients Diagnosed With Ovarian Cancer In The
Netherlands: A Registry Study.
PMID- 27202373
TI - How Successful Have Pediatric Investigation Plans Been In Stimulating Research
For Pediatric Cancers?
PMID- 27202374
TI - Treatment Patterns and Costs Of Neoadjuvant Systemic Therapies (NAT) For Early
Breast Cancer (EBC): A Retrospective Claims Analysis.
PMID- 27202375
TI - Health Economic Impact of Volume Doubling Time as Biomarker in Lung Cancer
Diagnosis.
PMID- 27202377
TI - Differential Pharmaceutical Pricing: Are Prices Co-Related With GDP?
PMID- 27202376
TI - What are the Health Care Resource Utilization and Medical Cost of Untreated
Patients with Neuroendocrine Tumors in the United States?
PMID- 27202378
TI - Using Innovative Modeling Analytics with Real World Data to Develop a National
Breast Cancer Screening Program in the Kingdom of Saudi Arabia.
PMID- 27202380
TI - Health Care Resource Utilization (HCRU) In Hospitalized Febrile Neutropenia (FN)
Patients Treated With Chemotherapy For Solid Tumors (ST) And Hematological
Malignancies (HM) In Bulgaria.
PMID- 27202379
TI - Off-Label Use of Anticancer Drugs In South Korea.
PMID- 27202382
TI - Evidence for Regional Variation in the Appraisal of Individual Cancer Drugs Fund
Requests.
PMID- 27202381
TI - Saudi Arabia: A very Attractive Biopharmaceutical Market, Once Manufacturers Can
Navigate the Labyrinth.
PMID- 27202383
TI - The UK Cancer Drug Fund Scoring System and the Impact of the Incremental Cost
Effectiveness Ratio on Funding Decisions.
PMID- 27202384
TI - Pharmaceutical Market Access in Russia: A Regional Maze?
PMID- 27202385
TI - Health Care Resource Utilization (HCRU) In Hospitalized Febrile Neutropenia (FN)
Patients Treated With Chemotherapy for Solid Tumors (ST) And Hematological
Malignancies (HM) In Bulgaria (BG), Czech Republic (CZ) And Slovakia (SK) As
Observed In Clinical Practice.
PMID- 27202386
TI - Total Vaccine Cold Chain Volume Needed For Human Papillomavirus Vaccination In
Bangladesh.
PMID- 27202387
TI - Reimbursement of Targeted Cancer Therapies Within Three Different European Health
Care Systems.
PMID- 27202388
TI - Reimbursed Pharmacotherapy of Metastatic Clear Cell Kidney Cancer (MCCKC) in the
Czech Republic.
PMID- 27202389
TI - Dilemma of Cross-Over Trials and Their Impact on Benefit Assessment In Oncology.
PMID- 27202390
TI - Likely Impact of Net Price Referencing on European Markets.
PMID- 27202391
TI - Awareness of Breast Cancer and its Prevention. A Comparative Survey Among Finnish
and Hungarian Women.
PMID- 27202392
TI - Comparing Access to Drugs Through the CDF and by Nice - The CDF Stipulate
Stricter Clinical Criteria but will Also Approve Funding for Off-Label Usage.
PMID- 27202393
TI - Comparing How Single Arm Phase II Trial Data Can Support Approval of Oncologics
by European Health Technology Assessment Bodies.
PMID- 27202395
TI - Oncology Products in the Amnog Process - Learnings for a Successful Dossier
Submission.
PMID- 27202394
TI - The Cancer Drugs Fund: A Systematic Analysis of the Requirements For Inclusion on
the English National List of Drugs for Priority Funding.
PMID- 27202396
TI - Testing The Utility Of The Nhs's Systemic Anti-Cancer Therapy Data Set For Multi
Indication Pricing.
PMID- 27202397
TI - Media Coverage of the Nice First Draft Consultation Guidance for Trastuzumab
Emantansine (Kadcyla) In Breast Cancer.
PMID- 27202398
TI - Application of Threshold Value for Cost-Effectiveness in Recommendations Issued
by Agency for Health Technology Assessment in Poland for Cancer Drug
Technologies.
PMID- 27202399
TI - Price Control Of Out-Patient Cancer Drugs In Bulgaria, 2010-2011: Reference Based
Pricing And Public Tenders Verse Reference Based Pricing Only.
PMID- 27202400
TI - Understanding Caregiver Burden in Colorectal Cancer: What Role Do Patient And
Carer Factors Play?
PMID- 27202401
TI - Investigating the use of Personalised Medicine in Cancer Trials - an Update.
PMID- 27202402
TI - The Role Of Prior Breast Cancer Diagnosis In Articulating Expectations For
Reconstructed Breast Appearance.
PMID- 27202403
TI - Nice Restrictiveness Compared to the Market Authorization In Oncology And Non
Oncology Reviews.
PMID- 27202404
TI - Health Technology Assessments In Oncology: Crizotinib Case Study.
PMID- 27202405
TI - Systematic Review of Economic Evaluations in Cancerology In Brazil Between 1980
And 2013.
PMID- 27202406
TI - Impact of Health Care Reform on Drug Reimbursement Decision-Making In Taiwan.
PMID- 27202407
TI - Applicability Of Eunethta Relative Effectiveness Assessment of Pazopanib For
National Assessments.
PMID- 27202408
TI - The Life and Death of the End of Life Treatment Appraisal Criteria in Nice
Technology Appraisals?
PMID- 27202409
TI - Expanded Data Sets For Hta Decision-Making In Oncology: Do They Help To Achieve
Positive Appraisals?
PMID- 27202410
TI - Human Epididymis Protein 4 Test.
PMID- 27202411
TI - Meta-Analysis of Decision Impact and Net Decision Change in Adjuvant Chemotherapy
Allocation in Early Stage Node-Negative, Estrogen Receptor-Positive Breast Cancer
with a 21-Gene Assay.
PMID- 27202412
TI - Ahmed Abouzid.
PMID- 27202413
TI - Third Party Participation in Early Benefit Assessment of Cancer Drugs in Germany.
PMID- 27202414
TI - Towards a Framework for Analysing Sustainability of Economic Value: The Case of a
Short Stay Programme for Breast Cancer Surgery Care Five Years After
Implementation.
PMID- 27202416
TI - Anti-Cancer Treatments in Elderly (>=75 Years Old) Patients: A Retrospective
Analysis.
PMID- 27202415
TI - Systemic Treatment of Metachronous Metastases After Curative Treatment of Breast
Cancer.
PMID- 27202418
TI - Prescribing Pattern of Anti-Emetics for Prevention of Chemotherapy Induced Nausea
& Vomiting-An Observation of Clinical Practice Versus Standard Guidelines.
PMID- 27202417
TI - Current Guidance for Brca Mutation Testing in Ovarian Cancer Patients.
PMID- 27202419
TI - Critical Assessment of Cost-Sharing Schemes Using a Simple Modeling Approach.
PMID- 27202420
TI - New Reimbursement Schemes for Stratified Medicine in Oncology - A Systematic
Review.
PMID- 27202421
TI - Study on Clinical and Immunological Outcomes of Antiretroviral Therapy in Hiv
Positive Adult Patients in a Community Care Hospital.
PMID- 27202422
TI - Non-Inferiority of Once-Daily Cobicistat-Boosted Darunavir Versus Ritonavir
Boosted Darunavir in Hiv-1-infected Adult Patients: An Adjusted Comparative
Analysis of Pooled Phase 3 Data.
PMID- 27202423
TI - Relationship Between Microbiological Eradication and Clinical Outcome with
Antibiotic Treatment in Nosocomial Pneumonia, Complicated Urinary Tract
Infection, and Complicated Intra-Abdominal Infection.
PMID- 27202424
TI - Efficacy and Hospitalization Length of Stay of Single Dose Oritavancin Compared
to 7-10 Days of Vancomycin in Patients with Acute Bacterial Skin and Skin
Structure Infections in the Us and Eastern Europe.
PMID- 27202425
TI - Retrospective Public Health Impact of a Quadrivalent Influenza Vaccine in the
United States Over the Period 2000-2014.
PMID- 27202426
TI - Fidaxomicin Therapy for Patients with Clostridium Difficile Infection: A
Systematic Literature Review and Meta-Analysis.
PMID- 27202427
TI - Mixed Treatment Comparisons to Compare Simeprevir with Boceprevir and Telaprevir
in Combination with Peg-Interferon Alpha and Ribavirin (Pr) in Patients Infected
with Genotype 1 Hepatitis C Virus (Hcv).
PMID- 27202428
TI - Results of Comparative Study of Macrolide Group Antibiotics Consumption in
Ukraine, Russia and Kazakhstan.
PMID- 27202429
TI - Effects and Safety of Ceftriaxone Versus Levofloxacin in Treating Community
Acquired Pneumonia: A Sysytematic Review.
PMID- 27202430
TI - Impact of Hpv-Vaccination: Health Gains for Female Population In Italy.
PMID- 27202431
TI - Ten Years Outcomes in a Cohort of Patients Started on Antiretroviral Treatment in
an Urban Clinic in Sub-Saharan Africa.
PMID- 27202432
TI - Meta-Regression on European Zoster Incidence.
PMID- 27202433
TI - Disability-Adjusted Life Years (Dalys) as a Composite Measure to Express the
Burden of Tick-Borne Encephalitis (Tbe) in Slovenia.
PMID- 27202434
TI - Potential Epidemics of Drugs Resistant Bacteria in Poverty Stricken Countries
Like Pakistan.
PMID- 27202435
TI - Burden of Influenza B in 9 European Countries: A Literature Review.
PMID- 27202436
TI - The Epidemiologic Burden of Hepatitis C Virus Infection in Egypt.
PMID- 27202437
TI - Transitional Clinic Utilization and General Well Being of Ugandan Young Adults
Living with HIV/AIDS.
PMID- 27202438
TI - Budget Impact Analysis of 13-Valent Pneumococcal Vaccine in Adult Population with
Comorbidities or Immunocompromised from the Public Payer Perspective In Brazil.
PMID- 27202439
TI - Monitoring Antiretroviral Therapy in Uganda: Budget Impact Analysis of Two
Strategies for Viral Load Monitoring Versus Immunologic Monitoring.
PMID- 27202440
TI - Cost savings for the use of antibacterial sutures in spanish hospitals. Budget
impact model.
PMID- 27202441
TI - Predictors For Mortality Among Human Immunodeficiency Virus Infected Patients On
Antiretroviral Therapy.
PMID- 27202442
TI - Initial Inappropriate Antibiotic Therapy in Hospitalized Patients with Gram
Negative Infections: Systematic Review And Meta-Analysis.
PMID- 27202443
TI - Economic Impact of Dengue Episode: Multicenter Study Across Four Brazilian
Regions.
PMID- 27202444
TI - Triclosan Coated Antibacterial Suture: A Budget Impact Analysis from Italian
Health Service Perspective.
PMID- 27202445
TI - The Budget Impact of Using Fidaxomicin for Hospitalised Cdi Patients from the
Danish Health Care Perspective.
PMID- 27202446
TI - A comparative public health and budget impact analysis of pneumococcal vaccines.
The french case.
PMID- 27202447
TI - Costs Related to Pneumonia, Meningitis and Sepsis in Patients 50 Years and Older
from the Private Health System Perspective in Brazil.
PMID- 27202448
TI - Economic Evaluation of Vaccination Against Hav in High Risk Population.
PMID- 27202450
TI - Fixed Dose Combinations of Nucleoside Reverse Transcriptase Inhibitor for Naive
Patient with Hiv Infection in Russia: Cost Comparison Analysis.
PMID- 27202449
TI - Treatment of Mrsa Pneumonia: Economical and Clinical Comparison of Linezolid
Verse Vancomycin.
PMID- 27202451
TI - Preliminary Assessment of the Cost of Treatment for Chronic Hepatitis C Virus
Infections with Sofosbuvir and First Generation Antivirals Across Eight
Countries.
PMID- 27202452
TI - Cost Analysis for Management and Prevention of Hepatitis B Virus Reactivation.
PMID- 27202453
TI - Median Hospitalization Cost and Length of Stay for Carbapenem-Resistant Verse
Carbapenem-Sensitive Patients in a Tertiary Care Hospital in South India.
PMID- 27202454
TI - Potential Risk-Sharing Agreements for Vaccines.
PMID- 27202455
TI - The Cost-Effectiveness of Telaprevir Triple Therapy in Treatment of Naive Chronic
Hepatitis C Patients In Turkey.
PMID- 27202456
TI - The Cost-Effectiveness of Telaprevir Triple Therapy in Treatment-Experienced
Chronic Hepatitis C Patients in Turkey.
PMID- 27202457
TI - Economic Comparison of Empirical Versus Diagonstic-Driven Strategies for
Immunocompromised Patients with Suspected Fungal Infection Results from a Chinese
Payer Perspective.
PMID- 27202458
TI - Epidemiology and Costs of Varicella and Herpes Zoster in Germany.
PMID- 27202459
TI - The Burden of Clostridium Difficile (CDI) Infection in Hospitals, in Denmark,
Finland, Norway And Sweden.
PMID- 27202460
TI - Evaluating the Economic Burden and Health Care Utilizations of U. S. Veteran
Patients Diagnosed with Chronic Hepatitis C.
PMID- 27202461
TI - Burden of Disease Caused by Influenza in Germany - A Retrospective Claims
Database Analysis.
PMID- 27202462
TI - Cost Estimation of Hiv Infection in Greece: Data from an Infectious Diseases
Unit.
PMID- 27202463
TI - Hospitalization Costs For Community-Acquired Pneumonia In Elderly In The
Netherlands.
PMID- 27202464
TI - Direct and Indirect Cost of HCV-Related Diseases in Italy: An Incidence-Based
Probabilistic Approach.
PMID- 27202465
TI - Cost of Influenza and Acute Respiratory Infections Treatment in Ukraine.
PMID- 27202466
TI - What are the Lifelong costs of Vaccinating one Individual? The French Case.
PMID- 27202467
TI - Cost of Cirrhosis among Patients Diagnosed with Hepatitis C Virus in Turkey.
PMID- 27202468
TI - Economic Burden and Complications of Hepatitis C Virus Patients With and Without
Peginterferon and Ribavirin Treatment in Turkey.
PMID- 27202469
TI - Cost-Benefit-Analysis of a Targeted Hospital Wide Pcr-Based Admission Screening
for Mrsa in a German University Hospital - Consideration of a Six-Year Time
Period.
PMID- 27202470
TI - An Observational Propensity Score-Matched Study to Evaluate Cost-Effectiveness of
a Real-Time Pcr-Based Assay in Patients with Suspected Sepsisk.
PMID- 27202471
TI - Return on Investment of Preventively Vaccinating Health Care Workers Against
Pertussis: A Dutch Case Study.
PMID- 27202472
TI - Cost-Effectiveness of Fidaxomicin for the Treatment of Clostridium Difficile
Infection (CDI) in Sweden.
PMID- 27202473
TI - Protecting Productivity with Quadrivalent Inactivated Influenza Vaccine in the
Uk.
PMID- 27202474
TI - Cost-Effectiveness Analysis of Dolutegravir for HIV Patients in Slovenia.
PMID- 27202475
TI - Estimating Overall Impact of Human Papillomavirus Vaccination on Cervical Cancer
Burden in Spain and Portugal.
PMID- 27202476
TI - Costs Per Successfully Treated Patient with Sofosbuvir in GT1 HCV.
PMID- 27202477
TI - The Effect of Herd Immunity in Different Human Papillomavirus Vaccination
Strategies: An Economic Evaluation of the Best Ii Study.
PMID- 27202478
TI - Economic Evaluation of Fidaxomicin for the Treatment of Clostridium Difficile
Infections (CDI) also Known as Clostridium Difficile-Associated Diarrhoea (CDAD)
in Spain.
PMID- 27202479
TI - Public Health and Economic Impact of Vaccinating Children with a Quadrivalent
live Attenuated Influenza Vaccine in France Using a Dynamic Transmission Model.
PMID- 27202480
TI - Costs and Effectiveness of Combination Therapy with Bedaquiline and other Anti
Tuberculosis Drugs in Patients with Multi- and Extensively Drug-Resistant
Tuberculosis in Germany.
PMID- 27202481
TI - An Economic Model to Compare the Different Empiric and First/Second Line
Treatment Regimens for Suspected Methicillin-Resistant Staphylococcus Aureus
Nosocomial Pneumonia.
PMID- 27202482
TI - How Do Decision Makers in Europe Value Other Economic Evaluation Tools Than Cost
Effectiveness Analysis for Vaccines?
PMID- 27202483
TI - Cost-Effectiveness Analysis of Different Therapeutic Regimens in Treatment of
Community-Acquired Pneumonia in China.
PMID- 27202484
TI - Cost-Effectiveness of Fidaxomicin Therapy for Clostridium Difficile Infection in
Hungary.
PMID- 27202485
TI - Cost-Effectiveness Analysis of Raltegravir in Hiv-Infected Treatment Naive
Patients in Greece.
PMID- 27202486
TI - Long-Term Outcomes of Ledipasvir/Sofosbuvir (LDV/ SOF) for the Treatment of
Chronic Hepatitis C Infected (HCV) Genotype 1 Patients in the UK.
PMID- 27202487
TI - Cost-Effectiveness and Long-Term Outcomes of Sovaldi (SOFOSBUVIR) for the
Treatment of Chronic Hepatitis C Infected (HCV) Patients from a Swedish Societal
Perspective.
PMID- 27202488
TI - The Pan-Genotypic Costs-Effectiveness Of Sofosbuvir in Hepatitis C Virus.
PMID- 27202489
TI - Cost-Effectiveness of Fecal Microbiota Transplant in Treating Clostridium
Difficile Infection in Canada.
PMID- 27202490
TI - The Importance of Sensitivity Analysis in Assessing Clinical and Economic Impact
of National Immunization Programs: An Example of Slovenia.
PMID- 27202491
TI - Allocating Vaccine Funds for Pneumococcal Vaccination of Infants and Older
Adults: A Method for Strategic Evaluation in the Netherlands.
PMID- 27202492
TI - Cost-Effectiveness of Human Papillomavirus Vaccination Programmes Parallel to
Current Routine Vaccination of Young Teenage Girls.
PMID- 27202493
TI - Cost-Effectiveness Analysis of a Shingles Vaccination Program to Prevent Herpes
Zoster And Post- Herpetic Neuralgia in the Spanish Setting.
PMID- 27202494
TI - Switching from an EFV-Based STR to a RPV-Based STR is Effective, Safe and
Improves HIV Patients Health Status.
PMID- 27202495
TI - A Cost-Effectiveness Evaluation for a New Therapy in HIV Treatment.
PMID- 27202496
TI - Adding Boceprevir Yields Better Cost-Saving for Chronic Hepatitis C Genotype 1
Treatment in Thailand.
PMID- 27202497
TI - A Systematic Review of Cost-Effectiveness Analysis of Cd4 Cell Count Verse Hiv
Viral Load in Primarily Resource-Limited Setting.
PMID- 27202498
TI - The Cost-Effectiveness of Different Scenarios of Detecting of TB Among HIV
Infected People Depending on CD 4+ Count.
PMID- 27202499
TI - Quadrivalent Influenza Vaccine in Hong Kong- a Cost-Effectiveness Analysis.
PMID- 27202500
TI - Cost-Effectiveness Analysis of the Application of Ertapenem for the Treatment of
Community-Acquired Complicated Intra-Abdominal Infections.
PMID- 27202501
TI - Cost Effectiveness of Quadrivalent Influenza Vaccine Over Trivalent Vaccine in
France.
PMID- 27202503
TI - Xpert Mtb/Rif Assay for Rapid Diagnosis in Patients with Suspected Tuberculosis
in Hong Kong - a Cost-Effectiveness Analysis.
PMID- 27202502
TI - Cost-Effectiveness of Dolutegravir, a New Generation Integrase Inhibitor, in Hiv
1 Treatment Experienced Patients in France.
PMID- 27202504
TI - The Cost-Effectiveness Analysis for Hiv Treatment Alternatives in Turkey.
PMID- 27202505
TI - Cea of Introducing Rotavirus Vaccine in Libya.
PMID- 27202506
TI - Cost-Utility Analysis of Dolutegravir Compared to Raltegravir in Treatment Naive
and Treatment Experienced Patients in Slovak Settings.
PMID- 27202507
TI - Health Economic Model for Novel in Vitro Diagnostic Kit for Infective
Endocarditis.
PMID- 27202508
TI - Cost-Effectiveness of Quadrivalent Versus Trivalent Influenza Vaccine in the
United States.
PMID- 27202509
TI - Cost-Utility Analysis of Simeprevir With Peginterferon + Ribavirin (SMV/PR) in
the Management of Genotype 1 (G1) and 4 (G4) Hepatitis C Virus (HCV) Infection;
from the Perspective of the Uk National Health Service (NHS).
PMID- 27202510
TI - What Drives Unemployment of HIV-Infected Patients in Germany?
PMID- 27202511
TI - Indirect Costs Among Patients with Hepatitis C Virus.
PMID- 27202512
TI - Resource Utilisation in a Complex Treatment Regimen for Hepatitis C.
PMID- 27202514
TI - Predicting the Impact of Adverse Events and Treatment Duration on Medical
Resource Utilisation Related Costs in Hepatitis C Genotype 1 Treatment-Naive
Patients Receiving Antiviral Therapy.
PMID- 27202513
TI - Resource use and Costs for Managing HCV Genotype 1 Patients in Colombia from the
Payers Perspective.
PMID- 27202515
TI - A macro Economic Analysis of 65 Year-Old 'Rendez-vous Vaccinal 'in France: What
is the Return on Investment?
PMID- 27202517
TI - Development of a Survey to Quantify Parents' Priorities for Vaccinating Children
Against Rotavirus.
PMID- 27202516
TI - Confirmation of the Factor Structure of the Proqol-HIV Questionnaire to Assess
Health-Related Quality of Life in PLWHA.
PMID- 27202518
TI - Hand Hygiene Compliance or the Influencing Factors of Efficiency in the Scope of
Students.
PMID- 27202520
TI - What explains willingness to pay for avoiding morbidity risk due to malaria?
Results from a global meta analysis.
PMID- 27202519
TI - Persistence to Treatment of Chronic Hepatitis B Virus (Hbv) Infection: A Study
Based on the French Ims Lifelink Treatment Dynamics (LTD) Database.
PMID- 27202522
TI - Satisfaction of Hiv Patients with Pharmacy Services in South Eastern Nigerian
Hospitals.
PMID- 27202521
TI - Impact of Simeprevir Versus Telaprevir Triple Therapy for Chronic Hcv Infection
on Patient-Reported Outcomes in Prior Non-Responders to Peginterferon/Ribavirin
Results from the Phase Iii Attain Study.
PMID- 27202523
TI - Knowledge of HIV Status of Adolescents and Young Adults Attending an Adolescent
HIV Clinic in Accra, Ghana.
PMID- 27202524
TI - Implementation of Technologies Based on the Evidence-Based Medicine and Hta in
the Management of Hepatitis C.
PMID- 27202525
TI - Prescription Practices for Uncomplicated Malaria at two Public Health Facilities
in Nigeria; A Descriptive, Comparative Study.
PMID- 27202526
TI - Modelling the Relationship between Sustained Virologic Response and Treatment
Uptake Rates on Future Prevalence and Incidence of Hepatitis C in the UK.
PMID- 27202527
TI - Cost-Effectiveness Analysis of Protease Inhibitor Monotherapy Verse Ongoing
Triple-Therapy in the Long-Term Management of HIV Patients.
PMID- 27202528
TI - Cost of Adverse Drug Reactions (ADR) with Protease Inhibitors in the Treatment of
Hepatitis C in the Health System of Extremadura (SES).
PMID- 27202529
TI - Primary care physicians in an interferon-free world: could safer, more efective
oral hepatitis c therapies lead to improved outcomes through education and pcp
prescribed treatment?
PMID- 27202530
TI - Coverage or Efficacy: Which Factor is the Most Influential for Reducing Varicella
with Routine Childhood Vaccination in Italy?
PMID- 27202532
TI - Coping with methicillin resistant staphylococcus aureus (MRSA) in german
rehabilitation centers - are the incentives appropriate?
PMID- 27202531
TI - Early Switch/Early Discharge Opportunities for Hospitalized Patients with
Methicillin-Resistant Staphylococcus Aureus Complicated Skin And Soft Tissue
Infections: Proof Of Concept in the United Arab Emirates.
PMID- 27202533
TI - Herpes Zoster-Related Health Care Resource Utilization in Cancer Patients in 5
European Countries.
PMID- 27202534
TI - Use of Hospital Services by HIV Patients, 2012.
PMID- 27202536
TI - Estimating the Direct Medical Cost, Length of Stay and Impact of Reimbursement
Change on Health Care Associated Infections.
PMID- 27202535
TI - The Impact of Influenza like Illness (ILI) in Children on Working Adults.
PMID- 27202537
TI - Evaluation of the Effectiveness of Implementing an Antimicrobial Stewardship
Program in a Medical Center in Taiwan.
PMID- 27202538
TI - The Study on the Prescribing Pattern of Acinetobacter Infection in a Tertiary
Care Hospital.
PMID- 27202539
TI - Pediatrician's Perspective On Pneumococcal Conjugate Vaccines In India: An
Exploratory Study.
PMID- 27202540
TI - Analyses on Temporal and Spatial Clustering and Changing Trend of HCV in Mainland
of China.
PMID- 27202541
TI - Corrective Estimation of New Cases of Hepatitis C Infections in China Between
2005 and 2013.
PMID- 27202542
TI - Are antibiotic Policies and Reimbursement Decisions Aligned?
PMID- 27202543
TI - Managed-Entry Agreements: Possible Options for Vaccines?
PMID- 27202544
TI - Impact of Glp-1RA on Heart Rate, Blood Pressure and Hypertension Among Type 2
Diabetes: A Systematic Review and Network Meta-Analysis.
PMID- 27202545
TI - Study On The Direct Medical Cost of Malignant Neoplasms Inpatients With Urban
Basic Health Insurance Scheme in China.
PMID- 27202546
TI - Treatment Strategies For Stage Ib Cervical Cancer: A Cost-Effectiveness Analysis.
PMID- 27202547
TI - Cost-Effectiveness Analysis Of Computed Tomographic Colonography Versus Double
Contrast Barium Enema For Investigation Of Patients With Symptoms Of Colorectal
Cancer: Economic Evaluation Alongside The Siggar Trial.
PMID- 27202548
TI - Budget Impact Analysis Of Crizotinib Treatment In Alk+ Non-Small-Cell Lung Cancer
Patients In Thailand.
PMID- 27202549
TI - Treatments Prior to and Post Percutaneous Coronary Intervention (PCI) in China.
PMID- 27202550
TI - Example of Analysis Utilizing Real World Data: Medical Cost Reduction of
Combination Drugs.
PMID- 27202551
TI - Clinical Characteristics Among Hypertension Patients with Dislipidemia In
Shanghai, China.
PMID- 27202552
TI - Treatment Profile And Insulin Dose as a Factor Impacting Glycaemia Control Among
Premix Insulin Users with T2DM in China.
PMID- 27202553
TI - Efficacy and Safety of Human Insulin Versus Animal Insulin Among Patients with
Diabetes in China: A Meta-Analysis.
PMID- 27202554
TI - Trends of Hypnotic Medication Use in A 2000-Bed Medical Center in Taiwan.
PMID- 27202555
TI - Statin Medication Use and the Development of Proliferative Diabetic Retinopathy
Among Patients with Type 2 Diabetes, Hypertension, and Hyperlipidemia.
PMID- 27202556
TI - Clinical Outcomes Associated with the Use of Guideline Recommended Care in
Patients Post Discharge from Chronic Obstructive Pulmonary Disease (COPD).
PMID- 27202557
TI - Qualitative Assessment of the Quality of Pharmaceutical Care Services in the
Province of Khyber Pakhtunkhwa, Pakistan: Hospital Pharmacists' Views.
PMID- 27202558
TI - Had the Individual Medical Burden of Basic Health Insurance Participants Really
Been Alleviated in 2009-2012?
PMID- 27202559
TI - Medication Adherence as a Value Message: A Rarity In Evaluation Assessments
Submitted to Major Hta Bodies.
PMID- 27202560
TI - Current Impact of Dementia on the Caregiver in China.
PMID- 27202562
TI - Comparison of Oral Versus Intravenous Nsaids for the Treatment of Patent Ductus
Arteriosus in Preterm and/or Low Birth Weigh Infants: A Systematic Review and
Meta-Analysis.
PMID- 27202561
TI - Clinical and Economic Outcomes of Memantine Used in Moderate or Severe Dementia
Patients in China: Results from a Health Economic Model.
PMID- 27202563
TI - Efficacy and Safety of Paliperidone Palmitate in the Treatment of Schizophrenia:
A Meta-Analysis.
PMID- 27202564
TI - Factors Associated with Relocating to Nursing Homes Among Community-Dwelling
Older Persons with Dementia.
PMID- 27202565
TI - Management of Ischaemic Stroke Patients Enrolled in the Japan Stroke Databank
(JSD).
PMID- 27202566
TI - Economic Evaluation of Change in Reimbursement Criteria for Lipid-Lowering Drugs
in Taiwan.
PMID- 27202567
TI - Does the Current Recommended Target LDL Goal Improve Survival for Acute Coronary
Syndrome Patients in Hong Kong?
PMID- 27202568
TI - Anticipated Price Disclosure: Impact on Funding Decisions in Australia.
PMID- 27202569
TI - Impact Evaluation of Provider Payment Reform Under the New Rural Cooperative
Medical Scheme in Gansu Province, China.
PMID- 27202570
TI - Health Care Utilization and Cost Comparison Between Adherent Hypertension
Patients Treated by Single Exforge HCT and
Amlodipine/Valsartan/Hydrochlorothiazide Free Combination.
PMID- 27202571
TI - Why Does Anti-Infective Drug Expenditure Increase?-a Decomposition Analysis on
China Data.
PMID- 27202573
TI - Effect of Vaccination Age on Cost-Effectiveness of Human Papillomavirus
Vaccination Against Cervical Cancer in China.
PMID- 27202572
TI - Comparative Safety and Efficacy of Focused Ultrasound for Cervical Ectopy: A Meta
Analyses with 16180 Patients.
PMID- 27202574
TI - Cost-Effectiveness Analysis of Caspofungin Compared to Conventional Amphotericin
B (C-AMB) for Empirical Antifungal Therapy in Febrile Neutropenic Patients in
Thailand.
PMID- 27202575
TI - Impact of Maternal Education on Child Immunization Propensity in China.
PMID- 27202576
TI - Asthma Guideline Knowledge, Adherence and Cost of Treating Asthma at Emergency
Department.
PMID- 27202577
TI - Cost-Utility of Bevacizumab with PC Regimen in Non-Small Cell Lung Cancer
Treatment.
PMID- 27202579
TI - Resource Utilization Pattern And Cost Of Tuberculosis Treatment In Penang,
Malaysia.
PMID- 27202578
TI - Cost-Effectiveness of Thrombolysis Within 4.5 Hours of Acute Ischemic Stroke in
China.
PMID- 27202580
TI - Comparison of the Preference-Based EQ-5D and SF-6D Health Indices in Multiethnic
Asian Patients with End-Stage Renal Disease (ESRD).
PMID- 27202581
TI - An Analysis of New Health Technologies and Reimbursement Pricing Structures in
Taiwan.
PMID- 27202582
TI - Acute Respiratory Distress Syndrome: Treatment Pattern and Outcome Analysis.
PMID- 27202583
TI - Awarness and Prevention of Chronic Diseases in Japan.
PMID- 27202584
TI - Cost-Utility Analysis of Varenicline Versus Existing Smoking Cessation Strategies
in Korea.
PMID- 27202585
TI - Histoculture Drug Response Assay in Colorectal Cancer.
PMID- 27202587
TI - Health Economics Methodologies Involving Parkinson's Disease Treatment in China.
PMID- 27202588
TI - Drugs in Constraint Countries of Sub Saharan Africa.
PMID- 27202586
TI - Role of Corticosteroids Use in Ards: Comparison of Systematic Review and Meta
Analysis.
PMID- 27202589
TI - Is Chinese Syndrome Necessary in the Effectiveness Evaluation of Chinese Herbal
Formulas?
PMID- 27202590
TI - A Novel Broadly Applicable Risk Score for Predicting Mortality of Patients with
Circulatory System Diseases within Hospitalization Duration.
PMID- 27202591
TI - Disease Burden of Multiple Myeloma in China.
PMID- 27202592
TI - Health Care use and Oral Medication Patterns for Type 2 Diabetes Patiets in
China:The Role of Traditional Chinese Medicines.
PMID- 27202593
TI - Assessment of Need, Development and Implementation of Supportive Management
Database for the Treatment of Poisoning Cases in a Tertiary Care Hospital.
PMID- 27202594
TI - Evidence for Validity of a National Physician and Patient-Reported Survey in
China and United Kingdom: The Disease Specific Programme.
PMID- 27202595
TI - Real World Evidence in Mainland China: Experience with the Use of Health Care
Claims Data.
PMID- 27202596
TI - Managing Congenital and Pediatric Cardiac Surgery Data Base: The Impact on
Clincial Practice and Quality of Care.
PMID- 27202597
TI - Health Care Databases Applied to Antidepressants Use in Asia-Pacific.
PMID- 27202598
TI - Development of a Longitudinal National Football League Injury and Injury Impacts
(L-NFL-III) Database.
PMID- 27202599
TI - Build Model with Asia Pacific Region in Mind: Modeling INR Control in a Cost
Effectiveness Model for Stroke Prevention in Atrial Fibrillation Patients.
PMID- 27202600
TI - Strategies To Overcome Hurdles In Hta Appraisals Amid Limitations Resulting From
Single-Arm Trial Data.
PMID- 27202602
TI - Upfront Overall Survival Modelling in Comparison to Real World Data: Lenalidomide
for the Treatment of Multiple Myeloma Patients in South Korea.
PMID- 27202601
TI - Comparing the Event Prediction Capability of the Ukpds68 and Hong Kong Diabetes
Risk Equations within A Type 2 Diabetes Simulation Model.
PMID- 27202603
TI - Using Transmission Dynamic Model to Determine Vaccination Coverage Rate Based on
Economic Burden of Infectious Disease: An Example of Pneumococcus Vaccine.
PMID- 27202604
TI - Single-ARM Studies to Support Drug Reimbursement in Australia.
PMID- 27202605
TI - The Reliability and Validity of the Chinese Version of the Eight-Item Morisky
Medication Adherence Scale.
PMID- 27202606
TI - A Single Model for Determining Socioeconomic Status in Health Studies; A Crucial
Step to Make the Results More Comparable.
PMID- 27202607
TI - Construct Validity of SF-6D Health State Utility Values in an Employed
Population.
PMID- 27202608
TI - Economic evaluation of diabetes care interventions in china: a system science
approach.
PMID- 27202609
TI - HBA1C Control Predictive Validity of Four Self-Reported Measures of Antidiabetes
Medication Adherence.
PMID- 27202610
TI - Sqaros - Standardized Questionnaire to Assess the Reliability of Observational
Studies.
PMID- 27202611
TI - Assessing Statistical Methods for Causal Inference in Observational Data.
PMID- 27202612
TI - A Survey of Knowledge and Attitude of Menopause Among Post-Menopausal Women in
Pakistan.
PMID- 27202613
TI - Validation of Russian Version of Health Utility Index Questionnare in Children
with Cystic Fibrosis.
PMID- 27202614
TI - A Comparison of Three Language Versions of the EQ-5D-5L Dimension Scales in
Singapore.
PMID- 27202615
TI - The Decision Criteria for Assessing Cost-Effectiveness of a Health Care
Technology Under Budget Constraint.
PMID- 27202616
TI - Use of the Grace Checklist for Rating the Quality of Observational Comparative
Effectiveness Research.
PMID- 27202617
TI - How Well the Pragmatic Randomized Controls in Joint Replacement Field: Results
from Precis, Consort and Iom Tools' Assessment.
PMID- 27202618
TI - Methods for Evaluation of Medical Devices.
PMID- 27202619
TI - WX-III-287-19 A Possible Thromboxane Antagonist in Bovine Coronary Arteries.
PMID- 27202620
TI - Ethical Consideration on Methods of Health Research.
PMID- 27202621
TI - Bevacizumab for Metastatic Colorectal Cancer: A Literature Review on Meta
Analyses and Cost-Effectiveness Analyses.
PMID- 27202622
TI - A Systematic Literature Review on Risk Factors for Cervical Cancer in Chinese
Population.
PMID- 27202623
TI - Sequential Combination of Chemotherapy With Egfr-Tki As The First-Line Treatment
for Unselected Patients With Advanced Non-Small Cell Lung Cancer: Systematic
Review of Randomized Controlled Trials.
PMID- 27202624
TI - Prostate Cancer Overall Survival: Multilevel Analysis of A Population-Based
Cancer Registry Data.
PMID- 27202625
TI - Prevalence of Febrile Neutropenia in Breast Cancer Patients Received Adjuvant
Paclitaxel Treatment.
PMID- 27202626
TI - The Importance and Use of Drug Utilization Review and Pharmacoeconomics.
PMID- 27202627
TI - Economic Burden of Febrile Neutropenia in Solid Tumor and Lymphoma Patients: An
Observational Study in Singapore.
PMID- 27202628
TI - Hospital Costs Associated with Platinum-Based Doublets in the First-Line Setting
for Advanced Non-Squamous Non-Small Cell Lung Cancer in China: A Retrospective
Cohort Study.
PMID- 27202629
TI - Cost-Effectiveness Analysis of the Original Drug Arglabin.
PMID- 27202630
TI - HEALTH CARE RESOURCE USE AMONG ADVANCED GASTRIC CANCER PATIENTS IN TAIWAN AND
SOUTH KOREA.
PMID- 27202631
TI - Budget Impact Model of Sunitinib as First Line Treatment of Metastatic Renal Cell
Carcinoma in China.
PMID- 27202632
TI - Annual Health Insurance Cost of Breast Cancer Treatment in Hungary.
PMID- 27202633
TI - Analysing The Effects of a Disinvestment Decision in Breast Cancer Screening
Programmes in Asia-Pacific Countries: A Modelling Approach.
PMID- 27202634
TI - Cost-Effectiveness Analysis of 1-Year Adjuvant Trastuzumab Therapy of Early-Stage
Her2-Positive Breast Cancer.
PMID- 27202635
TI - COST EFFECTIVENESS ANALYSIS OF ANTIDEPRESSANTS ON BREAST CANCER PATIENTS: A
MARKOV MODELING STUDY.
PMID- 27202636
TI - Cost-Effectiveness Of Para-Aortic Lymphadenectomy Before Chemoradiotherapy in
Locally Advanced Cervical Cancer.
PMID- 27202637
TI - Treatment Costs for Breast Cancer in Japan: Large Claim Database Analysis.
PMID- 27202638
TI - Cost-Effectiveness of Lenalidomide-Plus-Dexamethasone in Multiple Myeloma
Patients Who Have Received at Least One Prior Therapy: A South Korean
Perspective.
PMID- 27202642
TI - Economic Evaluation of Primary Prophylaxis Using Filgrastim Versus Pegfilgrastim
in Patients With Solid Tumor Cancer: A Systematic Literature Review.
PMID- 27202643
TI - Cost-Utility Analysis of First-Line Regimen Between Cisplatin Plus Pemetrexed and
Carboplatin Plus Paclitaxel In Advanced Non-Squamous Non-Small-Cell Lung Cancer
in Thailand.
PMID- 27202646
TI - Measuring the Trend of Use of Targeted Therapy and Economic Evaluation of
Gefitinib for Advanced Non-Small Cell Lung Cancer (Nsclc) in Singapore.
PMID- 27202645
TI - Impact of Vaccination: Health Impact and Cost-Effectiveness to Make Informed
Policy Decision on the Introduction of Human Papillomavirus (Hpv) Vaccine to the
National Immunization Program (Nip) in Thailand.
PMID- 27202647
TI - A Cost-Utility Analysis of Cervical Cancer Screening and Human Papilloma Virus
Vaccination In the Philippines.
PMID- 27202649
TI - Health-Related Quality of Life In Patients With Cervical Cancer in Indonesia.
PMID- 27202639
TI - Cost-Effectiveness Of First-Line Therapy For Advanced Non-Small Cell Lung Cancer
(Nsclc).
PMID- 27202641
TI - COST-EFFECTIVENESS OF POST-THERAPY PET AND TELEPHONE INTERVIEW IN THE CLINICAL
FOLLOW-UP OF PATIENTS TREATED WITH LOCALLY ADVANCED CERVICAL CANCER.
PMID- 27202640
TI - Health Economic Evaluation of Guangdong Rural Tertiary Breast Cancer Screening
and Diagnosis System.
PMID- 27202650
TI - Mapping the Functional Assessment of Cancer Therapy - Breast (Fact-B) to the 5
Level Euroqol Group's 5-Dimention Questionnnaire (Eq-5d) Index in a Multi-Ethnic
Asian Breast Cancer Patients.
PMID- 27202644
TI - Abraxane Versus Taxol For Patients with Advanced Breast Cancer: A Prospective
Time and Motion Analysis from a Chinese Health Care Perspective.
PMID- 27202648
TI - Attitude Assessment of the Human Papilloma Virus (Hpv) in Hungary.
PMID- 27202651
TI - Quality of Life Among Patients with Esophageal/Cardiac Precursor Lesion or
Cancer: A One-Year Prospective Survey.
PMID- 27202653
TI - Breast Cancer Awareness Survey.
PMID- 27202655
TI - Change in Percentage of Left Ventricular Ejection Fraction in Breast Cancer
Patients Received Trastuzumab Treatment.
PMID- 27202654
TI - Comparative Analysis of Pain Intensity in Hospice Care at Home and in Hospitals.
PMID- 27202652
TI - Understanding the Rationale for Responses to a Time-Trade-Off Assessment and
Willingness-To-Pay in Lung Cancer in Thailand.
PMID- 27202656
TI - The Differences Between Cancer Drug Approvals in Japan and the USA.
PMID- 27202657
TI - Economic Impact of Genetic Diagnostic Test For Breast Cancer - Health Technology
Assessment in Slovak Health Care Environment.
PMID- 27202658
TI - Insurance Coverage Policies for Companion Diagnostics in Breast Cancer.
PMID- 27202659
TI - In Vitro Drug Release and Ex Vivo Permeation Study of Prepared Mouth Dissolving
Tablets of Fluconazole Through Porcine Buccal Mucosa.
PMID- 27202660
TI - CANCER TREATMENT IN CHINA: HOW ARE POLICY AND PRACTICE IN TIER 1 VERSUS TIER 2/3
CITIES IMPACTING PATIENT ACCESS TO HIGH-COST THERAPIES.
PMID- 27202661
TI - The Incidence, Prevalence, and Survival of Malignant Melanoma in Taiwan.
PMID- 27202662
TI - Coverage Decision Framework in Asia Pacific: A Case Study of Targeted Cancer
Medicines in the Treatment of Breast Cancer.
PMID- 27202663
TI - Time to Reimbursement for Oncology Agents from EMA Marketing Authorization to
AIFA Approval AS "C(NN)" Class versus. AIFA Approval AS "A" or "H" Class.
PMID- 27202664
TI - HOW SINGLE ARM PHASE II DATA CAN SUPPORT REIMBURSEMENT FOR ONCOLOGICS IN
AUSTRALIA.
PMID- 27202665
TI - Quality Control of the Hungarian Nationwide Mammography Screening Programme.
PMID- 27202666
TI - Incretin Therapy and Risk of Pancreatitis in Type 2 Diabetes Mellitus: Systematic
Review of Randomized and Non-Randomized Studies.
PMID- 27202667
TI - Evaluating The Effects Of Anti-Thyroid Drugs And Thyroidectomy In Patients
Receiving Radioactive Iodine Therapy For Graves' Hyperthyroidism - A
Retrospective Study From A University Teaching Hospital In South West, Nigeria.
PMID- 27202668
TI - Premixed Insulin Lispro Versus Insulin Glargine In Type 2 Diabetes: A Meta
Analysis.
PMID- 27202669
TI - Efficacy Of Add - On Vildagliptin Therapy To Metformin For Type Ii Diabetes
Mellitus Patients In South Indian Resource Limited Settings - Pilot Study.
PMID- 27202670
TI - Diagnostic Tests Of Blood Glucose: A Systematic Review.
PMID- 27202671
TI - Effectiveness Of Herbotrim And Muniprabha In The Management Of Hypothyrodism.
PMID- 27202674
TI - Studies On Clinical Effectiveness Of Combined Classical Ayurvedic Formulations In
Type 2 Diabetes Mellitus.
PMID- 27202675
TI - Impact Of Ethnicity On The Efficacy And Safety Outcomes Of Anti-Diabetes Drugs -
Case Study Of Liraglutide In Asian And Non-Asian Populations.
PMID- 27202673
TI - Hydroxyethyl Starch And Hospitalized Mortality In Icu Patients With Diabetes:
Database Study From A Chinese Tertiary Hospital.
PMID- 27202672
TI - Design & Methods For Study Of Prevalence, Risk Factors And Economic Burden Of
Insulin Injection-Related Lipohypertrophy In China.
PMID- 27202676
TI - The Long-Term Outcomes Of Bariatric Surgery On Patients With Type 2 Diabetes
Mellitus: A Systematic Review And Meta-Analyses.
PMID- 27202677
TI - The Impact Of Diabetes On Mortality In Inpatients From Medical Department Of A
Chinese Tertiary Hospital.
PMID- 27202678
TI - Economic Burden Of Type 2 Diabetes Mellitus For Costa Rica.
PMID- 27202679
TI - Budget Impact Analysis Of Biphasic Insulin Aspart In The Treatment Of Type 2
Diabetes Mellitus In Malaysia: A Public Payer Perspective.
PMID- 27202680
TI - Budget Impact Analysis Of U100 Insulin In Egyptian Diabetic Patients.
PMID- 27202681
TI - Budget Impact Analysis Of Wider Adoption Of Biphasic Insulin Aspart (Biasp) In
The Treatment Of Type 2 Diabetes Mellitus (T2dm): A Perspective Of Patients
Treated By Public Providers In Singapore.
PMID- 27202683
TI - Medical Expenditure For People With Diabetes In Urban Employee Basic Medical
Insurance For Hebei Provincial Institutes.
PMID- 27202682
TI - Health Care Utilizations And Costs Of Insulin Patient-Driven Titration Versus
Physician-Driven Titration: Evidence Based On A Clinical Trial Of Biphasic
Insulin Aspart 30 Twice Daily In People With Type 2 Diabetes In China.
PMID- 27202684
TI - Evaluating The Long-Term Cost-Effectiveness Of Liraglutide 1.2 Mg And Exenatide
In Patients With Type 2 Diabetes Mellitus.
PMID- 27202685
TI - Economic Implications Of Chronic Renal Disease With And Without Co-Morbid
Diabetes In China, Post-2005.
PMID- 27202686
TI - Example Of Analysis Utilizing Real World Data: Medical Cost Reduction By Advising
Untreated-Diabetes Patients To Visit Doctors.
PMID- 27202687
TI - Clinical Efficacy And Costs Of Insulin Analogue Compared To Human Insulin In
Patients With Diabetes: Results From A Tertiary Hospital In Beijing.
PMID- 27202688
TI - Pharmacoeconomics Evaluation Of Clinical Pharmacy Service For Diabetic
Inpatients.
PMID- 27202690
TI - Cost Minimization Analysis Of Clinical Option Scenarios For Metformin And
Acarbose In Treatment Of Type 2 Diabetes: Based On Direct And Indirect Treatment
Comparison Results.
PMID- 27202689
TI - Pharmacoeconomic Evaluation Study On Preoperative Treatment Of Acromegaly With
Somatostatin Analogues In Shanghai.
PMID- 27202691
TI - Economic Evaluation Of Insulin Analogs Versus Human Insulin For Diabetes: A
Systematic Review.
PMID- 27202692
TI - Long-Term Cost-Effectiveness Of Biphasic Human Insulin 30 In People With Type 2
Diabetes With Inadequate Glycaemic Control On Oral Antidiabetic Drugs In China.
PMID- 27202693
TI - Cost Utility Of Diabetes Drugs Using Hba1c As A Direct Predictor For Quality Of
Life, Diabetes Complications And Mortality.
PMID- 27202694
TI - Cost Minimization Analysis Of U100 Insulin And U40 Insulin In Egyptian Diabetic
Patients.
PMID- 27202695
TI - Demographics And Health Outcomes Associated With Adherence And Non-Adherence
Among Type2 Diabetics In China.
PMID- 27202696
TI - Anti-Diabetic Drug Utilization And Dynamic Trends In A Tertiary Hospital In
Beijing (2008-2012).
PMID- 27202697
TI - A Prospective, Cross-Sectional Study On Cost And Adherence Of Antidiabetic
Prescriptions At A Tertiary Care Teaching Hospital In South India.
PMID- 27202698
TI - Medication Counseling Beyond Instituitional: Impact Of Pharmacist-Led Home
Medication Review In Type 2 Diabetes Patients.
PMID- 27202699
TI - Does diabetes have an impact on health-state utility? A study of asians in
singapore.
PMID- 27202700
TI - Assessment Of Disease State Knowledge In Diabetic Patients Of Quetta City,
Pakistan.
PMID- 27202701
TI - Patient-Reported Medical Expenditures For Insulin-Treated Diabetes Patients In
Eastern, Central And Western Regions Of China.
PMID- 27202702
TI - The Impact Of Drug Price Control Policy For Diabetes Medication: A Longitudinal
Analysis In Taiwan.
PMID- 27202703
TI - The Expanding Role Of The Patient Voice In Medical Decision Making In Asia.
PMID- 27202704
TI - Assessment Of Diabetes Knowledge In Healthy Population Of Quetta City, Pakistan.
PMID- 27202705
TI - Assessment Of Knowledge Regarding Diabetes: A Comparative Analysis Of Diabetes
Patients And Healthy Population Of Quetta City, Pakistan.
PMID- 27202706
TI - Physicians As Double Agents In A Universal Health Care System: Evidence From
Generic Pharmaceutical Adoption In Taiwan.
PMID- 27202707
TI - Loyalty To A Pharmacy Is Associated With A Better Quality Of Antidiabetes Drug
Use.
PMID- 27202708
TI - Content Analysis And Effectiveness Of Interventions To Enhance Oral Antidiabetic
Drug (Oad) Adherence In Adults With Type 2 Diabetes: A Systematic Review And Meta
Analysis.
PMID- 27202709
TI - Decomposing Growth Of Diabetes Drug Expenditure In Korea.
PMID- 27202710
TI - ESTIMATED ECONOMIC BURDEN OF INSULIN INJECTION-RELATED LIPOHYPERTROPHY IN CHINESE
PATIENTS WITH DIABETES.
PMID- 27202711
TI - Economic Evaluation of Viral Load Test (VLT) in Response Guided Treatment (RGT)
for Chronic Hepatitis C (CHC).
PMID- 27202712
TI - Chewing a Gum: Effect on Saliuvation, Passing out of Flatus, Bowel Movement and
Gastric Motility Among Filipino Elderly.
PMID- 27202713
TI - TC-325 in the Management of Upper and Lower GI Bleeding: A Two-Year Experience at
a Single Institution.
PMID- 27202714
TI - Pregnancy Outcomes in Women with Inflammatory Bowel Syndrome Following Exposure
to Thiopurines and Anti-Tumor Necrosis Factor Drugs: A Meta-Analysis and
Systematic Review.
PMID- 27202715
TI - Application Of Medicines Scoring System (Medss) : Potential Savings Through Drug
Formulary Review Of Sulphonylureas.
PMID- 27202716
TI - Pharmacological Regimens for Eradication of Helicobacter Pylori: An Overview of
Systematic Reviews and Network Meta-Analysis.
PMID- 27202717
TI - Drug Utilization Review of Acid Suppressants (Durable) - an Audit to Assess the
Utilization of Proton Pump Inhibitors and Histamine H2-Receptor Antagonists in
Canadian Hospitals.
PMID- 27202718
TI - Adverse Drug Events: How Information Technology will Meet the Challenges of
Pharmacovigilance.
PMID- 27202719
TI - Modeling to Predict Severe Maternal Morbidity Based on 33993 Deliveries of
Registered Study in China.
PMID- 27202720
TI - The Efficacy of Oximes in Acute Organophosphorus Poisoning; An Updated Systematic
Review and Meta-Analysis.
PMID- 27202721
TI - Effect of Vitamin E on the Vaginal Atrophy of Postmenopausal Women.
PMID- 27202722
TI - Efficacy of Atropine Alone and with Glycopyrrolate Combination in Organophosphate
Poisoning.
PMID- 27202723
TI - Disease Burden of Unintended Pregnancy in China.
PMID- 27202724
TI - Using Hormonal Contraception Reduce Unintended Pregnancy in China.
PMID- 27202725
TI - An Update of Cost-Effectiveness of Rotavirus Vaccination in Indonesia: Taking a
Birth-Dose Vaccination Strategy into Account.
PMID- 27202726
TI - The Effectiveness of First Trimester Combined Screening on Reducing the Rate of
Invasive Genetic Procedures in a City Based Population of Hungary 2010-2013.
PMID- 27202728
TI - The Pharmacoeconomics Review of 7-Valent Pneumococcal Conjugate Vaccination in
Asian-Pacific Region.
PMID- 27202727
TI - Burden of Disease in Asian Countries and the use of Disability-Adjusted Life
Years and Quality-Adjusted Life-Years.
PMID- 27202729
TI - Joint Modeling of Primary and Secondary Non-Adherence Outcomes.
PMID- 27202730
TI - Partial Reimbursement of Antiviral Agents for HBV: Impact on Antiviral
Utilization and Compliance.
PMID- 27202731
TI - A Qualitative Assessment of Doctors Perception Towards the Quality of
Pharmaceutical Care Services in Khyber Pakhtunkhwa, Pakistan.
PMID- 27202732
TI - Reference Value of Blood Serum Alkaline Phosphatase in Mongolian Adult.
PMID- 27202733
TI - Assessment of Utilities in Japan: Data Availability and Methodology.
PMID- 27202734
TI - Assessing Factors Associated with Youth Substance Abuse in the us Using a
Structural Equation Model.
PMID- 27202735
TI - The Study of Satisfaction and Reasons for Acupuncture Therapy at Sirindhorn
National Medical Rehabilitation Centre.
PMID- 27202736
TI - The Valuation of EQ-5D-5L Health States in Korea.
PMID- 27202737
TI - Valuing Health in the Uae: An Investigation of the Feasibility and Cultural
Appropriateness of Using the TTO and DCE Methods to Generate Health State Values.
PMID- 27202738
TI - Impact of Demographics on Health Preferences in China: An Exploratory Analysis of
China EQ-5D-5L Valuation Study.
PMID- 27202739
TI - What Really Matters? A Multi-View Perspective of One Patient's Hospital
Experience.
PMID- 27202740
TI - Construct a Cpoe Decision Supporting and Monitoring System to Decrease Pims Used
in Hospitalized Elderly Patients.
PMID- 27202741
TI - Spontaneous Reporting of Adverse Drug Reactions in Geriatric Patients in India.
PMID- 27202742
TI - The Patient Voice in China: Status Quaestionis.
PMID- 27202743
TI - Will Nurses Have Better Outcomes than Non-Medical Working Women when Hospitalized
for Female Genital Diseases?
PMID- 27202744
TI - Health Related Quality of Life and Medication use Among Young College Students
During Menstrual Cycle.
PMID- 27202745
TI - Assessment of KAP Among Hospital Pharmacists.
PMID- 27202746
TI - An Ecological Analysis on National Trends and Correlation Between Public Funding
for Pneumococcal Vaccination and Pneumonia Disease Burden in the Japanese Elderly
Population, 2005-2012.
PMID- 27202747
TI - Comparative Study On Health Related Quality Of Life Of Farmers And Workers.
PMID- 27202748
TI - Community Pharmacists Attitude and Perceived Need Towards the Provision of
Pharmaceutical Care Services: A Qualitative Approach.
PMID- 27202749
TI - Nurses' Perception Towards the Benefits of Pharmaceutical Care Services in
Tertiary Health Care Settings Pakistan: A Qualitative Insight.
PMID- 27202750
TI - Assessing the Impact of Pharmacist Maldistribution on Self-Reported Health in
Rural and Urban Counties in the us.
PMID- 27202752
TI - Incidence and Risk of Cardiotoxicity Induced by Sunitinib in Patients with Renal
Cell Carcinoma: A Population-Based Case-Control Study in Chinese Population.
PMID- 27202751
TI - Persistence in Health Expenditures by the Elderly in Taiwan: Predicting the Top
10% Users.
PMID- 27202753
TI - Drug Therapy Problems in Patients on Antihypertensives with Antidiabetic Drugs in
Two Tertiary Health Institutions in Niger Delta Region, Nigeria.
PMID- 27202754
TI - Updating Characteristics of Type 2 Diabetes Mellitus Patients in China: Surveys
in year 2008 and 2011-12.
PMID- 27202755
TI - Health Care Utilization and Costs After Warfarin Discontinuation Among Patients
with Non-Valvular Atrial Fibrillation.
PMID- 27202756
TI - Nursing Diagnosis and Some Physiological Signs and Their Changes During the
Arterial Hypertension.
PMID- 27202757
TI - Clinical Characteristics Among Hypertension Patients with Diabetes in Shanghai,
China.
PMID- 27202758
TI - Association of Colchicine with Primary and Secondary Cardiovascular Events in
Peritoneal Dialysis Patients: A Propensity Score Analysis.
PMID- 27202759
TI - Effectiveness of Cardiac Resynchronization Therapy in Patients with Mild-Moderate
Heart Failure: A Systematic Review and Bayesian Approach Network Meta-Analysis.
PMID- 27202760
TI - A Comparison of Preferences for the Benefits and Risks of Statins Among Korean
Physicians and Patients Using a Discrete-Choice Experiment.
PMID- 27202761
TI - Effectiveness of Catheter-Based Renal Denervation for Treatment Resistant
Hypertension - Results of a Systematic Review and Meta-Analysis.
PMID- 27202762
TI - Long Term Follow up of Primary and Secondary Prevention Implantable Cardioverter
Defibrillator Patients: "Real-World" Data from the Island of Crete.
PMID- 27202763
TI - Hypertension Treatment in Chronic Kidney Disease Stage 3, 4 and 5: A Hospital
Based Cross-Sectional Study in Malaysia.
PMID- 27202764
TI - Use of Beta Blocking Agents in Serbia in the Period from 2008 to 2012 Year.
PMID- 27202765
TI - Use of Calcium Channel Blockers in Serbia in the Period from 2008 to 2012 Year.
PMID- 27202766
TI - PROF DR Hasbullah Thabrany.
PMID- 27202767
TI - Study of Medication Adherence in Diabetes Mellitus Patients with Hypertension.
PMID- 27202768
TI - Efficacy of Ayurvedic Formulation in The Management of Essential Hypertension.
PMID- 27202769
TI - A Retrospective Cost-Effectiveness Analysis of S-Amlodipine in China.
PMID- 27202770
TI - Body Mass Index (BMI) as A Predictor of Outcome After Coronary Artery Bypass
Grafting: An Asian Perspective.
PMID- 27202771
TI - The Relationship Between Masked Hypertension and Obesity.
PMID- 27202772
TI - Prevalence of Severe Treatment Resistant Hypertension and Eligibility for
Catheter-Based Renal Denervation in Australia - a Preliminary Analysis.
PMID- 27202773
TI - Medical Costs of Cardiovascular Diseases in Taiwan.
PMID- 27202774
TI - Budget Impact of Left Atrial Appendage Occulusion in Patients with Atrial
Fibrillation Contraindicated to Anticoagulation.
PMID- 27202775
TI - Awareness of Hyperlipidemia Among University Students and Staff Member.
PMID- 27202776
TI - Cost-Effectiveness Analysis of Fondaparinux Versus Enoxaparin in Non-St Elevation
Acute Coronary Syndrome in Thailand.
PMID- 27202777
TI - Cost-Effectiveness of Clopidogrel-Aspirin Versus Aspirin Alone for Acute Tia and
Minor Stroke.
PMID- 27202778
TI - Example of Analysis Utilizing Real World Data: Medical Cost Reduction by Advising
Untreated-Hypertension Patients to Visit Doctors.
PMID- 27202779
TI - How Likely Warfarin Pharmacogenetic Test to be Cost-Effective in Thailand: A
Threshold Analysis.
PMID- 27202780
TI - Antithrombotic Therapy and Direct Medical Costs in Patients with Acute Coronary
Syndrome in Shanghai, China.
PMID- 27202781
TI - Cost-Effectiveness of Treating Acute Coronary Syndrome Patients with Rivaroxban
in Australia.
PMID- 27202782
TI - A Cost-Utility Analysis of Calcium Channel Blockers (Ccbs) Compared with
Angiotensin II Receptor Blockers (Arbs) In Preventing Stroke And Myocardial
Infarction Among Hypertension Patients In The Taiwan.
PMID- 27202783
TI - Comparative Cost-Effectiveness of CT Perfusion for Selecting Stroke Patients for
Thrombolysis.
PMID- 27202784
TI - The Cost-Effectiveness of Four Chinese Patent Medicine in the Treatment of Angina
Pectoris in China.
PMID- 27202785
TI - Markov Model-Based Economic Evaluation of Combination Therapy with Ezetimibe and
Statin Monotherapy.
PMID- 27202786
TI - The Integrated Care Pathway for Managing Post Stroke (ICAPPS) Patients in the
Community: A Cost -Effectiveness Analysis.
PMID- 27202787
TI - Cost-Effectiveness of Single-Pill Combination Therapy of Amlodipine/Atorvastatin
Compared with Concurrent Two-Pill Therapy in Patients with Hypertension.
PMID- 27202788
TI - Setting up the Right Scenarios for Cost-Effectiveness Analysis: An Example with
Anticoagulants for Stroke Prevention in Atrial Fibrillation Patients in China.
PMID- 27202789
TI - Does Blood Lipid Screening is Cost-Effectiveness Among Chinese Adults Aged 45 And
Above?
PMID- 27202790
TI - Economic Evaluation of Catheter-Based Renal Denervation for Patients with
Resistant Hypertension in Korea.
PMID- 27202791
TI - Cost Effectiveness of Catheter-Based Renal Denervation for Treatment Resistant
Hypertension - an Australian Payer Perspective.
PMID- 27202792
TI - A Cost Utility Analysis of Amlodipine Compared with Angiotensin II Receptor
Blockers in Preventing Stroke and Myocardial Infarction Among Hypertension
Patients in the Philippines.
PMID- 27202793
TI - Association Between Baseline Socio-Demographic and Clinical Characteristics and
Total Annual Cost of Patients Subjected to CRMDS Implantation.
PMID- 27202794
TI - An Evaluation Of Medication Adherence In Hypertensive Patients Using The Theory
Of Planned Behavior.
PMID- 27202795
TI - Dose Titration, Persistence, and Adherence to Statin Therapy Among Patients with
High-Risk Vascular Disease in Japan.
PMID- 27202796
TI - Discontinuation/Interruption of Warfarin Therapy in Patients with Non-Valvular
Atrial Fibrillation.
PMID- 27202797
TI - Pharmaceutical Care Patients of Chronic Diseases with Polypharmacy and Cost
Saving.
PMID- 27202798
TI - Effect of Pharmaceutical Care on the Quality of Life in the Patients of Coronary
Artery Disease.
PMID- 27202799
TI - Mental Component of the Quality of Life Increased According to the Level of
Obesity.
PMID- 27202800
TI - Treatment and Monitoring of Venous Thromboembolism (VTE) Among Hospitalized
Patients in China.
PMID- 27202801
TI - Drug Utilization Research in Geriatric Patients with Chronic Ischemic Heart
Disease.
PMID- 27202802
TI - High BMI and Belly fat Correlate with Prevalence of Hypertension And Diabetes: A
Cross Sectional Study In Sedentary Urban Popultaion Of Delhi.
PMID- 27202803
TI - Lipid Control After Percutaneous Coronary Intervention (PCI) in China.
PMID- 27202804
TI - Association of Dietary Patterns and Blood Pressure in Taiwanese Females.
PMID- 27202805
TI - Effects of the Pay-for-Performance Program on Health Outcomes of Diabetic
Patients.
PMID- 27202806
TI - A Retrospective, Longitudinal Study to Investigate the Change of LDL-C Level and
Pharmaceutical Intervention by Using Japanese Health Care Checkup Database.
PMID- 27202807
TI - Policy Evaluation of Anti-Hypertensive Drugs in Mumbai, India.
PMID- 27202808
TI - Efficacy and Safety of Five New Antidepression Drugs A Network Meta Analysis.
PMID- 27202809
TI - Minimum Clinically Important Difference in the Global Assessment Functioning in
Patients with Schizophrenia.
PMID- 27202810
TI - Functional Impairment and Cognitive Dysfunction in Depressed Patients in South
Korea: Results of Perform-K.
PMID- 27202811
TI - Burden Associated with Agitation in Schizophrenia.
PMID- 27202813
TI - Minimum Clinically Important Difference in the Calgary Depression Scale for
Schizophrenia.
PMID- 27202812
TI - Comparative Effectiveness in Terms of Treatment Discontinuation of Orodispersable
Versus. Standard Oral Olanzapine Tablets in Non-Adherent Patients: Results from a
1-Year European Outpatient Observational Study.
PMID- 27202814
TI - Evolution of Depressive Status in Patients with Schizophrenia: An Analysis of
Patient Trajectories.
PMID- 27202816
TI - Prevalence of Major Depressive Disorder in China.
PMID- 27202815
TI - Evolution of Presence of Predominant Negative Symptoms in Patients with
Schizophrenia.
PMID- 27202817
TI - Global Economic Burden of Schizophrenia: A Systematic Review.
PMID- 27202818
TI - Economic Burden of Dementia in Singapore: Preliminary Results.
PMID- 27202819
TI - Burden of Illness of Dementia in China.
PMID- 27202820
TI - Economic Burden of Schizophrenia in China: Based on Medical Insurance Database
from Guangzhou City.
PMID- 27202821
TI - Health Insurance Cost of Anxiety in Hungary: A Cost of Illness Study.
PMID- 27202822
TI - Comparison of Medical Costs and Utilization Associated With Use of Ziprasidone
and Olanzapine Among Schizophrenia and Bipolar Disorder Patients.
PMID- 27202823
TI - Health Insurance Cost of Alzheimer Dementia In Hungary: A Cost of Illness Study.
PMID- 27202824
TI - To Study the Treatment Pattern and Cost of Illness in Bipolar Disorder Patients
in Tertiary Care Hospital in South India.
PMID- 27202825
TI - Cost and Resource Use of Managing Major Depressive Disorder (MDD) in China.
PMID- 27202826
TI - Disease Management, Resource Utilisation and Associated Cost for Moderate and
Severe Dementia Patients in China: Results from a Delphi Panel.
PMID- 27202827
TI - Examining Opioid-Dependent Chronic Pain Patients Experiences On Buprenorphine
Maintenance Therapy In The Texas Workers Compensation System: Pilot Study - Part
2.
PMID- 27202828
TI - Comparative Pharmacoeconomics Studies of Treatment for Major Depressive Disorder
in China.
PMID- 27202829
TI - Economic Evaluation of Alzheimer.
PMID- 27202830
TI - General Beliefs About Medicines Among Depressed Patients in Saudi Arabia.
PMID- 27202831
TI - Predictors of Adherence to Antidepressant Ymedications in Saudi Arabia Y.
PMID- 27202832
TI - Future Impact of Dementia on the Caregiver in China.
PMID- 27202833
TI - Importance Of Remission In Patients With Major Depressive Disorder In Korea For
Improving Health-Related Quality Of Life And Economic Burden.
PMID- 27202834
TI - Evaluation of Factors Affecting Sales of Prescription.
PMID- 27202835
TI - Pattern of Antidepressant Utilization and Cost in Iran From 2007-2011 in
Comparison to European and East Asian Countries and Literature Review.
PMID- 27202836
TI - Outpatient Treatment of Adolescents with Antidepressants in Japan.
PMID- 27202838
TI - Public Beliefs and Attitudes about Schizophrenia, Major Depression and
Psychotropic Medication in Tunisia.
PMID- 27202837
TI - Prevalence and Predictors of Clinically Undiagnosed Cognitive Impairment Among
Older Residents of Nursing Homes in Association with HRQOL.
PMID- 27202839
TI - The Use of Integrated Concept Mapping to Develop the Dementia Care Model by
Community Participation.
PMID- 27202840
TI - The Effects of Gallium Chloride on Apoptosis Osteoporosis Model of Rats Caused by
Tretinoin Acid.
PMID- 27202842
TI - Outcomes in Severe Osteoporotic Women in Korea Using Sequential Treatment.
PMID- 27202841
TI - The Effect of Dietary Selenium Intake in The Gene Expression of P38, P65, and
Caspase-3 in Fluorosis Patients.
PMID- 27202844
TI - Prescribing Pattern and Cost Analysis on (Dmard's) Disease Modifying Anti
Rheumatoid Drugs in Rheumatoid Arthritis Patients of a Tertiary Care Teaching
Hospital in South India - a Cross Sectional Study.
PMID- 27202843
TI - A Comparative Clinical Evaluation of Trayodashanga Guggulu and Mustadiyapana Yoga
Basti in Gridhrasi.
PMID- 27202845
TI - Metabolic Disorders, Osteoporosis and Fracture Risk in Asia: A Systematic Review.
PMID- 27202846
TI - Encumberance to the Treatment of Osteoporosis: Physicians and Patient Perception.
PMID- 27202847
TI - The Research of Effects of Iguratimod(T-614) on the Apoptosis of Peripheral Blood
Mononuclear Cell and TH1 in Rheumatoid Arthritis.
PMID- 27202848
TI - Estimating The Impact Of Expanding Access To Celecoxib For Osteoarthritis
Patients In China.
PMID- 27202849
TI - The Costs of Major and Minor Cycling Accidents in Tasmania, Australia.
PMID- 27202850
TI - Cost-Effectiveness of denosumab vs. Brand or generic zoledronic acid in patients
with prostate cancer in kazakhstan.
PMID- 27202851
TI - Cost-Effectiveness of Denosumab vs. Brand or Generic zoledronic Acid in Patients
with Breast Cancer in Kazakhstan.
PMID- 27202852
TI - Calculating Indirect Costs - Differences Caused by Various Approaches to Unit
Costs. Results of Move to Work Study (M2W).
PMID- 27202853
TI - The Econimic Cost of Rheumatoid Arthritis in Taiwan.
PMID- 27202854
TI - Economic Burden of Childhood Injury: A Review.
PMID- 27202856
TI - Cost-Effectiveness Analyses of Screening and Treatment Strategies for
Postmenopausal Osteoporosis in Chinese Women.
PMID- 27202855
TI - Health Care Resource Requirements and Costs During the Recovery Phase of
Fasciectomy for the Treatment of Dupuytren's Contracture: Clinician Survey.
PMID- 27202857
TI - A Kinematic Comparison of Overground and Treadmill Walking.
PMID- 27202858
TI - Cost Utility Analysis of Infliximab for the Treatment of Severe Rheumatoid
Arthritis in Thailand.
PMID- 27202859
TI - Relationship Between Hip Muscle Strength and Kinematics of the Knee Joint.
PMID- 27202860
TI - Assessment of Medication Adherence in Rheumatoid Arthritis Patients in a Tertiary
Care Hospital.
PMID- 27202861
TI - Estimating Health Care Resource Utilization of Patients with Rheumatoid Arthritis
in Taiwan Using a National Claims Database.
PMID- 27202862
TI - Clinical Research for the Effectiveness and Economic Value of Qinxitong in the
Patients with Ra in China.
PMID- 27202863
TI - Care Needs for Rheumatoid Arthritis from Patient Perspectives: A Qualitative
Study from Singapore General Hospital.
PMID- 27202864
TI - Exploring the Willingness-to-Pay for Innovative Treatments for Immunology and
Oncology in China.
PMID- 27202866
TI - Suitability research on the Evaluation of EQ-5Q and SF-6D Scale for the Quality
of Life in Patients with Osteoarthritis.
PMID- 27202865
TI - Exploring the Willingness-to-Pay for Biologic Treatments In Immunology Diseases
in China.
PMID- 27202867
TI - Stakeholder Evidence Requirements and Price Expectations for Biosimilars in Three
Asian Markets.
PMID- 27202868
TI - Closing the Gap: Reduced Delay to Drug Marketing Approval Between The West and
Asia.
PMID- 27202869
TI - Inhaled Anticholinergics and Risk for Acute Urinary Retention: A Case-Crossover
and Case-Time-Control Study.
PMID- 27202870
TI - COUGH AS A KEY SYMPTOM IN ASTHMA, ALLERGIC RHINITIS, COPD AND RHINOSINUSITIS AND
ITS IMPACT IN ASIA.
PMID- 27202871
TI - The Impact of Psychological Treatment of Rheumatic Patients With Mental Health.
PMID- 27202872
TI - Health Literacy and Health Care Utilization Among Adults with Osteoporosis.
PMID- 27202873
TI - The Effectiveness and Safety of Febuxostat : An Experience in Medical Center in
Taiwan.
PMID- 27202874
TI - Factors Affecting the Out-Come in Hospital Acquired Pneumonia.
PMID- 27202876
TI - Pollen Morphology and Total Protein of Taraxacum Officinale and Aster Alpinus.
PMID- 27202875
TI - A Method to Investigate Seasonal Variation in Hospitalization for Copd in A
Midwestern US State.
PMID- 27202877
TI - Analysis of Factors Causing Chronic Obstructive Pulmonary Disease in Eastern
Region of China.
PMID- 27202878
TI - Incidence-Based Cost of Asthma in Vietnam.
PMID- 27202879
TI - Health Care Utilization and Cost of Management in Patients with Stevens-Johnson
Syndrome and Toxic Epidermal Necrolysis in Thailand.
PMID- 27202880
TI - Cost-Benefit Analysis of Bacterial Lysates for Chronic Obstructive Pulmonary
Disease in China.
PMID- 27202881
TI - Economic Burden of Pediatric Atopic Dermatitis in Asia-Pacific: A Review of the
Literature.
PMID- 27202882
TI - Burden of Atopic Dermatitis in Indonesia, Malaysia, and Singapore: Estimates from
a Mathematical Model.
PMID- 27202883
TI - Comparison of Health Care Utilization and Costs for Patietns with Asthma by
Severity and Health Insurance in Thailand: Using Generalized Linear Regression
Model.
PMID- 27202884
TI - Missing data Analysis in Longitudinal Studies: Findings from a Quality of Life
Study in Malaysian Tuberculosis Patients.
PMID- 27202885
TI - Resource Utilized in a Randomized Clinical Trial to Recruit Smokers with Low
Motivation to Quit.
PMID- 27202886
TI - Modeling the Cost-Effectiveness of 100% Whey-Based Partially Hydrolyzed Versus.
Cow's Milk Infant Formula in the Prevention of Atopic Dermatitis in Singapore.
PMID- 27202887
TI - Health Status in Adult Patients with Copd in Korea.
PMID- 27202888
TI - Evaluation of Cost Effectiveness of OM-85 in China.
PMID- 27202889
TI - Cost-Effectiveness of the Treatment of Respiratory Diseases of Xiyanping
Injection : A Systematic Review.
PMID- 27202890
TI - Perception Pattern Analysis of Self-Medication Practices Among People in Southern
District of Karnataka, India.
PMID- 27202891
TI - Duration of Treatment in Pulmonary Tuberculosis: are International Guidelines on
the Management of Tuberculosis Missing Something?
PMID- 27202892
TI - The Effect of Bacterial Lysates on Patients with Recurrent Respiratory Tract
Infections: A Meta-Analysis.
PMID- 27202893
TI - Smoking Cessation Treatment Patterns and Characteristics of Patients with Copd
Who are Attempting to Quit in Urban China.
PMID- 27202894
TI - Factors Contributing to Quality of Life in Copd in South Korea.
PMID- 27202895
TI - Health-Related Quality of Life for Patients with Chronic Obstructive Pulmonary
Disease in South Korea.
PMID- 27202896
TI - Access to Asthma Medicines in Tehran; Iran.
PMID- 27202897
TI - Economic Impact of Levalbuterol Versus Albuterol in Low-Income Population.
PMID- 27202898
TI - Comparing the Efficacy of Anti-Vascular Endothelia Growth Factor Drugs for
Treatment of Age-Related Macular Degeneration: a Clinical Literature Review and
Meta-Analysis.
PMID- 27202899
TI - Pharmacological Treatments in Pregnant Women with Psoriasis.
PMID- 27202900
TI - The Economic Burden and their Predictors in Preschool Children with Dental Caries
in Urban Beijing.
PMID- 27202901
TI - Burden of Wet Age-Related Macular Degeneration in China.
PMID- 27202902
TI - Cost-Effectiveness Analysis of Latanoprost Compared with Dorzolamide/Timolol
Fixed Combination for the Treatment of Open-Angle Glaucoma and Ocular
Hypertension Patients in Korea.
PMID- 27202903
TI - A Prospective Pharmacoeconomic Study of Bilateral Prostaglandin/ Prostamide
Therapy for Lowering Intraocular Pressure (Iop) in the Patients in South India.
PMID- 27202904
TI - A Literature Review on Cost-Effectiveness of Treatments for Wet Age-Related
Maculare Degeneration.
PMID- 27202905
TI - Economic Evaluation of Bevacizumab Versus Ranibizumab in Neovascular Age-Related
Macular Degeneration in China.
PMID- 27202906
TI - Cost Utility Analysis of Ustekinumab for the Treatment of Moderate to Severe
Chronic Plaque Psoriasis in Thailand.
PMID- 27202907
TI - Adherence, Predicting Factors and Satisfaction of Patients on Glaucoma Therapy:
Findings From a Cross-Sectional Study in Korea.
PMID- 27202908
TI - Utility Values Among Myopic Patients in Mainland China.
PMID- 27202909
TI - Prescribing Patterns and Expenditures for Otitis Media-Related Antibiotics for
Children in the Texas Medicaid Program.
PMID- 27202910
TI - Second Generation Azoles for Prophylaxis Against Invasive Fungal Infection: is
Voriconazole Equivalent to Posaconazole in Haematology Patients?
PMID- 27202911
TI - Interchangeability Study of Multisource Paracetamol 500mg Tablets, Produced in
Mongolia.
PMID- 27202912
TI - Clinical Efficacy of the Polyherbal Ayurvedic Medicine in The Management of
Overweight.
PMID- 27202913
TI - Prescribing Patterns and Treatment Outcomes in North Indian Female Patients with
Chronic Low Back Pain.
PMID- 27202914
TI - Health Economic Evaluation Comparing Iv Iron Ferric Carboxymaltose, Iron Sucrose
and Blood Transfusion For Treatment of Patients with Iron Deficiency Anemia (Ida)
in Singapore.
PMID- 27202915
TI - Cost Effectiveness 0f Propofol Verses Thiopental in Icu Wards.
PMID- 27202916
TI - Understanding the Japanese General Public's Rationale for Trades in a Time-Trade
Off Assessment for Systemic Lupus Erythematosus.
PMID- 27202917
TI - Prevalence of Neuropathic Pain in Korean Patients Scheduled for Lumbar Spine
Surgery and their Health Related Quality of Life: Nationwide, Multicenter,
Prospective, Cross-Sectional, Observational Study.
PMID- 27202918
TI - The Performance- Volume Limit Decreased the DRG Based Acute Care Hospital
Financing in hungary.
PMID- 27202919
TI - Pricing and Market Access of Orphan Drugs in Asia: a Comparative Study Across
Growth Markets.
PMID- 27202920
TI - Assesing the Impact of Patent Loss on Overall Revenues and Stocks Price of
Pharmaceutical Companies.
PMID- 27202921
TI - Factors Affecting the Selection of Sore Throat Lozenge of Drugstore's Customer at
Nakornpathom Municipality, Nakornpathom Province, Thailand.
PMID- 27202922
TI - Study of Technical Conditions for Improvement of Angiographic Image Quality.
PMID- 27202923
TI - The Socioeconomic Costs of the Undertreatment of Pain.
PMID- 27202924
TI - Impact of Drug Policy on Improving Access to Medicines In Delhi.
PMID- 27202925
TI - Price Comparison Between the Essential and Non-Essential Anti-Infective Medicines
Among National Reimbursement Drug List in China.
PMID- 27202926
TI - Pharmaceutical Pricing and Market Competition: An Empirical Study Based on Anti
Infective Drugs In Tianjin, China.
PMID- 27202927
TI - Pharmacological Basis for the Medicinal use of Almonds in Cardiovascular
Disorders.
PMID- 27202928
TI - Co-Administration of Turmeric Potentiates Preventive Effect of Black Seeds in
Metabolic Syndrome.
PMID- 27202929
TI - The Impact on Drug Price and Patiet Selection of National Essential Drug System:
Evidence From Inpatient Records from Insurance Reimbursement Data.
PMID- 27202930
TI - Questionnaire Analysis on Pharmacists Role and Drug Reimbursement List Adjustment
Mechanism Under the Current China Health Insurance System.
PMID- 27202931
TI - Review Of Reference Pricing Effects On Pharmaceuticals.
PMID- 27202932
TI - Review on Medicines Prices and Availability in Indonesia: 2004 To 2012.
PMID- 27202933
TI - An Analysis Of Determinants Of New And Bland-Name Drugs Prescription Behavior
Among Japanese Physicians.
PMID- 27202934
TI - How do Organizational Arrangements of the Pharmaceutical Supply System Affect
Availability to Essential Medicines in Rural China?
PMID- 27202935
TI - Use of Medications in the Event of Job Loss.
PMID- 27202936
TI - Drug Utilization Pattern for the Treatment of Septic Shock in the ICU: A
Comparison Between Survivors and Non-Survivors in a Tertiary Care Teaching
Institute.
PMID- 27202937
TI - Recent Regulatory Reforms to Ensure Patient Safety in Clinical Research In India.
PMID- 27202938
TI - Provincial Hospital Tendering in China: Evaluating The Impact on Price.
PMID- 27202939
TI - Pricing and Reimbursement Policy Updates in Asia.
PMID- 27202940
TI - Classification of Drugs Bringing from Abroad in Turkey According to Their Atc
Codes.
PMID- 27202941
TI - Drug Access Is Improved By The Essential Drug System And "Low-Priced Drug" Policy
In China.
PMID- 27202942
TI - The Dynamics Of Prices And Quantities Of New Drugs Under Taiwan's National Health
Insurance Program.
PMID- 27202943
TI - Potential Prescriber Mapping In Rural Location Of South India.
PMID- 27202944
TI - A Qualitative Exploration Of Malaysian Doctors' Perceptions Towards Complementary
And Alternative Medicines (Cam).
PMID- 27202945
TI - Health Implications of the MTM Eligibility Criteria In The Affordable Care Act
Across Racial And Ethnic Groups.
PMID- 27202946
TI - Patients And Doctors Working Together To Improve Health Service: Difficulties And
Challenges In Between In China.
PMID- 27202947
TI - Drug Access Through Sharing Public And Individual Responsibilities In The Public
Health Care System Of Singapore.
PMID- 27202948
TI - Evaluation Of The Economic Impact Of Specialist Outpatient Clinic Pharmacy
Interventions In A Tertiary Institution, Singapore.
PMID- 27202949
TI - Estimating The Costs Of Specialist Out-Patient Services In A Public Hospital.
PMID- 27202950
TI - How The Implementation Of Drug Zero Markup Policy Will Affect Health Care
Expenditure In Hospitals: Observation And Prediction Based On Zhejiang Model.
PMID- 27202951
TI - Comprehensive Health Insurance Schme and Health Care Utilization: A Case Study
Among Insured Households in Kerala, India.
PMID- 27202952
TI - The Impact of the Income Per Capita in Chinese Family on Medical Expenditure.
PMID- 27202953
TI - Evaluating the Impact of Drug Dispensing Systems on the Safety and Efficacy in a
Singapore Outpatient Pharmacy.
PMID- 27202954
TI - Evaluation of a Multidisciplinary Home-Based Medication Review Program for
Elderly Singaporeans.
PMID- 27202955
TI - Evaluation of Adverse Drug Reaction (ADR) Monitoring and Reporting System in
China.
PMID- 27202956
TI - Correlation Between Poison Severity Asessment And Outcome In Organophosphate
Poisoning In Tertiary Care Hospital.
PMID- 27202957
TI - Detection and Evaluation of the Medication Errors in Different Hospitals in
Province of the Punjab, Pakistan.
PMID- 27202958
TI - Survey Findings on Evaluation of Traumatologist's Workload in Mongolia.
PMID- 27202959
TI - Investigating the Knowledge of Pharmacists about Cosmetics Products in Pharmacies
of Tehran (IRAN).
PMID- 27202960
TI - Incidence of Drug Related Problem Admissions & Emergency Department Visits in a
Secondary Care Hospital in South India.
PMID- 27202962
TI - Quality Of Hospital Food And Its Safety Assessment.
PMID- 27202961
TI - Cost Analysis Of Pharmaceutical Service In Hospital: A Case Study In A Tertiary
Hospital In Sichuan, China.
PMID- 27202963
TI - Association of Severity Assessment Tool with the Outcome of Organophosphorus
Poisoning in Tertiary Care Teaching Hospital.
PMID- 27202964
TI - Understanding the Need and Value of Surrogate Endpoints for Health Care Decision
Making in Asia Pacific.
PMID- 27202965
TI - Review of Taiwan Nhia's Two-Stage New Drugs Listing and Reimbursement Assessments
(2013-Feb. 2014).
PMID- 27202966
TI - An Analysis of Pricing Premiums Granted Through Submitting Local RCT and
Pharmacoeconomics Data in Taiwan.
PMID- 27202967
TI - Pharmacoeconomic Research and Application In 10 Asian Countries Between 2003 and
2013: A Systematic Review.
PMID- 27202968
TI - Organization Potency and Human Resource.
PMID- 27202969
TI - Study on Audit and Control System and its Current Situation.
PMID- 27202970
TI - Implementation and Assessment of Periodic Safety Update Reporting System at
Tertiary Care Teaching Hospital, Karnataka, India: A Drug Controller General of
India Initiative.
PMID- 27202971
TI - An Analysis of the Key Value Drivers for HTA Assessments In Taiwan.
PMID- 27202972
TI - From Regulatory Approval to Subsidised Patient Access in the Asia-Pacific Region:
A Comparison of Systems Across Australia, China, Japan, Korea, New Zealand,
Taiwan And Thailand.
PMID- 27202973
TI - A Comparison of Asian and Global Pharmaceutical Prices Using an EKS Method.
PMID- 27202975
TI - Economic Impact of New Rural Cooperative Medical Scheme In China.
PMID- 27202974
TI - Principles of External Price Referencing System - A Review.
PMID- 27202976
TI - Regulatory Approval to Patient Access, an Evaluation of EU5 and us National
Timing Differences.
PMID- 27202977
TI - An Analysis of the Drivers of Pricing Premiums Granted to Innovative Products in
Japan.
PMID- 27202978
TI - Developing a Patient Centred Model for Clinicians to Individualise Cost Effective
Treatment.
PMID- 27202979
TI - Why it is Difficult for European to Understand the Chinese Market Access Process?
PMID- 27202980
TI - Effects of Drug Cost Sharing Policy on the Drug Use, Financial Risks and Moral
Hazard for the Health Insurance Beneficiaries.
PMID- 27202981
TI - China Critical Illness Insurance Policy - The Recent Developments and Prospects.
PMID- 27202982
TI - What are the Key Driving Factors Behind RSA Decisions In Australia?
PMID- 27202983
TI - Pharmacoeconomics and its Applications - Emerging Role in India.
PMID- 27202984
TI - Correlation of Recent HTA Decisions Between Taiwan and Korea: Implication for
Launch Strategies.
PMID- 27202985
TI - A Critical Review of Chinese Pharmacoeconomics Studies in the Last Five Years.
PMID- 27202986
TI - Application Of The Methods of Evidence Based Medicine for the Analysis of
European Health Systems and Health Policy Approaches.
PMID- 27202987
TI - Fostering Patient Safety Culture in Hospital to Improve Health Service: Hospital
Survey on Patient Safety Culture.
PMID- 27202988
TI - Do HTA Processes Correlate With Reimbursement Recommendations?
PMID- 27202989
TI - Training High-Level Local Researchers to Improve the Quality of Clinical Studies
in Developing Countries: Challenge and Strategy.
PMID- 27202990
TI - Research on Health Services Need and Utilization of the Rural Elderly Left at
Home in Shaanxi Province, China.
PMID- 27202991
TI - Hearing the Patient's Voice in Health Care: A Survey Analysis of Patients'
Perceptions of Difficulties in Shared Clinical Decision-Making.
PMID- 27202992
TI - Assessment of Rural Health Purchasing Arrangements In China.
PMID- 27202993
TI - Assessing the Effectiveness AND Cost-Effectiveness of Audit and Feedback on
Physician's Prescribing Indicators.
PMID- 27202994
TI - The DA Vinci Surgical System: A Rapid Review of the Clinical and Economic
Evidence.
PMID- 27202995
TI - The Performance of the Pragmatic Strategy to Bring in Pharmacoeconomic Evidence
for Drugs Reimbursement Decisions in Taiwan.
PMID- 27202996
TI - Comparison of Economic Evaluation Guidelines Between Japan and Other Asian
Countries.
PMID- 27202997
TI - Benchmarking the Impact of HTA on New Medicines Development and Coverage Decision
Making.
PMID- 27202998
TI - What is the Status of Health Related Quality of Life Among Medical
Representatives in India?
PMID- 27202999
TI - Health Technology Assessment In Japan: History, Current Situation, and the Way
Forward.
PMID- 27203000
TI - Current Status and Deficiency of Hemostasis in Surgery: A Systematic Literature
Review, Including Chinese Literature.
PMID- 27203001
TI - The Impact of Unfamiliarity In Palliative Care Under Pharmacotherapeutic Aspect.
PMID- 27203002
TI - Study of Antibiotic Prescription Pattern and Antibiotic Sesitivity in Surgery
Patient in Tertiary Care Hospital.
PMID- 27203003
TI - An Analysis of Quality of Care in the Respiratory Care Center Based on Services
Provided by Physicians with Different Responsibilities and Specialties.
PMID- 27203004
TI - Impact of Pharmacoeconomics Guidelines on the International Publications in
China.
PMID- 27203006
TI - Analysis on Policies of Biosimilar Market in China.
PMID- 27203005
TI - Developing a Drug Price Reference Index in the Philippines.
PMID- 27203007
TI - Current Situation of Health Care Organizations' Waste Management.
PMID- 27203008
TI - Comparison on the Concept of Market Access of China and Western Countries.
PMID- 27203009
TI - Evidences and Criteria Related to the Hospital Service Quality and Safety.
PMID- 27203010
TI - Critical Evaluation of Labeling Requirements of Nutraceutical Brands.
PMID- 27203011
TI - Model Based Medicine: A Next Frontier in Health Care.
PMID- 27203012
TI - Priority Setting Of New Medical Interventions In Taiwan: A Multicriteria Decision
Analysis.
PMID- 27203013
TI - Feasibility of Pharmacoeconomic Evaluations of Traditional Chinese Medicine from
the Perspectives of the Health Insurance Review & Assessment Service in South
Korea.
PMID- 27203014
TI - Risk-Sharing Agreements In Australia: Attitude Towards Risk-Sharing Arrangements
With The Department Of Health For The Pbs-Listing Of Pharmaceuticals.
PMID- 27203015
TI - Risk Sharing Agreement Considerations for Pharmaceuticals in China Makret.
PMID- 27203016
TI - Collaborative Approach in Accessing Homogeneous Medical Data in Grid-Based
Environment (Enhancing Diseases Classification).
PMID- 27203017
TI - Challenges and Opportunities in the Malaysian Health Care System.
PMID- 27203018
TI - The Coverage with Clinical Evidence-Informed Decisions (CCEDS) :A New Health Care
Payment Model in China.
PMID- 27203019
TI - Impact of Cigarette and Alcohol Use on Adverse Drug Reactions of Haart Therapy
Among HIV/AIDS Patients.
PMID- 27203020
TI - Archimedes: A Large Scale Simulation System for Health Care Research and its
Applications for Asian Countries.
PMID- 27203021
TI - Burden of Varicella in Asia-Pacific Countries: A Systematic Review and Critical
Analysis.
PMID- 27203022
TI - Effectiveness of Hand Hygiene Promotion in Relation to Level of Investment: A
Systematic Review.
PMID- 27203023
TI - Chronic Hepatitis C Prevalence and its Correlation with CD4 Cells and Liver
Enzymes Among HIV Positive Patients: A Malaysian Scenario.
PMID- 27203024
TI - Impact of Hepatitis B on Human Immunodeficiency Virus Patients in Malaysia: A
Retrospective Study.
PMID- 27203025
TI - Adverse Drug Reactions of Haart Therapy Among Hiv/Aids Patients Treated at
Infectious Disease Clinic.
PMID- 27203026
TI - Health Care-Associated Infection Prevalence Among Grade a Tertiary Hospitals in
China: A Meta-Analysis.
PMID- 27203027
TI - Influenza Vaccination In Japan Among The General Population And High-Risk Groups.
PMID- 27203028
TI - Clinical Outcomes and Hospital Costs Associated with Empirical Treatment of
Hospital-Acquired Pneumonia with Vancomycin or Linezolid in a Chinese Tertiary
Care Hospital: A Retrospective Cohort Study.
PMID- 27203029
TI - A Retrospective Cohort Study of Risk Factors for Death Among Humman
Immunodeficiency Virus Infected Adult Patients.
PMID- 27203030
TI - Hospital Quality Of Infection Control.
PMID- 27203031
TI - Influenza Vaccination in China Among the Urban Population and High-Risk Groups.
PMID- 27203032
TI - Cost-Effectiveness of Antiviral Therapy for Chronic Hepatitis B: A Systematic
Review.
PMID- 27203033
TI - Economic Evaluation on Hepatitis B Vaccination Strategies for Preventing Mother
To-Child Transmission in China.
PMID- 27203034
TI - Direct Costs of Treatment of Skin Drug Reactions Induced by Antibiotics in
Perspective of Public Payer and Service Provider.
PMID- 27203035
TI - Cost-Effectiveness of Infant Vaccination with 13-Valent Versus 10-Valent
Pneumococcal Conjuvate Vaccine in Korea.
PMID- 27203036
TI - Survey of Treatment Costs to Hepatitis C in China.
PMID- 27203037
TI - Cost-Effectiveness of Hepatitis a Vaccination in Indonesia.
PMID- 27203038
TI - The Cost-Effectiveness of Combined Vector-Control and Vaccination Strategies on
Prevention of Dengue Fever: A Dynamic Model-Based Analysis.
PMID- 27203039
TI - Cost-Effectiveness of Posaconazole Versus Fluconazole or Itraconazole in the
Prophylaxis of Invasive Fungal Infections Among Neutropenic Patients in Thailand.
PMID- 27203040
TI - The Cost-Effectiveness Analysis of Two Pegylated Interferon ALFA Treatment for
Chronic HCV Infection in China.
PMID- 27203041
TI - Cost-Effectiveness of Maternal Immunisation for Pertussis in New Zealand.
PMID- 27203042
TI - Assessment of Quality of Life in Human Immunodefieciency Virus Positive Patients
with Adverse Reactions to Antiretroviral Therapy in Tertiary Care Hospital.
PMID- 27203043
TI - Effect of Health Education Program on Knowledge, Attitude, Practice and Health
Related Quality of Life in Hepatitis-B Patients.
PMID- 27203044
TI - Meta-Analysis of Xuebijing Joint Ulinastatin Treating Sepsis.
PMID- 27203045
TI - Cost-Utility Analysis of Optimal Dosing of Oseltamivir Under Pandemic Influenza
Using a Novel Approach: Linking Health Economics and Transmission Dynamic Models.
PMID- 27203046
TI - How can a Multilevel Promotion of Breastfeeding Reduce the Required Budget for
Rotavirus Vaccination in Indonesia?
PMID- 27203047
TI - Cost-Utility Analysis of 10- and 13-Valent Pneumocococcal Conjugate Vaccines in
the Philippines.
PMID- 27203049
TI - The Differences Between Infectious & Parasitic Drug Approvals in Japan and the
USA.
PMID- 27203048
TI - An Analysis Of The Utilization Of Cephalosporins From 2007 To 2011 In Guangdong
Province Of China.
PMID- 27203050
TI - Population Access to Rotavirus Vaccination in Industrialized Countries: Lessons
Learnt from Current Experience.
PMID- 27203051
TI - Ivacaftor for Patients with Cystic Fibrosis: Clinical Efficacy and Cost
Effectiveness.
PMID- 27203052
TI - Spending on HIV and AIDS in Indonesia: The Role of Government and Other Public
Source of Fund to Maintain Quality and Equity.
PMID- 27203053
TI - Social Aspects of HCV Treatment In Kazakhstan.
PMID- 27203054
TI - Economic Burden of Drug use in Patients with Alzheimer's Disease at
Phramongkutklao Hospisstal and Medical College, Thailand: A 5-Year Trend
Analysis.
PMID- 27203055
TI - Treatment Reasons, Resource use and Costs of Hospitalisations in People with
Parkinson's: Results from a Large Rct.
PMID- 27203056
TI - Alzheimer's Disease Caregiver Burden in Japan and the 5 E.U.
PMID- 27203057
TI - The Impact of Mutliple Sclerosis Severity on Quality of Life, Stress, Depression
and Social Support Needs.
PMID- 27203058
TI - Best Practices and Key Challenges in Cost-Effectiveness Modelling of Multiple
Sclerosis Therapies.
PMID- 27203059
TI - Tadalafil in Benign Prostatic Hyperplasia: Protocol for the Systematic Review of
Adverse Events.
PMID- 27203060
TI - Impact of Copayment Reduction or Exemption Programme on Generic Drug Utilisation:
the Specified Disease Treatment Research Programme in Japan.
PMID- 27203061
TI - Reversal of Chronic Fatigue Induced Alterations by Sesamol in Mice: Evidence for
Involvement of Oxidative Stress And Inflammatory Pathway.
PMID- 27203062
TI - Assessment of Outpatient Physiotherapy Services in Diseases of the Nervous System
in Hungary.
PMID- 27203063
TI - Age and Gender Distribution of Outpatient Care Physiotherapy Services for
Cerebral Palsy and Other Paralytic Syndromes in Hungary.
PMID- 27203064
TI - Acetyl-L-Carnitine for the Treatment of Peripheral Neuropathic Pain: a Systematic
Review and Meta-Analysis.
PMID- 27203065
TI - Financial Implications to Taiwan Health System from Changing the Dialysis
Modality Mix.
PMID- 27203066
TI - C5a Receptor Antagonist Protects Mice from Uropathogenic Escherichia Coli-Induced
Kidney Infection.
PMID- 27203067
TI - Activation of Endogenous Anti-Inflammatory Mediator Cyclic Amp Confers Protection
in Murine Acute Pyelonephritis Induced by Uropathogenic E Coli.
PMID- 27203068
TI - Epidemiology of End Stage Renal Disease Patients on Hemodialysis for Hospital
Readmissions.
PMID- 27203069
TI - Prevalence and Associated Complication of Acute Kidney Injury Among Dengue
Patients.
PMID- 27203070
TI - Budget impact analysis of peritoneal dialysis versus. Conventional in-center
hemodialysis in malaysia.
PMID- 27203071
TI - Health and economic impact of combination therapy vs. Monotherapy for treatment
of benign prostatic hyperplasia in hong kong.
PMID- 27203072
TI - Cost-Effectiveness of Percent Free Psa for Prostate Cancer Detection in Chinese
Men with a Total Psa of 4.0-10.0 Ng/Ml.
PMID- 27203073
TI - Economic Evaluation of the Treatments for Hyperphosphatemia Among Patients with
Chronic Kidney Disease: A Review.
PMID- 27203074
TI - Patient Reported Outcomes(Pros) in Patients with Lower Urinary Track
Symptom(Luts)/Benign Prostatic Hyperplasia(Bph) Accompanied with Overactive
Bladder(Oab) in Korea.
PMID- 27203075
TI - A Comparison of Patient-Reported and Laboratory Outcomes Between Hemodialysis and
Peritoneal Dialysis Patients in a Multi-Ethnic Asian Population.
PMID- 27203076
TI - Direct Medical Costs for Inpatient Treatment of Chronic Renal Failure in
Guangzhou, China.
PMID- 27203077
TI - Translation and Validation of Kidney Disease and Quality of Life (Kdqol-Sftm 1.2)
Instrument to Measure Health Related Quality of Life Of Indian Patients with
Kidney Disease.
PMID- 27203078
TI - Correction: Correction: Engineered Promoters for Potent Transient Overexpression.
AB - [This corrects the article DOI: 10.1371/journal.pone.0152449.].
PMID- 27203079
TI - Selective Retention of an Inactive Allele of the DKK2 Tumor Suppressor Gene in
Hepatocellular Carcinoma.
AB - In an effort to identify the functional alleles associated with hepatocellular
carcinoma (HCC), we investigated 152 genes found in the 4q21-25 region that
exhibited loss of heterozygosity (LOH). A total of 2,293 pairs of primers were
designed for 1,449 exonic and upstream promoter regions to amplify and sequence
76.8-114 Mb on human chromosome 4. Based on the results from analyzing 12 HCC
patients and 12 healthy human controls, we discovered 1,574 sequence variations.
Among the 99 variants associated with HCC (p < 0.05), four are from the Dickkopf
2 (DKK2) gene: three in the promoter region (g.-967A>T, g.-923C>A, and g.-441T>G)
and one in the 5'UTR (c.550T>C). To verify the results, we expanded the subject
cohort to 47 HCC cases and 88 healthy controls for conducting haplotype analysis.
Eight haplotypes were detected in the non-tumor liver tissue samples, but one
major haplotype (TAGC) was found in the tumor tissue samples. Using a reporter
assay, this HCC-associated allele registered the lowest level of promoter
activity among all the tested haplotype sequences. Retention of this allele in
LOH was associated with reduced DKK2 transcription in the HCC tumor tissues. In
HuH-7 cells, DKK2 functioned in the Wnt/beta-catenin signaling pathway, as an
antagonist of Wnt3a, in a dose-dependent manner that inhibited Wnt3a-induced cell
proliferation. Taken together, the genotyping and functional findings are
consistent with the hypothesis that DKK2 is a tumor suppressor; by selectively
retaining a transcriptionally inactive DKK2 allele, the reduction of DKK2
function results in unchecked Wnt/beta-catenin signaling, contributing to HCC
oncogenesis. Thus our study reveals a new mechanism through which a tumor
suppressor gene in a LOH region loses its function by allelic selection.
PMID- 27203080
TI - Total Effective Xenoestrogen Burden in Serum Samples and Risk for Breast Cancer
in a Population-Based Multicase-Control Study in Spain.
AB - BACKGROUND: Most studies on endocrine-disrupting chemicals and breast cancer have
focused on single compounds and have produced inconclusive findings. OBJECTIVES:
We assessed the combined estrogenic effects of mixtures of xenoestrogens in serum
and their relationship to breast cancer risk. METHODS: A total of 186 incident
pretreatment breast cancer cases and 196 frequency-matched controls were randomly
sampled from a large population-based multicase-control study in Spain. The total
effective xenoestrogen burden attributable to organohalogenated xenoestrogens
(TEXB-alpha) and endogenous hormones and more polar xenoestrogens (TEXB-beta) was
determined in serum samples using high-performance liquid chromatography and E
Screen bioassay. Odds ratios for breast cancer comparing tertiles of serum TEXB
alpha and TEXB-beta were estimated using logistic models, and smooth risk trends
were obtained using spline models. RESULTS: Cases had higher geometric mean TEXB
alpha and TEXB-beta levels (8.32 and 9.94 Eeq pM/mL, respectively) than controls
(2.99 and 5.96 Eeq pM/mL, respectively). The fully adjusted odds ratios for
breast cancer (95% confidence intervals) comparing the second and third tertiles
of TEXB-alpha with the first tertile were 1.77 (0.76, 4.10) and 3.45 (1.50,
7.97), respectively, and those for TEXB-beta were 2.35 (1.10, 5.03) and 4.01
(1.88, 8.56), respectively. A steady increase in risk was evident across all
detected TEXB-alpha levels and a sigmoidal trend was observed for TEXB-beta.
Individual xenoestrogens showed weak and opposing associations with breast cancer
risk. CONCLUSIONS: This is the first study to show a strong positive association
between serum total xenoestrogen burden and breast cancer risk, highlighting the
importance of evaluating xenoestrogen mixtures, rather than single compounds,
when studying hormone-related cancers. CITATION: Pastor-Barriuso R, Fernandez MF,
Castano-Vinyals G, Whelan D, Perez-Gomez B, Llorca J, Villanueva CM, Guevara M,
Molina-Molina JM, Artacho-Cordon F, Barriuso-Lapresa L, Tusquets I, Dierssen
Sotos T, Aragones N, Olea N, Kogevinas M, Pollan M. 2016. Total effective
xenoestrogen burden in serum samples and risk for breast cancer in a population
based multicase-control study in Spain. Environ Health Perspect 124:1575-1582;
http://dx.doi.org/10.1289/EHP157.
PMID- 27203081
TI - Bile Acids and Dysbiosis in Non-Alcoholic Fatty Liver Disease.
AB - BACKGROUND & AIMS: Non-alcoholic fatty liver disease (NAFLD) is characterized by
dysbiosis. The bidirectional effects between intestinal microbiota (IM) and bile
acids (BA) suggest that dysbiosis may be accompanied by an altered bile acid (BA)
homeostasis, which in turn can contribute to the metabolic dysregulation seen in
NAFLD. This study sought to examine BA homeostasis in patients with NAFLD and to
relate that with IM data. METHODS: This was a prospective, cross-sectional study
of adults with biopsy-confirmed NAFLD (non-alcoholic fatty liver: NAFL or non
alcoholic steatohepatitis: NASH) and healthy controls (HC). Clinical and
laboratory data, stool samples and 7-day food records were collected. Fecal BA
profiles, serum markers of BA synthesis 7-alpha-hydroxy-4-cholesten-3-one (C4)
and intestinal BA signalling, as well as IM composition were assessed. RESULTS:
53 subjects were included: 25 HC, 12 NAFL and 16 NASH. Levels of total fecal BA,
cholic acid (CA), chenodeoxycholic acid (CDCA) and BA synthesis were higher in
patients with NASH compared to HC (p<0.05 for all comparisons). The primary to
secondary BA ratio was higher in NASH compared to HC (p = 0.004), but ratio of
conjugated to unconjugated BAs was not different between the groups.
Bacteroidetes and Clostridium leptum counts were decreased in in a subset of 16
patients with NASH compared to 25 HC, after adjusting for body mass index and
weight-adjusted calorie intake (p = 0.028 and p = 0.030, respectively). C. leptum
was positively correlated with fecal unconjugated lithocholic acid (LCA) (r =
0.526, p = 0.003) and inversely with unconjugated CA (r = -0.669, p<0.0001) and
unconjugated CDCA (r = - 0.630, p<0.0001). FGF19 levels were not different
between the groups (p = 0.114). CONCLUSIONS: In adults with NAFLD, dysbiosis is
associated with altered BA homeostasis, which renders them at increased risk of
hepatic injury.
PMID- 27203083
TI - Primary Care Use before Cancer Diagnosis in Adolescents and Young Adults - A
Nationwide Register Study.
AB - INTRODUCTION: Survival rates of cancer patients have generally improved in recent
years. However, children and older adults seem to have experienced more
significant clinical benefits than adolescents and young adults (AYAs). Previous
studies suggest a prolonged diagnostic pathway in AYAs, but little is known about
their pre-diagnostic healthcare use. This study investigates the use of primary
care among AYAs during the two years preceding a cancer diagnosis. METHODS: The
study is a retrospective population-based matched cohort study using Danish
nationwide registry data. All persons diagnosed with cancer during 2002-2011 in
the age group 15-39 years were included (N = 12,306); each participant was
matched on gender, age and general practice with 10 randomly selected references
(N = 123,060). The use of primary healthcare services (face-to-face contacts,
blood tests and psychometric tests) was measured during the two years preceding
the diagnosis (index date), and collected data were analysed in a negative
binomial regression model. RESULTS: The cases generally increased their use of
primary care already from 8 months before a cancer diagnosis, whereas a similar
trend was not found for controls. The increase was observed for all cancer types,
but it started at different times: 17 months before a diagnosis of CNS tumour, 12
months before a diagnosis of soft tissue sarcoma, 9 months before a diagnosis of
lymphoma, 5-6 months before a diagnosis of leukaemia, bone tumour or GCT, and 3
months before a diagnosis of malignant melanoma. CONCLUSION: The use of primary
care among AYAs increase several months before a cancer diagnosis. The diagnostic
intervals are generally short for malignant melanomas and long for brain tumours.
A prolonged diagnostic pathway may indicate non-specific or vague symptomatology
and low awareness of cancer among AYAs primary-care personnel. The findings
suggest potential of faster cancer diagnosis in AYAs.
PMID- 27203082
TI - Experimental Infection of Rhodnius prolixus (Hemiptera, Triatominae) with
Mycobacterium leprae Indicates Potential for Leprosy Transmission.
AB - Leprosy is a chronic dermato-neurological disease caused by infection with
Mycobacterium leprae. In 2013 almost 200,000 new cases of leprosy were detected
around the world. Since the first symptoms take from years to decades to appear,
the total number of asymptomatic patients is impossible to predict. Although
leprosy is one of the oldest records of human disease, the mechanisms involved
with its transmission and epidemiology are still not completely understood. In
the present work, we experimentally investigated the hypothesis that the
mosquitoes Aedes aegypti and Culex quinquefasciatus and the hemiptera Rhodnius
prolixus act as leprosy vectors. By means of real-time PCR quantification of M.
leprae 16SrRNA, we found that M. leprae remained viable inside the digestive
tract of Rhodnius prolixus for 20 days after oral infection. In contrast, in the
gut of both mosquito species tested, we were not able to detect M. leprae RNA
after a similar period of time. Inside the kissing bug Rhodnius prolixus
digestive tract, M. leprae was initially restricted to the anterior midgut, but
gradually moved towards the hindgut, in a time course reminiscent of the life
cycle of Trypanosoma cruzi, a well-known pathogen transmitted by this insect. The
maintenance of M. leprae infectivity inside the digestive tract of this kissing
bug is further supported by successful mice footpad inoculation with feces
collected 20 days after infection. We conclude that Rhodnius prolixus defecate
infective M. leprae, justifying the evaluation of the presence of M. leprae among
sylvatic and domestic kissing bugs in countries endemic for leprosy.
PMID- 27203084
TI - Role of Alanine Dehydrogenase of Mycobacterium tuberculosis during Recovery from
Hypoxic Nonreplicating Persistence.
AB - Mycobacterium tuberculosis can maintain a nonreplicating persistent state in the
host for decades, but must maintain the ability to efficiently reactivate and
produce active disease to survive and spread in a population. Among the enzymes
expressed during this dormancy is alanine dehydrogenase, which converts pyruvate
to alanine, and glyoxylate to glycine concurrent with the oxidation of NADH to
NAD. It is involved in the metabolic remodeling of M. tuberculosis through its
possible interactions with both the glyoxylate and methylcitrate cycle. Both mRNA
levels and enzymatic activities of isocitrate lyase, the first enzyme of the
glyoxylate cycle, and alanine dehydrogenase increased during entry into
nonreplicating persistence, while the gene and activity for the second enzyme of
the glyoxylate cycle, malate synthase were not. This could suggest a shift in
carbon flow away from the glyoxylate cycle and instead through alanine
dehydrogenase. Expression of ald was also induced in vitro by other persistence
inducing stresses such as nitric oxide, and was expressed at high levels in vivo
during the initial lung infection in mice. Enzyme activity was maintained during
extended hypoxia even after transcription levels decreased. An ald knockout
mutant of M. tuberculosis showed no reduction in anaerobic survival in vitro, but
resulted in a significant lag in the resumption of growth after reoxygenation.
During reactivation the ald mutant had an altered NADH/NAD ratio, and alanine
dehydrogenase is proposed to maintain the optimal NADH/NAD ratio during
anaerobiosis in preparation of eventual regrowth, and during the initial response
during reoxygenation.
PMID- 27203087
TI - The Impact of Meta-analyses on Medical Decisions.
PMID- 27203086
TI - The Value of Contrast-Enhanced Ultrasonography and Contrast-Enhanced CT in the
Diagnosis of Malignant Renal Cystic Lesions: A Meta-Analysis.
AB - We compared the efficacy of contrast-enhanced ultrasound (CEUS) and contrast
enhanced computed tomography (CECT) for the diagnosis of renal cystic lesions via
a meta-analysis to determine the value of CEUS in the prediction of the malignant
potential of complex renal cysts. Eleven studies were evaluated: 4 control
studies related to CEUS and CECT, 3 studies related to CEUS and 4 studies related
to CECT. According to the random effects model, the pooled sensitivity,
specificity, positive likelihood ratio, and negative likelihood ratio for
CEUS/CECT were 0.95/0.90, 0.79/0.85, 4.39/5.00, and 0.10/0.15, respectively. The
areas under the summary receiver operating characteristic (AUCs-SROC) curves for
the two methods were 94.24% and 93.39%, and the estimated Q values were 0.8805
and 0.8698, respectively. Comparing the Q index values of CEUS and CECT revealed
no significant difference between the two methods (P>0.05). When compared with
conventional CECT, CEUS is also useful for diagnosing renal cystic lesions in the
clinic.
PMID- 27203088
TI - Percentage of Blastulation on the Number and Function of Metaphase II Oocytes.
AB - OBJECTIVE: Establish the number of metaphase II oocytes that are needed for
optimum blastulation rate and to achieve a better rate of gestation. METHODS:
Retrospective study. Women diagnosed with infertility. There were 110 women
submitted to IVF/ICSI, excluding third day transfers and those with canceled
cycles. After controlled ovarian stimulation, cultivation continued to
blastocysts. RESULTS: Average age of the patients: 33.41. IVF technique: 73
patients, 66.4%; ICSI: 37 patients, 33.6%. Pregnancy: gestation: 57.3%; Non
gestation: 42.7%. Mean number of oocytes in metaphase II: 7.5. CONCLUSION: The
gestation rate coincides with the center's results (50-60%), reached with 7
mature oocytes. Mean blastulation rate was 39.2%.
PMID- 27203085
TI - Combined Exposure to Simulated Microgravity and Acute or Chronic Radiation
Reduces Neuronal Network Integrity and Survival.
AB - During orbital or interplanetary space flights, astronauts are exposed to cosmic
radiations and microgravity. However, most earth-based studies on the potential
health risks of space conditions have investigated the effects of these two
conditions separately. This study aimed at assessing the combined effect of
radiation exposure and microgravity on neuronal morphology and survival in vitro.
In particular, we investigated the effects of simulated microgravity after acute
(X-rays) or during chronic (Californium-252) exposure to ionizing radiation using
mouse mature neuron cultures. Acute exposure to low (0.1 Gy) doses of X-rays
caused a delay in neurite outgrowth and a reduction in soma size, while only the
high dose impaired neuronal survival. Of interest, the strongest effect on
neuronal morphology and survival was evident in cells exposed to microgravity and
in particular in cells exposed to both microgravity and radiation. Removal of
neurons from simulated microgravity for a period of 24 h was not sufficient to
recover neurite length, whereas the soma size showed a clear re-adaptation to
normal ground conditions. Genome-wide gene expression analysis confirmed a
modulation of genes involved in neurite extension, cell survival and synaptic
communication, suggesting that these changes might be responsible for the
observed morphological effects. In general, the observed synergistic changes in
neuronal network integrity and cell survival induced by simulated space
conditions might help to better evaluate the astronaut's health risks and
underline the importance of investigating the central nervous system and long
term cognition during and after a space flight.
PMID- 27203089
TI - Non-Invasive Prediction of Blastocyst Formation by Day Three Embryo Culture
Medium Mass Spectrometry Lipid Fingerprinting.
AB - OBJECTIVE: To identify lipid markers of blastocyst formation by day three culture
medium mass spectrometry (MS) fingerprinting. METHODS: For this study, 50 embryo
samples from culture media were harvested on day three, from patients undergoing
embryo transfers on day five. Samples were split into groups based on their
degree of expansion and hatching status on day five (Complete-Blastocyst, n=25
and No-Blastocyst, n=25) and its secretomes were analysed by MS. Mass spectra
fingerprinting was acquired using a Q-Tof spectrometer (LC-MS, Agilent 6550
iFunnel Q-TOF) equipped with an automated injector. The data was analysed using
the principal component analysis (PCA) followed by a partial least square
discrimination analysis (PLS-DA), combined with variable influence in the
projection (VIP) scores. RESULTS: In total, there were 1,657 ions found, in which
165 ions were differently expressed between groups, with a fold chance >= 4x and
P<0.001, in the t-test. PLS-DA showed a clear separation between the groups and
among 15 VIPs selected by the program, 13 of them were highly expressed in the
Complete-Blastocyst Group and two were expressed in the No-Blastocyts Group.
Besides embryo status on day five, the PLS-DA was also able to classify samples
according to patients' age. Lipids supposedly highly expressed in the Complete
Blastocyst Group included: isoprenoids, diacylglycerols, sterols, fatty esters,
secosteroids, phosphosphingolipids, glycerophosphates and
diacylglycerophosphates, while fatty amides were suggested to be highly expressed
in the No-Blastocysts Group. CONCLUSIONS: Day three culture medium MS is a
promising approach for the identification of embryos that should be cultured
until day five.
PMID- 27203090
TI - Cost-Effectiveness of the Freeze-All Policy.
AB - OBJECTIVE: To evaluate the cost-effectiveness of freeze-all cycles when compared
to fresh embryo transfer. METHODS: This was an observational study with a cost
effectiveness analysis. The analysis consisted of 530 intracytoplasmic sperm
injection (ICSI) cycles in a private center in Brazil between January 2012 and
December 2013. A total of 530 intracytoplasmic sperm injection (ICSI) cycles -
351 fresh embryo transfers and 179 freeze-all cycles - with a gonadotropin
releasing hormone (GnRH) antagonist protocol and day 3 embryo transfers. RESULTS:
The pregnancy rate was 31.1% in the fresh group and 39.7% in the freeze-all
group. We performed two scenario analyses for costs. In scenario 1, we included
those costs associated with the ICSI cycle (monitoring during controlled ovarian
stimulation [COS], oocyte retrieval, embryo transfer, IVF laboratory, and medical
costs), embryo cryopreservation of supernumerary embryos, hormone measurements
during COS and endometrial priming, medication use (during COS, endometrial
priming, and luteal phase support), ultrasound scan for frozen- thawed embryo
transfer (FET), obstetric ultrasounds, and miscarriage. The total cost (in USD)
per pregnancy was statistically lower in the freeze-all cycles (19,156.73 +/-
1,732.99) when compared to the fresh cycles (23,059.72 +/- 2,347.02). Even in
Scenario 2, when charging all of the patients in the freeze-all group for
cryopreservation (regardless of supernumerary embryos) and for FET, the fresh
cycles had a statistically significant increase in treatment costs per ongoing
pregnancy. CONCLUSIONS: The results presented in this study suggest that the
freeze-all policy is a cost-effective strategy when compared to fresh embryo
transfer.
PMID- 27203091
TI - AMH as a Prognostic Factor for Blastocyst Development.
AB - OBJECTIVE: To investigate the relationship between AMH blood levels and the
likelihood of blastocyst formation. METHODS: Two hundred ninety-two patients, 22
44 years of age, undergoing routine explorations during spontaneous cycles that
preceded assisted reproductive technologies at our Center, were studied. As the
present study did not require previous submission to our Institutional Review
Board. Serum AMH and FSH levels were measured and laboratory data was obtained
after ovulation induction with an antagonist protocol. Participants were sorted
into two different groups paired by age. The first group (No Blasto; n=219)
involved women having no blastocyst formation; the second group (Yes Blasto
group; n=73) was made up of those women who were considered eligible to undergo 5
days of embryo culture. Furthermore, we analyzed blastulation rate. Patients were
divided according to the rate of blastocyst formation <0.43 (n=36) and >= 0.43
(n=37). The Statistical analysis was performed using SPSS version 20.0. We ran
Student's t-test for independent samples and Pearson's correlation. A P < 0.05
was considered significant. RESULTS: AMH levels were statistically different
(P=0.002) between the YES and NO blasto groups. Number of oocytes, MII oocytes
and embryos were higher in Yes Blasto group. FSH levels were similar between the
groups (P=0.149). Pearson correlation coefficient shows that the rate of
blastocyst formation is inversely correlated to AMH levels. CONCLUSIONS: We
conclude that patients that were considered eligible to undergo blastocyst
formation have higher levels of serum AMH, however too high concentration of this
hormone can be harmful to blastocyst development.
PMID- 27203092
TI - Vitrification of Human Oocytes and its Contribution to In Vitro Fertilization
Programs.
AB - OBJETICVE: To study the cumulative pregnancy outcome, particularly in terms of
live births, with the consecutive transfer of embryos from fresh and
vitrified/warmed oocytes to infertile patients in a routine infertility program.
METHODS: Patients were initially submitted to in vitro fertilization embryo
transfer with fresh embryos, while surplus oocytes were vitrified with the Vitri
Inga method. Patients who did not succeed to carry their gestation to term
underwent a new cycle with embryos from their own warmed oocytes. Some of the
patients participating in the first warming cycle, who still possessed surplus
oocytes, underwent a second warming cycle. Clinical and pregnancy outcomes
obtained with fresh and warming cycles were compared using the chi-square test at
a level of significance of 5%. RESULTS: Of the 211 participating patients, 97
(46%) got pregnant with fresh embryo transfer, and 69 (32.7%) carried their
pregnancies to term. Of the patients participating in the first and second
warming cycles, 32/100 (32%) and 6/20 (30.0%) resulted in live births,
respectively. Thus, of the 211 participating patients, 107 carried their
pregnancies to term, representing a cumulative live birth rate of 50.7%. No
statistically significant differences between the use fresh and vitrified oocytes
were found for any of the variables studied. CONCLUSIONS: Oocyte vitrification
offered the possibility of gestation in more than one attempt after just one
controlled hyperstimulation. Apart from alleviating the financial burden on
patients, vitrification of oocytes may result in a feasible solution for the
problems generated by abandoned frozen embryos.
PMID- 27203093
TI - The Impact of Serum Progesterone Levels on the Results of In Vitro Fertilization
Treatments: A Literature Review.
AB - The aim of this review is to analyze the relationship between preovulatory
progesterone (P) rise and in vitro fertilization (IVF) pregnancy outcomes. It
also investigates the sources and effects of rises in progesterone levels,
including the underlying mechanisms and potential strategies in preventing its
elevation during ovarian stimulation. Progesterone is produced in the early
follicular phase in the adrenal gland, which shifts toward the ovaries prior to
ovulation. Several factors contribute to the etiology of P level increase
including the number of multiple follicles, the overdose of gonadotropins and
poor ovarian response. Nowadays, the influence of the preovulatory P rise on IVF
outcome remains controversial. Several authors have failed to demonstrate any
negative impact, while others reported a detrimental effect associated with the
rise of P. It seems that P rise (1.5 ng/ml or 4.77 nmol/l) may have deleterious
effects on endometrial receptivity, namely, accelerating the endometrial
maturation process that subsequently narrows the period for implantation and thus
decreases pregnancy rates. Recent studies have proposed different cutoffs
according to the ovarian response, which may be a little high in patients with
high response in relation to those of normal response or low response. To prevent
a P rise, it might be preferable to use milder stimulation protocols, earlier
trigger of ovulation, cryopreservation of all embryos and transfer in the natural
cycle.
PMID- 27203094
TI - Children Born by Assisted Fertilization: Information on the Procreative Origin.
AB - This paper briefly reviews some aspects that affect people with infertility
problems and children born through assisted reproduction techniques. Making a
decision to have a child and accepting medical help represents an important
moment in the life of a couple. All parents are confronted at some point, with
the questions, that every child asks, that is: "How was I born?" All children
need to know their origin. However, most parents are not comfortable providing
the answer. In case of parents, who resorted to reproductive medicine, the answer
requires a particular approach, mainly because it often revives painful and
unresolved internal conflicts. Another problem is that parents do not feel
comfortable to talk about the fact that medical help was required to conceive
their child and that medical intervention sometimes requires the donation of
gametes (eggs or sperm); or simply, they do not know how to talk to children
about this issue. However, children have the right to know their origin. Our
study indicates that some children with behavioral problems improved
significantly after the family spoke openly about their origin. Children need to
be told the truth. We cannot lie to them nor remain silent.
PMID- 27203095
TI - Monozygotic Twin Pregnancy Associated with In Vitro Fertilization: Report and
Revision of Three Cases Presented in a Clinic at 10,925 Feet Above Sea Level.
AB - 3 cases of monozygotic twin pregnancies associated with IVF presented at Centro
de Fertilidad y Ginecologia del Sur. In this study, we describe the cases and
review the literature, noting possible related factors.
PMID- 27203097
TI - Oral Presentations - Abstracts of the 19th Annual Congress of the SBRA, Buzios,
RJ, 05-08 August 2015.
PMID- 27203096
TI - Time-lapse Systems in Assisted Reproduction: The Importance of the Earliest Steps
of Fertilization.
PMID- 27203098
TI - Poster Presentations - Abstracts of the 19th Annual Congress of the SBRA, Buzios,
RJ, 05-08 August 2015.
PMID- 27203099
TI - Asynchronous Detected Disappearance of the Pro-nuclei by Time-Lapse X Embryonic
Development.
AB - OBJECTIVE: To investigate whether pro-nuclei disappearance synchronicity would
have something to do with blastomere cleavage time up to D3 (timeline) and if it
would be associated with the appearance of embryo abnormalities. METHODS: The
sample of the present study was designed with 100 embryos (n = 100). With the aid
of an embryonic analysis equipment: Time-Lapse, we studied embryonic
morphokinetics from the zygote stage to D3. RESULTS: When there was no pronuclei
synchronous disappearance, 30.8% underwent normal cleavage, whereas when there
was pronuclei synchronous disappearance, 44.8% had normal cleavage. When there
was no pronuclei synchronous disappearance, 46.2% had some type of abnormality,
whereas when there was pronuclei synchronous disappearance, 56.3% had some kind
of abnormality. CONCLUSION: Although the statistical results were not
significant, we believe that with a larger sample size we could state with higher
reliability, that the pro-nuclei synchronous disappearance analysis may, in the
near future, indicate higher embryo quality.
PMID- 27203100
TI - Converting Nonliquid Crystals into Liquid Crystals by N-Methylation in the
Central Linker of Triazine-Based Dendrimers.
AB - Two triazine-based dendrimers were successfully prepared in 60-75% yields. These
newly prepared dendrimers 2a and 2b containing the -NMe(CH2)2NMe- and the
NMe(CH2)4NMe- linkers between two G3 dendrons, respectively, exhibit columnar
phases during the thermal process. However, the corresponding dendrimers 1a and
1b containing the -NH(CH2)2NH- and the -NH(CH2)4NH- linkers between two G3
dendrons, respectively, do not show any LC phases on thermal treatment.
Computational investigations on molecular conformations reveal that N-methylation
of the dendritic central linker leads dendrimers to possess more isomeric
conformations and thus successfully converts non-LC dendrimers (1a and 1b) into
LC dendrimers (2a and 2b).
PMID- 27203101
TI - Publishing Science in the Time of Zika.
PMID- 27203102
TI - Lack of Evidence that CYTH2/ARNO Functions as a Direct Intracellular EGFR
Activator.
PMID- 27203103
TI - PCSK9 Inhibitors.
AB - Alirocumab and evolocumab are monoclonal antibodies that block proprotein
convertase subtilisin/kexin type 9 (PCSK9), a circulating protein that degrades
low-density lipoprotein (LDL) receptors. These therapies increase LDL receptors
on the cell surface and reduce plasma LDL cholesterol. Both therapies are
approved to lower LDL cholesterol, a causative agent for atherosclerotic
cardiovascular disease.
PMID- 27203104
TI - On a Quest for Principles, Big Data in Hand.
AB - Cell editor Karen Carniol discusses the excitement and challenges of the "big
data" era and how quantitative approaches reveal important biological principles
with Galit Lahav, Gurol Suel, and Olga Troyanskaya. Annotated excerpts from this
conversation are presented below, and the full conversation is available with the
article online.
PMID- 27203105
TI - iPSCs: 10 Years and Counting.
PMID- 27203106
TI - Lessons from a Recovering Academic.
AB - The conversion of basic biology into new therapeutics requires scientific
activities in both academia and industry. Successful drug discovery projects span
disciplines, sectors, and institutions and tightly couple laboratory and clinical
experiments. Here, Ehlers describes conceptions and misconceptions about how
science is conducted in industry versus academia.
PMID- 27203107
TI - Tension-Time Integrals and Genetic Cardiomyopathy: The Force Is with You.
AB - Hundreds of different mutations in genes encoding a few dozen sarcomeric proteins
cause two reciprocal human disease phenotypes, hypertrophic or dilated
cardiomyopathy. How molecular dysfunction evokes different patterns of cardiac
remodeling is unclear. Davis et al. describe a biophysical metric of
cardiomyocyte function, the force-time integral, which predicts disease
phenotype.
PMID- 27203108
TI - Swollen Nuclei Signal from the Grave.
AB - Eicosanoid signaling plays key pro-inflammatory roles during tissue damage. Now,
Enyedi et al. show that swelling of nuclei in cell corpses activates eicosanoid
signaling to recruit leukocytes to sites of tissue damage. The enhanced membrane
tension in swollen nuclei directly promotes calcium-dependent translocation and
activation of enzymes involved in eicosanoid biosynthesis.
PMID- 27203109
TI - Mitochondria: Masters of Epigenetics.
AB - Accumulating evidence argues that aging exerts a profound influence on
epigenetics, and vice versa. A pair of studies by Merkwirth et al. and Tian et
al. now provide insights on how mitochondrial stress experienced by C. elegans
larvae propagates a specific and persistent epigenetic response that protects
adult cells and extends lifespan.
PMID- 27203111
TI - Mechanisms and Consequences of Macromolecular Phase Separation.
AB - Over a century ago, colloidal phase separation of matter into non-membranous
bodies was recognized as a fundamental organizing principal of cell "protoplasm."
Recent insights into the molecular properties of such phase-separated bodies
present challenges to our understanding of cellular protein interaction networks,
as well as opportunities for interpreting and understanding of native and
pathological genetic and molecular interactions. Here, we briefly review examples
of and discuss physical principles of phase-separated cellular bodies and then
reflect on how knowledge of these principles may direct future research on their
functions.
PMID- 27203110
TI - The Structure and Dynamics of Higher-Order Assemblies: Amyloids, Signalosomes,
and Granules.
AB - We here attempt to achieve an integrated understanding of the structure and
dynamics of a number of higher-order assemblies, including amyloids, various
kinds of signalosomes, and cellular granules. We propose that the synergy between
folded domains, linear motifs, and intrinsically disordered regions regulates the
formation and intrinsic fuzziness of all higher-order assemblies, creating a
structural and dynamic continuum. We describe how such regulatory mechanisms
could be influenced under pathological conditions.
PMID- 27203112
TI - The Cell Nucleus Serves as a Mechanotransducer of Tissue Damage-Induced
Inflammation.
AB - Tissue damage activates cytosolic phospholipase A2 (cPLA2), releasing arachidonic
acid (AA), which is oxidized to proinflammatory eicosanoids by 5-lipoxygenase (5
LOX) on the nuclear envelope. How tissue damage is sensed to activate cPLA2 is
unknown. We investigated this by live imaging in wounded zebrafish larvae, where
damage of the fin tissue causes osmotic cell swelling at the wound margin and the
generation of a chemotactic eicosanoid signal. Osmotic swelling of cells and
their nuclei activates cPla2 by translocating it from the nucleoplasm to the
nuclear envelope. Elevated cytosolic Ca(2+) was necessary but not sufficient for
cPla2 translocation, and nuclear swelling was required in parallel. cPla2
translocation upon nuclear swelling was reconstituted in isolated nuclei and
appears to be a simple physical process mediated by tension in the nuclear
envelope. Our data suggest that the nucleus plays a mechanosensory role in
inflammation by transducing cell swelling and lysis into proinflammatory
eicosanoid signaling.
PMID- 27203114
TI - Retraction Notice to: Cytohesins Are Cytoplasmic ErbB Receptor Activators.
PMID- 27203115
TI - SnapShot: Microglia in Disease.
AB - The development and maintenance of the central nervous system is dependent upon
regulated, homeostatic actions of microglia, which sculpt and refine neuronal
circuitry. By contrast, dysregulation of microglia contributes to the pathology
of neurodevelopmental disorders such as autism spectrum disorders;
neurodegenerative disorders such as Alzheimer's disease; and schizophrenia and
chronic neuropathic pain.
PMID- 27203113
TI - Cistrome and Epicistrome Features Shape the Regulatory DNA Landscape.
AB - The cistrome is the complete set of transcription factor (TF) binding sites (cis
elements) in an organism, while an epicistrome incorporates tissue-specific DNA
chemical modifications and TF-specific chemical sensitivities into these binding
profiles. Robust methods to construct comprehensive cistrome and epicistrome maps
are critical for elucidating complex transcriptional networks that underlie
growth, behavior, and disease. Here, we describe DNA affinity purification
sequencing (DAP-seq), a high-throughput TF binding site discovery method that
interrogates genomic DNA with in-vitro-expressed TFs. Using DAP-seq, we defined
the Arabidopsis cistrome by resolving motifs and peaks for 529 TFs. Because
genomic DNA used in DAP-seq retains 5-methylcytosines, we determined that >75%
(248/327) of Arabidopsis TFs surveyed were methylation sensitive, a property that
strongly impacts the epicistrome landscape. DAP-seq datasets also yielded insight
into the biology and binding site architecture of numerous TFs, demonstrating the
value of DAP-seq for cost-effective cistromic and epicistromic annotation in any
organism.
PMID- 27203116
TI - Programming Surface Chemistry with Engineered Cells.
AB - We have developed synthetic gene networks that enable engineered cells to
selectively program surface chemistry. E. coli were engineered to upregulate
biotin synthase, and therefore biotin synthesis, upon biochemical induction.
Additionally, two different functionalized surfaces were developed that utilized
binding between biotin and streptavidin to regulate enzyme assembly on
programmable surfaces. When combined, the interactions between engineered cells
and surfaces demonstrated that synthetic biology can be used to engineer cells
that selectively control and modify molecular assembly by exploiting surface
chemistry. Our system is highly modular and has the potential to influence fields
ranging from tissue engineering to drug development and delivery.
PMID- 27203117
TI - Threshold for Terahertz Resonance of Nanoparticles in Water.
AB - Nanoparticle vibrations are coupled to light through electrostriction, which
gives nonlinear optical scattering. We investigated the acoustic response of 2 nm
gold nanoparticles using a nearly degenerate four-wave mixing experimental
configuration and show that the nonlinear response suddenly turns on at low
powers (<100 mW) for continuous-wave (CW) lasers. The observed nonlinear response
is a million times larger than typical electronic nonlinearities. The threshold
implies a dramatic change in the quality factor of the vibrating nanoparticles, 4
orders of magnitude larger than usual hydrodynamic theory predicts. It is as if
the water is removed altogether, which we speculate is the result of the
vibrating particle pushing away the water molecules to form a stable cavity.
Because these acoustic vibrations extend to terahertz frequencies, there is
potential to harness this effect for high speed optical data processing, as well
as to probe the dynamics of proteins all having acoustic modes in this range.
PMID- 27203118
TI - Carrier Polarity Control in alpha-MoTe2 Schottky Junctions Based on Weak Fermi
Level Pinning.
AB - The polarity of the charge carriers injected through Schottky junctions of alpha
phase molybdenum ditelluride (alpha-MoTe2) and various metals was characterized.
We found that the Fermi-level pinning in the metal/alpha-MoTe2 Schottky junction
is so weak that the polarity of the carriers (electron or hole) injected from the
junction can be controlled by the work function of the metals, in contrast to
other transition metal dichalcogenides such as MoS2. From the estimation of the
Schottky barrier heights, we obtained p-type carrier (hole) injection from a
Pt/alpha-MoTe2 junction with a Schottky barrier height of 40 meV at the valence
band edge. n-Type carrier (electron) injection from Ti/alpha-MoTe2 and Ni/alpha
MoTe2 junctions was also observed with Schottky barrier heights of 50 and 100
meV, respectively, at the conduction band edge. In addition, enhanced
ambipolarity was demonstrated in a Pt-Ti hybrid contact with a unique structure
specially designed for polarity-reversible transistors, in which Pt and Ti
electrodes were placed in parallel for injecting both electrons and holes.
PMID- 27203119
TI - Chemically Exfoliated SnSe Nanosheets and Their SnSe/Poly(3,4
ethylenedioxythiophene):Poly(styrenesulfonate) Composite Films for Polymer Based
Thermoelectric Applications.
AB - Tin selenide (SnSe) nanosheets (NSs) are prepared by hydrothermal lithium
intercalation and a subsequent exfoliation process from a SnSe ingot. Conducting
polymer poly(3,4-ethylenedioxythiohene):poly(styrenesulfonate) ( PEDOT: PSS)
based thermoelectric composites are fabricated with varying SnSe NSs content, and
the thermoelectric properties of the composites are examined at 300 K. The
exfoliated SnSe particles show thin two-dimensional sheet-like structures that
are evenly distributed into the PEDOT: PSS matrix. The significantly enhanced
power factor (S(2).sigma) of the SnSe NS/PEDOT:PSS composites with increasing
SnSe NSs content can be explained by the potential difference at the interface
between the SnSe and PEDOT: PSS. The fabricated SnSe NS/PEDOT:PSS composites show
a maximum figure of merit (ZT) of 0.32 at a SnSe NSs loading of 20 wt %. The
mixing of inorganic nanoparticles with the conducting polymer matrix forms
products with extremely low thermal conductivities, which is a promising strategy
for the realization of polymer based efficient thermoelectric applications.
PMID- 27203120
TI - Axial Chirality about Boron-Carbon Bond: Atropisomeric Azaborines.
AB - The preparation of atropisomeric 2,1-borazaronaphthalenes is described.
Resolution of the atropisomeric pair was achieved by preparative Chiral
Stationary Phase HPLC (CSP-HPLC). The absolute configuration of the stereogenic
axis was derived from Time-Dependent DFT (TD-DFT) simulation of the Electronic
Circular Dichroism spectra (ECD). X-ray diffraction and Dynamic NMR data allowed
structural and dynamic comparison with the analogue isosteric carbon compounds.
PMID- 27203125
TI - Numerical Simulation of Permeability Change in Wellbore Cement Fractures after
Geomechanical Stress and Geochemical Reactions Using X-ray Computed Tomography
Imaging.
AB - X-ray microtomography (XMT) imaging combined with three-dimensional (3D)
computational fluid dynamics (CFD) modeling technique was used to study the
effect of geochemical and geomechanical processes on fracture permeability in
composite Portland cement-basalt caprock core samples. The effect of fluid
density and viscosity and two different pressure gradient conditions on fracture
permeability was numerically studied by using fluids with varying density and
viscosity and simulating two different pressure gradient conditions. After the
application of geomechanical stress but before CO2-reaction, CFD revealed fluid
flow increase, which resulted in increased fracture permeability. After CO2
reaction, XMT images displayed preferential precipitation of calcium carbonate
within the fractures in the cement matrix and less precipitation in fractures
located at the cement-basalt interface. CFD estimated changes in flow profile and
differences in absolute values of flow velocity due to different pressure
gradients. CFD was able to highlight the profound effect of fluid viscosity on
velocity profile and fracture permeability. This study demonstrates the
applicability of XMT imaging and CFD as powerful tools for characterizing the
hydraulic properties of fractures in a number of applications like geologic
carbon sequestration and storage, hydraulic fracturing for shale gas production,
and enhanced geothermal systems.
PMID- 27203127
TI - Dosimetric comparisons of carbon ion treatment plans for 1D and 2D ripple filters
with variable thicknesses.
AB - A ripple filter (RiFi)-also called mini-ridge filter-is a passive energy
modulator used in particle beam treatments that broadens the Bragg peak (BP) as a
function of its maximum thickness. The number of different energies requested
from the accelerator can thus be reduced, which significantly reduces the
treatment time. A new second generation RiFi with 2D groove shapes was developed
using rapid prototyping, which optimizes the beam-modulating material and enables
RiFi thicknesses of up to 6 mm. Carbon ion treatment plans were calculated using
the standard 1D 3 mm thick RiFi and the new 4 and 6 mm 2D RiFis for spherical
planning target volumes (PTVs) in water, eight stage I non-small cell lung cancer
cases, four skull base chordoma cases and three prostate cancer cases. TRiP98 was
used for treatment planning with facility-specific base data calculated with the
Monte Carlo code SHIELD-HIT12A. Dose-volume-histograms, spatial dose
distributions and dosimetric indexes were used for plan evaluation. Plan
homogeneity and conformity of thinner RiFis were slightly superior to thicker
RiFis but satisfactory results were obtained for all RiFis investigated. For the
6 mm RiFi, fine structures in the dose distribution caused by the larger energy
steps were observed at the PTV edges, in particular for superficial and/or very
small PTVs but performances for all RiFis increased with penetration depth due to
straggling and scattering effects. Plans with the new RiFi design yielded for the
studied cases comparable dosimetric results to the standard RiFi while the 4 and
6 mm RiFis lowered the irradiation time by 25-30% and 45-49%, respectively.
PMID- 27203126
TI - Mechanism for Six-Electron Aryl-N-Oxygenation by the Non-Heme Diiron Enzyme CmlI.
AB - The ultimate step in chloramphenicol (CAM) biosynthesis is a six-electron
oxidation of an aryl-amine precursor (NH2-CAM) to the aryl-nitro group of CAM
catalyzed by the non-heme diiron cluster-containing oxygenase CmlI. Upon exposure
of the diferrous cluster to O2, CmlI forms a long-lived peroxo intermediate, P,
which reacts with NH2-CAM to form CAM. Since P is capable of at most a two
electron oxidation, the overall reaction must occur in several steps. It is
unknown whether P is the oxidant in each step or whether another oxidizing
species participates in the reaction. Mass spectrometry product analysis of
reactions under (18)O2 show that both oxygen atoms in the nitro function of CAM
derive from O2. However, when the single-turnover reaction between (18)O2-P and
NH2-CAM is carried out in an (16)O2 atmosphere, CAM nitro groups contain both
(18)O and (16)O, suggesting that P can be reformed during the reaction sequence.
Such reformation would require reduction by a pathway intermediate, shown here to
be NH(OH)-CAM. Accordingly, the aerobic reaction of NH(OH)-CAM with diferric CmlI
yields P and then CAM without an external reductant. A catalytic cycle is
proposed in which NH2-CAM reacts with P to form NH(OH)-CAM and diferric CmlI.
Then the NH(OH)-CAM rereduces the enzyme diiron cluster, allowing P to reform
upon O2 binding, while itself being oxidized to NO-CAM. Finally, the reformed P
oxidizes NO-CAM to CAM with incorporation of a second O2-derived oxygen atom. The
complete six-electron oxidation requires only two exogenous electrons and could
occur in one active site.
PMID- 27203128
TI - Li Intercalation into Graphite: Direct Optical Imaging and Cahn-Hilliard Reaction
Dynamics.
AB - Lithium intercalation into graphite is a critical process in energy storage
technology. Studies of Li intercalation kinetics have proved challenging due to
structural and phase complexity, and sample heterogeneity. Here we report direct
time- and space-resolved, all-optical measurement of Li intercalation. We use a
single crystal graphite electrode with lithographically defined disc geometry.
All-optical, Raman and reflectance measurements distinguish the intrinsic
intercalation process from side reactions, and provide new insight into the
microscopic intercalation process. The recently proposed Cahn-Hilliard reaction
(CHR) theory quantitatively captures the observed phase front spatial patterns
and dynamics, using a two-layer free-energy model with novel, generalized Butler
Volmer kinetics. This approach unites Cahn-Hilliard and electrochemical kinetics,
using a thermodynamically consistent description of the Li injection reaction at
the crystal edge that involves a cooperative opening of graphene planes. The
excellent agreement between experiment and theory presented here, with single
crystal resolution, provides strong support for the CHR theory of solid-state
reactions.
PMID- 27203129
TI - Role of spatial averaging in multicellular gradient sensing.
AB - Gradient sensing underlies important biological processes including
morphogenesis, polarization, and cell migration. The precision of gradient
sensing increases with the length of a detector (a cell or group of cells) in the
gradient direction, since a longer detector spans a larger range of concentration
values. Intuition from studies of concentration sensing suggests that precision
should also increase with detector length in the direction transverse to the
gradient, since then spatial averaging should reduce the noise. However, here we
show that, unlike for concentration sensing, the precision of gradient sensing
decreases with transverse length for the simplest gradient sensing model, local
excitation-global inhibition. The reason is that gradient sensing ultimately
relies on a subtraction of measured concentration values. While spatial averaging
indeed reduces the noise in these measurements, which increases precision, it
also reduces the covariance between the measurements, which results in the net
decrease in precision. We demonstrate how a recently introduced gradient sensing
mechanism, regional excitation-global inhibition (REGI), overcomes this effect
and recovers the benefit of transverse averaging. Using a REGI-based model, we
compute the optimal two- and three-dimensional detector shapes, and argue that
they are consistent with the shapes of naturally occurring gradient-sensing cell
populations.
PMID- 27203130
TI - The Incidence of Trocar Site Hernia After Single-Port Laparoscopic
Cholecystectomy-A Single Center Analysis and Literature Review.
AB - BACKGROUND: Single-port laparoscopic cholecystectomy (SLC) requires a larger
initial umbilical incision than conventional multiport laparoscopic
cholecystectomy (MLC). In this retrospective analysis study we compared the
demographics, clinical data, and incidence of postoperative trocar site hernias
(TSH) in patients undergoing SLC with those in patients undergoing MLC. PATIENTS
AND METHODS: A total of 161 patients were included in this study. Of these
patients, 104 underwent MLC, 57 SLC. Patients in the MLC group were operated on
using the four-trocar technique, patients in the SLC-port group using a fully
reusable multi-trocar port system (X-ConeTM). The earliest follow-up point was 12
months, the average follow-up period was 16.4 months (range 12-24 mos). The
follow-up examinations for all patients consisted of a review of their medical
history, a thorough physical examination, and an ultrasound examination of all
existing trocar site scars. RESULTS: Patients in the SLC group had a lower mean
American Society of Anesthesiologists score and a lower mean body mass index
compared to patients in the MLC group. The average operating time was shorter for
the patients in the SLC group, but the difference was not statistically
significant. Ten (9.6%) patients in the MLC group and 3 (5.3%) in the SLC group
developed a TSH (p = .55). CONCLUSIONS: At midterm follow-up the incidence of
umbilical incisional hernias was not greater for SLC compared to MLC. The
incidence of TSH after MLC was significantly higher than expected.
PMID- 27203131
TI - Reducing Emergency Department Visits for Acute Gastrointestinal Illnesses in
North Carolina (USA) by Extending Community Water Service.
AB - BACKGROUND: Previous analyses have suggested that unregulated private drinking
water wells carry a higher risk of exposure to microbial contamination than
regulated community water systems. In North Carolina, ~35% of the state's
population relies on private wells, but the health impact associated with
widespread reliance on such unregulated drinking water sources is unknown.
OBJECTIVES: We estimated the total number of emergency department visits for
acute gastrointestinal illness (AGI) attributable to microbial contamination in
private wells in North Carolina per year, the costs of those visits, and the
potential health benefits of extending regulated water service to households
currently relying on private wells for their drinking water. METHODS: We
developed a population intervention model using 2007-2013 data from all 122 North
Carolina emergency departments along with microbial contamination data for all
2,120 community water systems and for 16,138 private well water samples collected
since 2008. RESULTS: An estimated 29,400 (95% CI: 26,600, 32,200) emergency
department visits per year for acute gastrointestinal illness were attributable
to microbial contamination in drinking water, constituting approximately 7.3%
(95% CI: 6.6, 7.9%) of all AGI-related visits. Of these attributable cases, 99%
(29,200; 95% CI: 26,500, 31,900) were associated with private well contamination.
The estimated statewide annual cost of emergency department visits attributable
to microbiological contamination of drinking water is 40.2 million USD (95% CI:
2.58 million USD, 193 million USD), of which 39.9 million USD (95% CI: 2.56
million USD, 192 million USD) is estimated to arise from private well
contamination. An estimated 2,920 (95% CI: 2,650, 3,190) annual emergency
department visits could be prevented by extending community water service to 10%
of the population currently relying on private wells. CONCLUSIONS: This research
provides new evidence that extending regulated community water service to
populations currently relying on private wells may decrease the population burden
of acute gastrointestinal illness. CITATION: DeFelice NB, Johnston JE, Gibson JM.
2016. Reducing emergency department visits for acute gastrointestinal illnesses
in North Carolina (USA) by extending community water service. Environ Health
Perspect 124:1583-1591; http://dx.doi.org/10.1289/EHP160.
PMID- 27203132
TI - Assessment of 25 CYP2D6 alleles found in the Chinese population on propafenone
metabolism in vitro.
AB - Cytochrome P450 enzyme 2D6 (CYP2D6) is an important member of the cytochrome P450
enzyme superfamily, with more than 100 CYP2D6 allelic variants being previously
reported. The aim of this study was to assess the catalytic characteristics of 25
alleles (CYP2D6.1 and 24 CYP2D6 variants) and their effects on the metabolism of
propafenone in vitro. Twenty-five CYP2D6 alleles were expressing in 21 Spodoptera
frugiperda (Sf) insect cells, and each variant was evaluated using propafenone as
the substrate. Reactions were performed at 37 degrees C with 1-100 MUmol/L
propafenone for 30 min. After termination, the product 5-OH-propafenone was
extracted and used for signal collection by ultra-performance liquid
chromatography (UPLC). Compared with wild type CYP2D6.1, the intrinsic clearance
(Vmax and Km) values of all variants were significantly altered. Three variants
(CYP2D6.87, CYP2D6.90, CYP2D6.F219S) exhibited markedly increased intrinsic
clearance values (129% to 165%), whereas 21 variants exhibited significantly
decreased values (16% to 85%) due to increased Km and (or) decreased Vmax values.
These results indicated that the majority of tested alleles had significantly
altered catalytic activity towards propafenone hydroxylation in this expression
system. Attention should be paid to subjects carrying these rare alleles when
treated with propafenone.
PMID- 27203133
TI - Human biomarkers: can they help us to develop a new tuberculosis vaccine?
AB - The most effective intervention for the control of infectious disease is
vaccination. The BCG vaccine, the only licensed vaccine for the prevention of
tuberculosis (TB) disease, is only partially effective and a new vaccine is
urgently needed. Biomarkers can aid the development of new TB vaccines through
discovery of immune mechanisms, early assessment of vaccine immunogenicity or
vaccine take and identification of those at greatest risk of disease progression
for recruitment into smaller, targeted efficacy trials. The ultimate goal,
however, remains a biomarker of TB vaccine efficacy that can be used as a
surrogate for a TB disease end point and there remains an urgent need for further
research in this area.
PMID- 27203135
TI - A physical framework for implementing virtual models of intracranial pressure and
cerebrospinal fluid dynamics in hydrocephalus shunt testing.
AB - OBJECTIVE The surgical placement of a shunt designed to resolve the brain's
impaired ability to drain excess CSF is one of the most common treatments for
hydrocephalus. The use of a dynamic testing platform is an important part of
shunt testing that can faithfully reproduce the physiological environment of the
implanted shunts. METHODS A simulation-based framework that serves as a proof of
concept for enabling the application of virtual intracranial pressure (ICP) and
CSF models to a physical shunt-testing system was engineered. This was achieved
by designing hardware and software that enabled the application of dynamic model
driven inlet and outlet pressures to a shunt and the subsequent measurement of
the resulting drainage rate. RESULTS A set of common physiological scenarios was
simulated, including oscillations in ICP due to respiratory and cardiac cycles,
changes in baseline ICP due to changes in patient posture, and transient ICP
spikes caused by activities such as exercise, coughing, sneezing, and the
Valsalva maneuver. The behavior of the Strata valve under a few of these
physiological conditions is also demonstrated. CONCLUSIONS Testing shunts with
dynamic ICP and CSF simulations can facilitate the optimization of shunts to be
more failure resistant and better suited to patient physiology.
PMID- 27203134
TI - Diffusion tensor imaging study of pediatric patients with congenital
hydrocephalus: 1-year postsurgical outcomes.
AB - OBJECTIVE The purpose of this study was to investigate white matter (WM)
structural abnormalities using diffusion tensor imaging (DTI) in children with
hydrocephalus before CSF diversionary surgery (including ventriculoperitoneal
shunt insertion and endoscopic third ventriculostomy) and during the course of
recovery after surgery in association with neuropsychological and behavioral
outcome. METHODS This prospective study included 54 pediatric patients with
congenital hydrocephalus (21 female, 33 male; age range 0.03-194.5 months) who
underwent surgery and 64 normal controls (30 female, 34 male; age range 0.30
197.75 months). DTI and neurodevelopmental outcome data were collected once in
the control group and 3 times (preoperatively and at 3 and 12 months
postoperatively) in the patients with hydrocephalus. DTI measures, including
fractional anisotropy (FA), mean diffusivity (MD), axial diffusivity (AD), and
radial diffusivity (RD) values were extracted from the genu of the corpus
callosum (gCC) and the posterior limb of internal capsule (PLIC). Group analysis
was performed first cross-sectionally to quantify DTI abnormalities at 3 time
points by comparing the data obtained in the hydrocephalus group for each of the
3 time points to data obtained in the controls. Longitudinal comparisons were
conducted pairwise between different time points in patients whose data were
acquired at multiple time points. Neurodevelopmental data were collected and
analyzed using the Adaptive Behavior Assessment System, Second Edition, and the
Bayley Scales of Infant Development, Third Edition. Correlation analyses were
performed between DTI and behavioral measures. RESULTS Significant DTI
abnormalities were found in the hydrocephalus patients in both the gCC (lower FA
and higher MD, AD, and RD) and the PLIC (higher FA, lower AD and RD) before
surgery. The DTI measures in the gCC remained mostly abnormal at 3 and 12 months
after surgery. The DTI abnormalities in the PLIC were significant in FA and AD at
3 months after surgery but did not persist when tested at 12 months after
surgery. Significant longitudinal DTI changes in the patients with hydrocephalus
were found in the gCC when findings at 3 and 12 months after surgery were
compared. In the PLIC, trend-level longitudinal changes were observed between
preoperative findings and 3-month postoperative findings, as well as between 3-
and 12-month postoperative findings. Significant correlation between DTI and
developmental outcome was found at all 3 time points. Notably, a significant
correlation was found between DTI in the PLIC at 3 months after surgery and
developmental outcome at 12 months after surgery. CONCLUSIONS The data showed
significant WM abnormality based on DTI in both the gCC and the PLIC in patients
with congenital hydrocephalus before surgery, and the abnormalities persisted in
both the gCC and the PLIC at 3 months after surgery. The DTI values remained
significantly abnormal in the gCC at 12 months after surgery. Longitudinal
analysis showed signs of recovery in both WM structures between different time
points. Combined with the significant correlation found between DTI and
neuropsychological measures, the findings of this study suggest that DTI can
serve as a sensitive imaging biomarker for underlying neuroanatomical changes and
postsurgical developmental outcome and even as a predictor for future outcomes.
PMID- 27203136
TI - Outcomes of endoscopic suturectomy with postoperative helmet therapy in bilateral
coronal craniosynostosis.
AB - OBJECTIVE Historically, bilateral frontoorbital advancement (FOA) has been the
keystone for treatment of turribrachycephaly caused by bilateral coronal
synostosis. Early endoscopic suturectomy has become a popular technique for
treatment of single-suture synostosis, with acceptable results and minimal
perioperative morbidity. Boston Children's Hospital has adopted this method of
treating early-presenting cases of bilateral coronal synostosis. METHODS A
retrospective review of patients with bilateral coronal craniosynostosis who were
treated with endoscopic suturectomy between 2005 and 2012 was completed. Patients
were operated on between 1 and 4 months of age. Hospital records were reviewed
for perioperative morbidity, length of stay, head circumference and cephalic
indices, and the need for further surgery. RESULTS Eighteen patients were
identified, 8 males and 10 females, with a mean age at surgery of 2.6 months
(range 1-4 months). Nine patients had syndromic craniosynostosis. The mean
duration of surgery was 73.3 minutes (range 50-93 minutes). The mean blood loss
was 40 ml (range 20-100 ml), and 2 patients needed a blood transfusion. The mean
duration of hospital stay was 1.2 days (range 1-2 days). There was 1 major
complication in the form of a CSF leak. The mean follow-up was 37 months (range 6
102 months). Eleven percent of nonsyndromic patients required a subsequent FOA;
55.6% of syndromic patients underwent FOA. The head circumference percentiles and
cephalic indices improved significantly. CONCLUSIONS Early endoscopic suturectomy
successfully treats the majority of patients with bilateral coronal synostosis,
and affords a short procedure time, a brief hospital stay, and an expedited
recovery. Close follow-up is needed to detect patients who will require a
secondary FOA due to progressive suture fusion or resynostosis of the released
coronal sutures.
PMID- 27203139
TI - Body habitus, serum albumin, and the outcomes after craniotomy for tumor: a
National Surgical Quality Improvement Program analysis.
AB - OBJECTIVE Although there is a growing body of research highlighting the negative
impact of obesity and malnutrition on surgical outcomes, few studies have
evaluated these parameters in patients undergoing intracranial surgery. The goal
of this study was to use a national registry to evaluate the association of body
mass index (BMI) and hypoalbuminemia with 30-day outcomes after craniotomy for
tumor. METHODS Adult patients who underwent craniotomy for tumor were extracted
from the prospective National Surgical Quality Improvement Program registry.
Patients were stratified by body habitus according to the WHO classification, as
well as by preoperative hypoalbuminemia (< 3.5 g/dl). Multivariable logistic
regression evaluated the association of body habitus and hypoalbuminemia with 30
day mortality, complications, and discharge disposition. Covariates included
patient age, sex, race or ethnicity, tumor histology, American Society of
Anesthesiology class, preoperative functional status, comorbidities (including
hypertension and diabetes mellitus), and additional preoperative laboratory
values. RESULTS Among the 11,510 patients included, 28.7% were classified as
normal weight (BMI 18.5-24.9 kg/m2), 1.9% as underweight (BMI < 18.5 kg/m2),
33.4% as overweight (BMI 25.0-29.9 kg/m2), 19.1% as Class I obese (BMI 30.0-34.9
kg/m2), 8.3% as Class II obese (BMI 35.0-39.9 kg/m2), 5.5% as Class III obese
(BMI >= 40.0 kg/m2), and 3.1% had missing BMI data. In multivariable regression
models, body habitus was not associated with differential odds of mortality,
postoperative stroke or coma, or a nonroutine hospital discharge. However, the
adjusted odds of a major complication were significantly higher for Class I obese
(OR 1.28, 99% CI 1.01-1.62; p = 0.008), Class II obese (OR 1.53, 99% CI 1.13
2.07; p < 0.001), and Class III obese (OR 1.67, 99% CI 1.19-2.36; p < 0.001)
patients compared with those of normal weight; a dose-dependent effect was seen,
with increased effect size with greater adiposity. The higher odds of major
complications was primarily due to significantly increased odds of a venous
thromboembolism in overweight and obese patients, as well as of a surgical site
infection in those with Class II or III obesity. Additionally, 41.0% of patients
had an albumin level >= 3.5 g/dl, 9.6% had hypoalbuminemia, and 49.4% had a
missing albumin value. Hypoalbuminemia was associated with significantly higher
odds of mortality (OR 1.91, 95% CI 1.41-2.60; p < 0.001) or a nonroutine hospital
discharge (OR 1.46, 95% CI 1.21-1.76; p < 0.001). CONCLUSIONS In this National
Surgical Quality Improvement Program analysis evaluating patients who underwent
craniotomy for tumor, body habitus was not associated with differential mortality
or neurological complications. However, obese patients had increased odds of a
major perioperative complication, primarily due to higher rates of venous
thromboembolic events and surgical site infections. Preoperative hypoalbuminemia
was associated with increased odds of mortality and a nonroutine hospital
discharge, suggesting that serum albumin may have utility in stratifying risk
preoperatively in patients undergoing craniotomy.
PMID- 27203138
TI - Medicare expenditures for elderly patients undergoing surgical clipping or
endovascular intervention for subarachnoid hemorrhage.
AB - OBJECTIVE The impact of treatment method-surgical clipping or endovascular
coiling-on the cost of care for patients with aneurysmal subarachnoid hemorrhage
(SAH) is debated. Here, the authors investigated the association between
treatment method and long-term Medicare expenditures in elderly patients with
aneurysmal SAH. METHODS The authors performed a cohort study of 100% of the
Medicare fee-for-service claims data for elderly patients who had undergone
treatment for ruptured cerebral aneurysms in the period from 2007 to 2012. To
control for measured confounding, the authors used propensity score-adjusted
multivariable regression analysis with mixed effects to account for clustering at
the hospital referral region (HRR) level. An instrumental variable (regional
rates of coiling) analysis was used to control for unmeasured confounding by
creating pseudo-randomization on the treatment method. RESULTS During the study
period, 3210 patients underwent treatment for ruptured cerebral aneurysms and met
the inclusion criteria. Of these patients, 1206 (37.6%) had surgical clipping and
2004 (62.4%) had endovascular coiling. The median total Medicare expenditures in
the 1st year after admission for SAH were $113,000 (IQR $77,500-$182,000) for
surgical clipping and $103,000 (IQR $72,900-$159,000) for endovascular coiling.
When the authors adjusted for unmeasured confounders by using an instrumental
variable analysis, clipping was associated with increased 1-year Medicare
expenditures by $19,577 (95% CI $4492-$34,663). CONCLUSIONS In a cohort of
Medicare patients with aneurysmal SAH, after controlling for unmeasured
confounding, surgical clipping was associated with increased 1-year expenditures
in comparison with endovascular coiling.
PMID- 27203140
TI - Early diffusion-weighted MRI lesions after treatment of unruptured intracranial
aneurysms: a prospective study.
AB - OBJECTIVE Diffusion-weighted MRI was used to assess periprocedural lesion load
after repair of unruptured intracranial aneurysms (UIA) by microsurgical clipping
(MC) and endovascular coiling (EC). METHODS Patients with UIA were assigned to
undergo MC or EC according to interdisciplinary consensus and underwent diffusion
weighted imaging (DWI) 1 day before and 1 day after aneurysm treatment. Newly
detected lesions by DWI after treatment were the primary end point of this
prospective study. Lesions detected by DWI were categorized as follows: A) 1-3
DWI spots < 10 mm, B) > 3 DWI spots < 10 mm, C) single DWI lesion > 10 mm, or D)
DWI lesion related to surgical access. RESULTS Between 2010 and 2014, 99 cases
were included. Sixty-two UIA were treated by MC and 37 by EC. There were no
significant differences between groups in age, sex, aneurysm size, occurrence of
multiple aneurysms in 1 patient, or presence of lesions detected by DWI before
treatment. Aneurysms treated by EC were significantly more often located in the
posterior circulation (p < 0.001). Diffusion-weighted MRI detected new lesions in
27 (43.5%) and 20 (54.1%) patients after MC and EC, respectively (not
significant). The pattern of lesions detected by DWI varied significantly between
groups (p < 0.001). Microembolic lesions (A and B) found on DWI were detected
more frequently after EC (A, 14 cases; B, 5 cases) than after MC (A, 5 cases),
whereas C and D were rare after EC (C, 1 case) and occurred more often after MC
(C, 12 cases and D, 10 cases). No procedure-related unfavorable outcomes were
detected. CONCLUSIONS According to the specific techniques, lesion patterns
differ between MC and EC, whereas the frequency of new lesions found on DWI is
similar after occlusion of UIA. In general, the lesion load was low in both
groups, and lesions were clinically silent. Clinical trial registration no.:
NCT01490463 ( clinicaltrials.gov ).
PMID- 27203141
TI - Role of terminal and anastomotic circulation in the patency of arteries jailed by
flow-diverting stents: from hemodynamic changes to ostia surface modifications.
AB - OBJECTIVE The outcome for jailing arterial branches that emerge near intracranial
aneurysms during flow-diverting stent (FDS) deployment remains controversial. In
this animal study, the authors aimed to elucidate the role of collateral supply
with regard to the hemodynamic changes and neointimal modifications that occur
from jailing arteries with FDSs. To serve this purpose, the authors sought to
quantify 1) the hemodynamic changes that occur at the jailed arterial branches
immediately after stent placement and 2) the ostia surface values at 3 months
after stenting; both parameters were investigated in the presence or absence of
collateral arterial flow. METHODS After an a priori power analysis, 2 groups
(Group A and Group B) were created according to an animal flow model for terminal
and anastomotic arterial circulation; each group contained 7 Large White swine.
Group A animals possessed an anastomotic-type arterial configuration to supply
the territory of the right ascending pharyngeal artery (APhA), while Group B
animals possessed a terminal-type arterial configuration to supply the right APhA
territory. Subsequently, all animals underwent FDS placement, thereby jailing the
right APhAs. Mean flow rates and velocities inside the jailed branches were
quantified using time-resolved 3D phase-contrast MR angiography before and after
stenting. Three months after stent placement, the jailed ostia surface values
were quantified on scanning electron micrographs. The data were analyzed using
descriptive statistics and group comparisons with parametric and nonparametric
tests. RESULTS The endovascular procedures were feasible, and there were no
findings of in situ thrombus formation on postprocedural optical coherence
tomography or ischemia on postprocedural diffusion-weighted imaging. In Group A,
the mean flow rate values at the jailed right APhAs were reduced immediately
following stent placement as compared with values obtained before stent placement
(p = 0.02, power: 0.8). In contrast, the mean poststenting flow rates for Group B
remained similar to those obtained before stent placement. Three months after
stent placement, the mean ostia surface values were significantly higher for
Group B (527,911 +/- 306,229 MUm2) than for Group A (89,329 +/- 59,762 MUm2; p <
0.01, power: 1.00), even though the initial dimensions of the jailed ostia were
similar between groups. A statistically significant correlation was found between
groups (A or B), mean flow rates after stent placement, and ostia surface values
at 3 months. CONCLUSIONS When an important collateral supply was present, the
jailing of side arteries with flow diverters resulted in an immediate and
significant reduction in the flow rate inside these arteries as compared with the
prestenting values. In contrast, when competitive flow was absent, jailing did
not result in significant flow rate reductions inside the jailed arteries. Ostium
surface values at 3 months after stent placement were significantly higher in the
terminal group of jailed arteries (Group B) than in the anastomotic group (Group
A) and strongly correlated with poststenting reductions in the velocity value.
PMID- 27203142
TI - Primary versus revision transsphenoidal resection for nonfunctioning pituitary
macroadenomas: matched cohort study.
AB - OBJECTIVE The object of this study was to compare the outcomes of primary and
revision transsphenoidal resection (TSR) of nonfunctioning pituitary
macroadenomas (NFPMAs) using endoscopic methods. METHODS The authors
retrospectively reviewed the records of 287 consecutive patients who had
undergone endoscopic endonasal TSR for NFPMAs at their institution in the period
from 2005 to 2011. Fifty patients who had undergone revision TSR were
retrospectively matched for age, sex, and duration of follow-up to 46 patients
who had undergone primary TSR. Medical and surgical complications were
documented, and Kaplan-Meier analysis was performed to assess rates of
radiological progression-free survival (PFS). RESULTS The median follow-up
periods were 45 and 46 months for the primary and revision TSR groups,
respectively. There were no significant differences between the primary and
revision groups in rates of new neurological deficit (0 in each), vascular injury
(2% vs 0), postoperative CSF leak (6% vs 2%), transient diabetes insipidus (DI;
15% vs 12%), chronic DI (2% vs 2%), chronic sinusitis (4% vs 6%), meningitis (2%
vs 2%), epistaxis (7% vs 0), or suprasellar hematoma formation (0 vs 2%).
However, patients who underwent primary TSR had significantly higher rates of
syndrome of inappropriate antidiuretic hormone (SIADH; 17% vs 4%, p = 0.04).
Patients who underwent primary operations also had significantly higher rates of
gross-total resection (GTR; 63% vs 28%, p < 0.01) and significantly lower rates
of adjuvant radiotherapy (13% vs 42%, p < 0.01). Radiological PFS rates were
similar at 2 years (98% vs 96%) and 5 years (87% vs 80%, p = 0.668, log-rank
test). CONCLUSIONS Patients who underwent primary TSR of NFPMAs experienced
higher rates of SIADH than those who underwent revision TSR. Patients who
underwent revision TSR were less likely to have GTR of their tumor, although they
still had a PFS rate similar to that in patients who underwent primary TSR. This
finding may be attributable to an increased rate of adjuvant radiation treatment
to subtotally resected tumors in the revision TSR group.
PMID- 27203143
TI - Hemorrhage from cerebral cavernous malformations: a systematic pooled analysis.
AB - OBJECTIVE The aim of this paper is to define an overall cavernous malformation
(CM) hemorrhage rate and risk factors for hemorrhage. METHODS The authors
performed a systematic, pooled analysis via the PubMed database through October
2015 using the terms "cavernoma," "cavernous malformation," "natural history,"
"bleeding," and "hemorrhage." English-language studies providing annual rates
and/or risk factors for CM hemorrhage were included. Data extraction, performed
independently by the authors, included demographic data, hemorrhage rates, and
hemorrhage risk factors. RESULTS Across 12 natural history studies with 1610
patients, the mean age at presentation was 42.7 years old and 52% of patients
(95% CI 49%-55%) were female. Presentation modality was seizure in 30% (95% CI
25%-35%), hemorrhage in 26% (95% CI 17%-37%), incidental in 17% (95% CI 9%-31%),
and focal deficits only in 16% of cases (95% CI 11%-23%). CM location was lobar
in 66% (95% CI 61%-70%), brainstem in 18% (95% CI 13%-24%), deep supratentorial
in 8% (95% CI 6%-10%), and cerebellar in 8% (95% CI 5%-11%). Pooling 7 studies
that did not assume CM presence since birth, the annual hemorrhage rate was 2.5%
per patient-year over 5081.2 patient-years of follow-up (95% CI 1.3%-5.1%).
Pooling hazard ratios across 5 studies that evaluated hemorrhage risk factors,
prior CM hemorrhage was a significant risk factor for hemorrhage (HR 3.73, 95% CI
1.26-11.1; p = 0.02) while younger age, female sex, deep location, size,
multiplicity, and associated developmental venous anomalies (DVAs) were not.
CONCLUSIONS Although limited by the heterogeneity of incorporated reports and
selection bias, this study found prior hemorrhage to be a significant risk factor
for CM bleeding, while age, sex, CM location, size, multiplicity, and associated
DVAs were not. Future natural history studies should compound annual hemorrhage
rate with prospective seizure and nonhemorrhagic neurological deficit rates.
PMID- 27203144
TI - Letter to the Editor: Trigeminal neuralgia in patients with multiple sclerosis.
PMID- 27203145
TI - Cortical plasticity catalyzed by prehabilitation enables extensive resection of
brain tumors in eloquent areas.
AB - OBJECTIVE The extent of resection is the most important prognostic factor
following brain glioma surgery. However, eloquent areas within tumors limit the
extent of resection and, thus, critically affect outcomes. The authors
hypothesized that presurgical suppression of the eloquent areas within a tumor by
continuous cortical electrical stimulation, coupled with appropriate behavioral
training ("prehabilitation"), would induce plastic reorganization and enable a
more extensive resection. METHODS The authors report on 5 patients harboring
gliomas involving eloquent brain areas within tumors as identified on
intraoperative stimulation mapping. A grid of electrodes was placed over the
residual tumor, and continuous cortical electrical stimulation was targeted to
the functional areas. The stimulation intensity was adjusted daily to provoke a
mild functional impairment while the function was intensively trained. RESULTS
The stimulation intensity required to impair function increased progressively in
all patients, and all underwent another operation a mean of 33.6 days later
(range 27-37 days), when the maximal stimulation voltage in all active contacts
induced no functional deficit. In all cases, a substantially more extensive
resection of the tumor was possible. Intraoperative mapping and functional MRI
demonstrated a plastic reorganization, and most previously demonstrated eloquent
areas within the tumor were silent, while there was new functional activation of
brain areas in the same region or toward the contralateral hemisphere.
CONCLUSIONS Prehabilitation with continuous cortical electrical stimulation and
appropriate behavioral training prior to surgery in patients with WHO Grade II
and III gliomas affecting eloquent areas accelerate plastic changes. This can
help maximize tumor resection and, thus, improve survival while maintaining
function.
PMID- 27203146
TI - Microvascular decompression for tinnitus: systematic review.
AB - OBJECTIVE The objective of this study was to examine operative outcomes in cases
of microvascular decompression (MVD) of cranial nerve (CN) VIII for tinnitus
through a critical review of the literature. METHODS Forty-three English-language
articles were gathered from PubMed and analyzed. In this review, two different
case types were distinguished: 1) tinnitus-only symptomatology, which was defined
as a patient with tinnitus with or without sensorineural hearing loss; and 2)
mixed symptomatology, which was defined as tinnitus with symptoms of other CN
dysfunction. This review reports outcomes of those with tinnitus-only symptoms.
RESULTS Forty-three tinnitus-only cases were found in the literature with a 60%
positive outcome rate following MVD. Analysis revealed a 5-year cutoff of
preoperative symptom duration before which a good outcome can be predicted with
78.6% sensitivity, and after which a poor outcome can be predicted with 80%
specificity. CONCLUSIONS As the 60% success rate is more promising than several
other therapeutic options open to the chronic tinnitus sufferer, future research
into this field is warranted.
PMID- 27203147
TI - Bipolar radiofrequency ablation of aneurysm remnants after coil embolization can
improve endovascular treatment of experimental bifurcation aneurysms.
AB - OBJECTIVE Endovascular treatment of aneurysms may result in incomplete initial
occlusion and aneurysm recurrence at angiographic follow-up studies. This study
aimed to assess the feasibility and efficacy of bipolar radiofrequency ablation
(RFA) of aneurysm remnants after coil embolization. METHODS Bipolar RFA was
accomplished using the coil mass as 1 electrode, while the second electrode was a
stent placed across the aneurysmal neck. After preliminary experiments and
protocol approval from the Animal Care committee, wide-necked bifurcation
aneurysms were constructed in 24 animals. Aneurysms were allocated to 1 of 3
groups: partial intraoperative coil embolization, followed by RFA (n = 12;
treated group) or without RFA (n = 6; control group 1); or attempted complete
endovascular coil embolization 2-4 weeks later (n = 6; control group 2).
Angiographic results were compared at baseline, immediately after RFA, and at 12
weeks, using an ordinal scale. Pathological results and neointima formation at
the neck were compared using a semiquantitative grading scale. RESULTS Bipolar
RFA was able to reliably target the aneurysm neck when the coil mass and stent
were used as electrodes. RFA improved angiographic results immediately after
partial coiling (p = 0.0024). Two RFA-related complications occurred, involving
transient occlusion of 1 carotid artery and 1 hemorrhage from an adventitial
arterial blister. At 12 weeks, angiographic results were improved with RFA
(median score of 0), when compared with controls (median score of 2; p = 0.0013).
Neointimal closure of the aneurysm neck was better with RFA compared with
controls (p = 0.0003). CONCLUSIONS Bipolar RFA can improve results of
embolization in experimental models by selectively ablating residual lesions
after coil embolization.
PMID- 27203148
TI - Introducing a new era of ischemic stroke care.
PMID- 27203149
TI - BRAF V600E mutation and BRAF kinase inhibitors in conjunction with stereotactic
radiosurgery for intracranial melanoma metastases.
AB - OBJECTIVE Recent advancements in molecular biology have identified the BRAF
mutation as a common mutation in melanoma. The wide use of BRAF kinase inhibitor
( BRAFi) in patients with metastatic melanoma has been established. The objective
of this study was to examine the impact of BRAF mutation status and use of BRAFi
in conjunction with stereotactic radiosurgery (SRS). METHODS This was a single
center retrospective study. Patient's charts and electronic records were reviewed
for date of diagnosis of primary malignancy, BRAF mutation status, chemotherapies
used, date of the diagnosis of CNS metastases, date of SRS, survival, local tumor
control after SRS, and adverse events. Patients were divided into 3 groups: Group
A, those with mutant BRAF without BRAFi treatment (13 patients); Group B, those
with mutant BRAF with BRAFi treatment (17 patients); and Group C, those with wild
type BRAF (35 patients). Within a cohort of 65 patients with the known BRAF
mutation status and treated with SRS between 2010 and 2014, 436 individual brain
metastases (BMs) were identified. Kaplan-Meier methodology was then used to
compare survival based on each binary parameter. RESULTS Median survival times
after the diagnosis of melanoma BM and after SRS were favorable in patients with
a BRAF mutation and treated with SRS in conjunction with BRAFi (Group B) compared
with the patients with wild-type BRAF (Group C, 23 vs 8 months and 13 vs 5
months, respectively; p < 0.01, log-rank test). SRS provided a local tumor
control rate of 89.4% in the entire cohort of patients. Furthermore, the local
control rate was improved in the patients treated with SRS in conjunction with
BRAFi (Group B) compared with patients with wild-type (Group C) or with BRAF
mutation but no BRAFi (Group A) as an adjunct treatment for BMs. CONCLUSIONS BRAF
mutation status appears to play an important role as a potent prognostic factor
in patients harboring melanoma BM. BRAFi in conjunction with SRS may benefit this
group of patients in terms of BM survival and SRS with an acceptable safety
profile.
PMID- 27203151
TI - Letter to the Editor: Augmented reality-guided neurosurgery.
PMID- 27203150
TI - Comparison of clipping and coiling in elderly patients with unruptured cerebral
aneurysms.
AB - OBJECTIVE The comparative effectiveness of the 2 treatment options-surgical
clipping and endovascular coiling-for unruptured cerebral aneurysms remains an
issue of debate and has not been studied in clinical trials. The authors
investigated the association between treatment method for unruptured cerebral
aneurysms and outcomes in elderly patients. METHODS The authors performed a
cohort study of 100% of Medicare fee-for-service claims data for elderly patients
who had treatment for unruptured cerebral aneurysms between 2007 and 2012. To
control for measured confounding, the authors used propensity score conditioning
and inverse probability weighting with mixed effects to account for clustering at
the level of the hospital referral region (HRR). An instrumental variable
(regional rates of coiling) analysis was used to control for unmeasured
confounding and to create pseudo-randomization on the treatment method. RESULTS
During the study period, 8705 patients underwent treatment for unruptured
cerebral aneurysms and met the study inclusion criteria. Of these patients, 2585
(29.7%) had surgical clipping and 6120 (70.3%) had endovascular coiling.
Instrumental variable analysis demonstrated no difference between coiling and
clipping in 1-year postoperative mortality (OR 1.25, 95% CI 0.68-2.31) or 90-day
readmission rate (OR 1.04, 95% CI 0.66-1.62). However, clipping was associated
with a greater likelihood of discharge to rehabilitation (OR 6.39, 95% CI 3.85
10.59) and 3.6 days longer length of stay (LOS; 95% CI 2.90-4.71). The same
associations were present in propensity score-adjusted and inverse probability
weighted models. CONCLUSIONS In a cohort of Medicare patients, there was no
difference in mortality and the readmission rate between clipping and coiling of
unruptured cerebral aneurysms. Clipping was associated with a higher rate of
discharge to a rehabilitation facility and a longer LOS.
PMID- 27203152
TI - The impact of stimulus arousal level on emotion regulation effectiveness in
borderline personality disorder.
AB - Basic emotion theory suggests that the effectiveness of different emotion
regulation strategies vary with the intensity of the emotionally-salient
stimulus. Although findings from studies using healthy samples are concordant
with what is proposed by theory, it is unclear whether these relationships hold
true among individuals with borderline personality disorder (BPD). Twenty-five
individuals with BPD and 30 HCs were exposed to negative images of varying levels
of emotional arousal and were instructed to either react as they normally would,
distract, or use mindful awareness. Self-reported negativity ratings, heart rate,
and skin conductance level (SCL) were monitored throughout. SCL data indicated
that increases in image arousal resulted in larger reductions in SCL when
distracting but not when implementing mindful awareness. Self-report data
suggested that, in HCs, the effectiveness of mindful awareness decreased to a
greater extent than distraction when image arousal increased. These findings are
consistent with basic emotion research and suggest that some forms of emotion
regulation (distraction) are more suited to high emotion arousal contexts than
others (mindful awareness) and that, compared with HCs, individuals with BPD may
be more resilient to the deteriorating effectiveness of mindful awareness with
respect to increasing emotional arousal.
PMID- 27203153
TI - Performance of Mini-Mental State Examination (MMSE) in long-stay patients with
schizophrenia or schizoaffective disorders in a psychiatric institute.
AB - Studies have found that age and education were associated with cognition in older
adults. However, little is known how clinical factors (e.g. age of illness onset,
length of hospital stay, type of antipsychotic medications, and duration of
illness) are associated with cognitive functioning in patients with
schizophrenia. This study aimed to examine the influence of socio-demographic and
clinical factors on cognitive domains measured using Mini-Mental State
Examination (MMSE) among patients with schizophrenia or schizoaffective disorders
residing in a psychiatric institute in Singapore. A single-phase interview was
conducted at the Institute of Mental Health (IMH) in patients diagnosed with
schizophrenia or schizoaffective disorders (n=110). MMSE was administered to all
participants. Data on socio-demographic characteristics, smoking, alcohol
consumption, and medical history were collected. Age, gender, and level of
education were significantly associated with MMSE scores. After adjusting for all
socio-demographic correlates, longer length of hospital stay remained significant
in predicting lower MMSE scores. Length of hospital stay was independently
associated with cognitive functioning. Early interventions for cognition such as
physical and mental exercises should be implemented for better prognosis.
PMID- 27203154
TI - Electrical impedance tomography in 3D using two electrode planes:
characterization and evaluation.
AB - Electrical impedance tomography (EIT) uses body surface electrical stimulation
and measurements to create conductivity images; it shows promise as a non
invasive technology to monitor the distribution of lung ventilation. Most
applications of EIT have placed electrodes in a 2D ring around the thorax, and
thus produced 2D cross-sectional images. These images are unable to distinguish
out-of-plane contributions, or to image volumetric effects. Volumetric EIT can be
calculated using multiple electrode planes and a 3D reconstruction algorithm.
However, while 3D reconstruction algorithms are available, little has been done
to understand the performance of 3D EIT in terms of the measurement
configurations available. The goal of this paper is to characterize the phantom
and in vivo performance of 3D EIT with two electrode planes. First, phantom
measurements are used to measure the reconstruction characteristics of seven
stimulation and measurement configurations. Measurements were then performed on
eight healthy volunteers as a function of body posture, postures, and with
various electrode configurations. Phantom results indicate that 3D EIT using two
rings of electrodes provides reasonable resolution in the electrode plane but low
vertical resolution. For volunteers, functional EIT images are created from
inhalation curve features to analyze the effect of posture (standing, sitting,
supine and decline) on regional lung behaviour. An ability to detect vertical
changes in lung volume distribution was shown for two electrode configurations.
Based on tank and volunteer results, we recommend the use of the 'square'
stimulation and measurement pattern for two electrode plane EIT.
PMID- 27203155
TI - Intramyocardial injection of SERCA2a-expressing lentivirus improves myocardial
function in doxorubicin-induced heart failure.
AB - BACKGROUND: Doxorubicin is an effective anticancer drug. The major limitation to
its use is the induction of dose-dependent cardiomyopathy. No specific treatment
exists for doxorubicin-induced cardiomyopathy and treatments used for other forms
of heart failure have only limited beneficial effects. The contraction-relaxation
cycle of the heart is controlled by cytosolic calcium concentrations, which, in
turn, are critically regulated by the activity of the sarcoplasmic reticulum
Ca(2) (+) ATPase (SERCA2a) pump. We hypothesized that SERCA2a gene transfer would
ameliorate doxorubicin-induced cardiomyopathy. METHODS: Lentiviral vectors LV
SERCA2a-GFP and LV-GFP were constructed and in vitro gene transfer of LV-SERCA2a
GFP confirmed SERCA2a expression by western blot analysis. Heart failure was
induced by giving a single intraperitoneal injection of doxorubicin. LV-SERCA2a
GFP, LV-GFP vectors and phosphate-buffered saline (PBS) were injected under
echocardiographic control to the anterior wall of the left ventricle. RESULTS:
Echocardiography analyses were performed on the injection day and 28 days
postinjection. On the injection day, there were no significant differences in the
average ejection fractions (EFs) among SERCA2a (40.0%), GFP (41.1%) and PBS
(39.4%) injected animals. On day 28, EF in the SERCA2a group had increased by
16.6 +/- 6.7% to 46.4 +/- 2.1%. By contrast, EFs in the GFP (40.2 +/- 1.3%) and
PBS (40.6 +/- 1.4%) groups remained at pre-injection levels. In addition, end
systolic and end diastolic left ventricle volumes were significantly smaller in
the SERCA2a group compared to controls. CONCLUSIONS: SERCA2a gene transfer
significantly improves left ventricle function and dimensions in doxorubicin
induced cardiomyopathy, thus making LV-SERCA2a gene transfer an attractive
treatment modality for doxorubicin-induced heart failure. Copyright (c) 2016 John
Wiley & Sons, Ltd.
PMID- 27203156
TI - [Potentially toxic antibiotics concentrations after administration using
impregnated dressing in a severe burned patient: A case report].
AB - Severe burned patients present high risk of skins infections, frequently due to
Pseudomonas aeruginosa. Impregnated dressings with amikacin or colistin could be
a good alternative to obtain effective concentration directly at the infected
site. Therapeutic drug monitoring for these antibiotics is currently recommended
after an intravenous administration to obtain effective and non-toxic plasmatic
concentrations. However, data are lacking about systemic exposition and risk of
toxicity after an administration with impregnated dressings. We report the case
of a severe burned patient with cutaneous infection treated with amikacin and
colistin impregnated dressings, for which plasmatic pharmacokinetic profiles were
performed.
PMID- 27203157
TI - Adverse health effects in children of women exposed in utero to
diethylstilbestrol (DES).
AB - OBJECTIVE: Exposure to diethylstilbestrol (DES) in utero is associated with
adverse health effects, including genital anomalies in women and men, and cancers
in women. Animal studies showed birth defects and tumors in the offspring of DES
exposed mice, revealing transgenerational transmission of DES effects. In humans,
birth defects, such as hypospadias were observed in children of prenatally
exposed women. The aim of this research was to further assess the health effects
in children of prenatally exposed women. METHODS: In a retrospective cohort
study, the reports of women exposed to DES in utero on their 4409 children were
compared with those of unexposed women on their 6203 children. Comparisons used
odd ratios (OR) between children of exposed and unexposed women and standardized
incidence rate (SIR) with the general population. These cohorts were recruited on
a voluntary basis to answer questionnaires. RESULTS: There was a global increase
of defects in children born to exposed women when compared with those born to
unexposed (OR 2.29, 95% CI: 1.80-2.79, P<0.001) and with the general population
(SIR 2.39, 95% CI: 2.11-2.68). Increased defects were observed in male genital
tract, esophagus, lip or palate, musculoskeletal and circulatory systems. For
female genital tract anomalies, there was no significant increase. However, this
cohort being relatively young, further follow-up is needed. An increase of
cerebral palsy was revealed. The incidence of cancers was not increased, in
particular for breast, uterus and ovary. CONCLUSION: Our results confirmed a
transgenerational transmission of defects in male genital tract. With caution due
to possible bias associated with this method, our data suggest an increase of
defects for esophagus, lip or palate, musculoskeletal and circulatory system in
children of exposed women.
PMID- 27203158
TI - [Early adherence to anti-glaucoma therapy: An observational study].
AB - OBJECTIVES: Glaucoma is a major cause of blindness, preventable by a regular
therapy. Thus, a good knowledge of patients' adherence to preventive therapy is
critical to improve disease management. Early persistence to first-line glaucoma
therapy is poorly documented in France. We verified to what extent first-line
glaucoma therapy was interrupted within the 12 months following initiation and
how this interruption varied with patients' characteristics and drug classes.
METHODS: Patients newly-treated with chronic glaucoma therapy (prostaglandins,
beta-blockers alone or combined with another therapy, and topical carbonic
anhydrase inhibitors) between 2005 and 2008 were identified in the French
National Claims data (1/97th random sample). Twelve-month persistence was defined
by the presence of the first-line drug class (>=1dispensation) between the 12th
and 24th months following initiation. Twelve-month persistence was compared
between patients according to the first-line drug classes and baseline
characteristics. Proportion of days covered (12 months) and number of quarters
with initiated drug class (24 months) were also studied. RESULTS: Among 5331
patients initiated with chronic glaucoma therapy in monotherapy (63% aged >=60
years old, 57% females), initiated therapy mainly consisted of prostaglandins
(43%) and beta-blockers alone (32%). Only 45% of the patients were persistent to
first-line therapy 12 months after initiation. Salient differences in persistence
rates appeared between drug classes (P<0.0001): from 59% with prostaglandins to
26% for topical carbonic anhydrase inhibitors. Better results also appeared for
prostaglandins with other dimensions of adherence. Non-persistent patients were
more likely younger than 40, or conversely aged>=80 (P<0.0001). They were also
more likely to necessitate social assistance for therapy (P=0.0007). No salient
difference appeared as to gender. CONCLUSIONS: Our findings confirm the low early
persistence of first-line therapy, despite better results for prostaglandins.
Education of patients and identification of barriers to adherence could
contribute to improve quality of care.
PMID- 27203159
TI - Quinine unbound concentration is the best marker for therapeutic drug monitoring.
AB - Quinine monitoring should be based on unbound concentration due to variable
unbound fraction in malaria patients.
PMID- 27203160
TI - Consequences of erroneous phone transmission of tacrolimus blood concentration: A
case report.
PMID- 27203161
TI - [Cerebral venous thrombosis in a Parkinson's disease patient: An unusual case
report with low-dose clozapine].
PMID- 27203162
TI - [Investigation of the medical and social situation of patients managed by opiate
replacement regimens for over 10 years by their GP].
AB - OBJECTIVE: Management with opiate replacement regimens (ORRs) of patients
presenting to primary care settings with opiate addiction has become a long-term
follow-up. The aim of this survey study was to describe patients who had been
prescribed ORRs for at least 10 years by their general practitioner (GP). METHOD:
In 2011, two questionnaires were sent to a sample of 38 GPs prescribing ORRs in
Northern France. Doctors' questionnaires collected their typology and opinions on
their patients receiving opiate substitution treatments for over 10 years.
Patients' questionnaires were completed in the presence of the patient. RESULTS:
Twenty-three doctors' and 83 patients' questionnaires were suitable for analysis.
The average number of listed ORR patients was 14.2 and 3.6 had been managed for
10 years or more. Misuse persisted: 30.5% of GPs considered that it was carried
out by at least by 15% of patients. Average dosages were 60.3 mg for methadone
and 7.0 mg for buprenorphine. Employment (46.3% of patients had a salary),
dwelling and family live (46.3% of patients were in charge of children) were
favored. Nevertheless, precariousness persisted: 32% of patients were indebted
and help of social workers was not systematically searched. One third of the
patients were alcohol and cannabis misusers, 70% were smoking and 34.5% multiple
drug misusers. An important number of patients were taking anxiolytics (37.8%)
and hypnotics (30.5%). CONCLUSION: After 10 years of follow-up for an ORR by a
GP, the social situation of patients seems to have stabilized, but psychoactive
drugs consumption remains important.
PMID- 27203163
TI - Minimal-change disease and interstitial nephritis secondary to non-steroidal anti
inflammatory drugs (naproxen).
PMID- 27203164
TI - Evaluation of best corrected visual acuity and central macular thickness after
intravitreal dexamethasone implant injections in patients with Irvine-Gass
syndrome: A retrospective study of six cases.
AB - PURPOSE: Irvine-Gass syndrome is a macular edema (ME) that specifically occurs
after cataract surgery. Its incidence varies from 0.2-2%. The purpose of this
study is to evaluate the effectiveness of intravitreal dexamethasone implant
injections in patients with Irvine-Gass syndrome. METHODS: Patients with ME
secondary to cataract surgery who underwent intravitreal injections of
dexamethasone implant between December 2011 to October 2014 at Francois-Quesnay
hospital (Mantes-la-Jolie, France) were retrospectively reviewed. The patients
were followed for at least 10 months. All the patients were handled by
intravitreal injection of dexamethasone in the eye of study among which some
resisted to a preliminary treatment by non-steroidal anti-inflammatory drug
(NSAID) and acetazolamide. The patients were examined each month. The patients
were again handled by intravitreal injection of dexamethasone if they presented a
recurrence. The primary endpoint of the study was determined on best corrected
visual acuity (BCVA) using early diabetic retinopathy study (ETDRS) scale and
central macular thickness (CMT) [MUm] using optical coherence tomography (OCT) 3
and 6 months after the first injection. Secondary endpoints were the number of
recurrences, the number of injections, the duration average before the first
recurrence, the BCVA 10 months after the first injection and the tolerance.
RESULTS: Six eyes of six patients were studied. At baseline, the mean (standard
deviation [SD]) of the BCVA was 59.8+/-11. Three months after the first
injection, the mean (SD) of the BCVA showed a statistically significant increase
to 72.2+/-8.6 (P=0.03). Six months after the first injection, the mean (SD) of
the BCVA showed a statistically significant increase to 72+/-11.8 (P=0.03).
Concerning the CMT, the mean (SD) was 495.6+/-135.2 before treatment. Three
months after the first injection, the mean (SD) of the CMT showed a statistically
significant decrease to 268.6+/-57.8 (P=0.03). Six months after the first
injection, the mean (SD) of the CMT showed a significant decrease to 350.1+/-56.3
(P=0.09). CONCLUSION: In this study, both mean BCVA and mean CMT had
significantly improved from baseline after treatment with dexamethasone implant
in patients with Irvine-Gass syndrome.
PMID- 27203165
TI - [Adverse drug reactions in pediatrics: Experience of a regional pharmacovigilance
center].
AB - AIM: To describe the adverse drug reactions (ADR) and the drugs involved in
pediatrics. METHODS: An observational study on all ADR notifications recorded in
the French pharmacovigilance database by the Regional Pharmacovigilance Center of
Champagne-Ardenne between 1 January 1985 and 31 December 2014 involving children
from 0 to 17 years inclusive was performed. For all notifications, we studied the
patient and the ADR characteristics. RESULTS: During the study period, 632
notifications were collected. The most frequently reported ATC (anatomical,
therapeutic and chemical) classes were vaccines (15.9%), antineoplastics (12%)
and antibiotics (11.1%). Forty-six percent of the notifications were serious. For
serious ADRs, the most involved drugs were paracetamol, asparaginase and
ibuprofen. Skin reactions were the most often reported ADRs. The most common
lowest level terms (LLT) were urticaria (4.9%), hypersensitivity (4.1%), fever
(2.9%) and vomiting (2.8%). CONCLUSION: ADR reporting to the pharmacovigilance
system, in particular pediatric ADRs, should be encouraged. Information on the
use of medicinal products, especially on self-medication use, need to be improve.
PMID- 27203166
TI - [Pregnancy outcome after preconceptional exposure to methotrexate for ectopic
pregnancy].
AB - INTRODUCTION: Methotrexate (MTX) is a known teratogenic drug used off-label in
the treatment of ectopic pregnancies (EP). As MTX polyglutamated derivatives
remains into the cells during several weeks, it is recommended to avoid
conception during 3 to 6 months following MTX therapy. We report the follow-up of
pregnancies after preconceptional exposure to MTX for EP. MATERIAL/METHODS:
Prospective cases of pregnancy occurring within 3 months after MTX injection for
an EP recorded in the Terappel database were analyzed. RESULTS: Data were
obtained on 52 pregnant women. The median age of patients was 28 (18-38), and the
median gestational age at inclusion was 7 weeks after last menstrual period (3
22). The time between the last MTX injection and conception ranged from 12 days
to 13 weeks and the total MTX dose was between 40 to 210mg. Out of 45 pregnancies
with known outcome, there were 39 live births (87%), 3 spontaneous abortions
(6.7%) occurring 63 to 94 days after MTX administration, 2 elective terminations,
and 1 medical termination after premature rupture of membranes, oligohydramnios
and arthrogryposis (48mg of MTX 9 and 8 weeks before conception). Two additional
cases of major malformations were observed among 40 examinable babies or fetuses:
tetralogy of Fallot (MTX 6 weeks before conception), and cerebral
ventriculomegaly with normal karyotype (50mg of MTX 9 to 13 weeks before
conception). The resulting rate of major malformations was 7.5% (95% CI: 1.6
20.4). DISCUSSION/CONCLUSION: Although this prospective study shows a major
malformation rate higher than expected in the general population, the observed
malformations are not consistent with the typical pattern of methotrexate
embryopathy. However, the case of tetralogy of Fallot is reminiscent of
previously published cases with MTX exposure during early pregnancy. Owing to the
small sample size, more powerful studies are needed to confirm or refute these
findings.
PMID- 27203167
TI - [Drugs and retinal disorders: A case/non-case study in the French
pharmacovigilance database].
AB - Retina is the part of the eye suffering most damage from pharmaceutical
molecules. Drug-induced retinopathies have been described but data are scarce and
sometimes conflicting especially concerning its potential seriousness. The aim of
this study was to investigate potential associations between drugs and retinal
disorders using the French Pharmacovigilance data. We used the case/non-case
method in the French PharmacoVigilance Database (FPVD) to identify drugs able to
induce retinopathies. Cases were reports of retinal disorders in the FPVD between
January 2008 and December 2012. Non-cases were all other reports during the same
period. To assess the association between retinopathy and drug intake, we
calculated the odds-ratio (OR) [with their 95% confidence intervals] for all
drugs associated with at least 3 cases of retinopathy. Among the 123 687 adverse
drug reactions recorded during the studied period, we identified 164 cases of
retinal disorders. Significant associations were found for 11 drugs. The main
therapeutic classes were antirhumatismals (hydroxychloroquine, chloroquine and
etanercept: 18 cases), anti-infective (ribavirine, PEG-interferon-alfa-2a and
cefuroxime: 16 cases) and antineoplastic drugs (imatinib and letrozole: 8 cases.
Three other drugs were also found: raloxifene (5 cases), erythropoietin beta (4
cases) and ranibizumab (3 cases). Taking into account the limits of the
methodology, our study confirmed the association between retinopathy and some
expected drugs such as aminoquinolines, interferons, imatinib or ranibizumab.
Other drugs like erythropoietin beta, cefuroxime, letrozole and etanercept were
significantly associated with retinal disorders although this was not or poorly
described in the literature. Thus, further prospective studies are necessary to
confirm such associations.
PMID- 27203168
TI - Pharmacists' different profiles characterization about opioid substitution
treatments.
AB - INTRODUCTION: Delivering practices of opioid substitution treatment (OST) in
community pharmacies are heterogeneous. This study aims at drawing up an
inventory and at characterizing different populations of pharmacists, regarding
their practices and perceptions. METHODS: We distributed a questionnaire to
pharmacists, which was divided into two parts: socio-demographic questions, and
49 binary questions collecting pharmacists' perceptions. Statistical analyses
were performed using SAS 9.3 and SPAD7 software. The categorical variables were
expressed as numbers and percentages. We characterized the different profiles of
pharmacists using a multivariate analysis method. RESULTS: We analyzed 303
questionnaires; 60.8% of our cross-section of pharmacists consider that OSTs are
a treatment for chronic disease, a treatment in which they consider that they
play a key role. Regarding OSTs, 89.3% felt "comfortable" with current
regulations, while 77.3% have mastered possible interactions with other drugs.
16.8% of pharmacists equate the act of delivering OSTs as a "legal narcotic
deal". Regarding the patients, 49.2% of pharmacists consider them as "different",
and 39.1% fear that they cause relationship problems. Most pharmacists perceive
the role they are meant to play, although a lack of training and the need for
recommendations have been highlighted. PCA allowed us to characterize 4 different
profiles of pharmacists. CONCLUSION: Continuous training adapted to the different
profiles we drew up will be offered in order to allow pharmacists to deepen their
knowledge about drug dependence and related care support.
PMID- 27203169
TI - Fatal tramadol-induced multiple organ failure.
PMID- 27203170
TI - [Vascular calcifications, the hidden side effects of vitamin K antagonists].
AB - Despite the availability of new oral anticoagulants, vitamin K antagonists (VKA,
such as fluindione, acenocoumarol or warfarin) remain currently the goal standard
medicines for oral prevention or treatment of thromboembolic disorders. They
inhibit the cycle of the vitamin K and its participation in the enzymatic gamma
carboxylation of many proteins. The VKA prevent the activation of the vitamin K
dependent blood clotting factors limiting thus the initiation of the coagulation
cascade. But other proteins are vitamin K-dependent and also remain inactive in
the presence of VKA. This is the case of matrix Gla-protein (MGP), a protein that
plays a major inhibitory role in the development of vascular calcifications.
Several experimental and epidemiological results suggest that the use of the VKA
could promote the development of vascular calcifications increasing thus the
cardiovascular risk. This risk seems to be higher in patients with chronic kidney
disease or mellitus diabetes who are more likely to develop vascular
calcifications, and may be due to a decrease of the MGP activity. This review
aims at summarizing the data currently available making vascular calcifications
the probably underestimated side effects of VKA.
PMID- 27203171
TI - [Practical information for therapeutic drug monitoring of the most common
compounds].
AB - This article reports the main information for the interpretation of blood
concentrations of most common drugs measured in pharmacology-toxicology
departments: acetaminophen, amikacin, carbamazepine, digoxin, gentamicin,
lithium, methotrexate, phenobarbital, phenytoin and valproic acid.
PMID- 27203172
TI - [Use of psychostimulants in a sexual context: Analysis of cases reported to the
French network of Addictovigilance Centers].
AB - The "SLAM" phenomenon is an increasingly popular practice, in Paris and London
gay scene, defined by 3 characteristics: injection, sexual party and
psychostimulant drugs. The French Medical Agency requested a risk assessment of
"SLAM" and more broadly of the use of psychostimulants in a sexual context, by
the analysis of complications related to this practice notified to the French
Network of Addictovigilance Centers. All cases of complications related to "SLAM"
practice, including cases of abuse or dependence, and somatic and psychiatric
complications, were analysed. Between January 2008 to December 2013, 51 cases
were collected. Users were exclusively men, with a mean age of 40 years, having
psychostimulants exposure in a sexual context, mainly in men who have sex with
men (MSM) context (100%, n=35). The prevalence of human immunodeficiency virus
(HIV) infection was 82% (n=32) with a high level of HIV/hepatitis C virus (HCV)
co-infection (50%, n=16). The main psychostimulants reported are synthetic
cathinones (89.5%). Cathinones users tended to be polydrug users: 62% also
reported use other than psychoactive substances (gamma-butyrolactone [GBL],
ketamine, methylenedioxymethamphetamine [MDMA], lysergic acid diethylamide
[LSD]...). The main complications were psychiatric disorders in 50% (psychotic
symptoms, agitation, anxiety, suicidal ideas or attempt and forensic problems),
acute intoxication in 25% (including 3 deaths), dependence and abuse in 17% and
infectious complications in 8% (viral seroconversion). Health professionals as
well as users should be aware of the physical (cardiovascular) and behavioural
(psychic, fast dependence syndrome) toxicity of cathinones. Risk reduction policy
must be targeted to the population of MSM with specific interventions both on
risky sexual behavior and substance use.
PMID- 27203173
TI - Defective Autophagy Initiates Malignant Transformation.
AB - In this issue of Molecular Cell, Park et al. (2016) elegantly demonstrate that a
partial defect in autophagy supports malignant transformation as it favors the
production of genotoxic reactive oxygen species by mitochondria.
PMID- 27203174
TI - The Incredible ULKs: Autophagy and Beyond.
AB - Atg1 integrates nutrient status and autophagy. In this issue, Joo et al. (2016)
reveal that the mammalian Atg1 homologs ULK1/2 are dispensable for neuronal basal
autophagy. ULK1/2 phosphorylate SEC16A and regulate ER export, expanding the
autophagy-independent functions of autophagy proteins.
PMID- 27203175
TI - CRISPR-Mediated Base Editing without DNA Double-Strand Breaks.
AB - Targeting point mutations using CRISPR/Cas9 so far has required efficient
homologous recombination (HR) and donor oligonucleotides. In a recent Nature
paper, Komor and colleagues (2016) describe a way to make specific base changes
that does not depend on HR or donor DNA and does not involve making double-strand
breaks.
PMID- 27203176
TI - The Noncanonical Role of ULK/ATG1 in ER-to-Golgi Trafficking Is Essential for
Cellular Homeostasis.
AB - ULK1 and ULK2 are thought to be essential for initiating autophagy, and Ulk1/2
deficient mice die perinatally of autophagy-related defects. Therefore, we used a
conditional knockout approach to investigate the roles of ULK1/2 in the brain.
Although the mice showed neuronal degeneration, the neurons showed no
accumulation of P62(+)/ubiquitin(+) inclusions or abnormal membranous structures,
which are observed in mice lacking other autophagy genes. Rather, neuronal death
was associated with activation of the unfolded protein response (UPR) pathway. An
unbiased proteomics approach identified SEC16A as an ULK1/2 interaction partner.
ULK-mediated phosphorylation of SEC16A regulated the assembly of endoplasmic
reticulum (ER) exit sites and ER-to-Golgi trafficking of specific cargo, and did
not require other autophagy proteins (e.g., ATG13). The defect in ER-to-Golgi
trafficking activated the UPR pathway in ULK-deficient cells; both processes were
reversed upon expression of SEC16A with a phosphomimetic substitution. Thus, the
regulation of ER-to-Golgi trafficking by ULK1/2 is essential for cellular
homeostasis.
PMID- 27203177
TI - Autophagic UVRAG Promotes UV-Induced Photolesion Repair by Activation of the
CRL4(DDB2) E3 Ligase.
AB - UV-induced DNA damage, a major risk factor for skin cancers, is primarily
repaired by nucleotide excision repair (NER). UV radiation resistance-associated
gene (UVRAG) is a tumor suppressor involved in autophagy. It was initially
isolated as a cDNA partially complementing UV sensitivity in xeroderma
pigmentosum (XP), but this was not explored further. Here we show that UVRAG
plays an integral role in UV-induced DNA damage repair. It localizes to
photolesions and associates with DDB1 to promote the assembly and activity of the
DDB2-DDB1-Cul4A-Roc1 (CRL4(DDB2)) ubiquitin ligase complex, leading to efficient
XPC recruitment and global genomic NER. UVRAG depletion decreased substrate
handover to XPC and conferred UV-damage hypersensitivity. We confirmed the
importance of UVRAG for UV-damage tolerance using a Drosophila model.
Furthermore, increased UV-signature mutations in melanoma correlate with reduced
expression of UVRAG. Our results identify UVRAG as a regulator of CRL4(DDB2)
mediated NER and suggest that its expression levels may influence melanoma
predisposition.
PMID- 27203179
TI - Cyclin-Dependent Kinase Co-Ordinates Carbohydrate Metabolism and Cell Cycle in S.
cerevisiae.
AB - Cyclin-dependent kinases (CDKs) control cell division in eukaryotes by
phosphorylating proteins involved in division. But successful proliferation
requires co-ordination between division and cellular growth in mass. Previous
proteomic studies suggested that metabolic proteins, as well as cell division
proteins, could potentially be substrates of cyclin-dependent kinases. Here we
focus on two metabolic enzymes of the yeast S. cerevisiae, neutral trehalase
(Nth1) and glycogen phosphorylase (Gph1), and show that their activities are
likely directly controlled by CDK activity, thus allowing co-ordinate regulation
of carbohydrate metabolism with cell division processes. In this case, co
ordinate regulation may optimize the decision to undertake a final cell division
as nutrients are being exhausted. Co-regulation of cell division processes and
metabolic processes by CDK activity may be a general phenomenon important for co
ordinating the cell cycle with growth.
PMID- 27203178
TI - The Yeast Cyclin-Dependent Kinase Routes Carbon Fluxes to Fuel Cell Cycle
Progression.
AB - Cell division entails a sequence of processes whose specific demands for
biosynthetic precursors and energy place dynamic requirements on metabolism.
However, little is known about how metabolic fluxes are coordinated with the cell
division cycle. Here, we examine budding yeast to show that more than half of all
measured metabolites change significantly through the cell division cycle. Cell
cycle-dependent changes in central carbon metabolism are controlled by the cyclin
dependent kinase (Cdk1), a major cell cycle regulator, and the metabolic
regulator protein kinase A. At the G1/S transition, Cdk1 phosphorylates and
activates the enzyme Nth1, which funnels the storage carbohydrate trehalose into
central carbon metabolism. Trehalose utilization fuels anabolic processes
required to reliably complete cell division. Thus, the cell cycle entrains carbon
metabolism to fuel biosynthesis. Because the oscillation of Cdk activity is a
conserved feature of the eukaryotic cell cycle, we anticipate its frequent use in
dynamically regulating metabolism for efficient proliferation.
PMID- 27203180
TI - Recognition of Lys48-Linked Di-ubiquitin and Deubiquitinating Activities of the
SARS Coronavirus Papain-like Protease.
AB - Deubiquitinating enzymes (DUBs) recognize and cleave linkage-specific
polyubiquitin (polyUb) chains, but mechanisms underlying specificity remain
elusive in many cases. The severe acute respiratory syndrome (SARS) coronavirus
papain-like protease (PLpro) is a DUB that cleaves ISG15, a two-domain Ub-like
protein, and Lys48-linked polyUb chains, releasing diUb(Lys48) products. To
elucidate this specificity, we report the 2.85 A crystal structure of SARS PLpro
bound to a diUb(Lys48) activity-based probe. SARS PLpro binds diUb(Lys48) in an
extended conformation via two contact sites, S1 and S2, which are proximal and
distal to the active site, respectively. We show that specificity for
polyUb(Lys48) chains is predicated on contacts in the S2 site and enhanced by an
S1-S1' preference for a Lys48 linkage across the active site. In contrast, ISG15
specificity is dominated by contacts in the S1 site. Determinants revealed for
polyUb(Lys48) specificity should prove useful in understanding PLpro
deubiquitinating activities in coronavirus infections.
PMID- 27203181
TI - Structural Analysis of dsRNA Binding to Anti-viral Pattern Recognition Receptors
LGP2 and MDA5.
AB - RIG-I and MDA5 sense virus-derived short 5'ppp blunt-ended or long dsRNA,
respectively, causing interferon production. Non-signaling LGP2 appears to
positively and negatively regulate MDA5 and RIG-I signaling, respectively. Co
crystal structures of chicken (ch) LGP2 with dsRNA display a fully or semi-closed
conformation depending on the presence or absence of nucleotide. LGP2 caps blunt,
3' or 5' overhang dsRNA ends with 1 bp longer overall footprint than RIG-I.
Structures of 1:1 and 2:1 complexes of chMDA5 with short dsRNA reveal head-to
head packing rather than the polar head-to-tail orientation described for long
filaments. chLGP2 and chMDA5 make filaments with a similar axial repeat, although
less co-operatively for chLGP2. Overall, LGP2 resembles a chimera combining a
MDA5-like helicase domain and RIG-I like CTD supporting both stem and end
binding. Functionally, RNA binding is required for LGP2-mediated enhancement of
MDA5 activation. We propose that LGP2 end-binding may promote nucleation of MDA5
oligomerization on dsRNA.
PMID- 27203182
TI - CRL4(WDR23)-Mediated SLBP Ubiquitylation Ensures Histone Supply during DNA
Replication.
AB - To maintain genome integrity and epigenetic information, mammalian cells must
carefully coordinate the supply and deposition of histones during DNA
replication. Here we report that the CUL4 E3 ubiquitin ligase complex CRL4(WDR23)
directly regulates the stem-loop binding protein (SLBP), which orchestrates the
life cycle of histone transcripts including their stability, maturation, and
translation. Lack of CRL4(WDR23) activity is characterized by depletion of
histones resulting in inhibited DNA replication and a severe slowdown of growth
in human cells. Detailed analysis revealed that CRL4(WDR23) is required for
efficient histone mRNA 3' end processing to produce mature histone mRNAs for
translation. CRL4(WDR23) binds and ubiquitylates SLBP in vitro and in vivo, and
this modification activates SLBP function in histone mRNA 3' end processing
without affecting its protein levels. Together, these results establish a
mechanism by which CUL4 regulates DNA replication and possible additional
chromatin transactions by controlling the concerted expression of core histones.
PMID- 27203183
TI - Residential cognitive-behavioral weight-loss intervention for obesity with and
without binge-eating disorder: A prospective case-control study with five-year
follow-up.
AB - OBJECTIVE: The aim of this prospective case-control study was to compare the long
term effects of a residential cognitive-behavioral treatment (CBT) for weight
loss in severely obese patients with and without binge-eating disorder (BED).
METHODS: We assessed weight-loss outcomes and psychological impairment in 54
severely obese female patients with BED and 54 patients matched by age, gender,
and body mass index (BMI) without BED admitted to a residential CBT program. Body
weight was measured at baseline and at 6-month follow-up and was reported by
patients in a telephone interview at 5-year follow-up. Depression, eating
disorder psychopathology, general psychopathology, and quality of life were
assessed using validated instruments at baseline and at 6-month follow-up.
RESULTS: Obese patients with and without BED had similar weight loss at 6-month
and 5-year follow-ups. Although both groups showed improved psychosocial
variables, at 6 months the BED group maintained higher psychological impairment.
Nevertheless, at 5-year follow-up more than half of the BED participants were no
longer classifiable as having BED. DISCUSSION: The presence of BED does not
affect weight-loss outcome in obese patients treated with the residential CBT for
weight loss program considered. (c) 2016 Wiley Periodicals, Inc.(Int J Eat Disord
2016; 49:723-730).
PMID- 27203184
TI - 3D EIT image reconstruction with GREIT.
AB - Most applications of thoracic EIT use a single plane of electrodes on the chest
from which a transverse image 'slice' is calculated. However, interpretation of
EIT images is made difficult by the large region above and below the electrode
plane to which EIT is sensitive. Volumetric EIT images using two (or more)
electrode planes should help compensate, but are little used currently. The Graz
consensus reconstruction algorithm for EIT (GREIT) has become popular in lung
EIT. One shortcoming of the original formulation of GREIT is its restriction to
reconstruction onto a 2D planar image. We present an extension of the GREIT
algorithm to 3D and develop open-source tools to evaluate its performance as a
function of the choice of stimulation and measurement pattern. Results show 3D
GREIT using two electrode layers has significantly more uniform sensitivity
profiles through the chest region. Overall, the advantages of 3D EIT are
compelling.
PMID- 27203185
TI - Use of decision aids for shared decision making in venous thromboembolism: A
systematic review.
AB - BACKGROUND: Optimal care of patients with venous thromboembolism requires the
input of patient preferences into clinical decision-making. However, the
availability and impact of decision aids to facilitate shared decision making in
care of venous thromboembolism is not well known. OBJECTIVES: To assess the
availability, clinical impact and outcomes associated with the use of decision
aids in patients with or at risk for venous thromboembolism. PATIENTS/METHODS: A
systematic review of the literature was performed exploring the use of decision
aids in patients with venous thromboembolism. Criteria for primary inclusion
required use of patient values clarification in the decision aid. A secondary
review without the requirement of a patient values clarification was performed to
be more inclusive. The data was summarized such that knowledge gaps and
opportunities for enquiry were identified. RESULTS: The primary review identified
one study that explored the decision to extend anticoagulation in patients with a
recent venous thromboembolism beyond the stipulated 3-month duration. The
secondary review identified an additional study exploring the decision to undergo
computer tomography testing in patients at low risk for pulmonary embolism in an
emergency department setting. Both studies were of modest quality given a lack of
control group for comparison analysis. CONCLUSIONS: Despite numerous calls to
increase use of shared decision-making, a paucity of data exists to help patients
engage in the treatment decisions for venous thromboembolism. Future studies of
additional VTE clinical decisions with longer-term clinical outcomes appear
necessary.
PMID- 27203186
TI - Generation and application of bessel beams in electron microscopy.
AB - We report a systematic treatment of the holographic generation of electron Bessel
beams, with a view to applications in electron microscopy. We describe in detail
the theory underlying hologram patterning, as well as the actual electron-optical
configuration used experimentally. We show that by optimizing our nanofabrication
recipe, electron Bessel beams can be generated with relative efficiencies
reaching 37+/-3%. We also demonstrate by tuning various hologram parameters that
electron Bessel beams can be produced with many visible rings, making them ideal
for interferometric applications, or in more highly localized forms with fewer
rings, more suitable for imaging. We describe the settings required to tune beam
localization in this way, and explore beam and hologram configurations that allow
the convergences and topological charges of electron Bessel beams to be
controlled. We also characterize the phase structure of the Bessel beams
generated with our technique, using a simulation procedure that accounts for
imperfections in the hologram manufacturing process.
PMID- 27203187
TI - Strategies for new and improved vaccines against ticks and tick-borne diseases.
AB - Ticks infest a variety of animal species and transmit pathogens causing disease
in both humans and animals worldwide. Tick-host-pathogen interactions have
evolved through dynamic processes that accommodated the genetic traits of the
hosts, pathogens transmitted and the vector tick species that mediate their
development and survival. New approaches for tick control are dependent on
defining molecular interactions between hosts, ticks and pathogens to allow for
discovery of key molecules that could be tested in vaccines or new generation
therapeutics for intervention of tick-pathogen cycles. Currently, tick vaccines
constitute an effective and environmentally sound approach for the control of
ticks and the transmission of the associated tick-borne diseases. New candidate
protective antigens will most likely be identified by focusing on proteins with
relevant biological function in the feeding, reproduction, development, immune
response, subversion of host immunity of the tick vector and/or molecules vital
for pathogen infection and transmission. This review addresses different
approaches and strategies used for the discovery of protective antigens,
including focusing on relevant tick biological functions and proteins, reverse
genetics, vaccinomics and tick protein evolution and interactomics. New and
improved tick vaccines will most likely contain multiple antigens to control tick
infestations and pathogen infection and transmission.
PMID- 27203188
TI - Value of the QRS-T area angle in improving the prediction of sudden cardiac death
after acute coronary syndromes.
AB - BACKGROUND: Prediction of sudden cardiac death (SCD) after acute coronary
syndromes (ACS) remains a challenge. Although electrophysiology measures obtained
by 3-D vectorcardiography (VCG) shortly after ACS may be useful predictors of
SCD, they have not been adopted into clinical practice. The main objective of our
study was to assess whether the VCG-derived QRS-T area angle (between area
vectors) and the QRS-T angle (between maximum vectors) have additional value
beyond standard risk factors in predicting SCD after ACS. METHODS AND RESULTS: We
studied 643 consecutive ACS patients for whom data on VCG and echocardiography
during the index hospitalization were available. Seventy-seven patients (12%)
died, 37 (6%) from SCD and 21 (3%) from other cardiac causes during the 30-month
follow-up. After adjusting for 9 standard risk factors (age, sex, diabetes,
previous stroke, left ventricular ejection fraction; and estimated glomerular
filtration rate, heart rate, systolic blood pressure<100mmHg, and Killip class>1
on admission), QRS-T area angle and QRS-T angle were shown to have independent
predictive value for both SCD and all cardiac deaths. Reclassification analysis
showed that both measures had additional predictive value beyond the 9 standard
risk factors. For SCD, net reclassification improvements for QRS-T area angle and
QRS-T angle were 46% and 45% and relative integrated discriminative improvements
were 16% and 13% (vs the average~11% of the 9 standard risk factors).
CONCLUSIONS: The VCG-derived QRS-T area angle and QRS-T angle improved prediction
of SCD after ACS beyond standard risk factors. Further evaluation of their
clinical utility and cost-effectiveness is therefore warranted.
PMID- 27203189
TI - Characteristics of anticancer drug studies registered on the Chinese Clinical
Trial Registry (ChiCTR) from 2007 to 2015.
AB - OBJECTIVE: This research aims to examine the basic and methodological
characteristics of anticancer drug studies registered on the Chinese Clinical
Trial Registry (ChiCTR) and explores the progress of implementation of good
clinical practice (GCP) and the challenges it poses for anticancer drug research
in China. METHODS: The studies from 2007 to 2015 were downloaded from the ChiCTR
and those involving anticancer drugs with evaluation of the main dimensions. The
numerical trend of the studies registered each year was analyzed. Chi-square
tests were performed to test for significant differences between different
funding sources, types of drug and study phases. RESULTS: Six hundred and four
anticancer drug studies were collected. The overall number of anticancer drug
studies was increased. Significant differences could be seen in the dimensions of
multicentre study (P = 0.000), participant number (P = 0.029) and randomization
procedure (P = 0.005) for the three funding sources. There were significant
differences in the dimensions of multicentre study (P = 0.001), participant
number (P = 0.025), collecting samples from participants (P = 0.006), and
randomization procedure (P = 0.009) between different kinds of drugs. There were
also significant differences in the dimension of participant number (P = 0.025)
and randomization procedure (P = 0.016) between different study phases.
CONCLUSION: There are problems with study registry criteria and study type
classification method. Also, within the studies researched, heterogeneity exists
for various dimensions. Different sources of funding, distinct types of drug and
disparate phases of study lead to significant differences in certain dimensions
of anticancer drug studies.
PMID- 27203190
TI - Seven Reasons To Be Concerned About the Use of the New Preimplantation Genetic
Screening (PGS).
PMID- 27203191
TI - Impact of the Number and Quality of Embryos Transferred in Multiple and Single
Pregnancies.
AB - OBJECTIVE: To investigate the relation between maternal age and embryo quality in
choosing how many embryos should be transferred to obtain high pregnancy rates
with minimal risk of multiple gestations. METHODS: Cross-sectional study, with
secondary data analysis, including infertile couples undergoing Intracytoplasmic
Sperm Injection, between 2005-2013, in the Assisted Fertilization Center -
Fertility. The data was analyzed using the SPSS 16.0, in a descriptive and
bivariate way using the chi-square test, P <0.05. Approved by the CEP UNISUL.
RESULTS: 54.8% of pregnancies occurred with women <= 35 years. The prevalence of
single pregnancy was 2.42 times the multiple pregnancies and no significant
increase in multiplicity in the transfer of 3 or 4 embryos. The percentage of
positive pregnancies was prevalent in the age group <= 35 years, regardless of
embryo quality. Regardless of age, the greater the number of high quality
transferred embryos, the higher the multiplicity. This was not observed with low
quality embryos. With high quality embryos, the prevalence of positive
pregnancies in middle age women was 9% lower when compared to those aged <= 35
years, with a P = 0,310. With low-quality embryos, the prevalence of positive
pregnancy in this age group was 39% lower when compared to those aged <= 35
years, with a P < 0.01. CONCLUSION: The greater the number of high quality
transferred embryos, the higher the multiplicity. However, this relationship is
not true for low quality embryos. When only high quality embryos are transferred,
the patients with intermediate age have similar pregnancy results to those from
younger patients. Already with low-quality embryos, this same age group presents
similar pregnancy results to older patients.
PMID- 27203192
TI - Assisted Conception Services and Regulation within the Brazilian Context.
AB - OBJECTIVE: The aim of this article was to analyze the social, ethical, and legal
aspects related to assisted conception in Brazil. METHODS: This paper was based
on preliminary data extracted from research "Assisted Reproduction in Brazil:
Demographic, Social Aspects and Implications for Public Policy". This study aimed
to investigate the private and public supply, the availability, and the criteria
to allow access to reproductive technologies within the realms of public
services, government regulations and legislation, professional association
guidelines, and self-regulations, in addition to the ensuing ethical and social
implications. The data comprises interviews with fertility specialists; Federal
Board of Medicine resolutions on assisted conception; technical standards set by
the Brazilian Health Surveillance Agency; participant observation; and detailed
field notes of the Congresses of the Brazilian Society for Assisted Conception.
RESULTS: Health care services have been unable to meet the growing demand for
infertility treatment. Assisted reproductive technologies are mainly offered by
private clinics at a very high cost. There is no specific legislation regulating
assisted conception in Brazil. Bills aimed to regulate it are markedly influenced
by religious views and moral judgment. Evangelical and Catholic members of
Congress against procedures involving the manipulation of embryos hamper
discussions on the topic. CONCLUSION: In the absence of state regulation,
resolutions of the Federal Board of Medicine are filling the gap. Regarding all
reproductive rights, equitable access to assisted conception care is dependent on
public health services.
PMID- 27203193
TI - Embryo Transfer Catheters: Softer is Easier.
AB - OBJECTIVE: This study aimed to compare the use of semi-rigid and flexible
catheters in terms of pregnancy rate and level of difficulty of the embryo
transfer (ET) procedure. METHODS: Seven hundred and thirty-nine consecutive
follicular aspirations for IVF or ICSI performed in our private fertility clinic
within a 30-month period were randomly divided into two groups. Patients were
allocated to either of the groups at the time of follicular retrieval with the
aid of a random number generator according to the catheter used in ET: Group 1 (n
= 370) - Frydman Classic Catheter 4.5 catheters; and Group 2 (n = 369) - Frydman
Ultrasoft 4.5 catheters. Only patients offered ET in the randomized cycle were
included (Group 1 = 363 and Group 2 = 340). Patients did not change groups after
catheter allocation. Statistical analysis was carried out using an unpaired t
test or a chi square test as appropriate. Differences with P<0.05 were
considered. RESULTS: A statistically significant difference in favor of the use
of the Ultrasoft catheter was evident for parameters use of a tenaculum (19.8%
vs. 5.9%) or a hysterometer (5.0% vs. 1.2%), presence of blood during post-ET
inspection of the catheter (9.9% vs. 3.8%), and implantation rate (13.0% vs.
16.4%). Clinical pregnancy and delivery rates were comparable in both groups.
CONCLUSION: Our results suggest that a softer catheter may help with difficult
ETs. Softer catheters, as also reported by other authors, resulted in better
implantation rates.
PMID- 27203194
TI - Frozen-Thawed Embryo Transfer Success Rate is Affected by Age and Ovarian
Response at Oocyte Aspiration Regardless of Blastomere Survival Rate.
AB - OBJECTIVE: To identify the factors influencing the success of frozen-thawed
embryo transfers, whether originating directly from current cycles or from their
matching fresh cycles. METHODS: Analysis of 273 frozen-thawed embryo transfer
cycles and their matching fresh embryo transfer cycles, with respect to maternal,
embryological and clinical factors, comparing successful to unsuccessful cycles.
RESULTS: The cumulative clinical pregnancy and live birth rates following fresh
ET and corresponding FETs were 50.5% and 38.8%, respectively. No outcome measure
differed between fresh and frozen ET's. Only maternal age, number of oocytes
retrieved and fertilized, and number of cleaved embryos in the fresh cycle were
correlated with a higher pregnancy or live birth rate in the FET cycle. None of
the other parameters had any effect on the outcome. Pre-freezing embryo quality
and blastomere survival rate had no effect on pregnancy/live birth rates.
CONCLUSION: Clinical pregnancy and live birth rates of fresh and frozen ETs were
not significantly different. The only parameters that affected FET success were
those resulting from the patient's age and ovarian reserve at the time of oocyte
aspiration. Post-thawing blastomere survival rate and type of endometrial
preparation for FET did not affect the success rate.
PMID- 27203195
TI - New Sequence Variations in Spermatogenesis Candidates Genes.
AB - OBJECTIVE: The aim of this paper was to estimate the frequency and types of
mutations in key candidate genes involved in spermatogenesis, and their potential
role as a cause of azoospermia /cryptozoospermia. METHODS: The sequencing of the
coding region of genes DBY, RBMY, DAZ, CDY and BPY2, excluding the promoter
region, was performed in a series of 25 patients with azoospermia or severe
oligozoospermia without AZF microdeletions. The exon 3 from the DAZL gene (DAL3)
was also sequenced. The sequences obtained were analyzed by ProSeq, DnaSP v5 and
compared with the database using Blastn and tblastx. RESULTS: 16 of the 25
patients showed some type of variants, such as transversions, transitions,
deletions and/or insertions in the DAZ, DAZL, CDY and RBMY genes. The mutated
sequences had between 97 and 99% homology with the specific protein of every
gene, except the DAZL (73%) and DAZ (94%) proteins. CONCLUSION: The variants
found have not been described previously, suggesting they could be mutations that
might affect protein function.
PMID- 27203196
TI - Sperm Selection Using Three Semen Processing Techniques.
AB - OBJECTIVE: This study aimed to assess the efficiency, in terms of recovered
motile spermatozoa with normal morphology, of three sperm selection techniques:
migration- sedimentation (SS), swim-up from fresh semen (SF), and swim-up from
washed (SL) sperm. METHODS: Samples from 20 normozoospermic men were divided into
three equal aliquots and processed in parallel. SS was performed in a Jondet
tube, using 1 ml of semen and 2.5 ml of Human Tubal Fluid medium (HTF+10%
Synthetic Serum Supplement, Irvine, USA). For SF, 1 ml of HTF was layered over 1
ml of fresh semen (SF). For SL, 1 ml of sperm was first centrifuged (300 g, 10
min) and the pellet resuspended in 1 ml of HTF; a second layer of HTF was placed
on top. Migration time was 1h (SF and SL) and 1h30' for SS at 37 degrees C. After
migration, 200 MUl were removed from the top layer (SF, SL) and from the central
cone (SS). Concentration, morphology and motility were determined. RESULTS:
Recovery rates were 25% for SS, 10.1% for SF and 4.5% for SL. SS recovery rate
was significantly higher (P<0.01) than the two swim-up techniques. Total motility
was statistically different (P<0.001), with 93.6% for SS, 91.2% for SF, and 77%
for SL. Sperm morphology was similar between the three techniques (P= 0.12).
CONCLUSION: SS is an efficient technique for the recovery of motile spermatozoa
from native semen preparations and yielded better results than SF and SL. Routine
use for assisted reproduction remains to be evaluated.
PMID- 27203197
TI - Oocyte Quality in Patients with Increased FSH Levels.
AB - OBJECTIVE: The present study consists of quality comparison among oocytes
retrieved from women under 37 years old showing increased levels of FSH (prone to
premature ovarian insufficiency) and women at the same age with normal hormone
levels. METHODS: Oocyte quality was accessed according to Lucinda L. Veeck
parameters (1986) and the statistical analyses were carried out using Chisquared,
SPSS for Windows 13.0 (SPSS, Inc., Chicago, IL). All pvalues were twotailed, and
95% confidence intervals (CIs) were calculated. A P value <0.05 was considered
statistically significant. RESULTS: Eight morphologic changes variables were
considered in the study and two of them showed statistically significant
differences between cases and controls: granular cytoplasm (P=0.002) and presence
of vacuoles (P=0.025), both more frequent among the study group patients.
CONCLUSION: As a conclusion, patients with increased FSH levels presented oocytes
with worst quality variables than controls. This can be an indicative of ovarian
aging and can impact negatively on oocyte development into viable embryos.
PMID- 27203198
TI - Letrozole Therapy for Obstructive Azoospermic Men before in vitro Fertilization
(IVF) treatment with Percutaneous Epididymal Sperm Aspiration.
AB - OBJECTIVE: The aim of this study was to report our preliminary experience
regarding the use of letrozole in men with obstructive azoospermia (OA)
undergoing percutaneous epididymal sperm aspiration (PESA) for in vitro
fertilization treatment using intracytoplasmic sperm injection (ICSI), who had a
very low sperm recovery upon PESA and unsuccessful ICSI. Our hypothesis was that
letrozole therapy could improve testicular function by increasing serum
gonadotropins and T levels, stimulate testicle germ cells and, most importantly,
that it enhanced the motile sperm count at a second attempt. METHODS: We report
on our preliminary experience with letrozole therapy in 11 men with OA, who
failed to achieve pregnancy in the first PESA-ICSI and did not have spermatozoa
cryopreserved for a second attempt. The patients received 3 months of letrozole
at 2.5mg/day and underwent PESA-ICSI after 6.1+/-3.8 months. The patients were
48.6 +/- 9.6 years old, and underwent at least two PESA procedures. We evaluated
the total motile sperm count per PESA samples, as the increases in serum FSH, LH,
and T levels after treatment. RESULTS: All parameters increased significantly at
3 months following letrozole therapy for most patients. The total motile sperm
count increased from 100 to 500% compared to the first PESA. CONCLUSION:
Letrozole can be considered a reliable treatment to improve sperm recovery for
men with OA undergoing PESA-ICSI cycles by increasing serum gonadotropins and
testosterone (T) levels, and-most importantly-the motile sperm count.
PMID- 27203199
TI - Endometriosis Affects Oocyte Morphology in Intracytoplasmic Sperm Injection
Cycles?
AB - OBJECTIVE: To identify associations between presence of endometriosis and oocyte
defects, embryo developmental potential, and cycle outcomes. METHODS: This study
looked into the impact of endometriosis on oocyte and embryo quality, and
blastocyst formation probability. Endometriosis was also correlated with cycle
characteristics. In order to avoid age-related bias, in the first analysis only
patients aged 36 years or younger were included, and the cycles were split into
endometriosis infertility cycles (n=431; 3172 oocytes) and other cycles (n=2510;
24480 oocytes). RESULTS: The number of retrieved oocytes (10.6+/-21.2 vs. 14.6+/
21.1, P<0.001), oocyte yield (68.1+/-20.0% vs. 70.6+/-19.6%, P=0.015), and
embryos obtained (6.1+/-4.43 vs. 7.8+/-5.12, P<0.001) were lower among patients
with endometriosis. Implantation rates (28.1%+/-38.9% vs. 33.9+/-42.7, P<0.001)
were lower among patients with endometriosis, but fertilization, pregnancy,
miscarriage and cycle cancelation rates were not different. There was a
significant increase in the incidence of extra-cytoplasmic, but not intra
cytoplasmic, oocyte defects among patients with endometriosis. The quality of
embryos (45.3% vs. 47.3%, P=0.037) collected from patients with endometriosis was
lower, but blastocyst formation rates were unaltered. CONCLUSION: A possible
explanation for the lower implantation rates seen in patients with endometriosis
is the poorer quality of the oocytes and embryos observed in this group of
patients.
PMID- 27203201
TI - Is Three a Crowd in Reproduction? (The Authorization of Mitochondrial Donation in
the UK).
AB - Regulations recently passed in the UK to allow mitochondrial donation through
artificial reproductive techniques have heated up the debate about this
procedure, which in the near future may be extended to other parts of the world.
Detractors of mitochondrial donation invoke the excessive risks inherent to the
very novelty of the procedure and the lack of knowledge of its consequences. In
the ethical and legal context, the main concerns relate to motherhood
determination, the notion of social individuality, its association with genetic
manipulation and all the issues accompanying it, the risk of opening the door to
more dangerous practices, the potential discrimination toward existing
individuals suffering with mitochondrial diseases, the fact that the same outcome
- preventing the transmission of mitochondrial diseases - can be achieved by
means of less risky procedures, and the modification of the genetic pool of
humanity. However, most of the underlined risks are more uncertain than actual,
and, indeed, natural to every new technique. Considering the major benefits
offered by the procedure, and as long as we act under the auspices of the
principles of precaution and prevention, there are no obstacles to the
transference of mitochondrial material through artificial reproductive
techniques.
PMID- 27203200
TI - Ewe Ovarian Tissue Vitrification: A Model for the Study of Fertility Preservation
in Women.
AB - Emergency in vitro fertilization followed by embryo vitrification is one feasible
fertility preservation option for cancer patients. However, its clinical
application has several limitations. Hormonal stimulation delays the initiation
of oncotherapy and it is contraindicated in hormone-sensitive cancers or for use
in pre-pubertal females. Vitrification of ovarian cortical tissue prior to the
start of cancer treatment could be utilized for autotransplantation or for in
vitro maturation of follicles enclosed in ovarian tissue. Nevertheless, the main
concern associated with autotransplantation is the risk of malignant cell re
introduction to the patient, which is non-existent with the use of follicular in
vitro culture. Since obtaining ovarian tissues from women for research is
challenging and experimental studies are difficult to complete due to ethical
issues, exploring the alternative usage of animal models for fertility
preservation may provide beneficial insight into the prospects of follicular
culture as an alternative for fertility restoration following ovarian tissue
vitrification. Similarities between ewe and human ovary structures, as well as in
ovarian follicular development dynamics, make the ewe a possible animal model for
the study of female fertility preservation. As vitrification of ovarian tissue
has the potential to cryopreserve preantral ovarian follicles, the present review
will describe the progress of ovarian tissue vitrification studies completed in
ewes.
PMID- 27203202
TI - Uterus Didelphys with Obstructed Hemivagina and Ipsilateral Renal Agenesis
(OHVIRA Syndrome).
AB - This paper aimed to report a series of 19 cases of uterus didelphys associated
with obstructed hemivagina and ipsilateral renal agenesis. This retrospective
descriptive observational study included the medical records of 19 patients seen
at the Endocrinology Gynecology Sector of the Obstetrics and Gynecology
Department of the Federal University of Parana, and focused on clinical data,
complementary exams, patient management, and follow-up. From the 229 patients
with genital anomalies seen between 1984 and 2009, 19 (8.3%) were diagnosed with
uterus didelphys with vaginal septum and renal agenesis. The patients had a
median age of +/-16.3 years. Eight subjects (42.6 %) reported abdominal pain; two
of them (0.1%) had pelvic masses and seven (36.8%) had dysmenorrhea. Blood
retention was confirmed by ultrasound and/ or magnetic resonance imaging of the
pelvis, which revealed the existence of a duplicated uterus of the didelphys type
with a cystic mass containing old blood obliterating the hemivagina. Urinary
tract examination revealed the existence of ipsilateral renal agenesis. Unusual
manifestations such as associated infection and rupture of the vaginal septum
during sexual intercourse were also reported. The prevalence of uterus didelphys
associated with obstructed hemivagina and ipsilateral renal agenesis was 8.3% in
a series of 229 genital anomalies. The observance of clinical features is
essential for the early identification of the syndrome and the adequate
management of the patients.
PMID- 27203203
TI - Embryos from in Vitro Maturation (IVM) Technique Can Be Successfully Vitrified
Resulting in the Birth of a Healthy Child.
AB - IVM can be an advantageous technique when applied to PCOS (Polycystic Ovarian
Syndrome) patients. The oocytes are retrieved from antral follicles of non
stimulated ovaries, specially preventing hyperstimulation syndrome. Apart from
its role as a reproductive treatment, IVM has emerged as a promising tool for
emergency fertility preservation, since it can be performed flexibly in either
follicular or luteal phase. A 34-year-old patient with PCOS, high body mass index
and tubal factor was submitted twice to IVM treatment. Her husband has low count
spermatozoa. The first IVM cycle was in 2009, she transferred 3 fresh embryos and
got pregnant giving birth to a healthy boy weighing 3.3 kg. In 2013, the patient
returned for another IVM cycle and the embryos had to be vitrified because she
failed to develop an adequate endometrium for transfer. In the next cycle, the
endometrium was prepared using estrogen and progesterone and the two best embryos
were warmed up and transferred. She became pregnant and after 36 weeks gave birth
to a healthy girl weighing 2.7 kg. She still has four embryos left to transfer.
IVM may be an alternative technique to be considered when dealing with PCOS
patients. Although clinical outcomes are currently inferior when compared with
conventional hormone driven ART (Artificial Reproductive Techniques), it does
apply in some cases while preventing hyperstimulation risks. Thus, embryos
obtained by IVM can also be vitrified with successful outcomes.
PMID- 27203204
TI - Maternal exposure to ambient PM2.5 exaggerates fetal cardiovascular
maldevelopment induced by homocysteine in rats.
AB - Maternal exposure to airborne particulate matter with aerodynamic diameter <2.5
um (PM2.5 ) during pregnancy and lactation periods is associated with filial
congenital cardiovascular diseases. This study aimed to investigate the toxic
effects of maternal exposure to ambient levels of PM2.5 on filial cardiovascular
maldevelopment induced by homocysteine. Using a 2 * 2 factorial design, rats were
randomized into four groups and were exposed to ambient PM2.5 or filtered air
(FA) throughout the pregnancy and lactation periods coupled with the
administration of either homocysteine (HCY) or normal saline (NS) daily from
gestation days 8-10. Morphological changes in the heart, myocardial apoptosis,
expressions of cardiac progenitor transcriptional factors, and levels of
cytokines were investigated in the offspring. The apoptosis-like changes in the
myocardium were seen in the FA plus HCY-treated group and more obviously in the
PM2.5 plus HCY-treated group, which was in accordance with an increased
myocardial apoptosis rate in the two groups. PM2.5 exposure resulted in
significantly decreased Nkx2-5 protein level and GATA4 and Nkx2-5 mRNA
expressions, and significantly increased TNF-alpha and IL-1beta levels. There
were significant interactions between PM2.5 exposure and HCY-treatment that PM2.5
exposure reduced Nkx2-5 protein levels and GATA4 and Nkx2-5 mRNA expressions in
the HCY-treated groups. These results suggest that maternal exposure to PM2.5 ,
even at the ambient levels in urban regions in China, exaggerates filial
cardiovascular maldevelopment induced by HCY in a murine model, exacerbating
structural abnormalities in the filial cardiac tissue, which is possibly
associated with oxidative stress and reduced GATA4 and Nkx2-5 transcription
factor expressions. (c) 2016 Wiley Periodicals, Inc. Environ Toxicol 32: 877-889,
2017.
PMID- 27203205
TI - Transient liver elastography in unsedated control children: Impact of age and
intercurrent illness.
AB - AIM: Transient elastography (TE) is a rapid, non-invasive, reproducible
assessment of liver fibrosis by liver stiffness measurement (LSM). Uncertainty
remains regarding utility in children, unsedated and <6 years of age. The
importance of general health at the time of study has not been addressed. We
report our experience of TE in unsedated control children, impact of intercurrent
illness and using new published reliability criteria. METHODS: From April 2011 to
March 2013, 173 studies were performed in unsedated, healthy control children and
children with intercurrent illness without detectable liver disease presenting to
the Royal Children's Hospital, Brisbane, Australia. LSM reliability was assessed
using interquartile range/median (IQR/M <= 30%) of 10 valid measurements.
RESULTS: A total of 123 (F:M, 52:71) of 173 studies (71.1%) gave reliable
results. In children 0-2 years reliability was 36%, and >2 years reliable results
were obtained in ~80%. LSM increased with age; 0-2 years (3.5 +/- 0.5 kPa), 3-5
years (3.8 +/- 0.3 kPa) and 6-11 years (4.1 +/- 0.2 kPa) with healthy older
children 12-18 years similar to adults (4.5 +/- 0.2 kPa). LSM did not vary with
gender (female, 4.5 +/- 0.2 vs. male, 4.8 +/- 0.2 kPa). Children with
intercurrent, non-hepatological illnesses had higher LSM (5.2 +/- 0.2 kPa (range,
2.8-11.1 kPa)) compared to healthy children ((4.1 +/- 0.1 kPa, range, 2.1-6.3
kPa); P = 0.0001). CONCLUSIONS: TE in unsedated children is feasible from infancy
but most reliable after 2 years. Intercurrent illness increases LSM; hence, study
context is important when interpreting results.
PMID- 27203206
TI - Clinical value of fluorine-18 2-fluoro-2-deoxy-D-glucose positron emission
tomography/computed tomography in penile cancer.
AB - PurposeThis study investigated the value of Fluorine-18 2-fluoro-2-deoxy-D
glucose (FDG) positron emission tomography (PET)/computed tomography (CT) imaging
in the management of patients with advanced penile cancer.Patients and
MethodsBetween January 2009 and August 2012, 48 patients with penile cancer at
our center underwent FDG-PET/CT after CT (n=39) or magnetic resonance imaging
(MRI; n=9). The accuracy of FDG-PET/CT was assessed with both organ-based and
patient-based analyses. FDG-PET/CT findings were validated by either biopsy or
serial CT/MRI. Clinician questionnaires performed before and after FDG-PET/CT
evaluated whether the scan results affected management.ResultsOne hundred fifteen
individual lesions were evaluable in 42 patients for the organ-based analysis.
Overall sensitivity was 85% and specificity was 86%. In the patient-based
analysis, overall sensitivity and specificity were 82% and 93%, respectively. Pre
and post-PET surveys showed that FDG-PET/CT detected more malignant diseases
than CT/MRI in 33% patients. Planned treatments were changed in 57% patients
after FDG-PET/CT scan.ConclusionFDG-PET/CT has good sensitivity and specificity
in the detection of metastatic penile cancer. It provides more diagnostic
information to enhance clinical management than CT/MRI.
PMID- 27203210
TI - Pulmonary fibrosis in a mouse model of sarcoid granulomatosis induced by booster
challenge with Propionibacterium acnes.
AB - Pulmonary fibrosis (PF) associated with chronic sarcoidosis remains poorly
understood, and no experimental model is currently available for this condition.
Previous studies have shown that Propionibacterium acnes (PA) was associated with
sarcoidosis and induced granuloma formation in mice. Here, we investigated
whether repeated challenge with PA induces persistent inflammation leading to
sarcoidosis followed by PF in mice. Specifically, C57BL/6 mice were inoculated
intraperitoneally and subjected to intratracheal challenge with PA, and then were
booster-challenged with either PA or phosphate-buffered saline on day 28.
Inflammation, granulomata, and features of fibrosis were evaluated every 7 days
until day 70. Complete remission of lung granulomata was apparent on day 42 in
the sarcoid-remission group. However, granulomata was present from days 21 to 70
in mice that received PA boosting. Inflammatory cell counts and Th1 cytokine
levels in lung lavage fluids were elevated up to day 70. Furthermore, fibrotic
changes in the lungs were observed around granulomatous and peribronchovascular
regions after PA boosting. Taken together, these findings suggest that
development of PF following sarcoidosis may result from continuous PA infection
and inflammation. Repeated boosting with PA to induce PF might be a useful model
for future studies of sarcoidosis-associated PF.
PMID- 27203208
TI - Inhibitors of Rho kinase (ROCK) signaling revert the malignant phenotype of
breast cancer cells in 3D context.
AB - Loss of polarity and quiescence along with increased cellular invasiveness are
associated with breast tumor progression. ROCK plays a central role in actin
cytoskeletal rearrangement. We used physiologically relevant 3D cultures of
nonmalignant and cancer cells in gels made of laminin-rich extracellular matrix,
to investigate ROCK function. Whereas expression levels of ROCK1 and ROCK2 were
elevated in cancer cells compared to nonmalignant cells, this was not observed in
2D cultures. Malignant cells showed increased phosphorylation of MLC,
corresponding to disorganized F-actin. Inhibition of ROCK signaling restored
polarity, decreased disorganization of F-actin, and led to reduction of
proliferation. Inhibition of ROCK also decreased EGFR and Integrinbeta1 levels,
and consequently suppressed activation of Akt, MAPK and FAK as well as GLUT3 and
LDHA levels. Again, ROCK inhibition did not inhibit these molecules in 2D. A
triple negative breast cancer cell line, which lacks E-cadherin, had high levels
of ROCK but was less sensitive to ROCK inhibitors. Exogenous overexpression of E
cadherin, however, rendered these cells strikingly sensitive to ROCK inhibition.
Our results add to the growing literature that demonstrate the importance of
context and tissue architecture in determining not only regulation of normal and
malignant phenotypes but also drug response.
PMID- 27203207
TI - The retinal determination gene network: from developmental regulator to cancer
therapeutic target.
AB - Although originally identified for its function in Drosophila melanogaster eye
specification, the Retinal Determination Gene Network (RDGN) is essential for the
development of multiple organs in mammals. The RDGN regulates proliferation,
differentiation and autocrine signaling, and interacts with other key signaling
pathways. Aberrant expression of RDGN members such as DACH, EYA and SIX
contributes to tumor initiation and progression; indeed, the levels of RDGN
members are clinically prognostic factors in various cancer types. Stimulation or
suppression of the activities of these crucial components can block cancer cell
proliferation, prevent cancer stem cell expansion and even reverse the EMT
process, thereby attenuating malignant phenotypes. Thus, cancer therapeutic
interventions targeting RDGN members should be pursued in future studies.
PMID- 27203211
TI - Age, serum 25-hydroxyvitamin D and vitamin D receptor (VDR) expression and
function in peripheral blood mononuclear cells.
AB - The relationship between age, vitamin D status, expression and functionality of
the vitamin D receptor (VDR), and key genes in the vitamin D pathway in immune
cells is unclear. We enrolled adults 50 to 69 years old (20 subjects) and 70+ (20
subjects) and measured: 1) 25(OH)D levels by liquid chromatography/mass
spectrometry; and 2) mRNA expression of VDR, 1alpha-OHase, 1,25D3-MARRS, TREM-1,
cathelicidin, RIG-I, and interferon-beta by qRT-PCR. Mean serum 25(OH)D was 30 +/
4 ng/mL and was not associated with age. Baseline expression of VDR, 1alpha
OHase, 1,25D3-MARRS, TREM-1, and RIG-I also did not differ by age; IFN-beta
expression, however, was higher in the 70+ year old group. 25(OH)D3- and
1,25(OH)2D3-induced VDR, TREM-1 and cathelicidin expression were similar between
age groups, as was LPS-induced expression of VDR and of 1alpha-OHase. Ligand
induced 1,25D3-MARRS expression was higher in subjects >= 70 years. Serum 25(OH)D
was inversely associated with LPS-stimulated VDR expression and with baseline or
vitamin D-induced TREM-1 expression, adjusting for age, self-rated health, and
functional status. In healthy adults >= 50 years, the expression and
functionality of the VDR, 1alpha-OHase and key vitamin D pathway genes were not
consistently associated with age.
PMID- 27203212
TI - Organochloride pesticides induced hepatic ABCG5/G8 expression and lipogenesis in
Chinese patients with gallstone disease.
AB - BACKGROUND: Organochlorine pesticides (OCPs) are one kind of persistent organic
pollutants. Although they are reported to be associated with metabolic disorders,
the underlying mechanism is unclear. We explored the association of OCPs with
gallstone disease and its influence on hepatic lipid metabolism. MATERIALS AND
METHODS: OCPs levels in omentum adipose tissues from patients with and without
gallstone disease between 2008 and 2011 were measured by GC-MS. Differences of
gene expression involved in hepatic lipid metabolism and hepatic lipids content
were compared in liver biopsies between groups with high and low level of OCPs.
Using HepG2 cell lines, the influence on hepatic lipid metabolism by individual
OCP was evaluated in vitro. RESULTS: In all patients who were from non
occupational population, there were high levels of beta-hexachlorocyclohexane
(beta-HCH) and p',p'-dichloroethylene (p',p'-DDE) accumulated in adipose tissues.
Both beta-HCH and p', p'-DDE levels were significantly higher in adipose tissues
from patients with gallstone disease (294.3+/- 313.5 and 2222+/- 2279 ng/g of
lipid) than gallstone-free controls (282.7+/- 449.0 and 2025+/-2664 ng/g of
lipid, P< 0.01) and they were strongly related with gallstone disease (P for
trend = 0.0004 and 0.0138). Furthermore, higher OCPs in adipose tissue led to
increase in the expression of hepatic cholesterol transporters ABCG5 and G8 (+34%
and +27%, P< 0.01) and higher cholesterol saturation index in gallbladder bile,
and induced hepatic fatty acids synthesis, which was further confirmed in HepG2
cells. CONCLUSIONS: OCPs might enhance hepatic secretion of cholesterol into bile
via ABCG5/G8 which promoting gallstone disease as well as lipogenesis.
PMID- 27203214
TI - Down-regulation of tensin2 enhances tumorigenicity and is associated with a
variety of cancers.
AB - Tensin family members, including tensin2 (TNS2), are present as major components
of the focal adhesions. The N-terminal end of TNS2 contains a C1 region (protein
kinase C conserved region 1) that is not found in other tensin members. Three
isoforms of TNS2 have been identified with previous reports describing the
shortest V3 isoform as lacking the C1 region. Although TNS2 is known to regulate
cell proliferation and migration, its role in tumorigenicity is controversial. By
gain-of-function overexpression approaches, results supporting either promotion
or reduction of cancer cell tumorigenicity were reported. Here we report that the
complete V3 isoform also contains the C1 region and describe the expression
patterns of the three human TNS2 isoforms. By loss-of-function approaches, we
show that silencing of TNS2 up-regulates the activities of Akt, Mek, and IRS1,
and increases tumorigenicities in A549 and Hela cells. Using public database
analyses we found that TNS2 is down-regulated in head and neck, esophageal,
breast, lung, liver, and colon cancer. In addition, patients with low TNS2
expression showed poor relapse-free survival rates for breast and lung cancers.
These results strongly suggest a role of tensin2 in suppressing cell
transformation and reduction of tumorigenicity.
PMID- 27203213
TI - Genetic landscape of T- and NK-cell post-transplant lymphoproliferative
disorders.
AB - Post-transplant lymphoproliferative disorders of T- or NK-cell origin (T/NK-PTLD)
are rare entities and their genetic basis is unclear. We performed targeted
sequencing of 465 cancer-related genes and high-resolution copy number analysis
in 17 T-PTLD and 2 NK-PTLD cases. Overall, 377 variants were detected, with an
average of 20 variants per case. Mutations of epigenetic modifier genes (TET2,
KMT2C, KMT2D, DNMT3A, ARID1B, ARID2, KDM6B, n=11). and inactivation of TP53 by
mutation and/or deletion(n=6) were the most frequent alterations, seen across
disease subtypes, followed by mutations of JAK/STAT pathway genes (n=5). Novel
variants, including mutations in TBX3 (n=3), MED12 (n=3) and MTOR (n=1), were
observed as well. High-level microsatellite instability was seen in 1 of 14 (7%)
cases, which had a heterozygous PMS2 mutation. Complex copy number changes were
detected in 8 of 16 (50%) cases and disease subtype-specific aberrations were
also identified. In contrast to B-cell PTLDs, the molecular and genomic
alterations observed in T/NK-PTLD appear similar to those reported for peripheral
T-cell lymphomas occurring in immunocompetent hosts, which may suggest common
genetic mechanisms of lymphoma development.
PMID- 27203215
TI - Effective elimination of adult B-lineage acute lymphoblastic leukemia by
disulfiram/copper complex in vitro and in vivo in patient-derived xenograft
models.
AB - Disulfiram (DS), a clinically used drug to control alcoholism, has displayed
promising anti-cancer activity against a wide range of tumors. Here, we
demonstrated that DS/copper (Cu) complex effectively eliminated adult B-ALL cells
in vitro and in vivo in patient-derived xenograft (PDX) humanized mouse models,
reflected by inhibition of cell proliferation, induction of apoptosis,
suppression of colony formation, and reduction of PDX tumor growth, while sparing
normal peripheral blood mononuclear cells. Mechanistically, these events were
associated with disruption of mitochondrial membrane potential and down
regulation of the anti-apoptotic proteins Bcl-2 and Bcl-xL. Further analysis on B
ALL patients' clinical characteristics revealed that the ex vivo efficacy of
DS/Cu in primary samples was significantly correlated to p16 gene deletion and
peripheral blood WBC counts at diagnosis, while age, LDH level, extramedullary
infiltration, status post intensive induction therapy, immune phenotype, risk
category, and Ph chromosome had no effect. Together, these findings indicate that
disulfiram, particularly when administrated in combination with copper, might
represent a potential repurposing agent for treatment of adult B-ALL patients,
including those clinically characterized by one or more adverse prognostic
factors.
PMID- 27203216
TI - Down-regulation of ABCG2 and ABCB4 transporters in the placenta of rats exposed
to cadmium.
AB - As a maternal and developmental toxicant, cadmium (Cd) possesses weak
penetrability through the placental barrier. However, the underlying mechanism
remains unclear. To gain insight into the protein molecules associated with Cd
toxicity in placenta and explore their roles in Cd transportation, a reproductive
animal experiment was carried out using Sprague-Dawley rats. We performed
proteomic analysis of the placenta by Difference Gel Electrophoresis (DIGE)
combined with Matrix-Assisted Laser Desorption/Ionization Time-of-Flight Tandem
Mass Spectroscopy (MALDI-TOF/TOF MS). The DIGE assay identified 15 protein spots
that were differentially expressed with a greater than 1.5-fold change in
placenta of Cd-treated rats compared to the control rats. Based on the expression
patterns and biological functions of the proteins, we selected the ABCG2 and
ABCB4 transporter proteins for further analysis. Western blot analysis showed
that Cd exposure could down-regulate the expression of ABCG2 and ABCB4 in the
placenta. There was a negative dose-response relationship between Cd exposure and
the expression of ABCG2 or ABCB4 protein. These results indicated that down
regulation of ABCG2 and ABCB4 transporters may regulate Cd across through
placenta and thus affect the in vivo toxic effect of Cd to fetus.
PMID- 27203217
TI - Quantitation of TGF-beta proteins in mouse tissues shows reciprocal changes in
TGF-beta1 and TGF-beta3 in normal vs neoplastic mammary epithelium.
AB - Transforming growth factor-betas (TGF-betas) regulate tissue homeostasis, and
their expression is perturbed in many diseases. The three isoforms (TGF-beta1,
beta2, and -beta3) have similar bioactivities in vitro but show distinct
activities in vivo. Little quantitative information exists for expression of TGF
beta isoform proteins in physiology or disease. We developed an optimized method
to quantitate protein levels of the three isoforms, using a Luminex(r) xMAP(r)
based multianalyte assay following acid-ethanol extraction of tissues. Analysis
of multiple tissues and plasma from four strains of adult mice showed that TGF
beta1 is the predominant isoform with TGF-beta2 being ~10-fold lower. There were
no sex-specific differences in isoform expression, but some tissues showed inter
strain variation, particularly for TGF-beta2. The only adult tissue expressing
appreciable TGF-beta3 was the mammary gland, where its levels were comparable to
TGF-beta1. In situ hybridization showed the luminal epithelium as the major
source of all TGF-beta isoforms in the normal mammary gland. TGF-beta1 protein
was 3-8-fold higher in three murine mammary tumor models than in normal mammary
gland, while TGF-beta3 protein was 2-3-fold lower in tumors than normal tissue,
suggesting reciprocal regulation of these isoforms in mammary tumorigenesis.
PMID- 27203219
TI - Intrapericardial bevacizumab safely and effectively treats malignant pericardial
effusion in advanced cancer patients.
AB - We evaluated the safety and efficacy of intrapericardial bevacizumab (BEV) for
treating symptomatic malignant pericardiac effusion (MPCE) in seven advanced
cancer patients. All patients had previously undergone multiple lines of systemic
therapy. Each patient received paracentesis and intrapericardial infusions of 100
or 200 mg of BEV every two weeks. Systemic treatments for primary tumors
continued for all patients during BEV treatment. Of the seven patients, three
achieved a complete response, two achieved a partial response, and two showed no
response with regard to MPCE after BEV infusion. The median overall survival time
was 168 days (range, 22-224 days). In six of the seven patients, effusion did not
recur before death. Toxicity associated with BEV treatment was mild and
manageable in all patients. This study provides preliminary evidence that
intrapericardial BEV may be an effective and safe treatment for MPCE in patients
with advanced cancers.
PMID- 27203221
TI - Aptamer-guided DNA tetrahedron as a novel targeted drug delivery system for MUC1
expressing breast cancer cells in vitro.
AB - Mucin 1 (MUC1) is an important molecular target for cancer treatment because it
is overexpressed in most adenocarcinomas. In this study, a new MUC1-targeted drug
delivery system was assembled using an aptamer (Apt) that could recognize MUC1
and a DNA tetrahedron (Td) that could carry doxorubicin (Dox) within its DNA
structure. The complex thus formed (Apt-Td) had an average size of 12.38 nm and
was negatively charged. Similar to the MUC1 aptamer, the Apt-Td could
preferentially bind with MUC1-positive MCF-7 breast cancer cells. A drug loading
experiment revealed that each Apt-Td complex could carry approximately 25 Dox
molecules. Moreover, Apt-Td selectively delivered Dox into the MUC1-positive
breast cancer cells but reduced Dox uptake by the MUC1-negative control cells.
Dox-loaded Apt-Td also induced a significantly higher cytotoxicity to the MUC1
positive cancer cells versus the MUC1-negative control cells in vitro (p<0.01).
These results suggest that Apt-Td may potentially serve as a drug carrier in the
targeted treatment of MUC1-expressing breast cancers.
PMID- 27203222
TI - Assessment of Anisotropic Semiconductor Nanorod and Nanoplatelet Heterostructures
with Polarized Emission for Liquid Crystal Display Technology.
AB - Semiconductor nanorods can emit linear-polarized light at efficiencies over 80%.
Polarization of light in these systems, confirmed through single-rod
spectroscopy, can be explained on the basis of the anisotropy of the transition
dipole moment and dielectric confinement effects. Here we report emission
polarization in macroscopic semiconductor-polymer composite films containing
CdSe/CdS nanorods and colloidal CdSe nanoplatelets. Anisotropic nanocrystals
dispersed in polymer films of poly butyl-co-isobutyl methacrylate (PBiBMA) can be
stretched mechanically in order to obtain unidirectionally aligned arrays. A high
degree of alignment, corresponding to an orientation factor of 0.87, was achieved
and large areas demonstrated polarized emission, with the contrast ratio I?/I? =
5.6, making these films viable candidates for use in liquid crystal display (LCD)
devices. To some surprise, we observed significant optical anisotropy and
emission polarization for 2D CdSe nanoplatelets with the electronic structure of
quantum wells. The aligned nanorod arrays serve as optical funnels, absorbing
unpolarized light and re-emitting light from deep-green to red with quantum
efficiencies over 90% and high degree of linear polarization. Our results
conclusively demonstrate the benefits of anisotropic nanostructures for LCD
backlighting. The polymer films with aligned CdSe/CdS dot-in-rod and rod-in-rod
nanostructures show more than 2-fold enhancement of brightness compared to the
emitter layers with randomly oriented nanostructures. This effect can be
explained as the combination of linearly polarized luminescence and directional
emission from individual nanostructures.
PMID- 27203220
TI - microRNA-7-5p inhibits melanoma cell proliferation and metastasis by suppressing
RelA/NF-kappaB.
AB - microRNA-7-5p (miR-7-5p) is a tumor suppressor in multiple cancer types and
inhibits growth and invasion by suppressing expression and activity of the
epidermal growth factor receptor (EGFR) signaling pathway. While melanoma is not
typically EGFR-driven, expression of miR-7-5p is reduced in metastatic tumors
compared to primary melanoma. Here, we investigated the biological and clinical
significance of miR-7-5p in melanoma. We found that augmenting miR-7-5p
expression in vitro markedly reduced tumor cell viability, colony formation and
induced cell cycle arrest. Furthermore, ectopic expression of miR-7-5p reduced
migration and invasion of melanoma cells in vitro and reduced metastasis in vivo.
We used cDNA microarray analysis to identify a subset of putative miR-7-5p target
genes associated with melanoma and metastasis. Of these, we confirmed nuclear
factor kappa B (NF-kappaB) subunit RelA, as a novel direct target of miR-7-5p in
melanoma cells, such that miR-7-5p suppresses NF-kappaB activity to decrease
expression of canonical NF-kappaB target genes, including IL-1beta, IL-6 and IL
8. Importantly, the effects of miR-7-5p on melanoma cell growth, cell cycle,
migration and invasion were recapitulated by RelA knockdown. Finally, analysis of
gene array datasets from multiple melanoma patient cohorts revealed an
association between elevated RelA expression and poor survival, further
emphasizing the clinical significance of RelA and its downstream signaling
effectors. Taken together, our data show that miR-7-5p is a potent inhibitor of
melanoma growth and metastasis, in part through its inactivation of RelA/NF
kappaB signaling. Furthermore, miR-7-5p replacement therapy could have a role in
the treatment of this disease.
PMID- 27203223
TI - UV-Induced Proton-Coupled Electron Transfer in Cyclic DNA Miniduplexes.
AB - The excited-state dynamics of two cyclic DNA miniduplexes, each containing just
two base pairs, are investigated using time-resolved infrared spectroscopy. As in
longer DNA duplexes, intrastrand electron transfer induced by UV excitation
triggers interstrand proton transfer in the alternating miniduplex containing two
out-of-phase G.C base pairs. The resulting excited state decays on a time scale
of several tens of picoseconds. This state is absent when one of the two G
residues is substituted by 8-oxo-7,8-dihydroguanine, a modification that is
suggested to disrupt base stacking, while maintaining base pairing. These
findings demonstrate that a nucleobase tetramer arranged as two stacked base
pairs accurately captures the interplay between intrastrand and interstrand decay
channels. The similar signals seen in the miniduplexes and longer sequences
suggest that excited states in the latter rapidly localize on two adjacent base
pairs.
PMID- 27203224
TI - Efficient production of succinic acid from Palmaria palmata hydrolysate by
metabolically engineered Escherichia coli.
AB - Succinic acid, a C4 dicarboxylic acid is used in many fields such as food,
agriculture, pharmaceutical and polymer industries. In this study, microbial
production of succinic acid from Palmaria palmata was investigated for the first
time. In engineered Escherichia coli KLPPP, lactate dehydrogenase, pyruvate
formate lyase, phosphotransacetylase-acetate kinase and pyruvate oxidase genes
were deleted while phosphoenolpyruvate carboxykinase was overexpressed. The
recombinant exhibited higher molar yield of succinic acid on galactose (1.20+/
0.02mol/mol) than glucose (0.48+/-0.03mol/mol). The concentration and molar yield
of succinic acid were 22.40+/-0.12g/L and 1.13+/-0.02mol/mol total sugar
respectively after 72h dual phase fermentation from P. palmata hydrolysate which
composed of glucose (12.57+/-0.17g/L) and galactose (18.03+/-0.10g/L). The
results demonstrate that P. palmata red macroalgae biomass represents a novel and
an economically alternative feedstock for biochemicals production.
PMID- 27203225
TI - Cigarette smoking has a differential effect on the plasma level of clozapine in
Taiwanese schizophrenic patients associated with the CYP1A2 gene -163A/C single
nucleotide polymorphism.
AB - OBJECTIVE: The efficacy of clozapine clearance has been shown to be associated
with smoking and genetic polymorphism of CYP1A2. This study aims to investigate
the effect of smoking on the plasma level of clozapine in Taiwanese schizophrenic
patients and its relevance to the CYP1A2 gene -163A/C single nucleotide
polymorphism. MATERIALS AND METHODS: A total of 143 hospitalized schizophrenic
patients who had received clozapine therapy for at least 14 days were enrolled in
this study. The trough plasma concentration of clozapine was measured with
LC/MS/MS. The -163A/C variant in the CYP1A2 gene was identified by DNA sequencing
and restriction fragment length polymorphism analysis. The effect of smoking on
the clozapine level was examined by multiple linear regression analysis and its
relation to the -163A/C variant of the CYP1A2 gene was analyzed using a general
linear model with Bonferroni correction. RESULTS: Patients with smoking habits
showed a significantly lower plasma level of clozapine than those without smoking
habits (P=0.022) and the difference in clozapine levels between smokers and
nonsmokers appeared to be significant in the individuals carrying the homozygous
163A allele (P=0.02). It was also found that nonsmokers carrying the -163A allele
tended to have higher plasma levels of clozapine. This tendency was not found in
the individuals with smoking habits. CONCLUSION: Cigarette smoking has a
significant impact on the plasma level of clozapine in Taiwanese schizophrenic
patients carrying the homozygous -163A allele in the CYP1A2 gene. Cigarette
smoking may increase the clearance of clozapine in these patients.
PMID- 27203226
TI - The COMT rs4680 polymorphism and suicide attempt in rural Shandong, China.
AB - BACKGROUND: Suicide attempt is a major public health problem and are associated
with genetic factors. This paired case-control study examined the association
between the COMT gene rs4680 polymorphism and suicide attempts. METHODS: A case
control study of 369 (117 men, 31.7%; mean age=44.1+/-13.3 years) suicide
attempters and an equal number of controls without a lifetime history of suicide
attempt matched on sex, age, and residence was carried out in rural Shandong,
Eastern China. Demographics and psychiatric history were obtained through face-to
face interviews. Blood samples were collected during interviews and the COMT gene
rs4680 polymorphism was analyzed using the ligation detection reaction method.
RESULTS: The G/G genotype was significantly more prevalent in female suicide
attempters than their matched controls. Conditional logistic regression showed
that the G/G genotype was significantly associated with an increased risk of
suicide attempts only for women (odds ratio=2.3; 95% confidence interval: 1.2
4.2). CONCLUSION: The findings support an association between the COMT gene
rs4680 polymorphism and suicide attempts only in women. Further research with
larger samples is needed to explore the interactions of the COMT gene rs4680
polymorphism and sex and psychiatric disorders on suicide attempts.
PMID- 27203228
TI - Situation awareness: when nurses decide to admit or not admit a person with
mental illness as an involuntary patient.
AB - AIM: This paper will explore the application of situation awareness in nursing to
determine its suitability as a framework to study how the decision to admit or
not admit a person as an involuntary patient is made. BACKGROUND: The decision by
a specially qualified nurse to admit or not admit a person to a mental health
facility against their will remains a central component of contemporary mental
health legislation. The decision has an impact on a person's autonomy and human
rights. Conversely, the decision to admit may facilitate urgent assessment and
treatment and ensure the safety of the individual and others. Research highlights
that decision-making in this context is challenging due to the multiple
information sources and often incomplete information available to the clinician.
Situation awareness is a concept used to explain how practitioners identify, use
and make meaning of a multitude of factors and elements relevant to their
practice. DESIGN: Discussion paper. DATA SOURCES: A search of terms related to
situation awareness and mental health nursing was conducted in the period 2000 -
present. IMPLICATIONS FOR NURSING: Exploring nurses decision-making using a
situation awareness framework provides for a more nuanced understanding of nurses
knowledge and skill when deciding to admit or not a person as an involuntary
patient. CONCLUSION: The concept of situation awareness provides a framework to
better understand the decision-making process associated with the involuntary
admission decision.
PMID- 27203229
TI - Heating Rate Sensitive Multi-Shape Memory Polypropylene: A Predictive Material.
AB - Here we report on a novel type of smart material that is capable of specifically
responding to the changing rate of an environmental signal. This is shown on the
example of lightly cross-linked syndiotactic polypropylene that reacts to a
temperature increase by adapting its shape change according to the applied
heating rate. In general, a material with such properties can be used to predict
a system failure when used in a defined environment and is therefore called
"predictive material".
PMID- 27203227
TI - Increased tumor response to neoadjuvant therapy among rectal cancer patients
taking angiotensin-converting enzyme inhibitors or angiotensin receptor blockers.
AB - BACKGROUND: Angiotensin-converting enzyme inhibitors (ACEIs) and angiotensin
receptor blockers (ARBs) are commonly used antihypertensive medications that have
been reported to affect aberrant angiogenesis and the dysregulated inflammatory
response. Because of such mechanisms, it was hypothesized that these medications
might affect the tumor response to neoadjuvant radiation in patients with rectal
cancer. METHODS: One hundred fifteen patients who were treated with neoadjuvant
radiation at the University of Wisconsin (UW) between 1999 and 2012 were
identified. Univariate analyses were performed with anonymized patient data. In a
second independent data set, 186 patients with rectal cancer who were treated
with neoadjuvant radiation at the Queen's Medical Center of the University of
Hawaii (UH) between 1995 and 2010 were identified. These data were independently
analyzed as before. Multivariate analyses were performed with aggregate data.
RESULTS: Among patients taking ACEIs/ARBs in the UW data set, a significant 3
fold increase in the rate of pathologic complete response (pCR) to neoadjuvant
therapy (52% vs 17%, P = .001) was observed. This finding was confirmed in the UH
data set, in which a significant 2-fold-increased pCR rate (24% vs 12%, P = .03)
was observed. Identified patient and treatment characteristics were otherwise
balanced between patients taking and not taking ACEIs/ARBs. No significant effect
was observed on pCR rates with other medications, including statins, metformin,
and aspirin. Multivariate analyses of aggregate data identified ACEI/ARB use as a
strong predictor of pCR (odds ratio, 4.02; 95% confidence interval, 2.06-7.82; P
< .001). CONCLUSIONS: The incidental use of ACEIs/ARBs among patients with rectal
cancer is associated with a significantly increased rate of pCR after neoadjuvant
treatment. Cancer 2016;122:2487-95. (c) 2016 American Cancer Society.
PMID- 27203230
TI - Photochemical Reactions of the LOV and LOV-Linker Domains of the Blue Light
Sensor Protein YtvA.
AB - YtvA is a blue light sensor protein composed of an N-terminal LOV (light-oxygen
voltage) domain, a linker helix, and the C-terminal sulfate transporter and anti
sigma factor antagonist domain. YtvA is believed to act as a positive regulator
for light and salt stress responses by regulating the sigmaB transcription
factor. Although its biological function has been studied, the reaction dynamics
and molecular mechanism underlying the function are not well understood. To
improve our understanding of the signaling mechanism, we studied the reaction of
the LOV domain (YLOV, amino acids 26-127), the LOV domain with its N-terminal
extension (N-YLOV, amino acids 1-127), the LOV domain with its C-terminal linker
helix (YLOV-linker, amino acids 26-147), and the YLOV domain with the N-terminal
extension and the C-terminal linker helix (N-YLOV-linker, amino acids 1-147)
using the transient grating method. The signals of all constructs showed adduct
formation, thermal diffusion, and molecular diffusion. YLOV showed no change in
the diffusion coefficient (D), while the other three constructs showed a
significant decrease in D within ~70 MUs of photoexcitation. This indicates that
conformational changes in both the N- and C-terminal helices of the YLOV domain
indeed do occur. The time constant in the YtvA derivatives was much faster than
the corresponding dynamics of phototropins. Interestingly, an additional reaction
was observed as a volume expansion as well as a slight increase in D only when
both helices were included. These findings suggest that although the
rearrangement of the N- and C-terminal helices occurs independently on the fast
time scale, this change induces an additional conformational change only when
both helices are present.
PMID- 27203231
TI - A novel ratiometric two-photon fluorescent probe for imaging of Pd(2+) ions in
living cells and tissues.
AB - Ratiometric two-photon fluorescent probes can not only eliminate interferences
from environmental factors but also achieve deep-tissue imaging with improved
spatial localization. To quantitatively track Pd(2+) in biosystems, herein, we
reported a ratiometric two-photon fluorescent probe, termed as Np-Pd, which based
on a D-pi-A-structure two-photon fluorophore of the naphthalimide derivative and
deprotection of aryl propargyl ethers by palladium species. The probe Np-Pd
displayed a more than 25-fold enhancement towards palladium species with high
sensitivity and selectivity. Additionally, the probe Np-Pd was further used for
fluorescence imaging of Pd(2+) ions in living cells and tissues under two-photon
excitation (820nm), which showed large tissue-imaging depth (19.6-184.6MUm), and
a high resolution for ratiometric imaging.
PMID- 27203232
TI - A new fluorescence turn-on probe for biothiols based on photoinduced electron
transfer and its application in living cells.
AB - A new biothiol-selective fluorescent probe 1 based on photoinduced electron
transfer (PET) mechanism was designed and synthesized. The UV-Vis absorption and
fluorescent emission properties of probe 1 towards various analytes were studied
in detail. The probe exhibited a large stokes shift (~200nm) after reacted with
biothiols and could selectively detect cysteine (Cys) in dimethyl sulfoxide
(DMSO)/H2O solution (9:1, v/v, 10mM phosphate buffer saline, pH3.5) over
glutathione (GSH), homocysteine (Hcy) and other analytes with a detection limit
of 0.117MUM. In addition, probe 1 responded well to GSH, Hcy and Cys in the same
above solution with pH5.5 and got the detection limits of 0.151MUM, 0.128MUM and
0.037MUM, respectively. Probe 1 was of very low cytotoxicity and successfully
applied for imaging of thiols in living cells.
PMID- 27203234
TI - Benjamin F. Cravatt.
PMID- 27203235
TI - [Treatment Methods for Patients with Dupuytren's Disease in Switzerland].
AB - BACKGROUND/OBJECTIVE: The objective of this study was to investigate what
treatment options are currently used in Switzerland for Dupuytren's disease.
Furthermore, regional preferences and treatment differences based on surgeon
experience were analysed. MATERIAL AND METHODS: In this survey, an electronic
questionnaire was sent to all members of the Swiss Society for Hand Surgery.
Participants were asked to indicate their current treatment methods for
Dupuytren's disease. In addition, 8 standard patient cases were presented to
identify the preferred treatment option. Furthermore, sociodemographic data of
the participants were gathered. RESULTS: In total, 70 questionnaires were
completed, corresponding to a response rate of 34%. Fasciectomy is performed by
94% of participants, while 59% inject collagenase in certain cases, 40% perform
open fasciotomy, and 24% carry out percutaneous needle aponeurotomy if the
indication is given. 20% of responders offer one of these techniques, 50% offer
2, 23% offer 3, and 7% offer all 4 treatment techniques. In the case of isolated
metacarpophalangeal joint contracture, 51% of participants inject collagenase,
whereas fasciectomy is preferred for the treatment of proximal interphalangeal
joint contractures or in cases of recurrence. In German-speaking Switzerland, the
treatment strategy has changed towards applying collagenase injections in the
past 5 years. In this part of the country, 83% of surgeons now use more
collagenase than 5 years ago, whereas only 33% of surgeons in French-speaking
Switzerland have changed their treatment strategy in favour of collagenase
injections (p=0.027). Surgeons with less than 10 years of experience apply more
collagenase than their more experienced colleagues (79 vs. 54%, p=0.131).
CONCLUSIONS: In Switzerland, fasciectomy is the preferred option for treating
patients with Dupuytren's disease. In recent years, however, collagenase
injection has become more and more popular. More research is needed to define
guidelines for the treatment of patients with Dupuytren's disease considering the
effectiveness of the different treatment options and regional preferences.
PMID- 27203233
TI - Transient spectra study on photo-dynamics of curcumin.
AB - A novel mechanism of DNA damage induced by photosensitized curcumin (Cur) was
explored using laser flash photolysis, pulse radiolysis and gel electrophoresis.
Cur neutral radical (Cur) was confirmed as an identical product in photo
sensitization of Cur by laser flash photolysis and pulse radiolysis. A series of
reaction rate constants between Cur and nucleic acid bases/nucleotides were
determined by pulse radiolysis. Gel electrophoresis was carried out to
investigate damage induced by photosensitized Cur to biologically active DNA. The
results indicate that the damage to DNA may be caused by Cur produced from the
photosensitization of Cur.
PMID- 27203236
TI - [A Simple Surgical Procedure to Correct Overlapping of the Fifth Toe in
Children].
PMID- 27203237
TI - Computational Identification of Genomic Features That Influence 3D Chromatin
Domain Formation.
AB - Recent advances in long-range Hi-C contact mapping have revealed the importance
of the 3D structure of chromosomes in gene expression. A current challenge is to
identify the key molecular drivers of this 3D structure. Several genomic
features, such as architectural proteins and functional elements, were shown to
be enriched at topological domain borders using classical enrichment tests. Here
we propose multiple logistic regression to identify those genomic features that
positively or negatively influence domain border establishment or maintenance.
The model is flexible, and can account for statistical interactions among
multiple genomic features. Using both simulated and real data, we show that our
model outperforms enrichment test and non-parametric models, such as random
forests, for the identification of genomic features that influence domain
borders. Using Drosophila Hi-C data at a very high resolution of 1 kb, our model
suggests that, among architectural proteins, BEAF-32 and CP190 are the main
positive drivers of 3D domain borders. In humans, our model identifies well-known
architectural proteins CTCF and cohesin, as well as ZNF143 and Polycomb group
proteins as positive drivers of domain borders. The model also reveals the
existence of several negative drivers that counteract the presence of domain
borders including P300, RXRA, BCL11A and ELK1.
PMID- 27203239
TI - Comparative seed germination traits in alpine and subalpine grasslands: higher
elevations are associated with warmer germination temperatures.
AB - Seed germination traits in alpine grasslands are poorly understood, despite the
sensitivity of these communities to climate change. We hypothesise that
germination traits predict species occurrence along the alpine-subalpine
elevation gradient. Phylogenetic comparative analyses were performed using fresh
seeds of 22 species from alpine and subalpine grasslands (1600-2400 m) of the
Cantabrian Mountains, Spain (43 degrees N, 5 degrees W). Laboratory experiments
were conducted to characterise germinability, optimum germination temperature and
effect of cold and warm stratification on dormancy breaking. Variability in these
traits was reduced by phylogenetic principal component analysis (phyl.PCA).
Phylogenetic generalised least squares regression (PGLS) was used to fit a model
in which species average elevation was predicted from their position on the PCA
axes. Most subalpine species germinated in snow-like conditions, whereas most
alpine species needed accumulation of warm temperatures. Phylogenetic signal was
low. PCA1 ordered species according to overall germinability, whilst PCA2 ordered
them according to preference for warm or cold germination. PCA2 significantly
predicted species occurrence in the alpine-subalpine gradient, as higher
elevation species tended to have warmer germination preferences. Our results show
that germination traits in high-mountain grasslands are closely linked to the
alpine-subalpine gradient. Alpine species, especially those from stripped and
wind-edge communities, prefer warmer germination niches, suggesting that summer
emergence prevents frost damage during seedling establishment. In contrast,
alpine snowfield and subalpine grassland plants have cold germination niches,
indicating that winter emergence may occur under snow to avoid drought stress.
PMID- 27203238
TI - Late Pregnancy is a Critical Period for Changes in Phosphorylated Mitogen
Activated Protein Kinase/Extracellular Signal-Regulated Kinase 1/2 in Oxytocin
Neurones.
AB - The physiological demands of parturition and lactation lead to the increased
pulsatile release of oxytocin (OT) into the circulation from the neurohypophysial
axons of OT neurones in the supraoptic (SON) and paraventricular (PVN) nuclei.
These states of increased OT release are accompanied by a significant plasticity
in magnocellular OT neurones and their synaptic connections, and many of these
changes require activation of a central OT receptor. The mitogen-activated
protein kinase/extracellular signal-regulated kinase pathway (MAPK/ERK) is
assumed to be up-regulated in the PVN during lactation, and many of the effects
of OT in peripheral and brain tissue are mediated through a MAPK/ERK pathway. The
present study investigated whether this pathway is altered in the SON and PVN
during late pregnancy [embryonic day (E)20-21], which is a critical period for OT
plasticity induction, and for lactation, when plastic changes are sustained.
Based on immunoreactivity for phosphorylated ERK1/2 (pERK1/2), the results
suggest an enhanced activation of MAPK/ERK pathway in OT neurones specifically
during late pregnancy in both the SON and PVN. Although immunoblots from the SON
confirm this pregnancy-associated up-regulation in late pregnancy, they also
suggest enhancement into lactation as well. Together, the results suggest an
important role for the MAPK/ERK pathway during reproductive changes in the SON
and PVN.
PMID- 27203241
TI - Correction: Cognitive Test Scores in UK Biobank: Data Reduction in 480,416
Participants and Longitudinal Stability in 20,346 Participants.
AB - [This corrects the article DOI: 10.1371/journal.pone.0154222.].
PMID- 27203242
TI - Correction: Investigating the Proton Donor in the NO Reductase from Paracoccus
denitrificans.
AB - [This corrects the article DOI: 10.1371/journal.pone.0152745.].
PMID- 27203240
TI - The Effects of Cigarette Smoke Condensate and Nicotine on Periodontal Tissue in a
Periodontitis Model Mouse.
AB - Cigarette smoking is a major lifestyle-related risk factor for periodontal
diseases. However, the pathophysiological role of cigarette smoking in
periodontal disease has yet to be fully elucidated. Here we report that the
systemic administration of cigarette smoke condensate or nicotine, which is the
major ingredient of cigarette smoke, augmented alveolar bone loss. Concomitantly,
the number of osteoclasts in periodontal tissues increased and the expression of
receptor activator of nuclear factor kappaB ligand was upregulated at the ligated
side in mice with periodontitis. Nicotine also attenuated alveolar bone repair
after ligature removal. These observations highlight the destruction of
periodontal tissue by smoking and the unfavorable clinical course of periodontal
disease in patients with a cigarette smoking habit. The present study
demonstrates that periodontal disease models are useful for elucidating the
pathogenesis of cigarette smoking-related periodontal diseases.
PMID- 27203243
TI - Variation between Hospitals with Regard to Diagnostic Practice, Coding Accuracy,
and Case-Mix. A Retrospective Validation Study of Administrative Data versus
Medical Records for Estimating 30-Day Mortality after Hip Fracture.
AB - BACKGROUND: The purpose of this study was to assess the validity of patient
administrative data (PAS) for calculating 30-day mortality after hip fracture as
a quality indicator, by a retrospective study of medical records. METHODS: We
used PAS data from all Norwegian hospitals (2005-2009), merged with vital status
from the National Registry, to calculate 30-day case-mix adjusted mortality for
each hospital (n = 51). We used stratified sampling to establish a representative
sample of both hospitals and cases. The hospitals were stratified according to
high, low and medium mortality of which 4, 3, and 5 hospitals were sampled,
respectively. Within hospitals, cases were sampled stratified according to year
of admission, age, length of stay, and vital 30-day status (alive/dead). The
final study sample included 1043 cases from 11 hospitals. Clinical information
was abstracted from the medical records. Diagnostic and clinical information from
the medical records and PAS were used to define definite and probable hip
fracture. We used logistic regression analysis in order to estimate systematic
between-hospital variation in unmeasured confounding. Finally, to study the
consequences of unmeasured confounding for identifying mortality outlier
hospitals, a sensitivity analysis was performed. RESULTS: The estimated overall
positive predictive value was 95.9% for definite and 99.7% for definite or
probable hip fracture, with no statistically significant differences between
hospitals. The standard deviation of the additional, systematic hospital bias in
mortality estimates was 0.044 on the logistic scale. The effect of unmeasured
confounding on outlier detection was small to moderate, noticeable only for large
hospital volumes. CONCLUSIONS: This study showed that PAS data are adequate for
identifying cases of hip fracture, and the effect of unmeasured case mix
variation was small. In conclusion, PAS data are adequate for calculating 30-day
mortality after hip-fracture as a quality indicator in Norway.
PMID- 27203246
TI - An international perspective on outreach.
PMID- 27203244
TI - Essential Roles of Cyclin Y-Like 1 and Cyclin Y in Dividing Wnt-Responsive
Mammary Stem/Progenitor Cells.
AB - Cyclin Y family can enhance Wnt/beta-catenin signaling in mitosis. Their
physiological roles in mammalian development are yet unknown. Here we show that
Cyclin Y-like 1 (Ccnyl1) and Cyclin Y (Ccny) have overlapping function and are
crucial for mouse embryonic development and mammary stem/progenitor cell
functions. Double knockout of Ccnys results in embryonic lethality at E16.5. In
pubertal development, mammary terminal end buds robustly express Ccnyl1.
Depletion of Ccnys leads to reduction of Lrp6 phosphorylation, hampering beta
catenin activities and abolishing mammary stem/progenitor cell expansion in
vitro. In lineage tracing experiments, Ccnys-deficient mammary cells lose their
competitiveness and cease to contribute to mammary development. In
transplantation assays, Ccnys-deficient mammary cells fail to reconstitute,
whereas constitutively active beta-catenin restores their regeneration abilities.
Together, our results demonstrate the physiological significance of Ccnys
mediated mitotic Wnt signaling in embryonic development and mammary
stem/progenitor cells, and reveal insights in the molecular mechanisms
orchestrating cell cycle progression and maintenance of stem cell properties.
PMID- 27203247
TI - Mutant mouse model stutters in squeaks.
PMID- 27203248
TI - Preventing mussel loss.
PMID- 27203250
TI - Dirty mice might make better models.
PMID- 27203251
TI - Controlling mouse metabolism by radio waves.
PMID- 27203252
TI - A new research path into attention disorders.
PMID- 27203253
TI - The Concordant on Openness and its benefits to animal research.
PMID- 27203256
TI - Adapting to change: The USDA's 'teachable moment'.
PMID- 27203255
TI - The peculiar physiology of the python.
PMID- 27203257
TI - Response to Protocol Review Scenario: 'Borrowing' is not an acceptable practice.
PMID- 27203258
TI - Sharing animals across protocols.
PMID- 27203259
TI - Response to Protocol Review Scenario: An IACUC reviews much more than training.
PMID- 27203260
TI - Response to Protocol Review Scenario: Stitching together multiple protocols
creates confusion.
PMID- 27203261
TI - Considerations for aerobic exercise paradigms with rodent models.
PMID- 27203263
TI - Care of Mastomys in the laboratory.
AB - Mastomys rodents occupy a valuable niche in biomedical research, but there is
very little published information regarding how to care for them in the
laboratory. Here we provide information about the physical and behavioral
characteristics of this unusual laboratory rodent, its taxonomic history, common
diseases that affect it, and its use in research. We also provide housing
recommendations based upon almost 15 years of experience successfully maintaining
a colony of Mastomys coucha at our institution.
PMID- 27203265
TI - The power of storytelling.
PMID- 27203264
TI - Treatment and re-characterization of mouse obstructive genitourinary syndrome.
AB - We aimed to characterize and to explore a treatment for a condition in which male
mice exhibited a solid bulge in the preputial area and an inability to breed.
Twenty-seven mice from several animal housing institutions in Spain were included
in this study for microbiological and pathological characterization of this
condition. The condition mostly affected breeding animals and was associated with
the C57BL/6J genetic background. A solid, yellowish-white substance was found
inside the prepuce, which displaced the penis cranially, preventing its
externalization and limiting the animal's capacity to breed. This pattern was
almost identical to that of post-coital vaginal plugs, suggesting that the
blocking substance originated from ejaculate. Opposite to what was suggested in
previous publications, the penis was completely intact in all of the cases, with
no signs of mutilation or wounds. Based on our findings, we developed a surgical
technique to clear the prepuce and recover breeding performance, which we tested
in 15 other mice with the condition. We eliminated the blocking substance and
recurrence of the condition by surgically opening the prepuce, and most of the
animals recovered fertility.
PMID- 27203266
TI - World Day for Laboratory Animals.
PMID- 27203267
TI - Tools and techniques for maintaining catheter patency.
PMID- 27203268
TI - Choice of laboratory animal diet influences intestinal health.
PMID- 27203269
TI - Mechanisms of osteocyte stimulation in osteoporosis.
AB - Experimental studies have shown that primary osteoporosis caused by oestrogen
deficiency results in localised alterations in bone tissue properties and mineral
composition. Additionally, changes to the lacunar-canalicular architecture
surrounding the mechanosensitive osteocyte have been observed in animal models of
the disease. Recently, it has also been demonstrated that the mechanical
stimulation sensed by osteocytes changes significantly during osteoporosis.
Specifically, it was shown that osteoporotic bone cells experience higher maximum
strains than healthy bone cells after short durations of oestrogen deficiency.
However, in long-term oestrogen deficiency there was no significant difference
between bone cells in healthy and normal bone. The mechanisms by which these
changes arise are unknown. In this study, we test the hypothesis that complex
changes in tissue composition and lacunar-canalicular architecture during
osteoporosis alter the mechanical stimulation of the osteocyte. The objective of
this research is to employ computational methods to investigate the relationship
between changes in bone tissue composition and microstructure and the mechanical
stimulation of osteocytes during osteoporosis. By simulating physiological
loading, it was observed that an initial decrease in tissue stiffness (of
0.425GPa) and mineral content (of 0.66wt% Ca) relative to controls could explain
the mechanical stimulation observed at the early stages of oestrogen deficiency
(5 weeks post-OVX) during in situ bone cell loading in an oestrogen-deficient rat
model of post-menopausal osteoporosis (Verbruggen et al., 2015). Moreover, it was
found that a later increase in stiffness (of 1.175GPa) and mineral content (of
1.64wt% Ca) during long-term osteoporosis (34 weeks post-OVX), could explain the
mechanical stimuli previously observed at a later time point due to the
progression of osteoporosis. Furthermore, changes in canalicular tortuosity
arising during osteoporosis were shown to result in increased osteogenic strain
stimulation, though to a lesser extent than has been observed experimentally. The
findings of this study indicate that changes in the extracellular environment
during osteoporosis, arising from altered mineralisation and lacunar-canalicular
architecture, lead to altered mechanical stimulation of osteocytes, and provide
an enhanced understanding of changes in bone mechanobiology during osteoporosis.
PMID- 27203270
TI - Taming Lanthanide-Centered Upconversion at the Molecular Level.
AB - Considered at the beginning of the 21th century as being incompatible with the
presence of closely bound high-energy oscillators, lanthanide-centered
superexcitation, which is the raising of an already excited electron to an even
higher level by excited-state energy absorption, is therefore a very active topic
strictly limited to the statistical doping of low-phonon bulk solids and
nanoparticles. We show here that molecular lanthanide-containing coordination
complexes may be judiciously tuned to overcome these limitations and to induce
near-infrared (NIR)-to-visible (VIS)-light upconversion via the successive
absorption of two low-energy photons using linear-optical responses. Whereas
single-ion-centered excited-state absorption mechanisms remain difficult to
implement in lanthanide complexes, the skillful design of intramolecular
intermetallic energy-transfer processes operating in multimetallic architectures
is at the origin of the recent programming of erbium-centered molecular
upconversion.
PMID- 27203271
TI - Symptom Clusters in Adults With Chronic Atrial Fibrillation.
AB - BACKGROUND: Symptom clusters have not previously been explored among individuals
with atrial fibrillation of any type. OBJECTIVE: The purpose of this study is to
determine the number of symptom clusters present among adults with chronic atrial
fibrillation and to explore sociodemographic and clinical factors potentially
associated with cluster membership. METHODS: This was a cross-sectional secondary
data analysis of 335 Australian community-dwelling adults with chronic (recurrent
paroxysmal, persistent, or permanent) atrial fibrillation. We used self-reported
symptoms and agglomerative hierarchical cluster analysis to determine the number
and content of symptom clusters present. RESULTS: There were slightly more male
(52%) than female participants, with a mean (SD) age of 72 (11.25) years. Three
symptom clusters were evident, including a vagal cluster (nausea and
diaphoresis), a tired cluster (fatigue/lethargy, weakness, syncope/dizziness, and
dyspnea/breathlessness), and a heart cluster (chest pain/discomfort and
palpitations/fluttering). We compared patient characteristics among those with
all the symptoms in the cluster, those with some of the symptoms in the cluster,
and those with none of the symptoms in the cluster. The only statistically
significant differences were in age, gender, and the use of antiarrhythmic
medications for the heart cluster. Women were more likely to have the heart
symptom cluster than men were. Individuals with all of the symptoms in the heart
cluster were younger (69.6 vs 73.7 years; P = .029) than those with none of the
symptoms in the heart cluster and were more likely to be on antiarrhythmic
medications. CONCLUSION: Three unique atrial fibrillation symptom clusters were
identified in this study population.
PMID- 27203272
TI - A Multicenter Trial of a Shared Decision Support Intervention for Patients and
Their Caregivers Offered Destination Therapy for Advanced Heart Failure: DECIDE
LVAD: Rationale, Design, and Pilot Data.
AB - BACKGROUND: Shared decision making is important to ensure that patients receive
therapies aligned with their goals and values. Based upon a detailed needs
assessment with diverse stakeholders, pamphlet and video decision aids for
destination therapy left ventricular assist devices (DT LVAD) were developed to
help patients and their caregivers think through, forecast, and deliberate their
options. These decision aids are the foundation of the Multicenter Trial of a
Shared Decision Support Intervention for Patients and their Caregivers Offered
Destination Therapy for End-Stage Heart Failure (DECIDE-LVAD) study, a
multicenter, randomized trial aimed at understanding the effectiveness and
implementation of a shared decision support intervention for patients considering
DT LVAD. METHODS/DESIGN: A stepped-wedge randomized controlled trial was
designed, guided by the RE-AIM framework and modeled after an effectiveness
implementation hybrid type II design. Six DT LVAD programs from across the United
States will participate. Primary outcomes include knowledge and values-treatment
concordance. Patients with advanced heart failure who are being considered for DT
LVAD and their caregivers are eligible with a target enrollment of 168 dyads.
From August 2014 to January 2015, an acceptability and feasibility pilot study
was performed, which clarified opportunities and challenges around decision
support for DT LVAD and resulted in significant modifications to the DECIDE-LVAD
study. DISCUSSION: Study findings will provide a foundation for implementing
decision support interventions, including decision aids, with patients who have
chronic progressive illness facing end-of-life decisions involving invasive,
preference-sensitive therapy options.
PMID- 27203273
TI - Factors Associated With 7-Day Rehospitalization After Heart Failure Admission.
AB - BACKGROUND: Rehospitalizations within 7 days after discharge may reflect the
quality of hospital care. OBJECTIVE: We examined factors associated with 7-day
readmissions after discharge for heart failure (HF). METHODS: Using a matched
pair case-control design, we examined health records for sociodemographic,
clinical, and health system factors for patients with a primary diagnosis of HF
(ICD-10 I50) discharged alive from all acute care hospitals in Calgary, Alberta,
from 2004 to 2012. Logistic regression was used to identify variables associated
with 7-day all-cause readmission. RESULTS: We included 382 patients, or 191 in
matched pairs, with 41% of readmissions due to HF. Frailty (adjusted odds ratio
[aOR], 2.30; 95% confidence interval [CI], 1.41-3.76) and attending physician as
specialist (aOR, 2.10; 95% CI, 1.32-3.42) were associated with increased
likelihood of readmission. Reduced likelihood of readmission was associated with
documented instructions for follow-up with a family physician within 1 week of
discharge (aOR, 0.56; 95% CI, 0.36-0.88). All 3 factors were easily abstracted
from all patient records, including frailty, which was defined as all 3 of age
older than 75 years, 3 or more comorbid conditions, and requiring assistance with
activities of daily living. CONCLUSION: Very early readmission to hospital after
HF admission is associated with 3 factors that may be easily identified in
patient records.
PMID- 27203274
TI - A Systematic Review of Tests Assessing Stroke Knowledge.
AB - BACKGROUND: Accurate assessment of stroke knowledge (SK) is fundamental to the
successful understanding of, monitoring of, and intervening to improve the SK of
patients and the public. PURPOSE: The purpose of this study is to perform a
systematic review of the existing SK tests and appraise their conceptual basis,
feasibility, and psychometric properties. We conducted 2-step searching of
MEDLINE, CINAHL, PsycINFO, and Scopus electronic databases from January 1, 2000,
to December 31, 2014, to identify relevant SK tests for the appraisal. RESULTS:
Our study found 59 SK tests, out of a total of 93 articles, with full content
available that had been referred to in the published literature. Ten of them had
been used in more than 1 study, and 2 (the Stroke Knowledge Test and the Stroke
Action Test) of them have had at least 1 of their psychometric properties
validated. Only 1 test (the Stroke Knowledge Test) was developed using rigorous
methodology, covers a wide range of concepts, and met all feasibility criteria;
however, its limitations include no articulated conceptual basis, inadequate
internal consistency reliability (alpha = .65), and lack of some validated
psychometric properties. CONCLUSIONS: Our study revealed that current available
tools are not sufficiently able to accurately and reliably assess SK to promote
stroke prevention and management. CLINICAL IMPLICATIONS: This study highlights
the attention of applying current SK tests and need for revising existing tests
or developing a new test.
PMID- 27203276
TI - Prevention of Thumb Web Space Contracture With Multiplanar External Fixation.
AB - Thumb web space contracture following hand trauma can be disabling with numerous
reconstructive procedures existing to correct the resultant deformity. Following
marked soft tissue injury to the hand we utilized the Stryker Hoffmann II Micro
External Fixator System to link the first and second metacarpals by a multiplanar
system using 1.6 or 2.0 mm self-drilling half-pins and 3 mm carbon fiber
connecting rods. This facilitated placement of the thumb in maximal palmar
abduction as well as allowed adjustment of thumb position throughout the
postoperative period. This technique was performed on 5 patients. Two patients
were treated with a first web space external fixator for table saw injuries to
the radial aspect of the hand. An additional 2 patients were treated with a first
web space external fixator following metacarpophalangeal joint capsular release
in the setting of thermal burns. A fifth patient underwent second ray amputation,
trapeziectomy and trapezoidectomy for squamous cell carcinoma with subsequent
stabilization with the external fixator. The external fixator was left in place
until soft tissues were healed (average 5.5 wk). The patients were allowed to
mobilize their hand in as much as the external fixator allowed, and no device
associated complications were noted. Thumb web space was preserved with passive
and supple thumb circumduction and web space abduction/adduction in all patients
at an average follow-up of 5 months. The average Quick Dash Score was 35+/-5 and
the average Modern Activity Subjective Survey of 2007 was 30+/-8.
PMID- 27203275
TI - Sex-Specific Effects of Organophosphate Diazinon on the Gut Microbiome and Its
Metabolic Functions.
AB - BACKGROUND: There is growing recognition of the significance of the gut
microbiome to human health, and the association between a perturbed gut
microbiome with human diseases has been established. Previous studies also show
the role of environmental toxicants in perturbing the gut microbiome and its
metabolic functions. The wide agricultural use of diazinon, an organophosphate
insecticide, has raised serious environmental health concerns since it is a
potent neurotoxicant. With studies demonstrating the presence of a microbiome-gut
brain axis, it is possible that gut microbiome perturbation may also contribute
to diazinon toxicity. OBJECTIVES: We investigated the impact of diazinon exposure
on the gut microbiome composition and its metabolic functions in C57BL/6 mice.
METHODS: We used a combination of 16S rRNA gene sequencing, metagenomics
sequencing, and mass spectrometry-based metabolomics profiling in a mouse model
to examine the functional impact of diazinon on the gut microbiome. RESULTS: 16S
rRNA gene sequencing revealed that diazinon exposure significantly perturbed the
gut microbiome, and metagenomic sequencing found that diazinon exposure altered
the functional metagenome. Moreover, metabolomics profiling revealed an altered
metabolic profile arising from exposure. Of particular significance, these
changes were more pronounced for male mice than for female mice. CONCLUSIONS:
Diazinon exposure perturbed the gut microbiome community structure, functional
metagenome, and associated metabolic profiles in a sex-specific manner. These
findings may provide novel insights regarding perturbations of the gut microbiome
and its functions as a potential new mechanism contributing to diazinon
neurotoxicity and, in particular, its sex-selective effects. Citation: Gao B,
Bian X, Mahbub R, Lu K. 2017. Sex-specific effects of organophosphate diazinon on
the gut microbiome and its metabolic functions. Environ Health Perspect 125:198
206; http://dx.doi.org/10.1289/EHP202.
PMID- 27203277
TI - A Chemical Approach To Break the Planar Configuration of Ag Nanocubes into
Tunable Two-Dimensional Metasurfaces.
AB - Current plasmonic metasurfaces of nanocubes are limited to planar configurations,
restricting the ability to create tailored local electromagnetic fields. Here, we
report a new chemical strategy to achieve tunable metasurfaces with nonplanar
nanocube orientations, creating novel lattice-dependent field localization
patterns. We manipulate the interfacial behaviors of Ag nanocubes by controlling
the ratio of hydrophilic/hydrophobic molecules added in a binary thiol mixture
during the surface functionalization step. The nanocube orientation at an
oil/water interface can consequently be continuously tuned from planar to tilted
and standing configurations, leading to the organization of Ag nanocubes into
three unique large-area metacrystals, including square close-packed, linear, and
hexagonal lattices. In particular, the linear and hexagonal metacrystals are
unusual open lattices comprising nonplanar nanocubes, creating unique local
electromagnetic field distribution patterns. Large-area "hot hexagons" with
significant delocalization of hot spots form in the hexagonal metacrystal. With a
lowest packing density of 24%, the hexagonal metacrystal generates nearly 350
fold stronger surface-enhanced Raman scattering as compared to the other denser
packing metacrystals, demonstrating the importance of achieving control over the
geometrical and spatial orientation of the nanocubes in the metacrystals.
PMID- 27203278
TI - Lurasidone: The 2016 update on the pharmacology, efficacy and safety profile.
AB - The aim of this paper was to review the up-to-date evidence base on pharmacology
and clinical properties of lurasidone. Lurasidone is an atypical antipsychotic,
approved by the US Food and Drug Administration (FDA) for the treatment of
schizophrenia and bipolar depression. Lurasidone exhibits both an antipsychotic
and antidepressant action. Based on its pharmacodynamics profile, it is believed
that the drug's clinical action is mediated mainly through the D2, 5-HT2A and 5
HT7 receptors inhibition. In patients with schizophrenia the recommended dose
range is 40-80mg/day. In bipolar depression broader dosage ranges (20-120mg/day)
were found to be effective. In terms of side effects, higher rates of akathisia,
parkinsonism and hyperprolactinemia were observed in individuals receiving
lurasidone (as compared to patients treated with other atypical antipsychotics).
On the other hand, treatment with lurasidone yields relatively lower risk for
developing sedation or overweight/obesity.
PMID- 27203279
TI - Which Anesthesia Regimen Is Best to Reduce Morbidity and Mortality in Lung
Surgery?: A Multicenter Randomized Controlled Trial.
AB - BACKGROUND: One-lung ventilation during thoracic surgery is associated with
hypoxia-reoxygenation injury in the deflated and subsequently reventilated lung.
Numerous studies have reported volatile anesthesia-induced attenuation of
inflammatory responses in such scenarios. If the effect also extends to clinical
outcome is yet undetermined. We hypothesized that volatile anesthesia is superior
to intravenous anesthesia regarding postoperative complications. METHODS: Five
centers in Switzerland participated in the randomized controlled trial. Patients
scheduled for lung surgery with one-lung ventilation were randomly assigned to
one of two parallel arms to receive either propofol or desflurane as general
anesthetic. Patients and surgeons were blinded to group allocation. Time to
occurrence of the first major complication according to the Clavien-Dindo score
was defined as primary (during hospitalization) or secondary (6-month follow-up)
endpoint. Cox regression models were used with adjustment for prestratification
variables and age. RESULTS: Of 767 screened patients, 460 were randomized and
analyzed (n = 230 for each arm). Demographics, disease and intraoperative
characteristics were comparable in both groups. Incidence of major complications
during hospitalization was 16.5% in the propofol and 13.0% in the desflurane
groups (hazard ratio for desflurane vs. propofol, 0.75; 95% CI, 0.46 to 1.22; P =
0.24). Incidence of major complications within 6 months from surgery was 40.4% in
the propofol and 39.6% in the desflurane groups (hazard ratio for desflurane vs.
propofol, 0.95; 95% CI, 0.71 to 1.28; P = 0.71). CONCLUSIONS: This is the first
multicenter randomized controlled trial addressing the effect of volatile versus
intravenous anesthetics on major complications after lung surgery. No difference
between the two anesthesia regimens was evident.
PMID- 27203283
TI - Disrupting Dimerization Translocates Soluble Epoxide Hydrolase to Peroxisomes.
AB - The epoxyeicosatrienoic acid (EET) neutralizing enzyme soluble epoxide hydrolase
(sEH) is a neuronal enzyme, which has been localized in both the cytosol and
peroxisomes. The molecular basis for its dual localization remains unclear as sEH
contains a functional peroxisomal targeting sequence (PTS). Recently, a missense
polymorphism was identified in human sEH (R287Q) that enhances its peroxisomal
localization. This same polymorphism has also been shown to generate weaker sEH
homo-dimers. Taken together, these observations suggest that dimerization may
mask the sEH PTS and prevent peroxisome translocation. In the current study, we
test the hypothesis that dimerization is a key regulator of sEH subcellular
localization. Specifically, we altered the dimerization state of sEH by
introducing substitutions in amino acids responsible for the dimer-stabilizing
salt-bridge. Green Fluorescent Protein (GFP) fusions of each of mutants were co
transfected into mouse primary cultured cortical neurons together with a PTS
linked red fluorescent protein to constitutively label peroxisomes. Labeled
neurons were analyzed using confocal microscopy and co-localization of sEH with
peroxisomes was quantified using Pearson's correlation coefficient. We find that
dimer-competent sEH constructs preferentially localize to the cytosol, whereas
constructs with weakened or disrupted dimerization were preferentially targeted
to peroxisomes. We conclude that the sEH dimerization status is a key regulator
of its peroxisomal localization.
PMID- 27203284
TI - Behavioral Perceptions of Oakland University Female College Students towards
Human Papillomavirus Vaccination.
AB - Human Papillomavirus (HPV) vaccination decreases the risk for cervical cancer.
However, the uptake of HPV vaccine remains low when compared with other
recommended vaccines. This study evaluates the knowledge and attitudes towards
HPV infection and vaccination, and the readiness for the uptake of HPV vaccine
amongst female students attending Oakland University (OU) in Michigan, United
States. This is a cross-sectional study targeting a randomized sample of a 1000
female OU students using an online questionnaire. The data were statistically
analyzed using SPSS software. A total of 192 female students, with the mean age
of 24 years completed the survey. The majority of participants had previous
sexual experience with occasional use of contraceptives (78.1%), were non-smokers
(92.7%), and non-alcohol drinkers (54.2%). The participants had a mean knowledge
score of 53.0% with a standard error of 2.3% translating to a moderately informed
population. The majority agreed that HPV is life threatening (79%), the vaccine
prevents cervical cancer (62%), and that side effects would not deter them from
vaccination (63%). Although two thirds (67%) believed that, based on sexual
practices in the United States, female college students in Michigan have a higher
chance of contracting HPV, about 50% did not believe they themselves were at
risk. Higher knowledge correlated with increased recommendation for the vaccine
(correlation-factor 0.20, p = 0.005). Results suggested that the best predictor
for improvement of vaccination was the awareness level and health education. This
indicates a need for an educational intervention to raise awareness, increase HPV
vaccine uptake, and decrease the incidence of cervical cancer.
PMID- 27203285
TI - Fluctuations in Blood Marginal Zone B-Cell Frequencies May Reflect Migratory
Patterns Associated with HIV-1 Disease Progression Status.
AB - We have previously shown that overexpression of BLyS/BAFF was associated with
increased relative frequencies of innate "precursor" marginal zone (MZ)-like B
cells in the blood of HIV-1-infected rapid and classic progressors. However,
along with relatively normal BLyS/BAFF expression levels, these cells remain
unaltered in elite-controllers (EC), rather, percentages of more mature MZ-like B
cells are decreased in the blood of these individuals. Fluctuations in
frequencies of blood MZ-like B-cell populations may reflect migratory patterns
associated with disease progression status, suggesting an important role for
these cells in HIV-1 pathogenesis. We have therefore longitudinally measured
plasma levels of B-tropic chemokines by ELISA-based technology as well as their
ligands by flow-cytometry on blood B-cell populations of HIV-1-infected
individuals with different rates of disease progression and uninfected controls.
Migration potential of B-cell populations from these individuals were determined
by chemotaxis assays. We found important modulations of CXCL13-CXCR5, CXCL12
CXCR4/CXCR7, CCL20-CCR6 and CCL25-CCR9 chemokine-axes and increased cell
migration patterns in HIV progressors. Interestingly, frequencies of CCR6
expressing cells were significantly elevated within the precursor MZ-like
population, consistent with increased migration in response to CCL20. Although we
found little modulation of chemokine-axes in EC, cell migration was greater than
that observed for uninfected controls, especially for MZ-like B-cells. Overall
the immune response against HIV-1 may involve recruitment of MZ-like B-cells to
peripheral sites. Moreover, our findings suggest that "regulated" attraction of
these cells in a preserved BLyS/BAFF non-inflammatory environment, such as
encountered in EC could be beneficial to the battle and even control of HIV.
PMID- 27203286
TI - Easily Accessible Rare-Earth-Containing Phosphonium Room-Temperature Ionic
Liquids: EXAFS, Luminescence, and Magnetic Properties.
AB - A range of liquid rare earth chlorometallate complexes with the alkyl-phosphonium
cation, [P666 14](+), has been synthesized and characterized. EXAFS confirmed the
predominant liquid-state speciation of the [LnCl6](3-) ion in the series with Ln
= Nd, Eu, Dy. The crystal structure of the shorter-alkyl-chain cation analogue
[P4444](+) has been determined and exhibits a very large unit cell. The
luminescence properties, with visible-light emissions of the liquid Tb, Eu, Pr,
and Sm and the NIR emissions for the Nd and Er compounds, were determined. The
effective magnetic moments were measured and fitted for the Nd, Tb, Ho, Dy, Gd,
and Er samples.
PMID- 27203289
TI - The Author File: Stefan Florian.
PMID- 27203287
TI - Normative reference values of thoracic aortic diameter in American College of
Radiology Imaging Network (ACRIN 6654) arm of National Lung Screening Trial.
AB - PURPOSE: This study aims to establish normative reference values for thoracic
aortic diameter (AD) in participants in the National Lung Screening Trial.
METHODS: Thoracic AD on 322 prevalence computed tomography was recorded at the
sinotubular junction, mid-ascending, transverse arch, mid-descending, and
diaphragmatic hiatus. RESULTS: Mean AD (cm) and upper limits of normal for men
and women were recorded for at each location. Smoking did not correlate with AD.
Age, gender, and body surface area (BSA) were the most significant factors.
CONCLUSIONS: Thoracic AD reference values are reported. They do not correlate
with smoking, but they did for age, gender, and BSA.
PMID- 27203288
TI - The current utility of ultrasound in the diagnosis of acute appendicitis.
AB - The purpose of this study is to evaluate the current performance of ultrasound in
the diagnosis of acute appendicitis. Retrospectively, patients who presented to a
single institution between 2011 and 2012 were included. Diagnostic accuracy was
calculated, with surgery considered gold-standard. Our data demonstrates that US
relative to surgery-confirmed appendicitis has a sensitivity and specificity of
48.4% and 97.9%, respectively. The diagnostic accuracy was further increased when
there was a low pre-test probability, with a NPV of up to 96.6%. Ultrasound has a
strong PPV in the diagnosis of acute appendicitis, and in equivocal cases, the
NPV is reliable.
PMID- 27203290
TI - Optimization of a model of out-of-hospital antibiotic therapy (OPAT) in a Belgian
university hospital resulting in a proposal for national implementation.
AB - OBJECTIVES: Some infections require prolonged parenteral antimicrobial therapy,
which can be continued in an outpatient setting. The Ghent University Hospital
has 15 years of experience with Outpatient Parenteral Antimicrobial Therapy
(OPAT) in the home setting of the patient. METHODS: Multidisciplinary critical
approach through identification of areas for improvement with the existing OPAT
process within the Ghent University Hospital. Existing literature and guidelines
were used as references. An improved model is proposed for implementation.
RESULTS: Several challenges and barriers were identified, including regulatory
obstacles for OPAT in Belgium, such as lack of uniformity in ambulatory
reimbursement of parenteral antimicrobials. There is no financial incentive for
the patient with OPAT, as costs for the patient of outpatient therapy can be
higher as compared with hospitalization. Other barriers include delayed approval
of the certificate for reimbursement, low availability of medicines in the
community pharmacies and limited knowledge of the medical devices for
administration in ambulatory setting. All critical steps in the revised OPAT
program are summarized in a flowchart with a checklist for all stakeholders.
Firstly, a list with specific criteria to include patients in an OPAT program is
provided. Secondly, the Multidisciplinary Infection Team received a formal
mandate to review all eligible OPAT patients. In order to select the most
appropriate catheter, a decision tree was developed and standardized packages
with medical devices were developed. Thirdly, patients receive oral and written
information about the treatment with practical and financial implications.
Fourthly, information is provided toward the general practitioners, community
pharmacist and home care nurse. CONCLUSION: Standardization of the OPAT program
aims at improving quality and safety of intravenous antimicrobial therapy in the
home setting.
PMID- 27203291
TI - Pepluane and Paraliane Diterpenoids from Euphorbia peplus with Potential Anti
inflammatory Activity.
AB - Twelve new diterpenoids based on two rare skeletal types, namely, paralianones A
D (1-4) and pepluanols A-H (5-12), along with five known compounds, were isolated
from an acetone extract of Euphorbia peplus. Their structures were proposed based
on 1D and 2D NMR spectroscopic data analysis. These diterpenoids were evaluated
for potential anti-inflammatory activity in a lipopolysaccharide-stimulated mouse
macrophage cellular model. Compounds 3, 4, 11, 13, and 16 displayed moderate
inhibitory effects on NO inhibition, with IC50 values ranging from 29.9 to 38.3
MUM.
PMID- 27203292
TI - Isotopic Evidence for Reductive Immobilization of Uranium Across a Roll-Front
Mineral Deposit.
AB - We use uranium (U) isotope ratios to detect and quantify the extent of natural U
reduction in groundwater across a roll front redox gradient. Our study was
conducted at the Smith Ranch-Highland in situ recovery (ISR) U mine in eastern
Wyoming, USA, where economic U deposits occur in the Paleocene Fort Union
formation. To evaluate the fate of aqueous U in and adjacent to the ore body, we
investigated the chemical composition and isotope ratios of groundwater samples
from the roll-front type ore body and surrounding monitoring wells of a
previously mined area. The (238)U/(235)U of groundwater varies by approximately
30/00 and is correlated with U concentrations. Fluid samples down-gradient of the
ore zone are the most depleted in (238)U and have the lowest U concentrations.
Activity ratios of (234)U/(238)U are ~5.5 up-gradient of the ore zone, ~1.0 in
the ore zone, and between 2.3 and 3.7 in the down-gradient monitoring wells. High
precision measurements of (234)U/(238)U and (238)U/(235)U allow for development
of a conceptual model that evaluates both the migration of U from the ore body
and the extent of natural attenuation due to reduction. We find that the
premining migration of U down-gradient of the delineated ore body is minimal
along eight transects due to reduction in or adjacent to the ore body, whereas
two other transects show little or no sign of reduction in the down-gradient
region. These results suggest that characterization of U isotopic ratios at the
mine planning stage, in conjunction with routine geochemical analyses, can be
used to identify where more or less postmining remediation will be necessary.
PMID- 27203295
TI - Estimation of the composition of intermetallic compounds in LiCl-KCl molten salt
by cyclic voltammetry.
AB - In this work, the compositions of Ce-Al, Er-Al and La-Bi intermetallic compounds
were estimated by the cyclic voltammetry (CV) technique. At first, CV
measurements were carried out at different reverse potentials to study the co
reduction processes of Ce-Al, Er-Al and La-Bi systems. The CV curves obtained
were then re-plotted with the current as a function of time, and the coulomb
number of each peak was calculated. By comparing the coulomb number of the
related peaks, the compositions of the Ce-Al, Er-Al and La-Bi intermetallic
compounds formed in the co-reduction process could be estimated. The results
showed that Al11Ce3, Al3Ce, Al2Ce and AlCe could be formed by the co-reduction of
Ce(iii) and Al(iii). For the co-reduction of Er(iii) and Al(iii), Al3Er2, Al2Er
and AlEr were formed. In a La(iii) and Bi(iii) co-existing system in LiCl-KCl
melts, LaBi2, LaBi and Li3Bi were the major products as a result of co-reduction.
PMID- 27203293
TI - Implementing Metal-to-Ligand Charge Transfer in Organic Semiconductor for
Improved Visible-Near-Infrared Photocatalysis.
AB - The coordination of organic semiconductors with metal cations can induce metal-to
ligand charge transfer, which broadens light absorption to cover the visible-near
infrared (vis-NIR) spectrum. As a proof-of-concept demonstration, the g-C3 N4
based complex exhibits dramatically enhanced photocatalytic H2 production with
excellent durability under vis-NIR irradiation.
PMID- 27203294
TI - The early component of middle latency auditory-evoked potentials in the process
of deviance detection.
AB - The aim of the present study was to investigate both the encoding mechanism and
the process of deviance detection when deviant stimuli were presented in various
patterns in an environment featuring repetitive sounds. In adults with normal
hearing, middle latency responses were recorded within an oddball paradigm
containing complex tones or speech sounds, wherein deviant stimuli featured
different change patterns. For both complex tones and speech sounds, the Na and
Pa components of middle latency responses showed an increase in the mean
amplitude and a reduction in latency when comparing rare deviant stimuli with
repetitive standard stimuli in a stimulation block. However, deviant stimuli with
a rising frequency induced signals with smaller amplitudes than other deviant
stimuli. The present findings indicate that deviant stimuli with different change
patterns induce differing responses in the primary auditory cortex. In addition,
the Pa components of speech sounds typically feature a longer latency and similar
mean amplitude compared with complex tones, which suggests that the auditory
system requires more complex processing for the analysis of speech sounds before
processing in the auditory cortex.
PMID- 27203296
TI - Comment on 'The influence of antioxidant THPC on the properties of polymer gel
dosimeter'.
PMID- 27203297
TI - Often times, we should look at IVF more simply.
PMID- 27203298
TI - Elective single embryo transfer: Is frozen better than fresh?
AB - OBJECTIVE: Single embryo transfer (SET) has been recommended to avoid multiple
births following assisted reproductive technology (ART) procedures. Many studies
have shown that frozen embryo transfer may yield better pregnancy rates than
fresh embryo transfer. This study looked into pregnancy rates following fresh
versus frozen single embryo transfer procedures in age-matched patients. METHODS:
This retrospective case control study was carried out at a private clinic
[NewLife Fertility Clinic, ON, Canada]. Patient groups included infertile women
treated with IVF/ICSI and elective single embryo transfer (eSET) given either
fresh or frozen embryos. Cycle outcomes were compared between patient groups
matched by age. The primary endpoints were positive testing for beta-hCG and
viable ongoing pregnancy. The secondary endpoints were live birth and miscarriage
rates. RESULTS: A total of 583 eSET cycles (212 fresh transfer cycles and 371
frozen transfer cycles) were performed. Significantly higher pregnancy and live
birth rates were observed among patients aged <= 39 years given frozen embryos.
CONCLUSION: Frozen single embryo transfer was associated with higher pregnancy
and live birth rates when compared to fresh single embryo transfer.
PMID- 27203299
TI - Strategies for the management of OHSS: Results from freezing-all cycles.
AB - OBJECTIVE: To compare the use of GnRH agonist (GnRHa) or hCG trigger in potential
OHSS patients undergoing freeze-all programs. We also compared the clinical
outcomes when fresh versus freeze-thawed embryo transfers were performed in
cycles with a high number of retrieved oocytes. METHODS: The study included
potential OHSS patients who received GnRHa (n=74) or hCG (n=49) trigger. The
protocols were compared with respect to the clinical outcomes. We also compared
the clinical outcomes of cycles in which hCG trigger was used and more than 20
MII oocytes were retrieved when: fresh embryo transfer protocol (n=153) or freeze
all protocol (n=123) were performed. RESULTS: A decreased serum estradiol level,
a decreased number of retrieved oocytes, an increased MII retrieved rate, and
decreased fertilization rate was observed in the hCG when compared with the GnRHa
group. No significant differences were noted concerning clinical outcomes. When
fresh cycles were compared with frozen-thawed cycles, the estradiol serum level
and the number of cryopreserved embryos were higher in the frozen-thawed cycles.
The clinical pregnancy rate was higher among freeze-all cycles, as well as the
implantation and cumulative pregnancy rates, when compared with fresh embryo
transfer cycles. CONCLUSION: The use of GnRHa trigger may be a good alternative
to prevent the OHSS in patients presenting an extreme ovarian response to COS,
leading to similar clinical outcomes, when compared with the traditional hCG
trigger. Moreover, our findings demonstrated that the strategy of freezing-all
embryos not only decreases the risk of OHSS but also leads to a better pregnancy
rate.
PMID- 27203300
TI - Low progesterone levels and ovulation by ultrasound assessment in infertile
patients.
AB - OBJECTIVE: To assess the correlation between low levels of progesterone and
ovulation by ultrasound monitoring in infertile patients with regular menstrual
cycles. METHODS: Case-control study. The sample consisted of 302 women aged 20-40
years, treated from 2000 to 2014 in the Human Reproduction Laboratory of the
University Hospital of the Federal University of Goias and in the Department of
Gynecology and Obstetrics in Goiania, Goias. Data collection was performed by
analysis of physical records (Medical Records and Health Information Services)
and electronic ones (Sisfert(c), 2004) after approval by a Human Research Ethics
Committee. Patients were classified according to their ovulatory status,
evaluated by progesterone levels and ultrasound monitoring and divided into two
groups: Group I (anovulatory cycle patients, n=74) and Group II (ovulatory
patients, n=228). In both groups associations were made between the percentage of
patients with normal progesterone (>= 10 ng/ml) and percentage of patients with
low progesterone (5.65 - 9.9 ng/ml). The groups were paired for comparisons
related to age, body mass index, duration of infertility, follicle stimulating
hormone (FSH), thyroid stimulating hormone (TSH), luteinizing hormone (LH) and
estradiol (E2). RESULTS: There was a significant association between the
percentage of ovulation by ultrasound monitoring and the percentages of patients
who presented low levels of progesterone. CONCLUSION: The study suggests that low
serum levels of progesterone are associated with low percentage of ovulation in
infertile women with regular menstrual cycles and women with unexplained
infertility.
PMID- 27203301
TI - Assessment of sperm DNA in patients submitted the assisted reproduction
technology procedures.
AB - OBJECTIVE: This study aimed to produce data on sperm quality while maintaining
the integrity of sperm DNA samples taken from patients submitted to in vitro
fertilization (IVF) procedures at our center, and determine whether increased
levels of histones were associated with sperm DNA damage and decreased
fertilization, cleavage, and pregnancy rates. Such findings might shed light on
the physiology and outcomes of pregnancy. METHODS: Semen samples from 27 patients
divided into two groups were analyzed. The case group included individuals
offered IVF; the control group had subjects with normal spermograms. Sperm DNA
structure was assessed through phosphorylated histone H2AX analysis by flow
cytometry. RESULTS: The patients with altered sperm parameters had more histones
in sperm chromatin than the individuals with normal sperm parameters. CONCLUSION:
Results indicated that increased levels of histone in sperm chromatin do not
affect embryo production, but affect the cleavage rate, embryo quality, and might
thus reduce pregnancy rates. The integrity of the paternal genome is of paramount
importance in the initiation and maintenance of a viable pregnancy in patients
treated with assisted reproduction technology procedures. Further studies on
sperm diagnostic tests at a nuclear level might improve the treatment offered to
infertile couples.
PMID- 27203302
TI - A prospective randomized study comparing two commercially available types of
human embryo culture media: G1-PLUSTM/G2-PLUSTM sequential medium (Vitrolife) and
the GL BLASTTM sole medium (Ingamed).
AB - OBJECTIVE: To check the efficacy of two types of commercially available embryo
culture medium: G1-PLUSTM/G2-PLUSTM sequential (Vitrolife, Gothenburg, Sweden)
and GV BLASTTM sole (Ingamed, Maringa, Brazil) with regards to fertilization,
cleavage, blastocyst and pregnancy rates. METHODS: Prospective and randomized
study conducted from March to July 2015, using the medical records of 60 patients
submitted to Intracytoplasmic Sperm Injection techniques (ICSI). Data regarding
the age of patients, together with fertilization, cleavage, blastocyst and
pregnancy rates, were collected and compared in relation to the: G1-PLUSTM/G2
PLUSTM sequential and GV BLASTTM sole mediums. The data were tabulated and
compared using the Pearson's Chi-Square test (95% CI). RESULTS: There was no
significant difference when comparing patients divided into higher and lower
fertility age. No significant statistical difference was noted between the
fertilization rates (P=0.59), cleavage (P=0.91), evolution to blastocyst (P=0.33)
and total pregnancy (P=0.83) when comparing the embryos cultured in the different
media analysed. CONCLUSION: We conclude that the G1-PLUSTM/G2-PLUSTM sequential
and GV BLASTTM sole mediums are equally effective with regards to fertilization,
cleavage, blastocyst development and total pregnancy rates.
PMID- 27203303
TI - The impact of age on blastocyst scoring after single and double embryo transfers.
AB - OBJECTIVE: The aim of the present study was to assess the putative relationship
between patient's age and blastocyst scores, in single (SET) or double (DET)
transfer cycles, that resulted in single or twin pregnancy or non-pregnancy.
Second, we analyzed the effect of maternal age on clinical gestation and
implantation rates after single and double blastocyst transfers. METHODS:
Retrospective analysis of 164 assisted reproduction cycles with embryo transfers.
RESULTS: Data demonstrated that for both, young (<35 years of age) and older
(>=35 years of age) women, trophectoderm (TE) score is the most important
parameter to assess concerning embryo selection. However, inner cell mass (ICM)
also plays an important role on blastocyst selection in the group of older
patients. In addition, our data shows that for young women the transfer of a
single blastocyst results in similar gestational rates as those for DET.
CONCLUSION: We suggest that blastocyst grading for patients aged 35 years or
above shall be performed using a strict grading policy, possibly not of a single
parameter, but TE, ICM and expansion grades together, to choose the "best
combined-score blastocyst". DETs should be considered, particularly after
previous cycles with pregnancy failures.
PMID- 27203304
TI - Stem cells and uterine leiomyomas: What is the evidence?
AB - Uterine leiomyomas, also known as uterine fibroids or uterine myomas, are the
most common benign gynecologic tumors found in women of reproductive age. In
spite of the numerous published studies evaluating the hormonal dependency,
epidemiology, molecular biology, pathology, and genetics of leiomyomas, many
questions remain unanswered. The remodeling of the uterus in response to hormonal
stimuli and its return to a basal state may be related to adult stem/progenitor
cells residing in the endometrial and myometrial layers. Recent published papers
on stem cells and their paracrine interactions with more specialized cell
populations within leiomyomas may help establish the missing link between the
development of treatments designed to stop the growth of leiomyomas and therapies
devised to eliminate them. Therefore, this study aimed to address the current
paradigm regarding the evidence available on the role of stem/progenitor cells in
the pathogenesis of uterine leiomyoma. Only a handful of studies involving humans
have been published to date describing the presence of somatic stem cells (SSCs)
in the myometrium and leiomyomas. No solid conclusion has been established thus
far. Despite the fact that these studies strongly pointed to the vital role human
leiomyoma stem cells might play in initiating the development of myomas, huge
gaps still persist in the literature. Studies to identify putative myometrial and
leiomyoma-specific markers might offer new possibilities for understanding the
origin of these tumors and perhaps help develop new nonsurgical noninvasive
treatments.
PMID- 27203305
TI - Human follicular fluid and effects on reproduction.
AB - Fertility - the ability to produce offspring - is considered a prerequisite for
the development and perpetuation of species. Several factors may positively or
negatively affect one's reproductive capabilities, such as regular exercises and
maintaining a healthy bodyweight, versus aging, obesity, and stress. Follicular
fluid (FF) is a liquid composed primarily of hormones, enzymes, anticoagulants,
electrolytes, reactive oxygen species and antioxidants, which fills the
follicular antrum and acts as an important mediator in the communication between
cells in the antral follicle while bathing and carrying nutrients to the oocyte.
Thus, human FF is a key element to the success of natural fertilization present
in every stage of the conception process, from the communication between gametes
to the development of fully viable embryos, and a vital component in the
occurrence of spontaneous pregnancies. This literature review aimed to describe
the possible effects of human follicular fluid on the natural fertilization
process and to assess its role in follicular growth, oocyte quality, sperm
capacitation, fertilization, and early embryonic development.
PMID- 27203306
TI - Is mesenteric lymphadenitis a cause of ovarian reserve reduction? - Case Report.
AB - Mesenteric lymphadenitis is a clinical condition that affects mostly children and
teenagers. Its symptoms include fever, severe abdominal pain, nausea, and, in
some cases, diarrhea, constipation, and acute abdomen. This paper describes the
case of a 16-year-old patient with mesenteric lymphadenitis submitted to an
exploratory laparoscopy for suppurative lymph nodes that evolved to a drastic
reduction of ovarian reserve. Because of the patients age, she was offered
cryopreservation of her ovarian tissue.
PMID- 27203307
TI - A successful healthy live birth from a female patient with hypogonadotropic
hypogonadism and oocytes with unusually large cytoplasmic inclusions.
AB - This study aimed to report the case of a successful live birth from a woman
having oocytes with abnormally large cytoplasmic inclusions. The patient
described in this case is a 28 year-old woman with hypogonadotropic hypogonadism
(HH) with a history of two previous unsuccessful in vitro fertilization (IVF)
attempts offered an antagonist protocol. Stimulation was performed with human
menopausal gonadotropin 300 IU/day. The intracytoplasmic sperm injection (ICSI)
procedure was performed 4-6 hours after oocyte aspiration for all mature oocytes.
Six oocytes were retrieved, five of which mature (MII). All oocytes had abnormal
cytoplasmic structures. Two were fertilized after ICSI and two top quality
embryos were transferred on Day 2. Our case report suggests that HH patients with
refractile bodies/lipofuscin in their oocytes may not have their pregnancies
negatively affected. While there have been several reports of successful births
from dysmorphic oocytes, no cases of successful pregnancies followed by live
births from young women with HH and oocytes with large cytoplasmic inclusions had
been reported to date.
PMID- 27203308
TI - Corrigendum: Spinal cord injury affects the interplay between visual and
sensorimotor representations of the body.
PMID- 27203309
TI - Experiment Investigating the Connection between Weak Values and Contextuality.
AB - Weak value measurements have recently given rise to a great amount of interest in
both the possibility of measurement amplification and the chance for further
quantum mechanics foundations investigation. In particular, a question emerged
about weak values being proof of the incompatibility between quantum mechanics
and noncontextual hidden variables theories (NCHVTs). A test to provide a
conclusive answer to this question was given by Pusey [Phys. Rev. Lett. 113,
200401 (2014)], where a theorem was derived showing the NCHVT incompatibility
with the observation of anomalous weak values under specific conditions. In this
Letter we realize this proposal, clearly pointing out the connection between weak
values and the contextual nature of quantum mechanics.
PMID- 27203310
TI - Fisher-Symmetric Informationally Complete Measurements for Pure States.
AB - We introduce a new kind of quantum measurement that is defined to be symmetric in
the sense of uniform Fisher information across a set of parameters that uniquely
represent pure quantum states in the neighborhood of a fiducial pure state. The
measurement is locally informationally complete-i.e., it uniquely determines
these parameters, as opposed to distinguishing two arbitrary quantum states-and
it is maximal in the sense of a multiparameter quantum Cramer-Rao bound. For a d
dimensional quantum system, requiring only local informational completeness
allows us to reduce the number of outcomes of the measurement from a minimum
close to but below 4d-3, for the usual notion of global pure-state informational
completeness, to 2d-1.
PMID- 27203311
TI - High-Fidelity Resonator-Induced Phase Gate with Single-Mode Squeezing.
AB - We propose to increase the fidelity of two-qubit resonator-induced phase gates in
circuit QED by the use of narrow-band single-mode squeezing. We show that there
exists an optimal squeezing angle and strength that erases qubit "which-path"
information leaking out of the cavity and thereby minimizes qubit dephasing
during these gates. Our analytical results for the gate fidelity are in excellent
agreement with numerical simulations of a cascaded master equation that takes
into account the dynamics of the source of squeezed radiation. With realistic
parameters, we find that it is possible to realize a controlled-phase gate with a
gate time of 200 ns and average infidelity of 10^{-5}.
PMID- 27203312
TI - Effects of Neutron-Star Dynamic Tides on Gravitational Waveforms within the
Effective-One-Body Approach.
AB - Extracting the unique information on ultradense nuclear matter from the
gravitational waves emitted by merging neutron-star binaries requires robust
theoretical models of the signal. We develop a novel effective-one-body waveform
model that includes, for the first time, dynamic (instead of only adiabatic)
tides of the neutron star as well as the merger signal for neutron-star-black
hole binaries. We demonstrate the importance of the dynamic tides by comparing
our model against new numerical-relativity simulations of nonspinning neutron
star-black-hole binaries spanning more than 24 gravitational-wave cycles, and to
other existing numerical simulations for double neutron-star systems.
Furthermore, we derive an effective description that makes explicit the
dependence of matter effects on two key parameters: tidal deformability and
fundamental oscillation frequency.
PMID- 27203313
TI - Exact Mass-Coupling Relation for the Homogeneous Sine-Gordon Model.
AB - We derive the exact mass-coupling relation of the simplest multiscale quantum
integrable model, i.e., the homogeneous sine-Gordon model with two mass scales.
The relation is obtained by comparing the perturbed conformal field theory
description of the model valid at short distances to the large distance bootstrap
description based on the model's integrability. In particular, we find a
differential equation for the relation by constructing conserved tensor currents,
which satisfy a generalization of the Theta sum rule Ward identity. The mass
coupling relation is written in terms of hypergeometric functions.
PMID- 27203314
TI - Higher Spin Interactions from Conformal Field Theory: The Complete Cubic
Couplings.
AB - In this Letter we provide a complete holographic reconstruction of the cubic
couplings in the minimal bosonic higher spin theory in (d+1)-dimensional anti- de
Sitter space. For this purpose, we also determine the operator-product expansion
coefficients of all single-trace conserved currents in the d-dimensional free
scalar O(N) vector model, and we compute the tree-level three-point Witten
diagram amplitudes for a generic cubic interaction of higher spin gauge fields in
the metriclike formulation.
PMID- 27203316
TI - First Evidence of Shape Coexistence in the ^{78}Ni Region: Intruder 0_{2}^{+}
State in ^{80}Ge.
AB - The N=48 ^{80}Ge nucleus is studied by means of beta-delayed electron-conversion
spectroscopy at ALTO. The radioactive ^{80}Ga beam is produced through the
isotope separation on line photofission technique and collected on a movable tape
for the measurement of gamma and e^{-} emission following beta decay. An electric
monopole E0 transition, which points to a 639(1) keV intruder 0_{2}^{+} state, is
observed for the first time. This new state is lower than the 2_{1}^{+} level in
^{80}Ge, and provides evidence of shape coexistence close to one of the most
neutron-rich doubly magic nuclei discovered so far, ^{78}Ni. This result is
compared with theoretical estimates, helping to explain the role of monopole and
quadrupole forces in the weakening of the N=50 gap at Z=32. The evolution of
intruder 0_{2}^{+} states towards ^{78}Ni is discussed.
PMID- 27203318
TI - Adiabatic Field-Free Alignment of Asymmetric Top Molecules with an Optical
Centrifuge.
AB - We use an optical centrifuge to align asymmetric top SO_{2} molecules by
adiabatically spinning their most polarizable O-O axis. The effective centrifugal
potential in the rotating frame confines the sulfur atoms to the plane of the
laser-induced rotation, leading to the planar molecular alignment that persists
after the molecules are released from the centrifuge. The periodic appearance of
the full three-dimensional alignment, typically observed only with linear and
symmetric top molecules, is also detected. Together with strong in-plane
centrifugal forces, which bend the molecules by up to 10 deg, permanent field
free alignment offers new ways of controlling molecules with laser light.
PMID- 27203317
TI - Isomer Shift and Magnetic Moment of the Long-Lived 1/2^{+} Isomer in
_{30}^{79}Zn_{49}: Signature of Shape Coexistence near ^{78}Ni.
AB - Collinear laser spectroscopy is performed on the _{30}^{79}Zn_{49} isotope at
ISOLDE-CERN. The existence of a long-lived isomer with a few hundred milliseconds
half-life is confirmed, and the nuclear spins and moments of the ground and
isomeric states in ^{79}Zn as well as the isomer shift are measured. From the
observed hyperfine structures, spins I=9/2 and I=1/2 are firmly assigned to the
ground and isomeric states. The magnetic moment MU (^{79}Zn)=-1.1866(10)MU_{N},
confirms the spin-parity 9/2^{+} with a nug_{9/2}^{-1} shell-model configuration,
in excellent agreement with the prediction from large scale shell-model theories.
The magnetic moment MU (^{79m}Zn)=-1.0180(12)MU_{N} supports a positive parity
for the isomer, with a wave function dominated by a 2h-1p neutron excitation
across the N=50 shell gap. The large isomer shift reveals an increase of the
intruder isomer mean square charge radius with respect to that of the ground
state, delta?r_{c}^{2}?^{79,79m}=+0.204(6) fm^{2}, providing first evidence of
shape coexistence.
PMID- 27203319
TI - Visibility of Young's Interference Fringes: Scattered Light from Small Ion
Crystals.
AB - We observe interference in the light scattered from trapped ^{40}Ca^{+} ion
crystals. By varying the intensity of the excitation laser, we study the
influence of elastic and inelastic scattering on the visibility of the fringe
pattern and discriminate its effect from that of the ion temperature and wave
packet localization. In this way we determine the complex degree of coherence and
the mutual coherence of light fields produced by individual atoms. We obtain
interference fringes from crystals consisting of two, three, and four ions in a
harmonic trap. Control of the trapping potential allows for the adjustment of the
interatomic distances and thus the formation of linear arrays of atoms serving as
a regular grating of microscopic scatterers.
PMID- 27203320
TI - Continuous Cold-Atom Inertial Sensor with 1 nrad/sec Rotation Stability.
AB - We report the operation of a cold-atom inertial sensor which continuously
captures the rotation signal. Using a joint interrogation scheme, where we
simultaneously prepare a cold-atom source and operate an atom interferometer
(AI), enables us to eliminate the dead times. We show that such continuous
operation improves the short-term sensitivity of AIs, and demonstrate a rotation
sensitivity of 100 nrad/sec/sqrt[Hz] in a cold-atom gyroscope of 11 cm^{2}
Sagnac area. We also demonstrate a rotation stability of 1 nrad/sec at 10^{4}
sec of integration time, which represents the state of the art for atomic
gyroscopes. The continuous operation of cold-atom inertial sensors will lead to
large area AIs at their full sensitivity potential, determined by the quantum
noise limit.
PMID- 27203321
TI - Optical Resonance Shifts in the Fluorescence of Thermal and Cold Atomic Gases.
AB - We show that the resonance shifts in the fluorescence of a cold gas of rubidium
atoms substantially differ from those of thermal atomic ensembles that obey the
standard continuous medium electrodynamics. The analysis is based on large-scale
microscopic numerical simulations and experimental measurements of the resonance
shifts in a steady-state response in light propagation.
PMID- 27203322
TI - Ultrafast Optimal Sideband Cooling under Non-Markovian Evolution.
AB - A sideband cooling strategy that incorporates (i) the dynamics induced by
structured (non-Markovian) environments in the target and auxiliary systems and
(ii) the optimally time-modulated interaction between them is developed. For the
context of cavity optomechanics, when non-Markovian dynamics are considered in
the target system, ground state cooling is reached at much faster rates and at a
much lower phonon occupation number than previously reported. In contrast to
similar current strategies, ground state cooling is reached here for coupling
strength rates that are experimentally accessible for the state-of-the-art
implementations. After the ultrafast optimal-ground-state-cooling protocol is
accomplished, an additional optimal control strategy is considered to maintain
the phonon number as close as possible to the one obtained in the cooling
procedure. Contrary to the conventional expectation, when non-Markovian dynamics
are considered in the auxiliary system, the efficiency of the cooling protocol is
undermined.
PMID- 27203323
TI - Observation of Geometric Parametric Instability Induced by the Periodic Spatial
Self-Imaging of Multimode Waves.
AB - Spatiotemporal mode coupling in highly multimode physical systems permits new
routes for exploring complex instabilities and forming coherent wave structures.
We present here the first experimental demonstration of multiple geometric
parametric instability sidebands, generated in the frequency domain through
resonant space-time coupling, owing to the natural periodic spatial self-imaging
of a multimode quasi-continuous-wave beam in a standard graded-index multimode
fiber. The input beam was launched in the fiber by means of an amplified
microchip laser emitting sub-ns pulses at 1064 nm. The experimentally observed
frequency spacing among sidebands agrees well with analytical predictions and
numerical simulations. The first-order peaks are located at the considerably
large detuning of 123.5 THz from the pump. These results open the remarkable
possibility to convert a near-infrared laser directly into a broad spectral range
spanning visible and infrared wavelengths, by means of a single resonant
parametric nonlinear effect occurring in the normal dispersion regime. As further
evidence of our strong space-time coupling regime, we observed the striking
effect that all of the different sideband peaks were carried by a well-defined
and stable bell-shaped spatial profile.
PMID- 27203324
TI - Conical Diffraction and Composite Lieb Bosons in Photonic Lattices.
AB - Pseudospin describes how waves are distributed between different "internal"
degrees of freedom or microscopic states, such as polarizations, sublattices, or
layers. Here, we experimentally demonstrate and explain wave dynamics in a
photonic Lieb lattice, which hosts an integer pseudospin s=1 conical
intersection. We study the most striking differences displayed by integer
pseudospin states: pseudospin-dependent conical diffraction and the generation of
higher charged optical vortices.
PMID- 27203325
TI - Chladni Patterns in a Liquid at Microscale.
AB - By means of ultrathin silicon membranes excited in the low ultrasound range, we
show for the first time that it is possible to form two-dimensional Chladni
patterns of microbeads in liquid. Unlike the well-known effect in a gaseous
environment at the macroscale, where gravity effects are generally dominant,
leading particles towards the nodal regions of displacement, we show that the
combined effects of an ultrathin plate excited at low frequency (yielding to
subsonic waves) together with reduced gravity (arising from buoyancy) will
enhance the importance of microstreaming in the Chladni problem. Here, we report
that for micrometric beads larger than the inner streaming layer, the microscale
streaming in the vicinity of the plate tends to gather particles in antinodal
regions of vibrations yielding to patterns in good agreement with the predicted
modes for a liquid-loaded plate. Interestingly, a symmetry breaking phenomenon
together with the streaming can trigger movements of beads departing from one
cluster to another. We show that, for higher modes, this movement can appear as a
collective rotation of the beads in the manner of a "farandole."
PMID- 27203326
TI - Defects at the Nanoscale Impact Contact Line Motion at all Scales.
AB - The contact angle of a liquid drop moving on a real solid surface depends on the
speed and direction of motion of the three-phase contact line. Many experiments
have demonstrated that pinning on surface defects, thermal activation and viscous
dissipation impact contact line dynamics, but so far, efforts have failed to
disentangle the role of each of these dissipation channels. Here, we propose a
unifying multiscale approach that provides a single quantitative framework. We
use this approach to successfully account for the dynamics measured in a classic
dip-coating experiment performed over an unprecedentedly wide range of velocity.
We show that the full contact line dynamics up to the liquid film entrainment
threshold can be parametrized by the size, amplitude and density of nanometer
scale defects. This leads us to reinterpret the contact angle hysteresis as a
dynamical crossover rather than a depinning transition.
PMID- 27203328
TI - Anticorrelated Emission of High Harmonics and Fast Electron Beams From Plasma
Mirrors.
AB - We report for the first time on the anticorrelated emission of high-order
harmonics and energetic electron beams from a solid-density plasma with a sharp
vacuum interface-plasma mirror-driven by an intense ultrashort laser pulse. We
highlight the key role played by the nanoscale structure of the plasma surface
during the interaction by measuring the spatial and spectral properties of
harmonics and electron beams emitted by a plasma mirror. We show that the
nanoscale behavior of the plasma mirror can be controlled by tuning the scale
length of the electron density gradient, which is measured in situ using spatial
domain interferometry.
PMID- 27203327
TI - Tunable High-Intensity Electron Bunch Train Production Based on Nonlinear
Longitudinal Space Charge Oscillation.
AB - High-intensity trains of electron bunches with tunable picosecond spacing are
produced and measured experimentally with the goal of generating terahertz (THz)
radiation. By imposing an initial density modulation on a relativistic electron
beam and controlling the charge density over the beam propagation, density spikes
of several-hundred-ampere peak current in the temporal profile, which are several
times higher than the initial amplitudes, have been observed for the first time.
We also demonstrate that the periodic spacing of the bunch train can be varied
continuously either by tuning launching phase of a radio-frequency gun or by
tuning the compression of a downstream magnetic chicane. Narrow-band coherent THz
radiation from the bunch train was also measured with MUJ-level energies and
tunable central frequency of the spectrum in the range of ~0.5 to 1.6 THz. Our
results pave the way towards generating mJ-level narrow-band coherent THz
radiation and driving high-gradient wakefield-based acceleration.
PMID- 27203329
TI - Internal Transport Barrier Broadening through Subdominant Mode Stabilization in
Reversed Field Pinch Plasmas.
AB - The reversed field pinch (RFP) device RFX-mod features strong internal transport
barriers when the plasma accesses states with a single dominant helicity. Such
transport barriers enclose a hot helical region with high confinement whose
amplitude may vary from a tiny one to an amplitude encompassing an appreciable
fraction of the available volume. The transition from narrow to wide thermal
structures has been ascribed so far to the transport reduction that occurs when
the dominant mode separatrix, which is a preferred location for the onset of
stochastic field lines, disappears. In this Letter we show instead that the
contribution from the separatrix disappearance, by itself, is marginal and the
main role is instead played by the progressive stabilization of secondary modes.
The position and the width of the stochastic boundary encompassing the thermal
structures have been estimated by applying the concept of a 3D quasiseparatrix
layer, developed in solar physics to treat reconnection phenomena without true
separatrices and novel to toroidal laboratory plasmas. Considering the favorable
scaling of secondary modes with the Lundquist number, these results open
promising scenarios for RFP plasmas at temperatures higher than the presently
achieved ones, where lower secondary modes and, consequently, larger thermal
structures are expected. Furthermore, this first application of the
quasiseparatrix layer to a toroidal plasma indicates that such a concept is
ubiquitous in magnetic reconnection, independent of the system geometry under
investigation.
PMID- 27203330
TI - Enhanced Multi-MeV Photon Emission by a Laser-Driven Electron Beam in a Self
Generated Magnetic Field.
AB - We use numerical simulations to demonstrate that a source of collimated multi-MeV
photons with high conversion efficiency can be achieved using an all-optical
single beam setup at an intensity of 5*10^{22} W/cm^{2} that is already within
reach of existing laser facilities. In the studied setup, an unprecedented
quasistatic magnetic field (0.4 MT) is driven in a significantly overdense
plasma, coupling three key aspects of laser-plasma interactions at high
intensities: relativistic transparency, direct laser acceleration, and
synchrotron photon emission. The quasistatic magnetic field enhances the photon
emission process, which has a profound impact on electron dynamics via radiation
reaction and yields tens of TW of directed MeV photons for a PW-class laser.
PMID- 27203331
TI - Collisional Dynamics of Half-Quantum Vortices in a Spinor Bose-Einstein
Condensate.
AB - We present an experimental study on the interaction and dynamics of half-quantum
vortices (HQVs) in an antiferromagnetic spinor Bose-Einstein condensate. By
exploiting the orbit motion of a vortex dipole in a trapped condensate, we
perform a collision experiment of two HQV pairs, and observe that the scattering
motions of the HQVs is consistent with the short-range vortex interaction that
arises from nonsingular magnetized vortex cores. We also investigate the
relaxation dynamics of turbulent condensates containing many HQVs, and
demonstrate that spin wave excitations are generated by the collisional motions
of the HQVs. The short-range vortex interaction and the HQV-magnon coupling
represent two characteristics of the HQV dynamics in the spinor superfluid.
PMID- 27203315
TI - Measurement of Muon Antineutrino Oscillations with an Accelerator-Produced Off
Axis Beam.
AB - T2K reports its first measurements of the parameters governing the disappearance
of nu[over -]_{MU} in an off-axis beam due to flavor change induced by neutrino
oscillations. The quasimonochromatic nu[over -]_{MU} beam, produced with a peak
energy of 0.6 GeV at J-PARC, is observed at the far detector Super-Kamiokande,
295 km away, where the nu[over -]_{MU} survival probability is expected to be
minimal. Using a data set corresponding to 4.01*10^{20} protons on target, 34
fully contained MU-like events were observed. The best-fit oscillation parameters
are sin^{2}(theta[over -]_{23})=0.45 and |Deltam[over -]_{32}^{2}|=2.51*10^{-3}
eV^{2} with 68% confidence intervals of 0.38-0.64 and 2.26-2.80*10^{-3} eV^{2},
respectively. These results are in agreement with existing antineutrino parameter
measurements and also with the nu_{MU} disappearance parameters measured by T2K.
PMID- 27203332
TI - Lattice Dynamics of EuO: Evidence for Giant Spin-Phonon Coupling.
AB - Comprehensive studies of lattice dynamics in the ferromagnetic semiconductor EuO
have been performed by a combination of inelastic x-ray scattering, nuclear
inelastic scattering, and ab initio calculations. A remarkably large broadening
of the transverse acoustic phonons was discovered at temperatures above and below
the Curie temperature T_{C}=69 K. This result indicates a surprisingly strong
momentum-dependent spin-phonon coupling induced by the spin dynamics in EuO.
PMID- 27203333
TI - Anomalous Wien Effects in Supercooled Ionic Liquids.
AB - We have measured conductivity spectra of several supercooled monocationic and
dicationic ionic liquids in the nonlinear regime by applying ac electric fields
with large amplitudes up to about 180 kV/cm. Thereby, higher harmonic ac
currents up to the 7th order were detected. Our results point to the existence of
anomalous Wien effects in supercooled ionic liquids. Most ionic liquids studied
here exhibit a conductivity-viscosity relation, which is close to the predictions
of the Nernst-Einstein and Stokes-Einstein equations, as observed for classical
strong electrolytes like KCl. These "strong" ionic liquids show a much stronger
nonlinearity of the conductivity than classical strong electrolytes. On the other
hand, the conductivity-viscosity relation of the ionic liquid [P_{6,6,6,14}][Cl]
points to ion association effects. This "weak" ionic liquid shows a strength of
the nonlinear effect, which is comparable to classical weak electrolytes.
However, the nonlinearity increases quadratically with the field. We suggest that
a theory for explaining these anomalies will have to go beyond the level of
Coulomb lattice gas models.
PMID- 27203334
TI - Spontaneous Charge Carrier Localization in Extended One-Dimensional Systems.
AB - Charge carrier localization in extended atomic systems has been described
previously as being driven by disorder, point defects, or distortions of the
ionic lattice. Here we show for the first time by means of first-principles
computations that charge carriers can spontaneously localize due to a purely
electronic effect in otherwise perfectly ordered structures. Optimally tuned
range-separated density functional theory and many-body perturbation calculations
within the GW approximation reveal that in trans-polyacetylene and polythiophene
the hole density localizes on a length scale of several nanometers. This is due
to exchange-induced translational symmetry breaking of the charge density.
Ionization potentials, optical absorption peaks, excitonic binding energies, and
the optimally tuned range parameter itself all become independent of polymer
length as it exceeds the critical localization length. Moreover, we find that
lattice disorder and the formation of a polaron result from the charge
localization in contrast to the traditional view that lattice distortions precede
charge localization. Our results can explain experimental findings that polarons
in conjugated polymers form instantaneously after exposure to ultrafast light
pulses.
PMID- 27203335
TI - Double Dirac Semimetals in Three Dimensions.
AB - We study a class of Dirac semimetals that feature an eightfold-degenerate double
Dirac point. We show that 7 of the 230 space groups can host such Dirac points
and argue that they all generically display linear dispersion. We introduce an
explicit tight-binding model for space groups 130 and 135. Space group 135 can
host an intrinsic double Dirac semimetal with no additional states at the Fermi
energy. This defines a symmetry-protected topological critical point, and we show
that a uniaxial compressive strain applied in different directions leads to
topologically distinct insulating phases. In addition, the double Dirac semimetal
can accommodate topological line defects that bind helical modes. Connections are
made to theories of strongly interacting filling-enforced semimetals, and
potential materials realizations are discussed.
PMID- 27203336
TI - Enhancement of Thermally Injected Spin Current through an Antiferromagnetic
Insulator.
AB - We report a large enhancement of thermally injected spin current in normal metal
(NM)/antiferromagnet (AF)/yttrium iron garnet (YIG), where a thin AF insulating
layer of NiO or CoO can enhance the spin current from YIG to a NM by up to a
factor of 10. The spin current enhancement in NM/AF/YIG, with a pronounced
maximum near the Neel temperature of the thin AF layer, has been found to scale
linearly with the spin-mixing conductance at the NM/YIG interface for NM=3d, 4d,
and 5d metals. Calculations of spin current enhancement and spin mixing
conductance are qualitatively consistent with the experimental results.
PMID- 27203337
TI - Electron Interference in Ballistic Graphene Nanoconstrictions.
AB - We realize nanometer size constrictions in ballistic graphene nanoribbons grown
on sidewalls of SiC mesa structures. The high quality of our devices allows the
observation of a number of electronic quantum interference phenomena. The
transmissions of Fabry-Perot-like resonances are probed by in situ transport
measurements at various temperatures. The energies of the resonances are
determined by the size of the constrictions, which can be controlled precisely
using STM lithography. The temperature and size dependence of the measured
conductances are in quantitative agreement with tight-binding calculations. The
fact that these interference effects are visible even at room temperature makes
the reported devices attractive as building blocks for future carbon based
electronics.
PMID- 27203338
TI - Phonon-Assisted Resonant Tunneling of Electrons in Graphene-Boron Nitride
Transistors.
AB - We observe a series of sharp resonant features in the differential conductance of
graphene-hexagonal boron nitride-graphene tunnel transistors over a wide range of
bias voltages between 10 and 200 mV. We attribute them to electron tunneling
assisted by the emission of phonons of well-defined energy. The bias voltages at
which they occur are insensitive to the applied gate voltage and hence
independent of the carrier densities in the graphene electrodes, so plasmonic
effects can be ruled out. The phonon energies corresponding to the resonances are
compared with the lattice dispersion curves of graphene-boron nitride
heterostructures and are close to peaks in the single phonon density of states.
PMID- 27203339
TI - Anomalous Interlayer Transport of Quantum Hall Bilayers in the Strongly Josephson
Coupled Regime.
AB - We investigate Josephson coupling in a closely spaced quantum Hall bilayer.
Reduction of the interlayer barrier from the widely used values of 10-12 nm to
the present one of 8 nm leads to qualitatively different interlayer transport
properties. The breakdown of interlayer coherence can be spatially confined in
regions that are smaller than the device size. Such a spatial inhomogeneity
depends crucially on the Josephson-coupling strength and can be removed by adding
an in-plane magnetic field of about 0.5 T. At higher in-plane fields, the
interlayer tunneling I-V curve develops unexpected overshoot features. These
results challenge current theoretical understanding and suggest that our bilayer
system has entered a previously unexplored regime.
PMID- 27203340
TI - Sublattice Interference as the Origin of sigma Band Kinks in Graphene.
AB - Kinks near the Fermi level observed in angle-resolved photoemission spectroscopy
(ARPES) have been widely accepted to represent electronic coupling to collective
excitations, but kinks at higher energies have eluded a unified description. We
identify the mechanism leading to such kink features by means of ARPES and tight
binding band calculations on sigma bands of graphene, where anomalous kinks at
energies as high as ~4 eV were reported recently [Phys. Rev. Lett. 111, 216806
(2013)]. We found that two sigma bands show a strong intensity modulation with
abruptly vanishing intensity near the kink features, which is due to sublattice
interference. The interference induced local singularity in the matrix element is
a critical factor that gives rise to apparent kink features, as confirmed by our
spectral simulations without involving any coupling to collective excitations.
PMID- 27203342
TI - Magnetic Vortex Induced by Nonmagnetic Impurity in Frustrated Magnets.
AB - We study the effect of a nonmagnetic impurity inserted in a two-dimensional
frustrated ferromagnet above its saturation magnetic field H_{sat} for arbitrary
spin S. We demonstrate that the ground state includes a magnetic vortex that is
nucleated around the impurity over a finite range of magnetic field
H_{sat}<=H<=H_{sat}^{I}. Upon approaching the quantum critical point at
H=H_{sat}, the radius of the magnetic vortex diverges as the magnetic correlation
length: xi?1/sqrt[H-H_{sat}]. These results are derived both for the lattice and
in the continuum limit.
PMID- 27203341
TI - Giant Enhancement of Magnetic Anisotropy in Ultrathin Manganite Films via
Nanoscale 1D Periodic Depth Modulation.
AB - The relatively low magnetocrystalline anisotropy (MCA) in strongly correlated
manganites (La,Sr)MnO_{3} has been a major hurdle for implementing them in
spintronic applications. Here we report an unusual, giant enhancement of in-plane
MCA in 6 nm La_{0.67}Sr_{0.33}MnO_{3} (LSMO) films grown on (001) SrTiO_{3}
substrates when the top 2 nm is patterned into periodic stripes of 100 or 200 nm
width. Planar Hall effect measurements reveal an emergent uniaxial anisotropy
superimposed on one of the original biaxial easy axes for unpatterned LSMO along
?110? directions, with a 50-fold enhanced anisotropy energy density of 5.6*10^{6}
erg/cm^{3} within the nanostripes, comparable to the value for cobalt. The
magnitude and direction of the uniaxial anisotropy exclude shape anisotropy and
the step edge effect as its origin. High resolution transmission electron
microscopy studies reveal a nonequilibrium strain distribution and drastic
suppression in the c-axis lattice constant within the nanostructures, which is
the driving mechanism for the enhanced uniaxial MCA, as suggested by first
principles density functional calculations.
PMID- 27203343
TI - Anomalous Fluctuations of Nematic Order in Solutions of Semiflexible Polymers.
AB - The nematic ordering in semiflexible polymers with contour length L exceeding
their persistence length l_{p} is described by a confinement of the polymers in a
cylinder of radius r_{eff} much larger than the radius r_{rho} expected from the
respective concentration of the solution. Large-scale molecular dynamics
simulations combined with density functional theory are used to locate the
isotropic-nematic (I-N) transition and to validate this cylindrical confinement.
Anomalous fluctuations due to chain deflections from neighboring chains in the
nematic phase are proposed. Considering deflections as collective excitations in
the nematically ordered phase of semiflexible polymers elucidates the origins of
shortcomings in the description of the I-N transition by existing theories.
PMID- 27203344
TI - Role of Turnover in Active Stress Generation in a Filament Network.
AB - We study the effect of turnover of cross-linkers, motors, and filaments on the
generation of a contractile stress in a network of filaments connected by passive
cross-linkers and subjected to the forces exerted by molecular motors. We perform
numerical simulations where filaments are treated as rigid rods and molecular
motors move fast compared to the time scale of an exchange of cross-linkers. We
show that molecular motors create a contractile stress above a critical number of
cross-linkers. When passive cross-linkers are allowed to turn over, the stress
exerted by the network vanishes due to the formation of clusters. When both
filaments and passive cross-linkers turn over, clustering is prevented and the
network reaches a dynamic contractile steady state. A maximum stress is reached
for an optimum ratio of the filament and cross-linker turnover rates. Taken
together, our work reveals conditions for stress generation by molecular motors
in a fluid isotropic network of rearranging filaments.
PMID- 27203345
TI - Rheological Signature of Frictional Interactions in Shear Thickening Suspensions.
AB - Colloidal shear thickening presents a significant challenge because the
macroscopic rheology becomes increasingly controlled by the microscopic details
of short ranged particle interactions in the shear thickening regime. Our
measurements here of the first normal stress difference over a wide range of
particle volume fractions elucidate the relative contributions from hydrodynamic
lubrication and frictional contact forces, which have been debated. At moderate
volume fractions we find N_{1}<0, consistent with hydrodynamic models; however,
at higher volume fractions and shear stresses these models break down and we
instead observe dilation (N_{1}>0), indicating frictional contact networks.
Remarkably, there is no signature of this transition in the viscosity; instead,
this change in the sign of N_{1} occurs while the shear thickening remains
continuous. These results suggest a scenario where shear thickening is driven
primarily by the formation of frictional contacts, with hydrodynamic forces
playing a supporting role at lower concentrations. Motivated by this picture, we
introduce a simple model that combines these frictional and hydrodynamic
contributions and accurately fits the measured viscosity over a wide range of
particle volume fractions and shear stress.
PMID- 27203346
TI - Universal Cyclic Topology in Polymer Networks.
AB - Polymer networks invariably possess topological defects: loops of different
orders which have profound effects on network properties. Here, we demonstrate
that all cyclic topologies are a universal function of a single dimensionless
parameter characterizing the conditions for network formation. The theory is in
excellent agreement with both experimental measurements of hydrogel loop
fractions and Monte Carlo simulations without any fitting parameters. We
demonstrate the superposition of the dilution effect and chain-length effect on
loop formation. The one-to-one correspondence between the network topology and
primary loop fraction demonstrates that the entire network topology is
characterized by measurement of just primary loops, a single chain topological
feature. Different cyclic defects cannot vary independently, in contrast to the
intuition that the densities of all topological species are freely adjustable.
Quantifying these defects facilitates studying the correlations between the
topology and properties of polymer networks, providing a key step in overcoming
an outstanding challenge in polymer physics.
PMID- 27203347
TI - Thermo-Osmotic Flow in Thin Films.
AB - We report on the first microscale observation of the velocity field imposed by a
nonuniform heat content along the solid-liquid boundary. We determine both radial
and vertical velocity components of this thermo-osmotic flow field by tracking
single tracer nanoparticles. The measured flow profiles are compared to an
approximate analytical theory and to numerical calculations. From the measured
slip velocity we deduce the thermo-osmotic coefficient for both bare glass and
Pluronic F-127 covered surfaces. The value for Pluronic F-127 agrees well with
Soret data for polyethylene glycol, whereas that for glass differs from
literature values and indicates the complex boundary layer thermodynamics of
glass-water interfaces.
PMID- 27203348
TI - Comment on "Anomalous Discontinuity at the Percolation Critical Point of Active
Gels".
PMID- 27203349
TI - Sheinman, Sharma, and MacKintosh Reply.
PMID- 27203350
TI - Publisher's Note: Haldane-Hubbard Mott insulator: From Tetrahedral Spin Crystal
to Chiral Spin Liquid [Phys. Rev. Lett. 116, 137202 (2016)].
AB - This corrects the article DOI: 10.1103/PhysRevLett.116.137202.
PMID- 27203351
TI - Erratum: Signatures for Wigner Crystal Formation in the Chemical Potential of a
Two-Dimensional Electron System [Phys. Rev. Lett. 113, 076804 (2014)].
AB - This corrects the article DOI: 10.1103/PhysRevLett.113.076804.
PMID- 27203352
TI - Erratum: Analytic Form of the Two-Loop Planar Five-Gluon All-Plus-Helicity
Amplitude in QCD [Phys. Rev. Lett. 116, 062001 (2016)].
AB - This corrects the article DOI: 10.1103/PhysRevLett.116.062001.
PMID- 27203353
TI - The accuracy of telling time via oscillatory signals.
AB - Circadian clocks are the central timekeepers of life, allowing cells to
anticipate changes between day and night. Experiments in recent years have
revealed that circadian clocks can be highly stable, raising the question how
reliably they can be read out. Here, we combine mathematical modeling with
information theory to address the question how accurately a cell can infer the
time from an ensemble of protein oscillations, which are driven by a circadian
clock. We show that the precision increases with the number of oscillations and
their amplitude relative to their noise. Our analysis also reveals that their
exists an optimal phase relation that minimizes the error in the estimate of
time, which depends on the relative noise levels of the protein oscillations.
Lastly, our work shows that cross-correlations in the noise of the protein
oscillations can enhance the mutual information, which suggests that cross
regulatory interactions between the proteins that read out the clock can be
beneficial for temporal information transmission.
PMID- 27203354
TI - Drug-Resistant and Genetic Evolutionary Analysis of Influenza Virus from Patients
During the 2013 and 2014 Influenza Season in Beijing.
AB - The study aimed to analyze drug resistance and mutations and genetic evolution of
influenza A and influenza B viruses during the 2013 and 2014 influenza season in
Beijing, China. RNA was extracted from pharyngeal or nasal swabs of 28 patients,
and determination of influenza genotypes was performed by using real-time reverse
transcription polymerase chain reaction. Influenza A virus samples were sequenced
with the neuraminidase (NA) gene and M2 matrix protein gene to determine the NA
inhibitor (NAI) resistance and amantadine resistance mutations, and influenza B
virus samples were sequenced with the NA gene and hemagglutinin (HA) gene to
analyze NAI resistance mutations. As a result, the enrolled subjects consisted of
19 patients with the A(H1N1)pdm09 subtype, four with A(H3N2) subtype and five
with influenza B virus. All of the 23 samples with influenza A viruses harbored
amantadine resistance mutation S31N in M2 matrix protein. V241I, a compensatory
NAI resistance mutation, was detected in all of the 19 A(H1N1)pdm09 viruses. No
other NAI resistance mutation was observed in both influenza A and B viruses. The
NA gene of the five influenza B virus strains was classified as B-Victoria
lineage, while the HA gene of five strains was classified as B-Yamagata lineage.
In summary, all influenza A viruses from patients in Beijing in the 2013-2014
season were resistant to amantadine agent. Both influenza A and B viruses kept
sensitive to NAIs. Lineage recombination was detected in influenza B virus
strains and may impair the efficacy of influenza vaccination.
PMID- 27203355
TI - High-throughput production of a stable isotope-labeled peptide library for
targeted proteomics using a wheat germ cell-free synthesis system.
AB - Quantitative proteomic approaches using selected reaction monitoring (SRM) are
currently limited by the difficulty in the preparation of reference standards. In
this study, we demonstrat the high-throughput production of a reference peptide
library using a wheat germ cell-free synthesis system to develop a large-scale
SRM assay for targeted proteomics.
PMID- 27203356
TI - Characterization of Yersinia enterocolitica strains potentially virulent for
humans and animals in river water.
AB - AIMS: The aim of this study was to isolate and identify potentially pathogenic
strains of Yersinia enterocolitica in water samples collected from the upstream
section of the Drweca River in Poland. METHODS AND RESULTS: Thirty-nine water
samples were collected. Strains were isolated, identified with the use of the
API((r)) 20E test kit (Biomerieux, Marcy l'Etoile, France) at 37 degrees C,
serotyped and subjected to a molecular analysis. Multiplex PCR was carried out to
amplify three virulence genes: ail, ystA and ystB. Fragments of ail and ystA
genes were not identified in the genetic material of the analysed strains. The
ystB gene was identified in four strains. Yersinia enterocolitica strains of
biotype 1A, which contain the ystB gene, may cause gastrointestinal problems.
CONCLUSIONS: In our study, Y. enterocolitica strains of biotype 1A/ystB with
serotypes 0 : 3, 0 : 5 and 0 : 8 were identified in samples collected from the
Drweca River which flows through the areas protected by Natura 2000, one of the
largest networks of nature conservation areas in the European Union. The presence
of Y. enterocolitica in the Drweca River indicates that the analysed bacteria
colonize natural water bodies. SIGNIFICANCE AND IMPACT OF THE STUDY: Most
research focuses on food or sewage as a source of Y. enterocolitica infections.
Little is known about the occurrence of this pathogen in natural waters. Our
results show that natural waters are also a potential threat to human and animal
health.
PMID- 27203358
TI - Nuclear Quantum Effects in Water at the Triple Point: Using Theory as a Link
Between Experiments.
AB - One of the most prominent consequences of the quantum nature of light atomic
nuclei is that their kinetic energy does not follow a Maxwell-Boltzmann
distribution. Deep inelastic neutron scattering (DINS) experiments can measure
this effect. Thus, the nuclear quantum kinetic energy can be probed directly in
both ordered and disordered samples. However, the relation between the quantum
kinetic energy and the atomic environment is a very indirect one, and cross
validation with theoretical modeling is therefore urgently needed. Here, we use
state of the art path integral molecular dynamics techniques to compute the
kinetic energy of hydrogen and oxygen nuclei in liquid, solid, and gas-phase
water close to the triple point, comparing three different interatomic potentials
and validating our results against equilibrium isotope fractionation
measurements. We will then show how accurate simulations can draw a link between
extremely precise fractionation experiments and DINS, therefore establishing a
reliable benchmark for future measurements and providing key insights to increase
further the accuracy of interatomic potentials for water.
PMID- 27203357
TI - Hydrophilic Clicked 2,6-Bis-triazolyl-pyridines Endowed with High Actinide
Selectivity and Radiochemical Stability: Toward a Closed Nuclear Fuel Cycle.
AB - There is still an evident need for selective and stable ligands able to separate
actinide(III) from lanthanide(III) metal ions in view of the treatment of the
accumulated radioactive waste and of the recycling of minor actinides. We have
herein demonstrated that hydrophilic 2,6-bis-triazolyl-pyridines are able to
strip all actinides in all the different oxidation states from a diglycolamide
containing kerosene solution into an acidic aqueous phase. The ascertained high
actinide selectivity, efficiency, extraction kinetics, and chemical/radiolytic
stability spotlight this hydrophilic class of ligands as exceptional candidates
for advanced separation processes fundamental for closing the nuclear fuel cycle
and solving the environmental issues related to the management of existing
nuclear waste.
PMID- 27203359
TI - Coordination polymer gels: soft metal-organic supramolecular materials and
versatile applications.
AB - In recent times, significant attention has been paid to the development of
functional coordination polymer gels (CPGs) from rationally designed low
molecular weight gelators (LMWGs) and metal ions. Coordination of metal ions to
LMWGs provides an opportunity to emulate metal based redox, optical, electronic
and magnetic properties in soft CPG materials. The metal-LMWG interactions allow
controlled growth of CPGs with different nanostructures such as fibers, tubes,
rings, ribbons and vesicles. Furthermore, the nanoscale periodicity of metal ions
and LWMGs in CPGs is of paramount importance for different optoelectronic
applications. The easy processability and dynamic nature of CPGs are explored for
application in diverse fields, including drug-delivery, gas storage,
optoelectronics, chemo-sensing, self-healing, etc. Also, by taking advantage of
dynamic metal-ligand coordination bonds various stimuli-responsive multi
functional CPGs are developed. In this feature article, we cover important
examples of newly developed CPGs, which show potential applications in different
fields.
PMID- 27203360
TI - Electrochemical decompatibilisation leads to morphology rearrangements in host
guest polymer blend films.
AB - Controlled phase separation in a polymer film, with subsequent morphology
rearrangement on the micro-scale, provides novel perspectives in smart materials.
Based on our experience on supramolecularly compatibilised polymer blends
consisting of polystyrene and poly(butyl methacrylate), we demonstrate here
physical segregation of the blend in the solid state by the application of an
electrochemical stimulus. The thereby occurring changes in film morphology,
namely the appearance of voids and grains, have been characterised by atomic
force microscopy in spin coated and in Langmuir-Schaefer deposited films.
PMID- 27203361
TI - Increased overall drug utilization in patients with psoriasis: a case-control
study based on Dutch general practitioner data.
AB - BACKGROUND: Medication use in patients with psoriasis has been studied mostly in
the context of psoriasis comorbidities. OBJECTIVES: To investigate detailed drug
utilization in patients with psoriasis compared with controls in a population
based sample. METHODS: This was a case-control study based on drug prescriptions
derived from a Dutch general practitioner database where patients with psoriasis
and controls without psoriasis were matched 1 : 1 for age, sex, general
practitioner and duration of follow-up, between 2002 and 2012. We calculated
Mantel-Haenszel odds ratios (ORs) and 95% confidence intervals (CIs) for all
therapeutic groups and chemical substances. RESULTS: In total 17 627 patients
with psoriasis and 17 627 controls were followed for > 4 years. Overall 20% of
patients with psoriasis received no psoriasis treatment and 8% had moderate-to
severe disease. During the entire follow-up a mean of nine unique drugs were
prescribed in patients with psoriasis; this was significantly higher than in
controls (mean of seven). Drug use did not peak around the date of diagnosis for
psoriasis, but remained constant over time. All of the most commonly prescribed
therapeutic groups were significantly more often prescribed in patients with
psoriasis than in controls. These included drugs associated with psoriasis
symptoms and treatment (OR 2.17, 95% CI 2.07-2.28 and OR 22, 95% CI 21-25,
respectively), drugs related to psoriasis comorbidities (1.46, 95% CI 1.39-1.53)
and a proportion of drugs that were a priori not expected to be increased in
patients with psoriasis, such as nasal preparations and laxatives. CONCLUSIONS:
Patients with psoriasis received more prescriptions for all drugs, regardless of
associated comorbidities. This overall increased use of drugs suggests an
increased healthcare utilization in patients with psoriasis identified in routine
databases.
PMID- 27203362
TI - Comparison of impedance measurements near the skin of newborns and adults.
AB - Electrical impedance tomography (EIT) is a non-invasive imaging technology that
has been extensively studied for monitoring lung function of neonatal and adult
subjects, especially in neonatal intensive care unit (NICU) and intensive care
unit (ICU) environments. The sources of the total impedance in these applications
include internal organs, near-boundary tissues, electrode-skin impedance,
electrodes and conducting wires. This total impedance must be considered for
system design and setting voltage gain since it will contribute to the measured
voltage. To adapt a single instrument for use on infants and adults, we studied
the difference between the impedance near the skin in both classes of patients.
We used a simultaneous multi-source EIT (SMS-EIT) system to make impedance
measurements. Characteristic resistance was calculated for two different current
patterns: one that is more sensitive to boundary region impedance and another
that is more sensitive to interior changes. We present ratios of these
resistances to assess the relative contribution of near-skin effects to the
overall impedance. Twenty adult ICU subjects (10 male, 10 female, age: 49.05 +/-
16.32 years (mean +/- standard deviation)) and 45 neonates (23 male, 22
female, gestational age: 37.67 +/- 2.11 weeks, postnatal age, 2.56 +/- 2.67
d) were studied at Columbia University Medical Center. Impedance measurements at
10 kHz were collected for approximately one hour from each subject. The
characteristic resistance ratio for each subject was computed and analyzed. The
result shows the impedance at or near the skin of newborns is significantly
higher than in adult subjects.
PMID- 27203363
TI - Biomechanical effect of the correction on the anchoring strength of de-orbiting
S1 bicortical pedicle screw - An in-vitro investigation in normal and
osteoporotic conditions.
PMID- 27203364
TI - Trocars: Site Selection, Instrumentation, and Overcoming Complications.
AB - In recent years, laparoscopy and robot-assisted procedures have become more
commonplace in urology. Incorporation of these techniques into clinical practice
requires extensive knowledge of the surgical approaches and complex
instrumentation unique to minimally invasive surgery. In this review, focus will
be directed to laparoscopic trocars including differing subtypes, placement in
select urologic procedures, and proper use with emphasis on the avoidance of
complications. Differing methods for the development of pneumoperitoneum and the
associated risks of each will be discussed. The aim of this article is to provide
a complete review of laparoscopic trocar use for the practicing urologist.
PMID- 27203366
TI - Application of the system of environmental economic accounting for water SEEAW to
the Spanish part of the Duero basin: Lessons learned.
AB - The System of Environmental-Economic Accounting for Water (SEEA-W) consists of an
agreed international framework for organizing hydrological and economic
information in a coherent and consistent manner. The methodology yields to the
SEEA-W physical tables focusing on the quantitative assessment of the stocks and
their changes in a river basin during the accounting period. For that purpose,
the information on the abstraction and water discharge is linked with the
environment water stocks, which assesses how current levels of abstraction and
discharge affect such water stocks. This study presents the methodology and
results to fill out the SEEAW tables for asset accounts on the Spanish Duero
basin. Duero is a transboundary river between Spain and Portugal where 80% of its
basin area (78,860km(2)) runs into the Spanish territory. The Spanish part is
divided in five zones and 13 management systems. The methodology applied the
three models used by the Spanish Water Authorities for the planning and
allocation of water resources in Spain: 'SIMPA' model (rainfall-runoff model),
'ASTER' model (hydro-meteorological model related to snow processes) and 'SIMGES'
model (water management simulation model). The required information was collected
with the support from the Duero River basin Authority and the Spanish Ministry of
Agriculture. Special care was paid to issues such as: inter-annual variability,
the selection of spatial and temporal scale, seasonality, disaggregation of human
abstractions into use's type, and transboundary agreements. The results
highlighted some drawbacks in the SEEAW methodology for the Duero basin. However,
the developed balances are a valuable tool to support the decisions of the
Spanish Duero basin Authority on the management and allocation of water in the
basin and in the transboundary area with Portugal. Finally, the paper outlines
some recommendations for future work.
PMID- 27203365
TI - Characterization of a crude oil weathering series by ultrahigh-resolution mass
spectrometry using multiple ionization modes.
AB - Accidental crude oil releases, such as the Deepwater Horizon (DWH) accident, are
always a potential threat to pristine marine ecosystems. Since the toxicity of
crude oil heavily depends on its variable composition, the comprehensive
characterization of crude oil compounds as a function of weathering is an
important area of research. Traditional gas chromatography-based characterization
presents significant limitations, and the use of ultrahigh-resolution mass
spectrometric (UHRMS) techniques (that allow for the assignment of molecular
formulae) has been shown to be better equipped to address the complex nature of
crude oils. This study used an Orbitrap Q Exactive mass spectrometer operated at
a resolving power of 140,000FWHM with both electrospray ionization (ESI) and
atmospheric pressure photoionization (APPI) sources, in order to characterize a
crude oil weathering series of the Macondo oil released during the DWH incident
(the source oil, two differently weathered surface slicks, and a beached
residue). Preliminary gas chromatography mass spectrometry (GC-MS) and gas
chromatography flame ionization detection (GC-FID) results suggested that the
four oils comprised a true weathering series (including biodegradation and
photodegradation in addition to other well-known processes such as dissolution
and evaporation). UHRMS results showed a clear increase in oxygenated compounds
with weathering, and further suggested a significant gain of acidic compounds, as
well as the transformation of phenols to ketonic and quinonic compounds with
weathering. A complementary study on a weathered oil sample amended with selected
model compounds contributed additional insight into the functional group types
that are accessible in each ionization technique.
PMID- 27203367
TI - On the influence of spread constant in radial basis networks for electrical
impedance tomography.
AB - Electrical impedance tomography (EIT) is a non-invasive imaging technique. The
main task of this work is to solve a non-linear inverse problem, for which
several techniques have been suggested, but none of which gives a very high
degree of accuracy. This paper introduces a novel approach, based on radial basis
function (RBF) artificial neural networks (ANNs), to solve this problem, and uses
several ANNs to obtain the best solution to the EIT inverse problem. ANNs have
the potential to directly estimate the solution of the inverse problem with a
high degree of accuracy. While different radial basis neural networks do not
always perform well on different problems, they usually give good results on some
specific problems. This paper evidences a strong correlation between the area of
the target and the spread constant of the RBF network that gives the best
reconstruction. A solution to automatically estimate the size of the target and
pick the best neural network directly from voltage measurements is presented,
making the reconstruction process automatic. By automatically selecting the best
ANN for each specific set of voltage measurements, the proposed solution gives a
more accurate reconstruction of both small and large targets.
PMID- 27203368
TI - Health-Related Quality of Life in Survivors of High-Risk Neuroblastoma After Stem
Cell Transplant: A National Population-Based Perspective.
AB - PURPOSE: This study aimed to estimate the burden of morbidity, in terms of health
related quality of life (HRQL), in survivors of high-risk neuroblastoma (NBL)
after myeloablative chemotherapy followed by autologous hematopoietic stem cell
transplant (HSCT). PATIENTS AND METHODS: A national population-based survey was
undertaken of survivors of high-risk NBL (N = 99), diagnosed between 1991 and
2010 and treated with HSCT. Parents completed a proxy questionnaire incorporating
two HRQL measures, Health Utilities Index (HUI) 2 and 3. Children >12 years of
age provided self-assessments. Clinical and demographic data were collected.
Independent t-test and one-way analysis of variance were used to assess
differences. Comparative data were obtained from previously published work and
Statistics Canada's 1998 National Population Health Survey. RESULTS: On a scale
of 0 (being dead) to 1.0 (perfect health), mean HRQL utility scores were 0.89 (SD
= 0.11) in HUI2 and 0.84 (SD = 0.18) in HUI3. Parents reported morbidity in
sensation (52.5%), pain (30.3%), cognition (28.0%), and emotion (24.2%) in HUI2
and in hearing (38.4%), pain (30.3%), cognition (27.3%), and speech (23.2%) in
HUI3. HRQL was not significantly different compared to NBL survivors treated
without HSCT, but was less than in nontransplanted survivors of acute
lymphoblastic leukemia and Wilms tumor, and children in the general population,
yet higher than in survivors of brain tumors. CONCLUSIONS: HRQL is compromised in
high-risk NBL survivors treated with and without HSCT. A differential effect on
hearing reflects additional exposure to platinum-based chemotherapy. These
results should inform long-term care and the development of new therapeutic
interventions.
PMID- 27203369
TI - Of Tangerines, Asparagus, and Nonprotein Biomolecules.
AB - Every month the editors of Cell Chemical Biology bring you highlights of the most
recent chemical biology literature. Our May 2016 selection includes a new method
for labeling and visualizing nonprotein biomolecules using electron microscopy; a
small molecule, originally found in tangerine peel, that regulates our circadian
clock and helps with some of the metabolic pathologies associated with circadian
rhythms; and a finding that asparagine is used as an amino acid exchanger in
tumor cells.
PMID- 27203370
TI - Light-Activated Chemotaxis.
AB - Using light to control cellular processes is one of the attractive areas of
research. Here, availability of different, light-responsive caged compounds has
played a critical role. In this issue of Cell Chemical Biology, Hovelmann et al.
(2016) give us an example of how to design and use caged lipids to guide
chemotaxis at the single cell level.
PMID- 27203371
TI - Chemical-Induced Naive Pluripotency.
AB - The capacity to harness the properties of pluripotent stem cells has a wide
reaching impact on regenerative medicine, drug discovery, and also basic science.
Two recent publications by Ursu et al. and Illich et al. demonstrate that
inhibition of a CK1 isoform efficiently induces naive pluripotency in epiblast
stem cells.
PMID- 27203372
TI - Cracking the Nonribosomal Code.
AB - Landmark achievements in chemical and structural biology have advanced our
understanding of nonribosomal peptide synthetase mechanisms. However, nature's
proven ability to re-engineer these enzymes remains difficult to recapitulate
synthetically. In a recent issue of Cell Chemical Biology, Meyer et al. (2016)
sought to extract new clues from old (evolutionary) evidence.
PMID- 27203373
TI - Linking Nucleoporins, Mitosis, and Colon Cancer.
AB - Suppression of a nuclear pore protein Nup358/RanBP2 is linked to mitotic cell
death, but the clinical relevance of this link is unknown. In a recent issue of
Cell, Vecchione et al. (2016) show that in approximately 10% of BRAF-like
colorectal cancer (CC) patients, Nup358/RanBP2 is critical for survival.
Treatment with vinorelbine, a microtubule-depolymerizing drug that inhibits
mitosis, might be a potential treatment for these CCs.
PMID- 27203374
TI - The Structural Relationship between Iterative and Modular PKSs.
AB - Recent work has characterized the architecture of a highly-reducing iterative
polyketide synthase (PKS), the mycocerosic acid synthase (MAS) (Herbst et al.,
2016). Beyond sharing a structural similarity with the mammalian fatty acid
synthase (FAS), the authors argue that the MAS architecture is representative of
some PKS modules.
PMID- 27203375
TI - Scratching the Surface: Resurfacing Proteins to Endow New Properties and
Function.
AB - Protein engineering is an emerging discipline that dovetails modern molecular
biology techniques with high-throughput screening, laboratory evolution
technologies, and computational approaches to modify sequence, structure, and, in
some cases, function and properties of proteins. The ultimate goal is to develop
new proteins with improved or designer functions for use in biotechnology,
medicine, and basic research. One way to engineer proteins is to change their
solvent-exposed regions through focused or random "protein resurfacing." In this
review we explain what protein resurfacing is, and discuss recent examples of how
this strategy is used to generate proteins with altered or broadened recognition
profiles, improved stability, solubility, and expression, cell-penetrating
ability, and reduced immunogenicity. Additionally we comment on how these
properties can be further improved using chemical resurfacing approaches. Protein
resurfacing will likely play an increasingly important role as more biologics
enter clinical use, and we present some arguments to support this view.
PMID- 27203376
TI - Apratoxin Kills Cells by Direct Blockade of the Sec61 Protein Translocation
Channel.
AB - Apratoxin A is a cytotoxic natural product that prevents the biogenesis of
secretory and membrane proteins. Biochemically, apratoxin A inhibits
cotranslational translocation into the ER, but its cellular target and mechanism
of action have remained controversial. Here, we demonstrate that apratoxin A
prevents protein translocation by directly targeting Sec61alpha, the central
subunit of the protein translocation channel. Mutagenesis and competitive photo
crosslinking studies indicate that apratoxin A binds to the Sec61 lateral gate in
a manner that differs from cotransin, a substrate-selective Sec61 inhibitor. In
contrast to cotransin, apratoxin A does not exhibit a substrate-selective
inhibitory mechanism, but blocks ER translocation of all tested Sec61 clients
with similar potency. Our results suggest that multiple structurally unrelated
natural products have evolved to target overlapping but non-identical binding
sites on Sec61, thereby producing distinct biological outcomes.
PMID- 27203378
TI - Effect of Hatha Yoga on Anxiety: A Meta-Analysis.
AB - OBJECTIVE: Some evidence suggests that Hatha yoga might be an effective practice
to reduce anxiety. To examine the effect of Hatha yoga on anxiety, we conducted a
meta-analysis of relevant studies extracted from PubMed, PsycINFO, the Cochrane
Library, and manual searches. METHODS: The search identified 17 studies (11
waitlist controlled trials) totaling 501 participants who received Hatha yoga and
who reported their levels of anxiety before and after the practice. We estimated
the controlled and within-group random effects of the practice on anxiety.
RESULTS: The pre-post within-group and controlled effect sizes were, Hedges' g =
0.44 and Hedges' g = 0.61, respectively. Treatment efficacy was positively
associated with the total number of hours practiced. People with elevated levels
of anxiety benefitted the most. Effect sizes were not moderated by study year,
gender, presence of a medical disorder, or age. Although the quality of the
studies was relatively low, the risk of study bias did not moderate the effect.
CONCLUSIONS: Hatha yoga is a promising method for treating anxiety. However, more
well-controlled studies are needed to compare the efficacy of Hatha yoga with
other more established treatments and to understand its mechanism. This article
is protected by copyright. All rights reserved.
PMID- 27203379
TI - Conceptualizing primary and secondary pathological exercise using available
measures of excessive exercise.
AB - OBJECTIVE: There is disagreement about the conceptualization and measurement of
pathological exercise (PE). This study seeks to elucidate the nature of this
phenomenon as addictive or compulsive in its primary and secondary forms. METHOD:
1,497 adults (608 men, 885 women, 4 other) completed a set of validated surveys,
including the Godin Leisure-Time Exercise Questionnaire, the Exercise Dependence
Scale-21, the Exercise Addiction Inventory, the Compulsive Exercise Test (CET),
the Obligatory Exercise Questionnaire, the Commitment to Exercise Scale, and an
exercise specific adaptation of the Dimensional Obsessive-Compulsive Scale
(ESDOCS). Participants completed the Eating Disorders Examination Questionnaire,
and DSM-5 eating disorder (ED) diagnoses were determined according to published
guidelines. Pearson correlation coefficients, independent samples t-tests, chi(2)
tests, 1-way ANOVA's, and ANCOVA's were calculated. RESULTS: All PE measures
correlated highly with one another (P < 0.001). Participants with EDs scored
higher on all measures than those without (P < 0.001), and those with bulimia
nervosa had the highest scores. Participants with PE and an accompanying ED
(secondary PE) scored higher than those with PE and no ED symptoms (primary ED)
on the CET (P < 0.002) and ESDOCS (P < 0.003). Correlations between EDE-Q and PE
scores were stronger among women than men. PE prevalence was 6.4% (1.4% primary
PE, 5.0% secondary PE) in our heterogeneous sample. DISCUSSION: Secondary PE
appears to be more compulsive while primary PE is more addictive in nature. Men
and women are equally at risk for PE, but in men it is more often primary and
addictive and in women it is more often secondary and compulsive. (c) 2016 Wiley
Periodicals, Inc. (Int J Eat Disord 2016; 49:778-792).
PMID- 27203377
TI - Controlling Sulfuryl-Transfer Biology.
AB - In humans, the cytosolic sulfotransferases (SULTs) catalyze regiospecific
transfer of the sulfuryl moiety (-SO3) from 3'-phosphoadenosine 5'-phosphosulfate
to thousands of metabolites, including numerous signaling small molecules, and
thus regulates their activities and half-lives. Imbalances in the in vivo set
points of these reactions leads to disease. Here, with the goal of controlling
sulfonation in vivo, molecular ligand-recognition principles in the SULT and
nuclear receptor families are integrated in creating a strategy that can prevent
sulfonation of a compound without significantly altering its receptor affinity,
or inhibiting SULTS. The strategy is validated by using it to control the
sulfonation and estrogen receptor (ER) activating activity of raloxifene (a US
Food and Drug Administration-approved selective estrogen receptor modulator) and
its derivatives. Preventing sulfonation is shown to enhance ER-activation
efficacy 10(4)-fold in studies using Ishikawa cells. The strategy offers the
opportunity to control sulfuryl transfer on a compound-by-compound basis, to
enhance the efficacy of sulfonated drugs, and to explore the biology of sulfuryl
transfer with unprecedented precision.
PMID- 27203380
TI - The apoptotic effect and the plausible mechanism of microwave radiation on rat
myocardial cells.
AB - Microwaves may exert adverse biological effects on the cardiovascular system at
the integrated system and cellular levels. However, the mechanism underlying such
effects remains poorly understood. Here, we report a previously uncharacterized
mechanism through which microwaves damage myocardial cells. Rats were treated
with 2450 MHz microwave radiation at 50, 100, 150, or 200 mW/cm(2) for 6 min.
Microwave treatment significantly enhanced the levels of various enzymes in
serum. In addition, it increased the malondialdehyde content while decreasing the
levels of antioxidative stress enzymes, activities of enzyme complexes I-IV, and
ATP in myocardial tissues. Notably, irradiated myocardial cells exhibited
structural damage and underwent apoptosis. Furthermore, Western blot analysis
revealed significant changes in expression levels of proteins involved in
oxidative stress regulation and apoptotic signaling pathways, indicating that
microwave irradiation could induce myocardial cell apoptosis by interfering with
oxidative stress and cardiac energy metabolism. Our findings provide useful
insights into the mechanism of microwave-induced damage to the cardiovascular
system.
PMID- 27203381
TI - 3-(3,4,5-Trimethoxybenzylidene)-2,4-pentanedione: Design of a novel
photostabilizer with in vivo SPF boosting properties and its use in developing
broad-spectrum sunscreen formulations.
AB - OBJECTIVE: The study concerned the synthesis of a novel photostabilizer based on
benzylidenepentanedione chemistry and the evaluation of its potential in
developing a broad-spectrum sunscreen formulation containing avobenzone. METHODS:
3-(3,4,5-Trimethoxybenzylidene)-2-4-pentanedione (TMBP) was synthesized through a
condensation reaction and incorporated into a sunscreen formulation containing,
inter alia, avobenzone. The SPF, critical wavelength and in vitro photostability
of the product were measured. The photostability was compared with that afforded
by current avobenzone photostabilizers, namely octocrylene,
ethylhexylmethoxycrylene and diethylhexylsyringylidenemalonate. The
photostability of TMBP either alone or in the presence of avobenzone in a
methanolic solution was also evaluated by UV spectrophotometric and HPLC
analyses. The optical properties of TMBP were estimated experimentally and
supported by time-dependent density functional theory (TD-DFT) calculations.
RESULTS: The ability of TMBP to stabilize avobenzone under ultraviolet (UV) light
exposure was shown both in formulated products and in solution. A comparative
stability study incorporating various combinations of avobenzone, TMBP (vs. three
commercial photostabilizers) and UVB sunscreens clearly showed TMBP to be a very
effective stabilizer. The photostabilizing effect of TMBP arises from triplet
state energy transfer from avobenzone to TMBP and through light-induced reactions
that preserve the main chromophores. Interestingly, a 50% in vivo SPF boosting
was observed when TMBP was used with organic and inorganic sunscreens when alone
it has no contribution to SPF. TMBP-containing sunscreen formulations clearly
showed a critical wavelength of well over 370 nm and can thus be categorized as
broad-spectrum sunscreens. CONCLUSION: We were able to design a very effective
photostabilizer, trimethoxybenzylidene pentanedione (INCI name), based on
benzylidenepentanedione chemistry. TMBP is very efficient in stabilizing
avobenzone in formulated products and boosts in vivo SPF by >50% for organic and
inorganic sunscreens, and the formulations have critical wavelengths of >370 nm.
These efficacious properties make it a promising additive for inclusion in broad
spectrum photoprotective products.
PMID- 27203382
TI - Load release balance test under unstable conditions effectively discriminates
between physically active and sedentary young adults.
AB - This study investigates test-retest reliability and diagnostic accuracy of the
load release balance test under four varied conditions. Young, early and late
middle-aged physically active and sedentary subjects performed the test over 2
testing sessions spaced 1week apart while standing on either (1) a stable or (2)
an unstable surface with (3) eyes open (EO) and (4) eyes closed (EC),
respectively. Results identified that test-retest reliability of parameters of
the load release balance test was good to excellent, with high values of ICC
(0.78-0.92) and low SEM (7.1%-10.7%). The peak and the time to peak posterior
center of pressure (CoP) displacement were significantly lower in physically
active as compared to sedentary young adults (21.6% and 21.0%) and early middle
aged adults (22.0% and 20.9%) while standing on a foam surface with EO, and in
late middle-aged adults on both unstable (25.6% and 24.5%) and stable support
surfaces with EO (20.4% and 20.0%). The area under the ROC curve >0.80 for these
variables indicates good discriminatory accuracy. Thus, these variables of the
load release balance test measured under unstable conditions have the ability to
differentiate between groups of physically active and sedentary adults as early
as from 19years of age.
PMID- 27203383
TI - Gyroscope-Like Complexes Based on Dibridgehead Diphosphine Cages That Are
Accessed by Three-Fold Intramolecular Ring Closing Metatheses and Encase Fe(CO)3,
Fe(CO)2(NO)(+), and Fe(CO)3(H)(+) Rotators.
AB - Reactions of trans-Fe(CO)3(P((CH2)mCH?CH2)3)2 (m = a/4; b/5, c/6, e/8) and
Grubbs' catalyst (12-24 mol %, CH2Cl2, reflux) give the cage-like trienes trans-
Fe(CO)3(P((CH2)mCH?CH(CH2)m)3 P) (3a-c,e, 60-81%). Hydrogenations (ClRh(PPh3)3,
60-80 degrees C) yield the title compounds trans- Fe(CO)3(P((CH2)n)3 P) (4a-c,e,
74-86%; n = 2m + 2), which have idealized D3h symmetry. A crystal structure of 4c
suggests enough van der Waals clearance for the Fe(CO)3 moiety to rotate within
the three P(CH2)14P linkages; structures of E,E,E-3a show rotation to be blocked
by the shorter P(CH2)4CH?CH(CH2)4P linkages. Additions of NO(+)BF4(-) give the
isoelectronic and isosteric cations [ Fe(CO)2(NO)(P((CH2)n)3 P)](+)BF4(-) (5a
c(+)BF4(-); 81-98%). Additions of [H(OEt2)2](+)BArf(-) (BArf = B(3,5
C6H3(CF3)2)4) afford the metal hydride complexes mer,trans-[
Fe(CO)3(H)(P((CH2)n)3 P)](+)BArf(-) (6a-c,e(+)BArf(-); 98-99%). The behavior of
the rotators in the preceding complexes is probed by VT NMR. At ambient
temperature in solution, 5a,b(+)BF4(-) and 6a(+)BArf(-) show two sets of
P(CH2)n/2 (13)C NMR signals (2:1), whereas 5c(+)BF4(-) and 6b,c(+)BArf(-) show
only one. At higher temperatures, the signals of 5b(+)BF4(-) coalesce; at lower
temperatures, those of 5c(+)BF4(-) and 6b(+)BArf(-) decoalesce. These data give
DeltaH(?)/DeltaS(?) values (kcal/mol and eu) of 8.3/-28.4 and 9.5/-6.5 for
Fe(CO)2(NO)(+) rotation (5b,c(+)) and 6.1/-23.5 for Fe(CO)3(H)(+) rotation
(6b(+)). (13)C CP/MAS NMR spectra show that the Fe(CO)3 moiety in polycrystalline
4c (but not 4a) undergoes rapid rotation between -60 and 95 degrees C.
Approaches to minimizing these barriers and developing molecular gyroscopes are
discussed.
PMID- 27203384
TI - The novel VEGF receptor 2 inhibitor YLL545 inhibits angiogenesis and growth in
breast cancer.
AB - Their antiangiogenic effects make vascular endothelial growth factor receptor 2
(VEGFR2) inhibitors useful for cancer treatment. However, most of these drugs
have unexpected adverse side effects. Here, we show that the novel VEGFR2
inhibitor YLL545 suppressed tumor angiogenesis and growth in triple-negative
breast cancer without adverse effects. YLL545 treatment also markedly inhibited
proliferation, migration, invasion, and tube formation by human umbilical
vascular endothelial cells (HUVECs) in vitro. These effects of YLL545 were equal
to or greater than those seen with sorafenib. In addition, YLL545 inhibited VEGF
induced phosphorylation of VEGFR2 and activation of downstream signaling
regulators, such as phospho-STAT3 and phospho-ERK1/2, in HUVECs. Embryonic
angiogenesis assays in zebrafish and Matrigel plug assays in mice demonstrated
that YLL545 inhibits angiogenesis in vivo. YLL545 also inhibited proliferation
and induced apoptosis in MDA-MB-231 breast cancer cells both in vitro and in
vivo, and 50 mg/kg/d YLL545 inhibited human tumor xenograft growth by more than
50% in BALB/c nude mice. These observations suggest YLL545 is a potentially
useful anticancer drug candidate.
PMID- 27203385
TI - Membranous CD24 drives the epithelial phenotype of pancreatic cancer.
AB - Surface CD24 has previously been described, together with CD44 and ESA, for the
characterization of putative cancer stem cells in pancreatic ductal
adenocarcinoma (PDAC), the most fatal of all solid tumors. CD24 has a variety of
biological functions including the regulation of invasiveness and cell
proliferation, depending on the tumor entity and subcellular localization.
Genetically engineered mouse models (GEMM) expressing oncogenic KrasG12D
recapitulate the human disease and develop PDAC. In this study we investigate the
function of CD24 using GEMM of endogenous PDAC and a model of cerulein-induced
acute pancreatitis. We found that (i) CD24 expression was upregulated in murine
and human PDAC and during acute pancreatitis (ii) CD24 was expressed exclusively
in differentiated PDAC, whereas CD24 absence was associated with undifferentiated
tumors and (iii) membranous CD24 expression determines tumor subpopulations with
an epithelial phenotype in grafted models. In addition, we show that CD24 protein
is stabilized in response to WNT activation and that overexpression of CD24 in
pancreatic cancer cells upregulated beta-catenin expression augmenting an
epithelial, non-metastatic signature. Our results support a positive feedback
model according to which (i) WNT activation and subsequent beta-catenin
dephosphorylation stabilize CD24 protein expression, and (ii) sustained CD24
expression upregulates beta-catenin expression. Eventually, membranous CD24
augments the epithelial phenotype of pancreatic tumors. Thus we link the WNT/beta
catenin pathway with the regulation of CD24 in the context of PDAC
differentiation.
PMID- 27203386
TI - Phosphorylation of E-cadherin at threonine 790 by protein kinase Cdelta reduces
beta-catenin binding and suppresses the function of E-cadherin.
AB - Proper control of cell-cell adhesion is crucial for embryogenesis and tissue
homeostasis. In this study, we show that protein kinase C (PKC)delta, a member of
the novel PKC subfamily, localizes at cell-cell contacts of epithelial cells
through its C2-like domain in an F-actin-dependent manner. Upon hepatocyte growth
factor stimulation, PKCdelta is phosphorylated and activated by Src, which then
phosphorylates E-cadherin at Thr790. Phosphorylation of E-cadherin at Thr790
diminishes its interaction with beta-catenin and impairs the homophilic
interaction between the ectodomains of E-cadherin. The suppression of PKCdelta by
its dominant-negative mutants or specific short-hairpin RNA inhibits the
disruption of cell-cell adhesions induced by hepatocyte growth factor. Elevated
PKCdelta expression in cancer cells is correlated with increased phosphorylation
of E-cadherin at Thr790, reduced binding of E-cadherin to beta-catenin, and poor
homophilic interaction between E-cadherin. Analysis of surgical specimens
confirmed that PKCdelta is overexpressed in cervical cancer tissues, accompanied
by increased phosphorylation of E-cadherin at Thr790. Together, our findings
unveil a negative role for PKCdelta in cell-cell adhesion through phosphorylation
of E-cadherin.
PMID- 27203387
TI - Apigenin inhibits NF-kappaB and snail signaling, EMT and metastasis in human
hepatocellular carcinoma.
AB - Apigenin is a naturally occurring compound with anti-inflammatory, antioxidant,
and anticancer properties. In this study, we investigated the effects of apigenin
on migration and metastasis in experimental human hepatocellular carcinoma (HCC)
cell lines in vitro and in vivo. Apigenin dose-dependently inhibited
proliferation, migration, and invasion by PLC and Bel-7402 human HCC cells. It
also suppressed tumor growth in PLC cell xenografts without altering body weight,
thereby prolonging survival. Apigenin reduced Snai1 and NF-kappaB expression,
reversed increases in epithelial-mesenchymal transition (EMT) marker levels,
increased cellular adhesion, regulated actin polymerization and cell migration,
and inhibited invasion and migration by HCC cells. Apigenin may therefore inhibit
EMT by inhibiting the NF-kappaB/Snail pathway in human HCC.
PMID- 27203388
TI - Identification and validation of AIB1 and EIF5A2 for noninvasive detection of
bladder cancer in urine samples.
AB - We previously demonstrated that amplified in breast cancer 1 (AIB1) and
eukaryotic initiation factor 2 (EIF5A2) overexpression was an independent
predictor of poor clinical outcomes for patients with bladder cancer (BCa). In
this study, we evaluated the usefulness of AIB1 and EIF5A2 alone and in
combination with nuclear matrix protein 22 (NMP22) as noninvasive diagnostic
tests for BCa. Using urine samples from 135 patients (training set, controls [n =
50] and BCa [n = 85]), we detected the AIB1, EIF5A2, and NMP22 concentrations
using enzyme-linked immunosorbent assay. We applied multivariate logistic
regression analysis to build a model based on the three biomarkers for BCa
diagnosis. The diagnostic accuracy of the three biomarkers and the model were
assessed and compared by the area under the curve (AUC) of the receiver operating
characteristic. We validated the diagnostic accuracy of these biomarkers and the
model in an independent validation cohort of 210 patients. In the training set,
urinary concentrations of AIB1, EIF5A2, and NMP22 were significantly elevated in
BCa. The AUCs of AIB1, EIF5A2, NMP22, and the model were 0.846, 0.761, 0.794, and
0.919, respectively. The model had the highest diagnostic accuracy when compared
with AIB1, EIF5A2, or NMP22 (p < 0.05 for all). The model had 92% sensitivity and
92% specificity. We obtained similar results in the independent validation
cohort. AIB1 and EIF5A2 show promise for the noninvasive detection of BCa. The
model based on AIB1, EIF5A2, and NMP22 outperformed each of the three individual
biomarkers for detecting BCa.
PMID- 27203389
TI - Inhibition of chronic lymphocytic leukemia progression by full-length
chromogranin A and its N-terminal fragment in mouse models.
AB - Chronic lymphocytic leukemia (CLL) is characterized by the accumulation of
leukemic B cells in peripheral blood, bone marrow (BM) and lymphoid tissues, and
by their recirculation between these compartments. We observed that circulating
chromogranin A (CgA) and its N-terminal fragment (called vasostatin-1, CgA1-76),
two neuroendocrine secretory polypeptides that enhance the endothelial barrier
function, are present in variable amounts in the blood of CLL patients. Studies
in animal models showed that daily administration of full-length human CgA1-439
(0.3 MUg, i.v., or 1.5 MUg/mouse, i.p.) can reduce the BM/blood ratio of leukemic
cells in EMU-TCL1 mice, a transgenic model, and decrease BM, lung and kidney
infiltration in Rag2-/-gammac-/- mice engrafted with human MEC1 CLL cells, a
xenograft model. This treatment also reduced the loss of body weight and improved
animal motility. In vitro, CgA enhanced the endothelial barrier integrity and the
trans-endothelial migration of MEC1 cells, with a bimodal dose-response curve.
Vasostatin-1, but not a larger fragment consisting of N-terminal and central
regions of CgA (CgA1-373), inhibited CLL progression in the xenograft model,
suggesting that the C-terminal region is crucial for CgA activity and that the N
terminal domain contains a site that is activated by proteolytic cleavage. These
findings suggest that circulating full-length CgA and its fragments may
contribute to regulate leukemic cell trafficking and reduce tissue infiltration
in CLL.
PMID- 27203390
TI - GLK/MAP4K3 overexpression associates with recurrence risk for non-small cell lung
cancer.
AB - Lung cancer is the leading cause of cancer death worldwide. Non-small cell lung
cancer (NSCLC) accounts for 85% of total lung cancers; 40% to 60% of NSCLC
patients die of cancer recurrence after cancer resection. Since GLK (also named
MAP4K3) induces activation of NF-kappaB, which contributes to tumor progression,
we investigated the role of GLK in NSCLC. GLK protein levels of 190 samples from
pulmonary tissue arrays and 58 pulmonary resection samples from stage I to stage
III NSCLC patients were studied using immunohistochemistry or immunoblotting.
High levels of GLK proteins were detected in pulmonary tissues from NSCLC
patients. Elevated GLK protein levels were correlated with increased recurrence
risks and poor recurrence-free survival rates in NSCLC patients after adjusting
for pathologic stage, smoking status, alcohol status, and EGFR levels. Thus, GLK
is a novel prognostic biomarker for NSCLC recurrence.
PMID- 27203392
TI - NEURL rs6584555 and CAND2 rs4642101 contribute to postoperative atrial
fibrillation: a prospective study among Chinese population.
AB - Postoperative atrial fibrillation (POAF) is a serious, common complication after
coronary artery bypass grafting (CABG) surgery. Recently, 5 novel loci were
identified to be associated with atrial fibrillation susceptibility using a
combination of genotyping, eQTL mapping, and functional validation. In current
study, we aim to evaluated the positive findings for POAF susceptibility after
CABG among Chinese population, using a population-based, two-stage, nested case
control study with 1,400 patients. NEURL rs12415501 and CAND2 rs4642101 were
significantly associated with POAF susceptibility after CABG among Chinese
population in both stages. When pooled together, the ORs for each additional copy
of minor allele was 1.29 (95% CI: 1.13-1.48, P = 1.7*10-4) for NEURL rs12415501,
and 1.21 (95% CI: 1.08-1.36, P = 9.8*10-4) for CAND2 rs4642101. Functional
validation experiments found the AF risk allele of NEURL rs6584555 and CAND2
rs4642101 correlated with an increased expression of its corresponding genes
(P<0.001). In this independently collected cardiac surgery cohort, we replicated
the previous findings, and 2 novel loci are independently associated with POAF
risk in patients who undergo CABG surgery in Chinese population.
PMID- 27203391
TI - Sialic acid removal from dendritic cells improves antigen cross-presentation and
boosts anti-tumor immune responses.
AB - Dendritic cells (DCs) hold promise for anti-cancer immunotherapy. However,
clinically, their efficiency is limited and novel strategies to improve DC
mediated anti-tumor responses are needed. Human DCs display high content of
sialic acids, which inhibits their maturation and co-stimulation capacity. Here,
we aimed to understand whether exogenous desialylation of DCs improves their anti
tumor immunity. Compared to fully sialylated DCs, desialylated human DCs loaded
with tumor-antigens showed enhanced ability to induce autologous T cells to
proliferate, to secrete Th1 cytokines, and to specifically induce tumor cell
apoptosis. Desialylated DCs showed an increased expression of MHC-I and -II, co
stimulatory molecules and an augmented secretion of IL-12. Desialylated HLA
A*02:01 DCs pulsed with gp100 peptides displayed enhanced peptide presentation
through MHC-I, resulting in higher activation ofgp100280-288 specific CD8+
cytotoxic T cells. Desialylated murine DCs also exhibited increased MHC and co
stimulatory molecules and higher antigen cross-presentation via MHC-I. These DCs
showed higher ability to activate antigen-specific CD4+ and CD8+ T cells, and to
specifically induce tumor cell apoptosis. Collectively, our data demonstrates
that desialylation improves DCs' ability to elicit T cell-mediated anti-tumor
activity, due to increased MHC-I expression and higher antigen presentation via
MHC-I. Sialidase treatment of DCs may represent a technology to improve the
efficacy of antigen loaded-DC-based vaccines for anti-cancer immunotherapy.
PMID- 27203394
TI - Ultrasound-Guided Interscalene Block: Reevaluation of the "Stoplight" Sign and
Clinical Implications.
AB - BACKGROUND AND OBJECTIVES: The "stoplight" sign is a frequently described image
during ultrasound-guided interscalene block, referring to 3 hypoechoic structures
found between the anterior and middle scalene muscles.This study was designed to
establish the ultrasound-anatomy correlation of this sign and to find any other
anatomical features within the roots that could help with the interpretation of
the ultrasound images obtained at the interscalene level. METHODS: We performed
20 dissections of the brachial plexus in 10 embalmed human cadavers and
systematically analyzed and measured the roots of C5 to C7 and then correlated
these findings with ultrasonographic images on file. RESULTS: We found that the
C5 root is significantly smaller than either C6 or C7 (P < 0.0001). We also found
that C6 and C7, but not C5, frequently present macroscopic evidence of intraroot
splitting visible to the naked eye. We also found that the roots of C5 and C6,
but not of C7, present frequent variations in their relationship with the scalene
muscles. CONCLUSIONS: Our results provide the anatomic basis to define the
stoplight sign as one made of, from cephalad to caudal, the root of C5, the upper
fascicle(s) of C6, and the lower fascicle(s) of C6 without contribution from C7.
The important clinical implication is that an injection attempted between what is
commonly perceived as the gap between C6 and C7 would indeed be an intraneural
injection at C6, which could potentially spread toward the neuraxial space.
PMID- 27203393
TI - PTRF suppresses the progression of colorectal cancers.
AB - As a key component of caveolae structure on the plasma membrane, accumulated
evidence has suggested that Polymerase I and Transcript Release Factor (PTRF)
plays a pivotal role in suppressing the progression of human malignances.
However, the function of PTRF in the development of colorectal cancers is still
unclear. Here we report that the expression of PTRF is significantly reduced in
tumor tissues derived from human patients with colorectal cancers, and that the
downregulation of PTRF correlates to the advanced stage of the disease. In
addition, we found that the expression of PTRF negatively regulates the
tumorigenic activities of colorectal cell lines (Colo320, HT29 and CaCo2).
Furthermore, ectopic PTRF expression caused significant suppression of cellular
proliferation, and anchorage-independent colony growth of Colo320 cells, which
have the lowest expression level of PTRF in the three studied cell lines.
Meanwhile, shRNA mediated knockdown of PTRF in CaCo2 cells significantly promoted
cellular proliferation and anchorage-independent colony growth. In addition, in
vivo assays further revealed that tumor growth was significantly inhibited in
xenografts with ectopic PTRF expression as compared to untreated Colo320 cells,
but was markedly enhanced in PTRF knockdown CaCo2 cells. Biochemical studies
revealed that overexpression of PTRF led to the suppression of the AKT/mTOR
pathway, as evidenced by reduced phosphorylation of AKT, mTOR, and downstream MMP
9. Thus, these findings, for the first time, demonstrated that PTRF inhibits the
tumorigenesis of colorectal cancers and that it might serve as a potential
therapeutic target for human colon cancer patients.
PMID- 27203395
TI - Bleeding Complications in Patients Undergoing Celiac Plexus Block.
AB - BACKGROUND AND OBJECTIVES: Celiac plexus blockade has known risks including
bleeding and neurologic injury because of the close proximity of vascular and
neuraxial structures. The aim of this study was to determine the incidence of
bleeding complications in patients undergoing celiac plexus block (CPB), with an
emphasis on preprocedural antiplatelet medication use and coagulation status.
METHODS: This is a retrospective study from 2005 to 2014 of adult patients
undergoing CPB by the pain medicine division at a tertiary care center. The
primary outcome was red blood cell (RBC) transfusion within 72 hours of needle
placement, with a secondary outcome of bleeding complications requiring emergency
medicine, neurology, or neurosurgical evaluation within 31 days. RESULTS: A total
of 402 procedures were performed on 298 unique patients, with 58 patients (14.4%)
receiving aspirin or nonsteroidal anti-inflammatory drugs (NSAIDs)
preoperatively. Five patients (1.2%) received RBC transfusion within 72 hours, of
which one had received preprocedure NSAIDs. A platelet count measured within 30
days was available for 268 patients, with 7 patients (2.6%) having platelet
counts of 100 * 10/L or less at the time of needle placement. A total of 187
patients had a valid preoperative international normalized ratio (INR), with 9
(4.8%) having an INR of 1.5 or higher (range, 1.5-2.6). One patient (11.1%)
required RBC transfusion compared with an RBC transfusion rate of 2.3% (4 of 178)
in those with normal INR (P = 0.221). We identified no bleeding complications
requiring emergency medicine, neurology, or neurosurgical evaluation.
CONCLUSIONS: This study suggests that CPBs may be safely performed in patients
receiving aspirin and/or NSAID therapy.
PMID- 27203396
TI - Regional Anesthesia Is Cost-Effective in Preventing Unanticipated Hospital
Admission in Pediatric Patients Having Anterior Cruciate Ligament Reconstruction.
AB - BACKGROUND AND OBJECTIVES: Published studies have shown a benefit of regional
anesthesia (RA) in preventing unplanned hospital admissions (UHAs) and decreasing
hospital costs after orthopedic surgeries in adults but not pediatric patients.
We performed a retrospective analysis to assess the effect of converting from an
opioid to RA-based approach to pain management after pediatric anterior cruciate
ligament (ACL) reconstruction. METHODS: The records of patients having ACL
reconstruction were reviewed. Two groups, those with (n = 115) and without (n =
39) nerve blocks, were identified. Single-shot blocks or indwelling catheters
were performed in the operating room (OR) or a block room. Time to discharge
readiness, postoperative opiate and antiemetic consumption, hospital admission or
discharge, and complications were recorded. The cost of providing RA, the change
in UHA and postanesthesia care unit utilization, and subsequent financial impact
were calculated. RESULTS: Regional anesthesia-based pain management was
associated with a lower rate of UHA (P = 0.045), less time in postanesthesia care
unit phase II (P = 0.013), and a reduction in opioid consumption (P < 0.001). Use
of a dedicated RA team with a dedicated block room resulted in cost savings or
neutrality, whereas RA catheters placed in the OR were associated with increased
direct hospital costs. CONCLUSIONS: Regional anesthesia for pain after ACL repair
in pediatric patients facilitated reliable same-day surgery discharge and
significantly reduced UHAs. Single-shot blocks and blocks performed outside the
OR were the most cost-effective. In addition, nerve block patients required less
opioids and were ready for discharge sooner.
PMID- 27203397
TI - CD13 Autoantibodies Are Elevated in Sera From Mothers of Infants With Neonatal
Cholestasis of Different Causes.
AB - OBJECTIVES: Human cytomegalovirus (HCMV) infection induces production of CD13
specific autoantibodies, which may promote inflammation and tissue damage. HCMV
infection has been suggested as a cause of biliary atresia (BA), but little is
known of its role in other forms of neonatal cholestasis. We studied serum levels
of CD13-specific autoantibodies in mothers of infants with neonatal cholestasis
of different causes, including BA, and in mothers of healthy, term infants
without cholestasis, as well as in healthy blood donors. METHODS: Using
fluorescence-activated cell sorting, we measured CD13-specific autoantibody
levels in serum from the above-mentioned groups. In addition, the effect of serum
from mothers of infants with neonatal cholestasis was tested on the
differentiation of monocytes into macrophages. RESULTS: CD13-specific
autoantibodies were found in mothers of infants with neonatal cholestasis, but
not in mothers of infants without cholestasis and healthy blood donors, and were
associated with HCMV seropositivity. Sera from mothers of infants with all forms
of neonatal cholestasis inhibited differentiation of monocytes into macrophages,
but this was not dependent on CD13-specific autoantibodies. CONCLUSIONS: The
significantly higher frequency of CD13-specific autoantibodies in mothers of
infants with neonatal cholestasis of all forms compared with mothers of healthy
infants without cholestasis suggests an association, but does not prove that they
are pathogenic. The presence of CD13-specific autoantibodies does not correlate
with HCMV IgG serostatus, suggesting a more complicated mechanism that possibly
reflects active HCMV infection in these individuals. Further studies are needed
to elucidate whether these autoantibodies contribute to the development of
cholestasis or represent an epiphenomenon.
PMID- 27203398
TI - Cumulative Risk Impact of RET, SEMA3, and NRG1 Polymorphisms Associated With
Hirschsprung Disease in Han Chinese.
AB - OBJECTIVES: Hirschsprung disease (HSCR) is a congenital aganglionosis of
myenteric and submucosal plexuses affecting a variable length of the intestine.
The incidence of HSCR is approximately 1 of 5000 live births; however, the risk
shows remarkable individual variation caused by single nucleotide polymorphisms
(SNPs) at the RET, SEMA3, and NRG1 loci. The present study investigated the
effects of these variants on the disease development and phenotype in a Chinese
population. METHODS: In total, 6 SNPs were genotyped in a cohort consisting of
115 patients with HSCR and 117 unaffected controls using a TaqMan genotyping
assay. Histological identification of the affected-segment length (short, long,
or total colonic aganglionosis) was performed for all of the samples before DNA
extraction. RESULTS: Significant genetic risk was imparted by rs2435357 and
rs2506030 at RET and by rs12707682 at SEMA3. In addition, the average cumulative
risk score in the patients with HSCR was significantly higher than that in the
controls. Through the assessment of risk alleles by effect size, individuals were
classified into 3 weighted risk score groups: low (<=3), medium (4), and high
(>=5). Individuals in the high group were significantly more susceptible to HSCR
than those in the low group with an odds ratio of 7.7 (95% confidence interval
3.7-16.3). CONCLUSIONS: Cumulative genetic risk varied >35-fold between newborns
with zero and >5 accumulated susceptibility alleles. The SNPs rs2435357,
rs2506030, and rs12707682 may be useful for stratifying the Chinese population
into distinct risk groups.
PMID- 27203399
TI - Tunable Ferromagnetic Transition Temperature and Vertical Hysteretic Shift in
SrRuO3 Films Integrated on Si(001).
AB - SrRuO3 thin films have been epitaxially integrated on complementary metal oxide
semiconductor (CMOS) compatible Si(001) substrates via pulsed laser deposition
using a unique buffer layer (SrTiO3/TiN) approach. When the oxygen pressure
during deposition was controlled, a dramatic suppression in the ferromagnetic
transition temperature (TC) of up to 53 K was observed, caused by the growth
induced ruthenium vacancies rather than the oxygen vacancies. The ruthenium
vacancies can also effectively tune the vertical magnetization shift (Mshift) in
hysteresis loops, and thus we achieved a giant Mshift of 240%. Transport and
magnetic measurements reveal that these appreciable physical phenomena are
closely related to the ruthenium defect-induced local disorder and complex
effects due to the strongly hybridized p-d orbitals as well as the induced
lattice distortion. These observations indicate the importance of ruthenium
defects in controlling the vertical magnetization shift and ferromagnetic
transition temperature in this transitional metal oxide.
PMID- 27203400
TI - An epidemiological study to assess the true incidence and prevalence of rheumatic
heart disease and acute rheumatic fever in New Caledonian school children.
AB - AIM: To provide in New Caledonian school children (i) the prevalence of rheumatic
heart disease (RHD) detected by annual screening program using new World Heart
Federation diagnostic criteria; (ii) the point prevalence of acute rheumatic
fever (ARF); and (iii) to investigate socio-demographic risk factors associated
with RHD. METHODS: This study linked data from national ARF/RHD programs by
combining ARF incidence data from the register with RHD prevalence data from
echocardiographic screening data for a single age year of the population for
overall point prevalence ARF/RHD rates. For the analysis, cases of
echocardiographic detection of RHD are presumed to be synonymous with undiagnosed
ARF. All results were weighted to minimise the bias introduced from absent pupils
of each annual screening program. Incidence and prevalence were age-standardised
to the WHO World Standard Population. Each 2013 cumulative prevalence of definite
and borderline RHD was studied using a multivariate logistic regression adjusted
for socio-demographic factors. RESULTS: The overall age-standardised incidence of
clinical and undiagnosed ARF (i.e. echocardiographic-detected RHD) was combined
as point prevalence and estimated to be 99/10 000 cases in 2012 and 114/10 000
cases in 2013. This included 40/10 000 prevalent cases of asymptomatic RHD
detected by screening each year. Being Melanesian, OR 23.2 (95% CI: 3.4-157.3),
or Polynesian, OR 21.5 (95% CI: 2.9-157.7), was associated with a higher
prevalence of having definite RHD compared with being Caucasian. Being a girl was
associated with a higher risk of having borderline RHD, OR 1.9 (95% CI: 1.03
3.3). CONCLUSION: Without echocardiographic screening, ARF/RHD burden is
substantially underestimated.
PMID- 27203401
TI - Reducing SAR requirements in multislice volumetric single-shot spatiotemporal MRI
by two-dimensional RF pulses.
AB - PURPOSE: Spatiotemporal encoding (SPEN) can deliver single-scan MR images without
folding complications and with increased robustness to chemical shift and
susceptibility artifacts. Yet, it does so at the expense of relatively high
specific absorption rates (SAR) owing to its reliance on frequency-swept pulses.
This study describes SPEN implementations aimed at full three-dimensional (3D)
multislice imaging, possessing reduced SAR thanks to an implementation based on
new 2D radiofrequency (RF) pulses. METHODS: Fully refocused spin- and stimulated
echo SPEN sequences incorporating 2D spatial/spatial swept RF pulses were
implemented at 3 Tesla and compared to echo planar imaging. The use of effective
90-degree slice-selective excitation pulses enabled the scanning of 3D volumes
with a low SAR. RESULTS: Experiments validating the theoretical expectations were
carried out on phantoms and on human volunteers, including zooming and diffusion
measurements. The chosen sequences showed much smaller SARs than EPI, while
delivering similar sensitivities when targeting human brain and fewer distortions
when targeting human breast. CONCLUSION: Two-dimensional RF pulses can exploit
SPEN's advantages while fulfilling the SAR and multislice coverage demands
required for clinical imaging. Magn Reson Med 77:1959-1965, 2017. (c) 2016
International Society for Magnetic Resonance in Medicine.
PMID- 27203402
TI - Complementary and integrative medicine for breast cancer patients - Evidence
based practical recommendations.
AB - On average half of the breast cancer patients' population uses complementary and
integrative medicine (CIM) therapies and many of them would like to receive
information on CIM from their conventional treatment team. However, often they
don't feel comfortable in discussing CIM related questions, with their
conventional treatment team, because they think they don't have enough expertise
and available time to deal with this topic. Furthermore, information on the
evidence of CIM is not easily accessible and the available information is not
always reliable. The purpose of the current paper is to provide: 1) an overview
about the CIM interventions that have shown positive effects in breast cancer
patients and might be useful in supportive cancer care, 2) practical guidance on
how to choose and find a qualified referral to a CIM treatment: 3)
recommendations on how these interventions could be integrated into Breast Cancer
Centers and which factors should be taken into consideration in this setting.
This paper takes available CIM practice guidelines for cancer patients and
previous research on CIM implementation models into account. There are CIM
interventions that have shown a potential to reduce symptoms of cancer or cancer
treatments in breast cancer patients and the vast majority uses a non
pharmacological approach and have a good potential for implementation.
Nevertheless, further and more rigorous research is still needed.
PMID- 27203403
TI - Modal Coupling of Single Photon Emitters Within Nanofiber Waveguides.
AB - Nanoscale generation of individual photons in confined geometries is an exciting
research field aiming at exploiting localized electromagnetic fields for light
manipulation. One of the outstanding challenges of photonic systems combining
emitters with nanostructured media is the selective channelling of photons
emitted by embedded sources into specific optical modes and their transport at
distant locations in integrated systems. Here, we show that soft-matter
nanofibers, electrospun with embedded emitters, combine subwavelength field
localization and large broadband near-field coupling with low propagation losses.
By momentum spectroscopy, we quantify the modal coupling efficiency identifying
the regime of single-mode coupling. These nanofibers do not rely on resonant
interactions, making them ideal for room-temperature operation, and offer a
scalable platform for future quantum information technology.
PMID- 27203404
TI - Design, synthesis and biological evaluation of novel quinoline-based carboxylic
hydrazides as anti-tubercular agents.
AB - In this study, seventeen novel quinoline-based carboxylic hydrazides were
designed as potential anti-tubercular agents using molecular hybridization
approach and evaluated in-silico for drug-likeness behavior. The compounds were
synthesized, purified, and characterized using spectral techniques (like FTIR,
(1) H NMR, and Mass). The in-vitro anti-tubercular activity (against
Mycobacterium tuberculosisH37Ra) and cytotoxicity against human lung fibroblast
cells were studied. Among the tested hydrazides, four compounds (6h, 6j, 6l, and
6m) exhibited significant anti-tubercular activity with MIC values below 20
MUg/mL. The two most potent compounds of the series, 6j and 6m exhibited MIC
values 7.70 and 7.13 MUg/mL, respectively, against M. tuberculosis with
selectivity index >26. Structure-activity relationship studies were performed for
the tested compounds in order to explore the effect of substitution pattern on
the anti-tubercular activity of the synthesized compounds.
PMID- 27203406
TI - The author file: Hana El-Samad.
PMID- 27203407
TI - Use of Protein Cross-Linking and Radiolytic Labeling To Elucidate the Structure
of PsbO within Higher-Plant Photosystem II.
AB - We have used protein cross-linking with the zero-length cross-linker 1-ethyl-3-(3
dimethylaminopropyl) carbodiimide, and radiolytic footprinting coupled with high
resolution tandem mass spectrometry, to examine the structure of higher-plant
PsbO when it is bound to Photosystem II. Twenty intramolecular cross-linked
residue pairs were identified. On the basis of this cross-linking data, spinach
PsbO was modeled using the Thermosynechococcus vulcanus PsbO structure as a
template, with the cross-linking distance constraints incorporated using the
MODELLER program. Our model of higher-plant PsbO identifies several differences
between the spinach and cyanobacterial proteins. The N-terminal region is
particularly interesting, as this region has been suggested to be important for
oxygen evolution and for the specific binding of PsbO to Photosystem II.
Additionally, using radiolytic mapping, we have identified regions on spinach
PsbO that are shielded from the bulk solvent. These domains may represent regions
on PsbO that interact with other components, as yet unidentified, of the
photosystem.
PMID- 27203405
TI - Double epigenetic modulation of high-dose chemotherapy with azacitidine and
vorinostat for patients with refractory or poor-risk relapsed lymphoma.
AB - BACKGROUND: More active high-dose chemotherapy (HDC) regimens are needed for
refractory lymphomas. The authors previously combined infusional gemcitabine with
busulfan and melphalan (Gem/Bu/Mel) pursuing DNA damage repair inhibition.
Subsequently, they combined Gem/Bu/Mel with vorinostat, which facilitates
chemotherapy access to DNA. The resulting regimen was safe and synergistic.
However, vorinostat induced DNA methyltransferase up-regulation, which could be
preclinically abrogated by azacitidine, increasing tumor-cell kill. Those
observations led to a clinical combination of azacitidine with
vorinostat/Gem/Bu/Mel. METHODS: Patients ages 12 to 65 years with refractory or
poor-risk relapsed lymphomas were eligible. They received intravenous azacitidine
on days -11 through -3 at doses from 15 to 35 mg/m(2) daily (dose levels 1-3),
followed by oral vorinostat (1000 mg once daily on days -11 through -3),
gemcitabine (2775 mg/m(2) over 4.5 * 2), busulfan (at an area under the receiver
operating characteristic curve of 4000 daily * 4), and melphalan (60 mg/m(2) *
2). Patients who had tumors that were positive for CD20 (cluster of
differentiation 20; B-lymphocyte antigen) received rituximab on day -9. RESULTS:
In total, 60 patients were enrolled, including 26 with diffuse large B-cell
lymphoma (DLBCL) (10 double hit/double expressors), 21 with Hodgkin lymphoma, 8
with T-cell lymphoma, and 5 with other B-cell lymphomas. The median patient age
was 41 years (range, 16-65 years), patients had received a median of 3 prior
lines of chemotherapy (range, 2-7 lines of chemotherapy); and 32% of tumors were
positive on positron emission tomography studies at the time of HDC. Two patients
died from treatment complications (respiratory syncytial virus pneumonia and
sepsis, respectively). The maximum tolerated dose of azacitidine was encountered
at dose level 1 (15 mg/m(2) daily). The toxicity profile (mainly mucositis and
dermatitis) was manageable and was identical to that of vorinostat/Gem/Bu/Mel.
Neutrophils and platelets engrafted promptly. At a median follow-up of 15 months
(range, 8-27 months), the event-free and overall survival rates were 65% and 77%,
respectively, among patients with DLBCL; 76% and 95%, respectively, among
patients with Hodgkin lymphoma; and 88% for both among patients with T-cell
lymphoma. CONCLUSIONS: Double epigenetic modulation of Gem/Bu/Mel with
azacitidine/vorinostat is feasible and highly active in patients with
refractory/poor-risk relapsed lymphomas, warranting further evaluation. Cancer
2016. (c) 2016 American Cancer Society. Cancer 2016;122:2680-2688. (c) 2016
American Cancer Society.
PMID- 27203408
TI - Fatigue analysis of computer-aided design/computer-aided manufacturing resin
based composite vs. lithium disilicate glass-ceramic.
AB - Resin-based composite molar crowns made by computer-aided design/computer-aided
manufacturing (CAD/CAM) systems have been proposed as an inexpensive alternative
to metal-ceramic or all-ceramic crowns. However, there is a lack of scientific
information regarding fatigue resistance. This study aimed to analyze the fatigue
behavior of CAD/CAM resin-based composite compared with lithium disilicate glass
ceramic. One-hundred and sixty bar-shaped specimens were fabricated using resin
based composite blocks [Lava Ultimate (LU); 3M/ESPE] and lithium disilicate glass
ceramic [IPS e.max press (EMP); Ivoclar/Vivadent]. The specimens were divided
into four groups: no treatment (NT); thermal cycling (TC); mechanical cycling
(MC); and thermal cycling followed by mechanical cycling (TCMC). Thermal cycling
was performed by alternate immersion in water baths of 5 degrees C and 55 degrees
C for 5 * 10(4) cycles. Mechanical cycling was performed in a three-point bending
test, with a maximum load of 40 N, for 1.2 * 10(6) cycles. In addition, LU and
EMP molar crowns were fabricated and subjected to fatigue treatments followed by
load-to-failure testing. The flexural strength of LU was not severely reduced by
the fatigue treatments. The fatigue treatments did not significantly affect the
fracture resistance of LU molar crowns. The results demonstrate the potential of
clinical application of CAD/CAM-generated resin-based composite molar crowns in
terms of fatigue resistance.
PMID- 27203409
TI - Trends in the Epidemiology of Campylobacteriosis in Israel (1999-2012).
AB - The objective of this study was to examine the recent trends in the epidemiology
of campylobacteriosis in Israel. A Sentinel Laboratory-Based Surveillance Network
for Bacterial Enteric Diseases was established in Israel by the Israel Center for
Disease Control (ICDC). This network generated data on subjects from whom
Campylobacter spp. was isolated in community and hospital laboratories. Further
characterization of the isolates was done at the Campylobacter National Reference
Laboratory. Data from these two sources were integrated and analyzed at the ICDC.
Between 1999 and 2012, 40,978 Campylobacter stool isolates were reported to the
ICDC by the sentinel laboratories. The incidence rate of campylobacteriosis
increased from 65.7 per 100,000 in 1999 to 101.7 per 100,000 in 2012. This
increase resulted from a significant rise in the incidence of campylobacteriosis
in the Jewish population which, since 2009, surpassed the consistent higher
incidence of the disease in Israeli Arabs. The peak morbidity in Israel
consistently occurred in late spring, with a risk excess in males compared with
females, in younger age groups and earlier in the life span among Arabs than
among Jews and others. These results suggest that further analytical studies
should be carried out to identify risk factors responsible for the increased
incidence of campylobacteriosis and better direct prevention and control of the
disease in Israel.
PMID- 27203410
TI - Usefulness of Serum Calcitonin in Patients Without a Suspicious History of
Medullary Thyroid Carcinoma and with Thyroid Nodules Without an Indication for
Fine-Needle Aspiration or with Benign Cytology.
AB - This study evaluated the usefulness of serum calcitonin (Ctn) in subjects without
a suspicious history of medullary thyroid carcinoma (MTC) and with nodular
thyroid disease without an indication for fine-needle aspiration (FNA) or with
benign cytology. This was a prospective study that evaluated 421 patients with
nodular disease without an indication for FNA and 602 patients with benign
cytology. Patients with basal Ctn>10 pg/ml were submitted to calcium stimulation
testing. Patients with stimulated Ctn>100 pg/ml were submitted to total
thyroidectomy. Basal Ctn was<10 pg/ml in 1001 patients (97.8%). Among patients
with basal Ctn>10 pg/ml, 16/22 exhibited stimulated Ctn>100 pg/ml. Two of these
16 patients had MTC. The 2 patients with MTC had undetectable basal Ctn 6 months
after surgery. Using a cut-off of 30 pg/ml in women and 60 pg/ml in men for basal
Ctn, the 2 cases of MTC of our series would have been identified and there would
have been no false-positive case. It should be noted that 14/16 patients with
stimulated Ctn>100 pg/ml were false-positive cases. Although uncommon, even
subjects without a suspicious history and with nodular thyroid disease without an
indication for FNA or with benign cytology can have MTC. The measurement of Ctn
permits the diagnosis of these cases. Our results favor the hypothesis that basal
Ctn could be superior to stimulated Ctn.
PMID- 27203411
TI - Gut Microbiota as a Target in the Pathogenesis of Metabolic Disorders: A New
Approach to Novel Therapeutic Agents.
AB - As the prevalence of metabolic disorders increases dramatically, the importance
of identifying environmental factors affecting metabolism control becomes greater
accordingly. Gut microbiota, a complex ecosystem inhabiting the human
gastrointestinal tract, is one of these potential factors. Recently, the evidence
has shown the associations between alteration in gut microbiota composition and
obesity, diabetes, and osteoporosis. However, the causality of gut microbiota on
metabolic health has yet to be explored in intervention studies and the
underlying mechanisms need to be investigated more in depth. Gut microbiota plays
critical roles in the control of immunity, food intake, lipid accumulation,
production of short chain fatty acids, insulin signaling, and regulation of bone
mass. The gut microbiota represents a novel potential therapeutic strategy for
the treatment of metabolic disorders. In this review, we provide insights into
the role of the gut microbiota in metabolic disorders and its modulating
interventions such as prebiotics, probiotics, and fecal microbiota
transplantation.
PMID- 27203412
TI - Knee Bracing After Anterior Cruciate Ligament Reconstruction.
AB - Although some articles in the literature are in favor of the use of a
postoperative brace after anterior cruciate ligament (ACL) reconstruction, this
review found that several systematic reviews and other reports on the topic do
not support the use of a postoperative brace after ACL reconstruction. There is
no scientific evidence so far to support the routine use of a functional knee
brace following a successful ACL reconstruction in the postoperative course. Most
authors believe that bracing is not necessary. There is insufficient evidence to
inform current practice. Good-quality randomized trials are required to remedy
this situation. Future studies should better define the role of a brace following
ACL surgery. A search of MEDLINE for articles published between January 1, 1995,
and September 30, 2013, was performed. Key search terms used were ACL
reconstruction and knee brace. Ninety-one articles were found, but only 28
focused on the subject of bracing after ACL reconstruction and were selected for
this review. Several systematic reviews and randomized, controlled trials on the
topic do not recommend the use of postoperative brace after ACL reconstruction.
Postoperative bracing after ACL reconstruction does not seem to help with pain,
function, rehabilitation, and stability. The literature does not support the use
of a postoperative brace following ACL reconstruction. [Orthopedics. 2016;
39(4):e602-e609.].
PMID- 27203413
TI - Double-Level Pelvic Osteotomy for Managing Persistent Acetabular Dysplasia.
AB - Pemberton's osteotomy has been recognized as a standard technique for the
treatment of acetabular dysplasia. The aim of this article is to describe the
surgical technique of a double-level pelvic osteotomy. To the authors' knowledge,
this technique has never been reported. The osteotomy was performed in a case of
severe pan-acetabular dysplasia where a single, classic Pemberton's osteotomy was
not sufficient to provide adequate coverage. The described osteotomy provided
sufficient acetabular coverage and overcame the persistent acetabular dysplasia.
[Orthopedics. 2016; 39(4):e806-e809.].
PMID- 27203414
TI - Characteristics and Complications of Super-Obese Patients Who Underwent Total
Knee Arthroplasty.
AB - Preoperative demographic characteristics, comorbidities, length of stay and
surgery, and postoperative complications were compared between super-obese
(n=1042) and nonobese (n=19,929) patients who underwent total knee arthroplasty.
Super-obese patients were younger, were predominantly women, had an increased
incidence of comorbid conditions such as diabetes and hypertension as well as a
high rate of superficial and deep wound infections, and had a longer mean length
of stay and operative time. Although super-obese patients have unique demographic
characteristics and increased postoperative complications and length of stay,
they may still benefit from total knee arthroplasty. Surgeons should note their
high incidence of infections. [Orthopedics. 2016; 39(4):e800-e805.].
PMID- 27203415
TI - Same-Level Fracture of the Tibial Metal Tray and Polyethylene Insert After Total
Knee Arthroplasty.
AB - The authors report a case of failure fracture of the tibial metal tray and
polyethylene insert at the same level in a 73-year-old woman 10 years after total
knee arthroplasty using the AMK Total Knee System (DePuy, Warsaw, Indiana).
Causes of this fracture are analyzed and discussed, with the focus on the
importance of component design, position, and size. The overall aim of this case
report is for orthopedic surgeons to avoid this complication in total knee
arthroplasty by paying attention to these controllable factors. [Orthopedics.
2016; 39(4):e787-e789.].
PMID- 27203416
TI - Knee Disarticulation for a Total Knee Arthroplasty Periprosthetic Fracture.
AB - Total knee arthroplasty, although a very successful surgery, can present the
patient with several potential complications, including the risk for
periprosthetic fractures. Complications involving periprosthetic fractures after
total knee arthroplasty can present a complex clinical scenario for orthopedic
surgeons. To date, adequate literature exists to guide surgeons in approaching
most periprosthetic fractures; however, standard operating procedure can fall
short when confronted with more complex clinical scenarios. The authors present
an alternative approach, falling outside the traditional paradigm, to addressing
a periprosthetic tibial fracture in the setting of a previous total knee
arthroplasty. Given both the patient's physiological factors and the inherent
factors of the fracture, the authors found that the first-line treatments for
periprosthetic fracture, including open reduction and internal fixation and joint
revision, were inadequate and posed more potential risks than benefits for the
patient. In light of this situation, the authors elected to treat this patient's
periprosthetic fracture with a through knee amputation, as this provided the
patient with the lowest cost in morbidity while still addressing the fracture.
[Orthopedics. 2016; 39(4):e775-e778.].
PMID- 27203417
TI - Failure of a Unipolar Radial Head Prosthesis Due to Component Dissociation.
AB - Radial head implant arthroplasty is a consideration for the treatment of
comminuted, unreconstructable fractures of the radial head. Many prosthetic
designs exist and can be unipolar or bipolar, each of which can be cemented or
noncemented. For most unipolar designs, a prosthetic stem is first implanted into
the proximal radius. The prosthetic radial head is then impacted onto a morse
taper on the stem or secured with a set screw. There is little information
published on the mechanisms of failure for radial head implants, regardless of
design. The authors found 2 individual case reports that describe component
dissociation in bipolar implants. They could find no individual case reports of
implant failure due to component dissociation of a unipolar implant related to
failure of the set screw. The authors describe such a case 5 years after unipolar
radial head implant arthroplasty. The system used employs a set screw to secure
the prosthetic head onto the stem. The patient subsequently had a successful
outcome after implant removal without reimplantation. Orthopedic surgeons have
many options when considering radial head replacement implants and should be
aware of the potential complications of each. The authors present this case to
show one potential complication of unipolar prosthetic radial head implant
arthroplasty. [Orthopedics. 2016; 39(4):e771-e774.].
PMID- 27203418
TI - Nanoengineered Templated Polymer Particles: Navigating the Biological Realm.
AB - Nanoengineered materials offer tremendous promise for developing the next
generation of therapeutics. We are transitioning from simple research questions,
such as "can this particle eradicate cancer cells?" to more sophisticated ones
like "can we design a particle to preferentially deliver cargo to a specific
cancer cell type?" These developments are poised to usher in a new era of
nanoengineered drug delivery systems. We primarily work with templating methods
for engineering polymer particles and investigate their biological interactions.
Templates are scaffolds that facilitate the formation of particles with well
controlled size, shape, structure, stiffness, stability, and surface chemistry.
In the past decade, breakthroughs in engineering new templates, combined with
advances in coating techniques, including layer-by-layer (LbL) assembly, surface
polymerization, and metal-phenolic network (MPN) coordination chemistry, have
enabled particles with specific physicochemical properties to be engineered.
While materials science offers an ever-growing number of new synthesis
techniques, a central challenge of therapeutic delivery has become understanding
how nanoengineered materials interact with biological systems. Increased
collaboration between chemists, biologists, and clinicians has resulted in a vast
research output on bio-nano interactions. Our understanding of cell-particle
interactions has grown considerably, but conventional in vitro experimentation
provides limited information, and understanding how to bridge the in vitro/in
vivo gap is a continuing challenge. As has been demonstrated in other fields,
there is now a growing interest in applying computational approaches to advance
this area. A considerable knowledge base is now emerging, and with it comes new
and exciting opportunities that are already being capitalized on through the
translation of materials into the clinic. In this Account, we outline our
perspectives gained from a decade of work at the interface between polymer
particle engineering and bio-nano interactions. We divide our research into three
areas: (i) biotrafficking, including cellular association, intracellular
transport, and biodistribution; (ii) biodegradation and how to achieve
controlled, responsive release of therapeutics; and (iii) applications, including
drug delivery, controlling immunostimulatory responses, biosensing, and
microreactors. There are common challenges in these areas for groups developing
nanoengineered therapeutics. A key "lesson-learned" has been the considerable
challenge of staying informed about the developments relevant to this field.
There are a number of reasons for this, most notably the interdisciplinary nature
of the work, the large numbers of researchers and research outputs, and the
limited standardization in technique nomenclature. Additionally, a large body of
work is being generated with limited central archiving, other than vast general
databases. To help address these points, we have created a web-based tool to
organize our past, present, and future work [Bio-nano research knowledgebase,
http://bionano.eng.unimelb.edu.au/knowledge_base/ (accessed May 2, 2016)]. This
tool is intended to serve as a first step toward organizing results in this
large, complex area. We hope that this will inspire researchers, both in
generating new ideas and also in collecting, collating, and sharing their
experiences to guide future research.
PMID- 27203419
TI - Hippocampal Neurometabolite Changes in Hypothyroidism: An In Vivo (1) H Magnetic
Resonance Spectroscopy Study Before and After Thyroxine Treatment.
AB - The hippocampus is a thyroid hormone receptor-rich region of the brain. A change
in thyroid hormone levels may be responsible for an alteration in hippocampal
associated function, such as learning, memory and attention. Neuroimaging studies
have shown functional and structural changes in the hippocampus as a result of
hypothyroidism. However, the underlying process responsible for this dysfunction
remains unclear. Therefore, the present study aimed to investigate the metabolic
changes in the brain of adult hypothyroid patients during pre- and post-thyroxine
treatment using in vivo proton magnetic resonance spectroscopy ((1) H MRS). (1) H
MRS was performed in both healthy control subjects (n = 15) and hypothyroid
patients (n = 15) (before and after thyroxine treatment). The relative ratios of
the neurometabolites were calculated using the linear combination model
(LCModel). Our results revealed a significant decrease of glutamate (Glu) (P =
0.045) and myo-inositol (mI) (P = 0.002) levels in the hippocampus of hypothyroid
patients compared to controls. No significant changes in metabolite ratios were
observed in the hypothyroid patients after thyroxine treatment. The findings of
the present study reveal decreased Glu/tCr and mI/tCr ratios in the hippocampus
of hypothyroid patients and these metabolite alterations persisted even after the
patients became clinically euthyroid subsequent to thyroxine treatment.
PMID- 27203420
TI - Microvasculature of the California sea lion (Zalophus californianus) eye and its
functional significance.
AB - OBJECTIVE: To examine the ocular circulation in California sea lions (Zalophus
californianus). ANIMALS STUDIED: Eyes were obtained postmortem from three sea
lions that died while in captivity. PROCEDURES: Specimens from sea lions were
investigated using scanning electron microscopy (SEM) of vascular corrosion
casts. The thermal characteristics of live animal eyes were measured using an
infrared imaging system. RESULTS: The major orbital artery of the sea lion was
the ophthalmic artery. The artery was remarkably thick in diameter, showed a
marked convolution and formed an ophthalmic rete around the optic nerve at the
posterior pole of the eyeball. The long posterior ciliary artery terminates to
form a prominent inner arterial circle at the pupillary margin. The iridial
arteries originated from the arterial circle showing either a crimped or somewhat
coiled course, extending toward the root of the iris and formed a root supplying
a large amount of blood to the iris and ciliary bodies. The venules in the
conjunctiva formed a well-developed venous plexus. The vortex veins showed a
dilation and constriction at the site passing through the sclera. Thermographic
examination revealed that the eye showed a higher degree of thermal emission than
adjacent skin areas. CONCLUSIONS: These characteristics suggest that the ocular
vasculature might play roles in thermoregulation as well as in hemodynamics by
draining a large amount of blood so that the appropriate operating temperature
for the eye can be maintained in a deep and cold aquatic environment.
PMID- 27203421
TI - Biophysical Network Modelling of the dLGN Circuit: Different Effects of Triadic
and Axonal Inhibition on Visual Responses of Relay Cells.
AB - Despite its prominent placement between the retina and primary visual cortex in
the early visual pathway, the role of the dorsal lateral geniculate nucleus
(dLGN) in molding and regulating the visual signals entering the brain is still
poorly understood. A striking feature of the dLGN circuit is that relay cells
(RCs) and interneurons (INs) form so-called triadic synapses, where an IN
dendritic terminal can be simultaneously postsynaptic to a retinal ganglion cell
(GC) input and presynaptic to an RC dendrite, allowing for so-called triadic
inhibition. Taking advantage of a recently developed biophysically detailed
multicompartmental model for an IN, we here investigate putative effects of these
different inhibitory actions of INs, i.e., triadic inhibition and standard axonal
inhibition, on the response properties of RCs. We compute and investigate so
called area-response curves, that is, trial-averaged visual spike responses vs.
spot size, for circular flashing spots in a network of RCs and INs. The model
parameters are grossly tuned to give results in qualitative accordance with
previous in vivo data of responses to such stimuli for cat GCs and RCs. We
particularly investigate how the model ingredients affect salient response
properties such as the receptive-field center size of RCs and INs, maximal
responses and center-surround antagonisms. For example, while triadic inhibition
not involving firing of IN action potentials was found to provide only a non
linear gain control of the conversion of input spikes to output spikes by RCs,
axonal inhibition was in contrast found to substantially affect the receptive
field center size: the larger the inhibition, the more the RC center size shrinks
compared to the GC providing the feedforward excitation. Thus, a possible role of
the different inhibitory actions from INs to RCs in the dLGN circuit is to
provide separate mechanisms for overall gain control (direct triadic inhibition)
and regulation of spatial resolution (axonal inhibition) of visual signals sent
to cortex.
PMID- 27203422
TI - Canine Fibroblast Growth Factor 21 Ameliorates Hyperglycemia Associated with
Inhibiting Hepatic Gluconeogenesis and Improving Pancreatic Beta-Cell Survival in
Diabetic Mice and Dogs.
AB - Diabetes mellitus is a common endocrinopathy in dog. Fibroblast growth factor 21
(FGF-21) is a secreted protein, which is involved in glucose homeostasis. We
speculate that the recombinant canine FGF-21 (cFGF-21) has the potential to
become a powerful therapeutics to treat canine diabetes. The cFGF-21 gene was
cloned and expressed in E. coli Rosetta (DE3). After purification, a cFGF-21
protein with the purity exceeding 95% was obtained. Mouse 3T3-L1 adipocytes and
type 1 diabetic mice/dogs induced by STZ were used to examine the biological
activity of cFGF-21 in vitro and in vivo, respectively. Results showed that cFGF
21 stimulated glucose uptake in adipocytes significantly in a dose-dependent
manner, and reduced plasma glucose significantly in diabetic mice/dogs. After
treatment with cFGF-21, the serum insulin level, glycosylated hemoglobin (HbA1c)
level and the expressions of the hepatic gluconeogenesis genes (glucose-6
phosphatase, G6Pase and phosphoenolpyruvate carboxykinase, PCK) of the diabetic
mice/dogs were attenuated significantly. In the mouse experiment, we also found
that the phosphorylation of signal transducer and activator of transcription 3
(STAT3) and the expression of suppressor of cytokine signaling 3 (SOCS3) were up
regulated significantly in the livers after treatment. Histopathological and
immunohistochemical results showed that treatment with cFGF-21 promoted recovery
of pancreatic islets from STZ-induced apoptosis. Besides, we also found that
treatment with cFGF-21 protected liver against STZ or hyperglycemia induced
damage and the mechanism of this action associated with inhibiting oxidative
stress. In conclusion, cFGF-21 represents a promising candidate for canine
diabetes therapeutics. The mechanism of cFGF-21 ameliorates hyperglycemia
associated with inhibiting hepatic gluconeogenesis by regulation of STAT3 signal
pathway and improving pancreatic beta-cell survival.
PMID- 27203424
TI - Have LEGO Products Become More Violent?
AB - Although television, computer games and the Internet play an important role in
the lives of children they still also play with physical toys, such as dolls,
cars and LEGO bricks. The LEGO company has become the world's largest toy
manufacturer. Our study investigates if the LEGO company's products have become
more violent over time. First, we analyzed the frequency of weapon bricks in LEGO
sets. Their use has significantly increased. Second, we empirically investigated
the perceived violence in the LEGO product catalogs from the years 1978-2014. Our
results show that the violence of the depicted products has increased
significantly over time. The LEGO Company's products are not as innocent as they
used to be.
PMID- 27203423
TI - Nipah Virus C Protein Recruits Tsg101 to Promote the Efficient Release of Virus
in an ESCRT-Dependent Pathway.
AB - The budding of Nipah virus, a deadly member of the Henipavirus genus within the
Paramyxoviridae, has been thought to be independent of the host ESCRT pathway,
which is critical for the budding of many enveloped viruses. This conclusion was
based on the budding properties of the virus matrix protein in the absence of
other virus components. Here, we find that the virus C protein, which was
previously investigated for its role in antagonism of innate immunity, recruits
the ESCRT pathway to promote efficient virus release. Inhibition of ESCRT or
depletion of the ESCRT factor Tsg101 abrogates the C enhancement of matrix
budding and impairs live Nipah virus release. Further, despite the low sequence
homology of the C proteins of known henipaviruses, they all enhance the budding
of their cognate matrix proteins, suggesting a conserved and previously unknown
function for the henipavirus C proteins.
PMID- 27203425
TI - Preoperative Neutrophil-to-Lymphocyte Ratio as a Predictive and Prognostic Factor
for High-Grade Serous Ovarian Cancer.
AB - OBJECTIVE: We aimed to demonstrate the clinical and prognostic significance of
the preoperative neutrophil-to-lymphocyte ratio (NLR) in high-grade serous
ovarian cancer (HGSC). METHODS: We retrospectively investigated 875 patients who
underwent primary staging or debulking surgery for HGSC between April 2005 and
June 2013 at our institution. None of these patients received neoadjuvant
chemotherapy. NLR was defined as the absolute neutrophil count divided by the
absolute lymphocyte count. Progression-free survival (PFS) and overall survival
(OS) were analyzed with the Kaplan-Meier method and log-rank tests for univariate
analyses. For multivariate analyses, Cox regression analysis was used to evaluate
the effects of the prognostic factors, which were expressed as hazard ratios
(HRs). RESULTS: The NLRs ranged from 0.30 to 24.0. The median value was 3.24 and
used as the cutoff value to discriminate between the high-NLR (>=3.24) and low
NLR (<3.24) groups. A high preoperative NLR level was associated with an advanced
FIGO stage, increased CA125 level, more extensive ascites, worse cytoreduction
outcome and chemoresistance. For univariate analyses, a high NLR was associated
with reduced PFS (p<0.001) and OS (p<0.001). In multivariate analyses, a high NLR
was still an independent predictor of PFS (p = 0.011), but not OS (p = 0.148).
CONCLUSION: Our study demonstrated that NLR could reflect tumor burden and
clinical outcomes to a certain extent and should be regarded as a predictive and
prognostic parameter for HGSC.
PMID- 27203427
TI - Residual Gastric Volume After Bowel Preparation With Polyethylene Glycol for
Elective Colonoscopy: A Prospective Observational Study.
AB - GOAL: To examine the residual gastric volume (RGV) in colonoscopy after bowel
preparations with 3-L polyethylene glycol (PEG). BACKGROUND: Obstacles to high
volume bowel preparation by anesthesia providers resulting from concerns over
aspiration risk are common during colonoscopy. STUDY: Prospective measurements of
RGV were performed in patients undergoing esophagogastroduodenoscopy (EGD) and
morning colonoscopy with split-dose PEG preparation, patients undergoing EGD and
afternoon colonoscopy with same-day PEG preparation, and patients undergoing EGD
alone under moderate conscious sedation. Colonoscopy patients were allowed to
ingest clear liquids until 2 hours before the procedure. Patients undergoing EGD
alone were instructed to eat/drink nothing after midnight. RESULTS: There were
860 evaluated patients, including 330 in the split-dose preparation group, 100 in
the same-day preparation group, and 430 in the EGD-only group. Baseline
demographics and disease/medication factors were similar. The mean RGV in
patients receiving the same-day preparation (35.4 mL or 0.56 mL/kg) was
significantly higher than that in patients receiving the split-dose preparation
(28.5 mL or 0.45 mL/kg) and in patients undergoing EGD alone (22.8 mL or 0.36
mL/kg) (P=0.023 and P<0.0001, respectively). Within the bowel-preparation groups,
patients with fasting times of 2 to 3 hours had similar RGV compared with
patients who had fasting times >3 hours. The shape of the distribution and the
range of RGV among the 3 study groups were similar. No aspiration occurred in any
group. CONCLUSIONS: PEG bowel preparations increase RGV mildly, but seem to have
no clinical significance. These results support the current fasting guidelines
for colonoscopy.
PMID- 27203426
TI - Antimicrobial Functions of Lactoferrin Promote Genetic Conflicts in Ancient
Primates and Modern Humans.
AB - Lactoferrin is a multifunctional mammalian immunity protein that limits microbial
growth through sequestration of nutrient iron. Additionally, lactoferrin
possesses cationic protein domains that directly bind and inhibit diverse
microbes. The implications for these dual functions on lactoferrin evolution and
genetic conflicts with microbes remain unclear. Here we show that lactoferrin has
been subject to recurrent episodes of positive selection during primate
divergence predominately at antimicrobial peptide surfaces consistent with long
term antagonism by bacteria. An abundant lactoferrin polymorphism in human
populations and Neanderthals also exhibits signatures of positive selection
across primates, linking ancient host-microbe conflicts to modern human genetic
variation. Rapidly evolving sites in lactoferrin further correspond to molecular
interfaces with opportunistic bacterial pathogens causing meningitis, pneumonia,
and sepsis. Because microbes actively target lactoferrin to acquire iron, we
propose that the emergence of antimicrobial activity provided a pivotal mechanism
of adaptation sparking evolutionary conflicts via acquisition of new protein
functions.
PMID- 27203428
TI - The Gastric Remnant in Roux-en-Y Gastric Bypass: Challenges and Possibilities.
AB - Laparoscopic Roux-en-Y gastric bypass (RYGB) is widely applied in the treatment
of morbid obesity. Health personnel meeting these patients should thus be
familiar with the potential clinical consequences of the modified anatomy induced
by the surgery. After a RYGB, the stomach is left in situ after the closure of
the upper part of the organ. This blind-ended gastric remnant may cause
complications and surgical emergencies, but also opportunities for diagnostic and
therapeutic intervention. The present review focuses on complications related to
the gastric remnant including bleeding and acute dilatation in the early
postoperative period and later adverse events such as gastroduodenal peptic
disease, tumors, gastrogastric fistulas, and late dilatation. Opportunities
offered by the remnant, including minimal invasive or open access for enteral
nutrition, and therapeutic and diagnostic access to the bile ducts, the duodenum,
and the gastric remnant, which is challenged by the modified anatomy, are
discussed. Reversal of the gastric bypass and gastrointestinal reconstruction
after esophageal resection have been commented on. The review aims to improve the
awareness of issues related to the gastric remnant for physicians involved in the
treatment and the follow-up of patients after a RYGB.
PMID- 27203429
TI - Vanishing Bile Duct Syndrome in Hodgkin's Lymphoma: A Single Center Experience
and Clinical Pearls.
PMID- 27203430
TI - Subclinical Ascites Does Not Affect the Long-term Prognosis in Hepatitis B Virus
related Cirrhosis Patients Receiving Antivirals.
AB - BACKGROUND AND AIMS: This study evaluated the clinical significance of
subclinical ascites in patients with hepatitis B virus-related cirrhosis treated
with lamivudine (LMV) or entecavir (ETV). METHODS: This multicenter retrospective
study involved 8 hospitals. Patients were classified by degree of ascites: (1) no
ascites (no ascites on imaging, no diuretics), (2) subclinical ascites (small
amount of ascites on imaging, no diuretics), and (3) clinical ascites (moderate
to severe ascites or diuretics). RESULTS: Out of 501 patients, 336 (68%), 51
(10%), and 114 (23%) patients were classified as no-ascites, subclinical ascites,
and clinical ascites, respectively. In all, 100 (20%) and 401 (80%) were treated
with LMV and ETV, respectively. Over 58+/-24 months of follow-up, 105 patients
(21%) developed hepatocellular carcinoma. The cumulative incidence of
hepatocellular carcinoma did not differ between LMV-treated and ETV-treated
patients (P=0.61); it was higher in the clinical-ascites group than the no
ascites (P=0.054) and subclinical-ascites (P=0.03) groups, but it was comparable
between the latter 2 (P=0.225). Forty-five patients (9%) died during follow-up.
Survival was significantly shorter in the clinical-ascites group than the other 2
(both P<0.005), but it was comparable between no-ascites and subclinical-ascites
groups (P=0.444). Multivariate analysis showed that mortality was significantly
associated with prothrombin time [hazard ratio (HR)=2.42; 95% confidence interval
(CI), 1.59-3.70], serum albumin (HR=0.54; 95% CI, 0.29-0.99), and presence of
clinical ascites (HR=3.58; 95% CI, 1.54-8.30). CONCLUSIONS: Subclinical ascites
did not affect prognosis in patients with hepatitis B virus-related cirrhosis
receiving antiviral treatment.
PMID- 27203433
TI - A Difference-in-Differences Approach to Assess the Effect of a Heat Action Plan
on Heat-Related Mortality, and Differences in Effectiveness According to Sex,
Age, and Socioeconomic Status (Montreal, Quebec).
AB - BACKGROUND: The impact of heat waves on mortality and health inequalities is well
documented. Very few studies have assessed the effectiveness of heat action plans
(HAPs) on health, and none has used quasi-experimental methods to estimate causal
effects of such programs. OBJECTIVES: We developed a quasi-experimental method to
estimate the causal effects associated with HAPs that allows the identification
of heterogeneity across subpopulations, and to apply this method specifically to
the case of the Montreal (Quebec, Canada) HAP. METHODS: A difference-in
differences approach was undertaken using Montreal death registry data for the
summers of 2000-2007 to assess the effectiveness of the Montreal HAP, implemented
in 2004, on mortality. To study equity in the effect of HAP implementation, we
assessed whether the program effects were heterogeneous across sex (male vs.
female), age (>= 65 years vs. < 65 years), and neighborhood education levels
(first vs. third tertile). We conducted sensitivity analyses to assess the
validity of the estimated causal effect of the HAP program. RESULTS: We found
evidence that the HAP contributed to reducing mortality on hot days, and that the
mortality reduction attributable to the program was greater for elderly people
and people living in low-education neighborhoods. CONCLUSION: These findings show
promise for programs aimed at reducing the impact of extreme temperatures and
health inequities. We propose a new quasi-experimental approach that can be
easily applied to evaluate the impact of any program or intervention triggered
when daily thresholds are reached. Citation: Benmarhnia T, Bailey Z, Kaiser D,
Auger N, King N, Kaufman J. 2016. A difference-in-differences approach to assess
the effect of a heat action plan on heat-related mortality, and differences in
effectiveness according to sex, age, and socioeconomic status (Montreal, Quebec).
Environ Health Perspect 124:1694-1699; http://dx.doi.org/10.1289/EHP203.
PMID- 27203434
TI - Comparing the Effectiveness of Bevacizumab to Ranibizumab in Patients with
Exudative Age-Related Macular Degeneration. The BRAMD Study.
AB - PURPOSE: To compare the effectiveness of bevacizumab and ranibizumab in the
treatment of exudative age-related macular degeneration (AMD). DESIGN:
Multicentre, randomized, controlled, double-masked clinical trial in 327
patients. The non-inferiority margin was 4 letters. PATIENTS: Patients >= 60
years of age with primary or recurrent sub- or juxtafoveal choroidal
neovascularization (CNV) secondary to AMD with a total area of CNV < 12 disc
areas and a best corrected visual acuity (BCVA) score between 20 and 78 letters
on an EDTRS like chart in the study eye. METHODS: Monthly intravitreal injections
with 1.25 mg bevacizumab or 0.5 mg ranibizumab were given during one year.
Intention to treat with last observation carried forward analysis was performed.
MAIN OUTCOME MEASURES: Primary outcome was the change in BCVA in the study eye
from baseline to 12 months. RESULTS: The mean gain in BCVA was 5.1 (+/-14.1)
letters in the bevacizumab group (n = 161) and 6.4 (+/-12.2) letters in the
ranibizumab group (n = 166) (p = 0.37). The lower limit of the 95% confidence
interval of the difference in BCVA gain was 3.72. The response to bevacizumab was
more varied; 24% of patients showed a gain of >=15 letters, 11% a loss of >=15
letters and 65% a gain or loss < 15 letters compared to 19%, 5% and 76%
respectively for ranibizumab (p = 0.038). No significant differences in absolute
CRT and CRT change (p = 0.13) or in the presence of subretinal or intraretinal
fluid (p = 0.14 and 0.10, respectively) were observed. However, the presence of
any fluid on SD-OCT (subretinal and/or intraretinal) differed significantly (p =
0.020), with definite fluid on SD-OCT in 45% of the patients for bevacizumab
versus 31% for ranibizumab. The occurrence of serious adverse events and adverse
events was similar, with 34 SAEs and 256 AEs in the bevacizumab group and 37 SAEs
and 299 AEs in the ranibizumab group (p = 0.87 and p = 0.48, respectively).
CONCLUSIONS: Bevacizumab was not inferior to ranibizumab. The response to
bevacizumab was more varied with higher percentages of both gainers and losers
and more frequently observed retinal fluid on SD-OCT at 12 months when compared
to the ranibizumab group. TRIAL REGISTRATION: Trialregister.nl NTR1704.
PMID- 27203435
TI - Prevalence of Periodontitis in Patients with Established Rheumatoid Arthritis: A
Swedish Population Based Case-Control Study.
AB - INTRODUCTION: The possible hypothesis of a link between periodontitis and
rheumatoid arthritis (RA), specifically anti-citrullinated protein antibody
(ACPA) positive RA, prompted us to investigate the prevalence of periodontitis in
the Swedish Epidemiological Investigation of RA (EIRA), a well-characterised
population-based RA case-control cohort. METHODS: Periodontal status of 2,740 RA
cases and 3,942 matched controls was retrieved through linking EIRA with the
National Dental Health Registry (DHR), where dental diagnostic- and treatment
codes on the adult Swedish population have been registered. Dental records from
100 cases and controls were reviewed to validate the periodontal diagnostic codes
in DHR. RESULTS: The reviewed dental records confirmed 90% of the periodontitis
diagnoses in DHR among RA cases, and 88% among controls. We found the positive
predictive value of periodontitis diagnoses in the DHR to be 89% (95% CI 78 to
95%) with a sensitivity of 77% (95% CI: 65 to 86%). In total, 86% of EIRA
participants were identified in DHR. The risk for periodontitis increased by age
and current smoking status in both cases as well as controls. No significant
differences in prevalence of periodontal disease in terms of gingivitis,
periodontitis, peri-implantitis or increased risk for periodontitis or peri
implantitis were observed between RA cases and controls. In addition, there was
no difference on the basis of seropositivity, ACPA or rheumatoid factor (RF),
among patients with RA. CONCLUSIONS: Our data verify that smoking and ageing are
risk factors for periodontitis, both in RA and controls. We found no evidence of
an increased prevalence of periodontitis in patients with established RA compared
to healthy controls, and no differences based on ACPA or RF status among RA
subjects.
PMID- 27203436
TI - Immune Cell Targets of Infection at the Tick-Skin Interface during Powassan Virus
Transmission.
AB - Powassan virus (POWV) is a tick-borne flavivirus that can result in a severe
neuroinvasive disease with 50% of survivors displaying long-term neurological
sequelae. Human POWV cases have been documented in Canada, the United States, and
Russia. Although the number of reported POWV human cases has increased in the
past fifteen years, POWV remains one of the less studied human pathogenic
flaviviruses. Ixodes ticks are the vectors for POWV, and the virus is transmitted
to a host's skin very early during the tick feeding process. Central to the
successful transmission of a tick-borne pathogen are complex interactions between
the host immune response and early tick-mediated immunomodulation, all of which
initially occur at the skin interface. In our prior work, we examined the
cutaneous immune gene expression during the early stages of POWV-infected Ixodes
scapularis feeding. The present study serves to further investigate the skin
interface by identifying early cell targets of infection at the POWV-infected
tick feeding site. An in vivo infection model consisting of POWV-infected ticks
feeding on mice for short durations was used in this study. Skin biopsies from
the tick feeding sites were harvested at various early time points, enabling us
to examine the skin histopathology and detect POWV viral antigen in immune cells
present at the tick feeding site. The histopathology from the present study
demonstrates that neutrophil and mononuclear cell infiltrates are recruited
earlier to the feeding site of a POWV-infected tick versus an uninfected tick.
This is the first report demonstrating that macrophages and fibroblasts contain
POWV antigens, which suggests that they are early cellular targets of infection
at the tick feeding site. These data provide key insights towards defining the
complex interactions between the host immune response and early tick-mediated
immunomodulation.
PMID- 27203437
TI - High Efficiency CRISPR/Cas9-mediated Gene Editing in Primary Human T-cells Using
Mutant Adenoviral E4orf6/E1b55k "Helper" Proteins.
AB - Many future therapeutic applications of Clustered Regularly Interspaced Short
Palindromic Repeats (CRISPR)/Cas9 and related RNA-guided nucleases are likely to
require their use to promote gene targeting, thus necessitating development of
methods that provide for delivery of three components-Cas9, guide RNAs and
recombination templates-to primary cells rendered proficient for homology
directed repair. Here, we demonstrate an electroporation/transduction codelivery
method that utilizes mRNA to express both Cas9 and mutant adenoviral E4orf6 and
E1b55k helper proteins in association with adeno-associated virus (AAV) vectors
expressing guide RNAs and recombination templates. By transiently enhancing
target cell permissiveness to AAV transduction and gene editing efficiency, this
novel approach promotes efficient gene disruption and/or gene targeting at
multiple loci in primary human T-cells, illustrating its broad potential for
application in translational gene editing.
PMID- 27203438
TI - Mesenchymal Stem Cells Deliver Exogenous MicroRNA-let7c via Exosomes to Attenuate
Renal Fibrosis.
AB - The advancement of microRNA (miRNA) therapies has been hampered by difficulties
in delivering miRNA to the injured kidney in a robust and sustainable manner.
Using bioluminescence imaging in mice with unilateral ureteral obstruction (UUO),
we report that mesenchymal stem cells (MSCs), engineered to overexpress miRNA
let7c (miR-let7c-MSCs), selectively homed to damaged kidneys and upregulated miR
let7c gene expression, compared with nontargeting control (NTC)-MSCs. miR-let7c
MSC therapy attenuated kidney injury and significantly downregulated collagen
IValpha1, metalloproteinase-9, transforming growth factor (TGF)-beta1, and TGF
beta type 1 receptor (TGF-betaR1) in UUO kidneys, compared with controls. In
vitro analysis confirmed that the transfer of miR-let7c from miR-let7c-MSCs
occurred via secreted exosomal uptake, visualized in NRK52E cells using cyc3
labeled pre-miRNA-transfected MSCs with/without the exosomal inhibitor, GW4869.
The upregulated expression of fibrotic genes in NRK52E cells induced by TGF-beta1
was repressed following the addition of isolated exosomes or indirect coculture
of miR-let7c-MSCs, compared with NTC-MSCs. Furthermore, the cotransfection of
NRK52E cells using the 3'UTR of TGF-betaR1 confirmed that miR-let7c attenuates
TGF-beta1-driven TGF-betaR1 gene expression. Taken together, the effective
antifibrotic function of engineered MSCs is able to selectively transfer miR
let7c to damaged kidney cells and will pave the way for the use of MSCs for
therapeutic delivery of miRNA targeted at kidney disease.
PMID- 27203439
TI - Translational Implications for Off-the-shelf Immune Cells Expressing Chimeric
Antigen Receptors.
AB - Chimeric antigen receptor (CAR) endows specificity to T-cells independent of
human leukocyte antigen (HLA). This enables one immunoreceptor to directly target
the same surface antigen on different subsets of tumor cells from multiple HLA
disparate recipients. Most approaches manufacture individualized CAR(+)T-cells
from the recipient or HLA-compatible donor, which are revealing promising
clinical results. This is the impetus to broaden the number of patients eligible
to benefit from adoptive immunotherapy such as to infuse third-party donor
derived CAR(+)T-cells. This will overcome issues associated with (i) time to
manufacture T-cells, (ii) cost to generate one product for one patient, (iii)
inability to generate a product from lymphopenic patients or patient's immune
cells fail to complete the manufacturing process, and (iv) heterogeneity of T
cell products produced for or from individual recipients. Establishing a biobank
of allogeneic genetically modified immune cells from healthy third-party donors,
which are cryopreserved and validated in advance of administration, will
facilitate the centralizing manufacturing and widespread distribution of CAR(+)T
cells to multiple points-of-care in a timely manner. To achieve this, it is
necessary to engineer an effective strategy to avoid deleterious allogeneic
immune responses leading to toxicity and rejection. We review the strategies to
establish "off-the-shelf" donor-derived biobanks for human application of CAR(+)T
cells as a drug.
PMID- 27203440
TI - Genome Therapy of Myotonic Dystrophy Type 1 iPS Cells for Development of
Autologous Stem Cell Therapy.
AB - Myotonic dystrophy type 1 (DM1) is caused by expanded Cytosine-Thymine-Guanine
(CTG) repeats in the 3'-untranslated region (3' UTR) of the Dystrophia myotonica
protein kinase (DMPK) gene, for which there is no effective therapy. The
objective of this study is to develop genome therapy in human DM1 induced
pluripotent stem (iPS) cells to eliminate mutant transcripts and reverse the
phenotypes for developing autologous stem cell therapy. The general approach
involves targeted insertion of polyA signals (PASs) upstream of DMPK CTG repeats,
which will lead to premature termination of transcription and elimination of
toxic mutant transcripts. Insertion of PASs was mediated by homologous
recombination triggered by site-specific transcription activator-like effector
nuclease (TALEN)-induced double-strand break. We found genome-treated DM1 iPS
cells continue to maintain pluripotency. The insertion of PASs led to elimination
of mutant transcripts and complete disappearance of nuclear RNA foci and reversal
of aberrant splicing in linear-differentiated neural stem cells, cardiomyocytes,
and teratoma tissues. In conclusion, genome therapy by insertion of PASs upstream
of the expanded DMPK CTG repeats prevented the production of toxic mutant
transcripts and reversal of phenotypes in DM1 iPS cells and their progeny. These
genetically-treated iPS cells will have broad clinical application in developing
autologous stem cell therapy for DM1.
PMID- 27203441
TI - CRISPR Repair Reveals Causative Mutation in a Preclinical Model of Retinitis
Pigmentosa.
AB - Massive parallel sequencing enables identification of numerous genetic variants
in mutant organisms, but determining pathogenicity of any one mutation can be
daunting. The most commonly studied preclinical model of retinitis pigmentosa
called the "rodless" (rd1) mouse is homozygous for two mutations: a nonsense
point mutation (Y347X) and an intronic insertion of a leukemia virus (Xmv-28).
Distinguishing which mutation causes retinal degeneration is still under debate
nearly a century after the discovery of this model organism. Here, we performed
gene editing using the CRISPR/Cas9 system and demonstrated that the Y347X
mutation is the causative variant of disease. Genome editing in the first
generation produced animals that were mosaic for the corrected allele but still
showed neurofunction preservation despite low repair frequencies. Furthermore,
second-generation CRISPR-repaired mice showed an even more robust rescue and
amelioration of the disease. This predicts excellent outcomes for gene editing in
diseased human tissue, as Pde6b, the mutated gene in rd1 mice, has an orthologous
intron-exon relationship comparable with the human PDE6B gene. Not only do these
findings resolve the debate surrounding the source of neurodegeneration in the
rd1 model, but they also provide the first example of homology-directed
recombination-mediated gene correction in the visual system.
PMID- 27203442
TI - Neural Stem Cells Engineered to Express Three Therapeutic Factors Mediate
Recovery from Chronic Stage CNS Autoimmunity.
AB - Treatment of chronic neurodegenerative diseases such as multiple sclerosis (MS)
remains a major challenge. Here we genetically engineer neural stem cells (NSCs)
to produce a triply therapeutic cocktail comprising IL-10, NT-3, and LINGO-1-Fc,
thus simultaneously targeting all mechanisms underlie chronicity of MS in the
central nervous system (CNS): persistent inflammation, loss of trophic support
for oligodendrocytes and neurons, and accumulation of neuroregeneration
inhibitors. After transplantation, NSCs migrated into the CNS inflamed foci and
delivered these therapeutic molecules in situ. NSCs transduced with one, two, or
none of these molecules had no or limited effect when injected at the chronic
stage of experimental autoimmune encephalomyelitis; cocktail-producing NSCs, in
contrast, mediated the most effective recovery through inducing M2
macrophages/microglia, reducing astrogliosis, and promoting axonal integrity and
endogenous oligodendrocyte/neuron differentiation. These engineered NSCs
simultaneously target major mechanisms underlying chronicity of multiple
sclerosis (MS) and encephalomyelitis (EAE), thus representing a novel and
potentially effective therapy for the chronic stage of MS, for which there is
currently no treatment available.
PMID- 27203443
TI - Strand and Cell Type-specific Function of microRNA-126 in Angiogenesis.
AB - microRNAs or miRs have been shown to be pivotal modulators of vascular
development. The strand and cell type-specific function of miR-126 in
angiogenesis, especially pathological angiogenesis, remains poorly defined. We
characterized the retinal vascular phenotype of miR-126-/- mice, and tested the
function of miR-126 strands (miR-126-3p and -5p) using in vitro angiogenesis
models and a mouse model of neovascular age-related macular degeneration. We
found that miR-126 is critical for retinal vascular development but has dual
function in pathological angiogenesis. miR-126-/- mice showed defective postnatal
retinal vascular development and remodeling, which is partially rescued by
genetic knockout of its target gene Spred-1. Surprisingly, either silencing miR
126-3p by LNA-antimiR or overexpressing miR-126-3p by miRNA mimic repressed laser
induced choroidal neovascularization. To dissect the underlying mechanism, we
found in endothelial cells, silencing of miR-126-3p repressed angiogenesis, while
overexpression of miR-126-5p enhanced angiogenesis. However, in retinal pigment
epithelial cells, miR-126-3p repressed vascular endothelial growth factor (VEGF
A) expression via a novel mechanism of regulating alphaB-Crystallin promoter
activity and by directly targeting VEGF-A 3'-untranslated region. These findings
provide first genetic evidence that miR-126 is required for the development of
different retinal vascular layers, and also uncover a strand and cell type
specific function of miR-126 in ocular pathological angiogenesis.
PMID- 27203444
TI - Complete Spectrum of CRISPR/Cas9-induced Mutations on HBV cccDNA.
AB - Hepatitis B virus (HBV) causes chronic infections that cannot yet be cured. The
virus persists in infected hepatocytes, because covalently closed circular DNA
(cccDNA), the template for the transcription of viral RNAs, is stable in
nondividing cells. Antiviral therapies with nucleoside analogues inhibit HBV DNA
synthesis in capsids in the cytoplasm of infected hepatocytes, but do not destroy
nuclear cccDNA. Because over 200 million people are still infected, a cure for
chronic hepatitis B (CHB) has become one of the major challenges in antiviral
therapy. As a first step toward the development of curative therapies, we
previously demonstrated that the CRISPR/Cas9 system can be used to functionally
inactivate cccDNA derived from infectious HBV. Moreover, some evidence suggests
that certain cytokines might induce an APOBEC-mediated cascade leading to the
destruction of cccDNA. In this report we investigated whether a combination of
the two mechanisms could act synergistically to inactivate cccDNA. Using next
generation sequencing (NGS), we determined the complete spectrum of mutations in
cccDNA following Cas9 cleavage and repair by nonhomologous end joining (NHEJ). We
found that over 90% of HBV DNA was cleaved by Cas9. In addition our results
showed that editing of HBV DNA after Cas9 cleavage is at least 15,000 times more
efficient that APOBEC-mediated cytosine deamination following treatment of
infected cells with interferon alpha (IFNalpha). We also found that a previously
used method to detect cytosine deaminated DNA, termed 3D-PCR, overestimates the
amount and frequency of edited HBV DNA. Taken together, our results demonstrated
that the CRISPR/Cas9 system is so far the best method to functionally inactivate
HBV cccDNA and provide a cure for CHB.
PMID- 27203445
TI - Phase 1 Study of Intravenous Oncolytic Poxvirus (vvDD) in Patients With Advanced
Solid Cancers.
AB - We have conducted a phase 1 study of intravenous vvDD, a Western Reserve strain
oncolytic vaccinia virus, on 11 patients with standard treatment-refractory
advanced colorectal or other solid cancers. The primary endpoints were maximum
tolerated dose and associated toxicity while secondary endpoints were
pharmacokinetics, pharmacodynamics, immune responses, and antitumor activity. No
dose-limiting toxicities and treatment related severe adverse events were
observed. The most common adverse events were grades 1/2 flu-like symptoms. Virus
genomes were detectable in the blood 15-30 minutes after virus administration in
a dose-dependent manner. There was evidence of a prolonged virus replication in
tumor tissues in two patients, but no evidence of virus replication in non-tumor
tissues, except a healed injury site and an oral thrush. Over 100-fold of anti
viral antibodies were induced in patients' sera. A strong induction of
inflammatory and Th1, but not Th2 cytokines, suggested a potent Th1-mediated
immunity against the virus and possibly the cancer. One patient showed a mixed
response on PET-CT with resolution of some liver metastases, and another patient
with cutaneous melanoma demonstrated clinical regression of some lesions. Given
the confirmed safety, further trials evaluating intravenous vvDD in combination
with therapeutic transgenes, immune checkpoint blockade or complement inhibitors,
are warranted.
PMID- 27203447
TI - Studying Protein-Protein Binding through T-Jump Induced Dissociation: Transient
2D IR Spectroscopy of Insulin Dimer.
AB - Insulin homodimer associates through the coupled folding and binding of two
partially disordered monomers. We aim to understand this dynamics by observing
insulin dimer dissociation initiated with a nanosecond temperature jump using
transient two-dimensional infrared spectroscopy (2D IR) of amide I vibrations.
With the help of equilibrium FTIR and 2D IR spectra, and through a systematic
study of the dependence of dissociation kinetics on temperature and insulin
concentration, we are able to decompose and analyze the spectral evolution
associated with different secondary structures. We find that the dissociation
under all conditions is characterized by two processes whose influence on the
kinetics varies with temperature: the unfolding of the beta sheet at the dimer
interface observed as exponential kinetics between 250 and 1000 MUs and
nonexponential kinetics between 5 and 150 MUs that we attribute to monomer
disordering. Microscopic reversibility arguments lead us to conclude that dimer
association requires significant conformational changes within the monomer in
concert with the folding of the interfacial beta sheet. While our data indicates
a more complex kinetics, we apply a two-state model to the beta-sheet unfolding
kinetics to extract thermodynamic parameters and kinetic rate constants. The
association rate constant, ka (23 degrees C) = 8.8 * 10(5) M(-1) s(-1) (pH 0,
20% EtOD), is approximately 3 orders of magnitude slower than the calculated
diffusion limited association rate, which is explained by the significant
destabilizing effect of ethanol on the dimer state and the highly positive charge
of the monomers at this pH.
PMID- 27203446
TI - Boosting Central Nervous System Axon Regeneration by Circumventing Limitations of
Natural Cytokine Signaling.
AB - Retinal ganglion cells (RGCs) do not normally regenerate injured axons, but die
upon axotomy. Although IL-6-like cytokines are reportedly neuroprotective and
promote optic nerve regeneration, their overall regenerative effects remain
rather moderate. Here, we hypothesized that direct activation of the gp130
receptor by the designer cytokine hyper-IL-6 (hIL-6) might induce stronger RGC
regeneration than natural cytokines. Indeed, hIL-6 stimulated neurite growth of
adult cultured RGCs with significantly higher efficacy than CNTF or IL-6. This
neurite growth promoting effect could be attributed to stronger activation of the
JAK/STAT3 and PI3K/AKT/mTOR signaling pathways and was also observed in
peripheral dorsal root ganglion neurons. Moreover, hIL-6 abrogated axon growth
inhibition by central nervous system (CNS) myelin. Remarkably, continuous hIL-6
expression upon RGC-specific AAV transduction after optic nerve crush exerted
stronger axon regeneration than other known regeneration promoting treatments
such as lens injury and PTEN knockout, with some axons growing through the optic
chiasm 6 weeks after optic nerve injury. Combination of hIL-6 with RGC-specific
PTEN knockout further enhanced optic nerve regeneration. Therefore, direct
activation of gp130 signaling might be a novel, clinically applicable approach
for robust CNS repair.
PMID- 27203448
TI - Unusual Synthetic Pathway for an {Fe(NO)2}(9) Dinitrosyl Iron Complex (DNIC) and
Insight into DNIC Electronic Structure via Nuclear Resonance Vibrational
Spectroscopy.
AB - Dinitrosyl iron complexes (DNICs) are among the most abundant NO-derived cellular
species. Monomeric DNICs can exist in the {Fe(NO)2}(9) or {Fe(NO)2}(10) oxidation
state (in the Enemark-Feltham notation). However, experimental studies of
analogous DNICs in both oxidation states are rare, which prevents a thorough
understanding of the differences in the electronic structures of these species.
Here, the {Fe(NO)2}(9) DNIC [Fe(dmp)(NO)2](OTf) (1; dmp = 2,9-dimethyl-1,10
phenanthroline) is synthesized from a ferrous precursor via an unusual pathway,
involving disproportionation of an {FeNO}(7) complex to yield the {Fe(NO)2}(9)
DNIC and a ferric species, which is subsequently reduced by NO gas to generate a
ferrous complex that re-enters the reaction cycle. In contrast to most
{Fe(NO)2}(9) DNICs with neutral N-donor ligands, 1 exhibits high solution
stability and can be characterized structurally and spectroscopically. Reduction
of 1 yields the corresponding {Fe(NO)2}(10) DNIC [Fe(dmp)(NO)2] (2). The
Mossbauer isomer shift of 2 is 0.08 mm/s smaller than that of 1, which indicates
that the iron center is slightly more oxidized in the reduced complex. The
nuclear resonance vibrational spectra (NRVS) of 1 and 2 are distinct and provide
direct experimental insight into differences in bonding in these complexes. In
particular, the symmetric out-of-plane Fe-N-O bending mode is shifted to higher
energy by 188 cm(-1) in 2 in comparison to 1. Using quantum chemistry centered
normal coordinate analysis (QCC-NCA), this is shown to arise from an increase in
Fe-NO bond order and a stiffening of the Fe(NO)2 unit upon reduction of 1 to 2.
DFT calculations demonstrate that the changes in bonding arise from an iron
centered reduction which leads to a distinct increase in Fe-NO pi-back-bonding in
{Fe(NO)2}(10) DNICs in comparison to the corresponding {Fe(NO)2}(9) complexes, in
agreement with all experimental findings. Finally, the implications of the
electronic structure of DNICs for their reactivity are discussed, especially with
respect to N-N bond formation in NO reductases.
PMID- 27203453
TI - Unraveling the Mechanism of Nanoscale Mechanical Reinforcement in Glassy Polymer
Nanocomposites.
AB - The mechanical reinforcement of polymer nanocomposites (PNCs) above the glass
transition temperature, Tg, has been extensively studied. However, not much is
known about the origin of this effect below Tg. In this Letter, we unravel the
mechanism of PNC reinforcement within the glassy state by directly probing
nanoscale mechanical properties with atomic force microscopy and macroscopic
properties with Brillouin light scattering. Our results unambiguously show that
the "glassy" Young's modulus in the interfacial polymer layer of PNCs is two
times higher than in the bulk polymer, which results in significant reinforcement
below Tg. We ascribe this phenomenon to a high stretching of the chains within
the interfacial layer. Since the interfacial chain packing is essentially
temperature independent, these findings provide a new insight into the mechanical
reinforcement of PNCs also above Tg.
PMID- 27203452
TI - Teaching basic life support with an automated external defibrillator using the
two-stage or the four-stage teaching technique.
AB - INTRODUCTION: Laypersons often hesitate to perform basic life support (BLS) and
use an automated external defibrillator (AED) because of self-perceived lack of
knowledge and skills. Training may reduce the barrier to intervene. Reduced
training time and costs may allow training of more laypersons. The aim of this
study was to compare BLS/AED skills' acquisition and self-evaluated BLS/AED
skills after instructor-led training with a two-stage versus a four-stage
teaching technique. METHODS: Laypersons were randomized to either two-stage or
four-stage teaching technique courses. Immediately after training, the
participants were tested in a simulated cardiac arrest scenario to assess their
BLS/AED skills. Skills were assessed using the European Resuscitation Council
BLS/AED assessment form. The primary endpoint was passing the test (17 of 17
skills adequately performed). A prespecified noninferiority margin of 20% was
used. RESULTS: The two-stage teaching technique (n=72, pass rate 57%) was
noninferior to the four-stage technique (n=70, pass rate 59%), with a difference
in pass rates of -2%; 95% confidence interval: -18 to 15%. Neither were there
significant differences between the two-stage and four-stage groups in the chest
compression rate (114+/-12 vs. 115+/-14/min), chest compression depth (47+/-9 vs.
48+/-9 mm) and number of sufficient rescue breaths between compression cycles
(1.7+/-0.5 vs. 1.6+/-0.7). In both groups, all participants believed that their
training had improved their skills. CONCLUSION: Teaching laypersons BLS/AED using
the two-stage teaching technique was noninferior to the four-stage teaching
technique, although the pass rate was -2% (95% confidence interval: -18 to 15%)
lower with the two-stage teaching technique.
PMID- 27203454
TI - Preconception counseling after bariatric surgery.
AB - Obesity has increased exponentially in the United States, affecting over 78
million individuals. As the rates of obesity increase, providers encounter more
women with a history of bariatric surgery. Certain bariatric procedures can
change how essential nutrients are absorbed. Preconception counseling assists in
identifying potential deficiencies early.
PMID- 27203455
TI - Diagnosis and treatment for chronic migraine.
AB - Migraine is a debilitating headache disorder that is underdiagnosed and
undertreated worldwide, partially attributable to misdiagnosis and expectations
of poor treatment outcomes. This article provides a review of chronic migraine,
including pathophysiology, burden, diagnosis, and management, with special
emphasis on the role of NPs.
PMID- 27203458
TI - An overview of antithrombotics in ischemic stroke.
PMID- 27203457
TI - Primary care management of depression in children and adolescents.
PMID- 27203460
TI - The Author File: Jason W. Chin.
PMID- 27203461
TI - Fluorescent peptide biosensor for monitoring CDK4/cyclin D kinase activity in
melanoma cell extracts, mouse xenografts and skin biopsies.
AB - Melanoma constitutes the most aggressive form of skin cancer, which further
metastasizes into a deadly form of cancer. The p16(INK4a)-Cyclin D-CDK4/6-pRb
pathway is dysregulated in 90% of melanomas. CDK4/Cyclin D kinase
hyperactivation, associated with mutation of CDK4, amplification of Cyclin D or
loss of p16(INK4a) leads to increased risk of developing melanoma. This kinase
therefore constitutes a key biomarker in melanoma and an emerging pharmacological
target, however there are no tools enabling direct detection or quantification of
its activity. Here we report on the design and application of a fluorescent
peptide biosensor to quantify CDK4 activity in melanoma cell extracts, skin
biopsies and melanoma xenografts. This biosensor provides sensitive means of
comparing CDK4 activity between different melanoma cell lines and further
responds to CDK4 downregulation by siRNA or small-molecule inhibitors. By
affording means of monitoring CDK4 hyperactivity consequent to cancer-associated
molecular alterations in upstream signaling pathways that converge upon this
kinase, this biosensor offers an alternative to immunological identification of
melanoma-specific biomarkers, thereby constituting an attractive tool for
diagnostic purposes, providing complementary functional information to
histological analysis, of particular utility for detection of melanoma onset in
precancerous lesions. This is indeed the first fluorescent peptide biosensor
which has been successfully implemented to monitor kinase activity in skin
samples and melanoma tumour xenografts. Moreover by enabling to monitor response
to CDK4 inhibitors, this biosensor constitutes an attractive companion assay to
identify compounds of therapeutic relevance for melanoma.
PMID- 27203462
TI - Construction of fluorescent polymeric nano-thermometers for intracellular
temperature imaging: A review.
AB - Multitudinous biochemical reactions occur in living cells, creating and releasing
free energy to impel numerous cellular activities. Surplus energy is expelled as
heat and resulted in elevated temperature, which induce control of gene
expression, tumour metabolism and etc. Sensitive measurement of temperature on
nanoscale in cells with ideal fluorescent probes is a great challenge in many
areas. By taking the advantages of polymers in tunable critical solution
temperature range and good biocompatibility, fluorescent polymeric thermometers
(FPT) have drawn extensive attention because they are capable of accurate
monitoring temperature with high spatial resolution at cellular level. This
review offers a general overview of recent examples of FPT working in cells. The
strategy for design and synthesis of the FPT has been highlighted. Furthermore,
the applications of the constructed FPT for intracellular temperature variations
under normal and external stimuli conditions have been discussed. Deep
understanding of these aspects would lead to improvement in designing of unique
FPT with real function and applications for intracellular temperature sensing. It
will pave a new way not only for the study of intrinsic relationship between
temperature and organelle function, but also provide the possibility for deep
understanding of intracellular biological processes.
PMID- 27203463
TI - An immunochromatographic biosensor combined with a water-swellable polymer for
automatic signal generation or amplification.
AB - An immunochromatographic assay (ICA) strip is one of the most widely used
platforms in the field of point-of-care biosensors for the detection of various
analytes in a simple, fast, and inexpensive manner. Currently, several approaches
for sequential reactions in ICA platforms have improved their usability,
sensitivity, and versatility. In this study, a new, simple, and low-cost approach
using automatic sequential-reaction ICA strip is described. The automatic
switching of a reagent pad from separation to attachment to the test membrane was
achieved using a water-swellable polymer. The reagent pad was dried with an
enzyme substrate for signal generation or with signal-enhancing materials. The
strip design and system operation were confirmed by the characterization of the
raw materials and flow analysis. We demonstrated the operation of the proposed
sensor by using various chemical reaction-based assays, including metal-ion
amplification, enzyme-colorimetric reaction, and enzyme-catalyzed
chemiluminescence. Furthermore, by employing C-reactive protein as a model, we
successfully demonstrated that the new water-swellable polymer-based ICA sensor
can be utilized to detect biologically relevant analytes in human serum.
PMID- 27203464
TI - Reply to comment on: 'The influence of antioxidant THPC on the properties of
polymer gel dosimeter'.
PMID- 27203465
TI - Associations between birth weight and colon and rectal cancer risk in adulthood.
AB - BACKGROUND: Birth weight has inconsistent associations with colorectal cancer,
possibly due to different anatomic features of the colon versus the rectum. The
aim of this study was to investigate the association between birth weight and
colon and rectal cancers separately. METHODS: 193,306 children, born from 1936 to
1972, from the Copenhagen School Health Record Register were followed
prospectively in Danish health registers. Colon and rectal cancer cases were
defined using the International Classification of Disease version 10 (colon:
C18.0-18.9, rectal: 19.9 and 20.9). Only cancers classified as adenocarcinomas
were included in the analyses. Cox regressions were used to estimate hazard
ratios (HR) and 95% confidence intervals (CI). Analyses were stratified by birth
cohort and sex. RESULTS: During 3.8 million person-years of follow-up, 1465 colon
and 961 rectal adenocarcinomas were identified. No significant sex differences
were observed; therefore combined results are presented. Birth weight was
positively associated with colon cancers with a HR of 1.14 (95% CI, 1.04-1.26)
per kilogram of birth weight. For rectal cancer a significant association was not
observed for birth weights below 3.5kg. Above 3.5kg an inverse association was
observed (at 4.5kg, HR=0.77 [95% CI, 0.61-0.96]). Further, the associations
between birth weight and colon and rectal cancer differed significantly from each
other (p=0.006). CONCLUSIONS: Birth weight is positively associated with the risk
of adult colon cancer, whereas the results for rectal cancer were inverse only
above values of 3.5kg. The results underline the importance of investigating
colon and rectal cancer as two different entities.
PMID- 27203466
TI - Characterization of anthropogenic impacts in a large urban center by examining
the spatial distribution of halogenated flame retardants.
AB - Anthropogenic impacts have continuously intensified in mega urban centers with
increasing urbanization and growing population. The spatial distribution pattern
of such impacts can be assessed with soil halogenated flame retardants (HFRs) as
HFRs are mostly derived from the production and use of various consumer products.
In the present study, soil samples were collected from the Pearl River Delta
(PRD), a large urbanized region in southern China, and its surrounding areas and
analyzed for a group of HFRs, i.e., polybrominated diphenyl ethers (PBDEs),
decabromodiphenyl ethane, bis(hexachlorocyclopentadieno)cyclooctane (DP) and
hexabromobenzene. The sum concentrations of HFRs and PBDEs were in the ranges of
0.66-6500 and 0.37-5700 (mean: 290 and 250) ng g(-1) dry weight, respectively,
around the middle level of the global range. BDE-209 was the predominant compound
likely due to the huge amounts of usage and its persistence. The concentrations
of HFRs were greater in the land-use types of residency, industry and landfill
than in agriculture, forestry and drinking water source, and were also greater in
the central PRD than in its surrounding areas. The concentrations of HFRs were
moderately significantly (r(2) = 0.32-0.57; p < 0.05) correlated with
urbanization levels, population densities and gross domestic productions in
fifteen administrative districts. The spatial distribution of DP isomers appeared
to be stereoselective as indicated by the similarity in the spatial patterns for
the ratio of anti-DP versus the sum of DP isomers (fanti-DP) and DP
concentrations. Finally, the concentrations of HFRs sharply decreased with
increasing distance from an e-waste recycling site, indicating that e-waste
derived HFRs largely remained in local soil.
PMID- 27203467
TI - The washout effects of rainfall on atmospheric particulate pollution in two
Chinese cities.
AB - Though rainfall is recognized as one of the main mechanisms to reduce atmospheric
particulate pollution, few studies have quantified this effect, particularly the
corresponding lag effect and threshold. This study aimed to investigate the
association between rainfall and air quality using a distributed lag non-linear
model. Daily data on ambient PM2.5 and PM2.5-10 (particulate matter with an
aerodynamic diameter less than 2.5 MUm and from 2.5 to 10 MUm) and meteorological
factors were collected in Guangzhou and Xi'an from 2013 to 2014. A better washout
effect was found for PM2.5-10 than for PM2.5, and the rainfall thresholds for
both particle fractions were 7 mm in Guangzhou and 1 mm in Xi'an. The decrease in
PM2.5 levels following rain lasted for 3 and 6 days in Guangzhou and Xi'an,
respectively. Rainfall had a better washout effect in Xi'an compared with that in
Guangzhou. Findings from this study contribute to a better understanding of the
washout effects of rainfall on particulate pollution, which may help to
understand the category and sustainability of dust-haze and enforce anthropogenic
control measures in time.
PMID- 27203468
TI - Ecotoxicity and genotoxicity of cadmium in different marine trophic levels.
AB - Cadmium ecotoxicity and genotoxicity was assessed in three representative species
of different trophic levels of marine ecosystems - the calanoid copepod Acartia
tonsa, the decapod shrimp, Palaemon varians and the pleuronectiform fish Solea
senegalensis. Ecotoxicity endpoints assessed in this study were adult survival,
hatching success and larval development ratio (LDR) for A. tonsa, survival of the
first larval stage (zoea I) and post-larvae of P. varians, egg and larvae
survival, as well as the presence of malformations in the larval stage of S.
senegalensis. In vivo genotoxicity was assessed on adult A. tonsa, the larval and
postlarval stage of P. varians and newly hatched larvae of S. senegalensis using
the comet assay. Results showed that the highest sensitivity to cadmium is
displayed by A. tonsa, with the most sensitive endpoint being the LDR of nauplii
to copepodites. Sole eggs displayed the highest tolerance to cadmium compared to
the other endpoints evaluated for all tested species. Recorded cadmium toxicity
was (by increasing order): S. senegalensis eggs < P. varians post-larvae < P.
varians zoea I < S. senegalensis larvae < A. tonsa eggs < A. tonsa LDR. DNA
damage to all species exposed to cadmium increased with increasing
concentrations. Overall, understanding cadmium chemical speciation is paramount
to reliably evaluate the effects of this metal in marine ecosystems. Cadmium is
genotoxic to all three species tested and therefore may differentially impact
individuals and populations of marine taxa. As A. tonsa was the most sensitive
species and occupies a lower trophic level, it is likely that cadmium
contamination may trigger bottom-up cascading effects in marine trophic
interactions.
PMID- 27203469
TI - Neutral mood induction during reconsolidation reduces accuracy, but not vividness
and anxiety of emotional episodic memories.
AB - BACKGROUND AND OBJECTIVES: Consolidated memories become labile upon reactivation
and as a result have to go through reconsolidation to become re-stabilized. This
property of memory may potentially be used to reduce the impact of highly
negative episodic memories. Because detailed and vivid negative memories are
mediated by high arousal, if arousal is lessened during reconsolidation, memory
accuracy and vividness should diminish. In this study, we examined this
hypothesis. METHODS: Participants (N = 72) viewed a stressful, suspenseful video
on Day 1 to develop negative episodic memories. Then, 24-29 h later, they saw a
brief reminder of the stressful video (or not), and then viewed a neutral,
calming (or positive) video. Another 24-29 h later, participants were tested on
the accuracy, vividness, and anxiety associated with their memory of the
stressful video on Day 1. RESULTS: Participants who watched the reminder and then
the neutral video showed reduced memory accuracy compared to participants in the
other groups. Despite the reduction in memory accuracy, their memory vividness
and anxiety associated with the stressful video did not decrease. LIMITATIONS:
The use of undergraduates prevents generalizations to clinical populations. Also,
the study did not test long-term memories that were more than 2 days old.
CONCLUSIONS: Neutral mood induction during reconsolidation reduces the accuracy
of highly negative episodic memories.
PMID- 27203470
TI - Estimation of mean first passage time for bursty gene expression.
AB - Gene expression is an intrinsically noisy process, typically, producing mRNAs and
proteins in bursts. An important description of such stochastic processes can be
done in terms of the mean first passage time (MFPT), i.e., the time taken by
mRNAs/proteins to reach a particular threshold. We study the role of burstiness
on MFPT and obtain an analytical expression for different models of
transcriptional and translational bursts. Our analytical results and numerical
simulations confirm that MFPT monotonically decreases with burstiness.
PMID- 27203472
TI - The International Congress on Integrative Medicine and Health (ICIMH), Las Vegas,
Nevada, USA May 17-20, 2016.
PMID- 27203471
TI - Fossil and Nonfossil Sources of Organic and Elemental Carbon Aerosols in the
Outflow from Northeast China.
AB - Source quantification of carbonaceous aerosols in the Chinese outflow regions
still remains uncertain despite their high mass concentrations. Here, we
unambiguously quantified fossil and nonfossil contributions to elemental carbon
(EC) and organic carbon (OC) of total suspended particles (TSP) from a regional
receptor site in the outflow of Northeast China using radiocarbon measurement. OC
and EC concentrations were lower in summer, representing mainly marine air, than
in other seasons, when air masses mostly traveled over continental regions in
Mongolia and northeast China. The annual-mean contribution from fossil-fuel
combustion to EC was 76 +/- 11% (0.1-1.3 MUg m(-3)). The remaining 24 +/- 11%
(0.03-0.42 MUg m(-3)) was attributed to biomass burning, with slightly higher
contribution in the cold period (~31%) compared to the warm period (~21%) because
of enhanced emissions from regional biomass combustion sources in China. OC was
generally dominated by nonfossil sources, with an annual average of 66 +/- 11%
(0.5-2.8 MUg m(-3)), approximately half of which was apportioned to primary
biomass-burning sources (34 +/- 6%). In winter, OC almost equally originated from
primary OC (POC) emissions and secondary OC (SOC) formation from fossil fuel and
biomass-burning sources. In contrast, summertime OC was dominated by primary
biogenic emissions as well as secondary production from biogenic and biomass
burning sources, but fossil-derived SOC was the smallest contributor. Distinction
of POC and SOC was performed using primary POC-to-EC emission ratios separated
for fossil and nonfossil emissions.
PMID- 27203473
TI - Erratum: A genome-wide association study identifies a genomic region for the
polycerate phenotype in sheep (Ovis aries).
PMID- 27203474
TI - April 2016 at a glance. Focus on cardiac remodeling, biomarkers and treatment.
PMID- 27203475
TI - The cardiac re-AKT-ion to chronic volume overload.
PMID- 27203476
TI - Natriuretic peptides and volume handling in heart failure: the paradigm of a new
treatment.
PMID- 27203477
TI - Empirical validation of statistical parametric mapping for group imaging of fast
neural activity using electrical impedance tomography.
AB - Electrical impedance tomography (EIT) allows for the reconstruction of internal
conductivity from surface measurements. A change in conductivity occurs as ion
channels open during neural activity, making EIT a potential tool for functional
brain imaging. EIT images can have >10 000 voxels, which means statistical
analysis of such images presents a substantial multiple testing problem. One way
to optimally correct for these issues and still maintain the flexibility of
complicated experimental designs is to use random field theory. This parametric
method estimates the distribution of peaks one would expect by chance in a smooth
random field of a given size. Random field theory has been used in several other
neuroimaging techniques but never validated for EIT images of fast neural
activity, such validation can be achieved using non-parametric techniques. Both
parametric and non-parametric techniques were used to analyze a set of 22 images
collected from 8 rats. Significant group activations were detected using both
techniques (corrected p < 0.05). Both parametric and non-parametric analyses
yielded similar results, although the latter was less conservative. These results
demonstrate the first statistical analysis of such an image set and indicate that
such an analysis is an approach for EIT images of neural activity.
PMID- 27203478
TI - Marital status and mortality: Does family structure in childhood matter?
AB - It is well known that marital status is significantly associated with mortality
risk. Little is known, however, regarding whether and how the effects of marital
status are moderated by one's own family structure in childhood. The purposes of
this study are to examine whether marital status (i.e., family structure in
adulthood) and living with both biological parents in childhood (i.e., family
structure in childhood) are associated with mortality risk, and whether and how
the effects of marital status vary depending on family structure in childhood and
gender. We analyze the risk of death in five waves of the General Social Survey
(GSS) from 1994 through 2002 after linking the GSS data to death certificate data
from the National Death Index through 2008. The findings indicate that being
widowed increases the risk of mortality, while living with both parents in
childhood lowers it. Interestingly, analysis of the interaction between marital
status and family structure in childhood reveals that the disadvantage of
widowhood in terms of mortality is significantly stronger for those who lived
with both parents in childhood than for those who did not. Subsample analysis by
gender shows that the moderating effect of living with both parents is largely
equal across men and women, though statistically more robust for men. These
findings suggest that living with both parents during childhood may increase
vulnerability to marital disruptions due to unwanted life events such as spousal
loss. Childhood advantages, ironically, may form more stressful contexts of
spousal loss by lowering one's adaptability or immunity to adulthood hardships,
especially when the hardships in adulthood are characteristically opposite from
the childhood advantages.
PMID- 27203479
TI - Formation of Si nanowires by the electrochemical reduction of SiO2 with Ni or NiO
additives.
AB - Various morphologies of silicon nanowires (SiNWs) were successfully prepared by
the electrochemical reduction of silica mixed with different additives (Au, Ag,
Fe, Co, Ni, and NiO, respectively). Straight SiNWs were extensively obtained by
the electro-reduction of porous Ni/SiO2 blocks in molten CaCl2 at 900 degrees C.
The SiNWs had a wide diameter distribution of 80 to 350 nm, and the Ni-Si
droplets were found on the tips of the nanowires. The growth mechanism of SiNWs
was investigated, which could reveal that the nano-sized Ni-Si droplets formed at
the Ni/SiO2/CaCl2 three-phase interlines. Based on the mechanism proposed, NiO
particles with sub-micrometer size were selected as the additive, and straight
SiNWs with diameters of 60 to 150 nm were also prepared via the electrochemical
process.
PMID- 27203480
TI - First Hyperpolarizability of Collagen Using the Point Dipole Approximation.
AB - The application of localized hyperpolarizabilities to predict a total protein
hyperpolarizability is presented for the first time, using rat-tail collagen as a
demonstration example. We employ a model comprising the quadratic Applequist
point-dipole approach, the so-called LoProp transformation, and a procedure with
molecular fractionation using conjugate caps to determine the atomic and bond
contributions to the net beta tensor of the collagen [(PPG)10]3 triple-helix. By
using Tholes exponential damping modification to the dyadic tensor in the
Applequist equations, a correct qualitative agreement with experiment is found.
The intensity of the betaHRS signal and the depolarization ratios are best
reproduced by decomposing the LoProp properties into the atomic positions and
using Tholes exponential damping with the original damping parameter. Some
ramifications of the model for general protein property optimization are briefly
discussed.
PMID- 27203481
TI - Assigning the Cerium Oxidation State for CH2CeF2 and OCeF2 Based on
Multireference Wave Function Analysis.
AB - The geometric and electronic structure of the recently experimentally studied
molecules ZCeF2 (Z = CH2, O) was investigated by density functional theory (DFT)
and wave function-based ab initio methods. Special attention was paid to the Ce-Z
metal-ligand bonding, especially to the nature of the interaction between the Ce
4f and the Z 2p orbitals and the possible multiconfigurational character arising
from it, as well as to the assignment of an oxidation state of Ce reflecting the
electronic structure. Complete active space self-consistent field (CASSCF)
calculations were performed, followed by orbital rotations in the active orbital
space. The methylene compound CH2CeF2 has an open-shell singlet ground state,
which is characterized by a two-configurational wave function in the basis of the
strongly mixed natural CASSCF orbitals. The system can also be described in a
very compact way by the dominant Ce 4f(1) C 2p(1) configuration, if nearly pure
Ce 4f and C 2p orbitals are used. In the basis of these localized orbitals, the
molecule is almost monoconfigurational and should be best described as a Ce(III)
system. The singlet ground state of the oxygen OCeF2 complex is of closed-shell
character when a monoconfigurational wave function with very strongly mixed Ce 4f
and O 2p CASSCF natural orbitals is used for the description. The transformation
to orbitals localized on the cerium and oxygen atoms leads to a
multiconfigurational wave function and reveals characteristics of a mixed valent
Ce(IV)/Ce(III) compound. Additionally, the interactions of the localized active
orbitals were analyzed by evaluating the expectation values of the charge
fluctuation operator and the local spin operator. The Ce 4f and C 2p orbital
interaction of the CH2CeF2 compound is weakly covalent and resembles the
interaction of the H 1s orbitals in a stretched hydrogen dimer. In contrast, the
interaction of the localized active orbitals for OCeF2 shows ionic character.
Calculated vibrational Ce-C and Ce-O stretching frequencies at the DFT, CASSCF,
second-order Rayleigh-Schrodinger perturbation theory (RS2C), multireference
configuration interaction (MRCI), as well as single, doubles, and perturbative
triples coupled cluster (CCSD(T)) level are reported and compared to experimental
infrared absorption data in a Ne and Ar matrix.
PMID- 27203482
TI - A dynamic oppositional biogeography-based optimization approach for time-varying
electrical impedance tomography.
AB - Dynamic electrical impedance tomography-based image reconstruction using
conventional algorithms such as the extended Kalman filter often exhibits
inferior performance due to the presence of measurement noise, the inherent ill
posed nature of the problem and its critical dependence on the selection of the
initial guess as well as the state evolution model. Moreover, many of these
conventional algorithms require the calculation of a Jacobian matrix. This paper
proposes a dynamic oppositional biogeography-based optimization (OBBO) technique
to estimate the shape, size and location of the non-stationary region boundaries,
expressed as coefficients of truncated Fourier series, inside an object domain
using electrical impedance tomography. The conductivity of the object domain is
assumed to be known a priori. Dynamic OBBO is a novel addition to the family of
dynamic evolutionary algorithms. Moreover, it is the first such study on the
application of dynamic evolutionary algorithms for dynamic electrical impedance
tomography-based image reconstruction. The performance of the algorithm is tested
through numerical simulations and experimental study and is compared with state
of-the-art gradient-based extended Kalman filter. The dynamic OBBO is shown to be
far superior compared to the extended Kalman filter. It is found to be robust to
measurement noise as well as the initial guess, and does not rely on a priori
knowledge of the state evolution model.
PMID- 27203483
TI - Emergency Physicians' Experience with Advance Care Planning Documentation in the
Electronic Medical Record: Useful, Needed, and Elusive.
AB - OBJECTIVE: For patients' preferences to be honored, emergency department (ED)
physicians must be able to find and use advance care planning (ACP) information
in the electronic medical record (EMR). ED physicians' experiences with ACP EMR
documentation and their documentation needs are unknown. METHODS: We surveyed 70
ED physicians (81% response rate) from a tertiary and county ED. Our primary
outcome was confidence finding and using ACP EMR documentation (percentage
reporting very/extremely on a five-point Likert scale). Secondary outcomes
included frequency of use and perceived usefulness of types of ACP documentation.
Suggestions for improvement were analyzed using thematic content analysis.
RESULTS: Participants' mean age was 36 years (+/- 9) and 54% were women. Thirty
one percent reported being very/extremely confident they could find ACP EMR
documentation, and 55% felt very/extremely confident they could use it to care
for patients. Yet 74% needed it >=1 time/week and 43% >=5 times/week.
Participants reported code status orders (90%), Physician Orders for Life
Sustaining Treatment (POLST) (86%), and durable power of attorney for health care
(78%) as very/extremely useful, followed by values statements (31%), oral
directives (34%), and living wills (37%). ED physicians wanted highly visible ACP
information, "on the main screen." CONCLUSIONS: EMR systems are not optimized to
provide critical ACP information to ED physicians who lack confidence finding or
using ACP EMR documentation to care for patients. Dedicated ACP information on
the EMR home screen and tailored training may be needed to help ED providers
find, use, and discuss ACP documentation to provide care aligned with patients'
goals.
PMID- 27203484
TI - The lung: a magnificent organ that needs lifelong attention.
PMID- 27203485
TI - The next Director-General of WHO.
PMID- 27203486
TI - Transforming primary care.
PMID- 27203487
TI - Vilanterol fluticasone and mortality in comorbid COPD GOLD B.
PMID- 27203488
TI - Expanding the benefits of HPV vaccination to boys and men.
PMID- 27203490
TI - US responds to increase in Zika cases.
PMID- 27203491
TI - The age of drones: what might it mean for health?
PMID- 27203494
TI - Maria Neira: no limits to public health.
PMID- 27203495
TI - The medicine of Alex Higgins.
PMID- 27203496
TI - Holbrook Kohrt.
PMID- 27203497
TI - No universal health coverage without primary health care.
PMID- 27203498
TI - Uncommon presentation of Zika fever or co-infection?
PMID- 27203499
TI - Uncommon presentation of Zika fever or co-infection? - Authors' reply.
PMID- 27203500
TI - Suicide in adolescent girls - Authors' reply.
PMID- 27203501
TI - Suicide in adolescent girls.
PMID- 27203502
TI - Do generic correction algorithms produce reliable estimates?
PMID- 27203504
TI - Department of Error.
PMID- 27203503
TI - The urgency of a sociocultural approach to adolescent men's health risk
behaviours.
PMID- 27203505
TI - Department of Error.
PMID- 27203506
TI - Department of Error.
PMID- 27203507
TI - Clarification regarding ethical review of Paolo Macchiarini's research.
PMID- 27203509
TI - Clinical challenges in mechanical ventilation.
AB - Mechanical ventilation supports gas exchange and alleviates the work of breathing
when the respiratory muscles are overwhelmed by an acute pulmonary or systemic
insult. Although mechanical ventilation is not generally considered a treatment
for acute respiratory failure per se, ventilator management warrants close
attention because inappropriate ventilation can result in injury to the lungs or
respiratory muscles and worsen morbidity and mortality. Key clinical challenges
include averting intubation in patients with respiratory failure with non
invasive techniques for respiratory support; delivering lung-protective
ventilation to prevent ventilator-induced lung injury; maintaining adequate gas
exchange in severely hypoxaemic patients; avoiding the development of ventilator
induced diaphragm dysfunction; and diagnosing and treating the many
pathophysiological mechanisms that impair liberation from mechanical ventilation.
Personalisation of mechanical ventilation based on individual physiological
characteristics and responses to therapy can further improve outcomes.
PMID- 27203508
TI - Fluticasone furoate and vilanterol and survival in chronic obstructive pulmonary
disease with heightened cardiovascular risk (SUMMIT): a double-blind randomised
controlled trial.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) often coexists with
cardiovascular disease. Treatments for airflow limitation might improve survival
and both respiratory and cardiovascular outcomes. The aim of this study was to
assess whether inhaled treatment with a combined treatment of the corticosteroid,
fluticasone furoate, and the long-acting beta agonist, vilanterol could improve
survival compared with placebo in patients with moderate COPD and heightened
cardiovascular risk. METHODS: In this double-blind randomised controlled trial
(SUMMIT) done in 1368 centres in 43 countries, eligible patients were aged 40-80
years and had a post-bronchodilator forced expiratory volume in 1 s (FEV1)
between 50% and 70% of the predicted value, a ratio of post-bronchodilator FEV1
to forced vital capacity (FVC) of 0.70 or less, a smoking history of at least 10
pack-years, and a score of 2 or greater on the modified Medical Research Council
dyspnoea scale. Patients had to have a history, or be at increased risk, of
cardiovascular disease. Enrolled patients were randomly assigned (1:1:1:1)
through a centralised randomisation service in permuted blocks to receive once
daily inhaled placebo, fluticasone furoate (100 MUg), vilanterol (25 MUg), or the
combination of fluticasone furoate (100 MUg) and vilanterol (25 MUg). The primary
outcome was all-cause mortality, and secondary outcomes were on-treatment rate of
decline in forced expiratory volume in 1 s (FEV1) and a composite of
cardiovascular events. Safety analyses were performed on the safety population
(all patients who took at least one dose of study drug) and efficacy analyses
were performed on the intention-to-treat population (safety population minus
sites excluded with Good Clinical Practice violations). This study is registered
with ClinicalTrials.gov, number NCT01313676. FINDINGS: Between Jan 24, 2011, and
March 12, 2014, 23 835 patients were screened, of whom 16 590 were randomised. 16
485 patients were included in the intention-to-treat efficacy population; 4111 in
the placebo group, 4135 in the fluticasone furoate group, 4118 in the vilanterol
group, and 4121 in the combination group. Compared with placebo, all-cause
mortality was unaffected by combination therapy (hazard ratio [HR] 0.88 [95% CI
0.74-1.04]; 12% relative reduction; p=0.137) or the components (fluticasone
furoate, HR 0.91 [0.77-1.08]; p=0.284; vilanterol, 0.96 [0.81-1.14]; p=0.655),
and therefore secondary outcomes should be interpreted with caution. Rate of
decline in FEV1 was reduced by combination therapy (38 mL per year [SE 2.4] vs 46
mL per year [2.5] for placebo, difference 8 mL per year [95% CI 1-15]) with
similar findings for fluticasone furoate (difference 8 mL per year [95% CI 1
14]), but not vilanterol (difference -2 mL per year [95% CI -8 to 5]).
Combination therapy had no effect on composite cardiovascular events (HR 0.93
[95% CI 0.75-1.14]) with similar findings for fluticasone furoate (0.90 [0.72
1.11]) and vilanterol (0.99 [0.80-1.22]). All treatments reduced the rate of
moderate and severe exacerbation. No reported excess risks of pneumonia (5% in
the placebo group, 6% in the combination group, 5% in the fluticasone furoate
group, and 4% in the vilanterol group) or adverse cardiac events (17% in the
placebo group, 18% in the combination group, and 17% in the fluticasone furoate
group, and 17% in the vilanterol group) were noted in the treatment groups.
INTERPRETATION: In patients with moderate COPD and heightened cardiovascular
risk, treatment with fluticasone furoate and vilanterol did not affect mortality
or cardiovascular outcomes, reduced exacerbations, and was well tolerated.
Fluticasone furoate, alone or in combination with vilanterol, seemed to reduce
FEV1 decline. FUNDING: GlaxoSmithKline.
PMID- 27203510
TI - High-flow oxygen therapy and other inhaled therapies in intensive care units.
AB - In this Series paper, we review the current evidence for the use of high-flow
oxygen therapy, inhaled gases, and aerosols in the care of critically ill
patients. The available evidence supports the use of high-flow nasal cannulae for
selected patients with acute hypoxaemic respiratory failure. Heliox might prevent
intubation or improve gas flow in mechanically ventilated patients with severe
asthma. Additionally, it might improve the delivery of aerosolised
bronchodilators in obstructive lung disease in general. Inhaled nitric oxide
might improve outcomes in a subset of patients with postoperative pulmonary
hypertension who had cardiac surgery; however, it has not been shown to provide
long-term benefit in patients with acute respiratory distress syndrome (ARDS).
Inhaled prostacyclins, similar to inhaled nitric oxide, are not recommended for
routine use in patients with ARDS, but can be used to improve oxygenation in
patients who are not adequately stabilised with traditional therapies.
Aerosolised bronchodilators are useful in mechanically ventilated patients with
asthma and chronic obstructive pulmonary disease, but are not recommended for
those with ARDS. Use of aerosolised antibiotics for ventilator-associated
pneumonia and ventilator-associated tracheobronchitis shows promise, but the
delivered dose can be highly variable if proper attention is not paid to the
delivery method.
PMID- 27203511
TI - Comparisons of patients' satisfaction should take expectations into account.
PMID- 27203513
TI - Amine Gradient Stationary Phases on In-House Built Monolithic Columns for Liquid
Chromatography.
AB - Stationary phase gradients on monolithic silica columns have been successfully
and reproducibly prepared and characterized with comparisons made to uniformly
modified stationary phases. Stationary phase gradients hold great potential for
use in liquid chromatography (LC), both in terms of simplifying analysis as well
as providing novel selectivity. In this work, we demonstrate the creation of a
continuous stationary phase gradient on in-house synthesized monolithic columns
by infusing an aminoalkoxysilane solution through the silica monoliths via
controlled rate infusion. The presence of amine and its distribution along the
length of gradient and uniformly modified columns were assessed via X-ray
photoelectron spectroscopy (XPS). XPS showed a clear gradient in surface coverage
along the length of the column for the gradient stationary phases while a near
uniform distribution on the uniformly modified stationary phases. To demonstrate
the application of these gradient stationary phases, the separations of both
nucleobases and weak acids/weak bases on these gradient stationary phases have
been compared to uniformly modified and unmodified silica columns. Of particular
note, the retention characteristics of 11 gradient columns, 5 uniformly modified
columns, and 5 unmodified columns have been tested to establish the
reproducibility of the synthetic procedures. Standard deviations of the retention
factors were in the range from 0.06 to 0.5, depending on the analyte species. We
show that selectivity is achieved with the stationary phase gradients that are
significantly different from either uniformly modified amine or unmodified
columns. These results indicate the significant promise of this strategy for
creating novel stationary phases for LC.
PMID- 27203512
TI - Unambiguous Identification of beta-Tubulin as the Direct Cellular Target
Responsible for the Cytotoxicity of Chalcone by Photoaffinity Labeling.
AB - Chalcone is a simple and potentially privileged structure in medicinal chemistry
with a diverse repertoire of biological activities, among which cytotoxicity is
of particular interest. The sharp structure-activity relationship (SAR) for
chalcone's cytotoxicity suggests structure-specific target interactions. Despite
the numerous putative targets proposed, evidence for direct target interactions
in cells is unavailable. In this study, guided by the sharp cytotoxic SAR, we
developed a cytotoxic chalcone-based photoaffinity labeling (PAL) probe, (E)-3-(3
azidophenyl)-1-[3,5-dimethoxy-4-(prop-2-yn-1-yloxy)phenyl]-2-methylprop-2-en-1
one (C95; IC50 : 0.38+/-0.01 MUm), along with two structurally similar non
cytotoxic probes. These probes were used to search for the direct cellular target
responsible for chalcone's cytotoxicity through intact cell-based PAL
experiments, in which beta-tubulin was identified to specifically interact with
the cytotoxic probe (i.e., C95) but not the non-cytotoxic probes. A set of
phenotypical and biochemical assays further reinforced beta-tubulin as the
cytotoxic target of chalcones. Peptide mass quantitation by mass spectrometric
analysis revealed one peptide potentially labeled by C95, providing information
on chalcone's binding site on beta-tubulin.
PMID- 27203514
TI - Does being overweight or male increase a patient's risk of not being referred for
an eating disorder consult?
AB - OBJECTIVE: To examine whether sex, age, body mass index (BMI), and eating
disorder diagnosis were associated with referral rates for eating disorder
consults in a general inpatient psychiatric facility. METHOD: An inpatient sample
of 136 individuals with a current eating disorder diagnosis were utilized for
this study. Chi square and logistic regression were used to identify variables
affecting the likelihood of having an eating disorder consult. RESULTS: More
women than men were identified as having a current eating disorder diagnosis. For
both women and men, eating disorder not otherwise specified (EDNOS) was the most
common diagnosis, followed by binge-eating disorder (BED). Compared to women,
there were more men who did not receive an eating disorder consult. Specifically,
overweight men were less likely to be referred for a consult. DISCUSSION: Results
highlight the need of healthcare providers to ask all individuals about their
eating habits, which could then provide an opportunity to explore eating disorder
symptoms. Due to historical biases, the less distinct nature of some diagnoses or
the absence of symptoms that have been considered indicators of clinical
severity, providers may be more likely to overlook individuals with diagnoses of
EDNOS and BED for consults, especially men. (c) 2016 Wiley Periodicals, Inc. (Int
J Eat Disord 2016; 49:963-966).
PMID- 27203515
TI - Safety and Efficacy of GreenLight XPS Laser Vapoenucleation in Prostates
Measuring Over 150 mL.
AB - INTRODUCTION: The GreenLightTM XPS Laser System (GL-XPS) is a safe and
efficacious treatment for lower urinary tract symptoms due to benign prostatic
hyperplasia (BPH), but there is limited evidence on its use in prostates over 150
mL. We demonstrate the safety and efficacy of the GL-XPS system using a
vapoenucleation technique in prostate glands measuring over 150 mL. METHODS: We
prospectively collected data on all consecutive patients with prostates measuring
over 150 mL on transrectal ultrasound treated with the GL-XPS (AMS, Inc.,
Minnetonka, MN) at a tertiary referral center from September 2011 to October
2015. Data collected include prostate volume, International Prostate Symptom
Score (IPSS) and quality of life (QoL) scores, maximum urinary flow rate (Qmax),
postvoid residual (PVR), prostate-specific antigen, complications, and
reintervention rates. RESULTS: Seventy male patients with a median prostate size
of 202 mL (range 152-376 mL) were included. There were 41 patients (59%) in
preoperative urinary retention with an indwelling catheter preoperatively. Thirty
seven (53%) patients were American Society of Anesthesiologists (ASA) class 2 and
33 (47%) were class 3. Median operative time was 180 minutes, laser time 97
minutes, energy utilization 674 kJ, and energy density 3.3 kJ/mL, with median 3
fibers used per case. Median length of stay and length of catheterization were 1
day. IPSS and QoL scores demonstrated significant improvements from baseline at
all endpoints, improving from 16 to 3.5 and from 4 to 1 at 24 months,
respectively (p = 0.001). At 12 months, Qmax and PVR improved from 10.1 to 22.4
mL/s (p = 0.043) and from 84 to 31.4 mL (p = 0.015), respectively. Retreatment
was required in two patients (2.9%). CONCLUSION: GL-XPS vapoenucleation provided
durable subjective and objective improvements in symptoms and voiding parameters,
with no serious adverse events in men with prostates >150 mL.
PMID- 27203516
TI - Exogenous cofactors for the improvement of bioremoval and biotransformation of
sulfamethoxazole by Alcaligenes faecalis.
AB - Sulfamethoxazole (SMX), an extensively prescribed or administered antibiotic
pharmaceutical product, is usually detected in aquatic environments, because of
its incomplete metabolism and elimination. This study investigated the effects of
exogenous cofactors on the bioremoval and biotransformation of SMX by Alcaligenes
faecalis. High concentration (100mg.L(-1)) of exogenous vitamin C (VC), vitamin
B6 (VB6) and oxidized glutathione (GSSG) enhanced SMX bioremoval, while the
additions of vitamin B2 (VB2) and vitamin B12 (VB12) did not significantly alter
the SMX removal efficiency. Globally, cellular growth of A. faecalis and SMX
removal both initially increased and then gradually decreased, indicating that
SMX bioremoval is likely dependent on the primary biomass activity of A.
faecalis. The decreases in the SMX removal efficiency indicated that some
metabolites of SMX might be transformed into parent compound at the last stage of
incubation. Two transformation products of SMX, N-hydroxy sulfamethoxazole (HO
SMX) and N4-acetyl sulfamethoxazole (Ac-SMX), were identified by a high
performance liquid chromatograph coupled with mass spectrometer. High
concentrations of VC, nicotinamide adenine dinucleotide hydrogen (NADH, 7.1mg.L(
1)), and nicotinamide adenine dinucleotide (NAD(+), 6.6mg.L(-1)), and low
concentrations of reduced glutathione (GSH, 0.1 and 10mg.L(-1)) and VB2 (1mg.L(
1)) remarkably increased the formation of HO-SMX, while VB12 showed opposite
effects on HO-SMX formation. In addition, low concentrations of GSH and NADH
enhanced Ac-SMX formation by the addition of A. faecalis, whereas cofactors (VC,
VB2, VB12, NAD(+), and GSSG) had no obvious impact on the formation of Ac-SMX
compared with the controls. The levels of Ac-SMX were stable when biomass of A.
faecalis gradually decreased, indicating the direct effect of biomass on the
formation of Ac-SMX by A. faecalis. In sum, these results help us understand the
roles played by exogenous cofactors in eliminating SMX by A. faecalis and provide
potential strategies for improving SMX biodegradation.
PMID- 27203517
TI - Effect of inter-annual variability in pasture growth and irrigation response on
farm productivity and profitability based on biophysical and farm systems
modelling.
AB - Farm system and nutrient budget models are increasingly being used in analysis to
inform on farm decision making and evaluate land use policy options at regional
scales. These analyses are generally based on the use of average annual pasture
yields. In New Zealand (NZ), like in many countries, there is considerable inter
annual variation in pasture growth rates, due to climate. In this study a
modelling approach was used to (i) include inter-annual variability as an
integral part of the analysis and (ii) test the approach in an economic analysis
of irrigation in a case study within the Hawkes Bay Region of New Zealand. The
Agricultural Production Systems Simulator (APSIM) was used to generate pasture
dry matter yields (DMY) for 20 different years and under both dryland and
irrigation. The generated DMY were linked to outputs from farm-scale modelling
for both Sheep and Beef Systems (Farmaxx Pro) and Dairy Systems (Farmax(r) Dairy
Pro) to calculate farm production over 20 different years. Variation in DMY and
associated livestock production due to inter-annual variation in climate was
large, with a coefficient of variations up to 20%. Irrigation decreased this
inter-annual variation. On average irrigation, with unlimited available water,
increased income by $831 to 1195/ha, but when irrigation was limited to
250mm/ha/year income only increased by $525 to 883/ha. Using pasture responses in
individual years to capturing the inter-annual variation, rather than the pasture
response averaged over 20years resulted in lower financial benefits. In the case
study income from irrigation based on an average year were 10 to >20% higher
compared with those obtained from individual years.
PMID- 27203518
TI - Environmental impact of heavy pig production in a sample of Italian farms. A
cradle to farm-gate analysis.
AB - Four breeding piggeries and eight growing-fattening piggeries were analyzed to
estimate potential environmental impacts of heavy pig production (>160kg of live
height at slaughtering). Life Cycle Assessment methodology was adopted in the
study, considering a system from breeding phase to growing fattening phase.
Environmental impacts of breeding phase and growing-fattening phase were
accounted separately and then combined to obtain the impacts of heavy pig
production. The functional unit was 1kg of live weight gain. Impact categories
investigated were global warming (GW), acidification (AC), eutrophication (EU),
abiotic depletion (AD), and photochemical ozone formation (PO). The total
environmental impact of 1kg of live weight gain was 3.3kg CO2eq, 4.9E-2kg SO2eq,
3.1E-2kg PO4(3-)eq, 3.7E-3kg Sbeq, 1.7E-3kg C2H4eq for GW, AC, EU, AD, and PO
respectively. Feed production was the main hotspot in all impact categories.
Greenhouse gases responsible for GW were mainly CH4, N2O, and CO2. Ammonia was
the most important source of AC, sharing about 90%. Nitrate and NH3 were the main
emissions responsible for EU, whereas P and NOx showed minor contributions. Crude
oil and natural gas consumption was the main source of AD. A large spectrum of
pollutants had a significant impact on PO: they comprised CH4 from manure
fermentation, CO2 caused by fossil fuel combustion in agricultural operations and
industrial processes, ethane and propene emitted during oil extraction and
refining, and hexane used in soybean oil extraction. The farm characteristics
that best explained the results were fundamentally connected with performance
indicators Farms showed a wide variability of results, meaning that there was
wide margin for improving the environmental performance of either breeding or
growing-fattening farms. The effectiveness of some mitigation measures was
evaluated and the results that could be obtained by their introduction have been
presented.
PMID- 27203519
TI - Growth under elevated air temperature alters secondary metabolites in Robinia
pseudoacacia L. seedlings in Cd- and Pb-contaminated soils.
AB - Plant secondary metabolites play a pivotal role in growth regulation, antioxidant
activity, pigment development, and other processes. As the global climate
changes, increasing atmospheric temperatures and contamination of soil by heavy
metals co-occur in natural ecosystems, which alters the pH of rhizosphere soil
and influences the bioavailability and mobility of metals. Elevated temperatures
in combination with heavy metals are expected to affect plant secondary
metabolites, but this issue has not been extensively examined. Here, we
investigated secondary metabolites in Robiniapseudoacacia seedlings exposed to
elevated temperatures using a passive warming device in combination with Cd- and
Pb-contaminated soils. Heavy metals significantly stimulated the accumulation of
saponins, phenolic compounds, and flavonoids in leaves and stems; alkaloid
compounds increased in leaves and decreased in stems, and condensed tannins
fluctuated. Elevated temperatures, alone and in combination with Cd and Pb,
caused increases in secondary metabolites in the plant tissues. Phenolic
compounds showed the greatest changes among the secondary metabolites and
significant interactive effects of temperature and metals were observed. These
results suggest that slightly elevated temperature could enhance protective and
defense mechanisms of Robinia pseudoacacia seedlings exposed to heavy metals by
stimulating the production of secondary metabolites.
PMID- 27203520
TI - A review of the measurement procedure of the ISO 1996 standard. Relationship with
the European Noise Directive.
PMID- 27203521
TI - A land use regression application into assessing spatial variation of intra-urban
fine particulate matter (PM2.5) and nitrogen dioxide (NO2) concentrations in City
of Shanghai, China.
AB - Intra-urban assessment of air pollution exposure has become a priority study
while international attention was attracted to PM2.5 pollution in China in recent
years. Land Use Regression (LUR), which has previously been proved to be a
feasible way to describe the relationship between land use and air pollution
level in European and American cities, was employed in this paper to explain the
correlations and spatial variations in Shanghai, China. PM2.5 and NO2
concentrations at 35-45 monitoring locations were selected as dependent
variables, and a total of 44 built environmental factors were extracted as
independent variables. Only five factors showed significant explanatory value for
both PM2.5 and NO2 models: longitude, distance from monitors to the ocean,
highway intensity, waterbody area, and industrial land area for PM2.5 model;
residential area, distance to the coast, industrial area, urban district, and
highway intensity for NO2 model. Respectively, both PM2.5 and NO2 showed anti
correlation with coastal proximity (an indicator of clean air dilution) and
correlation with highway and industrial intensity (source indicators). NO2 also
showed significant correlation with local indicators of population density
(residential intensity and urban classification), while PM2.5 showed significant
correlation with regional dilution (longitude as a indicator of distance from
polluted neighbors and local water features). Both adjusted R squared values were
strong with PM2.5 (0.88) being higher than NO2 (0.62). The LUR was then used to
produce continuous concentration fields for NO2 and PM2.5 to illustrate the
features and, potentially, for use by future studies. Comparison to PM2.5 studies
in New York and Beijing show that Shanghai PM2.5 pollutant distribution was more
sensitive to geographic location and proximity to neighboring regions.
PMID- 27203522
TI - Reducing natural organic matter and disinfection by-product precursors by
alternating oxic and anoxic conditions during engineered short residence time
riverbank filtration: A laboratory-scale column study.
AB - Riverbank filtration (RBF) with days to months of residence time has been
successfully used as treatment or pre-treatment process to improve water quality
for decades. However, its feasibility depends on the local hydrogeological
conditions. Therefore, for sites unsuitable to traditional RBF, a smaller
engineered RBF may be an option. This study evaluates the performance of
engineered short residence time RBF on improving water quality, focusing on the
removal of natural organic matter (NOM) and the reduction of precursors of carbon
and nitrogen disinfection by-products (DBP). Lab-scale experiments were conducted
with surface feed water from a drinking water plant. The results showed that
within 6days hydraulic retention time (HRT), 60-70% dissolved organic carbon
(DOC) and 70-80% ultraviolet absorbance at 254nm (UV254) could be removed. During
the whole filtration process, biodegradation was responsible for the removal of
organic matter, and it was found that alternating redox condition between oxic
and anoxic was beneficial for the overall performance of the RBF. Dissolved
oxygen (DO) had a substantial impact on the removal of DBP precursors. For carbon
containing DBP (C-DBP) precursors' removal, re-aeration after a sequence of oxic
and anoxic conditions could further increase the removal efficiencies from 50%,
60%, and 60% to 80%, 90%, and 80% for trihalomethanes (THMs), chloral hydrate
(CH), and haloketones (HKs). Prolonged anoxic conditions were however beneficial
for the removal of nitrogen-containing DBP (N-DBP) precursors.
PMID- 27203523
TI - Seasonal and annual variations in physiological and biochemical responses from
transplanted marine bioindicator species Mytilus spp. during a long term field
exposure experiment.
AB - In a pilot field study the long term response of transplanted bioindicator
organisms Mytilus spp. was analyzed on the basis of physiological indices and
biochemical measurements related to the energy budget. Three different time
series with deployment times of eight to twelve months were compared according to
seasonality and repeatability of the responses. Test organisms were incubated at
a coastal station in the anthropogenically impacted estuary of the river Elbe and
at a North Sea station located in vicinity to the Island of Helgoland in the
German Bight. The stations differ in their hydrological as well as chemical
characteristics. They can be discriminated by statistical factor analysis based
on the measured biochemical parameter. Levels of all energy budget biomarker
varied between seasons; however, the degree of variation of the specific response
was differently expressed. The mussels deployed at Helgoland showed a
reproducible high Condition Index in each sampling series and an oscillating
Gonadosomatic Index representing the reproduction cycle. The lowest available
energy was recorded in mussels at the estuarine sampling station compared to the
off-shore station. This may be caused by the energetically costly maintenance of
osmotic balance and consequently result in a lower amount of energy available for
defense again chemical stress, growth and reproduction.
PMID- 27203524
TI - Effect of pycnogenol and spirulina on vancomycin-induced renal cortical oxidative
stress, apoptosis, and autophagy in adult male albino rat.
AB - Vancomycin-induced nephrotoxicity has been reported to occur in 5%-25% of
patients who were administered with it. Several natural antioxidants were found
to be effective against drug-induced toxicity. We evaluated the possible
protective effects of spirulina and pycnogenol alone or in combination on
vancomycin-induced renal cortical oxidative stress. Forty-nine rats were randomly
divided into 7 groups: group I, control; group II, received spirulina 1000 mg/kg
per day; group III, received pycnogenol 200 mg/kg per day; group IV, received
vancomycin 200 mg/kg per day every 12 h; group V, (spirulina + vancomycin); group
VI, (pycnogenol + vancomycin); and group VII, (pycnogenol + spirulina +
vancomycin). At the end of the experiment, kidney functions were estimated and
then the kidneys were removed, weighed, and sampled for histopathological,
immunohistochemistry, and biochemical studies. Administration of spirulina and
pycnogenol alone or in combination decreased elevated serum creatinine, blood
urea nitrogen, renal malondialdehyde, and immunoexpression of the proapoptotic
protein (Bax), autophagic marker protein (LC3/B), and inducible nitric oxide
synthase induced by vancomycin. They increased reduced glutathione, glutathione
peroxidase, superoxide dismutase, and immunoexpression of the antiapoptotic
protein (Bcl2). They also ameliorated the morphological changes induced by
vancomycin. The combination therapy of spirulina and pycnogenol showed better
protective effects than the corresponding monotherapy.
PMID- 27203525
TI - The polyvinylpyrrolidone functionalized rGO/Bi2S3 nanocomposite as a near
infrared light-responsive nanovehicle for chemo-photothermal therapy of cancer.
AB - Recently, a combination of chemotherapy with photothermal therapy (PTT) has
received great attention for the construction of a near infrared (NIR)-controlled
drug-delivery system for synergistic treatment of cancer, ultimately resulting in
the enhancement of the therapeutic efficacy of anticancer drugs. Here, we
developed a novel system for synergistic cancer therapy based on bismuth sulfide
(Bi2S3) nanoparticle-decorated graphene functionalized with polyvinylpyrrolidone
(PVP) (named PVP-rGO/Bi2S3). The as-prepared PVP-rGO/Bi2S3 nanocomposite has a
high storage capacity for anticancer drugs (~500% for doxorubicin (DOX)) and
simultaneously has perfect photothermal conversion efficiency in the NIR region.
The results of the in vitro accumulative drug release test manifests that the PVP
rGO/Bi2S3 nanocomposite could be applied as a dual pH- and NIR-responsive
nanotherapeutic carrier for the controlled release of DOX from DOX-loaded PVP
rGO/Bi2S3 (PVP-rGO/Bi2S3@DOX). Moreover, the treatment of both cancer cells
(including Hela, MCF-7, HepG2 and BEL-7402 cells) and BEL-7402 tumor-bearing mice
with the PVP-rGO/Bi2S3@DOX complex followed by NIR laser irradiation produces
significantly greater inhibition of cancer cell growth than the treatment with
NIR irradiation alone or DOX alone, exhibiting a synergistic antitumor effect.
Furthermore, due to the obvious NIR and X-ray absorption ability, the PVP
rGO/Bi2S3 nanocomposite could be employed as a dual-modal contrast agent for both
photoacoustic tomography and X-ray computed tomography imaging. In addition to
the good biocompatibility, the PVP-rGO/Bi2S3 nanocomposite paves a potential way
for the fabrication of theranostic agents for dual-modal imaging-guided chemo
photothermal combined cancer therapy.
PMID- 27203526
TI - A tristable [2]rotaxane that is doubly gated by foldamer and azobenzene kinetic
barriers.
AB - A hydrogen bonded foldamer unit and an azobenzene unit have been incorporated
into the linear component of a tristable [2]rotaxane to give rise to a doubly
gated switching system tuned by the folding-defolding of the foldamer unit and
the photo-initiated trans-cis isomerization of the azobenzene unit.
PMID- 27203527
TI - Predominance of Three Closely Related Methicillin-Resistant Staphylococcus aureus
Clones Carrying a Unique ccrC-Positive SCCmec type III and the Emergence of spa
t304 and t690 SCCmec type IV pvl+ MRSA Isolates in Kinta Valley, Malaysia.
AB - We investigated the epidemiology and clonality of 175 nonrepetitive methicillin
resistant Staphylococcus aureus (MRSA) isolates from clinical specimens collected
between 2011 and 2012 in Kinta Valley in Malaysia. Molecular tools such as
polymerase chain reaction, pulsed-field gel electrophoresis, and staphylococcal
protein A (spa) typing were used. Our study revealed the predominance of three
closely related ermA+ SCCmec type III pulsotypes belonging to spa type t037
(Brazilian-Hungarian clone), which were deficient in the locus F, but positive
for the ccrC gene in majority (65.7%) of the MRSA infections in this region. The
first evidence of SCCmec type II MRSA in the country, belonging to spa type
t2460, was also noted. Although the carriage of pvl gene was uncommon (8.6%) and
mostly confined to either SCCmec type IV or SCCmec type V isolates, most of these
isolates belonged to spa types t345 or t657, which are associated with the Bengal
Bay CA-MRSA clone. Interestingly, spa t304 and t690 SCCmec type IV pvl+ were also
detected among the MRSA isolates. Data from this study show the rise of uncommon
clones among MRSA isolates in Malaysia.
PMID- 27203528
TI - Tandem Catalysis Utilizing Olefin Metathesis Reactions.
AB - Since olefin metathesis transformation has become a favored synthetic tool in
organic synthesis, more and more distinct non-metathetical reactions of
alkylidene ruthenium complexes have been developed. Depending on the conditions
applied, the same olefin metathesis catalysts can efficiently promote
isomerization reactions, hydrogenation of C=C double bonds, oxidation reactions,
and many others. Importantly, these transformations can be carried out in tandem
with olefin metathesis reactions. Through addition of one portion of a catalyst,
a tandem process provides structurally advanced products from relatively simple
substrates without the need for isolation of the intermediates. These aspects not
only make tandem catalysis very attractive from a practical point of view, but
also open new avenues in (retro)synthetic planning. However, in the literature,
the term "tandem process" is sometimes used improperly to describe other types of
multi-reaction sequences. In this Concept, a number of examples of tandem
catalysis involving olefin metathesis are discussed with an emphasis on their
synthetic value.
PMID- 27203529
TI - [In Process Citation].
PMID- 27203530
TI - [Psychotherapy: Legally recognized in Quebec].
AB - Until recently, Quebec was the first to have regulated the practice of
psychotherapy through law adopted in 2009. The law emerged following 30 years of
efforts and inter-professional discussions that led to a consensus by an expert
committee presided by Dr Jean-Bernard Trudeau in 2005. In this essay, Dr Jean
Bernard Trudeau, general practitioner, and two psychiatrist and psychologist
colleagues, who have participated to the expert committee or have been involved
more recently in the implementation of law no 21 in Quebec, relate the main
landmarks and moments in the regulation of the practice in psychotherapy
following this inter-professional consensus that was translated in the law 21.
They relate particularly the last ten years that have led to the adoption of law
21 in 2009, following two parliamentary commission after the Trudeau report. They
underline how the practice of psychotherapy is integrated in the professional
system and submitted to strict regulation. It includes regulations for obtaining
the license of psychotherapist and for maintaining competence. Guidelines
emerging from continuous inter-professional discussions for the application of
the law and of its regulation in the public and private sectors are produced by
the Quebec Professions Office. The definition of psychotherapy that was reached
by consensus is not limited to the treatment of mental disorders and is
distinguished from other intervention in the area of human relations. Continuous
training is mandatory and is implemented on one hand by the Order of the
psychologists for the psychologists and other professionals practicing
psychotherapy and on the other hand the College of physicians for physician
practicing psychotherapy. The authors finally described the interdisciplinary
advisory council for the practice of psychotherapy that the legislator has
foreseen as an external mechanism to insure the conformity of regulation with the
spirit of the law and to give opinions to the various professional orders.
PMID- 27203531
TI - [The Practice Directorate of the Canadian Psychological Association: Psychology
advocacy across Canada].
AB - Increasing access to psychological services across Canada has long been a focus
of national and provincial/territorial psychology advocacy bodies. The Practice
Directorate (PD) of the Canadian Psychological Association (CPA) is comprised of
representatives from each of the advocacy psychology associations and a
representative from CPA. Its mandate is to increase the efficiency and
effectiveness of psychology advocacy by sharing information and collaborating on
initiatives across Canadian jurisdictions. This commentary highlights past,
present, and future initiatives of the PD through policy statements, campaigns,
public education, surveys, and training opportunities aimed at supporting
advocacy in increasing access to psychological services for all Canadians.
PMID- 27203532
TI - [Psychological Psychotherapy in Switzerland: Moving towards Transparency and
Quality].
AB - The article gives an overview of psychological psychotherapy in Switzerland. We
describe the legal status of psychological psychotherapists, their position and
role within the Swiss health-care system, the professional context they practice
in, the format of psychotherapy training, various employment models of
psychological psychotherapists, as well as the currently insufficient
reimbursement situation of psychological psychotherapy. Reimbursement by
mandatory health insurance is highlighted as the most important current challenge
psychological psychotherapists face in Switzerland, on their way to be fully
acknowledged as independent providers of psychotherapy.
PMID- 27203533
TI - [Collaboration between Medicine and Psychology: Evolving Mentalities in Belgium
and Evolution of the Health Care System in Canada].
AB - Objectives 1) To give a portrait of the evolving mentalities prevailing in
Belgium on the collaboration between psychologists and general practitioners, and
identify the barriers to the development of the collaboration between those two
health professionals 2) To report on the primary care reform in Canada, its role
in fostering collaborative practice in primary mental health and on the
strategies needed to improve collaboration.Methods Literature search using PubMed
and Google Scholar.Results Because of the unmet need of psychologists in primary
care, general practitioners and psychologists have a propensity to work together.
However to facilitate the collaborative process there needs to be system changes
and clear definition of scopes of practices. Both countries are at different
levels of implementing change. In Belgium for example it is only very recently
that the autonomous practice of clinical psychology has been acknowledged. In
Canada although the primary care reform has put forward and supported
collaborative care, focus on mental health is insufficient. Early reports on
collaborative care in the new models of care inconsistently report improved
health outcomes. Strategies to improve collaborative care are looking at teaching
future health professionals on how to work together by integrating inter
professional education.Conclusion Both the health care system and graduate
training need to support foster and teach collaborative care.
PMID- 27203534
TI - [Advocating for the Inclusion of Psychologists in Family Health Teams in Ontario,
Canada].
AB - Objectives This article advocates in favor of increasing the accessibility of
psychological services in primary health care by focusing more specifically on
the relevance of including psychologists in family health teams in primary care
in Ontario.Methods The authors present their advocacy from two levels of
information: 1) the main results of a demonstration project funded by the Primary
Health Care Transition Fund (PHCTF) in which psychologists were integrated into
family practices; and 2) experiences and general observations drawn from the
combined experiences of the authors from the last decade regarding the inclusion
of psychologists in primary care.Results Main results from the demonstration
project: 1) highly prevalent mental illnesses (anxiety & mood disorders) are
amenable to psychological interventions; 2) psychologists and family physicians
are natural and complementary allies in primary care; 3) the cost of integrating
psychologists to provide psychological interventions can be off-set by a
reduction in physicians' mental health billing. Main observations drawn from
authors' combined experiences in primary care: 1) relatively few psychologists
work in family health teams in Ontario; 2) most non-pharmacological mental health
interventions in primary care involve generic counselling, problem solving,
educational groups, and linking to community resources; 3) lack of understanding
of the difference between evidence-based psychological treatments and generic
counselling; 4) many multidisciplinary clinics unfortunately benefit from only
one type of non-medical mental health professional as part of their team to see
all cases, independent of the level of complexity on the patient's side, and
independent of the level of expertise or supervised training on the provider
side; 5) multidisciplinary teams in primary care need various mental health
professionals to cover for a wide range of presenting problems and levels of
complexity/co-morbidities.Conclusion Our demonstration project combined with our
continued clinical experience in primary care is consistent with the scientific
literature on the topic of psychological services in primary care. Common mental
health problems are highly prevalent. Societal costs are high. Accurate diagnosis
is crucial. There are psychological treatments proven to work, and that are cost
effective. We can build on existing multidisciplinary teams and structures in
place and also innovate by finding creative mechanisms linking the public and
private sectors such as in the Australian primary care system. Interdisciplinary
teams should integrate a judicious mix of pertinent skills with the right balance
of varying levels of competencies to efficiently address varying levels of
problem complexities and co-morbidities. At the moment, there is a gap to be
filled in multidisciplinary primary care teams and psychologists are already
extensively trained and available to fill this gap.
PMID- 27203535
TI - [Publicly funded programs of psychotherapy in Australia and England].
AB - Quebec's HealthCommissioner on the performance of the health system clearly
highlighted gaps in the collaboration between primary care physicians and mental
health specialists, decreased accessibility and inequity in access to effective
mental health services such as psychotherapy.Objectives The aim of this article
was to describe the implementation of two publicly funded programs of
psychotherapy in Australia and England with similar gatekeeper systems to the one
in Quebec.Findings Following the Access to Allied Psychological Services (ATAPS)
program introduced in Australia in 2003, one of the most important initiatives
from the Council of Australian Governments' National Action Plan on Mental Health
2006-2011 was the Better Access Initiative which commenced in 2006. The plan
included AUD1.2 billion in funding for integrating and improving the mental
health care system. The purpose of Better Access was to improve the treatment and
management of mental illnesses and increasing community access to mental health
professionals and providing more affordable mental health care. GPs were
encouraged to work more closely with mental health professionals. Under this
program, these professionals are able to provide mental health services on a fee
for-service basis subsidized through Medicare. Access to psychological therapies
is provided through private providers, rather than through fund holding
arrangements. As of 2009 in Australia, 2 million people (1 in 11) had received
over 11.2 million subsidized mental health services. A recent study showed
clinical improvements in patients with depression associated with Better Access,
concluding that the program is meeting previously unmet mental health needs.In
the case of England, the IAPT - Improving Access to psychological Therapies
program enabled primary care trusts (PCTs) to implement evidence-based
psychological therapies as recommended by National Institute for Health and
Clinical Excellence for people suffering from depression and anxiety. In October
2007, the Secretary of State for Health announced additional funds totalling L173
million between 2008 and 2011 that would be used to deliver a major training
program that would build a skilled workforce of qualified psychological
therapists in 4 therapy areas for adults and children: cognitive behaviour
therapy; psychodynamic psychoanalytic therapy; systemic and family therapy;
humanistic therapy. The main goals of the program were to have: (i) 3,600 newly
trained therapists with an appropriate skill mix and supervision arrangements;
(ii) 900,000 more people treated; (iii) 50% of people who leave treatment are
recovered; (iv) 25,000 fewer people on sick pay and benefits.Conclusion To date,
the results in both countries have shown clinical improvements in symptoms
associated with depression and anxiety for people entering the programs and at a
population level, decreasing the unmet mental health needs of the population by
allowing self-referrals to the program, and therefore rendering access to
services to populations otherwise not reached.
PMID- 27203536
TI - [Costs and benefits of a funding program for psychotherapies for French people
suffering from depressive or anxious disorders].
AB - Objectives Depressive or anxious disorders are a major source of social and
economic burden. Many international good practice guidelines recommend structured
psychotherapy for treating depressive or anxious disorders. The positive impact
of psychotherapy on health, quality of life, productivity, and on the direct and
indirect costs has been widely demonstrated. Nevertheless, the psychotherapies
provided in private practice with a non-medical psychotherapist are not
reimbursed to patients by French health cover systems.This article aims (1) to
assess the cost and benefit of providing a funding program for psychotherapies
for the community for French adults suffering from severe or recurrent depressive
or anxious disorders, and (2) to estimate the number of psychotherapists that
this program would be needed.Methods Data are based on the Enquete Indicateurs de
sante mentale dans quatre regions francaises: a cross-sectional survey of a
representative sample of 20,777 adults in the general population conducted in
2005. The questionnaires were administered by a telephone interview with the
Composite International Diagnostic Interview - short form. A financial evaluation
of covering the cost of psychotherapies was performed using the methodology of
the British program Improving Access for Psychological Therapies adapted to the
French context. The annual cost generated by depressive disorders was estimated
at EUR4,702, cost generated by anxiety disorders at EUR1,500. The remission rate
attributable to psychotherapies was assessed to be 30% +/-10%. The number of
sessions to be covered was defined according to clinical guidelines of the
National Institute for Health and Clinical Excellence. The cost of a session was
estimated at EUR41, the reimbursement rate by the compulsory health insurance
system at 60%.Results The yearly cost for the cover of psychotherapeutic care -
for 12.1 sessions on average was M EUR 514 (M EUR 308 for the compulsory systems)
to treat 1,033M individuals in France, or 2.3% of the population. In terms of the
cost-benefit ratio, EUR1 invested in psychotherapeutic treatment could, save from
EUR1.14 (0.76-1.52) to EUR1.95 (1.30-2.60) for anxious or depressive disorders
respectively.France has the 14,300 professionals qualified to provide the
psychotherapies required to treat this population.Conclusion A funding program
for psychotherapies proves to be a cost-efficient investment for the community
from the short term, for both direct (health costs) and indirect (productivity,
quality of life) costs, that is further that the positive impact and costs of
psychotherapy on somatic disorders were not taken into account.With a view to
providing appropriate care for patients, inter-professional collaboration among
GPs and mental health professionals, and between clinical psychiatrists and
psychologists, appears essential. These recommendations should be defined in
national good practice guidelines.
PMID- 27203537
TI - [Effectiveness of psychotherapy compared to pharmacotherapy for the treatment of
anxiety and depressive disorders in adults: A literature review].
AB - Introduction In Quebec, mental disorders affect one in five people in their
lifetime. Anxiety and depressive disorders are the main common or moderate mental
health disorders. They affect both the individuals with the disorder and the
people around them and have substantial economic impact. Psychotropic drugs are
the treatment option most often proposed to patients presenting with moderate
mental health disorders. Psychotherapy is nevertheless a treatment that should be
given consideration.Physical and financial access to psychotherapy remains
limited because only one third of professionals qualified to offer it practise in
the public sector, and the coverage and reimbursement policy for this service is
very restricted. In order to improve such coverage, the Ministere de la Sante et
des Services sociaux (MSSS) mandated the Institut national d'excellence en sante
et en services sociaux (INESSS) to assess the evidence on the effectiveness of
psychotherapy compared with those of pharmacotherapy for the treatment of adults
with anxiety and depressive disorders.Methods An update of a review of recent and
good quality literature was conducted through a review of systematic reviews
dealing with psychotherapy compared to pharmacotherapy in the treatment of
anxiety and depression in adults. The period covered included 2009 to 2013. The
literature search strategy, modelled on that of the reference review, was applied
to Medline, Cochrane Library, CINAHL, Web of Science and health technology
assessment agencies. Exploration of the grey literature focused on information
available on the websites of various health assessment organizations.Results The
level of scientific evidence overall was judged to be of moderate to high
quality. In general, the data showed no significant difference between
psychotherapy and pharmacotherapy in terms of symptoms reduction in patients with
moderate anxiety or depressive disorders, indicating comparable effectiveness of
these two modes of treatment. However, the benefits of psychotherapy lasted
longer after the end of treatment than those of medication. Psychotherapy
therefore offers better protection against relapse. Furthermore, the combination
of psychotherapy and pharmacotherapy is more effective than psychotherapy alone
in severe or chronic cases.Conclusion Psychotherapy appears to be as effective as
pharmacotherapy in the treatment of adult patients with moderate anxiety and/or
depressive disorders. Moreover, the beneficial effects of psychotherapy last
longer after the end of treatment with a lower likelihood of relapse.
PMID- 27203538
TI - [Access to government-funded psychotherapy: Comparing the point of view of
psychologists and psychotherapists].
AB - Objectives Within the Quebec context, as well as the larger Canadian and
International context, increasing access to mental health care treatment has
become a major health care priority (see Peachey, Hicks, & Adams, 2013).
Initiatives to increase access through government-funded psychotherapy have been
successfully implemented in Australia and the United Kingdom. The current study
sought to document how licensed psychologists and psychotherapists in Quebec
differ in their attitudes about the components of these government-funded
psychotherapy programs and increasing access to psychotherapy treatment.Methods
The target population for the study included both psychologists and
psychotherapists whom were licensed to practice psychotherapy with the Ordre of
Psychologists of Quebec. Participants (N = 1 275) were recruited by email to
participate in an online questionnaire focusing on components such as the
services that should be offered in a new government-funded psychotherapy model
(eg., individual therapy, family therapy; including employment and debt
counselling), the choice and autonomy clinicians have in their treatment
interventions, the role of the referring General Practitioner (GP), the fees per
psychotherapy hour, the hiring structure (e.g., in the public sector versus
reimbursing private psychotherapy services), among others.Results The results
indicated that psychotherapists were more in favour of including family and
couple therapy, and employment/vocational counselling than psychologists.
Psychologists were more in favour of using evidence-based practices as well as
tracking treatment outcomes using validated measures, and publishing treatment
satisfaction ratings to the public. Psychotherapists were more in favour of being
paid on a session-to-session basis as opposed to be being hired on a salaried
basis to offer government-funded psychotherapy.Conclusions Given that
psychologists and psychotherapists differ in their training background and have
historically played different roles in the health care system, it is important to
understand how they differ in their perspectives on accessibility to
psychotherapy. The implications for implementing government-funded psychotherapy
initiatives in Quebec given the different professional perspectives of the two
groups are discussed.
PMID- 27203539
TI - [Initial training in psychotherapy for psychiatrists in France, a national
qualitative study].
AB - Objectives To explore the level of satisfaction among residents regarding their
training in psychotherapies, the interest they have in these therapies, and their
wishes in the area of training.Methods A survey including both a quantitative and
qualitative methodology was undertaken among 869 residents out of 1,334 enrolled
in the psychiatric residency program. In the 2009-2010 academic year. The survey
included five themes of study: theoretical academic training, psychoanalysis,
training in institutes outside the university, supervision, wishes in the area of
training. This article presents results from the qualitative sociological survey
using a semi-guided interview with 27 residents. Their conceptions and practice
in the field of psychotherapy, and its place in psychiatric practice were
questioned in-depth.Results The vast majority of residents were interested in
psychotherapy, but simultaneously dissatisfied with the training they had
received. Almost half opted for various sorts of extra-curricular training,
costly in time and money. The psychotherapeutic orientations among these
residents were varied, and a plurality of methods and theoretical approaches was
advocated both for reasons of having a wider viewpoint and good practice in
psychiatry, and because the residents considered this plurality to be an
essential component in their training. They saw psychotherapy as a medical
practice, and considered that it is the responsibility of the psychiatric
discipline to provide training in psychotherapies.Conclusion The absence of any
real training in psychotherapies for residents in psychiatry is damaging for care
quality in psychiatry. The recent creation of a protected title of
psychotherapist for psychiatrists without the need of additional training
suggests an urgent need to develop a training course. A proposal by the authors
should be discussed in light of reflections and proposals already underway in
other countries.
PMID- 27203540
TI - [Computerized therapy: An option to facilitate access to therapy among young
adults?].
AB - Introduction Of all age groups, young Canadian adults have the highest prevalence
rates of anxiety and depression. Yet, they are also the least likely to access
traditional face-to-face mental health services. Facilitating access to evidence
based therapy among young adults is thus particularly important, namely in rural
parts of Canada as well as among its linguistic minorities. Computerized therapy
could be a cost-effective alternative. However, little is known about how young
adults perceive it.Objectives This study aimed to assess the influence of self
stigma, need for autonomy and attitudes toward computerized therapy on the intent
of Francophone young adults to use computerized therapy. The impact of visiting
the Website of a virtual psychology clinic on their intent to use computerized
therapy was also assessed.Method Forty-four young adults attending a French
University in New Brunswick completed online self-report measures before and
after visiting the Website of the MindSpot Clinic, a virtual psychology clinic
offering evidence-based computerized therapy programs for anxiety and
depression.Results Higher levels of self-stigma were moderately correlated with
higher levels of need for autonomy, which in turn were moderately associated with
more positive attitudes toward computerized therapy. Only more positive attitudes
toward computerized therapy were moderately and directly correlated with a higher
intent of using this type of therapy. T-tests revealed that visiting the MindSpot
Clinic's Website produced a significant and large increase in the intent to use
computerized therapy.Conclusions Computerized therapy may facilitate access to
evidence-based treatments of anxiety and depression among Francophone young
adults from New Brunswick, and perhaps from other parts of Canada as well.
Websites similar to the one of the MindSpot Clinic may be an efficient strategy
to provide young Canadian adults with helpful information on computerized
therapy, as this therapy format is not established yet in Canada. A research
program conducted by the first author aims to make it accessible in New Brunswick
in both official languages.
PMID- 27203541
TI - [Not Available].
PMID- 27203543
TI - Feasibility of Single Scan for Simultaneous Evaluation of Regional Krypton and
Iodine Concentrations with Dual-Energy CT: An Experimental Study.
AB - Purpose To evaluate the feasibility of a simultaneous single scan of regional
krypton and iodine concentrations by using dual-energy computed tomography (CT).
Materials and Methods The study was approved by the institutional animal
experimental committee. An airway obstruction model was first made in 10 beagle
dogs, and a pulmonary arterial occlusion was induced in each animal after 1 week.
For each model, three sessions of dual-energy CT (80% krypton ventilation
[krypton CT], 80% krypton ventilation with iodine enhancement [mixed-contrast
agent CT], and iodine enhancement [iodine CT]) were performed. Krypton maps were
made from krypton and mixed-contrast agent CT, and iodine maps were made from
iodine and mixed-contrast agent CT. Observers measured overlay Hounsfield units
of the diseased and contralateral segments on each map. Values were compared by
using the Wilcoxon signed-rank test. Results In krypton maps of airway
obstruction, overlay Hounsfield units of diseased segments were significantly
decreased compared with those of contralateral segments in both krypton and mixed
contrast agent CT (P = .005 for both). However, the values of mixed-contrast
agent CT were significantly higher than those of krypton CT for both segments (P
= .005 and .007, respectively). In iodine maps of pulmonary arterial occlusion,
values were significantly lower in diseased segments than in contralateral
segments for both iodine and mixed-contrast agent CT (P = .005 for both), without
significant difference between iodine and mixed-contrast agent CT for both
segments (P = .126 and .307, respectively). Conclusion Although some limitations
may exist, it might be feasible to analyze regional krypton and iodine
concentrations simultaneously by using dual-energy CT. (c) RSNA, 2016.
PMID- 27203542
TI - High Incidence of Veno-Occlusive Disease With Myeloablative Chemotherapy
Following Craniospinal Irradiation in Children With Newly Diagnosed High-Risk CNS
Embryonal Tumors: A Report From the Children's Oncology Group (CCG-99702).
AB - BACKGROUND: The outcomes with high-risk central nervous system (CNS) embryonal
tumors remain relatively poor despite aggressive treatment. The purposes of this
study using postirradiation myeloablative chemotherapy with autologous
hematopoietic stem cell rescue (ASCR) were to document feasibility and describe
toxicities of the regimen, establish the appropriate dose of thiotepa, and
estimate the overall survival (OS) and event-free survival (EFS). PROCEDURE: The
Children's Cancer Group conducted this pilot study in children and adolescents
with CNS embryonal tumors. The treatment consisted of induction chemotherapy to
mobilize hematopoietic stem cells, chemoradiotherapy, and myeloablative
consolidation chemotherapy with ASCR. RESULTS: The study accrued 25 subjects in
40 months and was closed early due to toxicity, namely, veno-occlusive disease
(VOD) of the liver, more recently termed sinusoidal obstructive syndrome (SOS).
Of 24 eligible subjects, three of 11 (27%) receiving thiotepa Dose Level 1 (150
mg/m(2) /day * 3 days) and three of 12 (25%) receiving de-escalated Dose Level 0
(100 mg/m(2) /day * 3 days) experienced VOD/SOS. One additional subject
experienced toxic death attributed to septic shock; postmortem examination
revealed clinically undiagnosed VOD/SOS. The 2-year EFS and OS were 54 +/- 10%
and 71 +/- 9%, respectively. The 5-year EFS and OS were 46 +/- 11% and 50 +/-
11%. CONCLUSIONS: The treatment regimen was deemed to have an unacceptable rate
of VOD/SOS. There was complete recovery in all six cases. The overall therapeutic
strategy using a regimen less likely to cause VOD/SOS may merit further
evaluation for the highest risk patients.
PMID- 27203544
TI - Catalysis under Cover: Enhanced Reactivity at the Interface between (Doped)
Graphene and Anatase TiO2.
AB - The "catalysis under cover" involves chemical processes which take place in the
confined zone between a 2D material, such as graphene, h-BN, or MoS2, and the
surface of an underlying support, such as a metal or a semiconducting oxide. The
hybrid interface between graphene and anatase TiO2 is extremely important for
photocatalytic and catalytic applications because of the excellent and
complementary properties of the two materials. We investigate and discuss the
reactivity of O2 and H2O on top and at the interface of this hybrid system by
means of a wide set of dispersion-corrected hybrid density functional
calculations. Both pure and boron- or nitrogen-doped graphene are interfaced with
the most stable (101) anatase surface of TiO2 in order to improve the chemical
activity of the C-layer. Especially in the case of boron, an enhanced reactivity
toward O2 dissociation is observed as a result of both the contribution of the
dopant and of the confinement effect in the bidimensional area between the two
surfaces. Extremely stable dissociation products are observed where the boron
atom bridges the two systems by forming very stable B-O covalent bonds.
Interestingly, the B defect in graphene could also act as the transfer channel of
oxygen atoms from the top side across the C atomic layer into the G/TiO2
interface. On the contrary, the same conditions are not found to favor water
dissociation, proving that the "catalysis under cover" is not a general effect,
but rather highly depends on the interfacing material properties, on the presence
of defects and impurities and on the specific reaction involved.
PMID- 27203545
TI - Fucoidan inhibits lymphangiogenesis by downregulating the expression of VEGFR3
and PROX1 in human lymphatic endothelial cells.
AB - Lymphangiogenesis is one of the promoters of tumor lymphatic metastasis. Fucoidan
which is a fucose-enriched sulfated polysaccharide has effect on various
pharmacological activities including anti-metastasis activity. However, the
inhibitory effect of fucoidan on lymphangiogenesis remains unclear. Here,
fucoidan extracted from U. pinnatifida sporophylls suppressed HLECs
proliferation, migration and tube-like structure formation, and had inhibitory
effect of tumor-induced lymphangiogenesis in vitro. Additionally, we found that
fucoidan had a dose-dependent depressive effect on the expressions of PROX1,
vascular endothelial growth factor receptor 3 (VEGFR3), NF-kappaB, phospho-PI3K
and phospho-Akt in HLECs. Moreover, anti-lymphangiogenesis effect of fucoidan was
assessed by using mouse tumor model. In summary, fucoidan inhibit tumor
lymphangiogenesis and lymphatic metastasis by suppressing the NF-kappaB/PI3K/Akt
signaling pathway through reduced levels of PROX1 and VEGFR3.
PMID- 27203546
TI - Phage display library selection of a hypoxia-binding scFv antibody for liver
cancer metabolic marker discovery.
AB - : Hypoxia, which is frequently observed in liver cancer and metastasis,
influences tumor progression and resistance to therapy. Although hypoxia
associated biomarkers are of use in other cancers, none is recognized as a
surrogate for hypoxia in liver cancer. In this study, we generated seven unique
human single-chain Fv (scFv) antibodies (Abs) specific to hypoxic liver cancer
cells, using normoxia-depleted vs hypoxia-selected phage library panning
technology. By developing the scFv immunoprecipitation-based mass spectrometry
method, the antigen that bound with one of the Abs (H103) was identified as the
M2 splice isoform of pyruvate kinase (PKM2), an enzyme that is a key regulator of
aerobic glycolysis in cancer cells. Increased expression of PKM2 was induced by
hypoxia in liver cancer cell lines. Immunohistochemical (IHC) staining showed
that PKM2 was highly expressed in moderately and well differentiated
hepatocellular carcinoma (HCC) tissues with a hypovascular staining pattern. High
expression of PKM2 was also localized in the perinecrotic area of intrahepatic
cholangiocarcinoma (ICC) tissues. The percentage of the HCC or ICC tumor
expressing PKM2 was significantly higher with more tumor necrosis, low
microvessel density, and advanced stage. Moreover, the H103 scFv Ab was
efficiently internalized into hypoxic liver cancer cells and could have potential
for targeted drug delivery. CONCLUSION: our study, for the first time, developed
hypoxia-specific scFv Ab H103 to liver cancer cells, and revealed that PKM2 is a
promising biomarker for hypoxia in HCC and ICC tissues. These allow further
exploration of this valuable Ab and PKM2 antigen for hypoxia targeting in liver
cancer.
PMID- 27203547
TI - Functional role and tobacco smoking effects on methylation of CYP1A1 gene in
prostate cancer.
AB - Cytochrome P450 (CYP) 1A1 is a phase I enzyme that can activate various compounds
into reactive forms and thus, may contribute to carcinogenesis. In this study, we
investigated the expression, methylation status, and functional role of CYP1A1 on
prostate cancer cells. Increased expression of CYP1A1 was observed in all cancer
lines (PC-3, LNCaP, and DU145) compared to BPH-1 (P < 0.05); and was enhanced
further by 5-aza-2'-deoxycytidine treatment (P < 0.01). Methylation-specific PCR
(MSP) and sequencing of bisulfite-modified DNA of the xenobiotic response element
(XRE) enhancer site XRE-1383 indicated promoter methylation as a regulator of
CYP1A1 expression. In tissue, microarrays showed higher immunostaining of CYP1A1
in prostate cancer than normal and benign prostatic hyperplasia (BPH; P < 0.001),
and methylation analyses in clinical specimens revealed significantly lower
methylation levels in cancer compared to BPH at all enhancer sites analyzed (XRE
1383, XRE-983, XRE-895; P < 0.01). Interestingly, smoking affected the XRE-1383
site where the methylation level was much lower in cancer tissues from smokers
than non-smokers (P < 0.05). CYP1A1 levels are thus increased in prostate cancer
and to determine the functional effect of CYP1A1 on cells, we depleted the gene
in LNCaP and DU145 by siRNA. We observe that CYP1A1 knockdown decreased cell
proliferation (P < 0.05) and increased apoptosis (P < 0.01) in both cell lines.
We analyzed genes affected by CYP1A1 silencing and found that apoptosis-related
BCL2 was significantly down-regulated. This study supports an oncogenic role for
CYP1A1 in prostate cancer via promoter hypomethylation that is influenced by
tobacco smoking, indicating CYP1A1 to be a promising target for prostate cancer
treatment.
PMID- 27203548
TI - Prognostic impact of history of follicular lymphoma, induction regimen and stem
cell transplant in patients with MYC/BCL2 double hit lymphoma.
AB - MYC/BCL2 double hit lymphoma (DHL) has been the subject of many studies; however,
no study has systemically compared the clinicopathologic features and prognostic
factors between patients with de novo disease versus those with a history of
follicular lymphoma (FL). In addition, the prognostic importance of several other
issues remains controversial in these patients. In this retrospective study, we
assess 157 patients with MYC/BCL2 DHL including 108 patients with de novo disease
and 49 patients with a history of FL or rarely other types of low-grade B-cell
lymphoma. Patients received induction chemotherapy regimens including 61 R-CHOP,
31 R-EPOCH, 29 R-Hyper-CVAD, and 23 other regimens. Thirty-nine patients received
a stem cell transplant (SCT) including 31 autologous and 8 allogeneic. Sixty-two
patients achieved complete remission (CR) after induction chemotherapy. Median
overall survival (OS) was 19 months. Clinicopathologic features were similar
between patients with de novo tumors versus those with a history of FL (P >
0.05). Using multivariate analysis, achieving CR, undergoing SCT, stage and the
International Prognostic Index were independent prognostic factors for OS. Stem
cell transplantion was associated with improved OS in patients who failed to
achieve CR, but not in patients who achieved CR after induction chemotherapy. In
conclusion, patients with MYC/BCL2 DHL who present with de novo disease and
patients with a history of FL have a similarly poor prognosis. Achievement of CR,
regardless of the induction chemotherapy regimen used, is the most important
independent prognostic factor. Patients who do not achieve CR after induction
chemotherapy may benefit from SCT.
PMID- 27203550
TI - How is care provided for patients with paediatric trauma and their families in
Australia? A mixed-method study.
AB - AIM: This study describes clinical staff opinions on the availability and
suitability of resources to provide trauma care to children and their families
and any perceived strengths, gaps and potential interventions to strengthen care.
METHODS: A mixed-method study was conducted in five Australian paediatric trauma
centres. The trauma coordinator at each site participated in a structured
interview to determine models of care and trauma activity at their site. This
informed the development of an electronic survey, which sought staff opinion on
child and family access to services and perceived gaps in care. RESULTS: Five
trauma coordinators were interviewed, and 214 clinicians (medical, nursing,
allied health) from New South Wales, Victoria, South Australia and Queensland
completed the survey. Each site had a trauma director and coordinator, and there
was variance in resource availability. Almost all survey participants (92.5%)
considered their hospital met the physical needs of injured children, 68.2%
thought that the psychosocial needs of children were met and 82.1% thought that
the needs of families were met. The least accessible services reported were
clinical psychology/family counselling, mental health and behaviour management
services. No routine follow-up support services post-discharge for the child or
their families were identified. CONCLUSION: Staff providing care for injured
children report that physical needs are better met than psychosocial needs. There
is variability in resource levels across paediatric trauma centres. A coordinated
model of care that provides psychosocial care both during hospitalisation and
post-discharge could reduce this gap in care for injured children and their
families.
PMID- 27203551
TI - Milliseconds Make the Difference in the Far-from-Equilibrium Self-Assembly of
Supramolecular Chiral Nanostructures.
AB - The effect of diffusion-controlled microfluidic conditions in the very initial
stages of a far-from-equilibrium self-assembly process on the evolution of
aggregate chirality in a multicomponent supramolecular system is shown.
PMID- 27203549
TI - S100A7 induction is repressed by YAP via the Hippo pathway in A431 cells.
AB - YAP is an oncogenic transcriptional co-activator and is inhibited by the Hippo
pathway. Recent studies have revealed that YAP is also a sensor of cell
morphology and cell density and can be phosphorylated by cytoskeleton
reorganization. Our previous study demonstrated that S100A7 was upregulated in
several squamous cell carcinoma (SCC) specimens and was dramatically induced in
SCC cells by suspension and dense culture as well as in xenografts. However,
little is known about how S100A7 induction occurs in cancer cells. Here, we
identify that S100A7 induction is accompanied by YAP phosphorylation in both
suspended and dense A431 cells. This correlation reverses after recovery of cell
attachment or relief from dense culture. Further examination finds that S100A7
induction is repressed by nuclear YAP, which is further validated by activation
or inhibition of the Hippo pathway via loss- and/or gain-of- LATS1 and MST1
function. Strikingly, disruption of the F-actin promotes S100A7 expression via
YAP by activation of the Hippo pathway. Furthermore, we demonstrate that
repression of S100A7 by YAP required TEAD1 transcriptional factor. Taken
together, our findings demonstrate for the first time that S100A7 is repressed by
YAP via the Hippo pathway.
PMID- 27203552
TI - Identity Processes and Parent-Child and Sibling Relationships in Adolescence: A
Five-Wave Multi-Informant Longitudinal Study.
AB - The purpose of this study was to examine reciprocal associations between identity
processes (commitment, in-depth exploration, and reconsideration of commitment)
and dimensions (support, negative interaction, and power) of maternal, paternal,
and sibling relationships. A total of 497 Dutch families including 14-years-old
adolescents (56.9% males), their fathers, mothers, and siblings, for a total of
1,988 respondents, participated in a five-wave longitudinal study. Cross-lagged
analyses indicated that commitment and in-depth exploration predicted
improvements in family relationships (unidirectional effects), whereas
reconsideration of commitment was predicted by low levels of maternal support and
worsened the quality of the paternal relationship (reciprocal effects). These
results were not moderated by adolescents' gender and sibling characteristics.
Theoretical and practical implications are discussed.
PMID- 27203553
TI - Parsimonious kernel extreme learning machine in primal via Cholesky
factorization.
AB - Recently, extreme learning machine (ELM) has become a popular topic in machine
learning community. By replacing the so-called ELM feature mappings with the
nonlinear mappings induced by kernel functions, two kernel ELMs, i.e., P-KELM and
D-KELM, are obtained from primal and dual perspectives, respectively.
Unfortunately, both P-KELM and D-KELM possess the dense solutions in direct
proportion to the number of training data. To this end, a constructive algorithm
for P-KELM (CCP-KELM) is first proposed by virtue of Cholesky factorization, in
which the training data incurring the largest reductions on the objective
function are recruited as significant vectors. To reduce its training cost
further, PCCP-KELM is then obtained with the application of a probabilistic
speedup scheme into CCP-KELM. Corresponding to CCP-KELM, a destructive P-KELM
(CDP-KELM) is presented using a partial Cholesky factorization strategy, where
the training data incurring the smallest reductions on the objective function
after their removals are pruned from the current set of significant vectors.
Finally, to verify the efficacy and feasibility of the proposed algorithms in
this paper, experiments on both small and large benchmark data sets are
investigated.
PMID- 27203554
TI - A recurrent neural network for adaptive beamforming and array correction.
AB - In this paper, a recurrent neural network (RNN) is proposed for solving adaptive
beamforming problem. In order to minimize sidelobe interference, the problem is
described as a convex optimization problem based on linear array model. RNN is
designed to optimize system's weight values in the feasible region which is
derived from arrays' state and plane wave's information. The new algorithm is
proven to be stable and converge to optimal solution in the sense of Lyapunov. So
as to verify new algorithm's performance, we apply it to beamforming under array
mismatch situation. Comparing with other optimization algorithms, simulations
suggest that RNN has strong ability to search for exact solutions under the
condition of large scale constraints.
PMID- 27203555
TI - Continuous subcutaneous glucose monitoring is accurate in term and near-term
infants at risk of hypoglycaemia.
AB - AIM: Postnatal hypoglycaemia increases the risk of adverse neurological outcomes
in newborn infants, and adequate glucose control requires repetitive and painful
blood sampling. This study evaluated a continuous glucose monitoring system
(CGMS) that aims to improve glucose control and decrease the frequency of blood
samples taken from neonates. METHODS: CGMS sensors, which measure glucose values
every five minutes and require calibration twice a day, were placed on 20 infants
at risk of hypoglycaemia. The infants also underwent blood glucose sampling, and
the blood glucose values were compared with CGMS values six times during the
first 30 minutes after sampling. RESULTS: We used 97/264 (37%) of the blood
glucose values taken for the CGMS calibration. The highest accuracy, a mean of
0.22 (95% confidence interval 0.13-0.30 mmol/L), was found 15-19 minutes after
sampling, due to the calibration process. No significant subcutaneous glucose
time lag was detectable. CONCLUSION: The CGMS system was an accurate and feasible
method for glucose control, provided earlier detection of hypoglycaemia in
newborn infants and reduced their exposure to procedural pain. The delay in
calibration in infants was a new finding and needs to be taken into account when
comparing CGMS readings to blood glucose values.
PMID- 27203556
TI - Breakage-fusion-bridge cycles and de novo telomere formation on broken
chromosomes in maize callus cultures.
AB - Breakpoints involved in chromosome alterations associated with heterochromatin
have been detected in maize plants regenerated from callus culture. A cytogenetic
analysis of plants regenerated from a maize callus was performed aiming to
analyze the stability of a chromosome 7 bearing a deficiency-duplication (Df-Dp),
which was interpreted as derived from a chromatid type breakage-fusion-bridge
(BFB) cycle. The Df-Dp chromosome 7 was stable in mitotic and meiotic cells of
the regenerated plants. Fluorescence in situ hybridization showed signals of
telomeric sequences on the broken chromosome arm and provided evidence of de novo
telomere formation. The stability of two types of altered chromosome 7 was
investigated in C-banded metaphases from samples of the original callus that were
collected during a period of 30-42 months after culture initiation. New
alterations involving heterochromatic knobs of chromosomes 7 and 9 were observed.
The aberrant chromosomes were stable in the subcultures, thus providing evidence
of broken chromosome healing. The examination of anaphases showed the presence of
bridges, which was consistent with the occurrence of BFB cycles. De novo telomere
formation occurred in euchromatic and heterochromatic chromosome termini. The
results point to events of chromosomal evolution that might occur in plants.
PMID- 27203557
TI - Multilevel Nonvolatile Memristive and Memcapacitive Switching in Stacked Graphene
Sheets.
AB - We fabricated devices consisting of single and double graphene sheets embedded in
organic polymer layers. These devices had binary and ternary nonvolatile
resistive switching behaviors, respectively. Capacitance-voltage (C-V) curves and
scanning capacitance microscopy (SCM) images were obtained to investigate the
switching mechanism. The C-V curves exhibited a large hysteresis, implying that
the graphene sheets acted as charging and discharging layers and that resistive
switching was caused by charges trapped in the graphene layers. In addition,
binary capacitive switching behaviors were observed for the device with a single
graphene sheet, and ternary capacitive switching behaviors were observed for the
device with the double graphene sheets. These results demonstrated that devices
consisting of graphene sheets embedded in the polymer layers can be applied to
multilevel nonvolatile memcapacitive devices as well as memristive devices.
PMID- 27203558
TI - Electrical, Mechanical, and Capacity Percolation Leads to High-Performance
MoS2/Nanotube Composite Lithium Ion Battery Electrodes.
AB - Advances in lithium ion batteries would facilitate technological developments in
areas from electrical vehicles to mobile communications. While two-dimensional
systems like MoS2 are promising electrode materials due to their potentially high
capacity, their poor rate capability and low cycle stability are severe
handicaps. Here, we study the electrical, mechanical, and lithium storage
properties of solution-processed MoS2/carbon nanotube anodes. Nanotube addition
gives up to 10(10)-fold and 40-fold increases in electrical conductivity and
mechanical toughness, respectively. The increased conductivity results in up to a
100* capacity enhancement to ~1200 mAh/g (~3000 mAh/cm(3)) at 0.1 A/g, while the
improved toughness significantly boosts cycle stability. Composites with 20 wt %
nanotubes combine high reversible capacity with excellent cycling stability
(e.g., ~950 mAh/g after 500 cycles at 2 A/g) and high rate capability (~600 mAh/g
at 20 A/g). The conductivity, toughness, and capacity scale with nanotube content
according to percolation theory, while the stability increases sharply at the
mechanical percolation threshold. We believe that the improvements in
conductivity and toughness obtained after addition of nanotubes can be
transferred to other electrode materials, such as silicon nanoparticles.
PMID- 27203559
TI - A RARE COMPLICATION OF POSTERIOR SUBTENON INJECTION.
AB - PURPOSE: To report a case of posterior ciliary artery occlusion after posterior
subtenon injection. METHODS: Clinical examination and fundus fluorescein
angiography was performed to confirm diagnosis. A 49-year-old female who was on
treatment for bilateral chronic sclerokeratouveitis was given posterior subtenon
injection for cystoid macular edema. RESULTS: Posterior ciliary artery occlusion
occurred after posterior subtenon injection. CONCLUSION: Choroidal vascular
occlusion is a rare complication of posterior subtenon injection.
PMID- 27203560
TI - SURGICAL TREATMENT OF A MACULAR HOLE IN X-LINKED RETINOSCHISIS.
AB - PURPOSE: To report a case of full-thickness macular hole in a patient with X
linked retinoschisis and the outcome after surgical repair. METHODS: A 15-year
old boy with a history of X-linked retinoschisis presented to his ophthalmologist
for routine follow-up and was found to have a Stage-3 macular hole in his left
eye. His vision was 20/200. The patient underwent pars plana vitrectomy and
internal limiting membrane peeling, and he received long-acting gas. Color fundus
photography and spectral domain optical coherence tomography (Cirrus; Carl Zeiss
Meditech Inc, Dublin, CA) recorded images at office visits, before and after
surgical repair. RESULTS: The initial spectral domain optical coherence
tomography of the left eye showed a full-thickness macular hole of 1,370 MUm in
diameter as well as schisis cavities in the macula. After pars plana vitrectomy
and repeat fluid-gas exchange, the hole was closed at the sixth-week follow-up
visit. The patient noted a subjective decrease in the size of a central scotoma.
Best-corrected visual acuity returned to the baseline of 20/80. CONCLUSION: A
large full-thickness macular hole can develop in X-linked retinoschisis, and pars
plana vitrectomy with internal limiting membrane peeling may be helpful for
successful surgical closure.
PMID- 27203561
TI - LEBER CONGENITAL AMAUROSIS WITH LARGE RETINAL PIGMENT CLUMPS CAUSED BY COMPOUND
HETEROZYGOUS MUTATIONS IN KCNJ13.
AB - PURPOSE: To describe a patient with mutations in KCNJ13 presenting particular
clinical features. METHODS: Standard ophthalmic examination, fundus
autofluorescence, spectral domain optical coherence tomography, full-field
electroretinography. The 3 exons of KCNJ13 were polymerase chain reaction
amplified and Sanger sequenced. PATIENTS: A 31-year-old man with Leber congenital
amaurosis. RESULTS: Patient had nystagmus since childhood, best-corrected visual
acuity limited to 20/400 OD and 20/200 OS, and had cataracts extracted in both
eyes. There were clumpy pigment deposits mostly in macular area, causing an
uneven line of retinal pigment epithelium on spectral domain optical coherence
tomography. In retinal parts devoid of pigment deposits around the optic disk and
in periphery, retinal thickness was increased and hyperreflective formations were
present either in the inner nuclear layer or in the outer nuclear layer. The
patient was compound heterozygous for new mutations in KCNJ13 which encodes the
Kir 7.1 potassium channel, c.314G>T (p.Ser105Ile) in exon 2 and c.655C>T
(p.Gln219*) in exon 3. Both mutations were absent from databases. CONCLUSION:
KCNJ13 mutations are responsible for early-onset retinal dystrophy, featuring
remarkable clumpy pigment deposits at the level of the retinal pigment
epithelium, suggesting dysfunction and disorganization of this tissue. Parts of
the retina remain relatively preserved anatomically but are increased in
thickness. This distinct fundus appearance should help in identifying the "KCNJ13
retinal dystrophy" to orient the molecular diagnosis.
PMID- 27203562
TI - ACUTE SEROUS RETINAL DETACHMENT IN IDIOPATHIC PULMONARY ARTERIAL HYPERTENSION.
AB - PURPOSE: To report the case of a 44-year-old woman with acute transient visual
loss likely because of idiopathic pulmonary arterial hypertension. METHODS: Case
report imaged with fundus photographs, spectral-domain optical coherence
tomography, fundus autofluorescence, and fluorescein and indocyanine green
angiographies. RESULTS: The patient complained of unilateral vision loss with
metamorphopsia. Fundus examination of the right eye showed serous macular
detachment and retinal folds. Fluorescein angiography and indocyanine green
angiography showed delayed choroidal filling with multiple choroidal perfusion
defects and dye leakage from areas of multiple pigment epithelial detachments.
Spectral-domain optical coherence tomography showed a thick choroid. Medical
history included idiopathic pulmonary arterial hypertension treated with
tadalafil. Ocular signs regressed spontaneously within 1 week. CONCLUSION:
Transient severe choroidal filling defects with subretinal exudation may be
observed in idiopathic pulmonary arterial hypertension. The present case raised
the questions of the triggering factor of the acute decompensation of the blood
retinal barrier and the cause of its spontaneous regression.
PMID- 27203565
TI - Apoptosis and morphological alterations after UVA irradiation in red blood cells
of p53 deficient Japanese medaka (Oryzias latipes).
AB - Morphological alterations in red blood cells were described as hematological
bioindicators of UVA exposure to investigate the sensitivity to UVA in wild type
Japanese medaka (Oryzias latipes) and a p53 deficient mutant. The fewer abnormal
red blood cells were observed in the p53 mutant fish under the control
conditions. After exposure to different doses of UVA radiation (15min, 30min and
60min/day for 3days), cellular and nuclear alterations in red blood cells were
analyzed in the UVA exposed fish compared with non-exposed controls and those
alterations included acanthocytes, cell membrane lysis, swollen cells, teardrop
like cell, hemolyzed cells and sickle cells. Those alterations were increased
after the UVA exposure both in wild type and the p53 deficient fish. Moreover,
apoptosis analyzed by acridine orange assay showed increased number of apoptosis
in red blood cells at the higher UVA exposure dose. No micronuclei but nuclear
abnormalities as eccentric nucleus, nuclear budding, deformed nucleus, and
bilobed nucleus were observed in each group. These results suggested that UVA
exposure induced both p53 dependent and independent apoptosis and morphological
alterations in red blood cells but less sensitive to UVA than Wild type in medaka
fish.
PMID- 27203564
TI - Management of Prematurity-Associated Wheeze and Its Association with Atopy.
AB - INTRODUCTION: Although preterm birth is associated with respiratory morbidity in
childhood, the role of family history of atopy and whether appropriate treatment
has been instituted is unclear. Thus we assessed (i) the prevalence of
respiratory symptoms, particularly wheezing, in childhood; (ii) evaluated the
role of family history of atopy and mode of delivery, and (iii) documented the
drug usage, all in preterm-born children compared to term-born control children.
METHODS: We conducted a cross-sectional population-based questionnaire study of 1
10 year-old preterm-born children (n = 13,361) and matched term-born controls
(13,361). Data (n = 7,149) was analysed by gestational groups (24-32 weeks, 33-34
weeks, 35-36 weeks and 37-43 weeks) and by age, <5 years old or >= 5 years. MAIN
RESULTS: Preterm born children aged <5 years (n = 2,111, term n = 1,402) had
higher rates of wheeze-ever [odds ratio: 2.7 (95% confidence intervals 2.2, 3.3);
1.8 (1.5, 2.2); 1.5 (1.3, 1.8) respectively for the 24-32 weeks, 33-34 weeks, 35
36 weeks groups compared to term]. Similarly for the >=5 year age group (n =
2,083, term n = 1,456) wheezing increased with increasing prematurity [odds
ratios 3.3 (2.7, 4.1), 1.8 (1.5, 2.3) and 1.6 (1.3, 1.9) for the three preterm
groups compared to term]. At both age groups, inhaler usage was greater in the
lowest preterm group but prematurity-associated wheeze was independent of a
family history of atopy. CONCLUSIONS: Increasing prematurity was associated with
increased respiratory symptoms, which were independent of a family history of
atopy. Use of bronchodilators was also increased in the preterm groups but its
efficacy needs careful evaluation.
PMID- 27203563
TI - A Detailed Data-Driven Network Model of Prefrontal Cortex Reproduces Key Features
of In Vivo Activity.
AB - The prefrontal cortex is centrally involved in a wide range of cognitive
functions and their impairment in psychiatric disorders. Yet, the computational
principles that govern the dynamics of prefrontal neural networks, and link their
physiological, biochemical and anatomical properties to cognitive functions, are
not well understood. Computational models can help to bridge the gap between
these different levels of description, provided they are sufficiently constrained
by experimental data and capable of predicting key properties of the intact
cortex. Here, we present a detailed network model of the prefrontal cortex, based
on a simple computationally efficient single neuron model (simpAdEx), with all
parameters derived from in vitro electrophysiological and anatomical data.
Without additional tuning, this model could be shown to quantitatively reproduce
a wide range of measures from in vivo electrophysiological recordings, to a
degree where simulated and experimentally observed activities were statistically
indistinguishable. These measures include spike train statistics, membrane
potential fluctuations, local field potentials, and the transmission of transient
stimulus information across layers. We further demonstrate that model predictions
are robust against moderate changes in key parameters, and that synaptic
heterogeneity is a crucial ingredient to the quantitative reproduction of in vivo
like electrophysiological behavior. Thus, we have produced a physiologically
highly valid, in a quantitative sense, yet computationally efficient PFC network
model, which helped to identify key properties underlying spike time dynamics as
observed in vivo, and can be harvested for in-depth investigation of the links
between physiology and cognition.
PMID- 27203566
TI - Effect of melatonin on monochromatic light-induced T-lymphocyte proliferation in
the thymus of chickens.
AB - A total of 360 post-hatching day 0 (P0) Arbor Acre male broilers, including
intact, sham operation and pinealectomy groups, were exposed to white light (WL),
red light (RL), green light (GL) and blue light (BL) from a light-emitting diode
(LED) system until for P14. We studied the effects of melatonin and its receptors
on monochromatic light-induced T-lymphocyte proliferation in the thymus of
broilers. The density of proliferating cell nuclear antigen (PCNA) cells and the
proliferation of T-lymphocytes in response to Concanavalin A (ConA) in GL
significantly increased both in vivo and in vitro (from 9.57% to 32.03% and from
34.30% to 50.53%, respectively) compared with other lights (p<0.005) and was
strongly correlated with melatonin levels in plasma (p<0.005). Pinealectomy
reduced the levels of circulatory melatonin and the proliferation of T
lymphocytes and eliminated the differences between GL and other lights (p<0.005).
However, exogenous melatonin (10(-9)M) significantly increased the proliferative
activity of T-lymphocyte by 9.64% (p=0.002). In addition, GL significantly
increased mRNA expression levels of Mel1a, Mel1b and Mel1c receptors from 21.09%
to 32.57%, and protein expression levels from 24.43% to 42.92% compared with RL
(p<0.05). However, these effects were blocked after pinealectomy. Furthermore, 4P
PDOT (a selective Mel1b antagonist) and prazosin (a selective Mel1c antagonist)
attenuated GL-induced T-lymphocyte proliferation in response to ConA (p=0.000).
Luzindole (a nonselective Mel1a/Mel1b antagonist), however, did not induce these
effects (p=0.334). These results suggest that melatonin may mediate GL-induced T
lymphocyte proliferation via the Mel1b and Mel1c receptors but not via the Mel1a
receptor.
PMID- 27203567
TI - Isatis tinctoria mediated synthesis of amphotericin B-bound silver nanoparticles
with enhanced photoinduced antileishmanial activity: A novel green approach.
AB - After malaria, Leishmaniasis is the most prevalent infectious disease in terms of
fatality and geographical distribution. The availability of a limited number of
antileishmanial agents, emerging resistance to the available drugs, and the high
cost of treatment complicate the treatment of leishmaniasis. To overcome these
issues, critical research for new therapeutic agents with enhanced
antileishmanial potential and low treatment cost is needed. In this contribution,
we developed a green protocol to prepare biogenic silver nanoparticles (AgNPs)
and amphotericin B-bound biogenic silver nanoparticles (AmB-AgNPs).
Phytochemicals from the aqueous extract of Isatis tinctoria were used as reducing
and capping agents to prepare silver nanoparticles. Amphotericin B was
successfully adsorbed on the surface of biogenic silver nanoparticles. The
prepared nanoparticles were characterized by various analytical techniques. UV
Visible spectroscopy was employed to detect the characteristic localized surface
plasmon resonance peaks (LSPR) for the prepared nanoparticles. Transmission
electron microscopy (TEM) and dynamic light scattering (DLS) studies revealed the
formation of spherical silver nanoparticles with an average particle size of 10
20nm. The cubic crystalline structure of the prepared nanoparticles was confirmed
by X-ray diffraction (XRD) study. FTIR spectroscopic analysis revealed that plant
polyphenolic compounds are mainly involved in metal reduction and capping. Under
visible light irradiation, biogenic silver nanoparticles exhibited significant
activity against Leishmania tropica with an IC50 value of 4.2MUg/mL. The
leishmanicidal activity of these nanoparticles was considerably enhanced by
conjugation with amphotericin B (IC50=2.43MUg/mL). In conclusion, the findings of
this study reveal that adsorption of amphotericin B, an antileishmanial drug, to
biogenic silver nanoparticles, could be a safe, more effective and economic
alternative to the available antileishmanial strategies.
PMID- 27203568
TI - Effect of reduced graphene oxide-hybridized ZnO thin films on the
photoinactivation of Staphylococcus aureus and Salmonella enterica serovar Typhi.
AB - The immobilization of photocatalyst nanoparticles on a solid substrate is an
important aspect for improved post-treatment separation and photocatalyst reactor
design. In this study, we report the simple preparation of reduced graphene oxide
(rGO)-hybridized zinc oxide (ZnO) thin films using a one-step electrochemical
deposition, and investigated the effect of rGO-hybridization on the
photoinactivation efficiency of ZnO thin films towards Staphylococcus aureus (S.
aureus) and Salmonella enterica serovar Typhi (S. Typhi) as target bacterial
pathogens. Field-emission scanning electron microscopy (FESEM) revealed the
formation of geometric, hexagonal flakes of ZnO on the ITO glass substrate, as
well as the incorporation of rGO with ZnO in the rGO/ZnO thin film. Raman
spectroscopy indicated the successful incorporation of rGO with ZnO during the
electrodeposition process. Photoluminescence (PL) spectroscopy indicates that rGO
hybridization with ZnO increases the amount of oxygen vacancies, evidenced by the
shift of visible PL peak at 650 to 500nm. The photoinactivation experiments
showed that the thin films were able to reduce the bacterial cell density of
Staph. aureus and S. Typhi from an initial concentration of approximately 10(8)
to 10(3)CFU/mL within 15min. The rGO/ZnO thin film increased the
photoinactivation rate for S. aureus (log[N/No]) from -5.1 (ZnO) to -5.9. In
contrast, the application of rGO/ZnO thin film towards the photoinactivation of
S. Typhi did not improve its photoinactivation rate, compared to the ZnO thin
film. We may summarise that (1) rGO/ZnO was effective to accelerate the
photoinactivation of S. aureus but showed no difference to improve the
photoinactivation of S. Typhi, in comparison to the performance of ZnO thin
films, and (2) the photoinactivation in the presence of ZnO and rGO/ZnO was by
ROS damage to the extracellular wall.
PMID- 27203569
TI - The influence of maternal self-objectification, materialism and parenting style
on potentially sexualized 'grown up' behaviours and appearance concerns in 5
8year old girls.
AB - There is widespread concern about young girls displaying 'grown up' or sexualized
behaviours, as well as experiencing body image and appearance concerns that were
previously thought to only impact much older girls. The present study examined
the influence of three maternal attributes, self-objectification, materialism and
parenting style, on sexualized behaviours and appearance concerns in young girls.
A sample of 252 Australian mothers of 5-8year old girls reported on the
behaviours and appearance concerns observed in their daughters and also completed
measures of their own self-objectification, materialism and parenting style. It
was found that a significant proportion of young girls were engaging with 'teen'
culture, using beauty products and expressing some degree of appearance concern.
Maternal self-objectification was related to daughters' engagement in teen
culture, use of beauty products and appearance concern. Maternal materialism was
related to girls' engagement in teen culture and appearance concern, while an
authoritative parenting style was negatively related to girls' use of beauty
products. The findings suggest that maternal self-objectification and materialism
play a role in the body image and appearance concerns of young girls, and in so
doing, identify these maternal attributes as novel potential targets for
intervention.
PMID- 27203570
TI - Resolution of Elevated Urine Glycosaminoglycans and Clinical Features of
Mucopolysaccharidosis After Successful Treatment of Neuroblastoma.
AB - We report a patient with stage 3 ganglioneuroblastoma who initially presented
with clinical and laboratory features consistent with mucopolysaccharidosis
including coarse facial features, developmental delay, and an elevated
quantitative urine glycosaminoglycan (GAG) level. All mucopolysaccharidosis
features resolved following successful treatment of neuroblastoma. High GAG
levels have been documented in the pediatric oncology literature, yet not as a
potential marker of malignancy or other target for clinical utility. This patient
prompts further investigation into the relationship between neuroblastoma and
elevated GAG levels.
PMID- 27203572
TI - Correction: Caspase-1-Like Regulation of the proPO-System and Role of ppA and
Caspase-1-Like Cleaved Peptides from proPO in Innate Immunity.
AB - [This corrects the article DOI: 10.1371/journal.ppat.1004059.].
PMID- 27203571
TI - Activation of Nesfatin-1-Containing Neurones in the Hypothalamus and Brainstem by
Peripheral Administration of Anorectic Hormones and Suppression of Feeding via
Central Nesfatin-1 in Rats.
AB - Peripheral anorectic hormones, such as glucagon-like peptide (GLP)-1,
cholecystokinin (CCK)-8 and leptin, suppress food intake. The newly-identified
anorectic neuropeptide, nesfatin-1, is synthesised in both peripheral tissues and
the central nervous system, particularly by various nuclei in the hypothalamus
and brainstem. In the present study, we examined the effects of i.p.
administration of GLP-1 and CCK-8 and co-administrations of GLP-1 and leptin at
subthreshold doses as confirmed by measurement of food intake, on nesfatin-1
immunoreactive (-IR) neurones in the hypothalamus and brainstem of rats by Fos
immunohistochemistry. Intraperitoneal administration of GLP-1 (100 MUg/kg) caused
significant increases in the number of nesfatin-1-IR neurones expressing Fos
immunoreactivity in the supraoptic nucleus (SON), the area postrema (AP) and the
nucleus tractus solitarii (NTS) but not in the paraventricular nucleus (PVN), the
arcuate nucleus (ARC) or the lateral hypothalamic area (LHA). On the other hand,
i.p. administration of CCK-8 (50 MUg/kg) resulted in marked increases in the
number of nesfatin-1-IR neurones expressing Fos-immunoreactivity in the SON, PVN,
AP and NTS but not in the ARC or LHA. No differences in the percentage of
nesfatin-1-IR neurones expressing Fos-immunoreactivity in the nuclei of the
hypothalamus and brainstem were observed between rats treated with saline, GLP-1
(33 MUg/kg) or leptin. However, co-administration of GLP-1 (33 MUg/kg) and leptin
resulted in significant increases in the number of nesfatin-1-IR neurones
expressing Fos-immunoreactivity in the AP and the NTS. Furthermore, decreased
food intake induced by GLP-1, CCK-8 and leptin was attenuated significantly by
pretreatment with i.c.v. administration of antisense nesfatin-1. These results
indicate that nesfatin-1-expressing neurones in the brainstem may play an
important role in sensing peripheral levels of GLP-1 and leptin in addition to
CCK-8, and also suppress food intake in rats.
PMID- 27203573
TI - Exogenous Cytokinins Increase Grain Yield of Winter Wheat Cultivars by Improving
Stay-Green Characteristics under Heat Stress.
AB - Stay-green, a key trait of wheat, can not only increase the yield of wheat but
also its resistance to heat stress during active photosynthesis. Cytokinins are
the most potent general coordinator between the stay-green trait and senescence.
The objectives of the present study were to identify and assess the effects of
cytokinins on the photosynthetic organ and heat resistance in wheat. Two winter
wheat cultivars, Wennong 6 (a stay-green cultivar) and Jimai 20 (a control
cultivar), were subjected to heat stress treatment from 1 to 5 days after
anthesis (DAA). The two cultivars were sprayed daily with 10 mg L-1 of 6
benzylaminopurine (6-BA) between 1 and 3 DAA under ambient and elevated
temperature conditions. We found that the heat stress significantly decreased the
number of kernels per spike and the grain yield (P < 0.05). Heat stress also
decreased the zeatin riboside (ZR) content, but increased the gibberellin (GA3),
indole-3-acetic acid (IAA), and abscisic acid (ABA) contents at 3 to 15 DAA.
Application of 6-BA significantly (P < 0.05) increased the grain-filling rate,
endosperm cell division rate, endosperm cell number, and 1,000-grain weight under
heated condition. 6-BA application increased ZR and IAA contents at 3 to 28 DAA,
but decreased GA3 and ABA contents. The contents of ZR, ABA, and IAA in kernels
were positively and significantly correlated with the grain-filling rate (P <
0.05), whereas GA3 was counter-productive at 3 to 15 DAA. These results suggest
that the decrease in grain yield under heat stress was due to a lower ZR content
and a higher GA3 content compared to that at elevated temperature during the
early development of the kernels, which resulted in less kernel number and lower
grain-filling rate. The results also provide essential information for further
utilization of the cytokinin substances in the cultivation of heat-resistant
wheat.
PMID- 27203575
TI - Instant and Persistent Antidepressant Response of Gardenia Yellow Pigment Is
Associated with Acute Protein Synthesis and Delayed Upregulation of BDNF
Expression in the Hippocampus.
AB - Gardenia yellow pigment (GYP) is a collection of compounds with shared structure
of crocin, which confers antidepressant activity. GYP is remarkably enriched in
Gardenia jasminoides Ellis, implicated in rapid antidepressant effects that are
exerted through enhanced neuroplasticity. This study aims to investigate the
rapid antidepressant-like activity of GYP and its underlying mechanism. After the
optimal dose was determined, antidepressant responses in tail suspension test or
forced swim test were monitored at 30 min, 1 day, 3 days, and 7 days post a
single GYP administration. Rapid antidepressant potential was tested using
learned helplessness paradigm. The expression of proteins involved in hippocampal
neuroplasticity was determined. The effect of blockade of protein synthesis on
GYP's antidepressant response was examined. Antidepressant response was detected
at 30 min, and lasted for at least 3 days post a single administration of GYP. A
single administration of GYP also reversed the deficits in learned helplessness
test. Thirty minutes post GYP administration, ERK signaling was activated, and
its downstream effector phosphorylated eukaryotic elongation factor 2 was
inhibited, contributing to increased protein translation. Expression of synaptic
proteins GluR1 and synapsin 1 was upregulated. Blockade of protein synthesis with
anisomycin blunted the immediate antidepressant response of GYP. CREB signaling
and BDNF expression were upregulated at 24 h, but not at 30 min. In conclusion,
GYP-induced immediate antidepressant response was dependent on synthesis of
proteins, including synaptic proteins. This was followed by enhanced expression
of CREB and BDNF, which likely mediated the persistent antidepressant responses.
PMID- 27203574
TI - Various modifications of the amphipathic dynorphin A pharmacophore for rat brain
bradykinin receptors.
AB - As a unique endogenous opioid ligand, dynorphin A shows paradoxical
neuroexcitatory effects at bradykinin receptors, and the effects are known to be
amplified by the upregulation of dynorphin A under chronic pain and inflammatory
conditions. In our earlier structure-activity relationship studies, the
amphipathic dynorphin A fragment, [Des-Arg(7) ]-Dyn A-(4-11), was identified as a
pharmacophore for the bradykinin receptors along with key structural features.
Here, further modifications of the pharmacophore showed that the position of a
Pro residue is also an important feature because of its role in making (or
disrupting) a beta-turn or 310 helix structure which is crucial for receptor
recognition.
PMID- 27203576
TI - A Comparison of Bone Mineral Density in Amateur Male Boxers and Active Non
boxers.
AB - To examine the site-specific osteogenic effect of upper limb impact-loading
activity we compared the forearm and arm bone mineral density (BMD) of male
boxers to that of active controls. A cross-sectional study was performed with 30
amateur male boxers (aged 18-44 years) and 32 age-matched, non-boxing, active
controls. Participants had their regional and whole body BMD and bone mineral
content (BMC) assessed by dual-energy X-ray absorptiometry. Hand grip strength,
testosterone, oestradiol, sex hormone-binding globulin, vitamin D, lean and fat
mass, and past and current physical activity were also assessed. Forearm and arm
BMD were 1.5-2.2% higher in boxers than the control group although this was not
statistically significant (p>0.05), with no significant difference for BMC
(p>0.05). There were no differences between groups for spine, hip, or whole body
BMD or BMC, or for body composition or hormone status. Within the arms, lean mass
was associated with BMD and BMC in both boxers and the control group (BMD, r=0.60
0.76, p<0.001; BMC, r=0.67-0.82, p<0.001). There were no significant differences
between amateur boxers and the control group for upper limb BMD and BMC. However,
muscle mass appears to be particularly important to bone health of the upper
limbs.
PMID- 27203577
TI - Line-assisted complete closure of a large colorectal mucosal defect after
endoscopic submucosal dissection.
PMID- 27203578
TI - Endothelial dysfunction in human essential hypertension.
AB - Although the endothelium has a number of important functions, the term
endothelial dysfunction is commonly used to describe impairment in its
vasodilatory capacity. It is increasingly recognized that this is related to
hypertension, although whether it predates essential hypertension or is a
consequence of it is still unknown. In this review, we explore the mechanisms of
endothelial dysfunction in essential hypertension, its prognostic significance
and methods of pharmacological reversal.
PMID- 27203579
TI - Phenotypes of office systolic blood pressure according to both brachial and
aortic measurements: frequencies and associations with carotid hypertrophy in
1861 adults.
AB - BACKGROUND/AIM: Aortic SBP (aSBP) associates with arterial damage more
consistently than brachial SBP (bSBP). However, it is unknown how often aSBP is
normal in the presence of elevated bSBP, and vice versa; if SBP phenotyping on
the basis of bSBP and aSBP cut-off values improves cardiovascular risk
stratification. We tested the frequency of four office SBP phenotypes: type I
(both normal bSBP and aSBP); type II (high bSBP but normal aSBP); type III
(normal bSBP but high aSBP), and type IV (both high bSBP and aSBP), the
probability of each phenotype to be associated with increased arterial damage,
using type Ia (i.e. normal bSBP and low-normal aSBP) as reference. METHODS: In
1861 participants (age: 54 years, 49.1% men), we measured simultaneously bSBP,
aSBP, and carotid cross-sectional wall area with ultrasound. RESULTS: Depending
on the applied cut-off values, type II and type III phenotypes represented
together 5-11% of the population (0.9-3.4 and 1.8-10.3%, respectively) and type
IV around 20%. Subgroups with phenotypes, Ib (i.e. normal bSBP and high-normal
aSBP), II, III, and IV had gradually significantly higher probability (by 1.37
1.91, 2.3-3.3, 3.3-8.9 times, and 4.18-6.25, respectively) to present elevated
carotid artery cross-sectional wall area compared with the reference group, even
after adjustment for DBP and other confounders. CONCLUSIONS: Type II (i.e.
isolated high bSBP) and type III (i.e. isolated high aSBP) office SBP phenotypes
were common and had intermediate level (between types I and IV) of arterial
damage.
PMID- 27203580
TI - Living my narrative: storying dishonesty and deception in mental health nursing.
AB - This article proceeds from MacIntyre's moral philosophical perspective of
individual human lives constituting unified narratives, in the context of co
evolving framing and guiding master narratives. This perspective accords specific
episodes in people's lives the status of significant component parts of their
developing, storied and enacted individual histories. From this philosophical
base, autoethnographic principles will be employed in providing accounts from my
own professional life narrative strand as a mental health nurse educator that
speak to the issue of institutionalized dishonesty and deception in mental health
nursing education and practice. On the basis of my pre-existing experience of
publishing in nursing journals and scholarly identity, my argument will proceed
from contesting the idea of an imagined stable foundational professional ethos
underpinning mental health nursing practice, against which to judge professional
dishonesty and deception. Using illustrative, relatively recent short stories,
drawn from my lived-experience base as a mental health nurse educator, I will
argue throughout at implicit and explicit levels that dishonesty and deception
are always an inevitable part of the lives of mental health nurses and their
educators. This is because of a constant gap between the nursing rhetoric and
ideology that both groups espouse and how they actually behave on a day-to-day,
mundane level, in and out of work and classroom practice. This gap shows up the
public front of what mental health nursing is supposed to be about as dishonest
and deceitful window dressing. I will assert that the many first-person, lived
experience accounts in mental health nursing teaching and publication are
important educational resources in reducing this gap at professional practice,
academic, and informal levels. Such storied accounts may also be useful in moving
nurses and their educators towards more morally and ethically sensitive and
reflexively attuned positions around what they talk and write into existence.
PMID- 27203581
TI - Multicentric Genome-Wide Association Study for Primary Spontaneous Pneumothorax.
AB - Despite elevated incidence and recurrence rates for Primary Spontaneous
Pneumothorax (PSP), little is known about its etiology, and the genetics of
idiopathic PSP remains unexplored. To identify genetic variants contributing to
sporadic PSP risk, we conducted the first PSP genome-wide association study. Two
replicate pools of 92 Portuguese PSP cases and of 129 age- and sex-matched
controls were allelotyped in triplicate on the Affymetrix Human SNP Array 6.0
arrays. Markers passing quality control were ranked by relative allele score
difference between cases and controls (|RASdiff|), by a novel cluster method and
by a combined Z-test. 101 single nucleotide polymorphisms (SNPs) were selected
using these three approaches for technical validation by individual genotyping in
the discovery dataset. 87 out of 94 successfully tested SNPs were nominally
associated in the discovery dataset. Replication of the 87 technically validated
SNPs was then carried out in an independent replication dataset of 100 Portuguese
cases and 425 controls. The intergenic rs4733649 SNP in chromosome 8 (between
LINC00824 and LINC00977) was associated with PSP in the discovery (P = 4.07E-03,
ORC[95% CI] = 1.88[1.22-2.89]), replication (P = 1.50E-02, ORC[95% CI] =
1.50[1.08-2.09]) and combined datasets (P = 8.61E-05, ORC[95% CI] = 1.65[1.29
2.13]). This study identified for the first time one genetic risk factor for
sporadic PSP, but future studies are warranted to further confirm this finding in
other populations and uncover its functional role in PSP pathogenesis.
PMID- 27203583
TI - Consensus, Dilemmas, and Challenges in Living Donor Liver Transplantation in
Latin America.
AB - We reviewed the history, volume, outcomes, uniqueness, and challenges of living
donor liver transplantation (LDLT) in Latin America. We used the data from the
Latin American and Caribbean Transplant Society, local transplant societies, and
opinions from local transplant experts. There are more than 160 active liver
transplant teams in Latin America, but only 30 centers have used LDLT in the past
2 years. In 2014, 226 LDLTs were done in the region (8.5% of liver transplant
activities). Living donor liver transplantation is mainly restricted to pediatric
patients. Adult-to-adult LDLT activities decreased after the implementation of
the model for end-stage liver disease score and a concomitant increase on the
rate of deceased donors per million population. Posttransplant outcome analysis
is not mandatory, transparent or regulated in most countries. More experienced
teams have outcomes comparable to international expert centers, but donor and
recipient morbidity might be underreported. Latin America lags behind in terms of
the number of adult LDLT and the rate of living donor utilization in comparison
with other continents with similar donation rates. Local alliances and
collaborations with major transplant centers in the developed world will
contribute to the development of LDLT in Latin America.
PMID- 27203584
TI - Paid Living Donation and Growth of Deceased Donor Programs.
AB - Limited organ availability in all countries has stimulated discussion about
incentives to increase donation. Since 1988, Iran has operated the only
government-sponsored paid living donor (LD) kidney transplant program. This
article reviews aspects of the Living Unrelated Donor program and development of
deceased donation in Iran. Available evidence indicates that in the partially
regulated Iranian Model, the direct negotiation between donors and recipients
fosters direct monetary relationship with no safeguards against mutual
exploitation. Brokers, the black market and transplant tourism exist, and the
waiting list has not been eliminated. Through comparison between the large
deceased donor program in Shiraz and other centers in Iran, this article explores
the association between paid donation and the development of a deceased donor
program. Shiraz progressively eliminated paid donor transplants such that by
2011, 85% of kidney transplants in Shiraz compared with 27% across the rest of
Iran's other centers were from deceased donors. Among 26 centers, Shiraz
undertakes the largest number of deceased donor kidney transplants, most liver
transplants, and all pancreas transplants. In conclusion, although many patients
with end stage renal disease have received transplants through the paid living
donation, the Iranian Model now has serious flaws and is potentially inhibiting
substantial growth in deceased donor organ transplants in Iran.
PMID- 27203586
TI - Human Kidney Organoids: Nephron Models of Development and Disease.
PMID- 27203582
TI - N-terminal Huntingtin Knock-In Mice: Implications of Removing the N-terminal
Region of Huntingtin for Therapy.
AB - The Huntington's disease (HD) protein, huntingtin (HTT), is a large protein
consisting of 3144 amino acids and has conserved N-terminal sequences that are
followed by a polyglutamine (polyQ) repeat. Loss of Htt is known to cause
embryonic lethality in mice, whereas polyQ expansion leads to adult neuronal
degeneration. Whether N-terminal HTT is essential for neuronal development or
contributes only to late-onset neurodegeneration remains unknown. We established
HTT knock-in mice (N160Q-KI) expressing the first 208 amino acids of HTT with
160Q, and they show age-dependent HTT aggregates in the brain and neurological
phenotypes. Importantly, the N-terminal mutant HTT also preferentially
accumulates in the striatum, the brain region most affected in HD, indicating the
importance of N-terminal HTT in selective neuropathology. That said, homozygous
N160Q-KI mice are also embryonic lethal, suggesting that N-terminal HTT alone is
unable to support embryonic development. Using Htt knockout neurons, we found
that loss of Htt selectively affects the survival of developing neuronal cells,
but not astrocytes, in culture. This neuronal degeneration could be rescued by a
truncated HTT lacking the first 237 amino acids, but not by N-terminal HTT (1-208
amino acids). Also, the rescue effect depends on the region in HTT known to be
involved in intracellular trafficking. Thus, the N-terminal HTT region may not be
essential for the survival of developing neurons, but when carrying a large polyQ
repeat, can cause selective neuropathology. These findings imply a possible
therapeutic benefit of removing the N-terminal region of HTT containing the polyQ
repeat to treat the neurodegeneration in HD.
PMID- 27203587
TI - Living Donor Liver Transplantation.
PMID- 27203588
TI - Lloyd E. Ratner, MD, MPH: Professor of Surgery and Director of Renal & Pancreatic
Transplantation at Columbia University, and Treasurer of the American Society of
Transplant Surgeons.
PMID- 27203590
TI - Living Kidney Donation at a Crossroads: What Steps Do We Need to Take Now?
PMID- 27203589
TI - Russell W. Strong, FRCS (Eng), FRACS, FRACDS: Pioneering Live Donor Liver
Transplantation.
PMID- 27203591
TI - Live Donor Liver Transplantation: An International Perspective.
PMID- 27203592
TI - Donor Outcomes in Living Donor Liver Transplantation-Analysis of 275 Donors From
a Single Centre in India.
AB - BACKGROUND: Live donor liver transplantation is the predominant form of liver
transplantation in India and in most Asian countries. Donor outcome reports are
an important source of information to be shared with prospective donors at the
time of informed consent. This is the first donor outcome series from India.
METHODS: Analysis of donor characteristics and morbidity of 275 live donors from
a single large volume center is documented. RESULTS: Two hundred seventy-five
patients donated from November 2009 to October 2014, 144 were women and 131 were
men, 180 donated to adults and 95 donated to children. Right lobe donors were
majority at 62.2% followed by left lateral segment 28%. Two thirds of the live
donors did not have any morbidity; 114 complications were encountered in 85
patients. The complications were graded as per Clavien 5 tier grading and major
morbidity (grade III b, grade IV grade V) was 4.36%. Postoperative biliary
complication was seen in 3 donors. CONCLUSIONS: This large single-center study is
the first donor outcome report from India, and the results are comparable to
other published donor series. Documentation and regular audit of donor outcomes
is important to help improve the safety of donor hepatectomy and to provide a
database for informed consent of prospective donors.
PMID- 27203593
TI - Long-term Outcomes for Living Pancreas Donors in the Modern Era.
AB - BACKGROUND: Living donor segmental pancreas transplants (LDSPTx) have been
performed selectively to offer a preemptive transplant option for simultaneous
pancreas-kidney recipients and to perform a single operation decreasing the cost
of pancreas after kidney transplant. For solitary pancreas transplants, this
option historically provided a better immunologic match. Although short-term
donor outcomes have been documented, there are no long-term studies. METHODS: We
studied postdonation outcomes in 46 segmental pancreas living donors. Surgical
complications, risk factors (RF) for development of diabetes mellitus (DM) and
quality of life were studied. A risk stratification model (RSM) for DM was
created using predonation and postdonation RFs. Recipient outcomes were analyzed.
RESULTS: Between January 1, 1994 and May 1, 2013, 46 LDSPTx were performed.
Intraoperatively, 5 (11%) donors received transfusion. Overall, 9 (20%) donors
underwent splenectomy. Postoperative complications included: 6 (13%)
peripancreatic fluid collections and 2 (4%) pancreatitis episodes. Postdonation,
DM requiring oral hypoglycemics was diagnosed in 7 (15%) donors and insulin
dependent DM in 5 (11%) donors. RSM with three predonation RFs (oral glucose
tolerance test, basal insulin, fasting plasma glucose) and 1 postdonation RF,
greater than 15% increase in body mass index from preoperative (Delta body mass
index >15), predicted 12 (100%) donors that developed postdonation DM. Quality of
life was not significantly affected by donation. Mean graft survival was 9.5 (+/
4.4) years from donors without and 9.6 (+/-5.4) years from donors with
postdonation DM. CONCLUSIONS: LDSPTx can be performed with good recipient
outcomes. The donation is associated with donor morbidity including impaired
glucose control. Donor morbidity can be minimized by using RSM and predonation
counseling on life style modifications postdonation.
PMID- 27203594
TI - Video game players show higher performance but no difference in speed of
attention shifts.
AB - Video games have become both a widespread leisure activity and a substantial
field of research. In a variety of tasks, video game players (VGPs) perform
better than non-video game players (NVGPs). This difference is most likely
explained by an alteration of the basic mechanisms underlying visuospatial
attention. More specifically, the present study hypothesizes that VGPs are able
to shift attention faster than NVGPs. Such alterations in attention cannot be
disentangled from changes in stimulus-response mappings in reaction time based
measurements. Therefore, we used a spatial cueing task with varying cue lead
times (CLTs) to investigate the speed of covert attention shifts of 98 male
participants divided into 36 NVGPs and 62 VGPs based on their weekly gaming time.
VGPs exhibited higher peak and mean performance than NVGPs. However, we did not
find any differences in the speed of covert attention shifts as measured by the
CLT needed to achieve peak performance. Thus, our results clearly rule out faster
stimulus-response mappings as an explanation for the higher performance of VGPs
in line with previous studies. More importantly, our data do not support the
notion of faster attention shifts in VGPs as another possible explanation.
PMID- 27203595
TI - Estimating Causal Effects of Local Air Pollution on Daily Deaths: Effect of Low
Levels.
AB - BACKGROUND: Although many time-series studies have established associations of
daily pollution variations with daily deaths, there are fewer at low
concentrations, or focused on locally generated pollution, which is becoming more
important as regulations reduce regional transport. Causal modeling approaches
are also lacking. OBJECTIVE: We used causal modeling to estimate the impact of
local air pollution on mortality at low concentrations. METHODS: Using an
instrumental variable approach, we developed an instrument for variations in
local pollution concentrations that is unlikely to be correlated with other
causes of death, and examined its association with daily deaths in the Boston,
Massachusetts, area. We combined height of the planetary boundary layer and wind
speed, which affect concentrations of local emissions, to develop the instrument
for particulate matter <= 2.5 MUm (PM2.5), black carbon (BC), or nitrogen dioxide
(NO2) variations that were independent of year, month, and temperature. We also
used Granger causality to assess whether omitted variable confounding existed.
RESULTS: We estimated that an interquartile range increase in the instrument for
local PM2.5 was associated with a 0.90% increase in daily deaths (95% CI: 0.25,
1.56). A similar result was found for BC, and a weaker association with NO2. The
Granger test found no evidence of omitted variable confounding for the
instrument. A separate test confirmed the instrument was not associated with
mortality independent of pollution. Furthermore, the association remained when
all days with PM2.5 concentrations > 30 MUg/m3 were excluded from the analysis
(0.84% increase in daily deaths; 95% CI: 0.19, 1.50). CONCLUSIONS: We conclude
that there is a causal association of local air pollution with daily deaths at
concentrations below U.S. EPA standards. The estimated attributable risk in
Boston exceeded 1,800 deaths during the study period, indicating that important
public health benefits can follow from further control efforts. Citation:
Schwartz J, Bind MA, Koutrakis P. 2017. Estimating causal effects of local air
pollution on daily deaths: effect of low levels. Environ Health Perspect 125:23
29; http://dx.doi.org/10.1289/EHP232.
PMID- 27203596
TI - Evaluation of interaction between imidazolium-based chloride ionic liquids and
calf thymus DNA.
AB - With ionic liquids (ILs) being widely used, the toxicity of many ILs has been
studied and verified. However the mechanism underlying the interaction between
ILs and DNA needs to be investigated. In this study, the interaction of three
imidazolium-based ILs ([C8mim]Cl, [C12mim]Cl, and [C16mim]Cl) with calf thymus
DNA (ctDNA) was investigated by UV absorption spectroscopy and fluorescence
spectroscopy. An intense interaction between [Cnmim]Cl and ctDNA was observed,
involving a hypochromic effect or even a hyperchromic effect, in the UV
absorption spectrum of ctDNA at 260nm. The Tm of ctDNA increased over 10 degrees
C after binding with [Cnmim]Cl, and the KSV values of [Cnmim]Cl-ctDNA quenched by
potassium iodide (KI) were lower than those of [Cnmim]Cl. The fluorescence
intensity of ctDNA-ethidium bromide (EB) was gradually quenched as the [Cnmim]Cl
concentration increased. The results indicated that ctDNA interacted with
[Cnmim]Cl through an intercalation binding mode. The mechanism of fluorescence
quenching of [Cnmim]Cl with ctDNA involved static quenching. The binding constant
between [Cnmim]Cl and ctDNA were 1443, 11169, and 67189, and the number of
binding sites were 0.89, 1.10, and 1.27 at 298K, for [C8mim]Cl, [C12mim]Cl, and
[C16mim]Cl, respectively. The results indicated that the intercalation binding
between the three [Cnmim]Cl and ctDNA increased with increasing IL-alkyl chain
length. These results will aid in the understanding of the mechanism of toxicity
and of the biologically mediated environmental processes of ILs.
PMID- 27203597
TI - How Hinge Positioning in Cross-Country Ski Bindings Affect Exercise Efficiency,
Cycle Characteristics and Muscle Coordination during Submaximal Roller Skiing.
AB - The purposes of the current study were to 1) test if the hinge position in the
binding of skating skis has an effect on gross efficiency or cycle
characteristics and 2) investigate whether hinge positioning affects synergistic
components of the muscle activation in six lower leg muscles. Eleven male skiers
performed three 4-min sessions at moderate intensity while cross-country ski
skating and using a klapskate binding. Three different positions were tested for
the binding's hinge, ranging from the front of the first distal phalange to the
metatarsal-phalangeal joint. Gross efficiency and cycle characteristics were
determined, and the electromyographic (EMG) signals of six lower limb muscles
were collected. EMG signals were wavelet transformed, normalized, joined into a
multi-dimensional vector, and submitted to a principle component analysis (PCA).
Our results did not reveal any changes to gross efficiency or cycle
characteristics when altering the hinge position. However, our EMG analysis found
small but significant effects of hinge positioning on muscle coordinative
patterns (P < 0.05). The changed patterns in muscle activation are in alignment
with previously described mechanisms that explain the effects of hinge
positioning in speed-skating klapskates. Finally, the within-subject results of
the EMG analysis suggested that in addition to the between-subject effects,
further forms of muscle coordination patterns appear to be employed by some, but
not all participants.
PMID- 27203599
TI - Trajectories of Metabolic Risk Factors and Biochemical Markers prior to the Onset
of Cardiovascular Disease - The Doetinchem Cohort Study.
AB - Risk factors often develop at young age and are maintained over time, but it is
not fully understood how risk factors develop over time preceding cardiovascular
disease (CVD). Our objective was to examine how levels and trajectories of
metabolic risk factors and biochemical markers prior to diagnosis differ between
people with and without CVD over a period of up to 15-20 years. A total of 449
incident non-fatal and fatal CVD cases and 1,347 age- and sex-matched controls
were identified in a prospective cohort between 1993 and 2011. Metabolic risk
factors and biochemical markers were measured at five-year intervals prior to
diagnosis. Trajectories of metabolic risk factors and biochemical markers were
analysed using random coefficient analyses. Although not always statistically
significant, participants with CVD had slightly more unfavourable levels for most
metabolic risk factors and biochemical markers 15-20 years before diagnosis than
controls. Subsequent trajectories until diagnosis were similar in participants
with incident CVD and controls for body mass index, diastolic blood pressure,
total cholesterol, HDL cholesterol, random glucose, triglycerides, gamma
glutamyltransferase, C-reactive protein and uric acid. Trajectories were more
unfavourable in participants with CVD than controls for systolic blood pressure,
waist circumference and estimated glomerular filtration rate (p<=0.05). For
example, among participants with CVD, systolic blood pressure increased on
average by 9 mmHg over the 18-year period preceding diagnosis, whereas the
increase among controls was 4 mmHg. In conclusion, unfavourable levels of
metabolic risk factors and biochemical markers are present long before CVD, which
indicates that the risk of CVD is already partly determined in young adulthood.
This underscores the need for early prevention to reduce the burden of CVD.
PMID- 27203598
TI - Rab11 and Lysotracker Markers Reveal Correlation between Endosomal Pathways and
Transfection Efficiency of Surface-Functionalized Cationic Liposome-DNA
Nanoparticles.
AB - Cationic liposomes (CLs) are widely studied as carriers of DNA and short
interfering RNA for gene delivery and silencing, and related clinical trials are
ongoing. Optimization of transfection efficiency (TE) requires understanding of
CL-nucleic acid nanoparticle (NP) interactions with cells, NP endosomal pathways,
endosomal escape, and events leading to release of active nucleic acid from the
lipid carrier. Here, we studied endosomal pathways and TE of surface
functionalized CL-DNA NPs in PC-3 prostate cancer cells displaying overexpressed
integrin and neuropilin-1 receptors. The NPs contained RGD-PEG-lipid or RPARPAR
PEG-lipid, targeting integrin, and neuropilin-1 receptors, respectively, or
control PEG-lipid. Fluorescence colocalization using Rab11-GFP and Lysotracker
enabled simultaneous colocalization of NPs with recycling endosome (Rab11) and
late endosome/lysosome (Rab7/Lysotracker) pathways at increasing mole fractions
of pentavalent MVL5 (+5 e) at low (10 mol %), high (50 mol %), and very high (70
mol %) membrane charge density (sigmaM). For these cationic NPs (lipid/DNA molar
charge ratio, rhochg = 5), the influence of membrane charge density on pathway
selection and transfection efficiency is similar for both peptide-PEG NPs,
although, quantitatively, the effect is larger for RGD-PEG compared to RPARPAR
PEG NPs. At low sigmaM, peptide-PEG NPs show preference for the recycling
endosome over the late endosome/lysosome pathway. Increases in sigmaM, from low
to high, lead to decreases in colocalization with recycling endosomes and
simultaneous increases in colocalization with the late endosome/lysosome pathway.
Combining colocalization and functional TE data at low and high sigmaM shows that
higher TE correlates with a larger fraction of NPs colocalized with the late
endosome/lysosome pathway while lower TE correlates with a larger fraction of NPs
colocalized with the Rab11 recycling pathway. The findings lead to a hypothesis
that increases in sigmaM, leading to enhanced late endosome/lysosome pathway
selection and higher TE, result from increased nonspecific electrostatic
attractions between NPs and endosome luminal membranes, and conversely, enhanced
recycling pathway for NPs and lower TE are due to weaker attractions.
Surprisingly, at very high sigmaM, the inverse relation between the two pathways
observed at low and high sigmaM breaks down, pointing to a more complex NP
pathway behavior.
PMID- 27203601
TI - Early effects of Roux-en-Y gastric bypass on peptides and hormones involved in
the control of energy balance.
AB - INTRODUCTION: Body weight varies depending on the prevailing direction of
environmental pressures; however, physiological factors also play a significant
role in the control of body weight. The aim of the present study was to assess
the impact of Roux-en-Y gastric bypass (RYGB) on hormones and peptides involved
in the control of energy balance and their possible implications in
appetite/satiety. METHODS: The sample included 39 individuals with extreme
obesity (37 women and two men) who underwent RYGB. Anthropometric and biochemical
markers were collected before surgery and 6 months after RYGB. RESULTS: The BMI
decreased from 44.3+/-6.4 to 31.7+/-5.7 kg/m (P<0.001) at the sixth month.
Percentage of excess weight lost was 63.2+/-25.0%. Leptin and glucose levels
decreased significantly 6 months after RYGB (P<0.001). Interestingly, a
significant correlation was confirmed between the anorexigenic gut hormone
peptide YY (PYY) and the central anorexigenic mediator alpha-melanocyte
stimulating hormone after 6 months of RYGB (r=0.35, P=0.004). In contrast, PYY
concentrations were correlated negatively with BMI (r=-0.34, P=0.002).
CONCLUSION: In the present investigation, it was found that there is a
relationship between alpha-melanocyte-stimulating hormone and PYY concentrations,
and it supports the role of the PYY to POMC signal in appetite regulation after
RYGB.
PMID- 27203600
TI - Lateralized Resting-State Functional Brain Network Organization Changes in Heart
Failure.
AB - Heart failure (HF) patients show brain injury in autonomic, affective, and
cognitive sites, which can change resting-state functional connectivity (FC),
potentially altering overall functional brain network organization. However, the
status of such connectivity or functional organization is unknown in HF.
Determination of that status was the aim here, and we examined region-to-region
FC and brain network topological properties across the whole-brain in 27 HF
patients compared to 53 controls with resting-state functional MRI procedures.
Decreased FC in HF appeared between the caudate and cerebellar regions, olfactory
and cerebellar sites, vermis and medial frontal regions, and precentral gyri and
cerebellar areas. However, increased FC emerged between the middle frontal gyrus
and sensorimotor areas, superior parietal gyrus and orbito/medial frontal
regions, inferior temporal gyrus and lingual gyrus/cerebellar lobe/pallidum,
fusiform gyrus and superior orbitofrontal gyrus and cerebellar sites, and within
vermis and cerebellar areas; these connections were largely in the right
hemisphere (p<0.005; 10,000 permutations). The topology of functional integration
and specialized characteristics in HF are significantly changed in regions
showing altered FC, an outcome which would interfere with brain network
organization (p<0.05; 10,000 permutations). Brain dysfunction in HF extends to
resting conditions, and autonomic, cognitive, and affective deficits may stem
from altered FC and brain network organization that may contribute to higher
morbidity and mortality in the condition. Our findings likely result from the
prominent axonal and nuclear structural changes reported earlier in HF;
protecting neural tissue may improve FC integrity, and thus, increase quality of
life and reduce morbidity and mortality.
PMID- 27203602
TI - Effect of psychosocial stressors on patients with Crohn's disease: threatening
life experiences and family relations.
AB - BACKGROUND AND AIMS: Threatening life experiences and adverse family relations
are major psychosocial stressors affecting mental and physical health in chronic
illnesses, but their influence in Crohn's disease (CD) is unclear. We assessed
whether these stressors would predict the psychological and medical condition of
CD patients. METHODS: Consecutive adult CD patients completed a series of
instruments including demography, Patient Harvey-Bradshaw Index (P-HBI), Short
Inflammatory Bowel Disease Questionnaire (SIBDQ), short-form survey instrument
(SF-36), brief symptom inventory (BSI), family assessment device (FAD), and list
of threatening life experiences (LTE). Associations of FAD and LTE with P-HBI,
SIBDQ, SF-36, and BSI were examined by multiple linear and quantile regression
analyses. RESULTS: The cohort included 391 patients, mean age 38.38+/-13.95
years, 59.6% women, with intermediate economic status. The median scores were as
follows: P-HBI 4 (2-8), FAD 1.67 (1.3-2.1), LTE 1 (0-3), SF-36 physical health
43.75 (33.7-51.0), SF-36 mental health 42.99 (34.1-51.9), and BSI-Global Severity
Index 0.81 (0.4-1.4). The SIBDQ was 47.27+/-13.9. LTE was associated with
increased P-HBI in all quantiles and FAD in the 50% quantile. FAD and LTE were
associated with reduced SIBDQ (P<0.001). Higher LTE was associated with lower SF
36 physical and mental health (P<0.001); FAD was associated with reduced mental
health (P<0.001). FAD and LTE were associated positively with GSI in all
quantiles; age was associated negatively. CONCLUSION: CD patients with more
threatening life experiences and adverse family relations were less healthy both
physically and mentally. Physicians offering patients sociopsychological therapy
should relate to threatening life experiences and family relations.
PMID- 27203604
TI - The History of Treatment of Twin-to-Twin Transfusion Syndrome.
AB - Historical suggestions of twin-to-twin transfusion syndrome (TTTS) date back to
the early 17th century. Placental anastomoses were first reported in 1687;
however, it was Schatz who first identified their importance in 1875. He
recognized 'the area of transfusion' within the 'villous district' of the
placenta, which he named the 'third circulation'. This article describes how the
management of TTTS has evolved as we have gained a more sophisticated
understanding and appreciation of the complex vascular anastomoses that exist in
monochorionic twin placentae. Currently, fetosopic laser occlusion is the
preferred treatment option for TTTS.
PMID- 27203603
TI - Editorial-Special Issue.
AB - Monochorionic twin pregnancies are subject to unique complications that can
threaten the life and well-being of both fetuses, resulting in a disproportionate
increase in perinatal morbidity and mortality.
PMID- 27203605
TI - Twin-to-Twin Transfusion Syndrome: Definition, Staging, and Ultrasound
Assessment.
AB - OBJECTIVE: The purpose of this article is to review the definition of twin-to
twin transfusion syndrome (TTTS) and the sonographic diagnostic assessment of
these cases prior to therapy. MATERIALS AND METHODS: The article addresses the
terminology used to refer to the condition and describes the systematic
ultrasound assessment of the condition, including the ultrasound diagnosis, the
staging of the disease, cervical assessment and pre-operative mapping. RESULTS:
From an etymologic and medical point of view, the term 'fetofetal transfusion' is
more appropriate than 'TTTS'. However, as the latter, and its attendant acronym
TTTS, have been widely adopted in the English language, it is impractical to
change at this point. TTTS is defined sonographically in the combined presence of
a maximum vertical pocket (MVP) of 8 cm or greater in one sac and 2 cm or less in
the other sac, regardless of the gestational age at diagnosis. Staging of the
condition using the Quintero staging system is practical, reproducible, and
accepted. Transvaginal cervical length assessment should be an integral part of
the ultrasound evaluation. Pre-operative mapping to anticipate the location of
the placental vascular anastomoses and avoid injuring the dividing membrane is
also discussed. CONCLUSIONS: The term 'TTTS' can continue to be used in the
English medical literature. The condition can be diagnosed and assessed following
a systematic ultrasound methodology. The use of such ultrasound methodology
breaks the examination into a distinct set of components, assuring a
comprehensive examination and proper communication among caregivers.
PMID- 27203606
TI - Laser Treatment of Twin-to-Twin Transfusion Syndrome.
AB - OBJECTIVE: Laser ablation of all placental vascular anastomoses is the optimal
treatment for twin-twin transfusion syndrome (TTTS). However, two important
controversies are apparent in the literature: (a) a gap between concept and
performance, and (b) controversy regarding whether all the anastomoses can be
identified endoscopically and whether blind lasering of healthy placenta is
justified. The purpose of this article is: (a) to address the potential source of
the gap between concept and performance by analyzing the fundamental steps needed
to successfully accomplish the surgery, and (b) to discuss the resulting
competency benchmarks reported with the different surgical techniques. MATERIALS
AND METHODS: Laser surgery for TTTS can be broken down into two fundamental
steps: (1) endoscopic identification of the placental vascular anastomoses, (2)
laser ablation of the anastomoses. The two steps are not synonymous: (a)
regarding the endoscopic identification of the anastomoses, the non-selective
technique is based upon lasering all vessels crossing the dividing membrane,
whether anastomotic or not. The selective technique identifies and lasers only
placental vascular anastomoses. The Solomon technique is based on the theory that
not all anastomoses are endoscopically visible and thus involves lasering healthy
areas of the placenta between lasered anastomoses, (b) regarding the actual laser
ablation of the anastomoses, successful completion of the surgery (i.e., lasering
all the anastomoses) can be measured by the rate of persistent or reverse TTTS
(PRTTTS) and how often a selective technique can be achieved. Articles
representing the different techniques are discussed. RESULTS: The non-selective
technique is associated with the lowest double survival rate (35%), compared with
60-75% of the Solomon or the Quintero selective techniques. The Solomon technique
is associated with a 20% rate of residual patent placental vascular anastomoses,
compared to 3.5-5% for the selective technique (p < .05). Both the Solomon and
the selective technique are associated with a 1% risk of PRTTTS. Adequate
placental assessment is highest with the selective technique (99%) compared with
the Solomon (80%) or the 'standard' (60%) techniques (p < .05). A surgical
performance index is proposed. CONCLUSION: The Quintero selective technique was
associated with the highest rate of successful ablation and lowest rate of
PRTTTS. The Solomon technique represents a historical backward movement in the
identification of placental vascular anastomoses and is associated with higher
rate of residual patent vascular communications. The reported outcomes of the
Quintero selective technique do not lend support to the existence of invisible
anastomoses or justify lasering healthy placental tissue.
PMID- 27203607
TI - Solomon Technique Versus Selective Coagulation for Twin-Twin Transfusion
Syndrome.
AB - Monochorionic twin pregnancies can be complicated by twin-to-twin transfusion
syndrome (TTTS). The best treatment option for TTTS is fetoscopic laser
coagulation of the vascular anastomoses between donor and recipient. After laser
therapy, up to 33% residual anastomoses were seen. These residual anastomoses can
cause twin anemia polycythemia sequence (TAPS) and recurrent TTTS. In order to
reduce the number of residual anastomoses and their complications, a new
technique, the Solomon technique, where the whole vascular equator will be
coagulated, was introduced. The Solomon technique showed a reduction of recurrent
TTS compared to the selective technique. The incidence of recurrent TTTS after
the Solomon technique ranged from 0% to 3.9% compared to 5.3-8.5% after the
selective technique. The incidence of TAPS after the Solomon technique ranged
from 0% to 2.9% compared to 4.2-15.6% after the selective technique. The Solomon
technique may improve dual survival rates ranging from 64% to 85% compared to 46
76% for the selective technique. There was no difference reported in procedure
related complications such as intrauterine infection and preterm premature
rupture of membranes. The Solomon technique significantly reduced the incidence
of TAPS and recurrent TTTS and may improve survival and neonatal outcome, without
identifiable adverse outcome or complications; therefore, the Solomon technique
is recommended for the treatment of TTTS.
PMID- 27203608
TI - Fetal Brain Injury in Survivors of Twin Pregnancies Complicated by Demise of One
Twin: A Review.
AB - Perinatal mortality is increased considerably in multiple pregnancies compared to
singleton pregnancies, with single intrauterine fetal demise (sIUFD) presenting a
rare but unique perinatal problem. Monochorionic pregnancies are at particular
risk of sIUFD due to bidirectional inter-twin placental vascular anastomoses. The
resulting inter-twin blood flow can become unbalanced, causing acute and chronic
inter-twin transfusion and profound anemia secondary to fetal exsanguination into
the low-pressure circulation of the dead fetus. If the sIUFD occurs after 14
weeks' gestation it is believed to have the most significant effect on the
continuing pregnancy as the co-twin is at increased risk of preterm delivery,
long-term neurological complications, and death. This article will focus on fetal
brain injury in the surviving co-twin in the case of sIUFD, as it is the most
common kind of injury in sIUFD, and one which concerns parents and may be the
basis for terminating the pregnancy. We will outline how these brain injuries are
thought to occur and describe potential pathophysiological mechanisms. We will
discuss risk factors for brain injury in cases of sIUFD, including: chorionicity,
cause of the sIUFD (spontaneous or secondary to an underlying pathological
process such as twin-to-twin transfusion syndrome), gestation of delivery and how
to prevent brain injury in the co-twin. We also review modes of imaging, discuss
the difficulties in predicting the long-term outcome for co-twin survivors, and
highlight the dearth of research in this area.
PMID- 27203611
TI - Thermodynamic Self-Limiting Growth of Heteroepitaxial Islands Induced by
Nonlinear Elastic Effect.
AB - We investigate nonlinear elastic effect (NLEF) on the growth of heteroepitaxial
islands, a topic of both scientific and technological significance for their
applications as quantum dots. We show that the NLEF induces a thermodynamic self
limiting growth mechanism that hinders the strain relaxation of coherent island
beyond a maximum size, which is in contrast to indefinite strain relaxation with
increasing island size in the linear elastic regime. This self-limiting growth
effect shows a strong dependence on the island facet angle, which applies also to
islands inside pits patterned in a substrate surface with an additional
dependence on the pit inclination angle. Consequently, primary islands nucleate
and grow first in the pits and then secondary islands nucleate at the rim around
the pits after the primary islands reach the self-limited maximum size. Our
theory sheds new lights on understanding the heteroepitaxial island growth and
explains a number of past and recent experimental observations.
PMID- 27203612
TI - "Silent Sinus Syndrome" Following Orbital Trauma: A Case Series and Review of the
Literature.
AB - PURPOSE: To describe the demographics, presentation, and treatment of "silent
sinus syndrome" (SSS) diagnosed following orbital trauma and review the
literature on this topic. METHODS: A retrospective review of 6 consecutive cases
of SSS following trauma seen by the authors from 2004 to 2015. Data collected
included patient demographics, details of previous trauma, clinical presentation,
imaging findings, surgery performed, outcome, and follow up. RESULTS: Six
patients were identified presenting with SSS following orbital floor fracture.
All cases developed progressive enophthalmos due to maxillary sinus atelectasis
on average 8 months after their initial trauma (range, 3-16 months). The
appearance of the maxillary sinus on CT was indistinguishable from the changes
seen in spontaneous SSS. All 6 patients had surgical repair, which included
maxillary sinus reventilation and surgery to build up the orbital floor and
correct the enophthalmos in 4 patients, maxillary sinus reventilation surgery
only in 1 patient and surgery to build up the orbital floor only in 1 patient.
There was a reduction of enophthalmos and globe dystopia in all cases with no
significant complications. CONCLUSIONS: Changes in the maxillary sinus after
orbital floor fracture may occur in the months following the initial trauma and
the changes are indistinguishable from those seen in spontaneous SSS. The
mechanism is presumed to be obstruction of the natural ostium of the maxillary
sinus, accumulation of secretions and the development of negative pressure within
the sinus leading to its collapse.
PMID- 27203614
TI - The Author File: Markus Sauer.
PMID- 27203613
TI - Giant Chondroid Syringoma of the Lower Eyelid.
AB - Chondroid syringoma is a benign mixed tumor characterized by sweat gland elements
in a cartilaginous stroma. This rare tumor accounts for only 0.01% of all primary
skin tumors and occurs only rarely in the periorbital region. Usually between 0.5
cm and 3.0 cm, risk of malignancy increases in chondroid syringomas greater than
3.0 cm in size. Here, the authors report a rare case of giant chondroid syringoma
arising in the lower eyelid, characterized by keratinized stratified epithelium
in a cartilaginous stroma. This case illustrates the importance of considering a
possible diagnosis of chondroid syringoma in the evaluation of eyelid masses.
PMID- 27203617
TI - Imaging free radicals in organelles, cells, tissue, and in vivo with immuno-spin
trapping.
AB - The accurate and sensitive detection of biological free radicals in a reliable
manner is required to define the mechanistic roles of such species in
biochemistry, medicine and toxicology. Most of the techniques currently available
are either not appropriate to detect free radicals in cells and tissues due to
sensitivity limitations (electron spin resonance, ESR) or subject to artifacts
that make the validity of the results questionable (fluorescent probe-based
analysis). The development of the immuno-spin trapping technique overcomes all
these difficulties. This technique is based on the reaction of amino acid- and
DNA base-derived radicals with the spin trap 5, 5-dimethyl-1-pyrroline N-oxide
(DMPO) to form protein- and DNA-DMPO nitroxide radical adducts, respectively.
These adducts have limited stability and decay to produce the very stable
macromolecule-DMPO-nitrone product. This stable product can be detected by mass
spectrometry, NMR or immunochemistry by the use of anti-DMPO nitrone antibodies.
The formation of macromolecule-DMPO-nitrone adducts is based on the selective
reaction of free radical addition to the spin trap and is thus not subject to
artifacts frequently encountered with other methods for free radical detection.
The selectivity of spin trapping for free radicals in biological systems has been
proven by ESR. Immuno-spin trapping is proving to be a potent, sensitive (a
million times higher sensitivity than ESR), and easy (not quantum mechanical)
method to detect low levels of macromolecule-derived radicals produced in vitro
and in vivo. Anti-DMPO antibodies have been used to determine the distribution of
free radicals in cells and tissues and even in living animals. In summary, the
invention of the immuno-spin trapping technique has had a major impact on the
ability to accurately and sensitively detect biological free radicals and,
subsequently, on our understanding of the role of free radicals in biochemistry,
medicine and toxicology.
PMID- 27203618
TI - Effect of Ambient Temperature on Total Organic Gas Speciation Profiles from Light
Duty Gasoline Vehicle Exhaust.
AB - Total organic gases (TOG) emissions from motor vehicles include air toxic
compounds and contribute to formation of ground-level ozone and secondary organic
aerosol (SOA). These emissions are known to be affected by temperature; however
previous studies have typically focused only on the temperature dependence of
total emission factors and select toxic compounds. This study builds on the
previous research by performing an evaluation of a comprehensive set of gas-phase
organic compounds present in gasoline motor vehicle exhaust. A fleet of five
vehicles using port fuel injection engine technology and running on E10 fuel was
tested. Overall, three temperatures (0, 20, and 75 degrees F; or -18, -7, and 24
degrees C), two driving conditions (urban-FTP75 and aggressive driving-US06) and
161 compounds were evaluated; the emissions distributions were used to construct
speciation profiles for each driving cycle and temperature. Overall, the
speciation results indicated a significant increase in alkane and methane
content, and decrease in alcohol, aldehyde and ketone content with decreasing
temperature. These were verified using a statistical significance test. The
fraction and composition of Mobile Source Air Toxics (MSATs) were significantly
affected by temperature for both driving cycles. The ozone forming potentials of
these profiles were evaluated using the maximum incremental reactivity (MIR)
scale. Aromatic content was predicted to be a major driver behind the ozone
forming potentials. Additionally, the decreasing ozone potential could be
attributed to increased methane fractions with increasing temperature.
PMID- 27203619
TI - Cytoskeletal control of axon domain assembly and function.
AB - Neurons are organized and connected into functional circuits by axons that
conduct action potentials. Many vertebrate axons are myelinated and further
subdivided into excitable domains that include the axon initial segment (AIS) and
nodes of Ranvier. Nodes of Ranvier regenerate and propagate action potentials,
while AIS regulate action potential initiation and neuronal polarity. Two
distinct cytoskeletons control axon structure and function: 1) a submembranous
ankyrin/spectrin cytoskeleton that clusters ion channels and provides mechanical
support, and 2) a microtubule-based cytoskeleton that controls selective
trafficking of dendritic and axonal cargoes. Here, we review recent studies that
provide significant additional insight into the cytoskeleton-dependent mechanisms
controlling the functional organization of axons.
PMID- 27203621
TI - Characterizing proton-activated materials to develop PET-mediated proton range
verification markers.
AB - Conventional proton beam range verification using positron emission tomography
(PET) relies on tissue activation alone and therefore requires particle therapy
PET whose installation can represent a large financial burden for many centers.
Previously, we showed the feasibility of developing patient implantable markers
using high proton cross-section materials ((18)O, Cu, and (68)Zn) for in vivo
proton range verification using conventional PET scanners. In this technical
note, we characterize those materials to test their usability in more clinically
relevant conditions. Two phantoms made of low-density balsa wood (~0.1 g cm(-3))
and beef (~1.0 g cm(-3)) were embedded with Cu or (68)Zn foils of several volumes
(10-50 mm(3)). The metal foils were positioned at several depths in the dose fall
off region, which had been determined from our previous study. The phantoms were
then irradiated with different proton doses (1-5 Gy). After irradiation, the
phantoms with the embedded foils were moved to a diagnostic PET scanner and
imaged. The acquired data were reconstructed with 20-40 min of scan time using
various delay times (30-150 min) to determine the maximum contrast-to-noise
ratio. The resultant PET/computed tomography (CT) fusion images of the activated
foils were then examined and the foils' PET signal strength/visibility was scored
on a 5 point scale by 13 radiologists experienced in nuclear medicine. For both
phantoms, the visibility of activated foils increased in proportion to the foil
volume, dose, and PET scan time. A linear model was constructed with visibility
scores as the response variable and all other factors (marker material, phantom
material, dose, and PET scan time) as covariates. Using the linear model, volumes
of foils that provided adequate visibility (score 3) were determined for each
dose and PET scan time. The foil volumes that were determined will be used as a
guideline in developing practical implantable markers.
PMID- 27203623
TI - Corrigendum: Length of intact plasma membrane determines the diffusion properties
of cellular water.
PMID- 27203622
TI - Rumination and postnatal depression: A systematic review and a cognitive model.
AB - Postnatal depression (PND) confers risk for a range of negative child
developmental outcomes, at least in part through its impact on parenting
behaviour. Whilst the behavioural effects of depression on parenting are well
established, the cognitive mechanisms that may mediate this effect are less well
understood. The current paper proposes that rumination may be a key cognitive
mechanism through which parenting is affected in PND, and provides a systematic
review of the existing literature on rumination in the context of perinatal
depression. The review identifies ten relevant papers. Eight are questionnaire
based studies examining the role of rumination in predicting future depression
and/or mother-infant relationship outcomes, such as bonding. Two are experimental
studies examining the effects of induced rumination on parenting behaviours. The
results of the review are discussed, and remaining questions highlighted. We then
present a new theoretical model, developed specifically for the perinatal
context, and informed by existing models of rumination and worry. Our cognitive
model emphasises the relationship between rumination, cognitive biases and
cognitive control, and the impact of these variables on infant cue processing and
subsequent parenting responses. The model provides a potential framework for
future work in this area, and to guide the development of treatment
interventions.
PMID- 27203625
TI - Revised Damping Parameters for the D3 Dispersion Correction to Density Functional
Theory.
AB - Since the original fitting of Grimme's DFT-D3 damping parameters, the number and
quality of benchmark interaction energies has increased significantly. Here,
conventional benchmark sets, which focus on minimum-orientation radial curves at
the expense of angular diversity, are augmented by new databases such as side
chain-side chain interactions (SSI), which are composed of interactions gleaned
from crystal data and contain no such minima-focused bias. Moreover, some
existing databases such as S22*5 are extended to shorter intermolecular
separations. This improved DFT-D3 training set provides a balanced description of
distances, covers the entire range of interaction types, and at 1526 data points
is far larger than the original training set of 130. The results are validated
against a new collection of 6773 data points and demonstrate that the effect of
refitting the damping parameters ranges from no change in accuracy (LC-omegaPBE
D3) to an almost 2-fold decrease in average error (PBE-D3).
PMID- 27203626
TI - Theoretical Study of Tetrahydrofuran-Stabilized Al13 Superatom Cluster.
AB - We present here an in-depth study upon the interaction between a neutral cluster
Al13 and a typical ligand tetrahydrofuran (THF) via density functional theory
(DFT) calculation. It is found that electron delocalization over the framework of
Al13 and THF facilitates ligand-to-Al13 charge transfer leading to enhanced
stability for the superhalogen cluster Al13. Further study on the stabilization
of Al13(THF)n cluster complexes with n = 1-8 reveals that the adsorption of more
THF ligands gradually enhances the total binding energy and the total electronic
charge transfer from the ligand to Al13. The bonding nature and stabilization of
Al13(THF)n cluster are then demonstrated by rationalizing the interactions
between superatomic and molecular orbitals of Al13 and THF, respectively.
PMID- 27203624
TI - Actinomycosis after allogeneic hematopoietic stem cell transplantation despite
penicillin prophylaxis.
AB - Actinomycosis is a rare chronic and multifaceted disease caused by Actinomyces
species frequently mimicking malignancy or other chronic granulomatous lung
diseases. We report 4 original presentations of actinomycosis arising under
supposed penicillin prophylaxis in allogeneic stem cell transplantation
recipients.
PMID- 27203627
TI - Sparse regularization for EIT reconstruction incorporating structural information
derived from medical imaging.
AB - Electrical impedance tomography (EIT) reconstructs the conductivity distribution
of a domain using electrical data on its boundary. This is an ill-posed inverse
problem usually solved on a finite element mesh. For this article, a special
regularization method incorporating structural information of the targeted domain
is proposed and evaluated. Structural information was obtained either from
computed tomography images or from preliminary EIT reconstructions by a modified
k-means clustering. The proposed regularization method integrates this structural
information into the reconstruction as a soft constraint preferring sparsity in
group level. A first evaluation with Monte Carlo simulations indicated that the
proposed solver is more robust to noise and the resulting images show fewer
artifacts. This finding is supported by real data analysis. The structure based
regularization has the potential to balance structural a priori information with
data driven reconstruction. It is robust to noise, reduces artifacts and produces
images that reflect anatomy and are thus easier to interpret for physicians.
PMID- 27203628
TI - Assessing the Presence and Severity of Constipation with Plain Radiographs in
Constipated Palliative Care Patients.
AB - BACKGROUND: Palliative care guidelines recommend plain radiographs to assess
constipation based on the presumption that visible fecal shadowing represents
stool retention. Despite this, using plain radiographs in this way is not well
validated. OBJECTIVES: This work's main aim was to compare clinicians' reports of
fecal loading on radiographs. This study also compares clinicians' assessments
with radio-opaque marker transit studies and patients' self-reported constipation
symptoms. METHODS: This study was conducted in a sample of 30 constipated
palliative care patients taking laxatives who had all undergone colon transit
studies and contemporaneous assessment of constipation symptoms with the Patient
Assessment of Constipation Symptom (PAC-SYM) questionnaire. Four separate
clinicians independently reported their opinions of fecal loading using a
previously developed fecal loading scale. Participant details were summarized and
pair-wise inter-rater agreement among all four raters were examined using the
Bland-Altman approach. For the comparisons of the clinician-assigned fecal
loading score between the radiographic assessment of the normal and slow colon
transit time, the nonparametric approach of Mann-Whitney U tests were applied.
Spearman's correlation analyses were employed to investigate the association
between the clinician-assigned fecal loading score and the patient self-reported
PAC-SYM score. RESULTS: The results of this study are very similar to other
studies conducted in functional constipation, highlighting systematic
disagreement between observers. Further poor correlations were noted between
fecal loading scores and colon transit times and with patient self-reported
symptoms. CONCLUSION: These results, when considered with other work in chronic
constipation, question the ongoing use of radiographs in the diagnosis of
constipation.
PMID- 27203629
TI - US health care: plumbing the depths of disparities.
PMID- 27203630
TI - No free expression, no health.
PMID- 27203631
TI - Australia's offshore refugee policy in disarray.
PMID- 27203632
TI - Treating giant-cell arteritis: is IL-6 the cytokine to target?
PMID- 27203633
TI - Mind the gap: jumping from vaccine licensure to routine use.
PMID- 27203635
TI - Making the case for supervised injection services.
PMID- 27203637
TI - Canada debates medically assisted dying law.
PMID- 27203639
TI - Concern over deteriorating rights situation in Egypt.
PMID- 27203638
TI - Countries mull over incentives for developing antibiotics.
PMID- 27203642
TI - Jim Smith: biologist at the heart of embryonic Francis Crick Institute.
PMID- 27203643
TI - Could you wait a second?
PMID- 27203644
TI - Yvette Fay Francis-McBarnette.
PMID- 27203645
TI - Children in Australia's immigration centres.
PMID- 27203646
TI - Risk of stent thrombosis with bioresorbable vascular scaffolds.
PMID- 27203647
TI - IST-3 stroke trial data available.
PMID- 27203648
TI - Risk of stent thrombosis with bioresorbable vascular scaffolds - Authors' reply.
PMID- 27203649
TI - Intraoperative radiation therapy for breast cancer: a patient's view.
PMID- 27203650
TI - Blood shortages and donation in China.
PMID- 27203651
TI - Blood shortages and donation in China.
PMID- 27203652
TI - Challenges of rare diseases in China.
PMID- 27203653
TI - The Framework Convention on Tobacco Control.
PMID- 27203654
TI - Sugary drinks tax: response from the Institute for Fiscal Studies.
PMID- 27203656
TI - Department of Error.
PMID- 27203655
TI - Organ and human trafficking in Nepal.
PMID- 27203657
TI - Department of Error.
PMID- 27203658
TI - Department of Error.
PMID- 27203659
TI - Department of Error.
PMID- 27203660
TI - Hepatitis C viraemia after apparent spontaneous clearance in a vertically
infected child.
PMID- 27203661
TI - Hepatitis C viraemia after apparent spontaneous clearance.
PMID- 27203662
TI - EIT2015: promoting electrical impedance tomography as a non-invasive monitoring
technology.
PMID- 27203663
TI - Electrolysis of metal oxides in MgCl2 based molten salts with an inert graphite
anode.
AB - Electrolysis of solid metal oxides has been demonstrated in MgCl2-NaCl-KCl melt
at 700 degrees C taking the electrolysis of Ta2O5 as an example. Both the
cathodic and anodic processes have been investigated using cyclic voltammetry,
and potentiostatic and constant voltage electrolysis, with the cathodic products
analysed by XRD and SEM and the anodic products by GC. Fast electrolysis of Ta2O5
against a graphite anode has been realized at a cell voltage of 2 V, or a total
overpotential of about 400 mV. The energy consumption was about 1 kW h kgTa(-1)
with a nearly 100% Ta recovery. The cathodic product was nanometer Ta powder with
sizes of about 50 nm. The main anodic product was Cl2 gas, together with about 1
mol% O2 gas and trace amounts of CO. The graphite anode was found to be an
excellent inert anode. These results promise an environmentally-friendly and
energy efficient method for metal extraction by electrolysis of metal oxides in
MgCl2 based molten salts.
PMID- 27203664
TI - Curcumin alleviates renal dysfunction and suppresses inflammation by shifting
from M1 to M2 macrophage polarization in daunorubicin induced nephrotoxicity in
rats.
AB - The molecular mechanism of curcumin in macrophage polarization remains unknown in
renal failure. We examined, whether curcumin treatment is associated with the
modulation of renal function and macrophage phenotype switch in daunorubicin
(DNR) induced nephrotoxicity model. Sprague-Dawley rats were treated with a
cumulative dose of 9mg/kg DNR (i.v). Followed by curcumin (100mg/kg)
administration orally every day for 6weeks. DNR treated rats showed
nephrotoxicity as evidenced by worsening renal function, which was assessed by
measuring creatinine and blood urea nitrogen in serum. These changes were
reversed by treatment with curcumin, which resulted in significant improvement in
renal function. Furthermore, curcumin increased cluster of differentiation
(CD)163 expression, and down-regulated renal expression of antigen II type I
receptor (AT1R), endothelin (ET)1, ET receptor type A and B (ETAR and ETBR), CD68
and CD80. Renal protein expression of extracellular signal-regulated kinase
(ERK)1/2 and nuclear factor (NF)kappaB p65 were increased in DNR treated rats,
and treatment with curcumin attenuated these increased expression. Curcumin
mediated a further increase in the levels of interleukin (IL)-10. In addition,
the expression of M1 phenotype was increased in DNR treated rats, which were
attenuated by curcumin. Taken together, our results demonstrated that polyphenol
curcumin has an ability to improve renal function and might induce the phenotypic
switching from M1 to M2 macrophage polarization in DNR induced nephrotoxicity in
rats.
PMID- 27203665
TI - Soluble OX40L favors tumor rejection in CT26 colon carcinoma model.
AB - OX40 receptor-expressing regulatory T cells (Tregs) populate tumors and suppress
a variety of immune cells, posing a major obstacle for cancer immunotherapy.
Different ways to functionally inactivate Tregs by triggering OX40 receptor have
been suggested, including anti-OX40 antibodies and Fc:OX40L fusion proteins. To
investigate whether the soluble extracellular domain of OX40L (OX40Lexo) is
sufficient to enhance antitumor immune response, we generated an OX40Lexo
expressing CT26 colon carcinoma cell line and studied its tumorigenicity in
immunocompetent BALB/c and T cell deficient nu/nu mice. We found that soluble
OX40L expressed in CT26 colon carcinoma favors the induction of an antitumor
response which is not limited just to cells co-expressing EGFP as an antigenic
determinant, but also eliminates CT26 cells expressing another fluorescent
protein, KillerRed. Tumor rejection required the presence of T lymphocytes, as
indicated by the unhampered tumor growth in nu/nu mice. Subsequent re-challenge
of tumor-free BALB/c mice with CT26 EGFP cells resulted in no tumor growth, which
is indicative of the formation of immunological memory. Adoptive transfer of
splenocytes from mice that successfully rejected CT26 OX40Lexo EGFP tumors to
naive mice conferred 100% resistance to subsequent challenge with the CT26 EGFP
tumor.
PMID- 27203666
TI - Geographies of medicalized welfare: Spatial analysis of supplemental security
income in the U.S., 2000-2010.
AB - In the post-1996 welfare reform period in the U.S., disability assistance has
become a significant source of government aid for low-income residents as other
forms of public support have faced considerable reductions and restrictions. In
order to qualify for Supplemental Security Income (SSI) - a means-tested
assistance program that provides income stipends to qualified residents - working
age individuals with disabilities must have little income and resources, and
procure medical documentation that confirms that they are unable to work due to a
disability. The result of rising SSI enrollment in the face of cutbacks to other
government programs is the increasing medicalization of welfare, whereby receipt
of welfare benefits is contingent on a medical diagnosis of disability. Using
county-level data from the American Community Survey and the Social Security
Administration, this paper examines the changing spatial patterns of SSI
participation of the working-age population in 2000 and 2010 across the U.S. in
addition to the interconnections between disability, welfare, and poverty.
Results from spatial analyses illustrate geographic variation in SSI prevalence,
with distinctive spatial clusters of higher than average SSI participation in the
southeast and Appalachian regions of the U.S. and in northern California.
Multiple linear regression model results reveal that SSI participation is
significantly correlated with disability, poverty, race, family type, and level
of education in both 2000 and 2010. The findings suggest that spatial
concentrations of disability, poverty, and underemployment persist in largely
rural areas. The discussion explores the potential social and economic
implications of long-term SSI clustering on localities and residents, and points
to future research directions.
PMID- 27203667
TI - Health, employment and relationships: Correlates of personal wellbeing in young
adults with and without a history of childhood language impairment.
AB - OBJECTIVE: We examine the potential associations between self-rated health,
employment situation, relationship status and personal wellbeing in young adults
with and without a history of language impairment (LI). METHODS: In total, 172 24
year-olds from the UK participated, with approximately half (N = 84) having a
history of LI. Personal wellbeing was measured using ratings from three questions
from the Office for National Statistics regarding life satisfaction, happiness
and life being worthwhile. RESULTS: There were similarities between individuals
with a history of LI and their age-matched peers in self-rated personal
wellbeing. However, regression analyses revealed self-rated health was the most
consistent predictor of personal wellbeing for individuals with a history of LI
in relation to life satisfaction (21% of variance), happiness (11%) and
perceptions that things one does in life are worthwhile (32%). None of the
regression analyses were significant for their peers. CONCLUSIONS: Similarities
on ratings of wellbeing by young adults with and without a history of LI can mask
heterogeneity and important differences. Young adults with a history of LI are
more vulnerable to the effects of health, employment and relationship status on
their wellbeing than their peers.
PMID- 27203668
TI - Erythematous nodes, urticarial rash and arthralgias in a large pedigree with
NLRC4-related autoinflammatory disease, expansion of the phenotype.
PMID- 27203669
TI - Dual role for Sox2 in specification of sensory competence and regulation of Atoh1
function.
AB - The formation of inner ear sensory epithelia is believed to occur in two steps,
initial specification of sensory competent (prosensory) regions followed by
determination of specific cell-types, such as hair cells (HCs) and supporting
cells. However, studies in which the HC determination factor Atoh1 was
ectopically expressed in nonprosensory regions indicated that expression of Atoh1
alone is sufficient to induce HC formation suggesting that prosensory formation
may not be a prerequisite for HC development. To test this hypothesis,
interactions between Sox2 and Atoh1, which are required for prosensory and HC
formation respectively, were examined. Forced expression of Atoh1 in
nonprosensory cells resulted in transient expression of Sox2 prior to HC
formation, suggesting that expression of Sox2 is required for formation of
ectopic HCs. Moreover, Atoh1 overexpression failed to induce HC formation in Sox2
mutants, confirming that Sox2 is required for prosensory competence. To determine
whether expression of Sox2 alone is sufficient to induce prosensory identity,
Sox2 was transiently activated in a manner that mimicked endogenous expression.
Following transient Sox2 activation, nonprosensory cells developed as HCs, a
result that was never observed in response to persistent expression of Sox2.
These results, suggest a dual role for Sox2 in inner ear formation. Initially,
Sox2 is required to specify prosensory competence, but subsequent down-regulation
of Sox2 must occur to allow Atoh1 expression, most likely through a direct
interaction with the Atoh1 promoter. These results implicate Sox2-mediated
changes in prosensory cells as an essential step in their ability to develop as
HCs. (c) 2016 Wiley Periodicals, Inc. Develop Neurobiol 77: 3-13, 2017.
PMID- 27203670
TI - Efficacy of CD46-targeting chimeric Ad5/35 adenoviral gene therapy for colorectal
cancers.
AB - CD46 is a complement inhibitor membrane cofactor which also acts as a receptor
for various microbes, including species B adenoviruses (Ads). While most Ad gene
therapy vectors are derived from species C and infect cells through coxsackie
adenovirus receptor (CAR), CAR expression is downregulated in many cancer cells,
resulting inefficient Ad-based therapeutics. Despite a limited knowledge on the
expression status of many cancer cells, an increasing number of cancer gene
therapy studies include fiber-modified Ad vectors redirected to the more
ubiquitously expressed CD46. Since our finding from tumor microarray indicate
that CD46 was overexpressed in cancers of the prostate and colon, fiber chimeric
Ad5/35 vectors that have infection tropism for CD46 were employed to demonstrate
its efficacy in colorectal cancers (CRC). CD46-overexpressed cells showed a
significantly higher response to Ad5/35-GFP and to Ad5/35-tk/GCV. While CRC cells
express variable levels of CD46, CD46 expression was positively correlated with
Ad5/35-mediated GFP fluorescence and accordingly its cell killing. Injection of
Ad5/35-tk/GCV caused much greater tumor-suppression in mice bearing CD46
overexpressed cancer xenograft compared to mock group. Analysis of CRC samples
revealed that patients with positive CD46 expression had a higher survival rate
(p=0.031), carried tumors that were well-differentiated, but less invasive and
metastatic, and with a low T stage (all p<0.05). Taken together, our study
demonstrated that species B-based adenoviral gene therapy is a suitable approach
for generally CD46-overexpressed CRC but would require careful consideration
preceding CD46 analysis and categorizing CRC patients.
PMID- 27203671
TI - Accumulation of RNA-dependent protein kinase (PKR) in the nuclei of lung cancer
cells mediates radiation resistance.
AB - We have previously demonstrated that radiation induced cell death in PKR (-/-)
deficient mouse embryo fibroblasts (MEFs) but not in PKR (+/+) wild type MEFs.
Our study indicated that PKR can also be involved in survival pathways following
radiation therapy through activation of the AKT survival pathways in these MEFs
is mediated in part through PKR. The role of PKR on radiation sensitivity in
cancer cells has not been evaluated. In this study, we demonstrated that
radiation treatment causes nuclear translocation of PKR in human lung cancer
cells. The transduction of lung cancer cells with a dominant negative adenoviral
PKR vector blocks nuclear translocation of PKR and leads to the reversal of
radiation resistance. Plasmid transduction of lung cancer cells with nuclear
targeted wild type PKR vectors also increased radiation resistance. This effect
is selectively abrogated by plasmid transduction of dominant negative PKR vectors
which restore radiation sensitivity. These findings suggest a novel role for PKR
in lung cancer cells as a mediator of radiation resistance possibly through
translocation of the protein product to the nucleus.
PMID- 27203672
TI - Drug conjugated nanoparticles activated by cancer cell specific mRNA.
AB - We describe a customizable approach to cancer therapy in which a gold
nanoparticle (Au-NP) delivers a drug that is selectively activated within the
cancer cell by the presence of an mRNA unique to the cancer cell. Fundamental to
this approach is the observation that the amount of drug released from the Au-NP
is proportional to both the presence and abundance of the cancer cell specific
mRNA in a cell. As proof-of-principle, we demonstrate both the efficient delivery
and selective release of the multi-kinase inhibitor dasatinib from Au-NPs in
leukemia cells with resulting efficacy in vitro and in vivo. Furthermore, these
Au-NPs reduce toxicity against hematopoietic stem cells and T-cells. This
approach has the potential to improve the therapeutic efficacy of a drug and
minimize toxicity while being highly customizable with respect to both the cancer
cell specific mRNAs targeted and drugs activated.
PMID- 27203673
TI - Effect of nodal status on clinical outcomes of triple-negative breast cancer: a
population-based study using the SEER 18 database.
AB - Triple-negative breast cancer (TNBC) is an aggressive malignancy with a poor
prognosis. Data from the Surveillance, Epidemiology and End Results database
(2010-2012) were used to identify 10,771 patients with TNBC, and we assessed the
effects of lymph node (LN) status on breast cancer-specific survival (BCSS) and
overall survival (OS). In our study, a Kaplan-Meier plot showed that LN-negative
patients (N0) had better survival outcomes than LN-positive patients and that
patients with >=10 positive LNs (N3) exhibited the worst survival outcomes
regardless of tumor size. A pairwise comparison showed no difference in survival
outcomes among each group stratified by tumor size. Further, for LN-positive
patients with a tumor size <=2 cm (T1) or >5 cm (T3), there were similar outcomes
between patients with one to three LNs (N1) and those with four to nine LNs (N2),
whereas N1 patients experienced significantly better survival outcomes than N3
patients (P<0.001). Therefore, ten metastatic lymph nodes was the cut-off value
for poor prognosis. Nevertheless, for patients with a tumor size of 2-5 cm (T2),
the extent of LN involvement contributed prognostic value to OS but not BCSS. In
summary, we found that nodal status and tumor size exhibited distinct interaction
patterns for predicting the outcomes of TNBC. These results provide deeper
insight into the prognostic value of nodal status in TNBC.
PMID- 27203674
TI - RNF216 contributes to proliferation and migration of colorectal cancer via
suppressing BECN1-dependent autophagy.
AB - Originally identified as an E3 ligase regulating toll-like receptor (TLR)
signaling, ring finger protein 216 (RNF216) also plays an essential role in
autophagy, which is fundamental to cellular homeostasis. Autophagy dysfunction
leads to an array of pathological events, including tumor formation. In this
study, we found that RNF216 was upregulated in human colorectal cancer (CRC)
tissues and cell lines, and was associated with progression of CRC. RNF216
promoted CRC cell proliferation and migration in vitro and in vivo, largely by
enhancing proteasomal degradation of BECN1, a key autophagy regulator and tumor
suppressor. RNF216 restricted CRC cell autophagy through BECN1 inhibition under
nutritional starvation conditions. RNF216 knockdown increased the autophagy,
limiting CRC cell proliferation and migration. Moreover, BECN1 knockdown or
autophagy inhibition restored proliferation and migration of RNF216-knockdown CRC
cells. Collectively, our results suggested that RNF216 promoted CRC cell
proliferation and migration by negatively regulating BECN1-dependent autophagy.
This makes RNF216 as a potential biomarker and novel therapeutic target for
inhibiting CRC development and progression.
PMID- 27203675
TI - Co-option of pre-existing vascular beds in adipose tissue controls tumor growth
rates and angiogenesis.
AB - Many types of cancer develop in close association with highly vascularized
adipose tissues. However, the role of adipose pre-existing vascular beds on tumor
growth and angiogenesis is unknown. Here we report that pre-existing
microvascular density in tissues where tumors originate is a crucial determinant
for tumor growth and neovascularization. In three independent tumor types
including breast cancer, melanoma, and fibrosarcoma, inoculation of tumor cells
in the subcutaneous tissue, white adipose tissue (WAT), and brown adipose tissue
(BAT) resulted in markedly differential tumor growth rates and angiogenesis,
which were in concordance with the degree of pre-existing vascularization in
these tissues. Relative to subcutaneous tumors, WAT and BAT tumors grew at
accelerated rates along with improved neovascularization, blood perfusion, and
decreased hypoxia. Tumor cells implanted in adipose tissues contained leaky
microvessel with poor perivascular cell coverage. Thus, adipose vasculature
predetermines the tumor microenvironment that eventually supports tumor growth.
PMID- 27203676
TI - Significant change of cytochrome P450s activities in patients with hepatocellular
carcinoma.
AB - The lack of information concerning individual variation in drug-metabolizing
enzymes is one of the most important obstacles for designing personalized
medicine approaches for hepatocellular carcinoma (HCC) patients. To assess
cytochrome P450 (CYP) in the metabolism of endogenous and exogenous molecules in
an HCC setting, the activity changes of 10 major CYPs in microsomes from 105
normal and 102 HCC liver tissue samples were investigated. We found that CYP
activity values expressed as intrinsic clearance (CLint) differed between HCC
patients and control subjects. HCC patient samples showed increased CLint for
CYP2C9, CYP2D6, and CYP2E1 compared to controls. Meanwhile, CYP1A2, CYP2C8, and
CYP2C19 CLint values decreased and CYP2A6, CYP2B6, and CYP3A4/5 activity was
unchanged relative to controls. For patients with HCC accompanied by fibrosis or
cirrhosis, the same activity changes were seen for the CYP isoforms, except for
CYP2D6 which had higher values in HCC patients with cirrhosis. Moreover,
CYP2D6*10 (100C>T), CYP2C9*3 (42614 A>C), and CYP3A5*3 (6986A>G) polymorphisms
had definite effects on enzyme activities. In the HCC group, the CLint of
CYP2D6*10 mutant homozygote was decreased by 95% compared to wild-type samples,
and the frequency of this homozygote was 2.8-fold lower than the controls.In
conclusion, the activities of CYP isoforms were differentially affected in HCC
patients. Genetic polymorphisms of some CYP enzymes, especially CYP2D6*10, could
affect enzyme activity. CYP2D6*10 allelic frequency was significantly different
between HCC patients and control subjects. These findings may be useful for
personalizing the clinical treatment of HCC patients as well as predicting the
risk of hepatocarcinogenesis.
PMID- 27203680
TI - Efficacy of different caffeine concentrations on growth and ochratoxin A
production by Aspergillus species.
AB - The objective of this study was to evaluate the effect of different caffeine
concentrations (0-4%) on (i) lag phase prior to growth, (ii) growth rates and
(iii) ochratoxin A (OTA) production by strains from the Aspergillus section
Circumdati and Aspergillus section Nigri groups, isolated from coffee, when grown
on a conducive medium at 0.98 water activity and 30 degrees C. The lag phases
prior to growth increased with caffeine concentration. A strain of Aspergillus
niger and Aspergillus carbonarius were the most sensitive to caffeine with growth
being inhibited by <1% caffeine. For strains of Aspergillus westerdijkiae,
Aspergillus ochraceus and Aspergillus steynii, although growth was inhibited
significantly, some growth (10-15% of controls) occurred in 4% caffeine. OTA
production was significantly inhibited by only 0.5% caffeine for strains of A.
westerdijkiae, A. niger and A. carbonarius. For A. steynii at least 1.5% caffeine
was required to inhibit OTA production. In contrast, for the strain of A.
ochraceus there was a stimulation of OTA at 3% with a reduction at 4% caffeine.
These results are discussed in the context of the different concentrations of
caffeine found in Arabica and Robusta coffee and the development of minimization
strategies. SIGNIFICANCE AND IMPACT OF THE STUDY: Arabic (0.6%) and Robusta
coffee (4%) have significantly different amounts of endogenous caffeine. The
growth of six ochratoxigenic fungi which contaminate coffee with ochratoxin A
(OTA) had differential tolerance/sensitivity to concentrations of caffeine in
vitro in this range. However, low concentrations of caffeine (<0.5%) was
inhibitory to OTA production. These results are discussed in the context of the
potential for using such information for the design of minimization strategies to
control mycotoxin production in such products.
PMID- 27203677
TI - Sorafenib inhibits macrophage-mediated epithelial-mesenchymal transition in
hepatocellular carcinoma.
AB - Tumor-associated macrophages, crucial components of the microenvironment in
hepatocellular carcinoma, hamper anti-cancer immune responses. The aim of the
present study was to investigate the effect of sorafenib on the formation of the
tumor microenvironment, especially the relationship between polarized macrophages
and hepatocytes. Macrophage infiltration was reduced in patients with
hepatocellular carcinoma who were treated with sorafenib. In vitro, sorafenib
abolished polarized macrophage-induced epithelial mesenchymal transition (EMT)
and migration of hepatocellular carcinoma cells but not normal hepatocytes.
Moreover, sorafenib attenuated HGF secretion in polarized macrophages, and
decreased plasma HGF in patients with hepatocellular carcinoma. Additionally,
sorafenib abolished the polarized macrophage-induced activation of the HGF
receptor Met in hepatocellular carcinoma cells. Our findings suggest that
sorafenib inhibits polarized macrophage-induced EMT in hepatocellular carcinoma
cells via the HGF-Met signaling pathway. These results contribute to our
understanding of the immunological mechanisms that underlie the protective
effects of sorafenib in hepatocellular carcinoma therapy.
PMID- 27203678
TI - Cabazitaxel operates anti-metastatic and cytotoxic via apoptosis induction and
stalls brain tumor angiogenesis.
AB - Taxanes target microtubules and are clinically established chemotherapeutic
agents with proven efficacy in human cancers. Cabazitaxel (XRP-6258, Jevtana(r))
is a second generation semisynthetic taxane with high chemotherapeutic potential
in prostate cancer. There, cabazitaxel can overcome docetaxel-resistant prostate
cancer. Here, we tested the effects of cabazitaxel on glioma cells, and non
transformed cells such as neurons and astrocytes. Cabazitaxel operates highly
toxic in various human glioma cells at nanomolar concentrations. In contrast,
primary astrocytes and neurons are not affected by this agent. Cabazitaxel
disrupts cytoskeletal F-actin fibers and induces apoptotic cell death in gliomas.
Moreover, cabazitaxel displayed highest efficacy in inhibiting glioma cell
migration and invasion. Here we demonstrate that cabazitaxel inhibited tumor
migration already at 1 nM. We also tested cabazitaxel in the ex vivo VOGiM assay.
Cabazitaxel stalled glioma growth and at the same time inhibited tumor-induced
angiogenesis. In summary, we found that cabazitaxel operates as an apoptosis
inducing gliomatoxic agent with strongest effects on migration and invasive
growth. Thus, our report uncovered cabazitaxel actions on gliomas and on the
brain tumor microenvironment. These data reveal novel aspects for adjuvant
approaches when applied to brain tumor patients.
PMID- 27203679
TI - The potential role of epigenetic modulations in BPPV maneuver exercises.
AB - Benign paroxysmal positional vertigo (BPPV) is one of the most common complaints
encountered in clinics and is strongly correlated with advanced age or, possibly,
degeneration. Redistribution exercises are the most effective approaches to treat
BPPV, and canalith repositioning procedure (CRP) cure most BPPV cases. However,
the mechanisms through which the treatment modulates systemic molecules in BPPV
patients remain largely unknown. In this study, we report that the miR-34a and
Sirtuin 1 (SIRT1) genes correlated with the treatment effects of CRP in BPPV
subjects. We found that miR-34a expression was largely inhibited and SIRT1
expression was significantly reversed after BPPV maneuver treatment. We also
confirmed that the PPAR-gamma, PGC-1 and FoxO gene expressions were decreased
immediately after canalith repositioning procedure (CRP) for BPPV, and were
largely increased after a complete cure of BPPV. Moreover, we observed that after
a complete recovery of BPPV, the ROS concentrations, pro-inflammatory cytokine
concentrations and p53 expression levels were attenuated. We conclude that BPPV
treatment might involve some epigenetic regulations through the mediation of miR
34a, SIRT1 functions and repression of redox status.
PMID- 27203682
TI - Outcomes of Minimal Invasive vs Open Radical Nephrectomy for the Treatment of
Locally Advanced Renal-Cell Carcinoma.
AB - PURPOSE: We compare oncologic outcomes of minimally invasive and open nephrectomy
for locally advanced kidney cancer. MATERIALS AND METHODS: A retrospective review
of a single-institutional, prospectively maintained database from a National
Comprehensive Cancer Network-designated center was conducted. All patients who
underwent radical nephrectomy at Roswell Park Cancer Institute with diagnosis of
pT3 and pT4 renal-cell carcinoma (RCC) between years 1998 and 2015 were reviewed.
Patients who underwent partial nephrectomy and nephroureterectomy were excluded.
RESULTS: We identified 172 patients with pT3 or pT4 tumors resected by minimally
invasive (laparoscopic and robotic) or open radical nephrectomy. Demographic
characteristics were similar between the two groups. Patients in the minimally
invasive group had a higher mean body mass index (31.9 vs 28.1, p = 0.002),
radiologically smaller tumors (7.7 cm vs 9.1 cm, p = 0.008), lower mean estimated
blood loss (277 vs 1429, p < 0.001), lower rate of blood transfusion (4.7% vs
45.5%, p < 0.001), and a shorter mean length of stay (3.5 days vs 5.7 days, p <
0.001) compared with patients who underwent open surgery. At a median follow-up
of 32.8 months, there was no significant difference in overall survival (p = 0.8)
between the two groups. CONCLUSION: Minimal invasive nephrectomy is a safe
approach with similar oncologic outcomes to open nephrectomy for select patients
with locally advanced RCC.
PMID- 27203681
TI - Classifying eating disorders based on "healthy" and "unhealthy" perfectionism and
impulsivity.
AB - OBJECTIVE: Perfectionism and impulsivity are associated with eating disorders
(EDs). The current study examines whether clinically relevant subgroups of women
with EDs can be identified based on "healthy" and "unhealthy" perfectionism and
impulsivity. METHOD: Latent profile analyses (LPA) were performed on data of 844
patients (DSM-IV diagnosis: 381 anorexia nervosa, 146 bulimia nervosa, 56 binge
eating disorder, 261 ED not otherwise specified). "Healthy" and "unhealthy" forms
of perfectionism and impulsivity were assessed by the Frost Multidimensional
Perfectionism Scale and the Dickman Impulsivity Inventory, respectively. The
Eating Disorder Examination Questionnaire was completed to assess ED
psychopathology. Furthermore, in 229 patients additional ED symptoms, depression,
self-esteem, obsessive-compulsive symptoms, and personality features were
assessed. RESULTS: The LPA revealed four profiles; 1. "Healthy Impulsivity" (HI;
n = 191), 2. "Unhealthy Impulsivity" (UI; n = 238), 3. "Healthy and Unhealthy
Perfectionism" (HP + UP; n = 153), 4. "Healthy Perfectionism" (HP; n = 262).
Patients belonging to the "HP + UP" and the "UI" classes reported higher levels
of ED psychopathology. More severe comorbid symptoms (depressive, obsessive
compulsive and self-esteem) were found in the patients belonging to the "HP + UP"
class. Patients from the "HP + UP" and "HP" classes had higher scores for the
personality features Harm Avoidance, Persistence and Cooperativeness. DISCUSSION:
Women with EDs could be meaningfully grouped according to perfectionism and
impulsivity. These findings can be used to improve treatment matching and
intervention strategies. The use of dimensional features, like perfectionism and
impulsivity, in ED research, may enable the identification of fundamental
underlying mechanisms and provide more insight into potential mechanisms that may
drive or maintain disordered eating. (c) 2016 Wiley Periodicals, Inc. (Int J Eat
Disord 2016; 49:673-680).
PMID- 27203683
TI - A non-topological mechanism for negative linear compressibility.
AB - Negative linear compressibility (NLC), the increase in a unit cell length with
pressure, is a rare phenomenon in which hydrostatic compression of a structure
promotes expansion along one dimension. It is usually a consequence of crystal
structure topology. We show that the source of NLC in the Co(ii) citrate metal
organic framework UTSA-16 lies not in framework topology, but in the relative
torsional flexibility of Co(ii)-centred tetrahedra compared to more rigid
octahedra.
PMID- 27203686
TI - Dipolar Photosystems: Engineering Oriented Push-Pull Components into Double- and
Triple-Channel Surface Architectures.
AB - Push-pull aromatics are not popular as optoelectronic materials because their
supramolecular organization is difficult to control. However, recent progress
with synthetic methods has suggested that the directional integration of push
pull components into multicomponent photosystems should become possible. In this
study, we report the design, synthesis, and evaluation of double- or triple
channel architectures that contain pi stacks with push-pull components in
parallel or mixed orientation. Moreover, the parallel push-pull stacks were
uniformly oriented with regard to co-axial stacks, either with inward or outward
oriented push-pull dipoles. Hole-transporting (p) aminoperylenemonoimides (APIs)
and aminonaphthalimides (ANIs) are explored for ordered push-pull stacks. For the
co-axial electron-transporting (n) stacks, naphthalenediimides (NDIs) are used.
In double-channel photosystems, mixed push-pull stacks are overall less active
than parallel push-pull stacks. The orientation of the parallel push-pull stacks
with regard to the co-axial NDI stacks has little influence on activity. In
triple-channel photosystems, outward-directed dipoles in bridging stacks between
peripheral p and central n channels show higher activity than inward-directed
dipolar stacks. Higher activities in response to direct irradiation of outward
directed parallel stacks reveal the occurrence of quite remarkable optical
gating.
PMID- 27203684
TI - Mitofusin-2 knockdown increases ER-mitochondria contact and decreases amyloid
beta-peptide production.
AB - Mitochondria are physically and biochemically in contact with other organelles
including the endoplasmic reticulum (ER). Such contacts are formed between
mitochondria-associated ER membranes (MAM), specialized subregions of ER, and the
outer mitochondrial membrane (OMM). We have previously shown increased expression
of MAM-associated proteins and enhanced ER to mitochondria Ca(2+) transfer from
ER to mitochondria in Alzheimer's disease (AD) and amyloid beta-peptide (Abeta)
related neuronal models. Here, we report that siRNA knockdown of mitofusin-2
(Mfn2), a protein that is involved in the tethering of ER and mitochondria, leads
to increased contact between the two organelles. Cells depleted in Mfn2 showed
increased Ca(2+) transfer from ER to mitchondria and longer stretches of ER
forming contacts with OMM. Interestingly, increased contact resulted in decreased
concentrations of intra- and extracellular Abeta40 and Abeta42 . Analysis of
gamma-secretase protein expression, maturation and activity revealed that the low
Abeta concentrations were a result of impaired gamma-secretase complex function.
Amyloid-beta precursor protein (APP), beta-site APP-cleaving enzyme 1 and
neprilysin expression as well as neprilysin activity were not affected by Mfn2
siRNA treatment. In summary, our data shows that modulation of ER-mitochondria
contact affects gamma-secretase activity and Abeta generation. Increased ER
mitochondria contact results in lower gamma-secretase activity suggesting a new
mechanism by which Abeta generation can be controlled.
PMID- 27203685
TI - Reactome from a WikiPathways Perspective.
AB - Reactome and WikiPathways are two of the most popular freely available databases
for biological pathways. Reactome pathways are centrally curated with periodic
input from selected domain experts. WikiPathways is a community-based platform
where pathways are created and continually curated by any interested party. The
nascent collaboration between WikiPathways and Reactome illustrates the mutual
benefits of combining these two approaches. We created a format converter that
converts Reactome pathways to the GPML format used in WikiPathways. In addition,
we developed the ComplexViz plugin for PathVisio which simplifies looking up
complex components. The plugin can also score the complexes on a pathway based on
a user defined criterion. This score can then be visualized on the complex nodes
using the visualization options provided by the plugin. Using the merged
collection of curated and converted Reactome pathways, we demonstrate improved
pathway coverage of relevant biological processes for the analysis of a
previously described polycystic ovary syndrome gene expression dataset.
Additionally, this conversion allows researchers to visualize their data on
Reactome pathways using PathVisio's advanced data visualization functionalities.
WikiPathways benefits from the dedicated focus and attention provided to the
content converted from Reactome and the wealth of semantic information about
interactions. Reactome in turn benefits from the continuous community curation
available on WikiPathways. The research community at large benefits from the
availability of a larger set of pathways for analysis in PathVisio and Cytoscape.
The pathway statistics results obtained from PathVisio are significantly better
when using a larger set of candidate pathways for analysis. The conversion serves
as a general model for integration of multiple pathway resources developed using
different approaches.
PMID- 27203687
TI - Neighbour Origin and Ploidy Level Drive Impact of an Alien Invasive Plant Species
in a Competitive Environment.
AB - Our understanding of the potential mechanisms driving the spread and
naturalization of alien plant species has increased over the past decades, but
specific knowledge on the factors contributing to their increased impact in the
introduced range is still urgently needed. The native European plant Centaurea
stoebe occurs as two cytotypes with different life histories (monocarpic
diploids, allo-polycarpic tetraploids). However, only tetraploids have been found
in its introduced range in North America, where C. stoebe has become a most
prominent plant invader. Here, we focus on the ploidy level of C. stoebe and
origin of neighbouring community in explaining the high impact during the
invasion of new sites in the introduced range. We conducted a mesocosm experiment
under open-field conditions with the diploid (EU2x) and tetraploid (EU4x)
cytotype of Centaurea stoebe from its native European (EU) range, and with the
invasive tetraploid (NA4x) cytotype from the introduced North American (NA) range
in competition with EU (old) or NA (new) neighbouring plant communities. In the
presence of competition, the biomass of EU neighbouring community was reduced to
a comparable level by all three geo-cytotypes of C. stoebe. In contrast, the
biomass of the NA neighbouring community was reduced beyond when competing with
tetraploid, but not with diploid C. stoebe. The fact that the biomass of all
three geo-cytotypes of C. stoebe was correlated with the biomass of the EU
neighbouring community, but not with that of the NA neighbouring community
suggests that different mechanisms underlie the competitive interactions between
C. stoebe and its old vs. new neighbouring communities, such as competition for
the same limiting resources at home vs competition through novel allelo-chemicals
or differential resource uptake strategies in the introduced range. We therefore
caution to simply use the ecosystem impact assessed at home to predict impact in
the introduced range.
PMID- 27203688
TI - Dual-targeting hybrid nanoparticles for the delivery of SN38 to Her2 and CD44
overexpressed human gastric cancer.
AB - Gastric cancer (GC), particularly of the type with high expression of both human
epidermal growth factor receptor 2 (Her2) and cluster determinant 44 (CD44), is
one of the most malignant human tumors which causes a high mortality rate due to
rapid tumor growth and metastasis. To develop effective therapeutic treatments, a
dual-targeting hybrid nanoparticle (NP) system was designed and constructed to
deliver the SN38 agent specifically to human solid gastric tumors bearing
excessive Her2 and CD44. The hybrid NPs consist of a particle core made of the
biodegradable polymer PLGA and a lipoid shell prepared by conjugating the AHNP
peptides and n-hexadecylamine (HDA) to the carboxyl groups of hyaluronic acid
(HA). Upon encapsulation of the SN38 agent in the NPs, the AHNP peptides and HA
on the NP surface allow preferential delivery of the drug to gastric cancer cells
(e.g., HGC27 cells) by targeting Her2 and CD44. Cellular uptake and in vivo
biodistribution experiments verified the active targeting and prolonged in vivo
circulation properties of the dual-targeting hybrid NPs, leading to enhanced
accumulation of the drug in tumors. Furthermore, the anti-proliferation mechanism
studies revealed that the inhibition of the growth and invasive activity of HGC27
cells was not only attributed to the enhanced cellular uptake of dual-targeting
NPs, but also benefited from the suppression of CD44 and Her2 expression by HA
and AHNP moieties. Finally, intravenous administration of the SN38-loaded dual
targeting hybrid NPs induced significant growth inhibition of HGC27 tumor
xenografted in nude mice compared with a clinical antitumor agent, Irinotecan
(CPT-11), and the other NP formulations. These results demonstrate that the
designed dual-targeting hybrid NPs are promising for targeted anti-cancer drug
delivery to treat human gastric tumors over-expressing Her2 and CD44.
PMID- 27203690
TI - Competitive Assays of Label-Free DNA Hybridization with Single-Molecule
Fluorescence Imaging Detection.
AB - Single-molecule imaging of fluorescently labeled biomolecules is a powerful
technique for measuring association interactions; however, care must be taken to
ensure that the fluorescent labels do not influence the system being probed.
Label-free techniques are needed to understand biomolecule interactions free from
the influence of an attached label, but these techniques often lack sensitivity
and specificity. To solve these challenges, we have developed a competitive assay
that uses single-molecule detection to track the population of unlabeled target
single-stranded DNA (ssDNA) hybridized with probe DNA immobilized at a glass
interface by detecting individual duplexes with a fluorescently labeled "tracer"
ssDNA. By labeling a small fraction (<0.2%) of target molecules, the "tracer" DNA
tracks the available probe DNA sites without significant competition with the
unlabeled target population. Single-molecule fluorescence imaging is a good read
out scheme for competitive assays, as it is sufficiently sensitive to detect
tracer DNA on substrates with relatively low densities of probe DNA, ~10(-3) of a
monolayer, so that steric interactions do not hinder DNA hybridization.
Competitive assays are used to measure the association constant of complementary
strand DNA hybridization of 9- and 10-base pair targets, where the tracer assay
predicts the same association constant as a traditional displacement competitive
assay. This methodology was used to compare the Ka of hybridization for identical
DNA strands differing only by the presence of a fluorescent label tethered to the
5' end of the solution-phase target. The addition of the fluorescent label
significantly stabilizes the DNA duplex by 3.6 kJmol(-1), adding more stability
than an additional adenine-thymine base-pairing interaction, 2.7 kJmol(-1). This
competitive tracer assay could be used to screen a number of labeled and
unlabeled target DNA strands to measure the impact of fluorescent labeling on
duplex stability. This single-molecule competitive hybridization scheme could be
easily adapted into a sensitive assay, where competition between tracer and
target oligonucleotides for probe sites could be used to measure concentrations
of unlabeled DNA or RNA.
PMID- 27203689
TI - Exposure to Aedes aegypti Bites Induces a Mixed-Type Allergic Response following
Salivary Antigens Challenge in Mice.
AB - Classical studies have shown that Aedes aegypti salivary secretion is responsible
for the sensitization to mosquito bites and many of the components present in
saliva are immunogenic and capable of inducing an intense immune response.
Therefore, we have characterized a murine model of adjuvant-free systemic allergy
induced by natural exposure to mosquito bites. BALB/c mice were sensitized by
exposure to A. aegypti mosquito bites and intranasally challenged with phosphate
buffered saline only or the mosquito's salivary gland extract (SGE). Blood,
bronchoalveolar lavage (BAL) and lung were collected and evaluated for
cellularity, histopathological analyses, cytokines and antibody determination.
Respiratory pattern was analyzed by Penh measurements and tracheal segments were
obtained to study in vitro reactivity to methacholine. BAL recovered from
sensitized mice following challenge with SGE showed an increased number of
eosinophils and Th2 cytokines such as IL-4, IL-5 and IL-13. Peribronchoalveolar
eosinophil infiltration, mucus and collagen were also observed in lung parenchyma
of sensitized mice, suggesting the development of a typical Th2 response.
However, the antibody profile in serum of these mice evidenced a mixed-type
response with presence of both, IgG1/IgE (Th2-related) and IgG2a (Th1-related)
isotypes. In addition, changes in breathing pattern and tracheal reactivity to
methacholine were not found. Taken together, our results show that A. aegypti
bites trigger an atypical allergic reaction, with some classical cellular and
soluble Th2 components in the lung, but also systemic Th1 and Th2 antibody
isotypes and no change in either the respiratory pattern or the trachea
responsiveness to agonist.
PMID- 27203691
TI - Correction: RUNX1B Expression Is Highly Heterogeneous and Distinguishes
Megakaryocytic and Erythroid Lineage Fate in Adult Mouse Hematopoiesis.
AB - [This corrects the article DOI: 10.1371/journal.pgen.1005814.].
PMID- 27203692
TI - Androgen-Sensitized Apoptosis of HPr-1AR Human Prostate Epithelial Cells.
AB - Androgen receptor (AR) signaling is crucial to the development and homeostasis of
the prostate gland, and its dysregulation mediates common prostate pathologies.
The mechanisms whereby AR regulates growth suppression and differentiation of
luminal epithelial cells in the prostate gland and proliferation of malignant
versions of these cells have been investigated in human and rodent adult
prostate. However, the cellular stress response of human prostate epithelial
cells is not well understood, though it is central to prostate health and
pathology. Here, we report that androgen sensitizes HPr-1AR and RWPE-AR human
prostate epithelial cells to cell stress agents and apoptotic cell death.
Although 5alpha-dihydrotestosterone (DHT) treatment alone did not induce cell
death, co-treatment of HPr-1AR cells with DHT and an apoptosis inducer, such as
staurosporine (STS), TNFt, or hydrogen peroxide, synergistically increased cell
death in comparison to treatment with each apoptosis inducer by itself. We found
that the synergy between DHT and apoptosis inducer led to activation of the
intrinsic/mitochondrial apoptotic pathway, which is supported by robust cleavage
activation of caspase-9 and caspase-3. Further, the dramatic depolarization of
the mitochondrial membrane potential that we observed upon co-treatment with DHT
and STS is consistent with increased mitochondrial outer membrane
permeabilization (MOMP) in the pro-apoptotic mechanism. Interestingly, the
synergy between DHT and apoptosis inducer was abolished by AR antagonists and
inhibitors of transcription and protein synthesis, suggesting that AR mediates
pro-apoptotic synergy through transcriptional regulation of MOMP genes.
Expression analysis revealed that pro-apoptotic genes (BCL2L11/BIM and AIFM2)
were DHT-induced, whereas pro-survival genes (BCL2L1/BCL-XL and MCL1) were DHT
repressed. Hence, we propose that the net effect of these AR-mediated expression
changes shifts the balance of BCL2-family proteins, such that androgen signaling
sensitizes mitochondria to apoptotic signaling, thus rendering HPr-1AR more
vulnerable to cell death signals. Our study offers insight into AR-mediated
regulation of prostate epithelial cell death signaling.
PMID- 27203694
TI - Frequency of Missed Insulin Boluses in Type 1 Diabetes and Its Impact on Diabetes
Control.
PMID- 27203693
TI - Molecular Ecological Insights into Neotropical Bird-Tick Interactions.
AB - In the tropics, ticks parasitize many classes of vertebrate hosts. However,
because many tropical tick species are only identifiable in the adult stage, and
these adults usually parasitize mammals, most attention on the ecology of tick
host interactions has focused on mammalian hosts. In contrast, immature
Neotropical ticks are often found on wild birds, yet difficulties in identifying
immatures hinder studies of birds' role in tropical tick ecology and tick-borne
disease transmission. In Panama, we found immature ticks on 227 out of 3,498
individually-sampled birds representing 93 host species (24% of the bird species
sampled, and 13% of the Panamanian land bird fauna). Tick parasitism rates did
not vary with rainfall or temperature, but did vary significantly with several
host ecological traits. Likewise, Neotropical-Nearctic migratory birds were
significantly less likely to be infested than resident species. Using a molecular
library developed from morphologically-identified adult ticks specifically for
this study, we identified eleven tick species parasitizing birds, indicating that
a substantial portion of the Panamanian avian species pool is parasitized by a
diversity of tick species. Tick species that most commonly parasitized birds had
the widest diversity of avian hosts, suggesting that immature tick species are
opportunistic bird parasites. Although certain avian ecological traits are
positively associated with parasitism, we found no evidence that individual tick
species show specificity to particular avian host ecological traits. Finally, our
data suggest that the four principal vectors of Rocky Mountain Spotted Fever in
the Neotropics rarely, if ever, parasitize Panamanian birds. However, other tick
species that harbor newly-discovered rickettsial parasites of unknown
pathogenicity are frequently found on these birds. Given our discovery of broad
interaction between Panamanian tick and avian biodiversity, future work on tick
ecology and the dynamics of emerging tropical tick-borne pathogens should
explicitly consider wild bird as hosts.
PMID- 27203695
TI - Intra-Arterially Delivered Mesenchymal Stem Cells Are Not Detected in the Brain
Parenchyma in an Alzheimer's Disease Mouse Model.
AB - Mesenchymal stem cells (MSCs) have a promising role as a therapeutic agent for
neurodegenerative diseases such as Alzheimer's disease (AD). Prior studies
suggested that intra-arterially administered MSCs are engrafted into the brain in
stroke or traumatic brain injury (TBI) animal models. However, a controversial
standpoint exists in terms of the integrity of the blood brain barrier (BBB) in
transgenic AD mice. The primary goal of this study was to explore the feasibility
of delivering human umbilical cord-blood derived mesenchymal stem cells (hUCB
MSCs) into the brains of non-transgenic WT (C3H/C57) and transgenic AD (APP/PS1)
mice through the intra-arterial (IA) route. Through two experiments, mice were
infused with hUCB-MSCs via the right internal carotid artery and were sacrificed
at two different time points: 6 hours (experiment 1) or 5 minutes (experiment 2)
after infusion. In both experiments, no cells were detected in the brain
parenchyma while MSCs were detected in the cerebrovasculature in experiment 2.
The results from this study highlight that intra-arterial delivery of MSCs is not
the most favorable route to be implemented as a potential therapeutic approach
for AD.
PMID- 27203697
TI - Digital Mapping of Soil Salinity and Crop Yield across a Coastal Agricultural
Landscape Using Repeated Electromagnetic Induction (EMI) Surveys.
AB - Reliable and real-time information on soil and crop properties is important for
the development of management practices in accordance with the requirements of a
specific soil and crop within individual field units. This is particularly the
case in salt-affected agricultural landscape where managing the spatial
variability of soil salinity is essential to minimize salinization and maximize
crop output. The primary objectives were to use linear mixed-effects model for
soil salinity and crop yield calibration with horizontal and vertical
electromagnetic induction (EMI) measurements as ancillary data, to characterize
the spatial distribution of soil salinity and crop yield and to verify the
accuracy of spatial estimation. Horizontal and vertical EMI (type EM38)
measurements at 252 locations were made during each survey, and root zone soil
samples and crop samples at 64 sampling sites were collected. This work was
periodically conducted on eight dates from June 2012 to May 2013 in a coastal
salt-affected mud farmland. Multiple linear regression (MLR) and restricted
maximum likelihood (REML) were applied to calibrate root zone soil salinity (ECe)
and crop annual output (CAO) using ancillary data, and spatial distribution of
soil ECe and CAO was generated using digital soil mapping (DSM) and the precision
of spatial estimation was examined using the collected meteorological and
groundwater data. Results indicated that a reduced model with EMh as a predictor
was satisfactory for root zone ECe calibration, whereas a full model with both
EMh and EMv as predictors met the requirement of CAO calibration. The obtained
distribution maps of ECe showed consistency with those of EMI measurements at the
corresponding time, and the spatial distribution of CAO generated from ancillary
data showed agreement with that derived from raw crop data. Statistics of
jackknifing procedure confirmed that the spatial estimation of ECe and CAO
exhibited reliability and high accuracy. A general increasing trend of ECe was
observed and moderately saline and very saline soils were predominant during the
survey period. The temporal dynamics of root zone ECe coincided with those of
daily rainfall, water table and groundwater data. Long-range EMI surveys and data
collection are needed to capture the spatial and temporal variability of soil and
crop parameters. Such results allowed us to conclude that, cost-effective and
efficient EMI surveys, as one part of multi-source data for DSM, could be
successfully used to characterize the spatial variability of soil salinity, to
monitor the spatial and temporal dynamics of soil salinity, and to spatially
estimate potential crop yield.
PMID- 27203696
TI - Time-Dependent Discrepancies between Assessments of Sensory Function after
Incomplete Cervical Spinal Cord Injury.
AB - We recently demonstrated that the electrical perceptual threshold (EPT)
examination reveals spared sensory function at lower spinal segments compared
with the International Standards for Neurological Classification of Spinal Cord
Injury (ISNCSCI) examination in humans with chronic incomplete cervical spinal
cord injury (SCI). Here, we investigated whether discrepancies in sensory
function detected by both sensory examinations change over time after SCI. Forty
five participants with acute (<1 year), chronic (>=1-10 years), and extended
chronic (>10 years) incomplete cervical SCI and 30 control subjects were tested
on dermatomes C2-T4 bilaterally. EPT values were higher in subjects with acute
(2.5 +/- 0.8 mA), chronic (2.2 +/- 0.7 mA), or extended-chronic (2.8 +/- 1.1 mA)
SCI compared with controls (1.0 +/- 0.1 mA). The EPT examination detected sensory
impairments in spinal segments above (2.3 +/- 0.9) and below (4.2 +/- 2.6) the
level detected by the ISNCSCI sensory examination in participants with acute and
chronic SCI, respectively. Notably, both examinations detected similar levels of
spared sensory function in the extended-chronic phase of SCI (0.8 +/- 0.5). A
negative correlation was found between differences in EPT and ISNCSCI sensory
levels and time post-injury. These observations indicate that discrepancies
between EPT and ISNCSCI sensory scores are time-dependent, with the EPT revealing
impaired sensory function above, below, or at the same spinal segment as the
ISNCSCI examination. We propose that the EPT is a sensitive tool to assess
changes in sensory function over time after incomplete cervical SCI.
PMID- 27203698
TI - Myeloid-derived suppressor cells are essential for maintaining feto-maternal
immunotolerance via STAT3 signaling in mice.
AB - Maternal immune system tolerance to the semiallogeneic fetus is essential for a
successful pregnancy; however, the mechanisms underlying this immunotolerance
have not been fully elucidated. Here, we demonstrate that myeloid-derived
suppressor cells play an important role in maintaining feto-maternal tolerance. A
significant expansion of granulocytic myeloid-derived suppressor cells was
observed in multiple immune organs and decidual tissues from pregnant mice.
Pregnancy-derived granulocytic myeloid-derived suppressor cells suppressed T cell
responses in a reactive oxygen species-dependent manner and required direct cell
cell contact. Mechanistic studies showed that progesterone facilitated
differentiation and activation of granulocytic myeloid-derived suppressor cells,
mediated through STAT3 signaling. The STAT3 inhibitor JSI-124 and a specific
short hairpin RNA completely abrogated the effects of progesterone on
granulocytic myeloid-derived suppressor cells. More importantly, granulocytic
myeloid-derived suppressor cell depletion dramatically enhanced the abortion rate
in normal pregnant mice, whereas adoptive transfer of granulocytic myeloid
derived suppressor cells clearly reduced the abortion rate in the CBA/J X DBA/2J
mouse model of spontaneous abortion. These observations collectively demonstrate
that granulocytic myeloid-derived suppressor cells play an essential role in the
maintenance of fetal immunotolerance in mice. Furthermore, our study supports the
notion that in addition to their well-recognized roles under pathologic
conditions, myeloid-derived suppressor cells perform important functions under
certain physiologic circumstances.
PMID- 27203699
TI - Macroelemental composition of cadmium stressed lettuce plants grown under
conditions of intensive sulphur nutrition.
AB - Lettuce (Lactuca sativa L.) is moderately sensitive to cadmium (Cd) and shows
high accumulation of this metal. Thus, this species is considered to be a good
model for both identifying determinants controlling Cd accumulation in plant
tissues and for developing breeding strategies aimed at limiting the accumulation
of this metal in edible tissues. Simultaneously, lettuce is characterised by
medium requirements for sulphur (S) - a macronutrient whose role is associated
not only with proper growth and development, but also with stress tolerance. The
common use of NPK fertilizers without sulphates (S-SO4) together with the
progressive process of reducing emissions of S compounds to the natural
environment may lead to deficiency of this element in plants. The present study
evaluated the changes in macronutrient content and accumulation in Cd-stressed
lettuce 'Justyna' supplied with different S doses. Four concentrations of Cd (0,
0.0002, 0.02 or 0.04 mM) and three levels of S applied in the form of S-SO4 (2, 6
or 9 mM S) were used. Cd exposure impaired the macronutrient balance and
accumulation in lettuce. Intensive S nutrition to some extent alleviated Cd
induced toxicity. High S doses, especially 6 mM S, partially improved
macronutrient status and restored the macronutrient balance. In Cd-stressed
plants supplemented with additional S, an increase in root and shoot biomass and
in the content of N, K and Mg was found, without significant changes in the Ca
content. Simultaneously, the P and S contents in the biomass of both above- and
underground organs remained unchanged. In the leaves, as opposite to the roots,
intensive S nutrition reduced the accumulation of Cd. However, the foliar Cd
concentration still exceeded the acceptable limits established for consumption.
All the obtained results concerning the content of macronutrients and their
ratios were referred, inter alia, to the standards i.e. the Diagnosis and
Recommendation Integrated System (DRIS) norms.
PMID- 27203700
TI - Influence of forest management systems on natural resource use and provision of
ecosystem services in Tanzania.
AB - Social, religious and economic facets of rural livelihoods in Sub-Saharan Africa
are heavily dependent on natural resources, but improper resource management,
drought, and social instability frequently lead to their unsustainable
exploitation. In rural Tanzania, natural resources are often governed locally by
informal systems of traditional resource management (TRM), defined as cultural
practices developed within the context of social and religious institutions over
hundreds of years. However, following independence from colonial rule,
centralized governments began to exercise jurisdictional control over natural
resources. Following decades of mismanagement that resulted in lost ecosystem
services, communities demanded change. To improve resource protection and
participation in management among stakeholders, the Tanzanian government began to
decentralize management programs in the early 2000s. We investigated these two
differing management approaches (traditional and decentralized government) in
Sonjo communities, to examine local perceptions of resource governance,
management influences on forest use, and their consequences for forest and water
resources. While 97% of households understood the regulations governing
traditionally-managed forests, this was true for only 39% of households for
government-managed forests, leading to differences in forest use. Traditional
management practices resulted in improved forest condition and surface water
quality. This research provides an essential case study demonstrating the
importance of TRM in shaping decision frameworks for natural resource planning
and management.
PMID- 27203701
TI - Consensus of stakeholders on precautionary allergen labelling: A report from the
Centre for Food and Allergy Research.
PMID- 27203702
TI - Regression and Progression Predictors of CIN2 in Women Younger Than 25 Years.
AB - OBJECTIVE: The purpose of this study was to review the management and outcome of
cervical intraepithelial neoplasia 2 (CIN2) in women younger than 25 years.
METHODS: A retrospective review was performed, investigating women younger than
25 years at the time of diagnosis with biopsy-proven CIN2 between January 1,
2010, and December 31, 2014, who were seen in the colposcopy clinic at the Queen
Elizabeth II Hospital in Halifax, Nova Scotia, Canada. The regression,
persistence, and progression rate of CIN2 in conservative managed women were
evaluated, and potential risk factors were examined. Colposcopy, cytologic, and
histopathologic findings were compared with women with immediate treatment (<6
months). RESULTS: Of the 319 women included in the study, 108 women received
immediate treatment, and 211 women were managed conservatively; of these, 144
women remained untreated, and 67 women received treatment 6 months or greater.
From the women managed conservatively, 150 women (71.1%) showed regression, 26
women (12.3%) had persistent disease, and 35 women (16.6%) progressed, with a
median follow-up of 15.1 months. None of the women included in the study
progressed to invasive cancer. The hazard ratio for time to progression was 2.40
for women who smoked (p = 0.006). CONCLUSIONS: A conservative approach of CIN2 is
the preferred management option for women younger than 25 years. Smoking was
identified as a risk factor for progression.
PMID- 27203703
TI - Paget Disease of the Vulva: Significance of Surgical Margin Status.
PMID- 27203704
TI - The Role of the Male in Preventing HPV-Related Diseases.
PMID- 27203705
TI - Surgical Treatment of Paget Disease of the Vulva: Prognostic Significance of
Stromal Invasion and Surgical Margin Status.
PMID- 27203706
TI - Neutron spectrum measurements at a radial beam port of the NUR research reactor
using a Bonner spheres spectrometer.
AB - This paper describes the measurement campaign held around the neutron radiography
(NR) facility of the Algerian 1MW NUR research reactor. The main objective of
this work is to characterize accurately the neutron beam provided at one of the
radial channels of the NUR research reactor taking benefit of the acquired CRNA
Bonner spheres spectrometer (BSS). The specific objective was to improve the
image quality of the NR facility. The spectrometric system in use is based on a
central spherical (3)He thermal neutron proportional counter combined with high
density polyethylene spheres of different diameters ranging from 3 to 12in. This
counting system has good gamma ray discrimination and is able to cover an energy
range from thermal to 20MeV. The measurements were performed at the sample
distance of 0.6m from the beam port and at a height of 1.2m from the facility
floor. During the BSS measurements, the reactor was operating at low power (100W)
to avoid large dead times, pulse pileup and high level radiation exposures, in
particular, during spheres handling. Thereafter, the neutron spectrum at the
sample position was unfolded by means of GRAVEL and MAXED computer codes. The
thermal, epithermal and fast neutron fluxes, the total neutron flux, the mean
energy and the Cadmium ratio (RCd) were provided. A sensitivity analysis was
performed taking into account various defaults spectra and ultimately a different
response functions in the unfolding procedure. Overall, from the obtained results
it reveals, unexpectedly, that the measured neutron spectrum at the sample
position of the neutron radiography of the NUR reactor is being harder with a
predominance of fast neutrons (>100keV) by about 60%. Finally, those results were
compared to previous and more recent measurements obtained by activation foils
detectors. The agreement was fairly good highlighting thereby the consistency of
our findings.
PMID- 27203707
TI - Phylogenetic relationships and protein modelling revealed two distinct
subfamilies of group II HKT genes between crop and model grasses.
AB - Molecular evolution of large protein families in closely related species can
provide useful insights on structural functional relationships. Phylogenetic
analysis of the grass-specific group II HKT genes identified two distinct
subfamilies, I and II. Subfamily II was represented in all species, whereas
subfamily I was identified only in the small grain cereals and possibly
originated from an ancestral gene duplication post divergence from the coarse
grain cereal lineage. The core protein structures were highly analogous despite
there being no more than 58% amino acid identity between members of the two
subfamilies. Distinctly variable regions in known functional domains, however,
indicated functional divergence of the two subfamilies. The subsets of codons
residing external to known functional domains predicted signatures of positive
Darwinian selection potentially identifying new domains of functional divergence
and providing new insights on the structural function and relationships between
protein members of the two subfamilies.
PMID- 27203708
TI - Surgical management of congenital torticollis in children older than 7 years with
an average 10-year follow-up.
AB - The aim of the present study is to present the results of the surgical management
of late presenting cases of congenital muscular torticollis. Between 1990 and
2010, 31 cases of late presenting congenital muscular torticollis were managed
surgically in our department. Postoperatively, head halter traction was applied
for 10 days; a cervical brace was applied for 5 weeks, followed by a soft one
collar for 3 months. The final result was assessed on the basis of the criteria
of Cheng and Tang. In total, 84% of patients achieved an excellent final result
and 16% of the patients achieved a good result. Our results indicated that in
children older than 7 years, surgical release combined with appropriate orthosis
and a structured physiotherapy regime can lead to satisfactory results.
PMID- 27203709
TI - Engineering Enriched Microenvironments with Gradients of Platelet Lysate in
Hydrogel Fibers.
AB - Gradients of physical and chemical cues are characteristic of specific tissue
microenvironments and contribute toward morphogenesis and tissue regeneration
upon injury. Recent advances on microfluidics and hydrogel manipulation raised
the possibility of generating biomimetic biomaterials enriched with bioactive
factors and encapsulating cells following designs specifically tailored for a
target application. The novelty of this work relies on the combination of
methacrylated gellan gum (MeGG) with platelet lysate (PL), aiming to generate
novel advanced 3D PL-enriched photo-cross-linkable hydrogels and overcoming the
lack of adhesion sites provided by the native MeGG hydrogels. This combination
takes advantage of the availability, enriched growth factor composition, and
potential autologous application of PL while simultaneously preserving the
ability provided by MeGG to tailor mechanical properties, protein release
kinetics, and shape of the construct according to the desired goal. Incorporation
of PL in the hydrogels significantly improved cellular adhesion and viability in
the constructs. The use of microfluidic tools allowed the design of a fiber-like
hydrogel incorporating a gradient of PL along the length of the fiber. These
spatial protein gradients led to the viability and cell number gradients caused
by maintenance of human umbilical vein endothelial cells (HUVECs) survival in the
fibers toward the PL-enriched sections in comparison with the nonloaded MeGG
sections of the fibers. Altogether, we propose a proof of concept strategy to
design a PL gradient biomaterial with potential in tissue engineering approaches
and analysis of cell-microenvironment interactions.
PMID- 27203710
TI - Summation of slow motor unit forces at constant and variable interpulse intervals
in rat soleus muscle.
AB - Effects of the summation of forces generated by functionally isolated slow-twitch
motor units (MU) of the rat soleus muscle were examined in this study. Initially,
the twitch, fused tetanic and unfused tetanic contractions evoked by trains of
stimuli at variable interpulse intervals were recorded for each MU. Then, two,
three or four MUs were co-activated, and the recorded forces were compared to the
algebraic sum of the forces of individual MUs. The mean cumulative force of
twitches and the mean cumulative force of fused tetani were not statistically
different from the respective algebraic sums of forces, which revealed a high
degree of linearity in the summation. However, relaxation of the recorded tetanic
contractions (either fused or unfused) was faster than that predicted by the
linear summation of individual contractions. Moreover, for twitch and tetanic
contractions, a tendency to shorten relaxation with an increasing number of co
active MUs was noted. The results indicate that forces of rat soleus slow MUs sum
up more linearly than in the respective cat muscle as well as more linearly than
for fast MUs in the medial gastrocnemius muscle.
PMID- 27203711
TI - Hp: an inflammatory indicator in cardiovascular disease.
AB - Over the past decade significant advancement has occurred in the biological and
pathological role that Hp has in cardiovascular disease. Hp is an acute-phase
protein with a role in the neutralization and clearance of free heme. Iron has
tremendous potential for initiating vascular oxidation, inflammation and
exacerbating coronary atherosclerosis. Hp genotype has been linked as a
prognostic biomarker of acute myocardial infarction, heart failure, restenosis
and cardiac transplant rejection. The increased understanding of Hp as a
biomarker has provided new insights into the mechanisms of inflammation after
cardiac injury and support the concept that Hp is not only an important
antioxidant in vascular inflammation and atherosclerosis, but also an enhancer of
inflammation in cardiac transplant.
PMID- 27203712
TI - Correction: Measuring Fisher Information Accurately in Correlated Neural
Populations.
AB - [This corrects the article DOI: 10.1371/journal.pcbi.1004218.].
PMID- 27203713
TI - Single Molecule Discrimination of Heteropolytungstates and Their Isomers in
Solution with a Nanometer-Scale Pore.
AB - We report a new method to identify metallic nanoclusters (polyoxometalate
structures) in solution at the single molecule limit using a nanometer-scale
pore. The technique allows the measurement of polyoxometalates with over 2 orders
of magnitude lower analyte concentration than conventional analytical chemistry
tools. Furthermore, pH-dependent structural changes in phosphotungstic acid are
measured with protein nanopores and validated with NMR. We further demonstrate
that the method can also discriminate [PW9O34](9-) structural isomers. The
results suggest this technique can serve as a complementary approach to
traditional methods.
PMID- 27203714
TI - Cardiovascular disease risk scores' relationship to subclinical cardiovascular
disease among HIV-infected and HIV-uninfected men.
AB - OBJECTIVE: To study cardiovascular disease risk score utility, we compared the
association between Framingham Risk Score (FRS)/pooled cohort equation (PCE)
categories and coronary artery plaque presence by HIV serostatus and evaluated
whether D : A : D risk category more accurately identifies plaque in HIV-infected
men. DESIGN: Cross-sectional analysis within a substudy of the Multicenter AIDS
Cohort Study. METHODS: Cardiac computed tomography was performed to assess
coronary plaque. We evaluated the association of plaque with increasing
cardiovascular disease risk score category, stratified by HIV serostatus, using
logistic regression. Receiver operating characteristic curves compared the
discrimination of the scores for plaque by HIV serostatus. The sensitivity and
specificity of the risk scores were compared in HIV-infected men. RESULTS: The
risk score category - plaque associations were stronger among HIV-uninfected men
than HIV-infected men, except for noncalcified plaque. For example, the odds of
coronary artery calcium more than 0 were 7.03 (95% confidence interval 4.21,
11.76) times greater among men in the PCE high-risk versus low-risk category
among HIV-uninfected men, compared with just 3.13 (95% confidence interval 2.13,
4.61) times greater among men in the high-risk versus low-risk category among HIV
infected men. Among HIV-infected men, high-risk category by PCE identified the
greatest percentage of men with plaque/stenosis, but with lower specificity than
D : A : D and FRS. The prevalence of coronary artery calcium more than 0 among
men in the PCE low-risk category was 26.5% (HIV-uninfected men) and 36.0% (HIV
infected men). CONCLUSIONS: FRS and PCE categories associate with plaque burden
better in HIV-uninfected men. No risk score delivered both high sensitivity and
specificity among HIV-infected men.
PMID- 27203715
TI - Rosuvastatin slows progression of subclinical atherosclerosis in patients with
treated HIV infection.
AB - OBJECTIVE: To determine the effect of statins on the progression of subclinical
atherosclerosis in a population of HIV-infected adults on antiretroviral therapy.
DESIGN: Double-blind, randomized clinical trial. METHODS: Stopping
Atherosclerosis and Treating Unhealthy Bone with RosuvastatiN in HIV infection
was a 96-week double-blind, randomized clinical trial of 10 mg daily rosuvastatin
(n = 72) vs. placebo (n = 75) in a population of HIV-infected subjects on stable
antiretroviral therapy with LDL-cholesterol 130 mg/dl or less (<=3.36 mmol/l) and
evidence of heightened T-cell activation (CD8CD38HLA-DR >=19%) or increased
inflammation [high sensitivity C-reactive protein >=2 mg/l (>=19 mmol/l)]. Change
in common carotid artery intima-media thickness (IMT) (CCA-IMT) was the primary
outcome. Secondary outcomes were changes in LDL and coronary artery calcium.
RESULTS: Median (Q1, Q3) age was 46 (40, 53) years; 78% were man and 68% African
American; 49% were on a protease inhibitor. Mean (95% confidence interval) change
in LDL was -21 (-27 to -15) mg/dl [-0.54 (-0.70 to -0.39) mmol/l] in the
rosuvastatin arm. In a multivariable linear mixed-effects model, assignment to
statin was associated with 0.019 mm (95% confidence interval: 0.002-0.037 mm)
less progression of CCA-IMT over 96 weeks. We did not find substantial effect
modification by level of inflammation or immune activation biomarkers, except for
a borderline statistically significant interaction for soluble vascular cell
adhesion molecule (P = 0.065). There was no difference in coronary artery calcium
change (P = 0.61). CONCLUSION: Rosuvastatin effectively lowers LDL and appears to
substantially slow progression of CCA-IMT in patients with treated HIV infection.
Future study is needed to determine whether subjects with higher levels of
inflammation or immune activation derive greater cardiovascular benefit from
statin therapy.
PMID- 27203717
TI - Transparency in Biomedical Research: Evidence-Based Reporting Guidelines.
PMID- 27203716
TI - Self-selection of male circumcision clients and behaviors following circumcision
in a service program in Uganda.
AB - OBJECTIVES: Sub-Saharan African countries have substantially scaled-up safe male
circumcision (SMC) services. However, it is unclear whether services are reaching
men most at risk of HIV and whether there is behavioral disinhibition after SMC.
We compared characteristics of SMC acceptors and nonacceptors in Rakai, Uganda.
DESIGN: Cohort design. METHODS: Through the Rakai Community Cohort Study,
baseline characteristics of 587 non-Muslim men who subsequently accepted SMC were
compared with those of 4907 uncircumcised non-Muslim men. Behaviors after SMC
were compared with those of men who remained uncircumcised. Poisson multivariable
regression was used to estimate adjusted prevalence rate ratios of behaviors in
circumcised versus uncircumcised men. RESULTS: At baseline (pre-SMC), men
subsequently circumcised were younger (mean = 26.1 years), as compared with the
uncircumcised (mean = 28.5 years, P < 0.001), more likely to live in urban areas
(21.1 versus 12.4%, P < 0.001), less likely to have been currently or previously
married (36.5 versus 45.8%, P < 0.001) and more likely to report multiple sexual
partners (48.3 versus 41.6%, P = 0.05) and genital discharge (7.4 versus 4.4%, P
= 0.03). At follow-up (post-SMC), behaviors and genital discharge did not differ
between the groups. Genital ulcers were less reported among circumcised (6.8%)
compared with uncircumcised men (10.5%; adjusted prevalence rate ratios = 0.60,
95% confidence interval = 0.42-0.87, P = 0.007). CONCLUSION: In Rakai district,
Uganda, the circumcision service program is attracting sexually active men at
higher risk of HIV and we find no evidence of behavioral disinhibition following
circumcision. The SMC program in this setting has the potential to reduce the HIV
epidemic among men.
PMID- 27203720
TI - Organ Dose and Attributable Cancer Risk in Lung Cancer Screening with Low-Dose
Computed Tomography.
AB - PURPOSE: Lung cancer screening with CT has been recently recommended for
decreasing lung cancer mortality. The radiation dose of CT, however, must be kept
as low as reasonably achievable for reducing potential stochastic risks from
ionizing radiation. The purpose of this study was to calculate individual
patients' lung doses and to estimate cancer risks in low-dose CT (LDCT) in
comparison with a standard dose CT (SDCT) protocol. MATERIALS AND METHODS: This
study included 47 adult patients (mean age 63.0 +/- 5.7 years) undergoing chest
CT on a third-generation dual-source scanner. 23/47 patients (49%) had a non
enhanced chest SDCT, 24 patients (51%) underwent LDCT at 100 kVp with spectral
shaping at a dose equivalent to a chest x-ray. 3D-dose distributions were
obtained from Monte Carlo simulations for each patient, taking into account their
body size and individual CT protocol. Based on the dose distributions, patient
specific lung doses were calculated and relative cancer risk was estimated
according to BEIR VII recommendations. RESULTS: As compared to SDCT, the LDCT
protocol allowed for significant organ dose and cancer risk reductions (p<0.001).
On average, lung dose was reduced from 7.7 mGy to 0.3 mGy when using LDCT, which
was associated with lowering of the cancer risk from 8.6 to 0.35 per 100'000
cases. A strong linear correlation between lung dose and patient effective
diameter was found for both protocols (R2 = 0.72 and R2 = 0.75 for SDCT and LDCT,
respectively). CONCLUSION: Use of a LDCT protocol for chest CT with a dose
equivalent to a chest x-ray allows for significant lung dose and cancer risk
reduction from ionizing radiation.
PMID- 27203722
TI - Circles of support and accountability: The characteristics of core members in
England and Wales.
AB - BACKGROUND: Circles of support and accountability, or Circles, use community
volunteers to help reintegrate sex offenders at risk of reoffending in the
community. AIMS: The aims of this study are to describe the first 275 male sex
offenders ('core members') in England and Wales supported by a Circle and to
compare those attending the five largest Circles. METHODS: As part of their
monitoring activity, 10 Circles extracted data from case files, anonymised it and
submitted it to Circles UK, the national oversight body. RESULTS: Circles have
expanded rapidly with 165 (60%) of Circles commencing in the three years 2011
2013 compared with 110 in the nine years 2002-2010. Most core members were
referred from the Probation Service (82%). Circles were provided to men with a
range of predicted risks of reoffending - from low (26%) to very high (12%).
There were some positive changes between the beginning and end of Circles, such
as fewer men being unemployed and more living in their own chosen accommodation.
CONCLUSIONS/IMPLICATIONS FOR PRACTICE: Circles have been used to support the
reintegration of a wide range of sex offenders. Given their rapid growth and
flexibility, consistent recording standards are required across. These standards
should be reviewed periodically to ensure all important fields of change are
captured, including frequency of attendance, length per session and quality of
engagement in the work. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27203721
TI - Australian Cattle Dogs with Neuronal Ceroid Lipofuscinosis are Homozygous for a
CLN5 Nonsense Mutation Previously Identified in Border Collies.
AB - BACKGROUND: Neuronal ceroid lipofuscinosis (NCL), a fatal neurodegenerative
disease, has been diagnosed in young adult Australian Cattle Dogs. OBJECTIVE:
Characterize the Australian Cattle Dog form of NCL and determine its molecular
genetic cause. ANIMALS: Tissues from 4 Australian Cattle Dogs with NCL-like signs
and buccal swabs from both parents of a fifth affected breed member. Archived DNA
samples from 712 individual dogs were genotyped. METHODS: Tissues were examined
by fluorescence, electron, and immunohistochemical microscopy. A whole-genome
sequence was generated for 1 affected dog. A TaqMan allelic discrimination assay
was used for genotyping. RESULTS: The accumulation of autofluorescent cytoplasmic
storage material with characteristic ultrastructure in tissues from the 4
affected dogs supported a diagnosis of NCL. The whole-genome sequence contained a
homozygous nonsense mutation: CLN5:c.619C>T. All 4 DNA samples from clinically
affected dogs tested homozygous for the variant allele. Both parents of the fifth
affected dog were heterozygotes. Archived DNA samples from 346 Australian Cattle
Dogs, 188 Border Collies, and 177 dogs of other breeds were homozygous for the
reference allele. One archived Australian Cattle Dog sample was from a
heterozygote. CONCLUSIONS AND CLINICAL IMPORTANCE: The homozygous CLN5 nonsense
is almost certainly causal because the same mutation previously had been reported
to cause a similar form of NCL in Border Collies. Identification of the molecular
genetic cause of Australian Cattle Dog NCL will allow the use of DNA tests to
confirm the diagnosis of NCL in this breed.
PMID- 27203724
TI - Nanoscale Synthesis of Two Porphyrin-Based MOFs with Gallium and Indium.
AB - Two porphyrin-based metal-organic frameworks (MOFs) containing gallium or indium,
[Ga2(OH)2(H2TCPP)].3DMF.3H2O (Ga-PMOF) and [In2(OH)2(H2TCPP)].3DMF.4H2O (In-PMOF)
(H6TCPP = 4-tetracarboxyphenylporphyrin), were discovered using high-throughput
methods. The structure was refined by the Rietveld-method starting from the
structure model of Al-PMOF, [Al2(OH)2(H2TCPP)]. The new PMOFs exhibit BET surface
areas between 1150 and 1400 m(2) g(-1) and are also porous toward CO2 (Ga-PMOF,
15.2 wt %; In-PMOF, 12.9 wt %). They are thermally stable in air up to 330
degrees C, but show limited chemical stabilities toward acids and bases. In order
to achieve size control, different synthesis routes were investigated, i.e.,
batch synthesis at different temperatures (yield: In-PMOF-bs-th 96%, Ga-PMOF-bs
th 87%), ultrasound-assisted synthesis (yield: In-PMOF-bs-us 85%), and continuous
flow synthesis (yield: Ga-PMOF-cf 71%). By using these different methods we could
control the nucleation rate and the crystal size. The crystal sizes were found to
vary about 60 to 160 nm and 70 to 130 nm for Ga- and In-PMOF, respectively, which
was proven by dynamic light scattering (DLS), powder X-ray diffraction (PXRD),
scanning electron microscopy (SEM), and transmission electron microscopy (TEM)
measurements.
PMID- 27203723
TI - Mass Spectrometric-Based Selected Reaction Monitoring of Protein Phosphorylation
during Symbiotic Signaling in the Model Legume, Medicago truncatula.
AB - Unlike the major cereal crops corn, rice, and wheat, leguminous plants such as
soybean and alfalfa can meet their nitrogen requirement via endosymbiotic
associations with soil bacteria. The establishment of this symbiosis is a complex
process playing out over several weeks and is facilitated by the exchange of
chemical signals between these partners from different kingdoms. Several plant
components that are involved in this signaling pathway have been identified, but
there is still a great deal of uncertainty regarding the early events in
symbiotic signaling, i.e., within the first minutes and hours after the rhizobial
signals (Nod factors) are perceived at the plant plasma membrane. The presence of
several protein kinases in this pathway suggests a mechanism of signal
transduction via posttranslational modification of proteins in which phosphate is
added to the hydroxyl groups of serine, threonine and tyrosine amino acid side
chains. To monitor the phosphorylation dynamics and complement our previous
untargeted 'discovery' approach, we report here the results of experiments using
a targeted mass spectrometric technique, Selected Reaction Monitoring (SRM) that
enables the quantification of phosphorylation targets with great sensitivity and
precision. Using this approach, we confirm a rapid change in the level of
phosphorylation in 4 phosphosites of at least 4 plant phosphoproteins that have
not been previously characterized. This detailed analysis reveals aspects of the
symbiotic signaling mechanism in legumes that, in the long term, will inform
efforts to engineer this nitrogen-fixing symbiosis in important non-legume crops
such as rice, wheat and corn.
PMID- 27203725
TI - Regional lung function determined by electrical impedance tomography during
bronchodilator reversibility testing in patients with asthma.
AB - The measurement of rapid regional lung volume changes by electrical impedance
tomography (EIT) could determine regional lung function in patients with
obstructive lung diseases during pulmonary function testing (PFT). EIT
examinations carried out before and after bronchodilator reversibility testing
could detect the presence of spatial and temporal ventilation heterogeneities and
analyse their changes in response to inhaled bronchodilator on the regional
level. We examined seven patients suffering from chronic asthma (49 +/- 19
years, mean age +/- SD) using EIT at a scan rate of 33 images s(-1) during
tidal breathing and PFT with forced full expiration. The patients were studied
before and 5, 10 and 20 min after bronchodilator inhalation. Seven age- and sex
matched human subjects with no lung disease history served as a control study
group. The spatial heterogeneity of lung function measures was quantified by the
global inhomogeneity indices calculated from the pixel values of tidal volume,
forced expiratory volume in one second (FEV1), forced vital capacity (FVC), peak
flow and forced expiratory flow between 25% and 75% of FVC as well as histograms
of pixel FEV1/FVC values. Temporal heterogeneity was assessed using the pixel
values of expiration times needed to exhale 75% and 90% of pixel FVC. Regional
lung function was more homogeneous in the healthy subjects than in the patients
with asthma. Spatial and temporal ventilation distribution improved in the
patients with asthma after the bronchodilator administration as evidenced mainly
by the histograms of pixel FEV1/FVC values and pixel expiration times. The
examination of regional lung function using EIT enables the assessment of spatial
and temporal heterogeneity of ventilation distribution during bronchodilator
reversibility testing. EIT may become a new tool in PFT, allowing the estimation
of the natural disease progression and therapy effects on the regional and not
only global level.
PMID- 27203727
TI - Quantum Mechanical Description of Raman Scattering from Molecules in Plasmonic
Cavities.
AB - Plasmon-enhanced Raman scattering can push single-molecule vibrational
spectroscopy beyond a regime addressable by classical electrodynamics. We employ
a quantum electrodynamics (QED) description of the coherent interaction of
plasmons and molecular vibrations that reveal the emergence of nonlinearities in
the inelastic response of the system. For realistic situations, we predict the
onset of phonon-stimulated Raman scattering and a counterintuitive dependence of
the anti-Stokes emission on the frequency of excitation. We further show that
this QED framework opens a venue to analyze the correlations of photons emitted
from a plasmonic cavity.
PMID- 27203726
TI - A Two-Year Review on Epidemiology and Clinical Characteristics of Dengue Deaths
in Malaysia, 2013-2014.
AB - BACKGROUND: Dengue infection is the fastest spreading mosquito-borne viral
disease, which affects people living in the tropical and subtropical countries.
Malaysia had large dengue outbreaks in recent years. We aimed to study the
demographics and clinical characteristics associated with dengue deaths in
Malaysia. METHODS: We conducted a retrospective review on all dengue deaths that
occurred nationwide between 1st January 2013 and 31st December 2014. Relevant
data were extracted from mortality review reports and investigational forms.
These cases were categorized into children (<15 years), adults (15-59 years) and
elderly (>=60 years) to compare their clinical characteristics. RESULTS: A total
of 322 dengue deaths were reviewed. Their mean age was 40.7+/-19.30 years, half
were females and 72.5% were adults. The median durations of first medical
contact, and hospitalization were 1 and 3 days, respectively. Diabetes and
hypertension were common co-morbidities among adults and elderly. The most common
warning signs reported were lethargy and vomiting, with lethargy (p = 0.038)
being more common in children, while abdominal pain was observed more often in
the adults (p = 0.040). But 22.4% did not have any warning signs. Only 34% were
suspected of dengue illness at their initial presentation. More adults developed
severe plasma leakage (p = 0.018). More than half (54%) suffered from multi-organ
involvement, and 20.2% were free from any organ involvement. Dengue deaths
occurred at the median of 3 days post-admission. Dengue shock syndrome (DSS)
contributed to more than 70% of dengue deaths, followed by severe organ
involvement (69%) and severe bleeding (29.7%). CONCLUSION: In Malaysia, dengue
deaths occurred primarily in adult patients. DSS was the leading cause of death,
regardless of age groups. The atypical presentation and dynamic progression of
severe dengue in this cohort prompts early recognition and aggressive
intervention to prevent deaths. TRIAL REGISTRATION: National Medical Research
Registry (NMRR, NMRR-14-1374-23352).
PMID- 27203728
TI - Zinc Finger Domain of the PRDM9 Gene on Chromosome 1 Exhibits High Diversity in
Ruminants but Its Paralog PRDM7 Contains Multiple Disruptive Mutations.
AB - PRDM9 is the sole hybrid sterility gene identified so far in vertebrates. PRDM9
gene encodes a protein with an immensely variable zinc-finger (ZF) domain that
determines the site of meiotic recombination hotspots genome-wide. In this study,
the terminal ZF domain of PRDM9 on bovine chromosome 1 and its paralog on
chromosome 22 were characterized in 225 samples from five ruminant species
(cattle, yak, mithun, sheep and goat). We found extraordinary variation in the
number of PRDM9 zinc fingers (6 to 12). We sequenced PRDM9 ZF encoding region
from 15 individuals (carrying the same ZF number in both copies) and found 43
different ZF domain sequences. Ruminant zinc fingers of PRDM9 were found to be
diversifying under positive selection and concerted evolution, specifically at
positions involved in defining their DNA-binding specificity, consistent with the
reports from other vertebrates such as mice, humans, equids and chimpanzees. ZF
encoding regions of the PRDM7, a paralog of PRDM9 on bovine chromosome 22 and on
unknown chromosomes in other studied species were found to contain 84 base repeat
units as in PRDM9, but there were multiple disruptive mutations after the first
repeat unit. The diversity of the ZFs suggests that PRDM9 may activate
recombination hotspots that are largely unique to each ruminant species.
PMID- 27203729
TI - Serotonin-containing neurons in basal insects: In search of ground patterns among
tetraconata.
AB - The ventral nerve cord of Tetraconata contains a comparably low number of
serotonin-immunoreactive neurons, facilitating individual identification of cells
and their characteristic neurite morphology. This offers the rather unique
possibility of establishing homologies at the single cell level. Because
phylogenetic relationships within Tetraconata are still discussed
controversially, comparisons of individually identifiable neurons can help to
unravel these issues. Serotonin immunoreactivity has been investigated in
numerous tetraconate taxa, leading to reconstructions of hypothetical ground
patterns for major lineages. However, detailed descriptions of basal insects are
still missing, but are crucial for meaningful evolutionary considerations. We
investigated the morphology of individually identifiable serotonin-immunoreactive
neurons in the ventral nerve cord of Zygentoma (Thermobia domestica, Lepisma
saccharina, Atelura formicaria) and Archaeognatha (Machilis germanica, Dilta
hibernica). To improve immunocytochemical resolution, we also performed
preincubation experiments with 5-hydroxy-L-tryptophan and serotonin.
Additionally, we checked for immunolabeling of tryptophan hydroxylase, an enzyme
associated with the synthesis of serotonin. Besides the generally identified
groups of anterolateral, medial, and posterolateral neurons within each ganglion
of the ventral nerve cord, we identified several other immunoreactive cells,
which seem to have no correspondence in other tetraconates. Furthermore, we show
that not all immunoreactive neurons produce serotonin, but have the capability
for serotonin uptake. Comparisons with the patterns of serotonin-containing
neurons in major tetraconate taxa suggest a close phylogenetic relationship of
Remipedia, Cephalocarida, and Hexapoda, supporting the Miracrustacea hypothesis.
J. Comp. Neurol., 2016. (c) 2016 Wiley Periodicals, Inc. J. Comp. Neurol. 525:79
115, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27203730
TI - As Opioid Prescribing Guidelines Tighten, Mindfulness Meditation Holds Promise
for Pain Relief.
PMID- 27203731
TI - [Histological Techniques].
PMID- 27203732
TI - [Cosmetic Eyelid Surgery].
PMID- 27203733
TI - [Anaesthesia in Ophthalmic Surgery].
PMID- 27203735
TI - Surface CuO, Bi2O3, and CeO2 Species Supported in TiO2-Anatase: Study of
Interface Effects in Toluene Photodegradation Quantum Efficiency.
AB - The enhancement of active triggered by surface deposition of Cu, Bi, and Ce
containing oxidic species onto a high surface area anatase is analyzed through
the calculation of the quantum efficiency for toluene photodegradation under UV
and Sunlight-type illumination. To this end, series of Cu, Bi, and Ce containing
oxides supported on anatase were synthesized having a growing content of the Cu,
Bi, and Ce surface species and characterized with X-ray diffraction and
photoelectron, UV-visible, and photoluminescence spectroscopies as well as
transmission electron microscopy. Utilizing the surface concentration of Cu, Bi,
and Ce species as a tool, we analyzed the influence of the system physicochemical
properties affecting quantum efficiency in anatase-based materials. First,
employing small surface concentrations of the Cu, Bi, and Ce species deposited
onto (the unperturbed) anatase, we provided evidence that all steps of the
photocatalytic event, including light absorption, charge recombination, as well
as surface interaction with the pollutant and chemical output as to activity and
selectivity have significance in the quantitative assessment of the enhancement
of the efficiency parameter. Second, we analyzed samples rendering maximum
quantum efficiency within all these series of materials. The study indicates that
maximum enhancement over anatase displays a magnitude strongly dependent on the
efficiency level of calculation and would thus require the use of the most
accurate one, and that it occurs through a balance between optoelectronic and
chemical properties of the composite materials. The (Cu, Bi, Ce) oxide-anatase
interface plays a major role modulating the optoelectronic properties of the
solids and thus the efficiency observable.
PMID- 27203734
TI - Pharmacokinetics, efficacy, and safety of a plasma-derived VWF/FVIII concentrate
(VONCENTO) for on-demand and prophylactic treatment in patients with von
Willebrand disease (SWIFT-VWD study).
AB - VONCENTO (CSL Behring Gmbh, Marburg, Germany) is a plasma-derived, high
concentration, lower volume [relative to HAEMATE P (CSL Behring)], high-purity
von Willebrand factor (VWF)/factor VIII (FVIII) concentrate with a VWF/FVIII
ratio similar to HAEMATE P. This open-label, multicentre study investigated the
pharmacokinetic, haemostatic efficacy, and safety profiles of VONCENTO in study
participants at least 12 years of age with von Willebrand disease (VWD) who
required treatment of nonsurgical bleeding (NSB) events or underwent surgery or
prophylaxis. The first 12-month on-demand treatment period comprised a
pharmacokinetic investigation and an efficacy analysis. After 12 months,
qualifying study participants were switched to prophylactic therapy and included
in a further 12-month efficacy analysis. In total, 21 study participants
(including three adolescents, and 13 study participants with VWD type 3) received
VONCENTO as on-demand treatment for 12 months. 'Excellent'/'good' haemostatic
efficacy was achieved in 98.3% of the 407 NSB events assessed by investigators.
Following the switch to prophylactic treatment, the total number of NSBs in eight
patients markedly decreased from 304 to 10 (with haemostatic efficacy judged to
be 'excellent' for all). The annualised bleeding rate also significantly
decreased from a median of 26.5 events to one event. Safety assessments showed no
inhibitory antibodies to either FVIII or VWF, no transmission of infectious
agents, no thromboembolic events and no treatment-related serious adverse events.
VONCENTO was shown to be well tolerated and provided excellent haemostatic
efficacy in the treatment of bleeds or during prophylaxis in study participants
with VWD, including also those with type 3, the severest form of VWD.
PMID- 27203736
TI - Site-Specific Modulation of Charge Controls the Structure and Stimulus
Responsiveness of Intrinsically Disordered Peptide Brushes.
AB - Intrinsically disordered proteins (IDPs) are an important and emerging class of
materials for tailoring biointerfaces. While the importance of chain charge and
resultant electrostatic interactions in controlling conformational properties of
IDPs is beginning to be explored through in silico approaches, there is a dearth
of experimental studies motivated toward a systematic study of these effects. In
an effort to explore this relationship, we measured the conformations of two
peptides derived from the intrinsically disordered neurofilament (NF) side arm
domain: one depicting the wild-type sequence with four lysine-serine-proline
repeats (KSP peptide) and another in which the serine residues were replaced with
aspartates (KDP peptide), a strategy sometimes used to mimic phosphorylation.
Using a variety of biophysical measurements including a novel application of
scanning angle interference microscopy, we demonstrate that the KDP peptide
assumes comparatively more expanded conformations in solution and forms
significantly thicker brushes when immobilized on planar surfaces at high
densities. In both settings, the peptides respond to changes in ambient ionic
strength, with each peptide showing distinct stimulus-responsive characteristics.
While the KDP peptide undergoes compaction with increasing ionic strength as
would be expected for a polyampholyte, the KSP peptide shows biphasic behavior,
with an initial compaction followed by an expanded state at a higher ionic
strength. Together these results support the notion that modulation of charge on
IDPs can regulate conformational and interfacial properties.
PMID- 27203737
TI - The Feasibility of Developing an Inpatient Acupuncture Program at a Tertiary Care
Pediatric Hospital.
AB - OBJECTIVES: Use of complementary and integrative health approaches has increased
significantly in recent decades, with hospital-based acupuncture programs
becoming more common. This article presents the feasibility of developing an
inpatient acupuncture program at a pediatric hospital. DESIGN AND SETTING: In
January 2014, Seattle Children's Hospital, a tertiary care pediatric hospital
serving patients from a five-state region, began a 6-month pilot project offering
inpatient acupuncture. During the pilot, inpatient acupuncture and related manual
therapies were provided to pediatric patients age 0-23 years who were admitted to
Seattle Children's Hospital or were seen for an outpatient surgical procedure.
MEASURES: The following data were collected: the reason for the acupuncture
consult, type and number of treatments provided, any reported response to
treatment, and any reported adverse events. Patients and referring providers gave
feedback via questionnaires. RESULTS: During the pilot program, 338 treatments
were provided to 87 patients. High interest, demand, and positive feedback from
hospital providers, patients, and families led to the development of a full-time
inpatient acupuncture program. CONCLUSIONS: The positive response to Seattle
Children's inpatient acupuncture program with feasibility and acceptability
demonstrated by increasing consults and patient and provider questionnaire data
suggest that similar programs may be of interest to other pediatric hospitals.
PMID- 27203738
TI - Targeted next generation sequencing of endoscopic ultrasound acquired cytology
from ampullary and pancreatic adenocarcinoma has the potential to aid patient
stratification for optimal therapy selection.
AB - BACKGROUND & AIMS: Less than 10% of registered drug intervention trials for
pancreatic ductal adenocarcinoma (PDAC) include a biomarker stratification
strategy. The ability to identify distinct mutation subsets via endoscopic
ultrasound fine needle aspiration (EUS FNA) molecular cytology could greatly aid
clinical trial patient stratification and offer predictive markers. We identified
chemotherapy treatment naive ampullary adenocarcinoma and PDAC patients who
underwent EUS FNA to assess multigene mutational frequency and diversity with a
surgical resection concordance assessment, where available. METHODS: Following
strict cytology smear screening criteria, targeted next generation sequencing
(NGS) using a 160 cancer gene panel was performed. RESULTS: Complete sequencing
was achieved in 29 patients, whereby 83 pathogenic alterations were identified in
21 genes. Cytology genotyping revealed that the majority of mutations were
identified in KRAS (93%), TP53 (72%), SMAD4 (31%), and GNAS (10%). There was 100%
concordance for the following pathogenic alterations: KRAS, TP53, SMAD4, KMT2D,
NOTCH2, MSH2, RB1, SMARCA4, PPP2R1A, PIK3R1, SCL7A8, ATM, and FANCD2. Absolute
multigene mutational concordance was 83%. Incremental cytology smear mutations in
GRIN2A, GATA3 and KDM6A were identified despite re-examination of raw sequence
reads in the corresponding resection specimens. CONCLUSIONS: EUS FNA cytology
genotyping using a 160 cancer gene NGS panel revealed a broad spectrum of
pathogenic alterations. The fidelity of cytology genotyping to that of paired
surgical resection specimens suggests that EUS FNA represents a suitable
surrogate and may complement the conventional stratification criteria in decision
making for therapies and may guide future biomarker driven therapeutic
development.
PMID- 27203739
TI - Radiobiological modeling of two stereotactic body radiotherapy schedules in
patients with stage I peripheral non-small cell lung cancer.
AB - This study aims to compare the radiobiological response of two stereotactic body
radiotherapy (SBRT) schedules for patients with stage I peripheral non-small cell
lung cancer (NSCLC) using radiobiological modeling methods. Volumetric modulated
arc therapy (VMAT)-based SBRT plans were designed using two dose schedules of 1 *
34 Gy (34 Gy in 1 fraction) and 4 * 12 Gy (48 Gy in 4 fractions) for 19 patients
diagnosed with primary stage I NSCLC. Dose to the gross target volume (GTV),
planning target volume (PTV), lung and chest wall (CW) were converted to
biologically equivalent dose in 2 Gy fraction (EQD2) for comparison. Five
different radiobiological models were employed to predict the tumor control
probability (TCP) value. Three additional models were utilized to estimate the
normal tissue complication probability (NTCP) value for the lung and the modified
equivalent uniform dose (mEUD) value to the CW. Our result indicates that the 1 *
34 Gy dose schedule provided a higher EQD2 dose to the tumor, lung and CW.
Radiobiological modeling revealed that the TCP value for the tumor, NTCP value
for the lung and mEUD value for the CW were 7.4% (in absolute value), 7.2% (in
absolute value) and 71.8% (in relative value) higher on average, respectively,
using the 1 * 34 Gy dose schedule.
PMID- 27203740
TI - MiR-193a-5p/ERBB2 act as concurrent chemoradiation therapy response indicator of
esophageal squamous cell carcinoma.
AB - Concurrent chemoradiation therapy (CCRT) is the predominant treatment in
esophageal cancer, however resistance to therapy and tumor recurrence are
exceedingly common. Elevated ERBB2/Her2 may be at least partially responsible for
both the high rates of recurrence and resistance to CCRT. This receptor tyrosine
kinase is upregulated in 10-20% of esophageal squamous cell carcinoma (ESCC)
tissues, and amplification of ERBB2 has been correlated with poor prognosis in
esophageal cancer. Tissues from 131 ESCC patients, along with cell and animal
models of the disease were used to probe the underlying mechanisms by which ERBB2
upregulation occurs and causes negative outcomes in ESCC. We found that
overexpression of ERBB2 inhibited radiosensitivity in vitro. Furthermore, miR
193a-5p reduced ERBB2 expression by directly targeting the 3'UTR. Increased miR
193a-5p enhanced radiosensitivity and inhibited tumorigenesis in vitro and in
vivo. Additionally, low miR-193a-5p expression correlated with poor prognosis in
ESCC patients, and ESCC patients with good CCRT response exhibited higher miR
193a-5p expression. Our data suggest that patients with high miR-193a-5p will
likely benefit from CCRT treatment alone, however a combination of CCRT with
Herceptin may be beneficial for patients with low miR-193a-5p expression.
PMID- 27203741
TI - Metastatic site-specific polarization of macrophages in intracranial breast
cancer metastases.
AB - In contrast to primary tumors, the understanding of macrophages within metastases
is very limited. In order to compare macrophage phenotypes between different
metastatic sites, we established a pre-clinical mouse model of intracranial
breast cancer metastasis in which cancer lesions develop simultaneously within
the brain parenchyma and the dura. This mimics a situation that is commonly
occurring in the clinic. Flow cytometry analysis revealed significant differences
in the activation state of metastasis-associated macrophages (MAMs) at the two
locations. Concurrently, gene expression analysis identified significant
differences in molecular profiles of cancer cells that have metastasized to the
brain parenchyma as compared to the dura. This included differences in
inflammation-related pathways, NF-kB1 activity and cytokine profiles. The most
significantly upregulated cytokine in brain parenchyma- versus dura-derived
cancer cells was Lymphotoxin beta and a gain-of-function approach demonstrated a
direct involvement of this factor in the M2 polarization of parenchymal MAMs.
This established a link between metastatic site-specific properties of cancer
cells and the MAM activation state.
PMID- 27203743
TI - The anti-HER3 (ErbB3) therapeutic antibody 9F7-F11 induces HER3 ubiquitination
and degradation in tumors through JNK1/2- dependent ITCH/AIP4 activation.
AB - We characterized the mechanism of action of the neuregulin-non-competitive anti
HER3 therapeutic antibody 9F7-F11 that blocks the PI3K/AKT pathway, leading to
cell cycle arrest and apoptosis in vitro and regression of pancreatic and breast
cancer in vivo. We found that 9F7-F11 induces rapid HER3 down-regulation.
Specifically, 9F7-F11-induced HER3 ubiquitination and degradation in pancreatic,
breast and prostate cancer cell lines was driven mainly by the itchy E3 ubiquitin
ligase (ITCH/AIP4). Overexpression of the ITCH/AIP4 inhibitor N4BP1 or small
interfering RNA-mediated knockdown of ITCH/AIP4 inhibited HER3
ubiquitination/degradation and PI3K/AKT signaling blockade induced by 9F7-F11.
Moreover, 9F7-F11-mediated JNK1/2 phosphorylation led to ITCH/AIP4 activation and
recruitment to HER3 for receptor ubiquitination and degradation. ITCH/AIP4
activity was activated by the deubiquitinases USP8 and USP9X, as demonstrated by
RNA interference. Taken together, our results suggest that 9F7-F11-induced HER3
ubiquitination and degradation in cancer cells mainly occurs through JNK1/2
dependent ITCH/AIP4 activation.
PMID- 27203744
TI - TAT-IL-24-KDEL-induced apoptosis is inhibited by survivin but restored by the
small molecular survivin inhibitor, YM155, in cancer cells.
AB - Interleukin-24 (IL-24) is a cytokine belonging to the IL-10 gene family. This
cytokine selectively induces apoptosis in cancer cells, without harming normal
cells, through a mechanism involving endoplasmic reticulum (ER) stress response.
TAT-IL-24-KDEL is a fusion protein that efficiently enters the tumor cells and
locates in the ER. Here we report that TAT-IL-24-KDEL induced apoptosis in human
cancer cells, mediated by the ER stress cell death pathway. This process was
accompanied by the inhibition of the transcription of an antiapoptotic protein,
survivin. The forced expression of survivin partially protected cancer cells from
the induction of apoptosis by TAT-IL-24-KDEL, increased their clonogenic
survival, and attenuated TAT-IL-24-KDEL-induced activation of caspase-3/7. RNA
interference of survivin markedly sensitized the transformed cells to TAT-IL-24
KDEL. Survivin was expressed at higher levels among isolated clones that
resistant to TAT-IL-24-KDEL. These observations show the important role of
survivin in attenuating cancer-specific apoptosis induced by TAT-IL-24-KDEL. The
pharmacological inhibition of survivin expression by a selective small-molecule
survivin suppressant YM155 synergistically sensitized cancer cells to TAT-IL-24
KDEL-induced apoptosis in vitro and in vivo. The combined regimen caused
significantly higher activation of ER stress and dysfunction of mitochondria than
either treatment alone. As survivin is overexpressed in a majority of cancers,
the combined TAT-IL-24-KDEL and YM155 treatment provides a promising alternative
to the existing therapies.
PMID- 27203742
TI - Glutaredoxin 3 promotes nasopharyngeal carcinoma growth and metastasis via
EGFR/Akt pathway and independent of ROS.
AB - Glutaredoxin 3 (GLRX3) is antioxidant enzyme, maintaining a low level of ROS,
thus contributing to the survival and metastasis of several types of cancer.
However, the expression and functions of GLRX3 have not been addressed in
nasopharyngeal carcinoma (NPC). In this study, we found that GLRX3 was
overexpressed in NPC. Knockdown of GLRX3 in NPC cell lines inhibited
proliferation in vitro, tumorignesis in vivo, and colony formation. In addition,
GLRX3 knockdown decreased the migration and invasion capacity of NPC cells by
reversing the epithelial-mesenchymal transition (EMT). Furthermore, stabilization
of GLRX3 was positively related to with epidermal growth factor receptor (EGFR)
expression and negatively with ROS generation. Phosphorylation of Akt, a key
downstream effector, was induced by EGFR signaling but did not rely on increasing
ROS level in NPC cells. GLRX3 might be an oncoprotein in NPC, playing important
roles in increasing redox reaction and activating EGFR/ Akt signals, so it may be
a therapeutic target for NPC.
PMID- 27203746
TI - Structure and gene cluster of the O-antigen of Escherichia coli O133.
AB - The O-specific polysaccharide (O-antigen) of Escherichia coli O133 was obtained
by mild acid hydrolysis of the lipopolysaccharide of E. coli O133. The structure
of the hexasaccharide repeating unit of the polysaccharide was elucidated by (1)H
and (13)C NMR spectroscopy, including a two-dimensional (1)H-(1)H ROESY
experiment: Functions of genes in the O-antigen gene cluster were putatively
identified by comparison with sequences in the available databases and,
particularly, an encoded predicted multifunctional glycosyltransferase was
assigned to three alpha-l-rhamnosidic linkages.
PMID- 27203745
TI - Effects of substrate stiffness and cell-cell contact on mesenchymal stem cell
differentiation.
AB - The mechanical properties of the microenvironment and direct contact-mediated
cell-cell interactions are two variables known to be important in the
determination of stem cell differentiation fate, but little is known about the
interplay of these cues. Here, we use a micropatterning approach on
polyacrylamide gels of tunable stiffnesses to study how homotypic cell-cell
contacts and mechanical stiffness affect different stages of osteogenesis of
mesenchymal stem cells (MSCs). Nuclear localization of transcription factors
associated with osteogenesis depended on substrate stiffness and was independent
of the degree of cell-cell contact. However, expression of alkaline phosphatase,
an early protein marker for osteogenesis, increased only in cells with both
direct contact with neighboring cells and adhesion to stiffer substrates.
Finally, mature osteogenesis, as assessed by calcium deposition, was low in
micropatterned cells, even on stiff substrates and in multicellular clusters.
These results indicate that substrate stiffness and the presence of neighboring
cells regulate osteogenesis in MSCs.
PMID- 27203748
TI - Evaluation of plan quality assurance models for prostate cancer patients based on
fully automatically generated Pareto-optimal treatment plans.
AB - IMRT planning with commercial Treatment Planning Systems (TPSs) is a trial-and
error process. Consequently, the quality of treatment plans may not be consistent
among patients, planners and institutions. Recently, different plan quality
assurance (QA) models have been proposed, that could flag and guide improvement
of suboptimal treatment plans. However, the performance of these models was
validated using plans that were created using the conventional trail-and-error
treatment planning process. Consequently, it is challenging to assess and compare
quantitatively the accuracy of different treatment planning QA models. Therefore,
we created a golden standard dataset of consistently planned Pareto-optimal IMRT
plans for 115 prostate patients. Next, the dataset was used to assess the
performance of a treatment planning QA model that uses the overlap volume
histogram (OVH). 115 prostate IMRT plans were fully automatically planned using
our in-house developed TPS Erasmus-iCycle. An existing OVH model was trained on
the plans of 58 of the patients. Next it was applied to predict DVHs of the
rectum, bladder and anus of the remaining 57 patients. The predictions were
compared with the achieved values of the golden standard plans for the rectum D
mean, V 65, and V 75, and D mean of the anus and the bladder. For the rectum, the
prediction errors (predicted-achieved) were only -0.2 +/- 0.9 Gy (mean +/- 1
SD) for D mean,-1.0 +/- 1.6% for V 65, and -0.4 +/- 1.1% for V 75. For D
mean of the anus and the bladder, the prediction error was 0.1 +/- 1.6 Gy and
4.8 +/- 4.1 Gy, respectively. Increasing the training cohort to 114 patients
only led to minor improvements. A dataset of consistently planned Pareto-optimal
prostate IMRT plans was generated. This dataset can be used to train new, and
validate and compare existing treatment planning QA models, and has been made
publicly available. The OVH model was highly accurate in predicting rectum and
anus DVHs. For the bladder, larger prediction errors were observed.
PMID- 27203747
TI - Relation between Liver Transaminases and Dyslipidaemia among 2-10 y.o. Northern
Mexican Children.
AB - BACKGROUND AND AIMS: The increase in overweight and obese children may be linked
to increased rates of liver damage and dyslipidaemia. This study aimed to explore
the associations of liver biomarkers with overweight/obesity and dyslipidaemia in
Mexican children. METHODS: The study was a population-based cross-sectional
nutritional survey carried out in the State of Nuevo Leon, Mexico. The study
included a 414 subjects aged between 2 and 10 years old (47.8% girls) who took
part in the State Survey of Nutrition and Health-Nuevo Leon 2011/2012.
Associations between alanine aminotransferase (ALT) and aspartate
aminotransferase (AST), ALT/AST ratio, and major components of serum lipid
profile were assessed. RESULTS: Children with high ALT (defined as >=P75) showed
higher prevalence of dyslipidaemia than their counterparts, with high prevalence
of high TChol (P = 0.053), non-HDL-chol, TG, and low HDL-chol. Children with an
AST/ALT >=T3 ratio were 0.43-times (95% CI: 0.25-0.74) and 0.27-times (95% CI:
0.17-0.44) low likely to be overweight/obese and to have dyslipidaemia than those
with an AST/ALT =50t and their total emissions, by the percent of the day each postal code was
downwind; ii) Daily levels of these pollutants at central ambient monitoring
stations nearby the industries and the children's residences. RESULTS: Seventy
one major industries were selected between QC and BC, with a total of 2868 cases
included in our analyses. More cases were exposed to emissions from major
industries in QC than in BC (e.g. 2505 admissions near SO2 industrial emitters in
QC vs 334 in BC), although air pollutant levels were similar. Odds ratios (ORs)
for crude refinery and smelter emissions were positive in QC but more variable in
BC. For example with PM2.5 in QC, ORs were 1.13 per 0.15t/day (95% CI: 1.00-1.27)
and 1.03 (95% CI: 0.99-1.07) for refinery and smelter emissions, respectively.
Pooled results of QC and BC for crude total SO2 emissions from all sources
indicated a 1% increase (0-3%) in odds of hospital admissions per 1.50t/day
increase in exposure. Associations with measured pollutant levels were only seen
in BC, with SO2 and NO2. CONCLUSION: Hospital admissions for wheezing diseases in
young children were associated with community exposure to industrial air
pollutant emissions. Future work is needed to better assess the risk of exposure
to complex mixture of air pollutants from multiple industrial sources.
PMID- 27203783
TI - Clamping of RNA with PNA enables targeting of microRNA.
AB - To be able to target microRNAs also at stages where these are in a double
stranded or hairpin form we have studied BisPNA designed to clamp the target and
give sufficient affinity to allow for strand invasion. We show that BisPNA
complexes are more stable with RNA than with DNA. In addition, 24-mer BisPNA
(AntimiR) constructs form complexes with a hairpin RNA that is a model of the
microRNA miR-376b, suggesting that PNA-clamping may be an effective way of
targeting microRNAs.
PMID- 27203782
TI - The bioaccessibility of polychlorinated biphenyls (PCBs) and polychlorinated
dibenzo-p-dioxins/furans (PCDD/Fs) in cooked plant and animal origin foods.
AB - In this study, we compared the effect of boiling and frying food preparation
methods in determining the bioaccessibility of polychlorinated biphenyls (PCBs)
and polychlorinated dibenzo-p-dioxins/furans (PCDD/Fs) in rice, cabbage, milk
powder, eggs, beef, and fresh water fish. We then used these data to calculate a
toxic equivalent (TEQ) for risk assessment and compared it to published values
that did not account for bioaccessibility. When the foods were prepared by
boiling, the mean bioaccessibility (%) in rice (PCBs: 16.5+/-1.0, PCDD/Fs: 4.9+/
0.3) and cabbage (PCBs: 4.2+/-0.9, PCDD/Fs: 1.9+/-0.7) were lower than in animal
origin foods (beef, PCBs: 49.0+/-3.3, PCDD/Fs: 7.8+/-0.9; egg, PCBs: 29.7+/-3.1,
PCDD/Fs: 8.6+/-1.3; fish, PCBs: 26.9+/-2.5, PCDD/Fs: 7.9+/-1.3; milk powder,
PCBs: 72.3+/-1.6, PCDD/Fs: 28.4+/-1.2). When fried in cooking oil, the
bioaccessibilities of all analytes in all foods increased, but the increase in
plant based foods (rice, PCBs: 3.4*, PCDD/Fs: 3.6*; cabbage, PCBs: 10.3*,
PCDD/Fs: 7.9*) was greater than that of animal origin foods (beef, PCBs: 1.6*,
PCDD/Fs: 3.4*; egg, PCBs: 2.1*, PCDD/Fs: 1.8*; fish, PCBs: 2.8, PCDD/Fs: 3.2*).
Comparison of PCBs/PCDD/Fs bioaccessibility in rice and cabbage showed that
bioaccessibility was greater in the low fat, high carbohydrate/protein content
food (rice) than in the low carbohydrate/protein, low fat content food (cabbage),
regardless of the method used to prepare the food. Adjusting for bioaccessibility
reduced the gross estimated daily intake (EDI) of 112pgWHO-TEQ/day, by 88% and
63% respectively for foods prepared by boiling and frying. Our results indicate
that: 1) The method used for cooking is an important determinant of PCBs/PCDD/Fs
bioaccessibility, especially for plant origin foods, 2) there might be a joint
fat, carbohydrate and protein effect that influences the bioaccessibilities of
PCBs/PCDD/Fs in foods, and 3) use of bioaccessibility estimates would reduce the
uncertainty in TEQ calculations.
PMID- 27203784
TI - Design of peptides as inhibitors of human papillomavirus 16 transcriptional
regulator E1-E2.
AB - Human papillomavirus 16 (HPV 16) is a DNA virus that is capable of infecting
humans and causing cervical cancer. HPV16 E2 plays an important role in viral
gene regulation. This work aims to predict the binding conformations and
interactions between the dodecapeptides and HPV16 E2 as well as to design novel
peptide inhibitors that are capable of binding to HPV16 E2 and disrupt the
transcriptional regulator E1-E2 complex formation, using computational protein
design techniques. Based on previously reported peptide4 (TWFWPYPYPHLP), novel
peptide inhibitors were designed and five peptides that showed lower binding
energy to HPV16 E2 than that of peptide4, were selected for in vitro experiments.
Enzyme-linked immunosorbent (ELISA) assay showed that Y6R, W4H_Y6R, and W4H
peptides bound to HPV16 E2 with higher affinity than peptide4 did. Moreover, Y6R,
W4H_Y6R, and W4H peptides more effectively inhibited E1-E2 complex formation than
peptide4. This work revealed important interactions between the peptides and E1
E2 complex, suggesting a strategy for development of more potent peptide
inhibitors.
PMID- 27203785
TI - The Author File: Sarah Teichmann.
PMID- 27203786
TI - Noninvasive follicular thyroid neoplasm with papillary-like nuclear features
(NIFTP): A changing paradigm in thyroid surgical pathology and implications for
thyroid cytopathology.
PMID- 27203787
TI - New sophistry: self-deception in the nursing academy.
AB - In this essay, I advance an argument against the expansion and acceptance of
postmodern metaphysical antirealist ideologies in the development of nursing
theory in North America. I suggest mystical theoretical explanations of care, the
rejection of empirical epistemology, and a return to divinity in nursing
represent an intellectual dead end, as these ideas do little to help resolve real
world health issues and also negate the need for the academic discrimination of
bad ideas. I examine some of the philosophical foundations of nursing theory and
deconstruct some of the more preternatural theories that have become established
as the dominant conventional wisdom in the academy. It is argued that this can be
characterized as a form of self-deception, and overall has had a negative impact
on advancement of the nursing profession and public health care. Reasons behind
the widespread acceptance of these irrational theoretical stances in nursing and
the ongoing support for mystical therapeutic interventions are explored.
PMID- 27203788
TI - Reply.
PMID- 27203789
TI - Correspondence.
PMID- 27203790
TI - Low-Temperature Cationic Rearrangement in a Bulk Metal Oxide.
AB - Cationic rearrangement is a compelling strategy for producing desirable physical
properties by atomic-scale manipulation. However, activating ionic diffusion
typically requires high temperature, and in some cases also high pressure in bulk
oxide materials. Herein, we present the cationic rearrangement in bulk Mn2 FeMoO6
at unparalleled low temperatures of 150-300 (o) C. The irreversible ionic motion
at ambient pressure, as evidenced by real-time powder synchrotron X-ray and
neutron diffraction, and second harmonic generation, leads to a transition from a
Ni3 TeO6 -type to an ordered-ilmenite structure, and dramatic changes of the
electrical and magnetic properties. This work demonstrates a remarkable cationic
rearrangement, with corresponding large changes in the physical properties in a
bulk oxide at unprecedented low temperatures.
PMID- 27203792
TI - The author file: Tom Muir.
PMID- 27203791
TI - Effects of the German skin cancer screening programme on melanoma incidence and
indicators of disease severity.
AB - BACKGROUND: In Germany a nationwide melanoma screening programme for adults aged
>= 35 years was introduced in July 2008. Evidence on utilization and effects is
limited. OBJECTIVES: To examine the uptake and effects of the German nationwide
screening programme. METHODS: This analysis is based on pseudonymized outpatient
routine data of a German health insurance company covering data of > 2 million
individuals from Saxony for the years 2005-2012. Cases of melanoma and
nonmelanoma skin cancer (NMSC) were identified using an algorithm based on the
International Classification of Diseases, 10th Revision. Cross-sectional and
longitudinal analyses were applied to determine the utilization of the screening
programme and effects on skin cancer incidence and disease severity as a proxy
for prognosis. RESULTS: Overall, 38.0% of eligible persons (>= 35 years) were
screened at least once between July 2008 and December 2012. The annual
participation rate was 12.4%. Out of 533 393 persons screened, melanoma and NMSC
were diagnosed in 0.3% and 2.5%, respectively. The 6-month melanoma incidence per
100 000 insured persons decreased from 12.8 before screening introduction
(January to June 2008) to 10.2 after introduction (July to December 2008). NMSC
incidence increased from 173.8 to 175.5 per 100 000. The numbers of screening
participants receiving interferon alpha and/or being diagnosed with lymph node
and/or distant metastasis (8.6%, 5.9%, 1.5%, respectively) were lower than in
nonparticipants (11.2%, 8.5%, 3.5%). These differences were not significant.
CONCLUSIONS: The results suggest that the introduction of a generic skin cancer
screening programme in Germany was not associated with significant changes in
incidence. No firm conclusions regarding the effects of skin cancer screening on
prognosis can be drawn. Longer follow-up and linkage with clinical registry data
are necessary to clarify the effect of screening participation on incidence and
prognosis.
PMID- 27203793
TI - The Management of Refractory Dry Eye With Semi-Scleral Contact Lens.
AB - We presented a case of refractory dry eye management with semi-scleral contact
lens. Dry eye was associated with facial nerve (cranial nerve VII) palsy as a
result of cerebellopontine angle tumor surgery. She was treated with several
topical treatments and punctal plug. Despite the treatments, her symptoms still
persisted. Her ophthalmic examination revealed scleral exposure because of
lagophthalmos, conjunctival hyperemia, corneal debris, scar, and diffuse punctate
epitheliopathy on her right eye. Lissamine green staining showed diffuse
conjunctival and corneal staining. Therapeutic semi-scleral lenses were fitted.
The corneal findings were resolved and the quality of patient life was improved
with the aid of semi-scleral lens after 3 months.
PMID- 27203794
TI - An 11-Year Review of Keratoplasty in a Tertiary Referral Center in Turkey:
Changing Surgical Techniques for Similar Indications.
AB - OBJECTIVES: Study aims to evaluate the indications and surgical techniques for
corneal transplantation and to report changes in trends for preferred
keratoplasty surgical techniques. METHODS: Clinical records of 815 consecutive
corneal transplantations between January 1, 2004 and December 31, 2014 in
Haydarpasa Numune Training and Research Hospital Eye Clinic were analyzed and
classified into seven broad groups according to indications. Main outcome
measures were change of leading indications and trends for surgical techniques.
RESULTS: Leading indications for keratoplasty were keratoconus (KCN) (27.7%),
bullous keratopathy (BK) (23%), postinfectious corneal scars (13.5%), regrafts
(13.1%), corneal dystrophies (12.1%), and noninfectious corneal scars (5.4%).
Regrafts were the only indication with a significantly increasing trend (P<0.01).
Since the introduction of lamellar keratoplasty (LK) techniques including deep
anterior lamellar keratoplasty (DALK) and Descemet stripping automated
endothelial keratoplasty (DSAEK), there was a significant increasing trend in
number and percentage of both LK techniques (DALK; P=0.001 and P=0.007, and
DSAEK; P<0.001 and P<0.001, respectively) and a significant corresponding decline
in the percentage of penetrating keratoplasty (PK) (P<0.01). Similarly, DALK and
DSAEK replaced PK as the preferred surgical technique for KCN and BK indications,
(P=0.007 and P=0.01, respectively). Although PK was the most common surgical
technique over the 11-year period (54.7%), both anterior and posterior LK
techniques showed an emerging trend as the procedures of choice when indicated.
CONCLUSIONS: No major shift was observed in the clinical indications for corneal
transplantation over the previous 11 years, except for regrafts. Lamellar
keratoplasty techniques largely overtook the PK technique, but PK was still the
overall preferred technique in the era when both LK techniques were used.
PMID- 27203795
TI - Ocular Surface Temperature During Scleral Lens Wearing in Patients With
Keratoconus.
AB - OBJECTIVE: To evaluate the ocular surface temperature using an infrared
thermography camera before and after wearing scleral lens in patients with
keratoconus and correlate these results with the tear production and stability.
METHODS: A pilot, experimental, short-term study has been performed. Twenty-six
patients with keratoconus (36.95+/-8.95 years) participated voluntarily in the
study. The sample was divided into two groups: patients with intrastromal corneal
ring (KC-ICRS group) and patients without ICRS (KC group). Schirmer test, tear
breakup time (TBUT), and ocular surface temperature in the conjunctiva, limbus,
and cornea were evaluated before and after wearing a scleral lens. RESULTS: The
patients wore the scleral lenses from 6 to 9 hours with average of 7.59+/-0.73
hours. No significant changes in Schirmer test and TBUT were found for both
groups. No temperature differences were found between the KC-ICRS and the KC
groups for all zones evaluated. There was a slight, but statistically
significant, increase in the inferior cornea, temporal limbus, and nasal
conjunctival temperature for KC-ICRS group and temporal limbus temperature
decreasing for the KC group after wearing scleral lens (P<0.05). The conjunctiva
and limbus temperature was statistically higher than the central cornea for both
groups before and after scleral lenses wearing (P<0.05), but no difference in the
peripheral cornea was found. No statistically significant differences in the
central corneal temperature were found between the groups after scleral lens
wearing (P>0.05). CONCLUSION: Scleral contact lens seems not to modify the ocular
surface temperature despite the presence of the tear film stagnation under the
lens.
PMID- 27203796
TI - Clinical Features of Infectious Keratitis Caused by Propionibacterium Acnes.
AB - PURPOSE: Propionibacterium acnes is a commensal bacteria whose pathogenicity in
infectious keratitis is unclear. In this study, we report infectious keratitis
cultured with P. acnes and evaluate its clinical characteristics. METHODS: All
cases of infectious keratitis submitted to the microbiology laboratory of St.
Mary's Hospital of Seoul between January 2013 and April 2014 were reviewed.
Nonpretreated cases that were positive for P. acnes were identified, and clinical
characteristics and treatment outcomes were studied. RESULTS: Of 132 cultures
submitted to the microbiology laboratory, 89 (67.4%) were positive for growth,
and a total of 16 (17.9%) of the 89 cases yielded P. acnes in culture medium.
Infectious keratitis that was positive for P. acnes was generally small (62.5%)
with deep stromal infiltration (81.25%) and a peripheral location (56.25%). The
average incubation period was 11.06+/-5.76 days, and the average time to
reepithelialization was 23.50+13.52 days. All the cases of P. acnes evaluated in
this report responded well to medical treatment. CONCLUSIONS: Infectious
keratitis with P. acnes is not uncommon and can be confused with other Gram
positive cocci. Thus, P. acnes should be suspected in small-sized keratitis with
an unusually long incubation period.
PMID- 27203798
TI - New strategy for enhancing in situ cell viability of cell-printing process via
piezoelectric transducer-assisted three-dimensional printing.
AB - Tissue engineering has become one of the great applications of three-dimensional
cell printing because of the possibility of fabricating complex cell-laden
scaffolds. Three typical methods (inkjet, micro-extrusion, and laser-assisted bio
printing) have been used to fabricate structures. Of these, micro-extrusion is a
comparatively easy method, but has some drawbacks such as low in situ cell
viability after fabricating cell-laden structures because of the high wall shear
stress in micro-sized nozzles. To overcome this shortcoming, we suggest an
innovative cell printing method, which is assisted by a piezoelectric transducer
(PZT). The PZT assistance in the dispensing process enhances the printing
efficiency and cell viability by decreasing the wall shear stress within a nozzle
because the PZT effect can lower the shear viscosity of the bioink via micro
scale vibration. In this study, 5 wt% cell-laden alginate was used as a bioink,
and various PZT conditions (frequencies up to ~400 Hz and amplitudes up to ~40.5
MUm) were simultaneously applied to the cell-printing process to examine the
effectiveness of the PZT. The PZT-assisted cell-printing method was found to be
highly effective in direct cell printing and could achieve cell-laden structures
with high in situ cell viability.
PMID- 27203797
TI - A literature review on the pharmacological sensitivity of human evoked
hyperalgesia pain models.
AB - AIMS: Human evoked pain models can be used to determine the efficacy of new and
existing analgesics and to aid in the identification of new targets. Aspects of
neuropathic pain can be simulated by inducing hyperalgesia resulting from
provoked sensitization. The present literature review aimed to provide insight
into the sensitivity of different hyperalgesia and allodynia models of
pharmacological treatment. METHODS: A literature search was performed to identify
randomized, double-blind, placebo-controlled studies that included human
hyperalgesia pain models and investigated the pharmacodynamic effects of
different classes of drugs. RESULTS: Three hyperalgesia models [ultraviolet B
(UVB) irradiation, capsaicin and thermode burn] have been used extensively.
Assessment of hyperalgesia/allodynia and pharmacological effect are measured
using challenge tests, which generally comprise thermal (heat/cold) or mechanical
stimulation (pin-prick, stroking or impact). The UVB model was sensitive to the
antihyperalgesic effects of nonsteroidal anti-inflammatory drugs (NSAIDs) and
opioids. The capsaicin model was partially sensitive to opioids. The burn model
did not detect any antihyperalgesic effects when NSAIDs or local anaesthetics
were administered but responded to the effects of N-methyl D-aspartate (NMDA)
receptor antagonists by moderately reducing mechanical hyperalgesia. CONCLUSIONS:
Based on pharmacological sensitivity, the UVB model adequately reflects
inflammatory pain and was sensitive to NSAIDs and opioids. Findings from the
capsaicin and burn models raised questions about the translatability of these
models to the treatment of neuropathic pain. There is a need for a reproducible
and predictive model of neuropathic pain, either in healthy subjects or in
patients.
PMID- 27203799
TI - Phenotyping Chronic Lung Allograft Dysfunction Using Body Plethysmography and
Computed Tomography.
AB - Restrictive subtype of chronic lung allograft dysfunction (CLAD) was recently
described after lung transplantation. This study compares different definitions
of a restrictive phenotype in CLAD patients and impact on survival. Eighty-nine
CLAD patients out of 1191 screened patients (September 1987 to July 2012) were
included as complete longitudinal lung volume measurements and chest computed
tomography (CT) after CLAD onset was available. CT findings and lung volumes were
quantified and survival was calculated for distinctive groups and predictive
factors for worse survival were investigated. Graft survival in patients with
total lung capacity (TLC) between 90% and 81% of baseline (BL) (n = 13, 15%) in
CLAD course was similar to those with TLC >90% BL (n = 64, 56%; log-rank test p =
0.9). Twelve patients (13%) developed a TLC <=80% BL and 10 (11%) had significant
parenchymal changes on CT, of whom 6 (46%) also had TLC <=80% BL. CT changes
correlated with TLC <=80% BL (Phi-coefficient = 0.48, p = 0.001). Patients with
either TLC <=80% or significant CT changes (n = 16, 18%) had a significantly
reduced survival (log-rank p < 0.001). Forced vital capacity loss at CLAD onset
was associated with poorer survival but did not correlate with the TLC or CT
changes. A restrictive subtype of CLAD may be defined by either TLC <=80% BL or
severe parenchymal changes on chest CT.
PMID- 27203800
TI - Structural, Optical, and Electronic Properties of Wide Bandgap Perovskites:
Experimental and Theoretical Investigations.
AB - Wide bandgap hybrid halide perovskites based on bromine and chlorine halide
anions have emerged as potential candidates for various optoelectronic devices.
However, these materials are relatively less explored than the iodine-based
perovskites for microscopic details. We present experiment and first-principles
calculations to understand the structural, optical, and electronic structure of
wide bandgap CH3NH3Pb(Br1-xClx)3 (x = 0, 0.33, 0.66, and 1) 3D hybrid perovskite
materials. We substituted Br(-) with Cl(-) to tune the bandgap from 2.4 eV (green
emissive) to 3.2 eV (blue (UV) emissive) of these materials. We correlate our
experimental results with first-principles theory and provide an insight into
important parameters like lattice constants, electronic structure, excitonic
binding energy (EX), dielectric constant, and reduced effective mass (MUr) of
charge carriers in these perovskite semiconductors. Electronic structure
calculations reveal that electronic properties are mainly governed by Pb 6p and
halide p orbitals. Our estimates of EX within a hydrogen model suggest that an
increase in EX by increasing the Cl(-) (chlorine) concentration is mainly due to
a decrease in the dielectric constant with x and almost constant value of MUr
close to the range of 0.07me.
PMID- 27203801
TI - EIT image reconstruction based on a hybrid FE-EFG forward method and the complete
electrode model.
AB - This paper presents the application of the hybrid finite element-element free
Galerkin (FE-EFG) method for the forward and inverse problems of electrical
impedance tomography (EIT). The proposed method is based on the complete
electrode model. Finite element (FE) and element-free Galerkin (EFG) methods are
accurate numerical techniques. However, the FE technique has meshing task
problems and the EFG method is computationally expensive. In this paper, the
hybrid FE-EFG method is applied to take both advantages of FE and EFG methods,
the complete electrode model of the forward problem is solved, and an iterative
regularized Gauss-Newton method is adopted to solve the inverse problem. The
proposed method is applied to compute Jacobian in the inverse problem. Utilizing
2D circular homogenous models, the numerical results are validated with
analytical and experimental results and the performance of the hybrid FE-EFG
method compared with the FE method is illustrated. Results of image
reconstruction are presented for a human chest experimental phantom.
PMID- 27203802
TI - Corrigendum: Differential root transcriptomics in a polyploid non-model crop: the
importance of respiration during osmotic stress.
PMID- 27203803
TI - A Two-Component Alkyne Metathesis Catalyst System with an Improved Substrate
Scope and Functional Group Tolerance: Development and Applications to Natural
Product Synthesis.
AB - Although molybdenum alkylidyne complexes such as 1 endowed with triarylsilanolate
ligands are excellent catalysts for alkyne metathesis, they can encounter
limitations when (multiple) protic sites are present in a given substrate and/or
when forcing conditions are necessary. In such cases, a catalyst formed in situ
upon mixing of the trisamidomolybenum alkylidyne complex 3 and the readily
available trisilanol derivatives 8 or 11 shows significantly better performance.
This two-component system worked well for a series of model compounds comprising
primary, secondary or phenolic -OH groups, as well as for a set of challenging
(bis)propargylic substrates. Its remarkable efficiency is also evident from
applications to the total syntheses of manshurolide, a highly strained
sesquiterpene lactone with kinase inhibitory activity, and the structurally
demanding immunosuppressive cyclodiyne ivorenolide A; in either case, the
standard catalyst 1 largely failed to effect the critical macrocyclization,
whereas the two-component system was fully operative. A study directed toward the
quinolizidine alkaloid lythrancepine I features yet another instructive example,
in that a triyne substrate was metathesized with the help of 3/11 such that two
of the triple bonds participated in ring closure, while the third one passed
uncompromised. As a spin-off of this project, a much improved ruthenium catalyst
for the redox isomerization of propargyl alcohols to the corresponding enones was
developed.
PMID- 27203804
TI - Shrink wrapping redox-active crystals of polyoxometalate open frameworks with
organic polymers via crystal induced polymerisation.
AB - We report examples of crystal surface modification of polyoxometalate open
frameworks whereby the use of pyrrole or aniline as monomers leads to the
formation of the corresponding polymers via an oxidative polymerization process
initiated by the redox active POM scaffolds. Guest-exchange experiments
demonstrate that the polymers can finely tune the guest exchange rate and their
structural integrity is retained after the surface modifications. In addition,
the formation of polyoxometalate-based self-fabricating tubes by the dissolution
of Keggin-based network crystals were also modulated by the polymers, allowing a
new type of hybrid inorganic polymer with an organic coating to be fabricated.
PMID- 27203805
TI - An in vitro model to study interactions between Escherichia coli and lactic acid
bacterial inoculants for silage in rumen fluid.
AB - Previous studies have shown that silages treated with lactic acid bacteria (LAB)
inoculants enhance ruminants' performance. The objective of the current
experiments was to develop an in vitro model to study interactions between LAB
silage inoculants and inoculated silages and Escherichia coli (EC) in rumen fluid
(RF). Our hypothesis was that some inoculants inhibit EC in RF. For that purpose
buffered RF was incubated under anaerobic conditions at 39 degrees C with
commercial strains of LAB silage inoculants or with laboratory corn and wheat
silages treated with these LAB, an EC strain and with various ruminant feed
ingredients. The EC strain was originally isolated from cattle manure and tagged
with a plasmid expressing the green fluorescence protein and kanamycin and
streptomycin resistance. Results indicate that the LAB or the treated silages did
not suppress EC numbers in the RF. When the pH of the RF decreased below 5.0 the
EC disappeared. We conclude that both LAB inoculants for silage and EC survived
in RF for several days; however, the inoculants and silages treated with such
inoculants did not inhibit EC in RF in vitro. SIGNIFICANCE AND IMPACT OF THE
STUDY: Forage crops, silage and hay are initial stages of the food chain for
humans. Cattle harbours and sheds enterobacteria regularly, some strains of which
are pathogens. These can contaminate forage crops through field fertilization
with cattle manure. The objective of this study was to develop an in vitro model
to test whether lactic acid bacteria, which are used in silage inoculants, alone
or in treated silages can inhibit Escherichia coli in rumen fluid. This study
presents safety aspects and it is also part of a broad research effort aimed at
finding out how LAB silage inoculants and inoculated silages enhance ruminant
performance or exert probiotic effects in ruminants.
PMID- 27203808
TI - Renal artery injury during lateral transpsoas interbody fusion: case report.
AB - Lateral interbody fusion (LIF) via the retroperitoneal transpsoas approach is an
increasingly popular, minimally invasive technique for interbody fusion in the
thoracolumbar spine that avoids many of the complications of traditional anterior
and transforaminal approaches. Renal vascular injury has been cited as a
potential risk in LIF, but little has been documented in the literature regarding
the etiology of this injury. The authors discuss a case of an intraoperative
complication of renal artery injury during LIF. A 42-year-old woman underwent
staged T12-L5 LIF in the left lateral decubitus position, and L5-S1 anterior
lumbar interbody fusion, followed 3 days later by T12-S1 posterior
instrumentation for idiopathic scoliosis with radiculopathy refractory to
conservative management. After placement of the T12-L1 cage, the retractor was
released and significant bleeding was encountered during its removal. Immediate
consultation with the vascular team was obtained, and hemostasis was achieved
with vascular clips. The patient was stabilized, and the remainder of the
procedure was performed without complication. On postoperative CT imaging, the
patient was found to have a supernumerary left renal artery with complete
occlusion of the superior left renal artery, causing infarction of approximately
75% of the kidney. There was no increase in creatinine level immediately
postoperatively or at the 3-month follow-up. Renal visceral and vascular injuries
are known risks with LIF, with potentially devastating consequences. The
retroperitoneal transpsoas approach for LIF in the superior lumbar spine requires
a thorough knowledge of renal visceral and vascular anatomy. Supernumerary renal
arteries occur in 25%-40% of the population and occur most frequently on the left
and superior to the usual renal artery trunk. These arteries can vary in number,
position, and course from the aorta and position relative to the usual renal
artery trunk. Understanding of renal anatomy and the potential variability of the
renal vasculature is essential to prevent iatrogenic injury.
PMID- 27203809
TI - Prevention of neurological complications using a neural monitoring system with a
finger electrode in the extreme lateral interbody fusion approach.
AB - OBJECTIVE Extreme lateral interbody fusion (XLIF) is a minimally disruptive
surgical procedure that uses a lateral approach. There is, however, concern about
the development of neurological complications when this approach is used,
particularly at the L4-5 level. The authors performed a prospective study of the
effects of a new neural monitoring system using a finger electrode to prevent
neurological complications in patients treated with XLIF and compared the results
to results obtained in historical controls. METHODS The study group comprised 36
patients (12 male and 24 female) who underwent XLIF for lumbar spine degenerative
spondylolisthesis or lumbar spine degenerative scoliosis at L4-5 or a lower
level. Using preoperative axial MR images obtained at the mid-height of the disc
at the treated level, we calculated the psoas position value (PP%) by dividing
the distance from the posterior border of the vertebral disc to the posterior
border of the psoas major muscle by the anteroposterior diameter of the vertebral
disc. During the operation, the psoas major muscle was dissected using an index
finger fitted with a finger electrode, and threshold values of the dilator were
recorded before and after dissection. Eighteen cases in which patients had
undergone the same procedure for the same indications but without use of the
finger electrode served as historical controls. Baseline clinical and demographic
characteristics, PP values, clinical results, and neurological complications were
compared between the 2 groups. RESULTS The mean PP% values in the control and
finger electrode groups were 17.5% and 20.1%, respectively (no significant
difference). However, 6 patients in the finger electrode group had a rising psoas
sign with PP% values of 50% or higher. The mean threshold value before dissection
in the finger electrode group was 13.1 +/- 5.9 mA, and this was significantly
increased to 19.0 +/- 1.5 mA after dissection (p < 0.001). A strong negative
correlation was found between PP% and threshold values before dissection, but
there was no correlation with threshold values after dissection. The thresholds
after dissection improved to 11 mA or higher in all patients. There were no
serious neurological complications in any patient, but there was a significantly
lower incidence of transient neurological symptoms in the finger electrode group
(7 [38%] of 18 cases vs 5 [14%] of 36 cases, p = 0.047). CONCLUSIONS The new
neural monitoring system using a finger electrode may be useful to prevent XLIF
induced neurological complications.
PMID- 27203811
TI - Global sagittal axis: a step toward full-body assessment of sagittal plane
deformity in the human body.
AB - OBJECTIVE Sagittal malalignment requires higher energy expenditure to maintain an
erect posture. Because the clinical impact of sagittal alignment is affected by
both the severity of the deformity and recruitment of compensatory mechanisms, it
is important to investigate new parameters that reflect both disability level and
compensatory mechanisms for all patients. This study investigated the clinical
relevance of the global sagittal axis (GSA), a novel measure to evaluate the
standing axis of the human body. METHODS This is a retrospective review of
patients who underwent full-body radiographs and completed health-related quality
of life (HRQOL) questionnaires: Oswestry Disability Index (ODI), Scoliosis
Research Society-22, EuroQol-5D (EQ-5D), and the visual analog scale for back and
leg pain. The GSA was defined as the angle formed by a line from the midpoint of
the femoral condyles to the center of C-7, and a line from the midpoint between
the femoral condyles to the posterior superior corner of the S-1 sacral endplate.
After evaluating the correlation of GSA/HRQOL with sagittal parameters, linear
regression models were generated to investigate how ODI and GSA related to
radiographic parameters (T-1 pelvic angle, pelvic retroversion, knee flexion, and
pelvic posterior translation). RESULTS One hundred forty-three patients (mean age
44 years) were included. The GSA correlated significantly with all HRQOL (up to r
= 0.6 with EQ-5D) and radiographic parameters (up to r = 0.962 with sagittal
vertical axis). Regression between ODI and sagittal radiographic parameters
identified the GSA as an independent predictor (r = 0.517, r2 = 0.267; p <
0.001). Analysis of standardized coefficients revealed that when controlling for
deformity, the GSA increased with a concurrent decrease in pelvic retroversion (
0.837) and increases in knee flexion (+0.287) and pelvic posterior translation
(+0.193). CONCLUSIONS The GSA is a simple, novel measure to assess the standing
axis of the human body in the sagittal plane. The GSA correlated highly with
spinopelvic and lower-extremities sagittal parameters and exhibited remarkable
correlations with HRQOL, which exceeded other commonly used parameters.
PMID- 27203810
TI - Spinal fusion for pediatric neuromuscular scoliosis: national trends,
complications, and in-hospital outcomes.
AB - OBJECTIVE The objective of this study was to determine if the recent changes in
technology, surgical techniques, and surgical literature have influenced practice
trends in spinal fusion surgery for pediatric neuromuscular scoliosis (NMS). In
this study the authors analyzed recent trends in the surgical management of NMS
and investigated the effect of various patient and surgical factors on in
hospital complications, outcomes, and costs, using the Nationwide Inpatient
Sample (NIS) database. METHODS The NIS was queried from 2002 to 2011 using
International Classification of Diseases, Ninth Edition, Clinical Modification
codes to identify pediatric cases (age < 18 years) of spinal fusion for NMS.
Several patient, surgical, and short-term outcome factors were included in the
analyses. Trend analyses of these factors were conducted. Both univariate and
multivariable analyses were used to determine the effect of the various patient
and surgical factors on short-term outcomes. RESULTS Between 2002 and 2011, a
total of 2154 NMS fusion cases were identified, and the volume of spinal fusion
procedures increased 93% from 148 in 2002 to 286 in 2011 (p < 0.0001). The mean
patient age was 12.8 +/- 3.10 years, and 45.6% of the study population was
female. The overall complication rate was 40.1% and the respiratory complication
rate was 28.2%. From 2002 to 2011, upward trends (p < 0.0001) were demonstrated
in Medicaid insurance status (36.5% to 52.8%), presence of >= 1 comorbidity
(40.2% to 52.1%), and blood transfusions (25.2% to 57.3%). Utilization of
posterior-only fusions (PSFs) increased from 66.2% to 90.2% (p < 0.0001) while
combined anterior release/fusions and PSF (AR/PSF) decreased from 33.8% to 9.8%
(< 0.0001). Intraoperative neurophysiological monitoring (IONM) underwent
increasing utilization from 2009 to 2011 (15.5% to 20.3%, p < 0.0001). The
use/harvest of autograft underwent a significant upward trend between 2002 and
2011 (31.3% to 59.8%, p < 0.0001). In univariate analysis, IONM use was
associated with decreased complications (40.7% to 33.1%, p = 0.049) and length of
stay (LOS; 9.21 to 6.70 days, p <0.0001). Inflation-adjusted mean hospital costs
increased nearly 75% from 2002 to 2011 ($36,805 to $65,244, p < 0.0001). In the
multivariable analysis, nonwhite race, highest quartile of median household
income, greater preexisting comorbidity, long-segment fusions, and use of blood
transfusions were found to increase the likelihood of complication occurrence
(all p < 0.05). In further multivariable analysis, independent predictors of
prolonged LOS included older age, increased preexisting comorbidity, the AR/PSF
approach, and long-segment fusions (all p < 0.05). Lastly, the likelihood of
increased hospital costs (at or above the 90th percentile for LOS, 14 days) was
increased by older age, female sex, Medicaid insurance status, highest quartile
of median household income, AR/PSF approach, long-segment fusion, and blood
transfusion (all p < 0.05). In multivariable analysis, the use of autograft was
associated with a lower likelihood of complication occurrence and prolonged LOS
(both p < 0.05). CONCLUSIONS Increasing use of IONM and posterior-only approaches
may combat the high complication rates in NMS. The trends of increasing
comorbidities, blood transfusions, and total costs in spinal fusion surgery for
pediatric NMS may indicate an increasingly aggressive approach to these cases.
PMID- 27203812
TI - Functional magnetic resonance imaging evaluation of lumbosacral radiculopathic
pain.
AB - OBJECTIVE An objective biomarker for pain is yet to be established. Functional
MRI (fMRI) is a promising neuroimaging technique that may reveal an objective
radiological biomarker. The purpose of this study was to evaluate fMRI technology
in the setting of lumbosacral radiculopathy and discuss its application in
revealing a biomarker for pain in the future. METHODS A prospective, within
participant control study was conducted. Twenty participants with painful
lumbosacral radiculopathy from intervertebral disc pathology were recruited.
Functional imaging of the brain was performed during a randomly generated series
of nonprovocative and provocative straight leg raise maneuvers. RESULTS With a
statistical threshold set at p < 0.000001, 3 areas showed significant blood
oxygen level-dependent (BOLD) signal change: right superior frontal gyrus (x = 2,
y = 13, z = 48, k = 29, Brodmann area 6 [BA6]), left supramarginal cortex (x =
37, y = -44, z = 33, k = 1084, BA40), and left parietal cortex (x = -19, y = -41,
z = 63, k = 354, BA5). With a statistical threshold set at p < 0.0002, 2
structures showed significant BOLD signal change: right putamen (x = 29, y = -11,
z = 6, k = 72) and bilateral thalami (right: x = 23, y = -11, z = 21, k = 29; x =
8, y = -11, z = 9, k = 274; and left: x = -28, y = -32, z = 6, k = 21).
CONCLUSIONS The results in this study compare with those in previous studies and
suggest that fMRI technology can provide an objective assessment of the pain
experience.
PMID- 27203813
TI - Predictive outcome factors in the young patient treated with lumbar disc
herniation surgery.
AB - OBJECTIVE The aim of this study was to evaluate predictive factors for outcome
after lumbar disc herniation surgery in young patients. METHODS In the national
Swedish spine register, the authors identified 180 patients age 20 years or
younger, in whom preoperative and 1-year postoperative data were available. The
cohort was treated with primary open surgery due to lumbar disc herniation
between 2000 and 2010. Before and 1 year after surgery, the patients graded their
back and leg pain on a visual analog scale, quality of life by the 36-Item Short
Form Health Survey and EuroQol-5 Dimensions, and disability by the Oswestry
Disability Index. Subjective satisfaction rate was registered on a Likert scale
(satisfied, undecided, or dissatisfied). The authors evaluated if age, sex,
preoperative level of leg and back pain, duration of leg pain, pain distribution,
quality of life, mental status, and/or disability were associated with the
outcome. The primary end point variable was the grade of patient satisfaction.
RESULTS Lumbar disc herniation surgery in young patients normalizes quality of
life according to the 36-Item Short-Form Health Survey, and only 4.5% of the
patients were unsatisfied with the surgical outcome. Predictive factors for
inferior postoperative patient-reported outcome measures (PROM) scores were
severe preoperative leg or back pain, low preoperative mental health, and
pronounced preoperative disability, but only low preoperative mental health was
associated with inferiority in the subjective grade of satisfaction. No
associations were found between preoperative duration of leg pain, distribution
of pain, or health-related quality of life and the postoperative PROM scores or
the subjective grade of satisfaction. CONCLUSIONS Lumbar disc herniation surgery
in young patients generally yields a satisfactory outcome. Severe preoperative
pain, low mental health, and severe disability increase the risk of reaching low
postoperative PROM scores, but are only of relevance clinically (low subjective
satisfaction) for patients with low preoperative mental health.
PMID- 27203814
TI - Chemical Characteristics of Cold-Pressed Blackberry, Black Raspberry, and
Blueberry Seed Oils and the Role of the Minor Components in Their Oxidative
Stability.
AB - The chemical characteristics of cold-pressed blackberry, black raspberry, and
blueberry seed oils were evaluated for their fatty acid composition, positional
distribution of fatty acids, triacylglycerol (TAG) profile, and minor component
profile. The role of minor components, including tocols and pigments, on the
oxidative stability was also investigated using high-temperature- and fluorescent
lighting-induced oxidation before and after tested berry seed oils were stripped
of their minor components. The results indicated that all tested berry seed oils
contained significant levels of palmitic (C16:0), stearic (C18:0), oleic (18:1),
linoleic (C18:2omega-6), and alpha-linolenic (C18:3omega-3) acids, along with a
favorable ratio of omega-6/omega-3 fatty acids (1.49-3.86); palmitic, stearic,
oleic, and alpha-linolenic acids were predominantly distributed on the terminal
positions. Six TAGs, namely, LnLnLn, LnLLn, LLLn, LLL, OLL, and OLLn, were the
major species detected in the tested berry seed oils. Total tocol contents were
286.3-1302.9 mg/kg, which include alpha-, gamma-, and delta-tocopherols as well
as delta-tocotrienol. Oxidative stability of the three berry seed oils was
compromised after the removal of tocols under high-temperature-induced oxidation,
while the loss of pigments (chlorophylls) led to weak oxidative stability when
exposed to fluorescent lights.
PMID- 27203815
TI - The author file: Takanari Inoue.
PMID- 27203816
TI - Evaluation of a Modified BOOST Tool in the Acute Care Setting: A Retrospective
Analysis.
AB - Several readmission risk prediction tools exist but have had varying success in
identifying patients at risk for readmission. The purpose of this project was to
evaluate the effectiveness of a tool available within Project BOOST, Better
Outcomes by Optimizing Safe Transitions, from the Society of Hospital Medicine.
The tool was modified within the institution to include risk stratification
scores in order to better identify the highest-risk patients. The modified risk
tool may assist in predicting the risk of readmission in those patients with
heart failure and chronic obstructive pulmonary disease who are discharged home.
PMID- 27203818
TI - A population study of respiratory rehospitalisation in very preterm infants in
the first 3 years of life.
AB - AIM: Very premature infants consume healthcare resources following discharge from
neonatal intensive care units (NICU). This study aimed to evaluate the burden of
respiratory related rehospitalisation within the first 3 years post discharge in
very premature infants in an Australian population. METHODS: Rehospitalisation of
a 4-year cohort of NICU survivors, born less than 32 weeks gestation, was derived
from data linkage of three state-wide databases including NSW Neonatal Intensive
Care Units' Data Collection, Admitted Patient Data Collection and the Death
Registry. Rehospitalisation diagnoses were determined by ICD-10 AM codes.
RESULTS: Of the 2939 survivors, 525 (18%) had bronchopulmonary dysplasia (BPD)
and 261 BPD infants (50%) were discharged on home oxygen. Almost two-third (1860,
63%) of the survivors are required rehospitalisation, respiratory causes,
including 394 respiratory syncytial virus (RSV)-related, accounted for 2668 (48%)
of the 5599 rehospitalisations. Significantly more home oxygen BPD survivors had
respiratory (70%) and RSV-related (22%) rehospitalisations than the BPD infants
not needing home oxygen (58% and 18%, respectively), and the survivors without
BPD had the lowest rates (32% and 10%, P < 0.001). Most respiratory (61%) and RSV
related (74%) rehospitalisations occurred during the first 12 months post
discharge. No RSV-related fatality occurred. Amongst the total 17 562 hospital
days, respiratory and RSV-related admissions accounted for 10 905 (62%) and 3031
(17.2%) days. In multivariable logistic analyses, home oxygen and maternal
indigenous status were independently associated with high (3 or more) respiratory
and RSV rehospitalisation rates. CONCLUSIONS: Respiratory rehospitalisations are
common in very premature survivors. Home oxygen and indigenous status are
significant risk factors for respiratory and RSV-related rehospitalisations.
PMID- 27203819
TI - Two-step hepatic artery reconstruction for a hepatic artery lacking in length for
the use of a microclamp in living donor liver transplantation.
AB - INTRODUCTION: We describe successful two-step hepatic artery reconstruction in a
patient whose graft site hepatic artery was too short for the use of a microclamp
in living donor liver transplantation. PRESENTATION OF CASE: A 57-year-old woman
was diagnosed as having hepatitis C and liver cirrhosis. Her 26-year-old son was
the living liver donor. The living donor underwent right lobectomy. The dissected
graft hepatic artery was too short for the use of a microclamp. The recipient
right hepatic artery was cut and used as an arterial graft. The graft right
hepatic artery was sutured to the right hepatic artery of the arterial graft and
the graft posterior branch of the right hepatic artery was sutured to the middle
hepatic artery of the arterial graft. After reconstruction of the portal vein and
hepatic vein was completed, anastomosis was performed between the graft right
hepatic artery and right hepatic artery. The patency of the vessels was checked
using color Doppler ultrasonography for 1 week postoperatively. No postoperative
complications involving blood flow of the hepatic artery were observed.
DISCUSSION: In our case, the recipient hepatic artery was cut and used as an
arterial graft. Although the number of anastomotic sites of the hepatic artery
increased, we could perform hepatic artery reconstruction safely and easily.
CONCLUSION: Two-step hepatic artery reconstruction is a useful method in cases
where the recipient hepatic artery does not have enough length.
PMID- 27203821
TI - Determination and evaluation of the thermophysical properties of an alkali
carbonate eutectic molten salt.
AB - The thermal physical properties of Li2CO3-Na2CO3-K2CO3 eutectic molten salt were
comprehensively investigated. It was found that the liquid salt can remain stable
up to 658 degrees C (the onset temperature of decomposition) by thermal
analysis, and so the investigations on its thermal physical parameters were
undertaken from room temperature to 658 degrees C. The density was determined
using a self-developed device, with an uncertainty of +/-0.00712 g cm(-3). A
cooling curve was obtained from the instrument, giving the liquidus temperature.
For the first time, we report the obtainment of the thermal diffusivity using a
laser flash method based on a special crucible design and establishment of a
specific sample preparation method. Furthermore, the specific heat capacity was
also obtained by use of DSC, and combined with thermal diffusivity and density,
was used to calculate the thermal conductivity. We additionally built a rotating
viscometer with high precision in order to determine the molten salt viscosity.
All of these parameters play an important part in the energy storage and transfer
calculation and safety evaluation for a system.
PMID- 27203820
TI - Alterations in Hemoglobin and Serum 25-hydroxyvitamin D are Related Before and
After Weight Loss Independent of African Admixture.
AB - African American (AA) and European American (EA) women often exhibit differences
in hemoglobin (Hb) and 25-hydroxyvitamin D [25(OH)D], both of which can be
altered by calorie restriction leading to weight loss. Given these known
differences, it is of clinical interest to examine the potential for race
specific, adverse responses to weight loss. Sixty-four overweight (BMI 27-29.9
kg/m2), premenopausal women consumed a standardized, very-low calorie diet to
reduce BMI < 25 kg/m2. Ancestry informative markers provided estimates of African
admixture, an objective mean of expressing race. Blood sampling and
anthropometric measures were performed at baseline and upon meeting target BMI.
At baseline, in the overweight state, Hb (g/dL) (AA, 11.7 +/- 0.9 vs. EA, 12.5 +/
0.8; p < .01) and 25(OH)D (nmol/L) (AA, 35.7 +/- 12.9 vs. EA, 57.0 +/- 20.0; p <
.01) were lower in AAs. After weight loss, Hb decreased (AA, -0.5 +/- 0.7 vs. EA,
-0.4 +/- 0.6; p = .48) to a similar extent among races. Conversely, 25(OH)D
increased (AA, 43.4 +/- 14.0 vs. EA 68.2 +/- 24.3; p < .01) though the magnitude
of change (Delta) was not different (AA, +7.8 +/- 13.5 vs. EA, +11.2 +/- 16.7; p
= .37) between races. Multiple linear regression revealed a positive association
between DeltaHb and Delta25(OH)D (r = .386; p < .01) adjusted for African
admixture, Deltatestosterone, and Deltabody fat%. Path analyses revealed a
significant indirect effect of Deltabody fat% on DeltaHb through Delta25(OH)D,
beta =-0.023, CI [-0.06, -0.004]. Following 15% weight loss, participants with
the largest increase in serum 25(OH)D exhibited the smallest decrease in Hb.
Future research should clarify the optimal degree of calorie restriction to
stimulate weight loss while mitigating the potential risk of anemia associated
with dieting efforts.
PMID- 27203822
TI - Forearm Fixation is Not Necessary in the Treatment of Pediatric Floating Elbow.
AB - BACKGROUND: Ipsilateral supracondylar humerus and forearm fractures in the
pediatric population are an uncommon injury associated with high-energy trauma.
Current literature suggests a high rate of compartmental syndrome with this
fracture pattern and recommends surgical stabilization of both injuries. We
investigate whether surgical treatment of the supracondylar fracture with closed
reduction of the forearm fracture and placement into a noncircumferential cast
may be an appropriate treatment. METHODS: Retrospective clinical and radiographic
review of 47 patients (22 male, 25 female; mean age 6 y) with modified Gartland
type 2 or type 3 supracondylar humerus fracture requiring surgical stabilization
and an ipsilateral forearm fracture from a single institution over 78 months.
RESULTS: Forty-seven pediatric "floating elbow" cases that had operative
management of the supracondylar fracture were identified. A total of 21/47 (45%)
had displaced forearm fractures that required closed manipulation. Of these,
17/21 (81%) underwent closed reduction of the displaced forearm fracture(s) and
were placed into a noncircumferential cast or splint. No patients lost reduction
or required remanipulation of either fracture. No patients developed signs of
elevated compartment pressures. All patients went on to radiographic union
without secondary procedures. CONCLUSIONS: We demonstrate that a supracondylar
humerus fracture with an ipsilateral forearm fracture can be safely managed with
operative stabilization of the supracondylar humerus fracture alone. Simultaneous
closed reduction of the ipsilateral displaced forearm fracture and use of
noncircumferential immobilization postoperatively is safe and was not associated
with the development of elevated compartment pressures or need for
remanipulation. Previous studies that relate a high rate of compartment syndrome
with this injury pattern may be misguided, as method of postoperative
immobilization may be a more significant factor in the development of elevated
compartment pressures than the injury pattern. LEVEL OF EVIDENCE: Level IV.
PMID- 27203823
TI - Proximal Femoral Growth Modification: Effect of Screw, Plate, and Drill on
Asymmetric Growth of the Hip.
AB - BACKGROUND: Guided growth has long been used in the lower extremities but has not
been applied to varus or valgus deformity in the hip, as may occur in children
with cerebral palsy or developmental dysplasia of the hip. The purpose of this
study was to determine if screw, plate, or drilling techniques decreased the
femoral neck-shaft angle (NSA) and articular trochanteric disease (ATD), as well
as describe growth plate structural changes with each method. METHODS: Twelve 8
week-old lambs underwent proximal femoral hemiepiphysiodesis (IACUC approved)
using either a screw (n=4), plate (n=4), or drilling procedure (n=4).
Postoperative time was 6 months. Radiographs taken after limb harvest were used
to measure NSA and ATD. Differences between treated and control sides were
determined by 1-tailed paired t tests and Bonferroni (alpha=0.05/3). Histology
was obtained for 1 limb pair per group. Proximal femurs were cut in midcoronal
plane and the longitudinal growth plates were examined for structural changes.
RESULTS: The mean NSA measured 7 degrees less than controls in this model using
the screw technique, and this difference was statistically significant.
Differences between the control and the treated groups did not reach statistical
significance for either the plate or the drill group. Differences in ATD were not
statistically significant, although there was a trend for larger ATD measurements
using the screw technique. Histologically, physeal changes were observed on the
operative sides in screw and plate specimens, but not drill specimens, compared
with contralateral sham control. The screw specimen exhibited the most severe
changes, with growth plate closure over half the section. The plate specimen
showed focal loss of the physis across the section, but with no evidence of
closure. CONCLUSIONS: This study builds on previous work that indicates screw
hemiepiphysiodesis can effectively alter the shape of the proximal femur, and
result in a lower neck-shaft ankle (or lesser valgus). This study suggests that
implantation of a screw is likely to be more effective than a plate or drilling
procedure in decreasing the NSA in skeletally immature hips. CLINICAL
SIGNIFICANCE: If further preclinical, and later clinical, studies demonstrate
reproducible efficacy, guided growth of the proximal femur may eventually become
a viable option for treatment or prevention of hip deformity in select patients.
PMID- 27203824
TI - The Role of MRI in the Follow-up of Women Undergoing Breast-conserving Therapy.
AB - OBJECTIVES: Breast-conserving therapy (BCT) represents a standard of care in the
management of breast cancer. However, unlike mastectomy, women treated with BCT
require follow-up imaging of the treated breast as well as the contralateral
breast as part of posttreatment surveillance. Traditionally, surveillance has
consisted of clinical exams and mammograms. However, magnetic resonance imaging
(MRI) has emerged as a breast imaging technique utilized as part of high-risk
screening programs as well as part of the initial diagnosis and workup of women
considered for BCT. At this time, the role of MRI as part of follow-up for women
treated with BCT remains unclear. METHODS: A systematic review was performed to
evaluate the role of MRI following BCT. RESULTS: Although there is no randomized
evidence supporting the routine use of MRI in surveillance post-BCT, a review of
the literature demonstrates that MRI (1) has increased sensitivity as compared
with mammography to detect recurrences, and (2) can help evaluate mammographic
abnormalities before biopsy and/or surgery. CONCLUSIONS: In patients with higher
risk of local recurrence, surveillance with MRI may represent an effective
surveillance strategy though subgroups benefiting have not been identified nor
has the impact on quality of life and cost been evaluated.
PMID- 27203825
TI - Associations between problematic gaming and psychiatric symptoms among
adolescents in two samples.
AB - The aim of the present study was to investigate associations between problematic
gaming and psychiatric symptoms among adolescents. Data from adolescents in the
SALVe cohort, including adolescents in Vastmanland who were born in 1997 and 1999
(N=1868; 1034 girls), and data from consecutive adolescent psychiatric
outpatients in Vastmanland (N=242; 169 girls) were analyzed. Adolescents self
rated on the Gaming Addiction Identification Test (GAIT), Adult ADHD Self-Report
Scale Adolescent version (ASRS-A), Depression Self-Rating Scale Adolescent
version (DSRS-A), Spence Children's Anxiety Scale (SCAS), and psychotic-like
experiences (PLEs). Multivariable logistic regression analyses were performed,
and adjusted for sex, age, study population, school bullying, family
maltreatment, and interactions by sex, with two-way interactions between
psychiatric measurements. Boys had higher self-rated problematic gaming in both
samples, whereas girls self-rated higher in all psychiatric domains. Boys had
more than eight times the probability, odds ratio (OR), of having problematic
gaming. Symptoms of ADHD, depression and anxiety were associated with ORs of 2.43
(95% CI 1.44-4.11), 2.47 (95% CI 1.44-4.25), and 2.06 (95% CI 1.27-3.33),
respectively, in relation to coexisting problematic gaming. Problematic gaming
was associated with psychiatric symptoms in adolescents; when problematic gaming
is considered, the probability of coexisting psychiatric symptoms should also be
considered, and vice versa.
PMID- 27203837
TI - Simultaneous Nanomechanical and Electrochemical Mapping: Combining Peak Force
Tapping Atomic Force Microscopy with Scanning Electrochemical Microscopy.
AB - Soft electronic devices play a crucial role in, e.g., neural implants as
stimulating electrodes, transducers for biosensors, or selective drug-delivery.
Because of their elasticity, they can easily adapt to their environment and
prevent immunoreactions leading to an overall improved long-term performance. In
addition, flexible electronic devices such as stretchable displays will be
increasingly used in everyday life, e.g., for so-called electronic wearables.
Atomic force microscopy (AFM) is a versatile tool to characterize these micro-
and nanostructured devices in terms of their topography. Using advanced imaging
techniques such as peak force tapping (PFT), nanomechanical properties including
adhesion, deformation, and Young's modulus can be simultaneously mapped along
with surface features. However, conventional AFM provides limited laterally
resolved information on electrical or electrochemical properties such as the
activity of an electrode array. In this study, we present the first combination
of AFM with scanning electrochemical microscopy (SECM) in PFT mode, thereby
offering spatially correlated electrochemical and nanomechanical information
paired with high-resolution topographical data under force control (QNM-AFM
SECM). The versatility of this combined scanning probe approach is demonstrated
by mapping topographical, electrochemical, and nanomechanical properties of gold
microelectrodes and of gold electrodes patterned onto polydimethylsiloxane.
PMID- 27203836
TI - Propofol Mitigates Learning and Memory Impairment After Electroconvulsive Shock
in Depressed Rats by Inhibiting Autophagy in the Hippocampus.
AB - BACKGROUND The present study explored the effects of propofol on hippocampal
autophagy and synaptophysin in depression-model rats undergoing electroconvulsive
shock (ECS). MATERIAL AND METHODS The rat depression model was established by
exposing Sprague-Dawley rats to stress for 28 consecutive days. Forty rats were
assigned randomly into the depression group (group D; no treatment), the ECS
group (group E), the propofol group (group P), and the propofol + ECS group
(group PE). Open field tests and sucrose preference tests were applied to
evaluate the depression behavior; and Morris water maze tests were used to assess
the learning and memory function of the rats. Western blotting was used to detect
the expression of Beclin-1 and LC3-II/I; and ELISA was applied to assess the
expression of synaptophysin. RESULTS Rats in group E and group PE scored higher
in the open field and sucrose preference tests compared with those in group D.
Furthermore, rats in group E also had a longer escape latency, a shorter space
exploration time, and increased expression of Beclin-1, LC3-II/I, and
synaptophysin. Compared with group E, rats in group PE possessed a shorter escape
latency, a longer space exploration time, reduced expression of Beclin-1, LC3
II/I, and synaptophysin. CONCLUSIONS Propofol could inhibit excessive ECS-induced
autophagy and synaptophysin overexpression in the hippocampus, thus protecting
the learning and memory functions in depressed rats after ECS. The inhibitory
effects of propofol on the overexpression of synaptophysin may result from its
inhibitory effects on the excessive induction of autophagy.
PMID- 27203838
TI - Levamisole-Contaminated Cocaine Use in HIV-Infected and Uninfected Unstably
Housed Women.
AB - A growing number of case reports cite serious health complications linked to the
cocaine adulterant, levamisole and women are disproportionately affected;
however, the clinical effects are not well established. Between April and October
of 2010, we conducted a cross-sectional study among 222 homeless and unstably
housed women (116 human immunodeficiency virus [HIV]-infected and 106 HIV
uninfected). Immune markers and behavioral factors were compared in separate
models by cocaine and levamisole exposure. Overall, 63% of participants were
toxicology positive for cocaine/benzoylecgonine, 85% of whom also tested positive
for levamisole. Differences in immune markers did not reach levels of
significance among HIV-uninfected persons. Compared to HIV-infected persons who
were negative for both cocaine and levamisole, the adjusted odds of low white
blood cell count were significantly higher among HIV-infected persons positive
for both (p = 0.03), but not for those positive for cocaine only. Neutrophil
count and HIV viral load did not differ by cocaine and levamisole status among
HIV-infected persons. In a separate model, the adjusted odds of testing positive
for levamisole were higher among African American women compared to Caucasian and
Asian women (p = 0.02). In the context of high levamisole prevalence, results
suggest that decreased immune function as a result of levamisole exposure occurs
mainly in individuals who are already immune compromised (e.g., HIV-positive),
and race/ethnicity appears to be an important factor in understanding levamisole
exposure among cocaine-using women. While larger and geographically diverse
studies are needed to elucidate these initial findings, results suggest that
levamisole may be one mechanism of immune dysfunction in HIV-infected cocaine
using women.
PMID- 27203840
TI - Impact of calcium regulation on eccrine sweating and sweating disorders: the view
from cells to glands to intact human skin.
PMID- 27203839
TI - Evaluation of the Phase-Dependent Rhythm Control of Human Walking Using Phase
Response Curves.
AB - Humans and animals control their walking rhythms to maintain motion in a variable
environment. The neural mechanism for controlling rhythm has been investigated in
many studies using mechanical and electrical stimulation. However, quantitative
evaluation of rhythm variation in response to perturbation at various timings has
rarely been investigated. Such a characteristic of rhythm is described by the
phase response curve (PRC). Dynamical simulations of human skeletal models with
changing walking rhythms (phase reset) described a relation between the effective
phase reset on stability and PRC, and phase reset around touch-down was shown to
improve stability. A PRC of human walking was estimated by pulling the swing leg,
but such perturbations hardly influenced the stance leg, so the relation between
the PRC and walking events was difficult to discuss. This research thus examines
human response to variations in floor velocity. Such perturbation yields another
problem, in that the swing leg is indirectly (and weakly) perturbed, so the
precision of PRC decreases. To solve this problem, this research adopts the
weighted spike-triggered average (WSTA) method. In the WSTA method, a sequential
pulsed perturbation is used for stimulation. This is in contrast with the
conventional impulse method, which applies an intermittent impulsive
perturbation. The WSTA method can be used to analyze responses to a large number
of perturbations for each sequence. In the experiment, perturbations are applied
to walking subjects by rapidly accelerating and decelerating a treadmill belt,
and measured data are analyzed by the WSTA and impulse methods. The PRC obtained
by the WSTA method had clear and stable waveforms with a higher temporal
resolution than those obtained by the impulse method. By investigation of the
rhythm transition for each phase of walking using the obtained PRC, a rhythm
change that extends the touch-down and mid-single support phases is found to
occur.
PMID- 27203841
TI - Hepatitis E: latest developments in knowledge.
AB - Hepatitis E, caused by Hepatitis E virus (HEV), is a highly prevalent disease in
developing countries. In developed nations, autochthonous HEV infections seem to
be an emergent disease. Its clinical manifestations and epidemiology are well
known for endemic countries. It has been confirmed that hepatitis E is a zoonosis
and that parenteral transmission can also occur. The molecular mechanisms of HEV
replication are not fully understood, mostly because there are no efficient cell
culture systems. HEV can cause chronic hepatitis in organ transplant recipients
and immunocompetent patients. Cases with fulminant hepatitis and other
extrahepatic manifestations have also been reported. The diagnosis is based on
serological studies and detection of HEV RNA in blood and feces. Treatment with
ribavirin and/or pegylated-IFN-alpha have proven to be successful in some cases.
The recently approved/marketed vaccine is a good option in order to prevent this
infection.
PMID- 27203842
TI - Maturation of Subjective Visual Vertical in Children.
AB - OBJECTIVE: The attraction of the subjective visual vertical (SVV) to the side of
initial rod presentation has already been described in adults. The aim of this
study was to evaluate this phenomenon in children and to analyze the effect of
sex and maturation in this population. STUDY DESIGN: Retrospective cross
sectional study. SETTING: Tertiary referral center. PATIENTS: Six hundred and one
individuals aged between 4 and 19 years. INTERVENTION: All subjects underwent a
complete balance workup. SVV was measured by presenting a laser line 12 times in
total darkness with a 45 degrees deviation from the vertical alternatively on the
left and the right. The patient was seated and asked to replace the bar
vertically with a remote control. RESULTS: On average, SVV was tilted to the side
of the rod presentation at each iteration. The cumulative tilt to the side of
presentation after 12 measures was higher in the 4 to 7 years age group and
decreased progressively with age (25 +/- 2.2 degrees in 4-7 years, n = 109 versus
5 +/- 1.4 in 15-19 years, n = 204, p < 0.001, analysis of variance [ANOVA]). The
cumulative tilt was higher in girls than in boys in the 15 to 19 years group (8
+/- 2.5 degrees, n = 104 versus 2 +/- 1.2, n = 100, respectively, p < 0.001,
ANOVA). This phenomenon appeared independent from the type of vestibular
disorder. CONCLUSION: Young children are highly attracted to the side of rod
presentation during SVV measurements. This phenomenon gradually disappears with
maturation, faster in boys than in girls.
PMID- 27203843
TI - Prevalence of Cochlear-Facial Dehiscence in a Study of 1,020 Temporal Bone
Specimens.
AB - OBJECTIVE: To determine the prevalence of cochlear-facial dehiscence (CFD) and to
examine the influence of otic capsule area, age, sex, and race on CFD. STUDY
DESIGN: Descriptive study of archived temporal bone specimens. MATERIALS AND
METHODS: Targeted sections from 1,020 temporal bone specimens were scanned and
examined for CFD. Cochlear-facial partition width (CFPW) and otic capsule area
(OCA), a marker of bone thickness, were measured using image analysis software.
Demographic data were analyzed using multiple linear regression analysis.
RESULTS: The mean CFPW was 0.23 mm (range, 0-0.92 mm; SD, 0.15 mm). Six patients
were completely dehiscent (0.59%). Fallopian canal width, age, sex, race, and OCA
were found to be significant predictors of CFPW. Age was found to be negatively
correlated with CFPW (beta = -0.001) (p < 0.005). Thicker CFPW was associated
with males (beta = 0.024) and non-Caucasian individuals (beta = 0.031). The mean
OCA for dehiscent specimens (mean, 9.48 mm; range, 6.65-11.58 mm; SD 3.21 mm) was
significantly smaller than the mean OCA for nondehiscent specimens, (mean, 12.88
mm; range, 6.63-21.92 mm; SD, 2.47 mm) (p < 0.01). CONCLUSION: CFD occurred in
nearly 0.6% of specimens in this temporal bone collection. Close to 35% of
patients were sufficiently thin (<0.1 mm) to appear dehiscent on computed
tomography scanning. Smaller OCA correlated with thinner CFPW, suggesting a
developmental factor. Older, female, and Caucasian patients may have a greater
risk for CFD and its associated symptoms.
PMID- 27203844
TI - Cochlear Implant Impedance Fluctuation in Meniere's Disease: A Case Study.
AB - OBJECTIVE: To contribute to the understanding of hearing fluctuation in Meniere's
disease (MD) by disseminating a case study of a cochlear implanted ear with
ongoing fluctuation of electrode impedances with episodic tinnitus and no
associated vestibular symptoms. STUDY DESIGN: Retrospective case review. SETTING:
Tertiary referral audiology clinic. PATIENT: Man, born in 1936, with a total
hearing loss in the right ear because of Mumps at age 8 years and a fluctuating
progressive hearing loss in the left ear because of Meniere's disease since age
63 years. INTERVENTION: Sequential bilateral cochlear implantation right ear in
August 2002 and left ear in March 2006. MAIN OUTCOME MEASURE: Impedance
measurements of implanted intracochlear electrodes via common ground stimulation
using proprietor programming software. RESULTS: Electrode impedances in the MD
showed significant ongoing variation since implantation, whereas the
contralateral non-MD ear remained stable over a period of 9 years. CONCLUSION:
Electrode impedances in the ear with MD showed a variation pattern similar to
that found in the hearing fluctuation characteristic of the disease. These
findings raise the possibility that the same physiological mechanisms of hearing
fluctuation may be responsible for intracochlear electrode impedance changes. We
hypothesize that impedance fluctuation is because of changes in the permeability
of the blood-labyrinth barrier because of cyclic immune activity in the inner ear
which alters the electrical resistance between scala tympani and blood.
PMID- 27203845
TI - Influence of Marital Status on Vestibular Schwannoma in the United States.
AB - OBJECTIVE: To evaluate the influence of marital status on sporadic vestibular
schwannoma (VS) in the United States. STUDY DESIGN: Analysis of the Surveillance,
Epidemiology, and End Results (SEER) database. SETTING: National, population
based tumor registry. SUBJECTS AND METHODS: The SEER database was queried to
identify all patients with sporadic VS between 2004 and 2012. Univariable and
multivariable analyses were used to identify differences in tumor size at
presentation, management strategy, and mortality on the basis of patient marital
status. RESULTS: Eight thousand and eight hundred thirty eight patients met
inclusion criteria. When comparing patient groups on the basis of marital status,
significant differences emerged. Univariable and multivariable analyses revealed
that married subjects were older, had smaller tumors at presentation, and had
better overall survival compared with non-married patients. After adjusting for
baseline differences between groups, non-married subjects were more likely to
undergo observation (odds ratio [OR] 1.2, 95% confidence interval [CI] 1.08-1.35,
p = 0.009), and were less likely to undergo surgery (OR 0.85, 95% CI 0.76-0.94, p
= 0.002) than married subjects, while there was no difference between groups with
regard to radiation treatment (OR 0.99, 95% CI 0.88-1.11, p = 0.828). Individual
differences between non-married subgroups (i.e., single, separated/divorced, and
widowed) are also reported. CONCLUSION: Marital status influences disease
presentation, treatment, and outcome in patients with sporadic VS in the United
States. The authors speculate that greater social support of married subjects may
drive many of these differences between groups. Future research is needed to
further elucidate the underlying causes for these findings as well as the
influence of other important demographic variables such as socioeconomic status
and general health status.
PMID- 27203846
TI - Transient Hearing Loss and Objective Tinnitus Induced by Mouth Opening: A Rare
Connection Between the Temporomandibular Joint and Middle Ear Space.
AB - OBJECTIVES: To describe objective tinnitus complicated with transient low-tone
hearing loss coinciding with mouth opening, which was related to the connection
between the mandibular fossa and middle ear space. PATIENTS: A 41-year-old man
presented with tinnitus, ear fullness, and hearing loss in the left ear on mouth
opening. MAIN OUTCOME MEASURES: Clinical case records, audiological data, and
radiological analyses including computed tomography (CT) and magnetic resonance
imaging. RESULTS: Hearing thresholds on the affected side, which were evaluated
with mouth opening, showed elevations of approximately 20 dB in the frequencies
below 1000 Hz. Again, peak pressure on the tympanogram deviated negatively to
220 mmH2O under mouth opening without changing peak amplitude. CT showed a
connection between the mandibular fossa and middle ear space, as revealed by a
gas collection around the joint capsule evaluated in two phases (with and without
mouth closing). Ear symptoms resolved after myringotomy. CONCLUSIONS: Although an
influence of temporomandibular disorder (TMD) on tinnitus perception has been
debated, whether this association is causal or fortuitous has remained
contentious. The present case showed a unique feature of tinnitus attributed to a
connection between the mandibular fossa and middle ear space.
PMID- 27203847
TI - Aziridinyl Fluorophores Demonstrate Bright Fluorescence and Superior
Photostability by Effectively Inhibiting Twisted Intramolecular Charge Transfer.
AB - Replacing conventional dialkylamino substituents with a three-membered aziridine
ring in naphthalimide leads to significantly enhanced brightness and
photostability by effectively suppressing twisted intramolecular charge transfer
formation. This replacement is generalizable in other chemical families of
fluorophores, such as coumarin, phthalimide, and nitrobenzoxadiazole dyes. In
highly polar fluorophores, we show that aziridinyl dyes even outperform their
azetidinyl analogues in aqueous solution. We also proposed one simple mechanism
that can explain the vulnerability of quantum yield to hydrogen bond interactions
in protonic solvents in various fluorophore families. Such knowledge is a
critical step toward developing high-performance fluorophores for advanced
fluorescence imaging.
PMID- 27203848
TI - Bacterial Cholangitis, Cholecystitis, or both in Dogs.
AB - BACKGROUND: Bacterial cholangitis and cholecystitis are rarely reported, poorly
characterized diseases in the dog. OBJECTIVES: To characterize the clinical
features of these conditions. ANIMALS: Twenty-seven client-owned dogs with
bacterial cholangitis, cholecystitis, or both. METHODS: Multicenter,
retrospective cases series of dogs with bacterial cholangitis, cholecystitis, or
both, presenting January 2000 to June 2011 to 4 Veterinary Schools in
Ireland/United Kingdom. Interrogation of hospital databases identified all cases
with the inclusion criteria; histopathologically confirmed cholangitis or
cholecystitis and bile culture/cytology results supporting a bacterial etiology.
RESULTS: Twenty-seven dogs met the inclusion criteria with approximately 460
hepatitis cases documented over the same study period. Typical clinical pathology
findings were increases in liver enzyme activities (25/26), hyperbilirubinemia
(20/26), and an inflammatory leukogram (21/24). Ultrasound findings, although
nonspecific, aided decision-making in 25/26 cases. The most frequent
hepatobiliary bacterial isolates were Escherichia coli (n = 17; 16 cases),
Enterococcus spp. (n = 8; 6 cases), and Clostridium spp. (n = 5; 5 cases).
Antimicrobial resistance was an important feature of aerobic isolates; 10/16 E.
coli isolates resistant to 3 or more antimicrobial classes. Biliary tract rupture
complicated nearly one third of cases, associated with significant mortality
(4/8). Discharged dogs had a guarded to fair prognosis; 17/18 alive at 2 months,
although 5/10 re-evaluated had persistent liver enzyme elevation 2-12 months
later. CONCLUSION AND CLINICAL SIGNIFICANCE: Bacterial cholangitis and
cholecystitis occur more frequently than suggested by current literature and
should be considered in dogs presenting with jaundice and fever, abdominal pain,
or an inflammatory leukogram or with ultrasonographic evidence of gallbladder
abnormalities.
PMID- 27203849
TI - Carbonate-Bridged Lanthanoid Triangles: Single-Molecule Magnet Behavior,
Inelastic Neutron Scattering, and Ab Initio Studies.
AB - Optimization of literature synthetic procedures has afforded, in moderate yield,
homogeneous and crystalline samples of the five analogues
Na11[{RE(OH2)}3CO3(PW9O34)2] (1-RE; RE = Y, Tb, Dy, Ho, and Er). Phase-transfer
methods have allowed isolation of the mixed salts
(Et4N)9Na2[{RE(OH2)}3CO3(PW9O34)2] (2-RE; RE = Y and Er). The isostructural
polyanions in these compounds are comprised of a triangular arrangement of
trivalent rare-earth ions bridged by a MU3-carbonate ligand and sandwiched
between two trilacunary Keggin {PW9O34} polyoxometalate ligands. Alternating
current (ac) magnetic susceptibility studies of 1-Dy, 1-Er, and 2-Er reveal the
onset of frequency dependence for the out-of-phase susceptibility in the presence
of an applied magnetic field at the lowest measured temperatures. Inelastic
neutron scattering (INS) spectra of 1-Ho and 1-Er exhibit transitions between the
lowest-lying crystal-field (CF) split states of the respective J = 8 and (15)/2
ground-state spin-orbit multiplets of the Ho(III) and Er(III) ions. Complementary
ab initio calculations performed for these two analogues allow excellent
reproduction of the experimental magnetic susceptibility and low-temperature
magnetization data and are in reasonable agreement with the experimental INS
data. The ab initio calculations reveal that the slight difference in
coordination environments of the three Ln(III) ions in each complex gives rise to
differences in the CF splitting that are not insignificant. This theoretical
result is consistent with the observation of multiple relaxation processes by ac
magnetic susceptibility and the broadness of the measured INS peaks. The ab
initio calculations also indicate substantial mixing of the MJ contributions to
the CF split energy levels of each Ln(III) ion. Calculations indicate that the CF
ground states of the Ho(III) centers in 1-Ho are predominantly comprised of
contributions from small MJ, while those of the Er(III) centers in 1-Er are
predominantly comprised of contributions from large MJ, giving rise to slow
magnetic relaxation. Although no direct evidence for intramolecular RE...RE
magnetic coupling is observed in either magnetic or INS studies, on the basis of
the ab initio calculations, we find noncollinear magnetic axes in 1-Er that are
coplanar with the erbium triangle and radially arranged with respect to the
triangle's centroid; thus, we argue that the absence of magnetic coupling in this
system arises from dipolar and antiferromagnetic superexchange interactions that
cancel each other out.
PMID- 27203850
TI - Effects of Cigarette Smoke Condensate on Oxidative Stress, Apoptotic Cell Death,
and HIV Replication in Human Monocytic Cells.
AB - While cigarette smoking is prevalent amongst HIV-infected patients, the effects
of cigarette smoke constituents in cells of myeloid lineage are poorly known.
Recently, we have shown that nicotine induces oxidative stress through cytochrome
P450 (CYP) 2A6-mediated pathway in U937 monocytic cells. The present study was
designed to examine the effect of cigarette smoke condensate (CSC), which
contains majority of tobacco constituents, on oxidative stress, cytotoxicity,
expression of CYP1A1, and/or HIV-1 replication in HIV-infected (U1) and
uninfected U937 cells. The effects of CSC on induction of CYP1 enzymes in HIV
infected primary macrophages were also analyzed. The results showed that the CSC
mediated increase in production of reactive oxygen species (ROS) in U937 cells is
dose- and time-dependent. Moreover, CSC treatment was found to induce
cytotoxicity in U937 cells through the apoptotic pathway via activation of
caspase-3. Importantly, pretreatment with vitamin C blocked the CSC-mediated
production of ROS and induction of caspase-3 activity. In U1 cells, acute
treatment of CSC increased ROS production at 6H (>2-fold) and both ROS (>2 fold)
and HIV-1 replication (>3-fold) after chronic treatment. The CSC mediated effects
were associated with robust induction in the expression of CYP1A1 mRNA upon acute
CSC treatment of U937 and U1 cells (>20-fold), and upon chronic CSC treatment to
U1 cells (>30-fold). In addition, the CYP1A1 induction in U937 cells was mediated
through the aromatic hydrocarbon receptor pathway. Lastly, CSC, which is known to
increase viral replication in primary macrophages, was also found to induce CYP1
enzymes in HIV-infected primary macrophages. While mRNA levels of both CYP1A1 and
CYP1B1 were elevated following CSC treatment, only CYP1B1 protein levels were
increased in HIV-infected primary macrophages. In conclusion, these results
suggest a possible association between oxidative stress, CYP1 expression, and
viral replication in CSC-treated cells of myeloid lineage. This study warrants a
closer examination of the role of CYP1B1 in smoking-mediated enhanced HIV
replication.
PMID- 27203851
TI - Detection of small bleeds in the brain with electrical impedance tomography.
AB - In this paper, we describe and assess feasibility of instrumentation and
algorithms for detecting bleeding due to hemorrhagic strokes and traumatic brain
injury using electrical impedance tomography, a novel biomedical diagnostic
modality in which the body is probed noninvasively with generally imperceptible
alternating currents applied in patterns to a set of electrodes placed in contact
with the skin. We focus on the GENESIS instrument developed by GE Global Research
and on the achievability of our goal to detect a bleed in the center of the head
with a volume of several ml. Our main topic is compensation for the large changes
in voltages that tend to occur when the electrodes are in contact with biological
media, specifically either human subjects or with vegetable matter proxies which
seem to exhibit the same 'drift' phenomenon. We show that these changes in
voltages can be modeled by assuming that each electrode is attached to the body
via a discrete complex impedance whose value is time-varying and describe how
this discrete component value can be estimated and largely compensated-for. We
compare this discrete model with changes in contact impedances estimated using
the complete electrode model showing that the two models are roughly comparable
in their ability to explain the data from a single human subject experiment with
electrodes attached to the head. In a simulation study, we demonstrate that it is
possible to detect a small bleed in the center of the head even in the case of
large changes in electrode impedances, which can be treated as nuisance
parameters.
PMID- 27203852
TI - Genre Complexes in Popular Music.
AB - Recent work in the sociology of music suggests a declining importance of genre
categories. Yet other work in this research stream and in the sociology of
classification argues for the continued prevalence of genres as a meaningful tool
through which creators, critics and consumers focus their attention in the
topology of available works. Building from work in the study of categories and
categorization we examine how boundary strength and internal differentiation
structure the genre pairings of some 3 million musicians and groups. Using a
range of network-based and statistical techniques, we uncover three musical
"complexes," which are collectively constituted by 16 smaller genre communities.
Our analysis shows that the musical universe is not monolithically organized but
rather composed of multiple worlds that are differently structured-i.e.,
uncentered, single-centered, and multi-centered.
PMID- 27203853
TI - Three-Dimensional Nanoprinting via Scanning Probe Lithography-Delivered Layer-by
Layer Deposition.
AB - Three-dimensional (3D) printing has been a very active area of research and
development due to its capability to produce 3D objects by design.
Miniaturization and improvement of spatial resolution are major challenges in
current 3D printing technology development. This work reports advances in
miniaturizing 3D printing to the nanometer scale using scanning probe microscopy
in conjunction with local material delivery. Using polyelectrolyte polymers and
complexes, we have demonstrated the concept of layer-by-layer nanoprinting by
design. Nanometer precision is achieved in all three dimensions, as well as in
interlayer registry. The approach enables production of designed functional 3D
materials with nanometer resolution and, as such, creates a platform for
conducting scientific research in designed 3D nanoenvironments as well. In doing
so, it enables production of nanomaterials and scaffolds for photonics devices,
biomedicine, and tissue engineering.
PMID- 27203855
TI - Survey of Attitudes toward Uterus Transplantation among Japanese Women of
Reproductive Age: A Cross-Sectional Study.
AB - OBJECTIVE: Uterus transplantation (UTx) is a potential option for women with
uterine factor infertility to have a child, but there has been no large-scale
survey of the views on UTx in women of reproductive age in Japan. The present
study was aimed to clarify the views of Japanese women of reproductive age on UTx
for uterine factor infertility. METHODS: A questionnaire on UTx was conducted by
an Internet research company in December 2014 as a cross-sectional study in 3,892
randomly chosen women aged 25 to 39 years old. Responses were analyzed from 3,098
subjects (mean age 32.1+/-4.2 years old), after exclusion of inappropriate
respondents in screening. RESULTS: Of the respondents, 62.1%, 34.7% and 18.1%
favored adoption, UTx and gestational surrogacy, respectively. In contrast, 7.0%,
21.9% and 63.3% opposed adoption, UTx and gestational surrogacy, respectively. In
choices of candidates for UTx based on highest priority, deceased persons (33.8%)
and mothers (19.0%) were favored as donors, and women with congenital absence of
the uterus (54.4%) and hysterectomy due to a malignant uterine tumor (20.0%) as
recipients. Regarding societal acceptance of UTx, the answer rates were 15.7% for
"UTx should be permitted", 77.6% for "UTx should be permitted with discussion",
and 6.7% for "UTx should not be permitted, even with discussion". Regarding
personal opinions on UTx, 44.2% were in favor, 47.5% had no opinion, and 8.3%
were against. CONCLUSION: Our results suggest that many Japanese women of
reproductive age feel that UTx is socially and individually acceptable, but that
concerns requiring further discussion remain among these women. There was also a
tendency for UTx to be viewed more favorably than gestational surrogacy.
PMID- 27203856
TI - Ultra-Durable and Transparent Self-Cleaning Surfaces by Large-Scale Self-Assembly
of Hierarchical Interpenetrated Polymer Networks.
AB - In nature, durable self-cleaning surfaces such as the Lotus leaf rely on the
multiscale architecture and cohesive regenerative properties of organic tissue.
Real-world impact of synthetic replicas has been limited by the poor mechanical
and chemical stability of the ultrafine hierarchical textures required for
attaining a highly dewetting superhydrophobic state. Here, we present the low
cost synthesis of large-scale ultradurable superhydrophobic coatings by rapid
template-free micronano texturing of interpenetrated polymer networks (IPNs). A
highly transparent texture of soft yielding marshmallow-like pillars with an
ultralow surface energy is obtained by sequential spraying of a novel
polyurethane-acrylic colloidal suspension and a superhydrophobic nanoparticle
solution. The resulting coatings demonstrate outstanding antiabrasion resistance,
maintaining superhydrophobic water contact angles and a pristine lotus effect
with sliding angles of below 10 degrees for up to 120 continuous abrasion
cycles. Furthermore, they also have excellent chemical- and photostability,
preserving the initial performance upon more than 50 h exposure to intense UVC
light (254 nm, 3.3 mW cm(-2)), 24 h of oil contamination, and highly acidic
conditions (1 M HCl). This sprayable polyurethane-acrylic colloidal suspension
and surface texture provide a rapid and low-cost approach for the substrate
independent fabrication of ultradurable transparent self-cleaning surfaces with
superior abrasion, chemical, and UV-resistance.
PMID- 27203854
TI - Extent of Integration of Priority Interventions into General Health Systems: A
Case Study of Neglected Tropical Diseases Programme in the Western Region of
Ghana.
AB - BACKGROUND: The global health system has a large arsenal of interventions,
medical products and technologies to address current global health challenges.
However, identifying the most effective and efficient strategies to deliver these
resources to where they are most needed has been a challenge. Targeted and
integrated interventions have been the main delivery strategies. However, the
health system discourse increasingly favours integrated strategies in the context
of functionally merging targeted interventions with multifunctional health care
delivery systems with a focus on strengthening country health systems to deliver
needed interventions. Neglected Tropical Diseases (NTD) have been identified to
promote and perpetuate poverty hence there has been global effort to combat these
diseases. The Neglected Tropical Diseases Programme (NTDP) in Ghana has a
national programme team and office, however, it depends on the multifunctional
health delivery system at the regional and district level to implement
interventions. The NTDP seeks further health system integration to accelerate
achievement of coverage targets. The study estimated the extent of integration of
the NTDP at the national, regional and district levels to provide evidence to
guide further integration. METHODOLOGY/PRINCIPAL FINDINGS: The research design
was a descriptive case study that interviewed key persons involved in the
programme at the three levels of the health system as well as extensive document
review. Integration was assessed on two planes-across health system functions
stewardship and governance, financing, planning, service delivery, monitoring and
evaluation and demand generation; and across three administrative levels of the
health system-national, regional and district. A composite measure of integration
designated Cumulative Integration Index (CII) with a range of 0.00-1.00 was used
to estimate extent of integration at the three levels of the health system.
Service delivery was most integrated while financing and planning were least
integrated. Extent of integration was partial at all levels of the health system
with a CII of 0.48-0.68; however it was higher at the district compared to the
national and regional levels. CONCLUSIONS/SIGNIFICANCE: To ensure further
integration of the NTDP, planning and finance management activities must be
decentralized to involve regional and district levels of the health system. The
study provides an empirical measure of extent of integration and indicators to
guide further integration.
PMID- 27203857
TI - DAA-based antiviral treatment of patients with chronic hepatitis C in the pre-
and postkidney transplantation setting.
AB - DAA-based regimens for chronic hepatitis C infection encourage treatment of
"difficult-to-treat" cohorts. This study investigated efficacy and safety of DAA
based regimens in HCV patients on dialysis or postkidney or liver/kidney
transplantation. Twenty-five patients treated with DAA combinations were
evaluated: 10 were on dialysis (eight: hemodialysis, two: peritoneal dialysis),
eight were kidney transplant recipients, and seven were liver/kidney transplant
recipients. Except for one patient treated with daclatasvir ([DCV]/60
mg/QD)/simeprevir ([SMV]/150 mg/QD), the others received sofosbuvir-based
regimens ([SOF];400 mg/QD) combined with SMV:eight, DCV:13 or either ledipasvir
([LDV]90 mg/QD), ribavirin ([RBV];weight based) or pegylated interferon/RBV. HCV
RNA was determined by Abbott RealTime (LLOQ]:12 IU/ml) or Roche AmpliPrep/COBAS
TaqMan assay (LLOQ:15 IU/ml); treatment response evaluated every 4 weeks, at the
end of treatment, and 4 and 12 weeks thereafter. Twenty-four (96%) patients
achieved SVR 12/24 (ITT-analysis). Mean treatment duration was 15.1 +/- 5.1 weeks
(+/-SD), and two patients terminated prematurely - both reached SVR12. Six
patients were hospitalized due to complications of underlying disease. One
patient achieved SVR24 but was re-infected (week 27). Kidney function remained
stable; serum creatinine increased in only one patient - SOF was reduced to 400
mg/48 h. Treatment with DAA combinations in renally impaired HCV patients is
highly effective and well tolerated. These findings call for further controlled
trials and data from real-life cohorts.
PMID- 27203858
TI - Text Mining of Journal Articles for Sleep Disorder Terminologies.
AB - OBJECTIVE: Research on publication trends in journal articles on sleep disorders
(SDs) and the associated methodologies by using text mining has been limited. The
present study involved text mining for terms to determine the publication trends
in sleep-related journal articles published during 2000-2013 and to identify
associations between SD and methodology terms as well as conducting statistical
analyses of the text mining findings. METHODS: SD and methodology terms were
extracted from 3,720 sleep-related journal articles in the PubMed database by
using MetaMap. The extracted data set was analyzed using hierarchical cluster
analyses and adjusted logistic regression models to investigate publication
trends and associations between SD and methodology terms. RESULTS: MetaMap had a
text mining precision, recall, and false positive rate of 0.70, 0.77, and 11.51%,
respectively. The most common SD term was breathing-related sleep disorder,
whereas narcolepsy was the least common. Cluster analyses showed similar
methodology clusters for each SD term, except narcolepsy. The logistic regression
models showed an increasing prevalence of insomnia, parasomnia, and other sleep
disorders but a decreasing prevalence of breathing-related sleep disorder during
2000-2013. Different SD terms were positively associated with different
methodology terms regarding research design terms, measure terms, and analysis
terms. CONCLUSION: Insomnia-, parasomnia-, and other sleep disorder-related
articles showed an increasing publication trend, whereas those related to
breathing-related sleep disorder showed a decreasing trend. Furthermore,
experimental studies more commonly focused on hypersomnia and other SDs and less
commonly on insomnia, breathing-related sleep disorder, narcolepsy, and
parasomnia. Thus, text mining may facilitate the exploration of the publication
trends in SDs and the associated methodologies.
PMID- 27203859
TI - [The Wolf-Hirschhorn Syndrome].
AB - Wolf-Hirschhorn syndrome (WHS) represents a complex developmental disorder
characterized by craniofacial dysmorphism, short stature, hypotonia, psychomotor
retardation and seizures caused by a terminal deletion of the short arm of
chromosome 4. Depending on the extent of the deletion, variable midline defects,
abnormalities of the skeletal or urogenital system as well as the central nervous
system are observed. Approximately 1/3 of the infants will die in the first year
of life even though survival for more than 30 years has been reported. Due to
current high quality standards of ultrasonography, WHS can often be diagnosed
prenatally. We present a clinical case and provide an overview of the current
literature.
PMID- 27203860
TI - Polyethylene Nanocomposites for the Next Generation of Ultralow-Transmission-Loss
HVDC Cables: Insulation Containing Moisture-Resistant MgO Nanoparticles.
AB - The use of MgO nanoparticles in polyethylene for cable insulation has attracted
considerable interest, although in humid media the surface regions of the
nanoparticles undergo a conversion to a hydroxide phase. A facile method to
obtain MgO nanoparticles with a large surface area and remarkable inertness to
humidity is presented. The method involves (a) low temperature (400 degrees C)
thermal decomposition of Mg(OH)2, (b) a silicone oxide coating to conceal the
nanoparticles and prevent interparticle sintering upon exposure to high
temperatures, and (c) heat treatment at 1000 degrees C. The formation of the
hydroxide phase on these silicone oxide-coated MgO nanoparticles after extended
exposure to humid air was assessed by thermogravimetry, infrared spectroscopy,
and X-ray diffraction. The nanoparticles showed essentially no sign of any
hydroxide phase compared to particles prepared by the conventional single-step
thermal decomposition of Mg(OH)2. The moisture-resistant MgO nanoparticles showed
improved dispersion and interfacial adhesion in the LDPE matrix with smaller
nanosized particle clusters compared with conventionally prepared MgO. The
addition of 1 wt % moisture-resistant MgO nanoparticles was sufficient to
decrease the conductivity of polyethylene 30 times. The reduction in conductivity
is discussed in terms of defect concentration on the surface of the moisture
resistant MgO nanoparticles at the polymer/nanoparticle interface.
PMID- 27203863
TI - Versatile Route to Colloidal Stability and Surface Functionalization of
Hydrophobic Nanomaterials.
AB - We introduce a general method for the stabilization and surface functionalization
of hydrophobic nanoparticles using an amphiphilic copolymer, poly(maleic
anhydride-alt-1-octadecene)-poly(ethylene glycol) methacrylate (PMAO-PEGMA).
Coating nanoparticles with PMAO-PEGMA results in colloidally stable nanoparticles
decorated with reactive carboxylic acid and methacrylate functionalities,
providing a versatile platform for chemical reactions. The versatility and ease
of surface functionalization is demonstrated by varying both the core material
and the chemistry used. Specifically, the carboxylic acid functionalities are
used to conjugate wheat germ agglutinin to conducting polymer nanoparticles via
carbodiimide-mediated coupling, and the methacrylate groups are used to link
cysteamine to the surface of poly(epsilon-caprolactone) nanoparticles via thiol
ene click chemistry and to link temperature-responsive polymer shells to the
surface of gold nanoparticles via free radical polymerization.
PMID- 27203862
TI - Self-Confirmation and Ascertainment of the Candidate Genomic Regions of Complex
Trait Loci - A None-Experimental Solution.
AB - Over the past half century, thousands of quantitative trait loci (QTL) have been
identified by using animal models and plant populations. However, the none
reliability and imprecision of the genomic regions of these loci have remained
the major hurdle for the identification of the causal genes for the correspondent
traits. We used a none-experimental strategy of strain number reduction for
testing accuracy and ascertainment of the candidate region for QTL. We tested the
strategy in over 400 analyses with data from 47 studies. These studies include:
1) studies with recombinant inbred (RI) strains of mice. We first tested two
previously mapped QTL with well-defined genomic regions; We then tested
additional four studies with known QTL regions; and finally we examined the
reliability of QTL in 38 sets of data which are produced from relatively large
numbers of RI strains, derived from C57BL/6J (B6) X DBA/2J (D2), known as BXD RI
mouse strains; 2) studies with RI strains of rats and plants; and 3) studies
using F2 populations in mice, rats and plants. In these cases, our method
identified the reliability of mapped QTL and localized the candidate genes into
the defined genomic regions. Our data also suggests that LRS score produced by
permutation tests does not necessarily confirm the reliability of the QTL. Number
of strains are not the reliable indicators for the accuracy of QTL either. Our
strategy determines the reliability and accuracy of the genomic region of a QTL
without any additional experimental study such as congenic breeding.
PMID- 27203861
TI - Assessing the Effects of Tourist Provisioning on the Health of Wild Barbary
Macaques in Morocco.
AB - Feeding wildlife is a very popular tourist activity, largely because it
facilitates the close observation of animals in their natural habitat. Such
provisioning may benefit animals by improving their survival and reproductive
success, especially during periods of natural food shortage. However,
provisioning by tourists may also have negative impacts on the health of the
animals involved; to date such impacts are poorly understood. Here, we
investigated the effects of tourist provisioning on the health of wild adult
Barbary macaques, Macaca sylvanus, in Morocco. We compared health measures
between a heavily provisioned group and a group that received negligible food
from tourists and, in the former group, we also assessed health measures in
relation to the intensity of provisioning. We used a broad range of non-invasive
health measures relating to birth rate and survival, disease and injury risk,
body size and condition, and physiological stress. Our findings indicate that
feeding by tourists may overall have negative impacts on the health of Barbary
macaques, being linked in particular to larger body size, elevated stress levels
and more alopecia. Finally, we propose a framework to help consider the potential
costs and benefits of provisioning, which may facilitate future research and
management decisions on whether-and how much-provisioning is acceptable.
PMID- 27205857
TI - Highlights of the 70th Annual Meeting of the American Society for Reproductive
Medicine (ASRM) in Honolulu, Hawaii, October 18-22, 2014.
PMID- 27203864
TI - Biologically optimized helium ion plans: calculation approach and its in vitro
validation.
AB - Treatment planning studies on the biological effect of raster-scanned helium ion
beams should be performed, together with their experimental verification, before
their clinical application at the Heidelberg Ion Beam Therapy Center (HIT). For
this purpose, we introduce a novel calculation approach based on integrating data
driven biological models in our Monte Carlo treatment planning (MCTP) tool.
Dealing with a mixed radiation field, the biological effect of the primary (4)He
ion beams, of the secondary (3)He and (4)He (Z = 2) fragments and of the
produced protons, deuterons and tritons (Z = 1) has to be taken into account. A
spread-out Bragg peak (SOBP) in water, representative of a clinically-relevant
scenario, has been biologically optimized with the MCTP and then delivered at
HIT. Predictions of cell survival and RBE for a tumor cell line, characterized by
[Formula: see text] Gy, have been successfully compared against measured
clonogenic survival data. The mean absolute survival variation ([Formula: see
text]) between model predictions and experimental data was 5.3% +/- 0.9%. A
sensitivity study, i.e. quantifying the variation of the estimations for the
studied plan as a function of the applied phenomenological modelling approach,
has been performed. The feasibility of a simpler biological modelling based on
dose-averaged LET (linear energy transfer) has been tested. Moreover, comparisons
with biophysical models such as the local effect model (LEM) and the repair
misrepair-fixation (RMF) model were performed. [Formula: see text] values for the
LEM and the RMF model were, respectively, 4.5% +/- 0.8% and 5.8% +/- 1.1%.
The satisfactorily agreement found in this work for the studied SOBP,
representative of clinically-relevant scenario, suggests that the introduced
approach could be applied for an accurate estimation of the biological effect for
helium ion radiotherapy.
PMID- 27205858
TI - Evaluation of Plasmatic Concentration of Propofol 2.5ug/ml by TCI using Marsh
Modified Model, during oocyte retrieval for IVF in Latin-American women
(Venezuelans).
AB - OBJECTIVE: To evaluate efficacy of Propofol at Cp 2.5 ug/ ml administered by
Target Controlled Infusion (TCI) using Marsh Modified Model, in pre-medicated
with midazolam/ fentanil Latin-American women (Venezuelans) during oocyte
retrieval for In Vitro Fertilization. METHODS: Prospective, descriptive study
included 72 women, 18-44 years old, ASA I-II, non obese, undergoing oocyte
retrieval, pre-medicated with midazolam 0.04 mg/kg and fentanil 2ug/kg and
received anesthesia based in Propofol at Cp 2.5ug/ml by Target Controlled
Infusion using Marsh Modified Model. Demographic data, propofol doses, duration
of procedure and recovery time was registered using descriptive statistic.
Anesthesia efficacy was measured by Biespectral Analysis (BIS), Intra-Operative
Movements Scale (0 to 5) graded, Postoperative Pain by Visual Analog Scale (VAS)
and nausea/vomits incidence. ANOVA and Pearson Chi2 were used with an error of
0.05. RESULTS: Age average was 33.04+/-6 years old, procedure average time
18.06+/-8min, Propofol total doses 146.64+/-53 mgs, Propofol infusion doses
average 155.2+/-3ug/Kg/min. During procedure, 70.8% of patients had no movement,
22.2% movement Grade I and 6.9% Grade II. (Grade I-II movement did not interfere
with procedure continuity). 70.8% achieved BIS 40-50 and 93.1% had BIS equal o
less than 60. There was a statistic significant correlation between BIS 40-50 and
no movements. Recovery post-anesthesia time was 25.2+/-8 min. 98.6% of patients
reported excellent comfort. CONCLUSION: With midazolam/fentanil pre-medication,
Propofol at Cp 2.5ug/ml by TCI using Marsh Modified Model showed a 93% of
effectiveness during oocyte retrieval in Latin-American women subjected to IVF,
allowing an ultra- fast recovery time.
PMID- 27205859
TI - The Social Impact of the Offer of Assisted Reproductive Techniques (ART) in a
University Hospital in Rio de Janeiro.
AB - OBJECTIVE: The aim of this study is to report the procedures undertaken in the
laboratory of semen of a university hospital specialized in human reproduction in
Rio de Janeiro, principally Homologous Artificial Insemination (HAI) taking into
account a more social aspect. METHODS: This descriptive and retrospective study
was based on documentary research. The source of information included medical
records and registers containing the procedures performed in the laboratory of
semen and the HAI. Testimonies, questionings and suggestions given by the couples
during the process were also registered. RESULTS: The couples were clearly
informed about the techniques and many times they externalized their demands,
doubts and desires in relation to the procedures, treatment and issues concerning
their wish to have children and start a family. The discussion shows concerns
over the techniques and theories used in this context when performing procedures
in the laboratory of semen. CONCLUSION: The bond to the service remains based on
the idea that "now my dream of having kids will come true". Nevertheless, for the
operationalization of the access to ARTs, at the public sector of medicine, we
should count on the implementation of the National Politics in Assisted
Reproduction. It did not take place and today it has even been removed, no longer
being in force. It makes very clear the distance between the "accomplishment of
the desire for children" and the reality of the provision of means and resources
for ART at the medical public level in Brazil.
PMID- 27205860
TI - Does the Number of ICSI Cycles Performed per day or the Number of Oocytes
Injected per day has an Impact on the Cycles' Outcome?
AB - OBJECTIVE: To evaluate if ICSI outcome are influenced by the number of cycles and
oocyte injected per day. METHODS: Data of ICSI cycles performed in an unselected
infertile population were included. The influences of the number of cycles and
number of injected oocytes on the ICSI outcomes (fertilization rate, high quality
embryos rates on day 3 of development, blastocyst formation rate, the proportion
of cycles with embryo transfer, pregnancy rate and implantation rate) were
investigated. RESULTS: Regression analyses results showed no significant
influence of the number of cycles and oocytes injected per day on the ICSI
outcomes. CONCLUSION: The outcomes of ICSI are not influenced by the number of
cycles or the number of oocytes injected per day. We suggest that an appropriate
number of embryologists per cases per year guarantee successful outcomes
independently of the daily workload.
PMID- 27205861
TI - Demographic and Obstetric Outcomes of Pregnancies conceived by Assisted
Reproductive Technology (ART) compared to Non-ART Pregnancies.
AB - OBJECTIVE: Use of assisted reproductive technology has increased steadily, yet
multiple socioeconomic and demographic disparities remain between the general
population and those with infertility. Additionally, both mothers and infants
experience higher rates of adverse outcomes compared to their non-ART
counterparts. METHODS: Using International Classification of Diseases, Ninth
Revision (ICD-9) coding, we performed a retrospective review of all ART-conceived
deliveries in California in 2009. A total of 551 ART pregnancies were compared to
Non-ART pregnancies (n=406,885). RESULTS: The majority of ART deliveries belonged
to women of advanced maternal age (AMA) and Caucasian or Asian race. Nearly half
of all ART deliveries were multiple gestations. Compared to non-ART deliveries,
ART pregnancies were associated with placenta previa, placental abruption, mild
preeclampsia, and fetal growth restriction. CONCLUSION: While not powered to
detect all outcomes, our study highlights significant racial and ethnic
disparities between ART and Non-ART pregnancies.
PMID- 27205862
TI - Endometritis in Infertile Couples: The Role of Hysteroscopy and Bacterial
Endotoxin.
AB - OBJECTIVE: The role of endometritis on infertility is still controversial. The
aim of our prospective controlled study was to select infertile couples and to
analyze: hysteroscopic findings of endometritis, bacterial endotoxin level in the
menstrual blood, histological pattern of endometrial biopsy and to determine the
effect of antibiotic therapy on endometritis resolution. METHODS: 100 infertile
couples of University Hospital waiting for in vitro fertilization program. We
evaluated the incidence of endometritis in infertile population by hysteroscopy,
endometrial biopsy and bacterial endotoxin levels in the menstrual samples.
Moreover, we verified the effectiveness of antimicrobial treatment on the
endometritis resolution by hysteroscopic control. RESULTS: Out of 100 women
enrolled, 15 showed hysteroscopic evidence of endometritis and 12 of these
patients presented higher bacterial endotoxin in the menstrual samples. The
histological results were positive for endometritis in 9 cases, 1 woman had no
adequate samples and 5 patients had negative result. After antibiotic therapy, 9
patients had a complete hysteroscopic endometritis resolution, 4 patients had an
improvement and in 2 cases there was no endometrial change. Concerning
histological findings after therapy, we had negative results in 12 patients and
persistent inflammatory findings in 2 patients. CONCLUSION: Our study
demonstrated a high incidence of endometritis in infertile couples (15%). The
endometrial biopsy was in agreement with the hysteroscopic findings in 60% of the
cases, and the bacterial endotoxin level in the menstrual samples was higher in
12 patients with suspected endometritis, then its measurement can be helpful to
confirm an endometrial infection but its influence must be confirmed with further
researches. The antibiotic therapy can improve the hysteroscopic endometrial
inflammatory aspect in over 80% of cases.
PMID- 27205863
TI - Charting new frontiers in In Vitro Fertilization (IVF): The Role of
Bioengineering.
AB - Since the beginning of in vitro fertilization (IVF) 36 years ago, scientists have
studied and critically analyzed the techniques in order to find ways to improve
outcomes. However, success rates vary significantly among clinics due to poor
reproducibility and inconsistency across operators. Much research has been
conducted on the chemical environment, or culture medium, surrounding the oocyte/
embryo, but little attention has been given to the actual equipment and physical
culture environment, which has changed very little over the years. The aim of
this paper was to evaluate how the physical factors are important regulators of
oocyte and embryo function and to improve understanding of the physical forces
involved in the processes in human reproduction. A review the available
literature was conducted using PubMed from 1966 through July 2014 in an attempt
to help integrate mechanics into our understanding of the molecular basis of IVF.
Keywords included in vitro fertilization, biomechanics, bioengineering, oocyte
and embryo. The mechanical characterization of oocytes and embryos represents an
opportunity to detect cellular defects, assess quality and bio-viability of
processes such as cryopreservation as well as select the best embryo for
transfer. Defining the mechanical forces at play during embryo transfer is also
an important step towards improving results in in vitro fertilization. The
further analysis of these phenomena needs a detailed monitoring of the mechanical
conditions and more extensive studies of events on the cellular and molecular
levels.
PMID- 27205864
TI - The First Ovarian Tissue Transplant between Monozygotic Twin Sisters Discordant
for Ovarian function in Latin America.
AB - Ovarian tissue transplant is an alternative to the cryopreservation of oocytes
and embryos for the recovery of fertility and natural hormonal activity. The
objective of this paper is to report on the first fresh ovarian tissue transplant
between monozygotic twin sisters discordant for ovarian function, using the
subcortical implant technique of ovarian tissue fragments, to take place in Latin
America. A strip representing approximately a quarter of the cortical tissue was
removed from the right ovary of the donor sister, cleaned, cut into small
fragments and sent to adjacent room, where the receptor sister was concomitantly
being prepared to receive the tissue graft. The ovarian fragments were placed
under the cortical tissue onto a vascularized bed of the right ovary of the
receptor sister. From 90 days postoperatively, the menstrual cycles of the
receptor patient became regular with increased flow and longer periods,
demonstrating normal hormonal activity and improved endometrial development.
Attempts at spontaneous pregnancy, and the recovery of an oocyte followed by
fertilization have not yet been successful. However, the ovarian tissue
transplant between monozygotic sisters reported here clearly highlights the
potential of the technique as a therapeutic option for the preservation of
fertility.
PMID- 27205865
TI - The Stem Cell Research and the Aging of Brazilian Population.
AB - The developing countries are experiencing a shift in the population profile,
faster than that experienced by developed countries, especially due to the
consolidation of health practices and technological advances. These social
changes also imply new socioeconomic models, able to escort social demands caused
by the growth of the elderly population and the decrease in young economically
active population. Several countries seek for actions that do not marginalize the
elderly, and invest heavily in new technologies to ensure health access and
active participation of this group in society. Stem cell research may reflect at
an improvement of public health, reduction in costs of hospitalization and lead
to prevention and treatment of extremely debilitating illness, like the
neurodegenerative diseases. Preserving the physical and mental functional
capacity is of extreme importance to ensure the active participation of elderly
population in society.
PMID- 27205866
TI - C-O/C-H Coupling of Polyfluoroarenes with Aryl Carbamates by Cooperative Ni/Cu
Catalysis.
AB - Cross-coupling of polyfluoroarenes with aryl carbamates through the cleavage of
both sp(2) C-O and C-H bonds is reported. The reaction conditions are simple, and
only transition-metal catalysts and ligands are essential. Mechanistic studies
indicated that Ni catalyst played an important role in activating C-O bond, while
the Cu one in activating C-H Bond. The developed system proved to be effective
for cross-coupling of terminal alkynes with aryl carbamates.
PMID- 27205867
TI - In vivo detection of atherosclerotic plaque using non-contact and label-free near
infrared hyperspectral imaging.
AB - BACKGROUND AND AIMS: Detecting detailed atherosclerotic plaques is important to
reduce risk factors during surgery. However, there are few methods to evaluate
them during surgery. The aim of this study was to establish an in vivo, non
contact, and label-free imaging method for identifying atherosclerotic plaque
lesions from outside vessels with a diffuse-reflectance near-infrared (NIR)
hyperspectral imaging (HSI) system. METHODS: NIR spectra between 1000 and 2350 nm
were measured using an NIR HSI imaging system outside the exposed abdominal aorta
in five Watanabe Heritable Hyperlipidemic (WHHL) rabbits in vivo. Preprocessed
data were input to a supervised machine learning algorithm called a support
vector machine (SVM) to create pixel-based images that can predict
atherosclerotic plaques within a vessel. The images were compared with
histological findings. RESULTS: Absorbance was significantly higher in plaques
than in normal arteries at 1000-1380, 1580-1810, and 1880-2320 nm. Overall
predictive performance showed a sensitivity of 0.814 +/- 0.017, a specificity of
0.836 +/- 0.020, and an accuracy of 0.827 +/- 0.008. The area under the receiver
operating characteristic curve was 0.905 (95% confidence interval = 0.904-0.906).
CONCLUSIONS: The NIR HSI system combined with a machine learning algorithm
enabled accurate detection of atherosclerotic plaques within an internal vessel
with high spatial resolution from outside the vessel. The findings indicate that
the NIR HSI system can provide non-contact, label-free, and precise localization
of atherosclerotic plaques during vascular surgery.
PMID- 27205868
TI - Vitamin D status, diabetes mellitus and coronary artery disease in patients
undergoing coronary angiography.
AB - BACKGROUND AND AIMS: Growing attention has been addressed to the cardiovascular
effects of vitamin D, in order to prevent the vascular wall degeneration and the
progression of atherosclerosis. Diabetes mellitus is an established risk factor
for coronary artery disease, where the enhanced pro-inflammatory and pro
thrombotic status could render even more important the athero-protective effects
of vitamin D. Therefore, we aimed to evaluate the impact of diabetes on vitamin D
levels and its relationship with the extent of CAD. METHODS: Consecutive patients
undergoing non urgent coronary angiography were included. Admission samples were
collected for vitamin D levels assessment. Significant coronary artery disease
was defined as at least 1 vessel stenosis >50%, severe coronary artery disease as
left main and/or trivessel disease, as evaluated by quantitative coronary
angiography. RESULTS: Patients included were 1859, among them 641 were diabetics
(34.5%). Diabetic patients displayed older age, higher rate of renal failure,
previous cardiovascular events, hypercholesterolemia, hypertension, glycaemia,
HbA1c, creatinine, uric acid (p < 0.001, respectively). Lower levels of
haemoglobin, total cholesterol, high and low density lipoprotein cholesterol (p <
0.001) were found in diabetics. Diabetic patients displayed significantly lower
levels of vitamin D (p = 0.003), however diabetes did not emerge as an
independent predictor of hypovitaminosis-D (adjusted OR [95% CI] = 0.95[0.72,
1.26], p = 0.72). In diabetics, lower vitamin D levels were associated to female
gender (p = 0.003), glucose control and lower haemoglobin levels (p < 0.001).
Lower levels of vitamin D were related with the prevalence (adjusted OR [95% CI]
= 1.54[1.12-2.12], p = 0.008) and severity (adjusted OR [95% CI] = 1.28[1.014
1.621], p = 0.038) of coronary artery disease. CONCLUSIONS: The present study
shows that diabetes mellitus is not an independent predictor of hypovitaminosis
D. However, diabetic patients showed lower vitamin D levels that were
independently associated with an increased prevalence and severity of coronary
artery disease.
PMID- 27205870
TI - The Author File: Zev Gartner.
PMID- 27205869
TI - MicroRNA-186 promotes macrophage lipid accumulation and secretion of pro
inflammatory cytokines by targeting cystathionine gamma-lyase in THP-1
macrophages.
AB - BACKGROUND AND AIMS: Several studies suggest that cardiomyocyte-enriched miR-186
is involved in cardiac injury and myocardial infarction, and also plays an
important role in atherosclerotic diseases, but the underlying mechanism is
unknown. Cystathionine-gamma-lyase (CSE) is the predominant enzyme to produce H2S
in the cardiovascular system. Here, miR-186 was identified to bind to the 3'UTR
of CSE. In this study, we aimed at exploring whether miR-186 affects lipid
accumulation and secretion of pro-inflammatory cytokines by targeting CSE and its
underlying mechanism in human THP-1 macrophages and peripheral blood monocyte
derived macrophages (PBMDM). PBMDM just as a control group for the comparison
with the THP-1 macrophages. METHODS: MiR-186 target genes, CSE 3'UTR sequence and
free energy were predicted and analyzed by bioinformatics analyses and dual
luciferase reporter assays. The expression of CSE mRNA and protein were measured
by real-time quantitative PCR and western blot analyses. The lipid accumulation
in THP-1 macrophages was detected by high performance liquid chromatography
(HPLC). The effects of miR-186 on secretion of IL-6, IL-1beta and TNF-alpha were
examined by ELISA. Endogenous H2S was detected by spectrophotometry. Using small
interfering RNA (siRNA) approach to decrease the expression of CSE protein and
mRNA. RESULTS: We found that miR-186 directly inhibited CSE protein and mRNA
expression through targeting CSE 3'UTR by bioinformatics analyses and dual
luciferase reporter assays. HPLC assays showed that miR-186 increased the lipid
accumulation in human THP-1 macrophages. We also showed that miR-186 enhanced
secretion of pro-inflammatory cytokines in human THP-1 macrophages. Using siRNA
approach, we found that CSE siRNA could inhibit the miR-186 inhibitor-induced
decrease in the expression of LPL protein and mRNA in human THP-1 macrophages,
which was accompanied a decrease in the level of H2S. CONCLUSIONS: MicroRNA-186
promotes macrophage lipid accumulation and pro-inflammatory cytokine secretion by
targeting cystathionine gamma-lyase in THP-1 macrophages.
PMID- 27205871
TI - Tracking generalized tonic-clonic seizures with a wrist accelerometer linked to
an online database.
AB - PURPOSE: Clinical management of epilepsy and current epilepsy therapy trials rely
on paper or electronic diaries often with inaccurate self-reported seizure
frequency as the primary outcome. This is the first study addressing the
feasibility of detecting and recording generalized tonic-clonic seizures (GTCS)
through a biosensor linked to an online seizure database. METHOD: A prospective
trial was conducted with video-EEG (vEEG) in an epilepsy monitoring unit.
Patients wore a wristwatch accelerometer that detected shaking and transmitted
events via Bluetooth(r) to a bedside electronic tablet and then via Wi-Fi to an
online portal. The watch recorded the date, time, audio, duration, frequency and
amplitude of events. Events logged by the watch and recorded in a bedside paper
diary were measured against vEEG, the "gold standard." RESULTS: Thirty patients
were enrolled and 62 seizures were recorded on vEEG: 31 convulsive and 31 non
convulsive. Twelve patients had a total of 31 convulsive seizures, and of those,
10 patients had 13 GTCS. The watch captured 12/13 (92.3%) GTCS. Watch audio
recordings were consistent with seizures in 11/12 (91.6%). Data were successfully
transferred to the bedside tablet in 11/12 (91.6%), and to the online database in
10/12 (83.3%) GTCS. The watch recorded 81 false positives, of which 42/81 (51%)
were cancelled by the patients. Patients and caregivers verbally reported 15/62
seizures (24.2% sensitivity) but no seizures were recorded on paper logs.
CONCLUSION: Automatic detection and recording of GTCS to an online database is
feasible and may be more informative than seizure logging in a paper diary.
PMID- 27205872
TI - Stereodirectional Origin of anti-Arrhenius Kinetics for a Tetraatomic Hydrogen
Exchange Reaction: Born-Oppenheimer Molecular Dynamics for OH + HBr.
AB - Among four-atom processes, the reaction OH + HBr -> H2O + Br is one of the most
studied experimentally: its kinetics has manifested an unusual anti-Arrhenius
behavior, namely, a marked decrease of the rate constant as the temperature
increases, which has intrigued theoreticians for a long time. Recently, salient
features of the potential energy surface have been characterized and most kinetic
aspects can be considered as satisfactorily reproduced by classical trajectory
simulations. Motivation of the work reported in this paper is the investigation
of the stereodirectional dynamics of this reaction as the prominent reason for
the peculiar kinetics: we started in a previous Letter ( J. Phys. Chem. Lett.
2015 , 6 , 1553 - 1558 ) a first-principles Born-Oppenheimer "canonical"
molecular dynamics approach. Trajectories are step-by-step generated on a
potential energy surface quantum mechanically calculated on-the-fly and are
thermostatically equilibrated to correspond to a specific temperature. Here,
refinements of the method permitted a major increase of the number of
trajectories and the consideration of four temperatures -50, +200, +350, and +500
K, for which the sampling of initial conditions allowed us to characterize the
stereodynamical effect. The role is documented of the adjustment of the
reactants' mutual orientation to encounter the entrance into the "cone of
acceptance" for reactivity. The aperture angle of this cone is dictated by a
range of directions of approach compatible with the formation of the specific HOH
angle of the product water molecule; and consistently the adjustment is
progressively less effective the higher the kinetic energy. Qualitatively, this
emerging picture corroborates experiments on this reaction, involving collisions
of aligned and oriented molecular beams, and covering a range of energies higher
than the thermal ones. The extraction of thermal rate constants from this
molecular dynamics approach is discussed and the systematic sampling of the
canonical ensemble is indicated as needed for quantitative comparison with the
kinetic experiments.
PMID- 27205873
TI - The author file: Peter Lansdorp.
PMID- 27205874
TI - Lighting up sugars: fluorescent BODIPY-gluco-furanose and -septanose conjugates
linked by direct B-O-C bonds.
AB - We report the first O-BODIPY-glucose conjugates, in which the sugar is directly
attached to the BODIPY boron through covalent B-O-C bonds. The reaction of Cl
BODIPY with glucose in acetonitrile produced the 1 : 1 alpha-glucofuranose BODIPY
(1), 1 : 2 alpha-glucofuranose BODIPY (2) and 1 : 2 alpha-glucoseptanose BODIPY
(3) esters. Compound 3 is a rare instance of the unnatural septanose form of
glucose, and the first example of a septanose borate.
PMID- 27205877
TI - The author file: Paul Bertone.
PMID- 27205875
TI - Impaired downregulation of visual cortex during auditory processing is associated
with autism symptomatology in children and adolescents with autism spectrum
disorder.
AB - Autism spectrum disorders (ASD) are pervasive developmental disorders
characterized by impairments in language development and social interaction,
along with restricted and stereotyped behaviors. These behaviors often include
atypical responses to sensory stimuli; some children with ASD are easily
overwhelmed by sensory stimuli, while others may seem unaware of their
environment. Vision and audition are two sensory modalities important for social
interactions and language, and are differentially affected in ASD. In the present
study, 16 children and adolescents with ASD and 16 typically developing (TD)
participants matched for age, gender, nonverbal IQ, and handedness were tested
using a mixed event-related/blocked functional magnetic resonance imaging
paradigm to examine basic perceptual processes that may form the foundation for
later-developing cognitive abilities. Auditory (high or low pitch) and visual
conditions (dot located high or low in the display) were presented, and
participants indicated whether the stimuli were "high" or "low." Results for the
auditory condition showed downregulated activity of the visual cortex in the TD
group, but upregulation in the ASD group. This atypical activity in visual cortex
was associated with autism symptomatology. These findings suggest atypical
crossmodal (auditory-visual) modulation linked to sociocommunicative deficits in
ASD, in agreement with the general hypothesis of low-level sensorimotor
impairments affecting core symptomatology. Autism Res 2017, 10: 130-143. (c) 2016
International Society for Autism Research, Wiley Periodicals, Inc.
PMID- 27205881
TI - The Lithic Assemblages of Xiaochangliang, Nihewan Basin: Implications for Early
Pleistocene Hominin Behaviour in North China.
AB - Xiaochangliang (XCL), located in the Nihewan Basin of North China, is a key
archaeological locality for understanding the behavioural evolution of early
humans. XCL dates to ca. 1.36 Ma, making it one of the earliest sites in
Northeast Asia. Although XCL represents the first excavation of an Early
Pleistocene site in the Nihewan Basin, identified and excavated in the 1970's,
the lithic assemblages have never been published in full detail. Here we describe
the lithic assemblages from XCL, providing information on stone tool reduction
techniques and the influence of raw materials on artefact manufacture. The XCL
hominins used both bipolar and freehand reduction techniques to manufacture small
flakes, some of which show retouch. Bipolar reduction methods at XCL were used
more frequently than previously recognized. Comparison of XCL with other Early
Pleistocene sites in the Nihewan Basin indicates the variable use of bipolar and
freehand reduction methods, thereby indicating a flexible approach in the
utilization of raw materials. The stone tools from XCL and the Nihewan sites are
classifiable as Mode I lithic assemblages, readily distinguished from bifacial
industries manufactured by hominins in Eastern Asia by ca. 800 ka.
PMID- 27205876
TI - Key role of heat shock protein 90 in leptin-induced STAT3 activation and feeding
regulation.
AB - BACKGROUND AND PURPOSE: Leptin, an important regulator of the energy balance,
acts on the brain to inhibit feeding. However, the mechanisms involved in leptin
signalling have not yet been fully elucidated. Heat shock protein 90 (HSP90) is a
molecular chaperone that is involved in regulating cellular homeostasis. In the
present study, we investigated the possible involvement of HSP90 in leptin signal
transduction. EXPERIMENTAL APPROACH: HEK293 and SH-SY5Y cell lines stably
transfected with the Ob-Rb leptin receptor (HEK293 Ob-Rb, SH-SY5Y Ob-Rb) were
used in the present study. Phosphorylation of JAK2 and STAT3 was analysed by
western blotting. An HSP90 inhibitor was administered i.c.v. into rats and their
food intake was analysed. KEY RESULTS: The knock-down of HSP90 in the HEK293 Ob
Rb cell line attenuated leptin-induced JAK2 and STAT3 signalling. Moreover,
leptin-induced JAK2/STAT3 phosphorylation was markedly attenuated by the HSP90
inhibitors geldanamycin, radicicol and novobiocin. However, these effects were
not mediated through previously known factors, which are known to be involved in
the development of leptin resistance, such as suppressor of cytokine signalling 3
or endoplasmic reticulum stress. The infusion of an HSP90 inhibitor into the CNS
blunted the anorexigenic actions of leptin in rats (male Wister rat). CONCLUSIONS
AND IMPLICATIONS: HSP90 may be a novel factor involved in leptin-mediated
signalling that is linked to anorexia.
PMID- 27205884
TI - Impact of comorbidities in hypertension.
PMID- 27205882
TI - Detection and manipulation of live antigen-expressing cells using conditionally
stable nanobodies.
AB - The ability to detect and/or manipulate specific cell populations based upon the
presence of intracellular protein epitopes would enable many types of studies and
applications. Protein binders such as nanobodies (Nbs) can target untagged
proteins (antigens) in the intracellular environment. However, genetically
expressed protein binders are stable regardless of antigen expression,
complicating their use for applications that require cell-specificity. Here, we
created a conditional system in which the stability of an Nb depends upon an
antigen of interest. We identified Nb framework mutations that can be used to
rapidly create destabilized Nbs. Fusion of destabilized Nbs to various proteins
enabled applications in living cells, such as optogenetic control of neural
activity in specific cell types in the mouse brain, and detection of HIV-infected
human cells by flow cytometry. These approaches are generalizable to other
protein binders, and enable the rapid generation of single-polypeptide sensors
and effectors active in cells expressing specific intracellular epitopes.
PMID- 27205886
TI - Invasive treatment of atrial fibrillation.
AB - PURPOSE OF REVIEW: The treatment of atrial fibrillation has experienced a
significant evolution over the past two decades. Catheter-based ablation has
become a first-line option in various guidelines. In this review, we highlight
the recent multicenter ablation studies and the challenges facing this treatment
modality. RECENT FINDINGS: Improved efficacy and safety of an invasive treatment
of paroxysmal and persistent atrial fibrillation with catheter ablation. SUMMARY:
Atrial fibrillation is a major health problem. Catheter ablation has become a
standard of care in managing paroxysmal and persistent atrial fibrillation. This
treatment modality, however, still faces major challenges, especially in patients
presenting with persistent atrial fibrillation.
PMID- 27205883
TI - Premature polyadenylation of MAGI3 produces a dominantly-acting oncogene in human
breast cancer.
AB - Genetic mutation, chromosomal rearrangement and copy number amplification are
common mechanisms responsible for generating gain-of-function, cancer-causing
alterations. Here we report a new mechanism by which premature cleavage and
polyadenylation (pPA) of RNA can produce an oncogenic protein. We identify a pPA
event at a cryptic intronic poly(A) signal in MAGI3, occurring in the absence of
local exonic and intronic mutations. The altered mRNA isoform, called MAGI3(pPA),
produces a truncated protein that acts in a dominant-negative manner to prevent
full-length MAGI3 from interacting with the YAP oncoprotein, thereby relieving
YAP inhibition and promoting malignant transformation of human mammary epithelial
cells. We additionally find evidence for recurrent expression of MAGI3(pPA) in
primary human breast tumors but not in tumor-adjacent normal tissues. Our results
provide an example of how pPA contributes to cancer by generating a truncated
mRNA isoform that encodes an oncogenic, gain-of-function protein.
PMID- 27205885
TI - Lipoprotein(a) and oxidized phospholipids in calcific aortic valve stenosis.
AB - PURPOSE OF REVIEW: As the incidence of calcific aortic valve stenosis increases
with the aging of the population, improved understanding and novel therapies to
reduce its progression and need for aortic valve replacement are urgently needed.
RECENT FINDINGS: Lipoprotein(a) is the only monogenetic risk factor for calcific
aortic stenosis. Elevated levels are a strong, causal, independent risk factor,
as demonstrated in epidemiological, genome-wide association studies and Mendelian
randomization studies. Lipoprotein(a) is the major lipoprotein carrier of
oxidized phospholipids, which are proinflammatory and promote calcification of
vascular cells, two key pathophysiological drivers of aortic stenosis. Elevated
plasma lipoprotein(a) and oxidized phospholipids predict progression of pre
existing aortic stenosis and need for aortic valve replacement. The failure of
statin trials in pre-existing aortic stenosis may be partially due to an increase
in lipoprotein(a) and oxidized phospholipid levels caused by statins. Antisense
oligonucleotides targeted to apo(a) are in Phase 2 clinical development and shown
to lower both lipoprotein(a) and oxidized phospholipids. SUMMARY: Lipoprotein(a)
and oxidized phospholipids are key therapeutic targets in calcific aortic
stenosis. Strategies aimed at potent lipoprotein(a) lowering to normalize levels
and/or to suppress the proinflammatory effects of oxidized phospholipids may
prevent progression of this disease.
PMID- 27205887
TI - Optimal antithrombotic treatment in patients with atrial fibrillation and
coronary stents: an update.
AB - PURPOSE OF REVIEW: The optimal antithrombotic therapy in patients with atrial
fibrillation undergoing percutaneous coronary intervention (PCI) is still
debated. This review is an update of a previous review and aims to summarize new
published data regarding the management of this group of atrial fibrillation
patients. RECENT FINDINGS: Recent data report an underuse of oral anticoagulation
in patients with atrial fibrillation undergoing PCI while indicated. However,
tools for risk assessment and thus better guidance for decision-making are
lacking, especially for elderly atrial fibrillation patients. New evidence
suggests that the combination of oral anticoagulation and clopidogrel without
aspirin may improve clinical outcomes in comparison with triple therapy; however,
there is little data regarding the role of non-vitamin K oral anticoagulants and
newer P2Y12 inhibitors in these regimens. SUMMARY: Despite accumulating data on
the assessment of bleeding and thrombotic risk, the management of elderly atrial
fibrillation patients, new treatment regimens, and the role of more potent
antithrombotic agents, the optimal antithrombotic therapy for patients with
atrial fibrillation after PCI is still unclear. In the meantime, careful
assessment of both thrombotic and bleeding risk and individualized decision
making are paramount to ensure the best patient outcomes.
PMID- 27205888
TI - Fabry disease: will markers of early disease enable early treatment and better
outcomes?
AB - PURPOSE OF REVIEW: This review explores the clinical and pathological features of
Fabry disease. New modalities of imaging, biomarkers and long-term treatment
effects are discussed. RECENT FINDINGS: Fabry disease is clinically
heterogeneous, and in women the clinical severity has recently been linked to
skewing of X-inactivation. Two phenotypes have been described, one with early
onset manifestations is including pain and one with later onset single organ
manifestations; however, the cardiac outcomes in these two groups appear similar.
Fibrosis is found in renal and cardiac tissues on biopsy and appears to be a
critical point in the pathology of Fabry disease after which response to enzyme
replacement therapy is more limited. In-vitro studies have suggested that lyso
globotriaosylceramide may have an important role in the generation of fibrosis.
Imaging, including cardiac magnetic resonance imaging, may have a role in
detection of early stages of the disease. Long-term outcomes for patients treated
with enzyme replacement therapy are now being described with some suggestion that
patients treated at earlier points in the disease course may have better
outcomes. SUMMARY: Recent advances in understanding pathology, disease processes
and treatment effects may enable future rational targeting of treatment with
improved outcomes.
PMID- 27205890
TI - A tailored three-dimensionally printable agarose-collagen blend allows
encapsulation, spreading, and attachment of human umbilical artery smooth muscle
cells.
AB - In recent years, novel biofabrication technologies have enabled the rapid
manufacture of hydrogel-cell suspensions into tissue-imitating constructs. The
development of novel materials for biofabrication still remains a challenge due
to a gap between contradicting requirements such as three-dimensional
printability and optimal cytocompatibility. We hypothesise that blending of
different hydrogels could lead to a novel material with favourable biological and
printing properties. In our work, we combined agarose and type I collagen in
order to develop a hydrogel blend capable of long-term cell encapsulation of
human umbilical artery smooth muscle cells (HUASMCs) and 3D drop-on-demand
printing. Different blends were prepared with 0.25%, 0.5%, 0.75%, and 1.5%
agarose and 0.2% type I collagen. The cell morphology of HUASMCs and the printing
accuracy were assessed for each agarose-collagen combination, keeping the content
of collagen constant. The hydrogel blend which displayed sufficient cell
spreading and printing accuracy (0.5% agarose, 0.2% type I collagen,
AGR0.5COLL0.2) was then characterised based on swelling and degradation over 21
days and mechanical stiffness. The cellular response regarding cell attachment of
HUASMCs embedded in the hydrogel blend was further studied using SEM, TEM, and
TPLSM. Printing trials were fabricated in a drop-on-demand printing process. The
swelling and degradation evaluation showed an average of 20% mass loss and less
than 10% swelling. AGR0.5COLL0.2 exhibited significant increase in stiffness
compared to pure agarose and type I collagen. In addition, columns of
AGR0.5COLL0.2 three centimeters in height were successfully printed submerged in
cooled perfluorocarbon, proving the intrinsic printability of the hydrogel blend.
Ultimately, a promising novel hydrogel blend showing cell spreading and
attachment as well as suitability for bioprinting was identified and could, for
example, serve in the manufacture of in vitro 3D models to capture more complex
features of disease and drug discovery.
PMID- 27205891
TI - Relationship between Concentrations of Lutein and StARD3 among Pediatric and
Geriatric Human Brain Tissue.
AB - Lutein, a dietary carotenoid, selectively accumulates in human retina and brain.
While many epidemiological studies show evidence of a relationship between lutein
status and cognitive health, lutein's selective uptake in human brain tissue and
its potential function in early neural development and cognitive health have been
poorly evaluated at a molecular level. The objective of this study was to
evaluate the cross-sectional relationship between concentrations of brain lutein
and StARD3 (identified as its binding protein in retinal tissue) among three age
groups: infants (1-4 months, n = 10), older adults (55-86 years, n = 8), and
centenarians (98-105 years, n = 10). Brain lutein concentrations were analyzed by
high-performance liquid chromatography and StARD3 levels were analyzed by Western
Blot analysis. The strong relationship in infant brains (r = 0.75, P < 0.001)
suggests that lutein has a role in neural development. The relationship remained
significant but weaker in older adults (r = 0.51, P < 0.05) and insignificant in
centenarians (r = 0.08, P > 0.05), seven of whom had mild cognitive impairment
(MCI) or dementia. These exploratory findings suggest an age-related decrease or
abnormality of StARD3 activity in human brain. Given that StARD3 is also involved
in cholesterol transportation, a process that is aberrant in neurodegenerative
diseases, the potential protective function of lutein against these diseases
remains to be explored.
PMID- 27205892
TI - AMBULATORY BLOOD PRESSURE PATTERNS IN PATIENTS WITH RETINAL VEIN OCCLUSION.
AB - PURPOSE: Failure of blood pressure (BP) to dip during sleep (nondipper pattern)
is associated with cardiovascular disease and stroke. The prevalence and degree
of nondipping and masked hypertension in patients with retinal vein occlusion
(RVO), which is associated with stroke, has not been previously examined.
METHODS: We measured clinic and 24-hour ambulatory BPs in 22 patients with RVO
and 20 control participants without known eye disease matched by age and sex.
Mean BP dipping, defined as the ratio of difference in mean awake and sleep
systolic BPs to mean awake systolic BP, and masked and nocturnal hypertension
were compared between groups. RESULTS: Mean 24-hour ambulatory BP was 144/79 mmHg
among those with RVO and 136/77 mmHg among controls. Patients with RVO had an
almost 2-fold higher prevalence of nondipping pattern (80.8% [95% confidence
interval, 52.8-94.1] vs. 50.4% [95% confidence interval, 26.1-74.5]; P = 0.008).
Average sleep systolic BP dip in patients with RVO was 6.1% versus 11.9% in
controls (P = 0.004). More patients with RVO had masked hypertension by
ambulatory BPs than controls (71% vs. 50%), but this difference was not
statistically significant. CONCLUSION: Our data suggest an association between
RVO and nondipper BP pattern. Ambulatory BP monitoring may be useful in the
evaluation of patients with RVO by identifying those who may benefit from more
aggressive BP control.
PMID- 27205889
TI - In-Clinic Blood Pressure Prediction of Normal Ambulatory Blood Pressure
Monitoring in Pediatric Hypertension Referrals.
AB - OBJECTIVE: Since younger patients have low pretest probability of hypertension
and are susceptible to reactive and masked hypertension, ambulatory blood
pressure monitoring (ABPM) can be useful. To better target use in referred
patients, we sought to define in-clinic systolic blood pressure (SBP) measures
that predicted normal ABPM and target end organ damage. DESIGN, SETTING,
PATIENTS, OUTCOME MEASURES: Data were collected on consecutive patients referred
for high BP undergoing an ambulatory BP monitor from 2010 to 2013 (n = 248, 33.9%
female, mean age 15.5 +/- 3.6 years). Candidate in-clinic predictors were
systolic maximum, minimum, or average BPs obtained by auscultative,
oscillometric, or both. Multivariable logistic regression models were used to
determine the prediction of normal ABPM by in-clinic BP predictors. Separate
models considered predicting left ventricular hypertrophy (LVH) by in-clinic SBP
vs. ABPM-defined hypertension. Identified predictor utility was tested with
receiver operator characteristic curves. RESULTS: Maximum (OR 0.97 [95% CI 0.94
0.99]; P = .047), minimum (0.96 [0.94-0.99]; P = .002), and average (0.97 [0.95
1.00]; P = .04) in-clinic auscultative SBP predicted normal ABPM. Each had a c
statistic of 0.58. LVH was associated with in-clinic auscultative minimum SBP
treated continuously (1.05, [1.01-1.10], P = .01) or dichotomized at the 90th
percentile (8.23, [1.48-45.80], P = .02), as well as ABPM-defined hypertension
(3.31, [1.23-8.91], P = .02). Both predictors had poor sensitivity and
specificity. CONCLUSION: In youth, normal auscultative in-clinic systolic blood
pressure indices weakly predicted normal ambulatory blood pressure and target end
organ damage.
PMID- 27205893
TI - RELATIONSHIP BETWEEN METAMORPHOPSIA AND INTRARETINAL CYSTS WITHIN THE FLUID CUFF
AFTER SURGERY FOR IDIOPATHIC MACULAR HOLE.
AB - PURPOSE: To quantify metamorphopsia in patients undergoing vitrectomy for
idiopathic macular hole (MH) and to investigate the relationship between
metamorphopsia and foveal microstructure. METHODS: This is prospective,
consecutive, interventional study. Fifty-one eyes of MH were included. Severity
of metamorphopsia was quantified using the M-CHARTS and foveal microstructure was
assessed with optical coherence tomography preoperatively and at 3 months, 6
months postoperatively. Based on the optical coherence tomography images, the
authors quantified minimum and base diameters of MH, macular thickness, defect
lengths of external limiting membrane, ellipsoid zone and interdigitation zone,
and the area of intraretinal cysts within the fluid cuff. RESULTS: Mean
metamorphopsia score was significantly improved from 0.82 to 0.44. Postoperative
mean and horizontal metamorphopsia scores were correlated with preoperative base
diameters of MH, defect lengths of external limiting membrane, and the area of
cysts in fluid cuff. Multiple regression analysis revealed that postoperative
mean and horizontal metamorphopsia scores were significantly positively relevant
to the area of intraretinal cysts within the fluid cuff. Postoperative vertical
metamorphopsia score was also correlated with the area of intraretinal cysts
within the fluid cuff. CONCLUSION: Vitrectomy for MH improved metamorphopsia.
Postoperative metamorphopsia was associated with the preoperative area of
intraretinal cysts within the fluid cuff.
PMID- 27205894
TI - THE BANDAGE LENS TECHNIQUE: A NOVEL METHOD TO IMPROVE INTRAOPERATIVE
VISUALIZATION AND FLUIDIC STABILIZATION DURING VITRECTOMY IN CASES OF PENETRATING
OCULAR TRAUMA.
PMID- 27205895
TI - CHARACTERIZATION OF THE MIDDLE CAPILLARY PLEXUS USING OPTICAL COHERENCE
TOMOGRAPHY ANGIOGRAPHY IN HEALTHY AND DIABETIC EYES.
AB - PURPOSE: To assess the ability of optical coherence tomography angiography to
image the retinal middle capillary plexus (MCP), and to characterize the MCP as a
unique vascular network separate from the superficial and deep capillary plexus
(DCP). METHODS: Healthy and diabetic eyes were imaged using the Avanti XR optical
coherence tomography angiography instrument (Optovue Inc, Fremont, CA). Using
manual segmentation of the retinal layers, the authors generated en face
angiograms to distinguish the three capillary plexuses (superficial capillary
plexus, MCP, DCP). RESULTS: In healthy eyes, arterioles gave rise to distinct
branches in the MCP, and venules gave rise to prominent vortex like branches in
the DCP. The foveal avascular zone was most well-defined at the level of the MCP,
and had a larger area in the DCP. In diabetic eyes, the three capillary plexuses
showed varying degrees of nonperfusion, including variable shapes and extent of
the foveal avascular zone, with loss of border integrity at the MCP.
Microaneurysms appeared in all the three capillary plexuses. CONCLUSION: Using
customized segmentation analysis in optical coherence tomography angiography, the
authors demonstrate that the MCP is qualitatively and functionally distinct from
the superficial capillary plexus and DCP, which may help clarify the pathogenesis
of different middle retinal ischemic entities and provide new insights into
retinal ischemia in diabetic retinopathy.
PMID- 27205898
TI - Deflating balloon tamponade: Should we expand the use of stents for severe
refractory esophageal variceal bleeding?
PMID- 27205897
TI - Not all mice are the same: Standardization of animal research data presentation.
PMID- 27205900
TI - Perceptions about traditional and novel methods to learn about postoperative pain
management: a qualitative study.
AB - AIM: The aim of this study was to explore the perceptions of surgical patients
about traditional and novel methods to learn about postoperative pain management.
BACKGROUND: Patient education is an important part of postoperative care.
Contemporary technology offers new ways for patients to learn about self-care,
although face-to-face discussions and brochures are the most common methods of
delivering education in nursing practice. DESIGN: A qualitative design with a
vignette and semi-structured interviews used for data collection. METHODS: A
purposeful sample of 13 postsurgical patients, who had been discharged from
hospital, was recruited during 2013-2014. The patients were given a vignette
about anticipated hospital discharge after surgery with four different options
for communication (face-to-face, brochure, website, serious game) to learn about
postoperative pain management. They were asked to rank their preferred method of
learning and thereafter to reflect on their choices. Data were analysed using an
inductive content analysis approach. FINDINGS: Patients preferred face-to-face
education with a nurse, followed by brochures and websites, while games were
least preferred. Two categories, each with two sub-categories, emerged from the
data. These conceptualized the factors affecting patients' perceptions: (1)
'Trusting the source', sub-categorized into 'Being familiar with the method' and
'Having own prejudgments'; and (2) 'Being motivated to learn' sub-categorized
into 'Managing an impaired cognition' and 'Aspiring for increased knowledge'.
CONCLUSION: To implement successfully novel educational methods into
postoperative care, healthcare professionals need to be aware of the factors
influencing patients' perceptions about how to learn, such as trust and
motivation.
PMID- 27205899
TI - A Cost-Effectiveness Tool for Informing Policies on Zika Virus Control.
AB - BACKGROUND: As Zika virus continues to spread, decisions regarding resource
allocations to control the outbreak underscore the need for a tool to weigh
policies according to their cost and the health burden they could avert. For
example, to combat the current Zika outbreak the US President requested the
allocation of $1.8 billion from Congress in February 2016. METHODOLOGY/PRINCIPAL
FINDINGS: Illustrated through an interactive tool, we evaluated how the number of
Zika cases averted, the period during pregnancy in which Zika infection poses a
risk of microcephaly, and probabilities of microcephaly and Guillain-Barre
Syndrome (GBS) impact the cost at which an intervention is cost-effective. From
Northeast Brazilian microcephaly incidence data, we estimated the probability of
microcephaly in infants born to Zika-infected women (0.49% to 2.10%). We also
estimated the probability of GBS arising from Zika infections in Brazil (0.02% to
0.06%) and Colombia (0.08%). We calculated that each microcephaly and GBS case
incurs the loss of 29.95 DALYs and 1.25 DALYs per case, as well as direct medical
costs for Latin America and the Caribbean of $91,102 and $28,818, respectively.
We demonstrated the utility of our cost-effectiveness tool with examples
evaluating funding commitments by Costa Rica and Brazil, the US presidential
proposal, and the novel approach of genetically modified mosquitoes. Our analyses
indicate that the commitments and the proposal are likely to be cost-effective,
whereas the cost-effectiveness of genetically modified mosquitoes depends on the
country of implementation. CONCLUSIONS/SIGNIFICANCE: Current estimates from our
tool suggest that the health burden from microcephaly and GBS warrants
substantial expenditures focused on Zika virus control. Our results justify the
funding committed in Costa Rica and Brazil and many aspects of the budget
outlined in the US president's proposal. As data continue to be collected, new
parameter estimates can be customized in real-time within our user-friendly tool
to provide updated estimates on cost-effectiveness of interventions and inform
policy decisions in country-specific settings.
PMID- 27205901
TI - Seasonal Change in Trophic Niche of Adfluvial Arctic Grayling (Thymallus
arcticus) and Coexisting Fishes in a High-Elevation Lake System.
AB - Introduction of non-native species is a leading threat to global aquatic
biodiversity. Competition between native and non-native species is often
influenced by changes in suitable habitat or food availability. We investigated
diet breadth and degree of trophic niche overlap for a fish assemblage of native
and non-native species inhabiting a shallow, high elevation lake system. This
assemblage includes one of the last remaining post-glacial endemic populations of
adfluvial Arctic grayling (Thymallus arcticus) in the contiguous United States.
We examined gut contents and stable isotope values of fish taxa in fall and
spring to assess both short- (days) and long-term (few months) changes in trophic
niches. We incorporate these short-term (gut contents) data into a secondary
isotope analysis using a Bayesian statistical framework to estimate long-term
trophic niche. Our data suggest that in this system, Arctic grayling share both a
short- and long-term common food base with non-native trout of cutthroat x
rainbow hybrid species (Oncorhynchus clarkia bouvieri x Oncorhynchus mykiss) and
brook trout (Salvelinus fontinalis). In addition, trophic niche overlap among
Arctic grayling, hybrid trout, and brook trout appeared to be stronger during
spring than fall. In contrast, the native species of Arctic grayling, burbot
(Lota lota), and suckers (Catostomus spp.) largely consumed different prey items.
Our results suggest strong seasonal differences in trophic niche overlap among
Arctic grayling and non-native trout, with a potential for greatest competition
for food during spring. We suggest that conservation of endemic Arctic grayling
in high-elevation lakes will require recognition of the potential for coexisting
non-native taxa to impede well-intentioned recovery efforts.
PMID- 27205904
TI - Circumscribed Hypokeratosis: Report on a Series of 7 Mexican Cases and Review of
the Literature.
AB - Circumscribed palmoplantar hypokeratosis is a recently described condition with
well-defined clinical and histopathologic features. Eight additional cases from
Mexican patients-six cases have been published in the literature, we report 7. In
6 cases, a hyperkeratotic edge was demonstrated histologically. One case was very
similar to viral wart. Two of our patients had lesions on their fingers. Since
palms and soles are not the only sites that can be affected, we suggest the name
circumscribed hypokeratosis. Two of the cases were treated with surgical
excision, so this treatment for small lesions is recommended.
PMID- 27205902
TI - Elucidation of a mechanism of oxidative stress regulation in Francisella
tularensis live vaccine strain.
AB - Francisella tularensis causes a lethal human disease known as tularemia. As an
intracellular pathogen, Francisella survives and replicates in phagocytic cells,
such as macrophages. However, to establish an intracellular niche, Francisella
must overcome the oxidative stress posed by the reactive oxygen species (ROS)
produced by the infected macrophages. OxyR and SoxR/S are two well-characterized
transcriptional regulators of oxidative stress responses in several bacterial
pathogens. Only the OxyR homolog is present in F. tularensis, while the SoxR
homologs are absent. The functional role of OxyR has not been established in F.
tularensis. We demonstrate that OxyR regulates oxidative stress responses and
provides resistance against ROS, thereby contributing to the survival of the F.
tularensis subsp. holarctica live vaccine strain (LVS) in macrophages and
epithelial cells and contributing to virulence in mice. Proteomic analysis
reveals the differential production of 128 proteins in the oxyR gene deletion
mutant, indicating its global regulatory role in the oxidative stress response of
F. tularensis. Moreover, OxyR regulates the transcription of the primary
antioxidant enzyme genes by binding directly to their putative promoter regions.
This study demonstrates that OxyR is an important virulence factor and
transcriptional regulator of the oxidative stress response of the F. tularensis
LVS.
PMID- 27205903
TI - Association of Cancer Incidence and Duration of Residence in Geothermal Heating
Area in Iceland: An Extended Follow-Up.
AB - BACKGROUND: Residents of geothermal areas have higher incidence of non-Hodgkin's
lymphoma, breast cancer, prostate cancer, and kidney cancers than others. These
populations are exposed to chronic low-level ground gas emissions and various
pollutants from geothermal water. The aim was to assess whether habitation in
geothermal areas and utilisation of geothermal water is associated with risk of
cancer according to duration of residence. METHODS: The cohort obtained from the
census 1981 was followed to the end of 2013. Personal identifier was used in
record linkage with nation-wide emigration, death, and cancer registries. The
exposed population, defined by community codes, was located on young bedrock and
had utilised geothermal water supply systems since 1972. Two reference
populations were located by community codes on older bedrock or had not utilised
geothermal water supply systems for as long a period as had the exposed
population. Adjusted hazard ratio (HR), 95% confidence intervals (CI) non
stratified and stratified on cumulative years of residence were estimated in Cox
model. RESULTS: The HR for all cancer was 1.21 (95% CI 1.12-1.30) as compared
with the first reference area. The HR for pancreatic cancer was 1.93 (1.22-3.06),
breast cancer, 1.48 (1.23-1.80), prostate cancer 1.47 (1.22-1.77), kidney cancer
1.46 (1.03-2.05), lymphoid and haematopoietic tissue 1.54 (1.21-1.97), non
Hodgkin's lymphoma 2.08 (1.38-3.15) and basal cell carcinoma of the skin 1.62
(1.35-1.94). Positive dose-response relationship was observed between incidence
of cancers and duration of residence, and between incidence of cancer and degree
of geothermal/volcanic activity in the comparison areas. CONCLUSIONS: The higher
cancer incidence in geothermal areas than in reference areas is consistent with
previous findings. As the dose-response relationships were positive between
incidence of cancers and duration of residence, it is now more urgent than before
to investigate the chemical and physical content of the geothermal water and of
the ambient air of the areas to detect recognized or new carcinogens.
PMID- 27205906
TI - William Boog Leishman-A Brief Reminder of His Life and Work on the Occasion of
His 150th Birthday.
AB - William Boog Leishman was born 150 years ago. Although his description of "small
round or oval bodies" in a smear from the spleen pulp of a soldier who had died
of kala-azar was not the first one of Leishmania and although Leishman did not
make the diagnosis of kala-azar and misinterpreted the microorganisms to be
trypanosomes, his article became the springboard for a series of studies that,
within a few months, established Leishmania as a previously unknown genus of
protozoa and led to appreciation of the clinical spectrum of kala-azar and the
relationship between cutaneous and visceral leishmaniasis.
PMID- 27205905
TI - A Growing Scalp Nodule.
PMID- 27205907
TI - Calcinospherules: A Unique Morphology of Dystrophic Calcification That Mimics
Deep Fungal Infection.
AB - Dystrophic calcification may occur in association with cutaneous neoplasms. We
report the case of a 50-year-old woman with unusual appearing dystrophic
calcification that was mistaken for coccidiomycosis during Mohs micrographic
surgery for an invasive squamous cell carcinoma on the lower lip. The term
"calcinospherule" is suggested as a term to describe the round calcified bodies
found within the lesion that mimicked spherules with endospores characteristic of
Coccidioides imitis infection. Both the dermatopathologist and Mohs micrographic
surgeon should be aware of this entity as well as other calcified bodies that may
be encountered in Mohs micrographic surgery frozen sections. Literature regarding
these calcified bodies is briefly reviewed.
PMID- 27205908
TI - Malignant Melanoma With Rhabdomyosarcomatous Differentiation: A Case Report.
AB - Malignant melanoma may exhibit morphologic characteristics of nonmelanocytic cell
or tissue components, a phenomenon termed divergent differentiation. Melanoma
with rhabdomyosarcomatous differentiation is rare, with 6 definite cases in
adults reported in the literature. The authors describe a 75-year-old man with a
cutaneous lesion of the right ear initially diagnosed as malignant melanoma.
Three months later, biopsy of a right cervical lymph node showed changes
suggestive of rhabdomyosarcoma. Reexamination of the initial skin biopsy with
muscle markers confirmed a diagnosis of malignant melanoma with
rhabdomyosarcomatous differentiation. This case serves to highlight the
diagnostic challenges associated with this rare subtype of melanoma.
PMID- 27205909
TI - A Growing Scalp Nodule.
PMID- 27206013
TI - Atopic dermatitis and filaggrin.
AB - Filaggrin has a key structural and functional role in the epidermis with
important impact on the homeostasis of the skin. Inherited or acquired filaggrin
deficiency has been described to essentially contribute to the pathogenesis of
atopic dermatitis (AD), one of the most frequent chronic eczematous skin diseases
in child-hood and adult-hood. Increasing knowledge has been gained during the
last years about direct and indirect consequences of filaggrin deficiency.
Furthermore, with the help of novel murine and human experimental models
important steps forward have been made towards the characterization of filaggrin
structure and function. Future approaches aimed at restoration of filaggrin
expression may open novel mechanism-based therapies for AD.
PMID- 27206015
TI - Endoscopic Mucosal Healing Predicts Favorable Clinical Outcomes in Inflammatory
Bowel Disease: A Meta-analysis.
AB - BACKGROUND: Mucosal healing (MH) in inflammatory bowel disease has been
associated with improved long-term clinical outcomes. Uncertainty remains as to
the magnitude of this effect and to how this association changes with time and
degree of healing. METHODS: PubMed, EMBASE, and Web of Science searches
identified 1570 citations. Screening of abstracts identified 155 articles for
full-text review, of which 19 met inclusion criteria. For 3 outcomes of interest
(surgeries, hospitalizations, remission), weighted random-effects meta-analysis
was performed. RESULTS: In pooled analysis, MH predicted fewer major abdominal
surgeries (relative risk [RR], 0.34; 95% confidence interval [CI], 0.26-0.46),
increased remission (RR, 1.84; 95% CI, 1.43-2.36), and fewer hospitalizations
(RR, 0.58; 95% CI, 0.42-0.78). Complete MH and partial MH both showed
significantly higher rates of favorable outcomes. Separate analyses for Crohn's
disease and ulcerative colitis showed identical patterns for surgeries and
remission. When subjects with no healing were excluded, and complete versus
partial healing was compared, rates of surgery were not significantly different
(RR, 0.82; 95% CI, 0.46-1.44). However, complete healing was superior in
predicting corticosteroid-free remission (RR, 1.71; 95% CI, 1.24-2.34). Meta
regression found that the predictive power of this complete versus partial
healing distinction was strongly associated with the duration of follow-up after
endoscopy. CONCLUSIONS: MH is a strong predictor of fewer surgeries, long-term
clinical remission, and fewer hospitalizations. Complete healing is not
significantly more favorable than partial healing for predicting surgeries or
hospitalizations, but it did predict higher rates of clinical remission. This
benefit of complete MH over partial healing increases with follow-up time.
PMID- 27206016
TI - Health-related Quality of Life in Patients with Inflammatory Bowel Disease 20
Years After Diagnosis: Results from the IBSEN Study.
AB - BACKGROUND: Data on the long-term observation of health-related quality of life
(HRQoL) in the inflammatory bowel diseases (IBD), Crohn's disease (CD), and
ulcerative colitis are scarce. Our aim was to determine HRQoL in a population
based cohort of patients with IBD 20 years after diagnosis and its association
with demographic and clinical factors and to compare HRQoL of the cohort with
that of the background population. METHODS: Patients with IBD from a large
population-based inception cohort (the IBSEN cohort) were invited to a
prescheduled 20-year follow-up visit with a structured interview, a clinical
examination, and laboratory tests. They completed the Short-Form 36 and the
Norwegian Inflammatory Bowel Disease Questionnaire. The association between
demographic and clinical factors and HRQoL was assessed with a linear regression
analysis. Standardized scores were used to compare HRQoL in patients with that of
the background population. RESULTS: Of the still-living patients with IBD, 438
(73.1%) completed the HRQoL questionnaires. There were no differences in HRQoL
scores between the patients with ulcerative colitis and those with CD. Women with
CD obtained scores lower than those of men and women with CD in the background
population. Current symptoms, increased disease activity, and not working were
identified as factors associated with reduced HRQoL. CONCLUSIONS: In this
population-based IBD cohort, the overall HRQoL scores obtained 20 years after
diagnosis were relatively unaffected compared with the background population.
However, women with CD had lower HRQoL scores than men with CD and women in the
background population. Active disease and not working were the main factors
associated with impaired HRQoL scores.
PMID- 27206017
TI - Gut Virome and Inflammatory Bowel Disease.
AB - Gut virome has been shown to yield some beneficial effects on humans, being
deeply involved in physiology, inflammation, immunity, and disease. Together with
transkingdom interactions, it can interplay with genetic variation in the host to
establish specific phenotypes. These interactions can lead to phenotypes not
observed with either the virus or the host variation alone. Unfavorable
alteration of gut virome composition has been implicated in chronic, and perhaps
also systemic, immune disorders, such as in the pathogenesis of inflammatory
bowel disease. This review focuses on what is currently known regarding the role
of commensal gut virome in chronic gut inflammation, and speculate on the
important translational implications in regard to gut virome modulation in
inflammatory bowel disease with the end goal of promoting gut health.
PMID- 27206014
TI - Characterisation of Candida within the Mycobiome/Microbiome of the Lower
Respiratory Tract of ICU Patients.
AB - Whether the presence of Candida spp. in lower respiratory tract (LRT) secretions
is a marker of underlying disease, intensive care unit (ICU) treatment and
antibiotic therapy or contributes to poor clinical outcome is unclear. We
investigated healthy controls, patients with proposed risk factors for Candida
growth in LRT (antibiotic therapy, ICU treatment with and without antibiotic
therapy), ICU patients with pneumonia and antibiotic therapy and candidemic
patients (for comparison of truly invasive and colonizing Candida spp.). Fungal
patterns were determined by conventional culture based microbiology combined with
molecular approaches (next generation sequencing, multilocus sequence typing) for
description of fungal and concommitant bacterial microbiota in LRT, and host and
fungal biomarkes were investigated. Admission to and treatment on ICUs shifted
LRT fungal microbiota to Candida spp. dominated fungal profiles but antibiotic
therapy did not. Compared to controls, Candida was part of fungal microbiota in
LRT of ICU patients without pneumonia with and without antibiotic therapy (63%
and 50% of total fungal genera) and of ICU patients with pneumonia with
antibiotic therapy (73%) (p<0.05). No case of invasive candidiasis originating
from Candida in the LRT was detected. There was no common bacterial microbiota
profile associated or dissociated with Candida spp. in LRT. Colonizing and
invasive Candida strains (from candidemic patients) did not match to certain
clades withdrawing the presence of a particular pathogenic and invasive clade.
The presence of Candida spp. in the LRT rather reflected rapidly occurring LRT
dysbiosis driven by ICU related factors than was associated with invasive
candidiasis.
PMID- 27206018
TI - Natural History of Crohn's Disease in Elderly Patients Diagnosed Over the Age of
70 Years: A Population-Based Study.
AB - INTRODUCTION: Elderly onset (>60 yrs at diagnosis) Crohn's disease (CD) seems to
be associated with a better outcome than when diagnosed earlier in life. The aim
of this study was to compare the natural history of patients with CD older than
70 years at diagnosis with that of elderly patients diagnosed between 60 and 70
years in the EPIMAD population-based registry. METHODS: Three hundred seventy
patients with elderly onset CD diagnosed between January 1988 and December 2006
were identified. Among them, 188 (63%) were older than 70 years at diagnosis
(>=70 yrs). Clinical presentation, disease location, and behavior at diagnosis
and also natural history, surgery needs, and drug exposure were recorded, with a
median follow-up of 4.5 years (1.1; 8.3) in CD diagnosed after 70 years and of
7.8 years (3.3; 12.1) in CD diagnosed between 60 and 70 years, respectively.
RESULTS: CD incidence in elderly patients diagnosed >=70 years was 2.3/100,000
inhabitants, compared with 2.6/100,000 in elderly patients diagnosed below the
age of 70 (60-69 yrs). The proportion of males was lower in patients >=70 years
than in patients aged 60 to 69 (31% versus 45%, P = 0.006). Clinical presentation
at diagnosis was similar in both groups. Pure colonic location (L2) was more
frequent among patients >70 years both at diagnosis (73% versus 57%, P = 0.004)
and maximal follow-up (70% versus 47%, P < 0.0001). Disease extension (from L1 or
L2 to L3) was not significantly different among patients >70 years and patients
aged 60 to 69 years (hazard ratio [HR] = 2.0 [0.9; 4.5] for 60 to 69 yrs, P =
0.09). The most frequent behavior in the 2 groups was inflammatory, both at
diagnosis (75% versus 80%, P = 0.43) and at maximal follow-up (69% versus 70%, P
= 0.55). There was no significant difference in patients >70 years compared with
patients aged 60 to 69 years regarding treatment with 5-aminosalicylic acid (P =
0.72), oral corticosteroids (P = 0.83), and anti-tumor necrosis factor therapies
(P = 0.37). However, the use of immunosuppressants was significantly less
frequent in patients >70 years (HR = 2.1 [1.3; 3.5] for 60 to 69 yrs, P = 0.003).
Risk of surgery was similar in both groups (P = 0.72). Extraintestinal
manifestations at diagnosis were significantly associated with an evolution to
complicated behavior (HR = 2.7 [1.0; 7.0], P = 0.045), immunosuppressant
treatment (HR = 2.9 [1.4; 6.0], P = 0.006), and corticosteroid use (HR = 3.3
[1.8; 6.1], P < 0.0001). CONCLUSIONS: The natural history of CD in elderly
patients diagnosed over the age of 70 is mild with low disease extension and
complicated behavior. This needs to be taken into account when establishing
therapeutic strategies.
PMID- 27206020
TI - Chemical Constituents of Plants from the Genus Psychotria.
AB - Psychotria is a genus of ca. 1500 species in the family Rubiaceae. Up to now, 41
species of the Psychotria genus have been chemically investigated, and 159
compounds, including alkaloids of indole, quinoline and benzoquinolizidine type,
terpenoids, steroids, phenolics and aliphatic compounds have been isolated. These
compounds show potent bioactivities, such as antimicrobial, antiviral, and
antiparasitic activities.
PMID- 27206021
TI - Morbidity and mortality of adult patients with congenital dyserythropoietic
anemia type I.
AB - Congenital dyserythropoietic anemia type I (CDAI) is a rare autosomal recessive
disease characterized by macrocytic anemia, ineffective erythropoiesis, and
secondary hemochromatosis. To better define the natural history of the disease
among adult patients, we studied 32 Bedouin patients (median age 34 yr; range 21
60) all carrying the same CDAN1 founder mutation. Follow-up studies included
complete blood count, blood chemistry, abdominal ultrasound, echocardiography,
and T2*MRI. Main complications were due to anemia and ineffective erythropoiesis
[osteoporosis (8/9, 89%), cholelithiasis (21/30, 70%), pulmonary arterial
hypertension (PAH) (6/25, 24%)] and iron overload [hypothyroidism (9/24, 38%),
and diabetes mellitus (6/32, 19%)]. T2* MRI revealed increased liver iron but no
cardiac iron (13/13). Anemia improved in the majority of patients who underwent
splenectomy (5/6). Three patients died (9%) at the age of 46-56 due to PAH (1)
and sepsis (2). All previously underwent splenectomy. Analyzing both our patients
and the 21 patients previously described by Heimpel et al. (Blood 107:334, 2006),
we conclude that adults with CDA I suffer significant morbidity and mortality.
Careful monitoring of iron overload and prompt iron chelation therapy is
mandatory. Due to possible complications and inconsistent response to splenectomy
alpha-interferon, transfusion therapy or stem cell transplantation should be
considered as alternatives to this procedure in severely affected patients.
PMID- 27206022
TI - Synthesis and Photochromic Studies of Dithienylethene-Containing Cyclometalated
Alkynylplatinum(II) 1,3-Bis(N-alkylbenzimidazol-2'-yl)benzene Complexes.
AB - Several photochromic cyclometalated alkynylplatinum(II) complexes with tridentate
1,3-bis(N-alkylbenzimidazol-2'-yl)benzene (bzimb) ligands have been synthesized
by the reaction of the corresponding chloroplatinum(II) bzimb precursor complexes
with the photochromic ligand TMS-C=C-Th-DTE in the presence of sodium hydroxide.
They have been characterized by (1)H NMR spectroscopy and positive-ion FAB or ESI
mass spectrometry and confirmed by elemental analysis. One of the complexes has
also been characterized by X-ray crystallography. Their photophysical,
photochromic, and electrochemical properties have been studied. Upon
photoexcitation, the yellow solutions in benzene display green phosphorescence
originating from the triplet intraligand ((3)IL) excited state. All the
cyclometalated alkynylplatinum(II) bzimb complexes exhibit reversible
photochromism with solution colors changing between yellow and purple upon
photoirradiation. The thermal bleaching kinetics of complex 2 has been studied in
toluene at various temperatures with the activation barrier for the thermal
cycloreversion reaction determined.
PMID- 27206019
TI - Surveying DNA Elements within Functional Genes of Heterocyst-Forming
Cyanobacteria.
AB - Some cyanobacteria are capable of differentiating a variety of cell types in
response to environmental factors. For instance, in low nitrogen conditions, some
cyanobacteria form heterocysts, which are specialized for N2 fixation. Many
heterocyst-forming cyanobacteria have DNA elements interrupting key N2 fixation
genes, elements that are excised during heterocyst differentiation. While the
mechanism for the excision of the element has been well-studied, many questions
remain regarding the introduction of the elements into the cyanobacterial lineage
and whether they have been retained ever since or have been lost and
reintroduced. To examine the evolutionary relationships and possible function of
DNA sequences that interrupt genes of heterocyst-forming cyanobacteria, we
identified and compared 101 interruption element sequences within genes from 38
heterocyst-forming cyanobacterial genomes. The interruption element lengths
ranged from about 1 kb (the minimum able to encode the recombinase responsible
for element excision), up to nearly 1 Mb. The recombinase gene sequences served
as genetic markers that were common across the interruption elements and were
used to track element evolution. Elements were found that interrupted 22
different orthologs, only five of which had been previously observed to be
interrupted by an element. Most of the newly identified interrupted orthologs
encode proteins that have been shown to have heterocyst-specific activity.
However, the presence of interruption elements within genes with no known role in
N2 fixation, as well as in three non-heterocyst-forming cyanobacteria, indicates
that the processes that trigger the excision of elements may not be limited to
heterocyst development or that the elements move randomly within genomes. This
comprehensive analysis provides the framework to study the history and behavior
of these unique sequences, and offers new insight regarding the frequency and
persistence of interruption elements in heterocyst-forming cyanobacteria.
PMID- 27206023
TI - Relationship Between Physical Activity Patterns and Metabolic Syndrome Among Male
Coal Miners of Shanxi Province in China.
AB - OBJECTIVE: This study aimed to investigate the relationship between metabolic
syndrome (MetS) and physical activity (PA) in different domains among male coal
miners of Shanxi Province in China. METHOD: The study was conducted from July
2013 to December 2013. A two-stage stratified cluster sampling method was used.
Data regarding the general information of participants were collected by well
trained interviewers. MetS was defined according to IDF criteria. Self-reported
PA was obtained with the IPAQ and categorized into three tertiles of intensity
levels across occupation, transportation, household, and leisure-time domains.
Univariate and multiple logistic regression analysis were applied to compute the
odds ratios and their 95% confidence interval (CI). RESULTS: A total of 3076
males aged 18-65 years old were recruited in this cross-sectional study. The
prevalence of MetS was 40.5% in the study subjects. The percentages of vigorous
intensity PA in MetS and non-MetS groups were 70.07% and 62.92%, respectively.
Participants spent most of their time on occupation (2034 MET-min/w) and
transportation (693MET-min/w) domains. Higher-intensity levels in occupation
domains were significantly associated with lower risk of MetS (OR: 0.759, 95% CI:
0.633-0.911; OR: 0.627, 95% CI: 0.516-0.762). CONCLUSIONS: Across four types of
workers, the relationships between PA domains and MetS were different. For
underground and underground auxiliary workers, the negative relationship was
found between occupation PA and MetS. For office workers, the negative
relationship was found between household PA and MetS. For ground workers, only
leisure-time PA had positively related to MetS.
PMID- 27206024
TI - Molecular epidemiology of carbapenem-resistant Klebsiella pneumoniae in Greece.
AB - Hospital infections caused by carbapenem-resistant Klebsiella pneumoniae (CRKP)
constitute a worldwide problem associated with high rates of treatment failure
and mortality. In Greece, CRKP have emerged in 2002 due to VIM carbapenemase
production and later due to KPC, NDM and OXA-48-like carbapenemases that have
become endemic. The molecular epidemiology of CRKP strains is dynamic, as
antibiotic consumption and worldwide traveling are strongly associated with
global spread of CRKP isolates. Lately, porin defects, such as disruption of
OmpK35 and production of OmpK36 variant, have also contributed to carbapenem
resistance. In the coming years, the high prevalence of CRKP will require intense
infection control measures, while novel molecular patterns may appear. To our
knowledge, this is the first review analyzing the molecular epidemiology of CRKP
strains in Greece.
PMID- 27206028
TI - Biases in secondary analyses of randomised trials: recognition, correction, and
implications.
PMID- 27206025
TI - Nitrogenases-A Tale of Carbon Atom(s).
AB - Named after its ability to catalyze the reduction of nitrogen to ammonia,
nitrogenase has a surprising rapport with carbon-both through the interstitial
carbide that resides in the central cavity of its cofactor and through its
ability to catalyze the reductive carbon-carbon coupling of small carbon
compounds into hydrocarbon products. Recently, a radical-SAM-dependent pathway
was revealed for the insertion of carbide, which signifies a novel biosynthetic
route to complex bridged metalloclusters. Moreover, a sulfur-displacement
mechanism was proposed for the activation of carbon monoxide by nitrogenase,
which suggests an essential role of the interstitial carbide in maintaining the
stability while permitting a certain flexibility of the cofactor structure during
substrate turnover.
PMID- 27206029
TI - Predicting pre-eclampsia: 100 years of trying and failing.
PMID- 27206030
TI - Antenatal corticosteroids in perspective: rationalising current practice.
PMID- 27206031
TI - Routine third trimester ultrasound in low risk pregnancy confers no benefit!:
FOR: The benefits of routine third-trimester scanning are less clear cut.
PMID- 27206032
TI - Routine third trimester ultrasound in low risk pregnancy confers no benefit!:
AGAINST: Arguments for a routine third trimester ultrasound: what the meta
analysis does not show!
PMID- 27206033
TI - Symphysis fundal height measurement: appeals to ignorance incur an opportunity
cost.
PMID- 27206034
TI - Diagnosis and management of hydatidiform mole and its complications: 2000 years
of a medical challenge.
PMID- 27206035
TI - Centres that do not offer TLH as their primary method of hysterectomy should be
considered outdated and not Fit for Purpose: FOR: It is a patient's right to be
offered laparoscopic surgery as an informed choice.
PMID- 27206036
TI - Centres that do not offer TLH as their primary method of hysterectomy should be
considered outdated and not Fit for Purpose: AGAINST: To allow one their informed
choice may mean others have no choice at all.
PMID- 27206037
TI - Re: Magnesium sulphate, chorioamnionitis, and neurodevelopment after preterm
birth.
PMID- 27206038
TI - Authors' reply re: Magnesium sulphate, chorioamnionitis, and neurodevelopment
after preterm birth.
PMID- 27206039
TI - Re: BJOG Debate 'Home birth is unsafe'.
PMID- 27206040
TI - Authors' reply re: BJOG Debate 'Home birth is unsafe'.
PMID- 27206041
TI - Re: 'Previous caesarean delivery and the risk of unexplained stillbirth:
retrospective cohort study and meta-analysis'.
PMID- 27206042
TI - Author's reply re: Previous caesarean delivery and the risk of unexplained
stillbirth: retrospective cohort study and meta-analysis.
PMID- 27206043
TI - Re: Acute kidney injury in major gynaecological surgery: an observational study.
PMID- 27206044
TI - Authors' reply re:Acute kidney injury in major gynaecological surgery: an
observational study.
PMID- 27206045
TI - Re: Perinatal mortality and morbidity up to 28 days after birth among 743 070 low
risk planned home and hospital births: a cohort study based on three merged
national perinatal databases.
PMID- 27206046
TI - Report from BlueJC: Is home birth safe?
PMID- 27206048
TI - Corrigendum: Super-resolution mapping of glutamate receptors in C. elegans by
confocal correlated PALM.
PMID- 27206049
TI - Are patient specific meshes required for EIT head imaging?
AB - Head imaging with electrical impedance tomography (EIT) is usually done with time
differential measurements, to reduce time-invariant modelling errors. Previous
research suggested that more accurate head models improved image quality, but no
thorough analysis has been done on the required accuracy. We propose a novel
pipeline for creation of precise head meshes from magnetic resonance imaging and
computed tomography scans, which was applied to four different heads. Voltages
were simulated on all four heads for perturbations of different magnitude,
haemorrhage and ischaemia, in five different positions and for three levels of
instrumentation noise. Statistical analysis showed that reconstructions on the
correct mesh were on average 25% better than on the other meshes. However, the
stroke detection rates were not improved. We conclude that a generic head mesh is
sufficient for monitoring patients for secondary strokes following head trauma.
PMID- 27206047
TI - Domestic Violence Enhanced Perinatal Home Visits: The DOVE Randomized Clinical
Trial.
AB - BACKGROUND: Perinatal intimate partner violence (IPV) is common and has
significant negative health outcomes for mothers and infants. This study
evaluated the effectiveness of an IPV intervention in reducing violence among
abused women in perinatal home visiting programs. MATERIALS AND METHODS: This
assessor-blinded multisite randomized control trial of 239 women experiencing
perinatal IPV was conducted from 2006 to 2012 in U.S. urban and rural settings.
The Domestic Violence Enhanced Home Visitation Program (DOVE) intervention group
(n = 124) received a structured abuse assessment and six home visitor-delivered
empowerment sessions integrated into home visits. All participants were screened
for IPV and referred appropriately. IPV was measured by the Conflicts Tactics
Scale2 at baseline through 24 months postpartum. RESULTS: There was a significant
decrease in IPV over time (F = 114.23; p < 0.001) from baseline to 1, 3, 6, 12,
18, and 24 months postpartum (all p < 0.001). Additional models examining change
in IPV from baseline indicated a significant treatment effect (F = 6.45; p <
0.01). Women in the DOVE treatment group reported a larger mean decrease in IPV
scores from baseline compared to women in the usual care group (mean decline
40.82 vs. 35.87). All models accounted for age and maternal depression as
covariates. CONCLUSIONS: The DOVE intervention was effective in decreasing IPV
and is brief, thereby facilitating its incorporation within well-woman and well
child care visits, as well as home visiting programs, while satisfying
recommendations set forth in the Affordable Care Act for IPV screening and brief
counseling.
PMID- 27206050
TI - The Effect of Door Openings on Numbers of Colony Forming Units in the Operating
Room during Hip Revision Surgery.
AB - BACKGROUND: The aim of this study was to investigate the effect of door opening
rates on air quality in the operation room during hip revision surgery by
measuring the number of colony forming units per cubic meter (CFU/m(3)). METHODS:
During 70 hip revision operations the number of CFU/m(3) was measured at four
time points. Factors that may influence air quality were recorded, including the
number of persons present, duration of surgery, and door opening rates. The
measured CFU/m(3) was dichotomized as either acceptable (<=20 CFU/m(3)) or not
acceptable (>20 CFU/m(3)). To determine whether door openings were associated
with CFU/m(3) values, we used generalized linear mixed models to model the
dichotomized repeatedly measured CFU/m(3) values. RESULTS: The median number of
door openings per operation was eight (range, 0-72), the median duration of
surgery was 145 min (range, 60-285), and the median number of persons present
during surgery was eight (range, 5-10). Adjusted for number of persons in the
operation room and duration of surgery, the number of door openings per operation
was associated (odds ratio [OR] 1.05 [95% confidence interval {CI} 1.02-1.09]; p
= 0.003) with an unacceptable number of CFU/m(3). CONCLUSIONS: In conclusion, a
substantial association between number of door openings and an unacceptable
number (>20) of CFU/m(3) was found. Adjusted for number of persons in the
operation room and duration of surgery, every door opening increased the odds of
unacceptable CFU/m(3) values by 5%. Number of persons present during surgery and
duration of surgery were not related to CFU/m(3).
PMID- 27206051
TI - The copulatory plug delays ejaculation by rival males and affects sperm
competition outcome in house mice.
AB - Females of many species mate with multiple males (polyandry), resulting in male
male competition extending to post-copulation (sperm competition). Males adapt to
such post-copulatory sexual selection by altering features of their ejaculate
that increase its competitiveness and/or by decreasing the risk of sperm
competition through female manipulation or interference with rival male
behaviour. At ejaculation, males of many species deposit copulatory plugs, which
are commonly interpreted as a male adaptation to post-copulatory competition and
are thought to reduce or delay female remating. Here, we used a vertebrate model
species, the house mouse, to study the consequences of copulatory plugs for post
copulatory competition. We experimentally manipulated plugs after a female's
first mating and investigated the consequences for rival male behaviour and
paternity outcome. We found that even intact copulatory plugs were ineffective at
preventing female remating, but that plugs influenced the rival male copulatory
behaviour. Rivals facing intact copulatory plugs performed more but shorter
copulations and ejaculated later than when the plug had been fully or partially
removed. This suggests that the copulatory plug represents a considerable
physical barrier to rival males. The paternity share of first males increased
with a longer delay between the first and second males' ejaculations, indicative
of fitness consequences of copulatory plugs. However, when males provided little
copulatory stimulation, the incidence of pregnancy failure increased,
representing a potential benefit of intense and repeated copulation besides plug
removal. We discuss the potential mechanisms of how plugs influence sperm
competition outcome and consequences for male copulatory behaviour.
PMID- 27206053
TI - The chemical composition of carcasses can be predicted from proxy traits in
finishing male beef cattle: A meta-analysis.
AB - Management practices can contribute to improving carcass quality if carcass
quality could be simply evaluated under a wide range of conditions. The objective
of this study was to derive quantitative relationships between the most accurate
(but laborious) measurements of carcass chemical composition and proxy traits
easily obtainable at slaughter (yield grade, subcutaneous fat thickness,
marbling, ribeye area and hot carcass weight) by meta-analysis. Data from 34
publications using male beef cattle were used to develop and validate the models.
The breeds were characterized according to origin, rate of maturity, production
purpose and frame size. The results indicated that the changes in carcass fat and
protein can be predicted from the yield grade or subcutaneous fat thickness, and
hot carcass weight, with prediction errors ranging between 9 and 12%. Including
the breed characteristics in the models did not improve the fit. The
relationships are applicable to group values of male beef cattle having light and
fatty carcasses from early-maturing British breeds.
PMID- 27206052
TI - A survey of Mexican retail chain stores for fresh U.S. pork.
AB - An overview of fresh US pork in the Mexican market was achieved by surveying
fresh US pork packages (n=342) for sale in five Mexican cities. Data on cut,
primal/sub-primal from which the cut was sourced, subcutaneous and seam fat
thicknesses, marbling scores, and presence of bone were collated. The most
prevalent identifiable retail cuts were milanesa (thin slice of pork, breaded or
non-breaded) and trozos (diced pork) derived primarily from the leg and
accounting for 68% of the total US pork on sale. Over 90% of the retail cuts were
trimmed to 3.2mm or less of external fat and the average marbling score was 2.26.
Differences in distribution and fat measures were observed with chain, location
and socio-economic status of clientele indicating potential for a targeted
marketing approach in Mexico.
PMID- 27206054
TI - An overview of preparation and applications of stabilized zero-valent iron
nanoparticles for soil and groundwater remediation.
AB - Nano-scale zero-valent iron (nZVI) is one of the most intensively studied
materials for environmental cleanup uses over the past 20 years or so. Freshly
prepared nZVI is highly reactive due to its high specific surface area and strong
reducing power. Over years, the classic borohydride reduction method for
preparing nZVI has been modified by use of various stabilizers or surface
modifiers to acquire more stable and soil deliverable nZVI for treatment of
different organic and inorganic contaminants in water and soil. While most
studies have been focused on testing nZVI for water treatment, the greater
potential or advantage of nZVI appears to be for in situ remediation of
contaminated soil and groundwater by directly delivering stabilized nZVI into the
contaminated subsurface as it was proposed from the beginning. Compared to
conventional remediation practices, the in situ remediation technique using
stabilized nZVI offers some unique advantages. This work provides an update on
the latest development of stabilized nZVI for various environmental cleanup uses,
and overviews the evolution and environmental applications of stabilized nZVI.
Commonly used stabilizers are compared and the stabilizing mechanisms are
discussed. The effectiveness and constraints of the nZVI-based in situ
remediation technology are summarized. This review also reveals some critical
knowledge gaps and research needs, such as interactions between delivered nZVI
and the local biogeochemical conditions.
PMID- 27206055
TI - Volatile fatty acids platform from thermally hydrolysed secondary sewage sludge
enhanced through recovered micronutrients from digested sludge.
AB - The extracellular polymeric substances and microbial cytoplasmic contents seem to
hold inorganic ions and organic products, such as proteins and carbohydrates that
are of critical importance for the metabolism of hydrolytic and acidogenic
anaerobic microorganisms. The addition of soluble microbially recovered nutrients
from thermally treated digestate sludge, for the fermentation of thermally
hydrolysed waste activated sludge, resulted in higher volatile fatty acids yields
(VFAs). The yield of VFAs obtained from the recovered microbial nutrients was 27%
higher than the no micronutrients control, and comparable to the yield obtained
using a micronutrients commercial recipe. In addition, the use of a low pH
resulting from a high sucrose dose to select spore forming acidogenic bacteria
was effective for VFA production, and yielded 20% higher VFAs than without the pH
shock and this associated with the addition of recovered microbial nutrients
would overcome the need to thermally pre-treat the inoculum.
PMID- 27206056
TI - The influences of iron characteristics, operating conditions and solution
chemistry on contaminants removal by zero-valent iron: A review.
AB - For successful application of a zero-valent iron (ZVI) system, of particular
interest is the performance of ZVI under various conditions. The current review
comprehensively summarizes the potential effects of the major influencing
factors, such as iron intrinsic characteristics (e.g., surface area, iron
impurities and oxide films), operating conditions (e.g., pH, dissolved oxygen,
iron dosage, iron pretreatment, mixing conditions and temperature) and solution
chemistry (e.g., anions, cations and natural organic matter) on the performance
of ZVI reported in literature. It was demonstrated that all of the factors could
exert significant effects on the ZVI performance toward contaminants removal,
negatively or positively. Depending on the removal mechanisms of the respective
contaminants and other environmental conditions, an individual variable may
exhibit different effects. On the other hand, many of these influences have not
been well understood or cannot be individually isolated in experimental or
natural systems. Thus, more research is required in order to elucidate the exact
roles and mechanisms of each factor in affecting the performance of ZVI.
Furthermore, based on these understandings, future research may attempt to
establish some feasible strategies to minimize the deteriorating effects and
utilize the positive effects so as to improve the performance of ZVI.
PMID- 27206057
TI - Serum levels of hepatocyte growth factor as a potential tumor marker in patients
with malignant melanoma.
AB - Serum markers can be important tools for prognostic classification and treatment
monitoring in cancer patients. The MAP-kinase pathway, which is upregulated in
the majority of melanoma patients, can be activated by hepatocyte-growth factor
(HGF) through the proto-oncogene c-MET. The aim of this study was to evaluate the
predictive and prognostic value of circulating HGF in terms of treatment outcome
and survival compared with a widely established serum marker, protein S-100B, in
patients with advanced metastatic melanoma. HGF and S-100B were measured in serum
samples of 101 patients with metastatic melanoma (American Joint Committee on
Cancer stage IV) before and after treatment and 50 patients with stage I/II
melanoma. HGF and S-100B correlated significantly with the stage of disease
(P=0.032 and P<0.001, respectively). In stage IV melanoma patients, baseline
serum levels of HGF and S-100B were significantly associated with treatment
response (P=0.012 and 0.006, respectively). Furthermore, the Cox regression
analysis confirmed that serum levels of HGF and S-100B proved to have a
significant prognostic impact on progression-free survival (hazard ratio=1.39 and
1.29, respectively) and overall survival (hazard ratio=1.27 and 1.29,
respectively) in advanced metastatic melanoma patients. In melanoma patients,
serum levels of HGF and S-100B correlate significantly with the stage of disease.
In stage IV melanoma, both markers are prognostic factors and correlate
significantly with progression-free survival and overall survival. Measurement of
serum HGF levels might be a useful additional tool in the management of melanoma
patients.
PMID- 27206059
TI - Origin of non-linearity in phase solubility: solubilisation by cyclodextrin
beyond stoichiometric complexation.
AB - The low solubility of drugs, which poses a serious problem in drug development,
can in part be overcome by the use of cyclodextrins (CDs) and their derivatives.
Here, the key to solubilisation is identified as the formation of inclusion
complexes with the drug molecule. If inclusion complexation were the only
contribution to drug solubility, it would increase linearly with CD concentration
(as per the Higuchi-Connors model); this is because inclusion complexation is a 1
: 1 stoichiometric process. However, solubility curves often deviate from this
linearity, whose mechanism is yet to be understood. Here we aim to clarify the
origin of such non-linearity, based on the Kirkwood-Buff and the McMillan-Mayer
theories of solutions. The rigorous statistical thermodynamic theory shows that
non-linearity of solubilisation can be rationalised by two contributions: CD-drug
interaction and the drug-induced change of CD-CD interaction.
PMID- 27206060
TI - Outcomes of oesophageal atresia and tracheo-oesophageal fistula repair.
AB - Oesophageal atresia and tracheo-oesophageal fistula are congenital anomalies of
the oesophagus requiring surgical repair in infancy, either by open or
thoracoscopic approach. Although mortality rates associated with this procedure
are low, children may go on to have complications throughout childhood and into
adulthood, most commonly related to ongoing gastrointestinal and respiratory
symptoms. This review outlines the early, mid and long-term outcomes for these
children in terms of quality of life and incidence of symptoms.
PMID- 27206061
TI - Recyclable Crosslinked Polymer Networks via One-Step Controlled Radical
Polymerization.
AB - A nitroxide-mediated polymerization strategy allows one-step synthesis of
recyclable crosslinked polymeric materials from any monomers or polymers that
contain carbon-carbon double bonds amenable to radical polymerization. The
resulting materials with dynamic covalent bonds can show full property recovery
after multiple melt-reprocessing recycles. This one-step strategy provides for
both robust, relatively sustainable recyclability of crosslinked polymers and
design of networks for advanced technologies.
PMID- 27206058
TI - 3,4-Dihydroxyphenylalanine Peptides as Nonperturbative Quantum Dot Sensors of
Aminopeptidase.
AB - Fluorescence-based assays for hydrolases that cleave within the substrate
(endopeptidases) are common, while developing substrates for proteases that
selectively cleave from peptide termini (exopeptidases) is more challenging,
since the termini are specifically recognized by the enzyme and cannot be
modified to facilitate a Forster resonance energy transfer (FRET)-based approach.
The development of a robust system that enables the quenching of fluorescent
particles by simple amino acid side chains would find broad utility for peptide
sensors and would be advantageous for exopeptidases. Here we describe a quantum
dot (QD)-based electron transfer (ET) sensor that is able to allow direct,
quantitative monitoring of both exopeptidase and endopeptidase activity. The
incorporation of 3,4-dihydroxyphenylalanine (DOPA) into the sequence of a peptide
allows for the quenching of QD photoluminescence through an ET mechanism. DOPA is
a nonproteinogenic amino acid that can replace a phenylalanine or tyrosine
residue in a peptide sequence without severely altering structural properties,
allowing for its introduction at multiple positions within a biologically active
peptide substrate. Consequently, the quenching system presented here is ideally
suited for incorporation into diverse peptide substrates for enzyme recognition,
digestion, and activity sensing. Our findings suggest a broad utility of a small
ET-capable amino acid side chain in detecting enzyme activity through ET-mediated
QD luminescence quenching.
PMID- 27206063
TI - Perinatal and maternal outcomes in a midwife-led centre in Italy: a comparison
with standard hospital assistance.
AB - BACKGROUND: In many countries midwives are the primary providers of care for
childbearing women. The aim of the present study was to compare the outcomes of
childbirth occurring in the birth benter (midwifery-lead) vs. the traditional
delivery room organization (doctor-lead) of the Policlinico of Modena Hospital.
METHODS: A prospective observational study was conducted over four years. At 35
36th week, women with a single, uneventful pregnancy, being classified at low
risk according to The National Institute for Health and Care Excellence (NICE)
guidelines on intrapartum care, were offered to deliver with standard care
assistance (SC) in a doctors-led unit or in the Birth Centre (BC). RESULTS: The
number of women included was 3156. Overall emergency cesarean sections were lower
in BC vs. SC group, and a significant decrease in the rate of augmentation of
labor with intravenous oxytocin, in the use of episiotomy and operative
deliveries in women of BC were recorded more than in the SC group. More women
with intact perineum were present in BC group, while no significant differences
in perineal tears was described between groups. CONCLUSIONS: Our results suggest
that midwifery care can result in a decrease of medical interventions during
labor, namely a reduction of cesarean section and episiotomy rate. Also, the BC
remains a valid option for women who satisfy low-risk criteria and wish to give
birth in a hospital setting. In Italy the concern to education of all midwives,
obstetricians and women at a global level is urgently required, with specific
focus on ethics, communication and philosophy of care to enable normalization and
humanization of birth.
PMID- 27206062
TI - Technological evolution in the radiofrequency treatment of vaginal laxity and
menopausal vulvo-vaginal atrophy and other genitourinary symptoms: first
experiences with a novel dynamic quadripolar device.
AB - BACKGROUND: This paper was a spontaneous, non-sponsored exploratory study to
investigate the safety and efficacy of two schedules of thermal treatment with a
new low-energy dynamic quadripolar radiofrequency (DQRF) device in: A)
premenopausal women referring perception of vaginal introital laxity and related
symptoms, with special reference to dysuria and urinary incontinence and
unsatisfactory sexual activity (vaginal laxity arm of the study); B)
postmenopausal women with vaginal atrophy and dryness and other vulvo-vaginal
atrophy and genitourinary syndrome of menopause (VVA/GSM) related symptoms
(VVA/GSM arm of the study). METHODS: As for the vaginal laxity arm of the study,
12 women with perception of very to slightly loose vaginal introital laxity
underwent five 20-min DQRF thermal treatment sessions every 14+/-1 days. A
Vaginal Laxity Questionnaire (VLQ, certified Italian translation) and short form
of the Pelvic Organ Prolapse/Urinary Incontinence Sexual Questionnaire (PISQ-12,
Italian certified translation) were used to assess urinary incontinence, sexual
gratification and the contribution of any concomitant pelvic organ prolapse. As
for the VVA/GSM arm of the study, 13 women with objective evidence of VVA and
vaginal dryness and/or dyspareunia rated as moderate/severe most bothersome
symptoms underwent four 10-min DQRF sessions every 10+/-1 days. Specifically
designed visual analogue scales (VAS) for VVA/GSM symptoms and overall
satisfaction with sexual life were used. RESULTS: No adverse effects, including
thermal burns or injuries, were reported during or after treatments in either arm
of the study. Eleven of the enrolled women completed the five planned DQRF
treatment sessions in the vaginal laxity arm of the study; 12 women completed the
four DQRF sessions planned in the VVA/GSM arm of the study. Clinically and
statistically significant improvements in self-perceived sensation of looseness
and symptoms like dysuria/urinary incontinence and sexual function in the vaginal
laxity arm of the study as well as VVA/GSM symptoms and overall satisfaction with
sexual life in the VVA/GSM arm of the study. Improvements were already reported
at the first assessment visit before the end of the planned DQRF sessions of each
arm of the study, after, respectively, 56+/-4 and 30+/-3 days. CONCLUSIONS: The
DQRF treatment was well tolerated, with no pain during the procedure and no
untoward effect reported over the 2-month follow-up periods in both the vaginal
laxity and VVA/GSM arms of the study. Improvements in self-reported VLQ and PISQ
12 scores (vaginal laxity arm) and VAS self-evaluation of VVA/GSM symptoms and
overall satisfaction with sexual life (VVA/GSM arm of the study) were rapid and
persistent. This suggests rapid and persistent vaginal rejuvenation as the basis
of subjective improvement in symptoms and decreased sexual distress in both
indications, including dysuria and urinary incontinence in menopausal women. Such
promising exploratory findings deserve confirmation in larger studies.
PMID- 27206064
TI - The destiny of myomas.
PMID- 27206065
TI - Infezioni virali in ginecologia: un problema risolto?
AB - The aim of this observational study was to evaluate the effectiveness of a
dietary integrator containing methionine, zinc, Echinacea angustifolia and E.
purpurea, probiotics, and antioxidant factors (Immuno Gin) in the treatment of
mild cervical dysplasia associated with human papillomavirus (HPV) infection. The
study sample was 95 women (age range, 31-55 years) resident in various cities in
Italy. Initial Pap test results showed abnormal changes in cervical cells
described as atypical squamous cells of undetermined significance (ASC-US) and
HPV infection in 23/95 women, 3 of which received the study product. Follow-up
repeat Pap testing at 6 months returned normal test results in all 3. In 12 of
the 20 women who did not receive treatment with the study product, the repeat Pap
test at 6 months showed normal results, whereas persistence of lesions was
observed in the other 8 women, with progression to low-grade squamous
intraepithelial lesion (LSIL) in one case. Forty-five women presented initially
with abnormal changes in cervical cells described as LSIL and HPV infection.
Twenty received treatment with the study product; the repeat Pap test at 6 months
showed normal results in 15 and no change in lesions in the other 5. Among the 25
women who did not receive treatment with the study product, the repeat Pap test
at 6 months showed normal results in 13, whereas persistence of lesions was noted
in the other 12, with progression to high-grade squamous intraepithelial lesion -
moderate cervical intraepithelial lesion 2 (HSIL - CIN 2) in one case. Twenty
seven women with vulvoperineal condylomatosis received treatment with the study
product, as described above, plus biophysical treatment of the lesions. At the 6
month follow-up assessment, no relapse of lesions occurred in 19, whereas relapse
was noted in the other 8. Though the study sample is too small to draw
statistically significant conclusions, the percentage of cases of lesion
regression among the women treated with the study product was slightly higher
than in those who did not receive treatment. Use of the study product may provide
clinical benefit by stimulating immune defenses against HPV infection.
PMID- 27206066
TI - Clinical and Radiologic Outcomes of Partial Lateral Patellar Facetectomy in Total
Knee Arthroplasty.
AB - Recently, some authors have performed partial lateral patellar facetectomy in
total knee arthroplasty (TKA) and reported good results. However, research on
partial lateral patellar facetectomy in TKA is still lacking. The aim of this
study was to evaluate the clinical and radiologic results of partial lateral
patellar facetectomies in patellar non-resurfacing and resurfacing TKAs. Total
251 TKAs (patellar non-resurfacing: 131; resurfacing: 120) that were followed up
for at least 24 months to evaluate the clinical and radiologic results of partial
lateral patellar facetectomies in patellar non-resurfacing and resurfacing TKAs
were retrospectively reviewed. The radiologic evaluations involved assessments of
the patellar tilt angle and the lateral patellar displacement, and the clinical
evaluations involved assessments of the Knee Society knee score, Knee Society
function score, Feller patellar score, and Kujala score. In patellar non
resurfacing TKA, the average postoperative patellar tilt angle and lateral
patellar displacement of the group that did not undergo facetectomy were 7.0 +/-
4.8 degrees and 2.4 +/- 3.6 mm, respectively, and the average postoperative
patellar tilt angle and lateral patellar displacement of the group that did
undergo facetectomy were 4.0 +/- 3.8 degrees and 0.7 +/- 2.5 mm, respectively.
Significant differences were observed in the postoperative patellar tilt angle
and lateral patellar displacement (p < 0.001 and p = 0.004, respectively). In
patellar resurfacing TKA, while the patellar tilt angle showed a significant
difference between the group that underwent facetectomy (6.7 +/- 3.1 degrees) and
the group that did not (8.3 +/- 4.4 degrees) (p = 0.023), it exhibited no
difference in the lateral patellar displacement between the two groups. In both
patellar non-resurfacing TKA and resurfacing TKA, the postoperative clinical
results did not show any difference between no-facetectomy group and facetectomy
group. Partial lateral patellar facetectomies in patellar non-resurfacing and
resurfacing TKAs improved the patellar tilt angles and patellar lateral
displacements but were not related to improvements in the clinical outcomes in
the minimum 2-year follow-up.
PMID- 27206067
TI - The Arthroscopic All-Inside Tibial-Inlay Reconstruction of the Posterior Cruciate
Ligament: Medium-Term Functional Results and Complication Rate.
AB - The present study evaluates the medium-term functional and radiological outcome
as well as complications following an arthroscopic tibial inlay reconstruction of
the posterior cruciate ligament (PCL). A total of 39 patients (mean age: 32.6 +/-
12.4 years) with acute and chronic PCL instabilities received a single-bundle all
inside arthroscopic tibial inlay reconstruction with quadriceps tendon autograft
and were available for clinical follow-up on average after 3.8 +/- 1.8 years.
Assessment was conducted utilizing visual analogue scale (VAS), International
Knee Documentation Committee (IKDC), Tegner, Lysholm, and knee injury and
osteoarthritis outcome (KOOS) scores. VAS, Tegner, Lysholm, and subjective IKDC
scores averaged to 2.75 +/- 1.95, 4 (1-9), 77.19 +/- 17.54, and 75.18 +/- 19.29
points, respectively. Mean KOOS results were 80.66 +/- 1.98 for pain, 74.72 +/-
17.72 for symptoms, 88.44 +/- 13.95 for activities of daily life, 65.35 +/- 27.96
for sports and recreation, and 60.01 +/- 25.36 for quality of life. Overall IKDC
score rating was A2, B28, C5, and D4. The overall complication rate of 5.4% was
caused by one superficial infection, one patellar fracture, and one lesion to the
popliteal artery. Arthroscopic tibial inlay reconstruction is a valuable
procedure regarding medium-term subjective and objective outcome measures. Return
to sports, subjective satisfaction, and objective stability are predictable. The
complication rate is acceptable but particular precaution is recommended to
protect the popliteal artery and adjacent structures at risk.
PMID- 27206068
TI - Antibiotic-Loaded Spacer for Two-Stage Revision of Infected Total Knee
Arthroplasty.
AB - Infection of total knee arthroplasty (TKA) is a challenge in orthopedic surgery.
In literature TKA infection is classified according to the time after surgery:
acute postoperative; late chronic; acute hematogenous; positive intraoperative
microbiological growth. The purpose of this study is to present the results of
the use of a preformed antibiotic-loaded spacer in TKA infections, treated by a
two-stage revision procedure. A series of 19 consecutive patients (20 knees) with
a diagnosis of infected TKA were treated from January 2003 to February 2012. Two
stage reimplantation protocols were completed only in 16 patients and these data
were included in the study. We lost three patients at follow-up. An antibiotic
loaded preformed articulating polymethylmethacrylate spacer was applied. Patients
were observed 1, 3, and 6 months postoperatively and then yearly for clinical and
radiographic examination. The mean American Knee Society Score improved from 68.4
preoperatively (range, from 34 to 108) to 112.7 at final follow-up (range, from
49 to 180). The pain was evaluated as part of clinical score. It improved from an
average of 19.3 preoperatively (range, from 10 to 30) to 34.3 at final follow-up
(range, from 10 to 50). The average range of motion improved from 40.1 degrees
(range, from 6 to 90 degrees) to 79.3 degrees (range, from 45 to 125 degrees).
The use of the spacer allows obtaining a reduction of pain, an improvement of
quality of life in the period of time between the two surgical stages and an
easier reimplantation of TKA.
PMID- 27206069
TI - Results of Lateral Retinacular Release Plus Circumpatellar Electrocautery in
Total Knee Arthroplasty without Patellar Resurfacing.
AB - Anterior knee pain (AKP) is integral to the overall success of total knee
arthroplasty (TKA) without patellar resurfacing. Numerous studies have evaluated
various factors that may contribute to AKP, including patellofemoral design,
surgical technique, characteristics of the patient, and degree of chondromalacia.
This study aims to explore whether patients who received lateral retinacular
release (LRR) plus circumpatellar electrocautery (CE) in TKA attain a low
incidence of AKP and whether the LRR plus CE increases postoperative
complications. Between February 2001 and February 2009, all patients undergoing
TKA without patellar resurfacing and LRR plus CE were evaluated. In total, 286
TKAs in 259 (88.7%) patients were available for assessment, with a mean of 9.3 +/
2.5 years (range: 6-14 years) after the index TKA. The patients were evaluated
with a VAS and the Kujala patellofemoral score (KPS) for AKP and the Knee
Society's clinical scoring system (KSS) for the clinical function. Satisfaction
and LRR-related complications were also assessed. The mean KPS and KSS improved
from 45.2 +/- 12.9 and 75.4 +/- 26.8 points preoperatively to 82.8 +/- 10.0 and
151.6 +/- 17.3 points at the final follow-up (p < 0.001), respectively.
Subjectively, 5.6% (16 of 286) of patients suffered from AKP and 88.1% (252 of
286) were satisfied or very satisfied. Postoperative complications occurred in 15
(5.2%) patients, but these complications were mild and did not require
reoperation. None of patients exhibited aseptic and septic prosthesis loosening
and required revision surgery in the final follow-up. LRR plus CE appears to be a
reasonable option in TKA without patellar resurfacing. It may achieve adequate
mid-term results, with a low incidence of AKP and postoperative complications.The
level of evidence of the study is therapeutic level IV.
PMID- 27206070
TI - Application of a Shape-Memory Alloy Concentrator in Displaced Patella Fractures:
Technique and Long-Term Results.
AB - Operative treatment is usually recommended in displaced patella fractures.
Several techniques have been advocated for internal fixation of patella
fractures. Despite the relatively good clinical outcomes that have been
demonstrated in many studies, postoperative morbidities such as fixation failure,
nonunion, infection, and knee stiffness are not uncommon. We present a new
alternative treatment technique for displaced patellar fractures. Between April
1995 and May 2005, we used the Nitinol Patella Concentrator (NTPC) to treat 156
consecutive patients with displaced patellar fractures. Injuries arose from
vehicular accidents in 56 (35.9%) cases, direct falls onto the knee in 85 (54.5%)
cases, and sports injuries in 15 (9.6%) cases. The mean patient age was 46.3
years (range, 25-77 years). Clinical assessments were made using the Bostman knee
score and the MOS SF-36 questionnaire (Medical Outcomes Study 36-item short-form
health survey), which were both recorded at the final follow-up visit. The mean
follow-up was 7.3 years (range, 6-17 years). At the final follow-up, the Bostman
knee scores were excellent in 88 cases (28-30), good in 55 (20-27), and
unsatisfactory in 13 (<20). According to the MOS SF-36 evaluation, the average
score was 84.5 (range, 62-91). Treatment of patellar fracture with the NTPC not
only may serve as an effective and rigid fixation method in multifragmented
displaced and inferior pole fractures, but also may provide continuous
concentrative compression during the osseous healing process. Thus, use of the
NTPC may help restore the functional integrity of the extensor mechanism and
permit early rehabilitation with a lower incidence of postoperative
complications.
PMID- 27206071
TI - The Use of Biologic Agents in Athletes with Knee Injuries.
AB - Biologic agents are gaining popularity in the management of bony and soft tissue
conditions about the knee. They are becoming the mainstay of nonoperative therapy
in the high-demand athletic population. The most well-studied agents include
platelet-rich plasma (PRP) and stem cells-both of which have shown promise in the
treatment of various conditions. Animal and clinical studies have demonstrated
improved outcomes following PRP treatment in early osteoarthritis of the knee, as
well as in chronic patellar tendinopathy. Early clinical evidence also lends
support for PRP in the augmentation of anterior cruciate ligament (ACL)
reconstruction. Research investigating the role of biologic agents in collateral
ligament and meniscal injuries is ongoing. Studies assessing the utility of stem
cells have shown encouraging results in the setting of osteoarthritis.
Unfortunately, strict regulations by the FDA continue to restrict their
application in clinical practice. A major limitation in the interpretation of
current data is the significant variability in the harvesting and preparation of
both PRP and stem cells. As the volume and quality of evidence continue to grow,
biologic agents are poised to become an integral component of comprehensive
patient care throughout all orthopedic specialties.
PMID- 27206073
TI - ADRENAL DISORDERS.
PMID- 27206074
TI - DIABETES MELLITUS/PREDIABETES.
PMID- 27206075
TI - HYPOGLYCEMIA.
PMID- 27206072
TI - Palladium(II)-Catalyzed Cross-Dehydrogenative Coupling (CDC) of N-Phthaloyl
Dehydroalanine Esters with Simple Arenes: Stereoselective Synthesis of Z
Dehydrophenylalanine Derivatives.
AB - Pd(II)-catalyzed cross-dehydrogenative coupling (CDC) of methyl N-phthaloyl
dehydroalanine esters with simple aromatic hydrocarbons is reported. The
reaction, which involves the cleavage of two sp(2) C-H bonds followed by C-C bond
formation, stereoselectively generates highly valuable Z-dehydrophenylalanine
skeletons in a practical, versatile, and atom economical manner. In addition, a
perfluorinated product was expediently converted into important nonproteinogenic
amino acid building blocks through copper-catalyzed conjugate additions of boron,
silicon, and hydride moieties.
PMID- 27206076
TI - LIPID/CARDIOVASCULAR DISORDERS/HYPERTENSION.
PMID- 27206077
TI - METABOLIC BONE DISEASE.
PMID- 27206078
TI - OBESITY.
PMID- 27206079
TI - OTHER.
PMID- 27206080
TI - PITUITARY DISORDERS/NEUROENDOCRINOLOGY.
PMID- 27206081
TI - REPRODUCTIVE ENDOCRINOLOGY.
PMID- 27206082
TI - THYROID DISEASE.
PMID- 27206085
TI - The World of Embryo Morphokinetics of Time-Lapse Systems.
PMID- 27206086
TI - Triplex Real-time Polymerase Chain Reaction Optimization for AZF Y-chromosome
Microdeletion Analysis.
AB - OBJECTIVE: Y chromosome microdeletions at the "Azoospermia Factor" regions (AZFa,
AZFb, AZFc) are the second genetic cause of spermatogenic failure in infertile
men. Despite its importance for the treatment of infertile patients, no prior
investigations have been previously published in Ecuador. . The purpose of this
study is to optimize a molecular technique that allows detection of
microdeletions in the AZF region. METHODS: Using a genomic DNA of healthy male
with natural conceived offsprings, a multiplex real time polymerase chain
reaction (qPCR) was standarized with eigth sequence-tagged site (STS) sY85,
G34990, sY133, sY127, sY254, sY255, and using as internal control sex-determine
region Y (SRY) and Ameologenin Y (AMELY). With this technique, 35 DNA samples
taken from peripheral blood of patients with severe oligozoospermia were
analyzed. RESULTS: A triplex qPCR was standardized using EvaGreen DNA-binding dye
to obtain melting temperature (Tm) of the STS previously mentioned. Three of the
patients evaluated were detected to have partial microdeletion in the AZFa
region, with a frequency of 8.8%; being losses in the G34990 section (one
patient) and sY85 section (two patients). No cases of microdeletions in other AZF
regions were found. CONCLUSION: The triplex qPCR optimizated allows the
identification of microdeletions in AZFa, AZFb and AZFc region in infertile men
and a better clinical management of the patient's treatment decision. This first
report for Ecuador reveled a higher prevalence of microdeletions in the AZFa
region in comparison with those previously described in other populations.
PMID- 27206084
TI - Multicolor Electrochromics: Rainbow-Like Devices.
AB - Stimuli-responsive reversible coloration-change materials represent a highly
demanded type of smart systems useful for a wide variety of applications, with a
significant growing interest in multicolor abilities. In particular,
electrochromic materials have received a great deal of attention due to their
versatility and broad range of industrial uses. However, most of the existing
electrochromic technologies provide a single coloration, while achieving multiple
colors based on simple approaches remains a challenge. The present article
reports on PVA gel-based electrochromic devices, containing a single viologen,
providing a colorless and two different well-defined colored states. The
successful fabrication of a device, based on two viologens (multi-EC gel) with a
simple architecture (glass/TCO/multi-EC gel/TCO/glass), with five different
multiswitchable colors based on four-zoned electrodes (rainbow-like ECD) is also
demonstrated. This novel easy-to-make multichromic system represents a
significant breakthrough toward the generation of full-color devices, expanding
the potential of electrochromic technology.
PMID- 27206087
TI - Repeated implantation failure in oocyte donation. What to do to improve the
endometrial receptivity?
AB - OBJECTIVE: To determine the role of polyvalent endometrial treatment in patients
undergoing IVF-ET who had recurrent implantation failure (RIF) in a program of
oocyte donation (OD). The results were expressed in terms of live birth rate
(LBR). Secondly analyze changes of endometrial leukocyte population evaluated by
flow cytometer (FC) and histopathology. METHODS: Prospective study of a model
based control with analog abductive methodology. Over initial population of 75
patients with RIF in ovodonation, thirty cycles / patient of IVF/ET were selected
in this study. A control group of 12 patients was established to variables FC.
All patients were transferred to day 5-6 with a maximum of 2 expanded blastocysts
with at least one of optimum quality. A versatile treatment was applied in all
cases with both assessments in pre and postreatment. RESULTS: Chronic
endometritis was diagnosed in 14/30 (46.7%) with endometrial identifying germs in
12/30 (40%) and 6/30 (20%) was associated with endometrial thinning. A
significant increase in endometrial thickness associated with a decrease in
abnormal histopathology and Li/PC was observed at postreatment in relation with a
pretreatment (P=0.047 and P=0.002) respectively. An increase of uterine killer
cells (Nku) was observed in postreatment in absence of pregnancy. CD4/CD3 was
established with prognostic value when their values are close to those of the
control group. CONCLUSION: Our findings demonstrate the reversibility of
endometrial histological changes, both sonographics as immunological in RIF group
under a polyvalent therapeutic; which is capable of modifying the immunology and
endometrial histopathology and to obtain live birth.
PMID- 27206088
TI - Influence of Donor, Recipient, and Male Partner Body Mass index on Pregnancy
Rates in Oocyte Donation Cycles.
AB - OBJECTIVE: A high body mass index (BMI) has been shown to associate with negative
reproductive outcomes. Women with high BMI have in general lower chances of
getting pregnant as well as higher risk of pregnancy complications. Several
studies have described in the past the relationship between high BMI and the
pregnancy outcome, however, some of them have a small sample size or fail to
control for variables associated with a diminished probability of pregnancy. In
the present study, we aim to analyze the role of the BMI of all parties involved
in oocyte donation cycles (that is: the oocyte donor, the recipient woman, and
the male partner) on pregnancy outcomes. METHODS: This study includes 1092 oocyte
donation cycles. Inclusion criteria were: fertilization by ICSI, frozen semen,
transfer of 2 embryos at day 3 of in vitro development. For statistical analysis,
BMI was divided in: low weight (<20 kg/m2), normal (20-24 kg/m2), overweight (25
29 kg/m2) and obesity (>=30 kg/m2). Quantitative and categorical variables were
assessed by squared-Chi test and one-way ANOVA. The association between the BMI
(recipient, oocyte donor and partner) and pregnancy rate was assessed by
multivariate logistic regression. RESULTS: Laboratory outcomes and pregnancy
rates do not differ among the different BMI categories of recipient, oocyte donor
or partner. After adjusted analyses (for oocyte donor age, for laboratory
outcomes and for age and BMI of all the parties for pregnancy outcomes), no
difference was found either. CONCLUSION: In oocyte donation cycles, where donors
BMI is by law mandated to be in the 18-30 range, the pregnancy rate of the oocyte
recipient does not seem to be affected by the BMI of any of the parties involved.
PMID- 27206089
TI - Correlation between Cytoplamic Oocyte Maturation and Chromosomal Aneuploidies -
Impact on fertilization, embryo quality and pregnancy.
AB - OBJECTIVE: To establish the relationship between oocyte cytoplasmic maturation
and its chromosomal status and determine the effect of this feature over the
reproductive outcome in patients with sub-optimal fertilization in ART. METHODS:
Fifty couples who underwent ART were selected. From nineteen patients, 22
metaphase II-MII and 18 failed-fertilized oocytes after ICSI were studied. The
first polar body was collected for chromosomal analysis by aCGH. Oocytes were
processed by immunocytochemistry (ICC) to determine oocyte maturation: assessment
of inactive MPF status and the conformation-alignment of the metaphase
plate.Other 31 couples presented sub-optimal fertilization (<50%) after ICSI, and
failed-fertilized oocytes were studied by ICC. Two groups were conformed
according to the main feature observed: A) cytoplasmic immaturity and sperm
premature chromosome condensation and B) sperm nuclear decondensation failure
with mature cytoplasm. RESULTS: Regarding MII mature oocytes, 87% had a normal
metaphase plate and 84% were chromosomally normal. Contrary, immature oocytes
presented abnormal metaphase plate (86%) and just 33% were euploid. In failed
fertilized oocytes: 100% of mature oocytes had a normal metaphase plate and 71%
were euploid. When oocytes were cytoplasmic immature, 37% of them were normal
(metaphase plate) and 50% were chromosomally normal.The global rate of
aneuploidies and metaphase plate disarrangements in immature oocytes (MII+failed
fertilized) were significantly higher than mature oocytes (P<0.05).In patients
with sub-optimal fertilization, the percentage of top quality embryos and
pregnancy rate was significantly higher in group B (P<0.05). CONCLUSION: Oocyte
cytoplasmic immaturity is related to metaphase plate anomalies and aneuploidies.
Fertilized oocytes, from a cohort with sub optimal fertilization with cytoplasmic
immaturity, had poorer reproductive outcomes.
PMID- 27206091
TI - Relationship Between Sperm DNA Fragmentation and Nuclear Vacuoles.
AB - OBJECTIVE: The aim of the present study is to assess the correlation between the
presence, quantity and size of nuclear vacuoles and DNA damage and chromatin
status in sperm samples of men who underwent to assisted reproduction technology.
METHODS: Forty six males who underwent to assisted reproductive technology (ART)
were considered. According to their latest semen analysis (<3 months), were
grouped into: (A) strict morphology index <=4% (26) and (B) strict morphology
index >=14% (20). Motile sperm were selected by density gradient, and MSOME study
was conducted to assess the number and size of nuclear vacuoles. DNA
fragmentation (TUNEL) and DNA strand status (acridine orange) were assessed over
the selected spermatozoa accordingly to their vacuole pattern. RESULTS: In group
A, sperm without vacuoles (1 degrees ) have similar levels of DNA fragmentation
(TUNEL) in compare to the rest of observed patterns (2 degrees - 6 degrees ).
Regarding to AO, spermatozoa with large or several vacuoles that cover more than
30-50% of the nuclear surface are AO+, but not necessarily TUNEL positive. The
first three patterns of vacuoles patterns had lower levels of AO in compare to
grades 4 degrees and 6 degrees . In group B, those sperm with one or more
vacuoles greater than 30%-50% (4 degrees and 6 degrees ), had a significant
increase in TUNEL values, in relation to group 1 degrees - 3 degrees .
Considering AO, it was found that the 4 degrees and 6 degrees pattern had a
significantly elevated level of this marker, as same of group A (P <0.05).
CONCLUSIONS: There is no relationship between the greater number and size of
sperm vacuoles with high levels of DNA fragmentation in patients with severe
teratozoospermia (Kruger <4%). Conversely, this relationship is evident in normal
semen samples (normal morphology. Sperm selection by IMSI technique, to select
non-fragmented sperm in patients with Kruger <4%, is not necessarily secured when
non-vacuolated sperm is selected.
PMID- 27206090
TI - Annexin V-MACS in infertile couples as method for separation of sperm without DNA
fragmentation.
AB - OBJECTIVE: To determine the effect of using MACS technology on clinical
pregnancy, as a method for separation of damaged sperm in infertile patients.
METHODS: 136 infertile men having normal semen parameters in accordance with WHO
2010 criterion, undergoing ICSI cycle were enrolled during the course of the
study. The patients were prospectively randomized and enrolled after oocyte
retrieval and were assigned to the ICSI group, PICSI group or MACS group. Embryo
development and clinical pregnancy were assessed. In 17 randomized MACS patients,
sperm DNA fragmentation was tested in the presumptive apoptotic and no apoptotic
spermatozoa fractions. RESULTS: Similar results were obtained between groups for
the following parameters: fertilization rates of 78.97% (95% confidence interval
[CI]:74.37-83.57), 70.15 %(95% CI:63.98-76.33) and 80.28%(95% CI:73.74-86.81) for
ICSI, PICSI and MACS group, respectively; Number of Day-3 embryos was 5.04 (95%
CI:4.09-5.98), 5.17(95% CI:4.24-6.10) and 5.59(95% CI:4.31-6.87) for ICSI, PICSI
and MACS group, respectively; number of freezing embryos in blastocyst stage was
0.78 (95% CI:0.25- 1.31), 0.70(95% CI:0.27-1.14) and 1 (95% CI:0.37-1.6) for
ICSI, PICSI and MACS group, respectively. However, clinical pregnancy rates of
58.1% for MACS group versus 40.4% and 27.3% for PICSI and ICSI group,
respectively, were showed statistical difference (P=0.019). DNA fragmentation
index for the two sperm MACS fraction showed statistical differences (P=0.000),
MACS reduced the D.F.I of the sperm sample. CONCLUSIONS: The use of MACS
technology improves the clinical pregnancy on infertile couples and can be
applied as a method for sperm separation, discriminating sperm with high DNA
fragmentation.
PMID- 27206092
TI - Echogenic Catheters and Embryo Transfer Standardization.
AB - OBJECTIVE: 1.To describe the standardization process and protocols of the ET
method at our center. 2.To compare the performance of non-echogenic catheters
with echogenic catheters during ultrasound-guided ET. METHODS: Retrospective
analysis of 2630 ET performed at UNIFERTES during 1997-2014, to describe
standardization process and to compare the percentage of difficult ET between
echogenic and non-echogenic catheters. We tested 17 non-echogenic and three
echogenic catheters. RESULTS: Many variables were associated with the ease of ET:
informed patients, waiting time for the procedure, speculum use, clinical touch,
uterine contractions, cervical mucus removal, presence of blood before or after
the procedure, full bladder, ultrasound guidance, uterocervical angle, mock
transfer, catheter type (soft or hard, echogenic or non-echogenic, with stylet or
not), catheter loading technique, duration of embryo loading (time interval since
the embryos were removed from the incubator for loading until the catheter is
passed to the physician), transfer procedure (time interval from the catheter was
handed to the physician until the embryos were discharged in the uterus),
catheter tip placement, retained embryos, bed rest after ET, operator's
proficiency. The diversity of catheters used and the percentage of difficult
transfers decrease as the use of echogenic catheters increases. This process is
necessary to minimize variation, ensure high quality, safe and evidence-based
practice, and improve outcomes. CONCLUSIONS: To standardize the ET method allowed
a quicker and easier transfer. The use of echogenic catheters simplified ET
procedures guided by abdominal ultrasound.
PMID- 27206093
TI - In vitro Maturation of Oocytes in High Altitude Women with Polycystic Ovaries.
AB - OBJECTIVE: Determine the effectiveness of in vitro maturation of oocytes in the
infertility treatment in high altitude women with polycystic ovaries. METHODS:
DESIGN: descriptive and retrospective study. PARTICIPANTS: Women with polycystic
ovaries and infertility. INTERVENTIONS: there were 11 women from locations above
7,546 feet above sea level with polycystic ovaries and infertility in which were
performed in vitro maturation of oocytes, followed by intracytoplasmic sperm
injection, culture and embryo vitrification. After that, the endometrium was
prepared and the embryos were thawed and transferred. Main results mesurements:
oocytes maturation, fertilization, clinical pregnancy and implantation rates.
RESULTS: Oocytes maturation rate was 86.1%; fertilization rate 90.3%; clinical
pregnancy rate 36.4% and implantation rate 17.4%. CONCLUSIONS: In vitro
maturation of oocytes is an effective technique in the infertility treatment of
high altitude women with polycystic ovaries.
PMID- 27206094
TI - Improvement in Serum Anti-Mullerian Hormone Levels in Infertile Patients after
Hyperbaric Oxygen (preliminary results).
AB - OBJECTIVE: To assess whether hyperbaric oxygen sessions elevate serum levels of
anti-Mullerian hormone (AMH) in patients diagnosed with infertility with serum
levels of less than or equal to 1 ng/dl AMH. METHODS: A study was performed on 4
patients diagnosed with infertility. Serum AMH level was measured at the
beginning and end of hyperbaric oxygen sessions, and endometrial thickness was
measured on endometrial cycle day 14 before and during the hyperbaric oxygen
sessions. RESULTS: In two of the four patients, the serum AMH level increased by
40% and 116%. In one patient the serum AMH level was not elevated, with a serum
AMH level before and after treatment of 0.1 ng/dl. The fourth patient became
pregnant during the hyperbaric oxygen sessions. Endometrial thickness was not
improved in any of our patients. CONCLUSIONS: This study showed that hyperbaric
oxygen sessions can increase serum AMH levels, with a significant increase of
116% in one case. Therefore, this therapy can be used as an alternative treatment
for patients with serum AMH levels of less than or equal to 1 ng/dl and a limited
number of eggs for IVF cycles but not for patients with serum AMH levels of less
than or equal to 0.1 ng/dl, as we did not observe an increase in serum AMH level
in patients with an initial AMH level of 0.1 ng/dl. This study did not
demonstrate improvement in endometrial growth following hyperbaric oxygen
sessions.
PMID- 27206096
TI - Points to emphasize after the 12(nd) REDLARA CONGRESS.
PMID- 27206095
TI - Live Births after Fertility-saving Surgery in Ovarian Borderline Tumor and Oocyte
Donation: Case Report.
AB - A left borderline serous ovarian tumor stage I (FIGO) was discovered and treated
in a 26-year-old black nulliparous woman, by conservative approach (laparotomy,
salpingo- oophorectomy). In a six months interval she had a 7.5 x 7.1 x 5.7cm
multilocular contralateral tumor with septa and vegetative areas and in a year
interval a CT showed a 8.4 x 7.4 x 7.0 lesion that precluded a follicular
aspiration. The authors discuss the multidisciplinary strategy and the approach
with the couple: the best option considered would be the resection of the tumor
remaining attached to a new study pelvic. If possible uterine conservation should
be held for further procedure of oocyte donation. Two years from the first
surgery she had the second laparotomy and six months later she had an ICSI with
oocyte donation. She became pregnant and delivered two 34 week-pregnancy boys
through C-section due to hypertension plus preeclampsia. There happened a post-
operative intestinal obstruction that required a new surgical approach to
adhesions lysis. There was no report of tumor lesions then. Seven months later,
mother and children are doing well. Comments are made about borderline ovarian
tumors and fertility-sparing approaches.
PMID- 27206097
TI - Oral Presentations - Abstracts of the 12th RedLara Taller General, Lima, Peru, 26
29 March 2015.
PMID- 27206098
TI - Poster Presentations - Abstracts of the 12th RedLara Taller General, Lima, Peru,
26-29 March 2015.
PMID- 27206099
TI - Total Synthesis of Circular Bacteriocins by Butelase 1.
AB - Circular bacteriocins, ranging from 35 to 70 amino acids, are the largest cyclic
peptides produced by lactic acid bacteria to suppress growth of other bacteria.
Their end-to-end cyclized backbone that enhances molecular stability is an
advantage to survive in pasteurization and cooking processes in food
preservation, but becomes a disadvantage and challenge in chemical synthesis.
They also contain unusually long and highly hydrophobic segments which pose an
additional synthetic challenge. Here we report the total synthesis of the three
largest circular bacteriocins, AS-48, uberolysin, and garvicin ML, by an
efficient chemoenzymatic strategy. A key feature of our synthetic scheme is the
use of an Asn-specific butelase-mediated cyclization of their linear precursors,
prepared by microwave stepwise synthesis. Antimicrobial assays showed that the AS
48 linear precursor is inactive at concentrations up to 100 MUM, whereas the
macrocyclic AS-48 is potently active against pathogenic and drug-resistant
bacteria, with minimal inhibitory concentrations in a sub-micromolar range.
PMID- 27206100
TI - Minimally invasive gastrectomy for gastric adenocarcinoma in the United States:
Utilization and short-term oncologic outcomes.
PMID- 27206101
TI - Response to "Minimally invasive gastrectomy for gastric adenocarcinoma in the
United States: Utilization and short-term oncologic outcomes".
PMID- 27206102
TI - In vivo quantification of intraventricular hemorrhage in a neonatal piglet model
using an EEG-layout based electrical impedance tomography array.
AB - Intraventricular hemorrhage (IVH) is a common occurrence in the days immediately
after premature birth. It has been correlated with outcomes such as
periventricular leukomalacia (PVL), cerebral palsy and developmental delay. The
causes and evolution of IVH are unclear; it has been associated with fluctuations
in blood pressure, damage to the subventricular zone and seizures. At present,
ultrasound is the most commonly used method for detection of IVH, but is used
retrospectively. Without the presence of adequate therapies to avert IVH, the use
of a continuous monitoring technique may be somewhat moot. While treatments to
mitigate the damage caused by IVH are still under development, the principal
benefit of a continuous monitoring technique will be in investigations into the
etiology of IVH, and its associations with periventricular injury and blood
pressure fluctuations. Electrical impedance tomography (EIT) is potentially of
use in this context as accumulating blood displaces higher conductivity
cerebrospinal fluid (CSF) in the ventricles. We devised an electrode array and
EIT measurement strategy that performed well in detection of simulated
ventricular blood in computer models and phantom studies. In this study we
describe results of pilot in vivo experiments on neonatal piglets, and show that
EIT has high sensitivity and specificity to small quantities of blood (<1 ml)
introduced into the ventricle. EIT images were processed to an index representing
the quantity of accumulated blood (the 'quantity index', QI). We found that QI
values were linearly related to fluid quantity, and that the slope of the curve
was consistent between measurements on different subjects. Linear discriminant
analysis showed a false positive rate of 0%, and receiver operator characteristic
analysis found area under curve values greater than 0.98 to administered volumes
between 0.5, and 2.0 ml. We believe our study indicates that this method may be
well suited to quantitative monitoring of IVH in newborns, simultaneously or
interleaved with electroencephalograph assessments.
PMID- 27206103
TI - Influence of Copolyester Composition on Adhesion to Soda-Lime Glass via Molecular
Dynamics Simulations.
AB - Copolyesters are a subset of polymers that have the desirable properties of
strength and clarity while retaining chemical resistance, and are thus potential
candidates for enhancing the impact resistance of soda-lime glass. Adhesion
between the polymer and the glass relates to the impact performance of the
system, as well as the longevity of the bond between the polymer and the glass
under various conditions. Modifying the types of diols and diacids present in the
copolyester provides a method for fine-tuning the physical properties of the
polymer. In this study, we used molecular dynamics (MD) simulations to examine
the influence of the chemical composition of the polymers on adhesion of polymer
film laminates to two soda-lime glass surfaces, one tin-rich and one oxygen-rich.
By calculating properties such as adhesion energies and contact angles, these
results provide insights into how the polymer-glass interaction is impacted by
the polymer composition, temperature, and other factors such as the presence of
free volume or pi stacking. These results can be used to optimize the adhesion of
copolyester films to glass surfaces.
PMID- 27206104
TI - Analytical characterization of in vitro refolding in the quality by design
paradigm: Refolding of recombinant human granulocyte colony stimulating factor.
AB - Protein based therapeutics dominate most pharmaceutical pipelines today. For a
therapeutic product to be effective, it is important that it is in its native
form as slight modifications have been known to result in significantly different
performance in the clinic. When expressed in hosts such as Escherichia coli,
formation of inactive insoluble aggregates of proteins popularly known as
inclusion bodies occurs in most cases. This necessitates the need for in vitro
refolding to generate the native (and active) form of the therapeutic protein.
This paper aims to provide an approach to generate a deeper understanding of
refolding of a therapeutic protein and then to use it for its optimal production
commercially. Recombinant human granulocyte colony stimulating factor has been
chosen as the model protein. Seven orthogonal analytical tools have been used to
elucidate the refolding process. By strategically using these tools protein
refolding has been segregated into a series of well-defined sequence of events,
starting from the unfolded random coil and ending with the uniquely folded
metastable state. The study also suggests the choice of tools that can be used to
monitor each event. We believe that this paper successfully demonstrates an
approach to generate deeper understanding of the protein refolding process as per
the expectations laid out in the Quality by Design paradigm.
PMID- 27206105
TI - A Novel, Nondestructive, Dried Blood Spot-Based Hematocrit Prediction Method
Using Noncontact Diffuse Reflectance Spectroscopy.
AB - Dried blood spot (DBS) sampling is recognized as a valuable alternative sampling
strategy both in research and in clinical routine. Although many advantages are
associated with DBS sampling, its more widespread use is hampered by several
issues, of which the hematocrit effect on DBS-based quantitation remains
undoubtedly the most widely discussed one. Previously, we developed a method to
derive the approximate hematocrit from a nonvolumetrically applied DBS based on
its potassium content. Although this method yielded good results and was
straightforward to perform, it was also destructive and required sample
preparation. Therefore, we now developed a nondestructive method which allows to
predict the hematocrit of a DBS based on its hemoglobin content, measured via
noncontact diffuse reflectance spectroscopy. The developed method was thoroughly
validated. A linear calibration curve was established after log/log
transformation. The bias, intraday and interday imprecision of quality controls
at three hematocrit levels and at the lower and upper limit of quantitation (0.20
and 0.67, respectively) were less than 11%. In addition, the influence of storage
and the volume spotted was evaluated, as well as DBS homogeneity. Application of
the method to venous DBSs prepared from whole blood patient samples (n = 233)
revealed a good correlation between the actual and the predicted hematocrit.
Limits of agreement obtained after Bland and Altman analysis were -0.076 and
+0.018. Incurred sample reanalysis demonstrated good method reproducibility. In
conclusion, mere scanning of a DBS suffices to derive its approximate hematocrit,
one of the most important variables in DBS analysis.
PMID- 27206117
TI - Psychosocial Working Conditions and Suicide Ideation: Evidence From a Cross
Sectional Survey of Working Australians.
AB - OBJECTIVES: This study examined the relationship between psychosocial working
factors such as job control, job demands, job insecurity, supervisor support, and
workplace bullying as risk factors for suicide ideation. METHODS: We used a
logistic analytic approach to assess risk factors for thoughts of suicide in a
cross-sectional sample of working Australians. Potential predictors included
psychosocial job stressors (described above); we also controlled for age, gender,
occupational skill level, and psychological distress. RESULTS: We found that
workplace bullying or harassment was associated with 1.54 greater odds of suicide
ideation (95% confidence interval 1.64 to 2.05) in the model including
psychological distress. Results also suggest that higher job control and security
were associated with lower odds of suicide ideation. CONCLUSIONS: These results
suggest the need for organizational level intervention to address psychosocial
job stressors, including bullying.
PMID- 27206118
TI - Psychosocial Factors Related to Lateral and Medial Epicondylitis: Results From
Pooled Study Analyses.
AB - OBJECTIVE: The goal is to assess the relationships between psychosocial factors
and both medial and lateral epicondylitis after adjustment for personal and job
physical exposures. METHODS: One thousand eight hundred twenty-four participants
were included in pooled analyses. Ten psychosocial factors were assessed.
RESULTS: One hundred twenty-one (6.6%) and 34 (1.9%) participants have lateral
and medial epicondylitis, respectively. Nine psychosocial factors assessed had
significant trends or associations with lateral epicondylitis, the largest of
which was between physical exhaustion after work and lateral epicondylitis with
and odds ratio of 7.04 (95% confidence interval = 2.02 to 24.51). Eight
psychosocial factors had significant trends or relationships with medial
epicondylitis, with the largest being between mental exhaustion after work with
an odds ratio of 6.51 (95% confidence interval = 1.57 to 27.04). CONCLUSIONS: The
breadth and strength of these associations after adjustment for confounding
factors demonstrate meaningful relationships that need to be further investigated
in prospective analyses.
PMID- 27206119
TI - Professional Cleaning Activities and Lung Cancer Risk Among Women: Results From
the ICARE Study.
AB - OBJECTIVES: Lung cancer risk associated with occupational cleaning activities has
been investigated in the population-based case-control study ICARE. METHODS:
Occupational history was collected by standardized interviews. Jobs were first
defined according to the International Standard Classification of Occupations
(ISCO) codes and then categorized according to activity sectors. Adjusted odds
ratios (ORs) were estimated by unconditional logistic regression, separately for
women (619 cases and 760 controls) and men (2265 and 2780). RESULTS: Thirty
percent of women and 2.3% of men controls ever held a cleaner or care job. Women
who worked as housemaids longer than 7 years showed an OR of 1.76 [95% confidence
interval (95% CI) 1.09 to 2.87] with respect to controls. Women employed in
domestic service sector for a long time had an OR of 2.06 (95% CI 1.15 to 3.66).
CONCLUSION: We confirmed and redefined the association of lung cancer with
occupational cleaning, which concerns a considerable proportion of women workers.
PMID- 27206120
TI - How Does the Presence of High Need for Recovery Affect the Association Between
Perceived High Chronic Exposure to Stressful Work Demands and Work Productivity
Loss?
AB - OBJECTIVE: Employers have increasingly been interested in decreasing work stress.
However, little attention has been given to recovery from the exertion
experienced during work. This paper addresses the question: how does the presence
of high need for recovery (HNFR) affect the association between perceived high
chronic exposure to stressful work demands (PHCE) and work productivity loss
(WPL)?. METHODS: Data were from a population-based survey of 2219 Ontario
workers. The Work Limitations Questionnaire was used to measure WPL. The
relationship between HNFR and WPL was examined using four multiple regression
models. RESULTS: Our results indicate that HNFR affects the association between
PHCE and WPL. They also suggest that PHCE alone significantly increases the risk
of WPL. CONCLUSION: Our results suggest that HNFR as well as PHCE could be an
important factor for workplaces to target to increase worker productivity.
PMID- 27206121
TI - Development and Validity Testing of the Worksite Health Index: An Assessment Tool
to Help and Improve Korean Employees' Health-Related Outcome.
AB - OBJECTIVES: The objective of this study was to develop the Worksite Health Index
(WHI) and validate its psychometric properties. METHODS: The development of the
WHI questionnaire included item generation, item construction, and field testing.
To assess the instrument's reliability and validity, we recruited 30 different
Korean worksites. RESULTS: We developed the WHI questionnaire of 136 items
categorized into five domains, namely Governance and Infrastructure, Need
Assessment and Planning, Health Prevention and Promotion Program, Occupational
Safety, and Monitoring and Feedback. All WHI domains demonstrated a high
reliability with good internal consistency. The total WHI scores differentiated
worksite groups effectively according to firm size. Each domain was associated
significantly with employees' health status, absence, and financial outcome.
CONCLUSIONS: The WHI can assess comprehensive worksite health programs. This tool
is publicly available for addressing the growing need for worksite health
programs.
PMID- 27206122
TI - The Association of Job Strain With Medication Adherence: Is Your Job Affecting
Your Compliance With a Prescribed Medication Regimen?
AB - OBJECTIVE: This innovative study examines for the first time the relationship
between occupational factors (eg, job strain) and medication adherence. METHODS:
An analysis of secondary data collected from a randomized controlled trial (RCT)
implemented in 34 drugstores of a national pharmacy chain in Tennessee.
Medication adherence, health care utilization, psychosocial assessment, chronic
disease status, and occupational health history data were obtained from study
participants. RESULTS: The study found that most job strains are less adherent to
their medication regimen as measured by proportion of days covered (PDC) than
those in a low strain job category. However, statistically significant
differences are observed only for renin angiotensin system antagonists (RASA),
statins, and when PDC is combined across all medication classes. CONCLUSIONS:
Examining occupational factors may prove beneficial in developing interventions
that improve medication adherence.
PMID- 27206123
TI - Associations Between COPD Severity and Work Productivity, Health-Related Quality
of Life, and Health Care Resource Use: A Cross-Sectional Analysis of National
Survey Data.
AB - OBJECTIVE: The aim of this study was to investigate the associations between
presence of chronic obstructive pulmonary disease (COPD) and increasing COPD
severity with work productivity and activity impairment (WPAI), health-related
quality of life (HRQoL), and health care resource use (HCRU) in employed adults.
METHODS: Employed adults aged at least 40 years from the 2010 to 2012 US National
Health and Wellness Survey were selected for this study. Associations of interest
were assessed using multivariate regression models. RESULTS: The study sample
consisted of 60,389 respondents with 4.1% reporting a physician diagnosis of
COPD. Of these, 55.4%, 37.6%, and 7.0% reported their COPD severity was mild,
moderate, and severe, respectively. The presence of COPD and increasing COPD
severity was associated with higher WPAI, lower HRQoL, and higher HCRU (all P <
0.001). CONCLUSION: In an employed population, presence of COPD and increasing
COPD severity negatively impacts health outcomes, particularly work productivity.
PMID- 27206124
TI - The Work Organization of Long-Haul Truck Drivers and the Association With Body
Mass Index.
AB - OBJECTIVE: The aim of this study was to examine relationships between work
organization features of work hours, work schedules, and job stress with body
mass indexes (BMIs) of long-haul truck drivers. METHODS: Face-to-face survey data
were collected first, followed by collection of anthropometric measures including
height and weight (n = 260). Logistic regression (backward stepwise model) was
used to identify significant predictors of BMI and to analyze odds ratios.
RESULTS: Mean BMI was 33.40 kg/m(2), with 64.2% obese (BMI > 30 kg/m(2)) and
18.4% extreme/morbidly obese (BMI > 40 kg/m(2)). Working more than 11 daily hours
was associated with statistically significant increased odds for being extreme
obese. CONCLUSION: Findings suggest that longer work hours (>11 hours daily) have
a major influence on odds for obesity among this population. The results align
with recent NIOSH calls for integrated approaches to worker health.
PMID- 27206125
TI - Prenatal Exposure to Perfluorinated Compounds Affects Birth Weight Through GSTM1
Polymorphism.
AB - OBJECTIVE: The aim of this study was to investigate the effect of genetic
polymorphisms on the association of prenatal exposure to perfluorinated compounds
(PFCs) with birth weight. METHODS: We analyzed the level of eight PFCs in cord
blood and two genetic polymorphisms in maternal blood of 268 subjects. RESULTS:
Concentrations of perfluorooctanoic acid, perfluorooctane sulfonate,
perfluorononanoic acid (PFNA), perfluorodecanoic acid (PFDA), and
perfluoroundecanoic acid (PFUnDA) showed significant association with a decrease
in birth weight (P < 0.05). In mothers with glutathione S-transferase M1 (GSTM1)
null genotype, concentrations of PFNA, PFDA, and PFUnDA showed significantly
negative association with birth weight (P < 0.05). CONCLUSION: Our findings
indicated that GSTM1 polymorphism might affect the association between exposure
to PFCs and birth weight, suggesting the effect of genetic susceptibility on the
relationship between prenatal PFCs exposure and birth outcomes.
PMID- 27206126
TI - Association Between Farm Machinery Operation and Low Back Disorder in Farmers: A
Retrospective Cohort Study.
AB - OBJECTIVE: The association between whole body vibration (WBV) as measured by
annual accumulated use of all-terrain vehicles (ATV)/combine/tractor operation
and low back disorders (LBDs) among farmers was investigated. METHODS:
Saskatchewan Farm Injury Cohort Study data was used. Baseline data were collected
in 2007 on the three vehicle types and other factors. Follow-up data on LBD
symptoms were collected during 2013 resulting in 1149 samples. RESULTS: Adjusted
for age, education, and gender, LBDs were associated with tractor operation for 1
to 150 hours/year (Relative Risk [RR] = 1.23, 95%CI 1.05 to 1.44), 151 to 400
hours/year (RR = 1.32, 95%CI 114 to 1.54) and 401+ hours/year (RR = 1.34, 95%CI
1.15 to 1.56). Additionally, hip symptoms were associated with tractor operation.
Only unadjusted associations were found in combine and ATV operation.
CONCLUSIONS: Duration of tractor operation and older age are important predictors
of both low back and hip symptoms in farmers.
PMID- 27206127
TI - Objectively Measured Patterns of Activities of Different Intensity Categories and
Steps Taken Among Working Adults in a Multi-ethnic Asian Population.
AB - OBJECTIVE: To objectively assess sedentary behavior (SB), light- and moderate-to
vigorous intensity physical activity (MVPA), and steps among Singaporean office
based workers across days of the week. METHODS: A convenience sample of office
based employees of a public University was recruited. Time spent for SB, light-,
and MVPA using different validated accelerometry counts per minute (CPM), and
step count were determined. RESULTS: Depending on applied CPM for SB (less than
100, less than 150 and less than 200 CPM), 107 working adults spent between 69.2%
and 76.4% of their daily wakeful time in SB. Time spent in SB and MVPA were
higher on weekdays than weekends. The hourly analysis highlights patterns of
greater SB during usual working hours on weekdays but not on weekends.
CONCLUSIONS: SB at work contributes greatly toward total daily sitting time. Low
PA levels and high SB levels were found on weekends.
PMID- 27206128
TI - Measurement Tools for Integrated Worker Health Protection and Promotion: Lessons
Learned From the SafeWell Project.
AB - OBJECTIVES: To describe (a) a conceptual approach, (b) measurement tools and data
collection processes, (c) characteristics of an integrated feedback report and
action plan, and (d) experiences of three companies with an integrated
measurement approach to worker safety and health. METHODS: Three companies
implemented measurement tools designed to create an integrated view of health
protection and promotion based on organizational- and individual-level
assessments. Feedback and recommended actions were presented following
assessments at baseline and 1-year follow-up. Measurement processes included
group dialogue sessions, walk-through, online surveys, and focus groups. RESULTS:
The approach and measurement tools generated actionable recommendations and
documented changes in the physical (eg, safety hazards) and psychosocial (eg,
health and safety culture) work environment between baseline and 1-year follow
up. CONCLUSIONS: The measurement tools studied were feasible, acceptable, and
meaningful to companies in the SafeWell study.
PMID- 27206129
TI - Respiratory Effects of High Levels of Particulate Exposure in a Cohort of Traffic
Police in Kathmandu, Nepal.
AB - OBJECTIVES: To investigate the traffic-related PM2.5 and black carbon (BC)
exposures and assess their health effects. METHODS: Personal exposure to PM2.5
and BC levels were monitored in a cohort of traffic police (n = 53) at six
locations in Kathmandu Valley, Nepal during dry and rainy seasons in 2014.
RESULTS: Mean on-road exposure levels of PM2.5 and BC ranged from 34 to 193
MUg/m(3) and 12 to 28 MUgC/m(3), respectively, and were associated with an acute
decline in lung function. Use of N95 mask had clear benefits reducing the lung
function decreases after occupational exposures when masks were worn for just
half of a workweek. CONCLUSIONS: Exposure of high levels of PM2.5 was associated
with reduced lung function. Increased levels of BC exposure led to reduced lung
function in non-smoking traffic officers with non-normal spirometry observations.
PMID- 27206130
TI - Association of Psychosocial Work Hazards With Depression and Suboptimal Health in
Executive Employees.
AB - OBJECTIVES: This study aims to investigate whether psychosocial work-related
hazards, measured by workplace justice and employment insecurity, are associated
with depression and suboptimal health status in Taiwan's executive-level
employees. METHODS: There were 365 executives who have received a series of
cardiovascular health examinations, blood sampling, and self-reported
questionnaires, which included the psychosocial work-related hazards and the CES
D scale. Suboptimal health status was defined as the presence of dyslipidemia or
prediabetes. RESULTS: Executive-level employees perceived lower workplace justice
and higher employment insecurity and had a significantly higher risk of
depression (CES-D scores >=16 or >=23). However, workplace justice was identified
as a significant determinant factor that was negative for dyslipidemia but
protective for prediabetes. CONCLUSION: This study supports the fact that
psychosocial work-related hazards can independently contribute to the risk of
developing depression, prediabetes, and dyslipemia in executives.
PMID- 27206131
TI - The Impact of a Rigorous Multiple Work Shift Schedule and Day Versus Night Shift
Work on Reaction Time and Balance Performance in Female Nurses: A Repeated
Measures Study.
AB - OBJECTIVE: The aim of this study was to determine the impact of a demanding work
schedule involving long, cumulative work shifts on response time and balance
related performance outcomes and to evaluate the prevalence of musculoskeletal
disorders between day and night shift working nurses. METHODS: A questionnaire
was used to identify the prevalence of past (12-month) and current (7-day)
musculoskeletal disorders. Nurses worked three 12-hour work shifts in a 4-day
period. Reaction time and balance tests were conducted before and after the work
period. RESULTS: The work period induced impairments for reaction time, errors on
reaction time tasks, and balance performance, independent of shift type.
Musculoskeletal symptom prevalence was high in workers of both work shifts.
CONCLUSIONS: Compressed work shifts caused performance-based fatigue in nurses.
Reaction time and balance tests may be sensitive fatigue identification markers
in nurses.
PMID- 27206132
TI - Direct and Mediated Relationships Between Participation in a Telephonic Health
Coaching Program and Health Behavior, Life Satisfaction, and Optimism.
AB - OBJECTIVE: The aim of this study was to examine the direct and mediated effects
of a telephonic health coaching program on changes to healthy behaviors, life
satisfaction, and optimism. METHODS: This longitudinal correlational study of
4881 individuals investigated simple and mediated relationships between
participation in a telephonic health risk coaching program and outcomes from
three annual Well-being Assessments. RESULTS: Program participation was directly
related to improvements in healthy behaviors, life satisfaction and optimism, and
indirect effects of coaching on these variables concurrently and over a one-year
time lag were also supported. CONCLUSIONS: Given previous research that
improvements to life satisfaction, optimism, and health behaviors are valuable
for individuals, employers, and communities, a clearer understanding of
intervention approaches that may impact these outcomes simultaneously can drive
greater program effectiveness and value on investment.
PMID- 27206133
TI - Evaluation of a Workplace-Based Migraine Education Program.
AB - OBJECTIVE: Migraine affects approximately 10% of working-age adults and is
associated with increased health care costs, absenteeism, and presenteeism in the
workplace. A migraine education program was offered to United States employees of
a global financial services organization. METHODS: Two hundred forty three
employees (46% response rate) completed both a baseline and 6-month follow-up
migraine questionnaire. The program included webinars, E-mailed educational tips,
and intranet-based resources. RESULTS: No change was found in the frequency of
migraines but improvements were observed in the severity, workdays missed,
effectiveness at work during migraine, and work/activity limitations.
Participants reported taking action to identify and reduce migraine triggers.
CONCLUSIONS: A worksite disease education program for migraine headache has the
potential to significantly impact lost productivity and absenteeism for
migraineurs.
PMID- 27206135
TI - Similarity-regulation of OS-EM for accelerated SPECT reconstruction.
AB - Ordered subsets expectation maximization (OS-EM) is widely used to accelerate
image reconstruction in single photon emission computed tomography (SPECT).
Speedup of OS-EM over maximum likelihood expectation maximization (ML-EM) is
close to the number of subsets used. Although a high number of subsets can
shorten reconstruction times significantly, it can also cause severe image
artifacts such as improper erasure of reconstructed activity if projections
contain few counts. We recently showed that such artifacts can be prevented by
using a count-regulated OS-EM (CR-OS-EM) algorithm which automatically adapts the
number of subsets for each voxel based on the estimated number of counts that the
voxel contributed to the projections. While CR-OS-EM reached high speed-up over
ML-EM in high-activity regions of images, speed in low-activity regions could
still be very slow. In this work we propose similarity-regulated OS-EM (SR-OS-EM)
as a much faster alternative to CR-OS-EM. SR-OS-EM also automatically and locally
adapts the number of subsets, but it uses a different criterion for subset
regulation: the number of subsets that is used for updating an individual voxel
depends on how similar the reconstruction algorithm would update the estimated
activity in that voxel with different subsets. Reconstructions of an image
quality phantom and in vivo scans show that SR-OS-EM retains all of the favorable
properties of CR-OS-EM, while reconstruction speed can be up to an order of
magnitude higher in low-activity regions. Moreover our results suggest that SR-OS
EM can be operated with identical reconstruction parameters (including the number
of iterations) for a wide range of count levels, which can be an additional
advantage from a user perspective since users would only have to post-filter an
image to present it at an appropriate noise level.
PMID- 27206134
TI - Genetic Basis of Irritant Susceptibility in Health Care Workers.
AB - OBJECTIVE: The aim of this study was to investigate the association of single
nucleotide polymorphisms (SNPs) within genes involved in inflammation, skin
barrier integrity, signaling/pattern recognition, and antioxidant defense with
irritant susceptibility in a group of health care workers. METHODS: The 536
volunteer subjects were genotyped for selected SNPs and patch tested with three
model irritants: sodium lauryl sulfate (SLS), sodium hydroxide (NaOH), and
benzalkonium chloride (BKC). Genotyping was performed on genomic DNA using
Illumina Goldengate custom panels. RESULTS: The ACACB (rs2268387, rs16934132,
rs2284685), NTRK2 (rs10868231), NTRK3 (rs1347424), IL22 (rs1179251), PLAU
(rs2227564), EGFR (rs6593202), and FGF2 (rs308439) SNPs showed an association
with skin response to tested irritants in different genetic models (all at P <
0.001). Functional annotations identified two SNPs in PLAU (rs2227564) and ACACB
(rs2284685) genes with a potential impact on gene regulation. In addition, EGF
(rs10029654), EGFR (rs12718939), CXCL12 (rs197452), and VCAM1 (rs3917018) genes
showed an association with hand dermatitis (P < 0.005). CONCLUSIONS: The results
demonstrate that genetic variations in genes related to inflammation and skin
homeostasis can influence responses to irritants and may explain inter-individual
variation in the development of subsequent contact dermatitis.
PMID- 27206136
TI - Expedient synthesis of densely substituted pyrrolo[1,2-a]indoles.
AB - Cu(OTf)2 catalyzed [6 + 2] cycloaddition reaction of indolyl-2-carbinols with
various dienophiles such as indole derived alpha,beta-unsaturated esters,
ketones, nitriles and cinnamates is described. The strategy was further applied
for the synthesis of optically active pyrrolo[1,2-a]indoles in >97% de using the
chiral auxiliary based approach.
PMID- 27206137
TI - The Author File: Jennifer Elisseeff.
PMID- 27206139
TI - Editorial overview: Next-generation therapeutics: Breaking new ground and making
a difference for patients.
PMID- 27206138
TI - Effect of PEG Grafting Density and Hydrodynamic Volume on Gold Nanoparticle-Cell
Interactions: An Investigation on Cell Cycle, Apoptosis, and DNA Damage.
AB - In this study, interactions of polyethylene glycol (PEG)-coated gold
nanoparticles (AuNPs) with cells were investigated with particular focus on the
relationship between the PEG layer properties (conformation, grafting density,
and hydrodynamic volume) and cell cycle arrest, apoptosis, and DNA damage. Steric
hindrance and PEG hydrodynamic volume controlled the protein adsorption, whereas
the AuNP core size and PEG hydrodynamic volume were primary factors for cell
uptake and viability. At all PEG grafting densities, the particles caused
significant cell cycle arrest and DNA damage against CaCo2 and PC3 cells without
apoptosis. However, at a particular PEG grafting density (~0.65 chains/nm(2)),
none of these severe damages were observed on 3T3 cells indicating discriminating
behavior of the healthy (3T3) and cancer (PC3 and CaCo2) cells. It was concluded
that the PEG grafting density and hydrodynamic volume, tuned with the PEG
concentration and AuNP size, played an important role in particle-cell
interactions.
PMID- 27206140
TI - Clinical Pharmacology in Drug Development.
PMID- 27206141
TI - A Novel Study Using Accelerated Mass Spectrometry to Evaluate the
Pharmacokinetics of Total (14)C AL-8309 (Tandospirone) Following Topical Ocular
Administration in Healthy Male Subjects.
AB - The primary objective of this study is to characterize the pharmacokinetics of
total (14)C concentrations following bilateral, topical ocular drops of (14)C-AL
8309B labeled either at the pyrimidyl ring (cohort A) position or at the imido
carbonyl ring (cohort B) position twice daily from day 1 through day 6 and once
in the morning of day 7 in 16 healthy male subjects (8 per cohort). Each drop
(approximately 24 MUL) of (14)C-AL-8309B 1.75% ophthalmic solution (equivalent to
420 MUg-equiv AL-8309) contained approximately 500 nCi of (14)C-AL-8309. AL-8309
systemic absorption was relatively slow; the time of maximum observed plasma
concentrations ranged from 0.25 to 3 hours. Moderate accumulation (1.48- to 1.86
fold) was observed in the mean systemic total (14)C plasma concentrations at
steady state (day 7) compared with single dose (day 1). The mean total (14)C
eliminated was 3.5-fold and 3.7-fold greater in the urine than the feces for
cohort A and cohort B, indicating that (14)C-AL-8309 is primarily excreted
through renal elimination. Single and multiple topical doses of AL-8309B were
found to be safe and well-tolerated in healthy subjects. This is the first
reported use of accelerator mass spectrometry technology with a topically applied
ophthalmic product.
PMID- 27206142
TI - Relative Bioavailability of an Emulsion Formulation for Omega-3-Acid Ethyl Esters
Compared to the Commercially Available Formulation: A Randomized, Parallel-Group,
Single-Dose Study Followed by Repeat Dosing in Healthy Volunteers.
AB - LOVAZA (omega-3-acid ethyl esters; eicosapentaenoic acid [EPA]/docosahexaenoic
acid [DHA]), with diet, lowers very high triglycerides (>=500 mg/dL) in adults.
This study evaluated whether an emulsion formulation (LEM) increases the
bioavailability of EPA/DHA compared to the reference formulation (RF) in healthy
volunteers. Following relative bioavailability assessment, LEM, RF, and placebo
were dosed for 2 weeks. Exposure measurements included plasma-free and total
fatty acid (EPA/DHA) concentrations and phospholipid and red blood cell (RBC)
incorporation. Following single doses, the dose-normalized EPA plasma-corrected
AUCs were 14-fold (total) and 12-fold (free) higher and DHA plasma-corrected AUCs
were 10-fold (total) and 13-fold (free) higher for LEM compared to RF. EPA and
DHA incorporation into phospholipids increased for all active treatments; the
increase was dose dependent for EPA. An 8-fold increase over baseline was
observed in EPA incorporation for LEM (4-capsule dose) compared to a 4-fold
increase for RF 4 g. DHA incorporation increased to a lesser degree, and RBC
incorporation also increased. Pharmacodynamic evaluations revealed slight
decreases (-8% to -25%) in the mean fasting triglyceride concentrations in all
groups, including placebo, compared to baseline. Following a high-fat meal, no
consistent treatment-related effect on the triglyceride profiles was observed.
Study treatments were safe and tolerated. In conclusion, LEM improves the oral
bioavailability of EPA and DHA.
PMID- 27206143
TI - A Phase 1 Study to Evaluate the Bioavailability and Food Effect of 2 Solid
Dispersion Formulations of the TRPV1 Antagonist ABT-102, Relative to the Oral
Solution Formulation, in Healthy Human Volunteers.
AB - ABT-102 is a selective TRPV1 antagonist designed for treatment of nociceptive
pain. The objective of this study was to characterize the bioavailability and the
food effect of 2 solid-dispersion (melt-extrusion [Meltrex] and spray-dried)
tablet formulations of ABT-102 relative to the solution formulation used in
initial clinical trials. The study followed a 2-part, single-dose (2-mg), open
label, randomized, 3-period, crossover design in 24 healthy adults, where in each
study part, 1 of the 2 solid-dispersion formulations (under fasting and
nonfasting conditions) was compared to the solution formulation (under nonfasting
conditions). Under nonfasting conditions, the melt-extrusion and spray-dried
formulations had 53% and 87% higher Cmax and 42% and 70% higher AUCinfinity than
the solution formulation, respectively. The 2 solid-dispersion formulations
provided comparable absolute ABT-102 exposures (mean +/- SD AUCinfinity of 116 +/
35 ng.h/mL [melt-extrusion] and 112 +/- 55 ng.h/mL [spray-dried]). There was no
effect of food (high-fat/high-calorie breakfast) on the bioavailability of the
melt-extrusion formulation with the fasting and nonfasting regimens meeting
bioequivalence. Food increased Cmax and AUCinfinity central values of the spray
dried formulation by an estimated 11% and 17%, respectively. Based on the results
of the study, the melt-extrusion formulation of ABT-102 was selected to replace
the solution formulation for subsequent clinical development.
PMID- 27206144
TI - Relative Bioavailability of Liquid and Tablet Formulations of the Antiparasitic
Moxidectin.
AB - The antiparasitic agent moxidectin is under development for the treatment of
onchocerciasis. As the first-in-human study of moxidectin used a liquid
formulation but other trials used tablets, a study was performed to determine the
relative bioavailability of the 2 formulations and to gain more information about
the pharmacokinetics of moxidectin. Fifty-eight healthy male participants were
randomized to receive open-label moxidectin (10 mg) as a tablet (n = 29) or
liquid (n = 29) formulation. The mean +/- SD pharmacokinetic parameters observed
following administration of the tablet were peak concentration (Cmax) 67.1 +/-
27.4 ng/mL, time to peak concentration (tmax) 3.2 +/- 1.4 hours, area under the
concentration time curve (AUC) 4403 +/- 2360 ng.h/mL, apparent volume of
distribution 3635 +/- 1720 L, oral clearance 2.83 +/- 1.25 L/h, and elimination
half-life 1032 +/- 502 hours. The Cmax and AUC observed following administration
of the liquid formulation were 28.6% and 28.8% higher, respectively, and tmax 0.9
hours shorter compared with tablets. No serious adverse events (AEs) were
observed. The most commonly reported AEs were headache, infection, diarrhea,
asthenia, myalgia, and dizziness during the inpatient phase and flu syndrome,
headache, and infection during the 6-month outpatient phase. There was no
difference in reporting of these AEs between formulations.
PMID- 27206145
TI - Author File: Jerome Mertz.
PMID- 27206152
TI - The author file: Loren L. Looger.
PMID- 27206153
TI - Synthesis of Spiro-lactams and Polysubstituted Pyrroles via Ceric Ammonium
Nitrate-Mediated Oxidative Cyclization of N-Furan-2-ylmethyl-beta-Enaminones.
AB - Spiro-lactams and polysubstituted pyrroles were synthesized by reactions of
furfurylamines with ynones followed by oxidation. Specifically, the protocol
involved in situ generation of N-furan-2-ylmethyl-beta-enaminones and their
subsequent oxidation by ceric ammonium nitrate (6 equiv for spiro-lactam
formation, 3 equiv for pyrrole formation). This useful dearomatizing oxidation,
which likely proceeds via a free-radical pathway, can be expected to extend the
synthetic applications of furan and pyrrole derivatives.
PMID- 27206146
TI - Clinical and molecular markers of long-term survival after oligometastasis
directed stereotactic body radiotherapy (SBRT).
AB - BACKGROUND: The selection of patients for oligometastasis-directed ablative
therapy remains a challenge. The authors report on clinical and molecular
predictors of survival from a stereotactic body radiotherapy (SBRT) dose
escalation trial for oligometastases. METHODS: Patients who had from 1 to 5
metastases, a life expectancy of >3 months, and a Karnofsky performance status of
>60 received escalating SBRT doses to all known cancer sites. Time to
progression, progression-free survival, and overall survival (OS) were calculated
at the completion of SBRT, and clinical predictors of OS were modeled. Primary
tumor microRNA expression was analyzed to identify molecular predictors of OS.
RESULTS: Sixty-one evaluable patients were enrolled from 2004 to 2009. The median
follow-up was 2.3 years for all patients (range, 0.2-9.3 years) and 6.8 years for
survivors (range, 2.0-9.3 years). The median, 2-year, and 5-year estimated OS
were 2.4 years, 57%, and 32%, respectively. The rate of progression after SBRT
was associated with an increased risk of death (hazard ratio [HR], 1.44; 95%
confidence interval [CI], 1.24-1.82). The time from initial cancer diagnosis to
metastasis (HR, 0.98; 95% CI, 0.98-0.99), the time from metastasis to SBRT (HR,
0.98; 95% CI, 0.98-0.99), and breast cancer histology (HR, 0.12; 95% CI, 0.07
0.37) were significant predictors of OS. In an exploratory analysis, a candidate
classifier using expression levels of 3 microRNAs (miR-23b, miR-449a, and miR
449b) predicted survival among 17 patients who had primary tumor microRNA
expression data available. CONCLUSIONS: A subset of oligometastatic patients
achieves long-term survival after metastasis-directed SBRT. Clinical features and
primary tumor microRNA expression profiling, if validated in an independent
dataset, may help select oligometastatic patients most likely to benefit from
metastasis-directed therapy. Cancer 2016;122:2242-50. (c) 2016 American Cancer
Society.
PMID- 27206154
TI - Primary mastoid reconstruction with Sofradex(r) -soaked autologous bone pate: is
there a sensorineural hearing effect?
PMID- 27206155
TI - Controlling the Adsorption of Aromatic Compounds on Pt(111) with Oxygenate
Substituents: From DFT to Simple Molecular Descriptors.
AB - Aromatic chemistry on metallic surfaces is involved in many processes within the
contexts of biomass valorization, pollutant degradation, or corrosion protection.
Albeit theoretically and experimentally challenging, knowing the structure and
the stability of aromatic compounds on such surfaces is essential to understand
their properties. To gain insights on this topic, we performed periodic ab initio
calculations on Pt(111) to determine a set of simple molecular descriptors that
predict both the stability and the structure of aromatic adsorbates substituted
with alkyl and alkoxy (or hydroxy) groups. While the van der Waals (vdW)
interaction is controlled by the molecular weight and the deformation energy by
both the nature and the relative position of the substituents to the surface, the
chemical bonding can be correlated to the Hard and Soft Acids and Bases (HSAB)
interaction energy. This work gives general insights on the interaction of
aromatic compounds with the Pt(111) surface.
PMID- 27206156
TI - Supragastric belching.
AB - PURPOSE OF REVIEW: Supragastric belching has recently gained recognition as a
belching disorder of behavioral origin that can be accurately diagnosed on
esophageal impedance monitoring. Its contribution to numerous other
gastrointestinal disorders is beginning to be appreciated. Improved knowledge of
its pathophysiology has enabled identification of therapeutic goals, some of
which have been subject to formal study and demonstrated good outcomes. This
review sets out to present and discuss new findings related to the improved
understanding of the relationship between supragastric belching and other
gastrointestinal disorders, as well as fresh concepts in terms of management.
RECENT FINDINGS: Supragastric belching is now shown to be associated with globus,
as well as reflux symptoms in proton pump inhibitor nonresponders. Patients with
supragastric belching experience higher frequency of belching events if they have
concurrent esophageal hypomotility. Gum chewing and sleeve gastrectomy have no
impact on supragastric belching. Pediatric studies suggest an overlap with
aerophagia that is not observed in adults. Successful treatments trialed recently
include psychoeducation and behavioral therapy delivered by a health psychologist
with expertise in gastroenterology. SUMMARY: With the foreseeable increase in
recognition and diagnosis of pathological supragastric belching, there is a clear
need to better understand its pathophysiology, especially in terms of its
emerging importance in relation to other gastrointestinal disorders. Further
study is justified to uncover additional therapeutic options for this benign but
disabling condition.
PMID- 27206157
TI - Gastroesophageal reflux disease-related and functional heartburn: pathophysiology
and treatment.
AB - PURPOSE OF REVIEW: Patients who continue to experience heartburn symptoms despite
adequate-dose proton pump inhibitor therapy have unmet clinical needs. In this
review, we focus on the most recent findings related to the mechanism of
heartburn symptom generation, and on the treatment of gastroesophageal reflux
disease-related and functional heartburn. RECENT FINDINGS: The immunological
mechanism in the esophageal mucosa has been addressed as a potential mechanism of
the onset of esophageal mucosa damage and the generation of heartburn symptoms.
Peripheral or central hypersensitivity in viscera is a potentially unifying
pathophysiological concept in functional heartburn. Vonoprazan, a novel and
potent first-in-class potassium-competitive acid blocker, is expected to prove
useful in the treatment of reflux disease. SUMMARY: New findings in the
mechanisms of heartburn symptom generation are emerging, including the
immunological mediation of esophageal mucosal damage and the development of
visceral hypersensitivity in functional heartburn. In the future, we anticipate
the emergence of new and specific therapeutic options based on these mechanisms,
with less dependence on acid-suppressing agents.
PMID- 27206158
TI - Animal models of inflammatory bowel disease: how useful are they really?
AB - PURPOSE OF REVIEW: Inflammatory bowel diseases (IBDs) are idiopathic chronic
relapsing disorders of the gastrointestinal tract of unknown origin,
characterized by heterogeneity and a multifactorial nature of their pathogenesis.
Despite the recent improved options for treatment, patients with IBD still have
an impaired quality of life, and require hospitalization and surgery. This review
examines the contribution of animal models to the understanding and treatment of
IBD. RECENT FINDINGS: During the last decades, a large number of experimental
models of intestinal inflammation have been developed. These models have proved
to be helpful tools for obtaining new insights in the pathogenesis of the disease
and for the preclinical evaluation of new therapies. However, even with the
development of many new animal models in recent years, there are still
limitations in the study of IBD because of lack of suitable animal models to
cover all the requirements of basic research and preclinical studies. SUMMARY:
There is a need for a better interpretation of the data we obtain from the study
of IBD animal models, in order to better understand the underlying pathogenetic
mechanisms and improve the quality of the preclinical studies, and to develop
more appropriate models to cover the research requirements.
PMID- 27206159
TI - Experience of hypertensive patients with self-management of health care.
AB - AIMS: The aim of this study was to interpret how hypertensive patients experience
health care self-management. BACKGROUND: Hypertension is one of the most
prevalent chronic diseases worldwide. The involvement of individuals in the
management of their health care to treat this disease is fundamental, with aid
and advice from healthcare professionals, especially nurses, so that hypertensive
patients can effectively self-manage their health care. DESIGN: Qualitative
study. METHODS: Hypertensive patients were recruited using theoretical sampling.
The study sample consisted of 28 hypertensive patients aged 18-59 years who were
registered in the e-Health programme of the Ministry of Health. Data were
collected and analyzed between September 2012-October 2014 using a semi
structured interview based on the methodological framework of the constructivist
grounded theory. FINDINGS: The participants' statements depicted an outline of
their experience with the disease: the beginning of the illness; understanding
the disease process; incorporating behaviour for self-management of the disease;
experiencing attitudes and actions in the control and treatment of the disease;
and being treated in the public healthcare system. A central phenomenon emerged,
namely hypertensive patients' experience of self-management of health care.
CONCLUSION: This phenomenon has paths, actions and interactions. When patients
discover that they have the disease and become aware of the disease process, they
assume the identity of being hypertensive and become proactive in their health
care and in living with their families and in communities.
PMID- 27206160
TI - LOW-INTENSITY/HIGH-DENSITY SUBTHRESHOLD DIODE MICROPULSE LASER FOR CENTRAL SEROUS
CHORIORETINOPATHY.
AB - PURPOSE: To review the results of low-intensity/high-density subthreshold
micropulse laser (SDM) for treatment of central serous chorioretinopathy. METHOD:
The records of all patients treated in a retinal subspecialty practice with SDM
for central serous chorioretinopathy were reviewed. RESULTS: Eleven consecutive
eyes of 11 patients treated between October 2011 and April 2014 were identified
for study, 9 men and 2 women, aged 30 to 55 (mean = 46). Symptom duration before
treatment ranged 1 month to 7 months (mean = 3.6 months) and after treatment with
SDM laser, follow-up ranged 1 month to 45 months (mean = 14). Preoperative visual
acuities ranged 20/20 to 20/100 (mean = 20/37) and postoperative visual acuities
were 20/15 to 20/40 (mean = 20/24) (P = 0.01, paired t-test). Maximum retinal
thickness ranged 314 MUm to 893 MUm (mean = 508) preoperatively and 222 MUm to
365 MUm (mean = 250) postoperatively for an average 258 MUm reduction in retinal
thickness (P = 0.002, paired t-test). Subretinal fluid was eliminated in all eyes
by 3 months after treatment (mean = 1.3 months). The number of SDM laser spot
applications ranged 295 to 1431 per treatment session (mean = 772). One eye
required retreatment, but for a new leakage locus 4 months after initial
treatment. There were no adverse treatment effects. CONCLUSION: An SDM laser
seems to be safe and effective for the treatment of central serous
chorioretinopathy. As advocated in the literature, a higher treatment density and
larger treatment area, as reported in this article, may improve clinical results.
PMID- 27206161
TI - A vascular tissue engineering scaffold with core-shell structured nano-fibers
formed by coaxial electrospinning and its biocompatibility evaluation.
AB - In this article, a tubular vascular tissue engineering scaffold with core-shell
structured fibers was produced by coaxial electrospinning at an appropriate flow
rate ratio between the inner and outer solution. PCL was selected as the core to
provide the mechanical property and integrity to the scaffold while collagen was
used as the shell to improve the attachment and proliferation of vascular cells
due to its excellent biocompatibility. The fine core-shell structured fibers were
demonstrated by scanning electron microscope and transmission electron microscope
observations. Subsequently, the collagen shell was crosslinked by genipin and
further bound with heparin. The crosslinking process was confirmed by the
increasing of tensile strength, swelling ratio and thermogravimetric analysis
measurements while the surface heparin content was characterized by means of a UV
spectrophotometer and activated partial thromboplastin time tests. Furthermore,
the mechanical properties such as stitch strength and bursting pressure of the as
prepared scaffold were measured. Moreover, the biocompatibility of the scaffold
was evaluated by cytotoxicity investigation with L929 cells via MTT assay.
Endothelial cell adhesion assessments were conducted to reveal the possibility of
the formation of an endothelial cell layer on the scaffold surface, while the
ability of smooth muscle cell penetration into the scaffold wall was also
assessed by confocal laser scanning microscopy. The as-prepared core-shell
structured scaffold showed promising potential for use in vascular tissue
engineering.
PMID- 27206162
TI - Indigenous housing and health in the Canadian North: Revisiting cultural safety.
AB - In this article, I explore the relationship between housing, home and health
amongst Indigenous homeless people living in the Canadian North. In particular, I
examine the ways in which Indigenous homemaking practices conflict with housing
policy, and exacerbate individual pathways to homelessness. I argue that integral
components in northern Indigenous conceptualizations of home and, in turn, health
are not only unrecognized in housing policy, but actively discouraged. The
potential for homemaking to inform health and housing policy speaks to the
relevance of cultural safety not only to Indigenous health services, but also to
a comprehensive framing of Indigenous health.
PMID- 27206165
TI - RCN Nurse of the Year pioneers cancer 'prehab'.
AB - Being diagnosed with cancer is traumatic enough, but being told you will need
major surgery as part of your treatment only serves to increase the sense of
foreboding and trepidation. Venetia Wynter-Blyth responded by developing a
programme that has proved so successful that her patients' experience of care has
been transformed, and last week she was named RCN Nurse of the Year.
PMID- 27206164
TI - RflM mediates target specificity of the RcsCDB phosphorelay system for
transcriptional repression of flagellar synthesis in Salmonella enterica.
AB - The bacterial flagellum enables directed movement of Salmonella enterica towards
favorable conditions in liquid environments. Regulation of flagellar synthesis is
tightly controlled by various environmental signals at transcriptional and post
transcriptional levels. The flagellar master regulator FlhD4 C2 resides on top of
the flagellar transcriptional hierarchy and is under autogenous control by FlhD4
C2 -dependent activation of the repressor rflM. The inhibitory activity of RflM
depends on the presence of RcsB, the response regulator of the RcsCDB
phosphorelay system. In this study, we elucidated the molecular mechanism of RflM
dependent repression of flhDC. We show that RcsB and RflM form a heterodimer that
coordinately represses flhDC transcription independent of RcsB phosphorylation.
RcsB-RflM complex binds to a RcsB box downstream the P1 transcriptional start
site of the flhDC promoter with increased affinity compared to RcsB in the
absence of RflM. We propose that RflM stabilizes binding of unphosphorylated RcsB
to the flhDC promoter in absence of environmental cues. Thus, RflM is a novel
auxiliary regulatory protein that mediates target specificity of RcsB for flhDC
repression. The cooperative action of the RcsB-RflM repressor complex allows
Salmonella to fine-tune initiation of flagellar gene expression and adds another
level to the complex regulation of flagellar synthesis.
PMID- 27206163
TI - Association of premenstrual syndrome and premenstrual dysphoric disorder with
bulimia nervosa and binge-eating disorder in a nationally representative
epidemiological sample.
AB - OBJECTIVE: Bulimia nervosa (BN) and binge-eating disorder (BED) are associated
with significant health impairment. Premenstrual syndrome (PMS) and premenstrual
dysphoric disorder (PMDD) comprise both psychological (disturbances in mood and
affect) and physiological (bloating and changes in appetite) symptoms that may
trigger binge-eating and/or purging. METHOD: Female participants were drawn from
the Collaborative Psychiatric Epidemiological Surveys, conducted from 2001 to
2003. Weighted multivariable logistic regression modeled the association between
lifetime PMS and PMDD and lifetime odds of BN or BED. RESULTS: Among 8,694
participants, 133 (1.0%) had BN and 185 (1.8%) BED. Additionally, 366 (4.2%) had
PMDD and 3,489 (42.4%) had PMS. Prevalence of PMDD and PMS were 17.4 and 55.4%
among those with BN, 10.7 and 48.9% among those with BED and 3.4 and 59.1% among
those with subthreshold BED. After adjustment for age, race/ethnicity, income,
education, body mass index, age at menarche, birth control use, and comorbid
mental health conditions, PMDD was associated with seven times the odds of BN (OR
7.2, 95% CI 2.3, 22.4) and PMS with two times the odds of BN (OR 2.5, 95% CI 1.1,
5.7). Neither PMDD nor PMS were significantly associated with BED. DISCUSSION:
Women with PMS and PMDD have a higher odds of BN, independent of comorbid mental
health conditions. PMS and PMDD may be important comorbidities to BN to consider
in clinical settings, and future research should investigate whether PMS and PMDD
affect the onset and duration of bulimic symptoms as well as the potential for
shared risk factors across disorders. (c) 2016 Wiley Periodicals, Inc.(Int J Eat
Disord 2016; 49:641-650).
PMID- 27206166
TI - Union urges NMC to be transparent about extent of revalidation checks.
AB - The introduction of revalidation has been hailed a success, although concerns
remain about how many applications will be verified.
PMID- 27206167
TI - Student funding and union law to be hot topics at RCN congress.
AB - A debate on the abolition of nursing student bursaries will form a key part of
RCN congress in Glasgow next month.
PMID- 27206170
TI - 'HIV test should be routine for everyone at high risk'.
AB - People at high risk of HIV infection should be routinely offered a test in
general practice and secondary care, according to new guidance.
PMID- 27206169
TI - Guild will offer friendship for all.
AB - A group of eight nurses have formed the Guild of Nurses, which joins the ranks of
the historic City of London livery companies.
PMID- 27206171
TI - Bursary campaign 'won't give up' as Labour fails to block loans plan.
AB - A move by Labour MPs to block government changes to nurse education funding has
been defeated.
PMID- 27206172
TI - Seacole statue soon to be unveiled.
AB - More than a decade years after a London memorial to Mary Seacole was first
proposed, a date has now been set for its unveiling.
PMID- 27206173
TI - Awards give nursing profession's finest the chance to shine.
AB - The clinical nurse specialist named RCN Nurse of the Year 2016 hopes to see her
programme to prepare cancer patients for surgery roll out nationwide.
PMID- 27206174
TI - Nurses facing fitness to practise hearings 'pushed to breaking point'.
AB - Suicidal nurses facing fitness to practise investigations by the Nursing and
Midwifery Council are among about 100 nurses supported by the RCN's counselling
service each year.
PMID- 27206175
TI - Guilt and blame dominate midwives' thoughts after complications in childbirth.
AB - Problems in the delivery room may leave clinicians feeling upset or experiencing
secondary traumatic stress, an investigation has concluded.
PMID- 27206176
TI - Large bills decrease us cancer survivors' quality of life.
AB - Cancer survivors in the United States experience financial burdens that affect
their health and quality of life.
PMID- 27206177
TI - Cancer cells use a mutant gene to enrol healthy cells into helping spread
disease.
AB - Researchers have shed light on how cancer cells and normal cells communicate -
work that could open paths to new treatment.
PMID- 27206178
TI - Computer simulation could be used to make patient-specific osteoarthritis
prognoses.
AB - Current imaging methods such as MRI and X-ray provide information about cartilage
thickness and composition, but give no quantitative estimate of patient-specific
risk of osteoarthritis.
PMID- 27206179
TI - Depression in heart attack patients undertreated despite higher incidence in this
group.
AB - Heart attack patients are more likely than others to experience depression, but
less likely to be prescribed antidepressants.
PMID- 27206180
TI - Pre-operative tests.
AB - Essential facts According to data gathered by the Health and Social Care
Information Centre, the NHS in England completed 10.6 million operations in
2012/13, compared with 6.61 million a decade before - a rise of 60%. Over the
same period, pre-operative assessment has changed radically, with most people
seen well in advance of their surgery in a pre-operative assessment unit. While
the number of routine tests for young, healthy people having minor surgery has
reduced significantly, there remains a concern that some unnecessary tests
continue to be requested, says the National Institute for Health and Care
Excellence (NICE).
PMID- 27206181
TI - Visiting the Lady with the Lamp.
AB - You have two options if you want to see the Florence Nightingale museum. London's
St Thomas' Hospital is visitor-friendly with a beautiful display, but if you want
context you have to fly to Turkey.
PMID- 27206182
TI - Empowering her patients to feel 'prepared'.
PMID- 27206183
TI - 'Brilliant' Kelly is patients' choice.
PMID- 27206184
TI - Voices - Join the #thankanurse campaign and celebrate together, says Janet
Davies.
AB - Despite the many challenges facing nursing this year, it is time to celebrate.
PMID- 27206185
TI - Judgement call.
AB - Have you ever exaggerated your symptoms so a doctor will take them seriously? I
guess we all have at some stage. No devious plan to mislead, you just get a bit
carried away in the heat of the moment.
PMID- 27206186
TI - Shaping the future.
AB - Having been the voice of nursing for 100 years, it is more important than ever
that the RCN continues to be heard and have influence, positively impacting care
provision, education, management and research.
PMID- 27206188
TI - Question Marc.
AB - I work in a residential home for older people. Can I verify or certify that
someone has died during the night instead of calling out a general practitioner?
PMID- 27206187
TI - Fine fare.
AB - Getting a dessert recipe from Janet's mum is like getting custard out of a stone.
How does she make Eve's pudding - the baked apple and sponge treat that is the
ultimate answer to all those nasty mother-in-law jokes?
PMID- 27206189
TI - Readers panel - A weighty surgical problem.
AB - Our experts consider a hot topic of the day.
PMID- 27206190
TI - Starting out - Idea for tackling health inequality changed our practice forever.
AB - We are six nursing students who recognised that people with learning
disabilities, and their carers, can sometimes receive care which does not meet
their needs. They also have some of the poorest health in the country.
PMID- 27206195
TI - Evernote app.
AB - For nurses who have meeting notes, to-do lists and reminders spread across
various devices, this free Evernote app collates everything in one place.
PMID- 27206194
TI - The best of the week's health-related TV and radio.
AB - Our roundup of what's on.
PMID- 27206196
TI - Child abuse article would have benefited from practical advice.
AB - The article 'Help in raising concerns about child abuse' (features April 27)
focuses on escalation processes at the expense of providing practical advice
about how professionals should respond in the event of a child disclosing an
allegation of abuse.
PMID- 27206197
TI - A golden age of pioneering nurse research is imagined.
AB - James P Smith's polemic (letters May 4) railing against the dominance of academic
researchers at the recent RCN Research Conference is puzzling since it is
Britain's premier academic research conference.
PMID- 27206198
TI - Florence Nightingale would have taken on the political fight, and so should we.
AB - I agree with Mike Travis' comments (letters April 20) about the role and
responsibilities of the trade union movement, and those of the RCN in caring for
and fighting politically on behalf of nurses at all levels.
PMID- 27206199
TI - Nurses are best placed to ensure the ethical application of DNRs.
AB - Last week the BBC reported that doctors in England have placed 'do not
resuscitate' orders on patients without discussion with their families.
PMID- 27206200
TI - Good education is essential at every level of clinical practice.
AB - I fully endorse the comments by Peter Carter (letters April 27) about the
importance of education in the delivery of high quality fundamental care.
PMID- 27206202
TI - Commitment needed to improve mental health care for children.
AB - Your story 'Looked after children's mental health needs are being ignored' (news
May 4) highlights the huge challenges young people in care can face in accessing
mental health services.
PMID- 27206203
TI - How to care for a patient after death in the community.
AB - Rationale and key points This article provides nurses with information about how
to care for a patient after death, and support their family and loved ones in the
community setting. ? Care after death involves supporting the family and
significant others, and providing personal care to the patient. ? It is important
to ensure privacy, dignity and respect of the deceased and to recognise this is a
sensitive and difficult time for families. ? Staff undertaking care after death
should be offered support. ? Local and national guidelines should be followed.
Reflective activity Clinical skills articles can help update your practice and
ensure it remains evidence based. Apply this article to your practice, reflect on
and write a short account of: 1. How reading this article will change your
practice. 2. How this article could help you to consider the support mechanisms
in place for the provision of care after death in the community setting.
Subscribers can upload their reflective accounts at: rcni.com/portfolio .
PMID- 27206204
TI - Personal qualities necessary to care for people with dementia.
AB - Carers of people with dementia should embrace the philosophy of person-centred
care and understand that social and psychological aspects of care are as
important as physical care. This article discusses a three-component model that
identifies the personal qualities that carers should ideally possess to deliver
person-centred care to people with dementia. These qualities are empathy with the
person, person-centred attitudes and a compassionate approach. The intention is
that these will induce a state of cognitive security in people with dementia and
enhance their sense of wellbeing. The article defines each of the personal
qualities and details their component parts. It explores why person-centred care
can often be difficult to achieve in practice, as well as the role of education
in its promotion.
PMID- 27206205
TI - Non-European nurses' perceived barriers to UK nurse registration.
AB - Aim To conduct a scoping project to identify perceived barriers to UK nurse
registration as experienced by internationally educated nurses working as
healthcare assistants in the UK. Method Eleven internationally educated nurses
working as healthcare assistants in two London hospitals attended two facilitated
focus groups. Qualitative thematic analysis was used to analyse the data.
Findings Study participants articulated frustration with UK English language
testing requirements and a sense of injustice and unfairness relating to: double
standards for nurses educated within and outside of the European Union (EU) and
European Economic Area (EEA); and what was perceived, by some, as arbitrary
English language testing with unnecessarily high standards. Differences among
study participants related to issues of competency and accountability regarding
English language skills and passing English language skills tests, with many
feeling they were playing 'a game' where the rules kept changing. Conclusion
Language testing barriers are impeding UK nurse registration for some
internationally educated nurses from outside the EU and EEA who, as a result, are
working as healthcare assistants. The provision of English language training by
employers would improve their prospects of achieving nurse registration.
PMID- 27206207
TI - Self-managed diabetes.
AB - Diabetes is a common long-term condition resulting from a deficiency of insulin
secretion or resistance to insulin. It can affect all aspects of an individual's
life, and it is a significant public health issue.
PMID- 27206206
TI - Management of patients with chronic open angle glaucoma.
AB - Glaucoma refers to a group of conditions that can cause sight loss as a result of
damage to the optic nerve head. Glaucoma can affect a person's life in many ways.
Healthcare professionals have an important role in educating and supporting
patients to manage their condition and adhere to their glaucoma management
regimens. Since patients with glaucoma may have additional health conditions,
healthcare professionals should have an understanding of glaucoma and the
importance of continuing treatment, so that they can facilitate care effectively.
PMID- 27206209
TI - How to set up a nurse-led clinic.
AB - Nurse-led clinics are a vital part of UK health care. They are diverse and are
therefore hard to define, but they involve nurses having their own patient
caseload and increased autonomy, often using advanced clinical skills such as
physical assessment, diagnosis and medicines management.
PMID- 27206208
TI - Stay open to change.
AB - Change is often considered a good thing - and to be what makes life interesting.
PMID- 27206211
TI - Student life - The Code and you.
AB - To remain on the Nursing and Midwifery Council register as a qualified nurse you
will have to revalidate every three years. This involves demonstrating that you
are incorporating the NMC Code into your day-today practice and continuing
professional development.
PMID- 27206212
TI - Protective effects of dexmedetomidine on brain function of glioma patients
undergoing craniotomy resection and its underlying mechanism.
AB - INTRODUCTION: To explore the protective effects of dexmedetomidine on brain of
glioma patients undergoing craniotomy resection and its underlying mechanism.
METHODS: 60 cases of patients undergoing craniotomy resection of glioma were
randomly divided into group C (n=30) and D (n=30). D group patients received
dexmedetomidine vein pump injection at a dose of 1MUg/kg 10min before anesthesia
induction, with a maintain dose of 0.4MUg/(kg/h). Group C patients received
saline instead. Mean arterial pressure (MAP) and heart rate (heart rate, HR) were
compared between the two groups of patients before anesthesia induction (T1),
before tracheal intubation (T2), immediately after tracheal intubation (T3), 1min
after tracheal intubation (T4), 3min after tracheal intubation (T5), 5min after
tracheal intubation (T6). Expression levels of serum interleukin-6 (IL-6), tumor
necrosis factor-alpha (TNF-alpha), superoxide dismutase (SOD), malondialdehyde
(MDA), neuron-specific enolase of Enzyme (NSE) and S100beta were compared between
the two groups of patients before surgery, immediately post-surgery and 24h post
surgery. RESULTS: No significant differences of MAP and HR between the two groups
were found at T1, T2, T5 and T6 (P>0.05); The levels of MAP and HR in group C
were significantly higher than those in group D at T3 and T4 (P<0.05). No
significant differences of preoperative expression levels of serum TNF-alpha, IL
6, NSE, S100beta, SOD and MDA were found between the two groups (P>0.05); Serum
levels of TNF-alpha, IL-6, NSE, S100beta and MDA were significantly reduced,
whereas SOD was significantly increased in group D compared with those in group C
at surgery time and 24h post-surgery (P<0.05). CONCLUSION: Dexmedetomidine can
significantly stabilize hemodynamics, reduce inflammation, and inhibit free
radical generation, thus plays an important role on brain protection in patients
undergoing craniotomy resection of glioma.
PMID- 27206213
TI - SOX1 inhibits breast cancer cell growth and invasion through suppressing the
Wnt/beta-catenin signaling pathway.
AB - Abnormal activation of the Wnt/beta-catenin signaling pathway is common in human
cancers. Several studies have demonstrated that SRY (sex-determining region Y)
box (SOX) family genes serve as either tumor suppressor genes or oncogenes by
regulating the Wnt signaling pathway in different cancers. However, the role of
SOX1 in breast cancer and the underlying mechanism is still unclear. The aim of
this study was to explore the effect and mechanism of SOX1 on the breasted cancer
cell growth and invasion. In this study, we established overexpressed SOX1 and
investigated its function by in vitro experiments. SOX1 was down-regulated in
breast cancer tissues and cell lines. Overexpression of SOX1 inhibited cell
proliferation and invasion in vitro, and it promoted cell apoptosis. Furthermore,
SOX1 inhibited the expression of beta-catenin, cyclin D1, and c-Myc in breast
cancer cells. Taken together, these data suggest that SOX1 can function as a
tumor suppressor partly by interfering with Wnt/beta-catenin signaling in breast
cancer.
PMID- 27206215
TI - Letter from Editor.
PMID- 27206214
TI - Sensitivity Tuning through Additive Heterogeneous Plasmon Coupling between 3D
Assembled Plasmonic Nanoparticle and Nanocup Arrays.
AB - Plasmonic substrates have fixed sensitivity once the geometry of the structure is
defined. In order to improve the sensitivity, significant research effort has
been focused on designing new plasmonic structures, which involves high
fabrication costs; however, a method is reported for improving sensitivity not by
redesigning the structure but by simply assembling plasmonic nanoparticles (NPs)
near the evanescent field of the underlying 3D plasmonic nanostructure. Here, a
nanoscale Lycurgus cup array (nanoLCA) is employed as a base colorimetric
plasmonic substrate and an assembly template. Compared to the nanoLCA, the NP
assembled nanoLCA (NP-nanoLCA) exhibits much higher sensitivity for both bulk
refractive index sensing and biotin-streptavidin binding detection. The limit of
detection of the NP-nanoLCA is at least ten times smaller when detecting biotin
streptavidin conjugation. The numerical calculations confirm the importance of
the additive plasmon coupling between the NPs and the nanoLCA for a denser and
stronger electric field in the same 3D volumetric space. Tunable sensitivity is
accomplished by controlling the number of NPs in each nanocup, or the number
density of the hot spots. This simple yet scalable and cost-effective method of
using additive heterogeneous plasmon coupling effects will benefit various
chemical, medical, and environmental plasmon-based sensors.
PMID- 27206216
TI - MiR-195 Suppresses Cervical Cancer Migration and Invasion Through Targeting
Smad3.
AB - OBJECTIVE: MicroRNAs (miRNAs) play crucial roles in cervical cancer development
and progression. The purposes of this study were to investigate the role of miR
195 in cervical cancer and clarify the regulation of Smad3 by miR-195. METHODS:
Quantitative real-time polymerase chain reaction was used to examine miR-195
expression in cervical cancer tissues and cell lines. The clinicopathological
significance of miR-195 down-regulation was further analyzed. Transwell migration
and invasion assays were performed. A luciferase reporter assay was conducted to
confirm the target gene of miR-195, and the results were validated in cervical
cancer tissues and cell lines. RESULTS: MiR-195 was significantly decreased in
clinical tissues and cervical cancer cell lines. The low miR-195 level was
significantly correlated with higher International Federation of Gynecology and
Obstetrics stage, node metastasis, and deep stromal invasion. Up-regulation of
miR-195 suppressed cell migration and invasion in vitro. Smad3 was verified as a
direct target of miR-195, which was further confirmed by the inverse expression
of miR-195 and Smad3 in patients' specimens. CONCLUSIONS: The newly identified
miR-195/Smad3 pathway provides an insight into cervical cancer metastasis and may
represent a novel therapeutic target.
PMID- 27206217
TI - miR-375 Affects the Proliferation, Invasion, and Apoptosis of HPV16-Positive
Human Cervical Cancer Cells by Targeting IGF-1R.
AB - OBJECTIVE: The aim of this study was to examine the relationship between miR-375
expression and the proliferation, apoptosis, and migration of cervical cancer
cells. To further explore the potential target gene of miR-375, insulin-like
growth factor 1 receptor (IGF-1R) was detected in miR-375 overexpressed and
inhibited cervical cancer cells, which clarified the potential mechanism of miR
375 in the growth and development of cervical cancer. METHODS: In a cervical
cancer cell line (Caski), miR-375 overexpression and knockdown were achieved by
transfection with a synthetic miR-375 mimic or miR-375-targeting inhibitor
oligonucleotides, respectively, using siRNA-Mate transfection reagents. Real-time
Polymerase Chain Reaction was performed to detect the expression level of miR
375. The functional effects of miR-375 on cell proliferation, migration, and
apoptosis were evaluated using a Cell Counting Kit (CCK-8) and through scratch
wound tests and apoptosis assays, respectively. Western blotting was performed to
detect the expression level of the IGF-1R protein. RESULT: Transfection with the
miR-375 mimic significantly upregulated the expression of miR-375 by
approximately 7.76-fold (P < 0.05), reduced cell proliferation and migration (P <
0.05), increased apoptosis (P < 0.05), and decreased the expression of the IGF-1R
protein by 24.73% (P < 0.05) compared with the negative control. In contrast,
transfection of the miR-375 inhibitor decreased the expression of miR-375 by
14.39% (P < 0.05), significantly increased cell proliferation and migration (P <
0.05), significantly reduced the cell apoptosis (P < 0.05), and upregulated the
expression of the IGF-1R protein by 2.29-fold (P < 0.05). The cells transfected
with the negative control showed no significant changes compared with the blank
control for each parameter (P > 0.05). CONCLUSIONS: miR-375 plays an important
role in the tumorigenesis and development of cervical cancer. IGF-1R might
represent a target gene of miR-375 in cervical cancer.
PMID- 27206219
TI - Risk Factors for De Novo Malignancies in Women After Kidney Transplantation: A
Multicenter Transversal Study.
AB - OBJECTIVE: Transplantation results in a 5-time elevated risk for a variety of
malignancies (Kaposi sarcoma, skin, liver, lung, gastrointestinal cancer). A
patient's risk for malignancies could be of particular interest for the follow-up
programs of patients and risk adaption after kidney transplantation. The aim of
this study was to identify independent risk factors for de novo malignancies in
women after renal transplantation. METHODS AND MATERIALS: This is a multicenter
transversal study, conducted at the Medical University of Vienna and Hospital
Rudolfstiftung, Vienna, Austria. We included female kidney graft recipients who
were transplanted between 1980 and 2012 and followed-up at our institutions (N =
280). Clinical data of patients were extracted from hospital charts and
electronic patient files. Patients were interviewed using a standardized
questionnaire regarding their medical history, history of transplantation, and
malignant diseases. Detailed information about present and past immunosuppressive
regimens, rejection episodes and therapies, renal graft function, and information
about primary disease was obtained. Diagnostic work-up and/or surgical
exploration was performed if any presence of malignancy was suspected during
routine follow-up. Histological specimens were obtained from all patients. MAIN
OUTCOME MEASURES: the presence of de novo malignancy after kidney
transplantation. RESULTS: Two hundred sixty-two women were included for
statistical analysis. Median (interquartile range) follow-up period after
transplantation was 101.1 (27.3-190.7) months. Thirty-two patients (12.2%)
developed a malignancy: dermatologic malignancies (5.7%), breast cancer (3.4%),
cervical cancer (0.8%), lung cancer (0.4%), gastrointestinal malignancies (1.5%),
vulvar cancer (0.4%), and unclassified malignancies (1.9%). Median (interquartile
range) time to malignancy after transplantation was 185.9 (92.0-257.6) months.
Cumulative cancer rates were 4.9% (1 year), 14.4% (3 years), 16.4% (5 years), and
21.8% (10 years). Second transplantations were identified as independent risk
factor for development of malignancy after transplantation. CONCLUSIONS: Long
term risk of developing a malignancy after kidney transplantation is high, which
might justify a follow-up of more than 10 years.
PMID- 27206218
TI - Pertuzumab Plus Chemotherapy for Platinum-Resistant Ovarian Cancer: Safety Run-in
Results of the PENELOPE Trial.
AB - OBJECTIVE: In platinum-resistant ovarian cancer, adding pertuzumab to gemcitabine
improved progression-free survival in the subgroup with low tumor HER3 messenger
RNA expression. The 2-part PENELOPE trial (NCT01684878) is prospectively
investigating pertuzumab plus chemotherapy in this population. PATIENTS AND
METHODS: Part 1 evaluated pertuzumab plus either topotecan or paclitaxel.
Patients with platinum-refractory or platinum-resistant recurrent ovarian,
primary peritoneal, or fallopian tube cancer and low HER3 messenger RNA
expression (concentration ratio <=2.81 by central quantitative reverse
transcriptase-polymerase chain reaction testing on Cobas z480) received
intravenous pertuzumab (840 mg loading dose then 420 mg every 3 weeks) with the
investigator's choice of topotecan (1.25 mg/m days 1-5 every 3 weeks) or weekly
paclitaxel (80 mg/m) until disease progression or unacceptable toxicity. The
primary objective was to assess safety and tolerability. RESULTS: Fifty patients
were treated in part 1 (22 topotecan; 28 paclitaxel). In both cohorts, disease
progression was the most common primary reason for discontinuing pertuzumab, and
the most common all-grade adverse events (AEs) were fatigue/asthenia, anemia, and
diarrhea. The most common grade >=3 AEs were anemia (36%), neutropenia (27%), and
fatigue/asthenia (18%) for topotecan, and peripheral sensory neuropathy (14%) and
anemia (11%) for paclitaxel. Two patients receiving paclitaxel-pertuzumab died
from AEs (abdominal infection; unexplained death). Median progression-free
survival was 4.1 months (95% confidence interval, 1.9-6.1) with topotecan
pertuzumab and 4.2 months (95% confidence interval, 3.5-6.0) with paclitaxel
pertuzumab. CONCLUSIONS: Based on part 1 tolerability, the Independent Data
Monitoring Committee had no objection to PENELOPE proceeding to part 2, a double
blind randomized comparison of chemotherapy (topotecan, paclitaxel, or
gemcitabine) plus pertuzumab or placebo.
PMID- 27206220
TI - Etoposide-Actinomycin as Salvage Regimen for the Treatment of Nonmetastatic and
Low-Risk Metastatic Gestational Trophoblastic Neoplasia: Experience at the
Philippine General Hospital.
AB - OBJECTIVES: Single-agent chemotherapy has been the standard of treatment for
nonmetastatic and metastatic low-risk gestational trophoblastic neoplasia (GTN).
However, it is estimated that approximately 12% to 32% of patients given single
agent therapy will require a change of chemotherapy regimen because of drug
resistance and/or intolerable toxicity. The Section of Trophoblastic Diseases of
the Philippine General Hospital started using the combination of etoposide
actinomycin (EA) as salvage chemotherapy in the early 2000s. This study was
carried out to describe the local experience with this salvage chemotherapy.
MATERIALS AND METHODS: This is a retrospective descriptive study aimed to analyze
the efficacy and safety of the EA regimen as salvage treatment for the management
of nonmetastatic and low-risk metastatic GTN. Records of the Section of
Trophoblastic Diseases of the Philippine General Hospital from January 1, 2002 to
June 30, 2014 were reviewed to identify all patients who had a diagnosis of
nonmetastatic and metastatic low-risk GTN. Primary remission rate and toxicity
profile of all patients who received the EA regimen as salvage treatment were
determined. RESULTS: During the study period, a total of 67 cycles of the EA
regimen were administered to 15 patients as salvage chemotherapy. Patients
received a median of 4 cycles of EA, attaining normal serum beta human chorionic
gonadotropin after 2 to 3 cycles. Thirteen of the 15 patients achieved complete
remission with the EA regimen, giving a remission rate of 87%. The major toxicity
that the patients experienced was myelosuppression. Grade 1/2 anemia was
addressed by blood transfusion. Grade 3 neutropenia/myelosuppression was
addressed by the administration of granulocyte colony-stimulating factor.
Alopecia was seen in all of the patients. One patient experienced dermatitis with
accompanying myelosuppression. CONCLUSION: The EA regimen was efficacious and
well tolerated for the treatment of refractory nonmetastatic and low- risk
metastatic GTN.
PMID- 27206221
TI - A diet containing grape powder ameliorates the cognitive decline in aged rats
with a long-term high-fructose-high-fat dietary pattern.
AB - Research has suggested that the consumption of foods rich in polyphenols is
beneficial to the cognitive functions of the elderly. We investigated the effects
of grape consumption on spatial learning, memory performance and
neurodegeneration-related protein expression in aged rats fed a high-fructose
high-fat (HFHF) diet. Six-week-old Wistar rats were fed an HFHF diet to 66 weeks
of age to establish a model of an HFHF dietary pattern, before receiving
intervention diets containing different amounts of grape powder for another 12
weeks in the second part of the experiment. Spatial learning, memory performance
and cortical and hippocampal protein expression levels were assessed. After
consuming the HFHF diet for a year, results showed that the rats fed a high grape
powder-containing diet had significantly better spatial learning and memory
performance, lower expression of beta-amyloid and beta-secretase and higher
expression of alpha-secretase than the rats fed a low grape powder-containing
diet. Therefore, long-term consumption of an HFHF diet caused a decline in
cognitive functions and increased the risk factors for neurodegeneration, which
could subsequently be ameliorated by the consumption of a polyphenol-rich diet.
PMID- 27206222
TI - Changes in the Supplementation Practices of Elite Australian Swimmers Over 11
Years.
AB - Thirty nine elite Australian swimmers (13 AIS, 26 OTHER) completed a standardized
questionnaire regarding their supplement use during a pre competition camp. The
data were compared with a similar study conducted 11 years earlier (11 AIS, 23
OTHER) and framed around the classification system of the Sport Supplement
Program of the Australian Institute of Sport. The prevalence of supplement use
remained constant over time (2009: 97%, 1998: 100%). However, the current
swimmers used a greater number of dietary supplements (9.2 +/- 3.7 and 5.9 +/-
2.9; p = .001), accounted for by an increase in the reported use of supplements
with a greater evidence base (Sports Foods, Ergogenics, and Group B supplements).
In contrast, fewer supplements considered less reputable (Group C and D) were
reported by the 2009 cohort (0.7 +/- 1.0 and 1.6 +/- 1.3; p = .003). AIS swimmers
reported a greater use of Ergogenics (4.3 +/- 1.8 and 3.1 +/- 1.7; p = .002), and
less use of Group C and D supplements overall (0.8 +/- 1.2 and 1.3 +/- 1.2; p =
.012), which was explained primarily by a smaller number of these supplements
reported by the 2009 group (1998 AIS: 1.5 +/- 1.4, 2009 AIS: 0.2 +/- 0.6; p =
.004). Although the prevalence of supplement use has not changed over time, there
has been a significant increase in the number and type of products they are
using. The potential that these changes can be attributed to a Sports Supplement
Program merit investigation.
PMID- 27206223
TI - Rapid Construction of a Benzo-Fused Indoxamycin Core Enabled by Site-Selective C
H Functionalizations.
AB - Methods for functionalizing carbon-hydrogen bonds are featured in a new synthesis
of the tricyclic core architecture that characterizes the indoxamycin family of
secondary metabolites. A unique collaboration between three laboratories has
engendered a design for synthesis featuring two sequential C-H functionalization
reactions, namely a diastereoselective dirhodium carbene insertion followed by an
ester-directed oxidative Heck cyclization, to rapidly assemble the congested
tricyclic core of the indoxamycins. This project exemplifies how multi-laboratory
collaborations can foster conceptually novel approaches to challenging problems
in chemical synthesis.
PMID- 27206224
TI - New cyt b gene universal primer set for forensic analysis.
AB - Analysis of mitochondrial DNA, and in particular the cytochrome b gene (cyt b),
has become an essential tool for species identification in routine forensic
practice. In cases of degraded samples, where the DNA is fractionated, universal
primers that are highly efficient for the amplification of the target region are
necessary. Therefore, in the present study a new universal cyt b primer set with
high species identification capabilities, even in samples with highly degraded
DNA, has been developed. In order to achieve this objective, the primers were
designed following the alignment of complete sequences of the cyt b from 751
species from the Class of Mammalia listed in GenBank. A highly variable region of
148bp flanked by highly conserved sequences was chosen for placing the primers.
The effectiveness of the new pair of primers was examined in 63 animal species
belonging to 38 Families from 14 Orders and 5 Classes (Mammalia, Aves, Reptilia,
Actinopterygii, and Malacostraca). Species determination was possible in all
cases, which shows that the fragment analyzed provided a high capability for
species identification. Furthermore, to ensure the efficiency of the 148bp
fragment, the intraspecific variability was analyzed by calculating the
concordance between individuals with the BLAST tool from the NCBI (National
Center for Biotechnological Information). The intraspecific concordance levels
were superior to 97% in all species. Likewise, the phylogenetic information from
the selected fragment was confirmed by obtaining the phylogenetic tree from the
sequences of the species analyzed. Evidence of the high power of phylogenetic
discrimination of the analyzed fragment of the cyt b was obtained, as 93.75% of
the species were grouped within their corresponding Orders. Finally, the analysis
of 40 degraded samples with small-size DNA fragments showed that the new pair of
primers permits identifying the species, even when the DNA is highly degraded as
it is very common in forensic samples.
PMID- 27206225
TI - Human DNA quantification and sample quality assessment: Developmental validation
of the PowerQuant((r)) system.
AB - Quantification of the total amount of human DNA isolated from a forensic evidence
item is crucial for DNA normalization prior to short tandem repeat (STR) DNA
analysis and a federal quality assurance standard requirement. Previous
commercial quantification methods determine the total human DNA and total human
male DNA concentrations, but provide limited information about the condition of
the DNA sample. The PowerQuant((r)) System includes targets for quantification of
total human and total human male DNA as well as targets for evaluating whether
the human DNA is degraded and/or PCR inhibitors are present in the sample. A
developmental validation of the PowerQuant((r)) System was completed, following
SWGDAM Validation Guidelines, to evaluate the assay's specificity, sensitivity,
precision and accuracy, as well as the ability to detect degraded DNA or PCR
inhibitors. In addition to the total human DNA and total human male DNA
concentrations in a sample, data from the degradation target and internal PCR
control (IPC) provide a forensic DNA analyst meaningful information about the
quality of the isolated human DNA and the presence of PCR inhibitors in the
sample that can be used to determine the most effective workflow and assist
downstream interpretation.
PMID- 27206226
TI - Erratum: Sustained PI3K Activation exacerbates BLM-induced Lung Fibrosis via
activation of pro-inflammatory and pro-fibrotic pathways.
PMID- 27206227
TI - Health care utilization following diagnosis of psychogenic nonepileptic seizures.
AB - OBJECTIVES: The long-term outcome of patients with psychogenic nonepileptic
seizures (PNES) is of importance given the disabling symptoms and tendency to
affect patients early in their productive years. Health care utilization (HCU) is
an important outcome measure reflecting overall health status and costs. There is
little information regarding long-term HCU following diagnosis of PNES. METHODS:
We retrospectively reviewed records of Veterans diagnosed with PNES during
epilepsy monitoring unit (EMU) evaluation. For the three-year period following
diagnosis of PNES, we reviewed emergency department (ED) visits,
hospitalizations, outpatient clinic visits, and radiology procedures. We compared
the three years following PNES diagnosis with the three years preceding
diagnosis. We also compared patients with PNES and patients with epileptic
seizures (ES). RESULTS: Emergency department visits and hospitalizations were
more frequent in patients with PNES compared with those in patients with ES
(p=0.01). There was no overall improvement in HCU during the three-year interval
following diagnosis of PNES. A transient decrease during the year following
diagnosis was not sustained over three-year follow-up. Pain complaints rather
than seizures were the most common reason for presentation, whereas the opposite
was true for patients with ES (p<0.01). There was a sharp decrease in neurology
outpatient visits (p<0.001) and a decrease in primary care visits (p<0.05) after
PNES was diagnosed. Total outpatient visits were unchanged. CONCLUSIONS: Overall
HCU did not improve during the three years following diagnosis of PNES, compared
with three years preceding diagnosis. The results add to studies documenting poor
seizure outcomes following diagnosis of PNES and underscore the need for more
effective and comprehensive treatments, addressing comorbid symptoms.
PMID- 27206228
TI - Differential impact of contraceptive methods on seizures varies by antiepileptic
drug category: Findings of the Epilepsy Birth Control Registry.
AB - PURPOSE: The aim of this study was to determine whether categories of
contraception differ in their impact on seizures in women with epilepsy and
whether the impact varies by antiepileptic drug category. METHODS: Retrospective
survey data came from 2712 contraceptive experiences reported by 1144 women with
epilepsy. We compared risk ratios for reports of increase and decrease in seizure
frequency on hormonal versus nonhormonal contraception, stratified by
antiepileptic drug categories. RESULTS: More women with epilepsy reported a
change in seizures on hormonal (28.2%) than on nonhormonal contraception (9.7%)
(p<0.0001). The risk ratio for seizure increase on hormonal (18.7%) versus
nonhormonal contraception (4.2%) was 4.47 (p<0.0001). The risk ratio for seizure
decrease on hormonal (9.5%) versus nonhormonal contraception (5.5%) was 1.71,
p<0.0001. On hormonal contraception, the risk ratio for seizure increase was
greater than for decrease (1.98, p<0.0001). In comparison to combined pills, both
hormonal patch and progestin-only pills had greater risk ratios for seizure
increase. Depomedroxyprogesterone was the only hormonal method with a greater
risk ratio for seizure decrease than combined pills. Seizure increase was greater
for hormonal than nonhormonal contraception for each antiepileptic drug category
(p<0.001). On hormonal contraception, relative to the non-enzyme-inducing
antiepileptic drug category which had the lowest rate, each of the other
categories had significantly greater risks for seizure increase, especially the
enzyme-inhibiting (valproate) category (risk ratio=2.53, p=0.0002). CONCLUSION:
The findings provide community-based, epidemiological survey evidence that
contraceptive methods may differ in their impact on seizures and that this impact
may vary by antiepileptic drug category.
PMID- 27206229
TI - Effects of parental gender and level of education on the quality of life and
general health of pediatric patients with epilepsy: An outpatient cross-sectional
survey.
AB - The quality of life (QOL) of children with epilepsy has been widely studied, and
several problems related to cognition, behavior, social lives, and physical
activity among these children have been reported. Family life and parental care
are important aspects of the lives of these patients. The impact of parental
education on the QOL of pediatric patients with epilepsy is an understudied
topic, especially in developing countries. In this study, we investigated the QOL
and general health (GH) of patients with epilepsy presenting at the pediatric
neurology clinic at Baqiyatallah Hospital and a private clinic. The Quality of
Life in Childhood Epilepsy (QOLCE) questionnaire, which is a 92-item epilepsy
specific questionnaire covering physical activity, well-being, cognition,
behavior, social activity, overall QOL, and GH, was used for interviewing
parents. A total of 106 patients (m=61, 57.5% and f=45, 42.5%) aged 5-17years
(mean: 10.31+/-2.91) participated in the study. Overall, there was no significant
difference between the QOL and GH results of male and female patients. However,
the maternal education level had a significant impact on the overall QOL (high
school: 3.02+/-0.85 vs. B.Sc.: 3.67+/-0.61, p<0.05) and GH (high school: 2.81+/
0.79 vs. B.Sc.: 3.8+/-0.94, p<0.05) of male patients, while paternal education
had no significant effect. A multiple linear regression showed that the maternal
education level had an independently significant association with the physical
activity of the patients (p=0.02, CI: 1.4-6.25), and the paternal education level
had an independently significant association with the well-being of the patients
(p=0.02, CI: 0.43-5.36). In addition, the maternal education level (high school
vs. B.Sc.) had a significant effect on physical activity, well-being, cognition,
and behavior for all of the patients (p<0.05), while the paternal education level
(high school vs. B.Sc.) had no significant impact. However, in a comparison of
high school vs. higher education, paternal education had a significant effect on
patients' physical activity and well-being (p<0.05). We conclude that parental
levels of education play a significant role in various aspects of the lives and
GH of children with epilepsy. Maternal education, in particular, plays a
significant role in GH and the overall QOL of male patients. Further research is
suggested to identify the socioeconomic and cultural factors responsible for
these findings.
PMID- 27206230
TI - Functional differences among stimulation-identified cortical naming sites in the
temporal region.
AB - To preserve postoperative language, electrical stimulation mapping is often
conducted prior to surgery involving the language-dominant hemisphere. Object
naming is the task most widely used to identify language cortex, and sites where
stimulation elicits naming difficulty are typically spared from resection. In
clinical practice, sites classified as positive undergo no further testing
regarding the underlying cause of naming failure. Word production is a complex
function involving multiple mechanisms that culminate in the identification of
the target word. Two main mechanisms, i.e., semantic and phonological, underlie
the retrieval of stored information regarding word meaning and word sounds, and
naming can be hampered by disrupting either of these. These two mechanisms are
likely mediated by different brain areas, and therefore, stimulation-identified
naming sites might not be functionally equivalent. We investigated whether
further testing at stimulation-identified naming sites would reveal an anatomical
dissociation between these two mechanisms. In 16 patients with refractory
temporal lobe epilepsy (TLE) with implanted subdural electrodes, we tested
whether, despite inability to produce an item name, patients could reliably
access semantic or phonological information regarding objects during cortical
stimulation. We found that stimulation at naming sites in superior temporal
cortex tended to impair phonological processing yet spared access to semantic
information. By contrast, stimulation of inferior temporal naming sites revealed
a greater proportion of sites where semantic access was impaired and a
dissociation between sites where stimulation spared or disrupted semantic or
phonological processing. These functional-anatomical dissociations reveal the
more specific contribution to naming provided by these cortical areas and shed
light on the often profound, interictal word-finding deficit observed in temporal
lobe epilepsy. Additionally, these techniques potentially lay the groundwork for
future studies to determine whether particular naming sites that fall within the
margins of the desired clinical resection might be resected without significant
risk of decline.
PMID- 27206231
TI - A systematic review of neuropsychiatric comorbidities in patients with both
epilepsy and intellectual disability.
AB - Epilepsy is a neurological condition that is particularly common in people with
intellectual disability (ID). The care for people with both epilepsy and ID is
often complicated by the presence of neuropsychiatric disorders, defined as
psychiatric symptoms, psychiatric disorders, and behavioral problems. The aim of
this study was to investigate associations between epilepsy or epilepsy-related
factors and neuropsychiatric comorbidities in patients with ID and between ID and
neuropsychiatric comorbidities in patients with epilepsy. We performed a
systematic review of the literature, published between January 1995 and January
2015 and retrieved from PubMed/Medline, PsycINFO, and ERIC and assessed the risk
of bias using the SIGN-50 methodology. Forty-two studies were identified, fifteen
of which were assessed as having a low or acceptable risk-of-bias evaluation.
Neuropsychiatric comorbidities were examined in relation to epilepsy in nine
studies; in relation to epilepsy-related factors, such as seizure activity,
seizure type, and medication in four studies; and in relation to the presence and
degree of ID in five studies. We conclude that the presence of epilepsy only was
not a clear determinant of neuropsychiatric comorbidity in patients with ID,
although a tendency towards negative mood symptoms was identified. Epilepsy
related factors indicating a more severe form of epilepsy were associated with
neuropsychiatric comorbidity as was the presence of ID as compared to those
without ID in patients with epilepsy, although this should be validated in future
research. A large proportion of the studies in this area is associated with a
substantial risk of bias. There is a need for high quality studies using
standardized methods to enable clear conclusions to be drawn that might assist in
improving the quality of care for this population.
PMID- 27206232
TI - Seizure treatment in Angelman syndrome: A case series from the Angelman Syndrome
Clinic at Massachusetts General Hospital.
AB - Epilepsy is a common feature of Angelman syndrome (~80-90%), with the most common
seizure types including myoclonic, atonic, atypical absence, focal, and
generalized tonic-clonic. Seizure types are similar among the various genetic
subtypes, but epilepsy in those with maternal deletions is more frequent and more
refractory to medication. Treatment with older antiepileptic drugs such as
valproic acid and clonazepam is effective, but these medications tend to have
less favorable side effect profiles in Angelman syndrome compared with those in
newer medications. This study aimed to assess the use of newer antiepileptic drug
therapies in individuals with Angelman syndrome followed at the Angelman Syndrome
Clinic at the Massachusetts General Hospital. Many of the subjects in this study
were on valproic acid therapy prior to their initial evaluation and exhibited
increased tremor, decreased balance, and/or regression of motor skills, which
resolved after tapering off of this medication. Newer antiepileptic drugs such as
levetiracetam, lamotrigine, and clobazam, and to a lesser extent topiramate,
appeared to be as effective - if not more so - as valproic acid and clonazepam
while offering more favorable side effect profiles. The low glycemic index
treatment also provided effective seizure control with minimal side effects. The
majority of subjects remained on combination therapy with levetiracetam,
lamotrigine, and clobazam being the most commonly used medications, indicating a
changing trend when compared with prior studies.
PMID- 27206233
TI - Factors associated with perceived stigma among patients with epilepsy in Turkey.
AB - PURPOSE: Epilepsy is one of the most stigmatizing medical conditions. The purpose
of this study was to examine the perception of stigma and factors associated with
stigma. MATERIAL AND METHODS: This descriptive cross-sectional study was carried
out among patients attending an epilepsy outpatient clinic of a university
hospital between February and October 2014. One hundred ninety-four patients who
were over 18years of age, who were able to communicate, and who had a diagnosis
of definite epilepsy constituted the study sample. Patients seizure-free for two
years were excluded from the group. Three-item Jacoby's Stigma Scale was used to
determine level of stigma, and Social Support Scale, Generalized Self-efficacy
Scale, Epilepsy Knowledge Scale, and Epilepsy Attitude Scale were used to examine
factors associated with stigma. RESULTS: In total, 66 (34%) out of 194 subjects
reported feeling stigmatized, with almost half of them (n=31) feeling highly
stigmatized. Education, income, age at onset, seizure frequency in previous year,
social support, and knowledge and attitudes towards epilepsy were significant
factors determining scores on the stigma scale. It was also determined that
stigma was associated with seeking help from mystics, disclosure of the
diagnosis, and self-efficacy. CONCLUSION: This study confirms the findings of
previous studies that have identified the importance of both clinical and
nonclinical factors in understanding the stigma of epilepsy. Findings support the
need for social support, knowledge, and awareness to decrease the stigma
associated with epilepsy.
PMID- 27206234
TI - Predicting the psychosocial outcome of epilepsy surgery: A longitudinal
perspective on the 'burden of normality'.
AB - Good seizure outcomes and good psychosocial outcomes following epilepsy surgery
do not necessarily follow one from the other. This study explored the
relationship between several presurgical psychosocial characteristics and
postsurgical quality-of-life outcomes. The study aimed to develop the concept of
'the burden of normality' and identify risk factors for a poor psychosocial
outcome that could be targeted with ameliorative presurgery cognitive behavioral
techniques. Data were collected from 77 epilepsy surgery patients from three UK
epilepsy centers and presurgery and postsurgery follow-up data were obtained from
30-34 patients, depending on the measure. Measures were self-report. Postsurgery
intervals were determined by the epilepsy surgery care pathway at individual
centers. Presurgery poor levels of mental health, poor social functioning,
increased belief in illness chronicity, and associating epilepsy with social role
limitations were all associated with poor postsurgical quality of life. Adopting
an accepting coping strategy presurgery was associated with good postoperative
quality of life. Regression analysis showed that a good postsurgical quality of
life was positively predicted by a presurgical coping style of being able to make
the best of a situation and see challenges in a positive light (i.e., positive
reinterpretation and growth from the COPE scale) and negatively predicted by
presurgical levels of anxiety. These data are presented as an important step in
identifying psychological red flags for an adverse psychosocial outcome to
epilepsy surgery, as exemplified by the concept of the 'burden of normality' and
specifying targets for preoperative ameliorative psychological advice.
PMID- 27206235
TI - Cognitive and behavioral impact of the ketogenic diet in children and adolescents
with refractory epilepsy: A randomized controlled trial.
AB - PURPOSE: The ketogenic diet (KD) is increasingly used for the treatment of
refractory epilepsy in childhood because of the beneficial effect on seizure
reduction. The aim of the current study was to objectively assess cognition and
aspects of behavior during the first 4months of a randomized controlled study in
children and adolescents. METHODS: Participants from a tertiary epilepsy center
were randomized to a KD group (intervention) or a care-as-usual (CAU) group
(control). Follow-up assessments on cognition and behavior were performed
approximately 4months after initiation of the KD with a combination of parent
report questionnaires and individually administered psychological tests for the
children. RESULTS: A total of 50 patients were enrolled in this study, 28
patients from the KD group and 22 patients from the CAU group. The KD group
showed lower levels of anxious and mood-disturbed behavior and was rated as more
productive. Cognitive test results showed an improvement of activation in the KD
group. CONCLUSIONS: This study showed a positive impact of the KD on behavioral
and cognitive functioning in children and adolescents with refractory epilepsy.
More specifically, an activated mood and cognitive activation were observed in
patients treated with the KD.
PMID- 27206237
TI - Correction of electrode modelling errors in multi-frequency EIT imaging.
AB - The differentiation of haemorrhagic from ischaemic stroke using electrical
impedance tomography (EIT) requires measurements at multiple frequencies, since
the general lack of healthy measurements on the same patient excludes time
difference imaging methods. It has previously been shown that the inaccurate
modelling of electrodes constitutes one of the largest sources of image artefacts
in non-linear multi-frequency EIT applications. To address this issue, we
augmented the conductivity Jacobian matrix with a Jacobian matrix with respect to
electrode movement. Using this new algorithm, simulated ischaemic and
haemorrhagic strokes in a realistic head model were reconstructed for varying
degrees of electrode position errors. The simultaneous recovery of conductivity
spectra and electrode positions removed most artefacts caused by inaccurately
modelled electrodes. Reconstructions were stable for electrode position errors of
up to 1.5 mm standard deviation along both surface dimensions. We conclude that
this method can be used for electrode model correction in multi-frequency EIT.
PMID- 27206236
TI - Decision-making in patients with temporal lobe epilepsy: Delay gratification
ability is not impaired in patients with hippocampal sclerosis.
AB - BACKGROUND: Decision-making abilities have rarely been examined in patients with
temporal lobe epilepsy related to hippocampal sclerosis (TLE-HS). We aimed to
investigate the ability to delay gratification, a decision-making subdomain, in
patients with intractable TLE-HS and to verify the association of delay
gratification performance and cool executive function tests. METHODS: We
evaluated 27 patients with TLE-HS (mean age: 35.46 [+/-13.31] years; 7 males) and
their cognitive performance was compared with that of 27 age- and gender-matched
healthy controls (mean age: 35.33 [+/-12.05] years; 7 males), without epilepsy
and psychiatric disorders. Patients were assessed using the delay discounting
task (DDT) and tests of attention, shifting, inhibitory control, and concept
formation. Results were correlated with clinical epilepsy variables such as age
of onset, epilepsy duration, AED use, history of status epilepticus, febrile
seizures, and the presence of generalized seizures. Statistical analysis was
performed using one-way ANCOVA with years of education as a confounding factor.
RESULTS: Patients and controls demonstrated similar performance on DDT, showing
similar discount rate (p=0.935) and probability rate (p=0.585). Delay
gratification was not related to cool executive function tests (Digit Span,
Stroop Color Test, Trail Making Test, Wisconsin Card Sorting Test, and Connors'
CPT). History of status epilepticus, presence of generalized seizures and higher
seizure frequency, age at onset, and epilepsy duration had a significant impact
on DDT. CONCLUSION: Patients with intractable TLE-HS showed unimpaired delay
gratification abilities, being able to accept a higher delay and a lower amount
of chance for receiving a higher reward in the future. Clinical variables related
to the epilepsy severity impacted the performance on delay gratification.
Impairment on cool aspects of executive function was unrelated to this decision
making domain.
PMID- 27206238
TI - Autoantibody presentation in drug-induced liver injury and idiopathic autoimmune
hepatitis: the influence of human leucocyte antigen alleles.
AB - OBJECTIVES: Positive autoantibody (AAB) titres are commonly encountered in
autoimmune hepatitis (AIH) and in a proportion of drug-induced liver injury
(DILI) patients. The underlying mechanism for selective AAB occurrence in DILI is
unknown, but could be associated with variations in immune-associated genes.
Hence, we aimed to analyse human leucocyte antigen (HLA) allele compositions in
DILI with positive (+) and negative (-) AAB titres and in AIH patients. METHODS:
High-resolution genotyping of HLA class I (A, B, C) and II (DRB1, DQB1) loci was
performed on 207 DILI and 50 idiopathic AIH patients and compared with 885
healthy Spanish controls. RESULTS: Compared with controls, HLA-B*08:01 [44 vs.
9.7%, P=3.7E-13/corrected P-value (Pc)=1.0E-11], C*07:01 (46 vs. 24%, P=6.4E
04/Pc=0.012), DRB1*03:01 (58 vs. 21.5%, P=5.0E-09/Pc=1.0E-07) and DQB1*02:01 (56
vs. 22%, P=6.8E-08/Pc=9.0E-07) were significantly more frequent in AIH patients.
The HLA-A*01:01 frequency was increased in the same population, but did not reach
significance after Bonferroni's correction (34 vs. 19%, P=0.02/Pc=0.37). Fifty
eight of 207 DILI patients presented positive titres for at least one AAB
(predominantly antinuclear antibody 76% and antismooth muscle antibody 28%).
There was a tendency towards higher representation of DRB1*14:01 and DQB1*05:03
in DILI AAB+ compared with DILI AAB- (13.8 vs. 4.0%, P=0.02/Pc=0.5; 13.8 vs.
4.7%, P=0.04/Pc=0.5). CONCLUSION: The presence of HLA alleles B*08:01, C*07:01,
DRB1*03:01, DQB1*02:01 and possibly A*01:01 enhances the risk of AIH (type 1) in
Spanish patients. These alleles form part of the ancestral haplotype 8.1. HLA
DRB1*14:01 and DQB1*05:03 could potentially increase the risk of positive AAB
(particularly antinuclear antibody) in Spanish DILI patients.
PMID- 27206239
TI - Infections in the Non-Transplanted Immunocompromised Host.
AB - BACKGROUND: Discoveries regarding the basic mechanisms underlying malignant
disease, rheumatologic disorders, and autoimmune diseases have led to the
development of many new therapeutic modalities that target components of the
immune system. Most of these are antibodies or fusion proteins that interfere
with components of the immune response that are playing both pathological and
protective roles, resulting in variable degrees of immune suppression and a
higher risk of infectious complications. METHODS: Review of the English-language
literature. RESULTS: As these modalities are often used in combination with more
traditional methods of immune suppression (e.g., corticosteroids), an increasing
spectrum of infection is being encountered by clinicians. Febrile neutropenia
requires rapid assessment and initiation of empiric broad-spectrum antimicrobial
therapy. Persistence despite this therapy should prompt further investigation for
drug-resistant bacteria and invasive fungal disease. Important pathogens to
consider in patients with neutropenia, chronic steroid exposure, or underlying
gastrointestinal malignant diseases include fungi (Candida, Aspergillus) and
atypical bacteria (Nocardia, Clostridium septicum). CONCLUSIONS: This review
focuses on observations regarding the greater risk of infections associated with
many of these new biological modalities, as well as some specific infectious
complications that may be encountered more commonly by the surgical consultant.
PMID- 27206240
TI - Use of Gentamicin as Empiric Coverage for Ventilator-Associated Pneumonia: The
"Con" Perspective.
AB - BACKGROUND: Gentamicin is used commonly as an empiric antibiotic prior to culture
evidence in the treatment of ventilator-associated pneumonia (VAP) in surgical
patients. METHODS: The published literature on the use of gentamicin for empiric
therapy in VAP was reviewed and in combination with the author's personal
experience, an evaluation has been made about the indications for the use of this
antibiotic in VAP. RESULTS: Empiric gentamicin use appears to benefit less than
1% of patients in the treatment of presumptive VAP. The problematic
pharmacokinetics of gentamicin use in this patient population combined with
potential toxicity from the drug can be observed to yield greater risks than
benefits in this clinical situation when published evidence is reviewed.
CONCLUSION: There is no definitive evidence to support empiric use of gentamicin
in the treatment of VAP when evaluated on a cost-effective basis.
PMID- 27206241
TI - The Surgical Management of Complicated Clostridium Difficile Infection:
Alternatives to Colectomy.
AB - BACKGROUND: Clostridium difficile is the most common nosocomial infection in the
United States. There is a subset of patients for whom medical therapy fails or
who progress rapidly to the development of complicated disease, often marked by
critical systemic illness. Patients with complicated Clostridium difficile
infection (CDI) who progress or fail to improve benefit from surgery. RESULTS:
This focused review highlights the importance of early surgical consultation for
patients with complicated CDI, as well as emerging surgical therapy that does not
involve resection of the colon but rather the creation of a loop ileostomy with
colonic lavage, followed by antegrade vancomycin enemas into the colon during the
post-operative period.
PMID- 27206243
TI - Confirmatory factor analysis for assessment of the menopausal representation
questionnaire: Retraction.
PMID- 27206242
TI - Pollination, mating and reproductive fitness in a plant population with bimodal
floral-tube length.
AB - Mating patterns and natural selection play important roles in determining whether
genetic polymorphisms are maintained or lost. Here, we document an atypical
population of Lapeirousia anceps (Iridaceae) with a bimodal distribution of
floral-tube length and investigate the reproductive mechanisms associated with
this pattern of variation. Flowers were visited exclusively by the long-proboscid
fly Moegistorhynchus longirostris (Nemestrinidae), which exhibited a unimodal
distribution of proboscis length and displayed a preference for long-tubed
phenotypes. Despite being visited by a single pollinator species, allozyme
markers revealed significant genetic differentiation between open-pollinated
progeny of long- and short-tubed phenotypes suggesting mating barriers between
them. We obtained direct evidence for mating barriers between the floral-tube
phenotypes through observations of pollinator foraging, controlled hand
pollinations and measurements of pollen competition and seed set. Intermediate
tube-length phenotypes produced fewer seeds in the field than either long- or
short-tubed phenotypes. Although floral-tube length bimodality may not be a
stable state over long timescales, reproductive barriers to mating and low
'hybrid' fitness have the potential to contribute to the maintenance of this
state in the short term.
PMID- 27206244
TI - Supramolecular polymer networks: hydrogels and bulk materials.
AB - Supramolecular polymer networks are materials crosslinked by reversible
supramolecular interactions, such as hydrogen bonding or electrostatic
interactions. Supramolecular materials show very interesting and useful
properties resulting from their dynamic nature, such as self-healing, stimuli
responsiveness and adaptability. Here we will discuss recent progress in polymer
based supramolecular networks for the formation of hydrogels and bulk materials.
PMID- 27206245
TI - High-Performance 2D Rhenium Disulfide (ReS2 ) Transistors and Photodetectors by
Oxygen Plasma Treatment.
AB - A high-performance ReS2 -based thin-film transistor and photodetector with high
on/off-current ratio (10(4) ), high mobility (7.6 cm(2) V(-1) s(-1) ), high
photoresponsivity (2.5 * 10(7) A W(-1) ), and fast temporal response (rising and
decaying time of 670 ms and 5.6 s, respectively) through O2 plasma treatment is
reported.
PMID- 27206246
TI - Comparison of intramedullary myeloma and corresponding extramedullary soft tissue
plasmacytomas using genetic mutational panel analyses.
PMID- 27206247
TI - Role of Sigma 1 Receptor in Retinal Degeneration of the Ins2Akita/+ Murine Model
of Diabetic Retinopathy.
AB - PURPOSE: Sigma receptor 1 (Sigma1R), a nonopioid putative molecular chaperone,
has neuroprotective properties in retina. This study sought to determine whether
delaying administration of (+)-pentazocine, a high-affinity Sigma1R ligand after
onset of diabetes in Ins2Akita/+ diabetic mice would afford retinal
neuroprotection and to determine consequences on retinal phenotype in Ins2Akita/+
diabetic mice in the absence of Sigma1R. METHODS: Ins2Akita/+ diabetic and WT
mice received intraperitoneal injections of (+)-pentazocine beginning 4 or 8
weeks after onset of diabetes; eyes were harvested at 25 weeks. Retinal
histologic sections were analyzed to determine thicknesses of retinal layers,
number of ganglion cells, and evidence of gliosis (increased glial fibrillary
acidic protein levels). Ins2Akita/+/Sig1R-/-mice were generated and subjected to
in vivo assessment of retinal architecture (optical coherence tomography [OCT])
and retinal vasculature using fluorescein angiography (FA) at 12 and 16 weeks
compared with age-matched Ins2Akita/+ mice. Eyes were then harvested for retinal
morphometric assessment and gliosis assessment. RESULTS: Wild-type mice had 13 +/
0.06 cells/100 MUm retinal length; cell bodies in Ins2Akita/+ mice injected 4
and 8 weeks after onset of diabetes with (+)-pentazocine retained significantly
more ganglion cells compared with Ins2Akita/+ mice (9 +/- 0.04) and demonstrated
significant attenuation of gliosis. Ins2Akita/+/Sig1R-/-mouse retinas, analyzed
to determine whether the Ins2Akita/+ phenotype was accelerated when lacking
Sigma1R, revealed increased nerve fiber layer thickness (OCT), evidence of
vitreal opacities, and vessel beading (FA) compared with Ins2Akita/+ mice.
Morphometric analysis revealed significantly fewer ganglion cells in
Ins2Akita/+/Sig1R-/-mice compared with Ins2Akita/+ mice. CONCLUSIONS: Sigma1R may
be a novel retinal stress modulator, and targeting it even after disease onset
may afford retinal neuroprotection.
PMID- 27206248
TI - In Vivo Imaging and Morphometry of the Human Pre-Descemet's Layer and Endothelium
With Ultrahigh-Resolution Optical Coherence Tomography.
AB - PURPOSE: To visualize in vivo and quantify the thickness of the posterior corneal
layers: the acellular pre-Descemet's layer (PDL), Descemet's membrane (DM), and
endothelium (END) in healthy subjects, using ultrahigh-resolution optical
coherence tomography (UHR-OCT). METHODS: A research-grade, 800-nm UHR-OCT system
with 0.95-MUm axial resolution in corneal tissue was used to image in vivo the
posterior cornea in healthy subjects. The system offers approximately 98 dB
sensitivity for 680 MUW optical power incident on the cornea and 34,000 A-scans/s
image acquisition rate. This study comprised 20 healthy subjects, aged 20 to 60
years. The thickness of the PDL, DM, and END layers was measured both with a
custom, automatic segmentation algorithm and manually. RESULTS: The boundaries
and structure of the posterior corneal layers were clearly visible in the UHR-OCT
images. The average thickness was measured to be 6.6 +/- 1.4 MUm (PDL), 10.4 +/-
2.9 MUm (DM), and 4.8 +/- 0.4 MUm (END), which agrees well with published data
from ex vivo studies. Both the END and DM thickness showed minor spatial
variations, whereas the PDL showed up to 2* thickness change for different
locations on the same cross-sectional corneal image or over the entire imaged
region of the cornea. CONCLUSIONS: Our data indicate that all three layers of the
posterior cornea can be clearly visualized in vivo and their thicknesses measured
precisely with UHR-OCT. Although the PDL thickness showed large spatial
variations, the thickness of the DM and END layers was consistent over the entire
imaged region of the cornea.
PMID- 27206250
TI - The stimulated social brain: effects of transcranial direct current stimulation
on social cognition.
AB - Transcranial direct current stimulation (tDCS) is an increasingly popular
noninvasive neuromodulatory tool in the fields of cognitive and clinical
neuroscience and psychiatry. It is an inexpensive, painless, and safe brain
stimulation technique that has proven to be effective in modulating cognitive and
sensory-perceptual functioning in healthy individuals and clinical populations.
Importantly, recent findings have shown that tDCS may also be an effective and
promising tool for probing the neural mechanisms of social cognition. In this
review, we present the state-of-the-art of the field of tDCS research in social
cognition. By doing so, we aim to gather knowledge of the potential of tDCS to
modulate social functioning and social decision making in healthy humans, and to
inspire future research investigations.
PMID- 27206251
TI - Unraveling the Composition and Behavior of Heterogeneous Lipid Nanodiscs by Mass
Spectrometry.
AB - Mass spectrometry (MS) has emerged as a powerful tool to study membrane protein
complexes and protein-lipid interactions. Because they provide a precisely
defined lipid bilayer environment, lipoprotein Nanodiscs offer a promising
cassette for membrane protein MS analysis. However, heterogeneous lipids create
several potential challenges for native MS: additional spectral complexity,
ambiguous assignments, and differing gas-phase behaviors. Here, we present
strategies to address these challenges and streamline analysis of heterogeneous
lipid Nanodiscs. We show that using two lipids of similar mass limits the
complexity of the spectra in heterogeneous Nanodiscs and that the lipid
composition can be determined by using a dual Fourier transform approach to
obtain the average lipid mass. Further, the relationship between gas-phase
behavior, lipid composition, and instrumental polarity was investigated to
determine the effects of lipid headgroup chemistry on Nanodisc dissociation
mechanisms. These results provide unique mechanistic and methodological insights
into characterization of complex and heterogeneous systems by mass spectrometry.
PMID- 27206252
TI - Anti-Inflammatory Effect of Spirulina platensis in Macrophages Is Beneficial for
Adipocyte Differentiation and Maturation by Inhibiting Nuclear Factor-kappaB
Pathway in 3T3-L1 Adipocytes.
AB - We previously showed that the organic extract of a blue-green alga, Spirulina
platensis (SPE), had potent anti-inflammatory effects in macrophages. As the
interplay between macrophages and adipocytes is critical for adipocyte functions,
we investigated the contribution of the anti-inflammatory effects of SPE in
macrophages to adipogenesis/lipogenesis in 3T3-L1 adipocytes. 3T3-L1
preadipocytes were treated with 10% conditioned medium from lipopolysaccharide
(LPS)-stimulated RAW 264.7 macrophages (CMC) or LPS-stimulated, but SPE
pretreated, macrophages (CMS) at different stages of adipocyte differentiation.
The expression of adipocyte differentiation markers, such as CCAAT/enhancer
binding protein alpha, peroxisome proliferator-activated receptor gamma, and
perilipin, was significantly repressed by CMC when added on day 3, while the
repression was attenuated by CMS. Oil Red O staining confirmed that adipocyte
maturation in CMS-treated cells, but not in CMC-treated cells, was equivalent to
that of control cells. Nuclear translocation of nuclear factor kappaB (NF-kappaB)
p65 was decreased by CMS compared to CMC. In lipid-laden adipocytes, CMC promoted
the loss of lipid droplets, while CMS had minimal effects. Histone deacetylase 9
mRNA and protein levels were increased during adipocyte maturation, which were
decreased by CMC. In conclusion, by cross-talking with adipocytes, the anti
inflammatory effects of SPE in macrophages promoted adipocyte
differentiation/maturation, at least in part, by repressing the activation of NF
kappaB inflammatory pathways, which otherwise can be compromised in inflammatory
conditions.
PMID- 27206253
TI - Thromboelastography in Orthopaedic Trauma Acute Pelvic Fracture Resuscitation: A
Descriptive Pilot Study.
AB - OBJECTIVES: To describe the adjunctive use of thromboelastography (TEG) in
directing initial blood component therapy resuscitation of patients with
polytrauma with acute pelvic/acetabular fractures. DESIGN: Retrospective cohort
review. SETTING: Level-2 trauma center. PATIENTS: Forty adult trauma activations
with acute pelvic and/or acetabular fractures were treated with standard fracture
care and TEG with adjuvant platelet mapping (TEG/PM) analysis to guide their
initial 24-hour resuscitation. INTERVENTION: TEG with PM provided goal-directed
hemostatic resuscitation using component blood products and an established
hospital transfusion protocol. Transfusions were triggered by abnormal TEG/PM
results and/or the presence of active hemorrhage, persistent hemorrhagic shock,
and abnormal base deficit levels. MAIN OUTCOME MEASUREMENT: The correction of
trauma-induced coagulopathy was determined by the return of a normal TEG/PM
tracing. The numbers of component blood products transfused in the first 24 hours
using TEG/PM were calculated. Subgroup analysis of transfusion requirements and
differences between pelvic ring and acetabular fracture patterns were determined.
RESULTS: More than 90% of patients received a transfusion of at least 1 blood
product with 84% of transfusions occurring within 6 hours of admission. TEG/PM
guided resuscitation yielded greater volumes of platelets and packed red blood
cells (PRBCs) versus fresh frozen plasma (FFP) (P = 0.018) with an average
transfusion ratio of 2.5:1:2.8 (PRBC:FFP:platelet). There was a trend toward
greater transfusion requirements in combined injuries versus pelvic ring or
acetabular fractures (P = 0.08). CONCLUSION: TEG with PM is a valuable adjunct to
guide the acute phase of resuscitation in patients with polytrauma with pelvic
injuries because it allows a real-time assessment of the coagulation status. The
routine use of TEG/PM may result in transfusion ratios of blood products
different from those of the current empiric 1:1:1 guidelines. LEVEL OF EVIDENCE:
Therapeutic Level IV. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 27206249
TI - Cerebellar contributions to motor control and language comprehension: searching
for common computational principles.
AB - The past 25 years have seen the functional domain of the cerebellum extend beyond
the realm of motor control, with considerable discussion of how this subcortical
structure contributes to cognitive domains including attention, memory, and
language. Drawing on evidence from neuroanatomy, physiology, neuropsychology, and
computational work, sophisticated models have been developed to describe
cerebellar function in sensorimotor control and learning. In contrast,
mechanistic accounts of how the cerebellum contributes to cognition have remained
elusive. Inspired by the homogeneous cerebellar microanatomy and a desire for
parsimony, many researchers have sought to extend mechanistic ideas from motor
control to cognition. One influential hypothesis centers on the idea that the
cerebellum implements internal models, representations of the context-specific
dynamics of an agent's interactions with the environment, enabling predictive
control. We briefly review cerebellar anatomy and physiology, to review the
internal model hypothesis as applied in the motor domain, before turning to
extensions of these ideas in the linguistic domain, focusing on speech perception
and semantic processing. While recent findings are consistent with this
computational generalization, they also raise challenging questions regarding the
nature of cerebellar learning, and may thus inspire revisions of our views on the
role of the cerebellum in sensorimotor control.
PMID- 27206255
TI - Obesity Increases Complexity of Distal Radius Fracture in Fall From Standing
Height.
AB - OBJECTIVES: To investigate the relationship between obesity and distal radius
fracture severity after low-energy trauma and to identify patient-specific risk
factors predictive of increasing fracture severity. DESIGN: Retrospective review.
SETTING: Level 1 Trauma Center. PATIENTS/PARTICIPANTS: Four hundred twenty-three
adult subjects with a history of fracture of the distal radius resulting from a
fall from standing height. INTERVENTION: Demographic data and injury
characteristics were obtained. Preoperative wrist radiographs were reviewed and
classified by the OTA classification system. Distal radius fractures were
categorized as simple [closed and extra-articular (OTA 23-A)] and complex [intra
articular (OTA 23-B or 23-C) or open fracture or concomitant ipsilateral upper
extremity fracture]. Multivariate logistic regression was completed to model the
probability of incurring a complex fracture. MAIN OUTCOME MEASUREMENTS: Simple
versus complex fracture pattern. RESULTS: Average age at the time of injury was
53.8 years (range, 18.9-98.4). Seventy-nine percent of subjects were female. The
average body-mass index was 28.1 (range, 13.6-59.5). Two hundred forty-four
patients (58%) suffered complex distal radius fractures per study criteria. Obese
patients (body-mass index > 30) demonstrated increased fracture severity as per
the OTA classification (P = 0.039) and were more likely to suffer a complex
injury (P = 0.032). Multivariate regression identified male gender, obesity, and
age >=50 as independent risk factors for sustaining a complex fracture pattern.
CONCLUSIONS: Obesity is associated with more complex fractures of the distal
radius after low-energy trauma, particularly in elderly patients. This
relationship may have important epidemiologic implications predictive of future
societal fracture burden and severity in an obese, aging population. LEVEL OF
EVIDENCE: Prognostic Level III. See Instructions for Authors for a complete
description of levels of evidence.
PMID- 27206254
TI - Radiographic Measurement of Displacement in Acetabular Fractures: A Systematic
Review of the Literature.
AB - OBJECTIVES: To report methods of measurement of radiographic displacement and
radiographic outcomes in acetabular fractures described in the literature.
METHODS: A systematic review of the English literature was performed using EMBASE
and Medline in August 2014. Inclusion criteria were studies of operatively
treated acetabular fractures in adults with acute (<6 weeks) open reduction and
internal fixation that reported radiographic outcomes. Exclusion criteria
included case series with <10 patients, fractures managed >6 weeks from injury,
acute total hip arthroplasty, periprosthetic fractures, time frame of
radiographic outcomes not stated, missing radiographic outcome data, and non
English language articles. Basic information collected included journal, author,
year published, number of fractures, and fracture types. Specific data collected
included radiographic outcome data, method of measuring radiographic
displacement, and methods of interpreting or categorizing radiographic outcomes.
DATA SYNTHESIS: The number of reproducible radiographic measurement techniques
(2/64) and previously described radiographic interpretation methods (4) were
recorded. One radiographic reduction grading criterion (Matta) was used nearly
universally in articles that used previously described criteria. Overall, 70% of
articles using this criteria documented anatomic reductions. CONCLUSIONS: The
current standard of measuring radiographic displacement in publications dealing
with acetabulum fractures almost universally lacks basic description, making
further scientific rigor, such as testing reproducibility, impossible. Further
work is necessary to standardize radiographic measurement techniques, test their
reproducibility, and qualify their validity or determine which measurements are
important to clinical outcomes. LEVEL OF EVIDENCE: Diagnostic Level IV. See
Instructions for Authors for a complete description of levels of evidence.
PMID- 27206256
TI - Computer Hexapod-Assisted Orthopaedic Surgery for the Correction of Tibial
Deformities.
AB - We describe the intraoperative use of the Taylor Spatial Frame to correct complex
multiplanar deformities of the tibia before definitive internal stabilization
using minimally invasive techniques. Thirteen consecutive procedures were
performed in 12 patients. All deformities of the tibia were assessed with
standardized radiographs allowing estimation of the center of rotation of
angulation (CORA) or multiple CORA for multiplanar deformities. The cause of the
deformity included both posttraumatic and metabolic conditions. A wide range of
deformities was deemed appropriate for correction with this technique. All
underwent acute intraoperative correction through single or multiple osteotomies
mediated by the Taylor Spatial Frame before definitive internal stabilization
using a locked intramedullary nail. Deformity correction and restoration of the
tibial mechanical axis was achieved in all cases. There were no cases of
nonunion. There was only one superficial infection necessitating removal of
implants following union of the osteotomies. Two patients developed a common
peroneal nerve palsy, 1 had full recovery at 18 months and 1 had partial
recovery. Another patient developed a tibial artery pseudoaneurysm treated
successfully with a percutaneous stent. This series demonstrates the use of the
Taylor Spatial Frame for acute intraoperative correction of complex tibial
deformities and definitive internal stabilization.
PMID- 27206257
TI - The Agreement of Level-of-Evidence Ratings of Articles Submitted to the JOT from
2012 to 2014.
PMID- 27206258
TI - Versatility of an Extended Posterior Approach for the Treatment of Acetabular
Fractures With Reference to the Superior Gluteal Neurovascular Bundle.
AB - The superior gluteal neurovascular bundle is at risk of injury in certain types
of acetabular fractures and the associated surgery. This article describes the
versatility of an extended posterior approach, previously described for complex
revision total hip replacement (the Adelaide approach) and for the treatment of
acetabular fractures that allows a wide exposure of the ilium through
identification, protection, and mobilization of the superior gluteal
neurovascular bundle.
PMID- 27206259
TI - Is the Digital Divide for Orthopaedic Trauma Patients a Myth? Prospective Cohort
Study on Use of a Custom Internet Site.
AB - OBJECTIVES: Some have proposed that a so-called digital divide exists for
orthopaedic trauma patients and that the clinical usefulness of the Internet for
these patients is limited. No studies to date have confirmed this or whether
patients would use a provided web resource. The hypotheses of this study were (1)
a larger than expected percentage of trauma patients have access to the Internet
and (2) if given access to a custom site, patients will use it. DESIGN:
Prospective cohort. SETTING: Level 1 regional trauma center. PATIENTS: Patients
who were 18 years or older with acute operative fractures participated in this
study. Enrollment was initiated either before discharge or at initial outpatient
follow-up. INTERVENTION: We conducted a survey of demographics, Internet usage,
device type, eHealth Literacy, and intent to use the web site. Participants
received a keychain containing the web address and a unique access code to our
custom orthopaedic trauma web site. MAIN OUTCOME MEASUREMENTS: Percentage of
patients with Internet access and percentage of patients who visited the web
site. RESULTS: One hundred twelve patients were enrolled. Ninety-three percent
(104/112) reported having Internet access (P < 0.0001). Only increasing age
predicted lack of access (P < 0.015; odds ratio, 0.95). Most (95%, 106/112)
planned to visit our site; however, only 11% (P < 0.001) accessed it.
CONCLUSIONS: The digital divide is a myth in orthopaedic trauma. Despite
widespread access and enthusiasm for our web site, few patients visited. This
cautions against the allocation of resources for patient-specific web sites for
orthopaedic trauma until a rationale for use can be better delineated. LEVEL OF
EVIDENCE: Therapeutic Level IV. See Instructions for Authors for a complete
description of levels of evidence.
PMID- 27206260
TI - Abductor Muscle Function and Trochanteric Tenderness After Hemiarthroplasty for
Femoral Neck Fracture.
AB - OBJECTIVES: To compare the abductor muscle function and trochanteric tenderness
in patients operated with hemiarthroplasty using the direct lateral (DL) or
posterolateral (PL) approach for displaced femoral neck fracture. DESIGN:
Prospective cohort study. SETTING: A secondary teaching hospital. PARTICIPANTS:
We enrolled 183 hips operated with hemiarthroplasty for displaced femoral neck
fracture using the DL or PL approach. INTERVENTIONS: Preoperatively, we evaluated
the Harris hip score (HHS) and European Quality of Life-5 Dimensions (EQ-5D). At
1 year postoperatively, lucid patients were clinically examined to evaluate the
Trendelenburg sign, abductor muscle strength with a dynamometer, and trochanteric
tenderness with an electronic algometer. The 1-year HHS and EQ-5D were
documented. MAIN OUTCOME MEASURES: The primary outcome was the incidence of
postoperative Trendelenburg sign, whereas the secondary outcomes included
patients' reported limp, abductor muscle strength, trochanteric tenderness, HHS,
and EQ-5D. RESULTS: There were 48 patients (24 in the DL group and 24 in the PL
group) who attended the 1-year clinical follow-up. The 2 groups were comparable
(P > 0.05). The DL group showed a higher incidence of the Trendelenburg sign
(9/24 vs. 1/24, P = 0.02) and limp (12/24 vs. 2/24, P = 0.004). Further analysis
with logistic regression showed the surgical approach to be the only factor that
resulted in the increment. No differences regarding HHS, EQ-5D, abductor muscle
strength, algometer pressure pain threshold, and radiologic measurements were
found (P > 0.05). CONCLUSIONS: The incidence of the Trendelenburg sign and limp
were significantly higher in the DL approach although this seemed not to
influence abductor muscle strength or the incidence of trochanteric tenderness or
compromise the clinical outcome. LEVEL OF EVIDENCE: Therapeutic Level III. See
Instructions for Authors for a complete description of levels of evidence.
PMID- 27206261
TI - The Role of Elevated Lactate as a Risk Factor for Pulmonary Morbidity After Early
Fixation of Femoral Shaft Fractures.
AB - OBJECTIVES: To evaluate lactate levels before reamed intramedullary nailing (IMN)
of femur fractures treated with early fixation. DESIGN: Retrospective study.
SETTING: Three academic, tertiary care trauma centers. PATIENTS: Age >=18 years,
injury severity score >=17, admission lactate >= 2.5 mmol/L, elevated
preoperative lactate = preoperative lactate >= 2.5 mmol/L. INTERVENTION: Reamed
IMN of femur fracture within 24 hours. MAIN OUTCOME MEASURE: Total duration of
mechanical ventilation, pulmonary complications (PC) = duration of mechanical
ventilation >=5 days. RESULTS: Four hundred and fourteen patients identified;
294/414 (71.0%) with admission lactate >= 2.5 mmol/L. No difference in PC among
the groups (86/294, 29.3% vs. 28/120, 23.3%; P = 0.22). Median admission lactate:
3.7 (interquartile range: 3.0-4.6); median preoperative lactate: 2.8
(interquartile range: 1.9-3.5). 184/294 (62.6%) demonstrated an elevated
preoperative lactate (>= 2.5 mmol/L) before fracture fixation. No difference in
elevated preoperative lactate and vent days (4.8 +/- 9.9 vs. 3.9 +/- 6.0, P =
0.41) or PC (50/86, 58.1% vs. 134/208, 64.4%; P = 0.31). There was no difference
in PC when preoperative lactate was considered separately for a lactate >=3.0
(34/123, 27.6% vs. 52/171, 30.4%; P = 0.61), >=3.5 (21/79, 26.6% vs. 65/215,
30.2%; P = 0.54), or >=4.0 (14/50, 28.0% vs. 72/244, 29.5%; P = 0.83).
Multivariable linear regression modeling demonstrated that admission lactate
[coefficient of variation: 0.84, standard error: 0.33, 95% confidence interval
(CI): 0.20-1.49] was correlated with duration of mechanical ventilation, after
adjusting for emergency department Glasgow Coma Scale, age, chest Abbreviated
Injury Scale (AIS) score, abdominal AIS, and admission glucose. Logistic
regression demonstrated admission lactate was also significantly associated with
PC (odds ratio: 1.26, 95% CI: 1.03-1.53) after controlling for age, admission
Glasgow Coma Scale, chest AIS, abdominal AIS, admission pulse and admission
glucose; preoperative lactate was not a risk factor (odds ratio: 0.84, 95% CI:
0.65-1.09) for PC. CONCLUSION: Median admission lactate of 3.7 mmol/L was
associated with duration of mechanical ventilation >=5 days, whereas median
preoperative lactate of 2.8 mmol/L was not, when multisystem trauma patients with
a femoral shaft fracture were treated with reamed IMN within 24 hours after
admission. LEVEL OF EVIDENCE: Prognostic Level III. See Instructions for Authors
for a complete description of levels of evidence.
PMID- 27206264
TI - The Author File: Wesley P. Wong.
PMID- 27206263
TI - Antivascular endothelial growth factor in the treatment of retinopathy of
prematurity.
AB - PURPOSE OF REVIEW: To review the most recent literature regarding the clinical
experience of antivascular endothelial growth factor (anti-VEGF) therapies in the
treatment of retinopathy of prematurity (ROP). RECENT FINDINGS: Anti-VEGF agents
in stage 3+ and aggressive posterior ROP have been shown to induce rapid ROP
regression. However, significant reoccurrence rates can require repeat injections
and thus longer term and more frequent follow-up. Initial studies reflect
conflicting evidence regarding significant systemic side effects of these
treatments, and outcomes in these patients past the first few years of life are
yet to be definitively determined. SUMMARY: Although anti-VEGF therapies show
promise in the treatment of ROP, frequent reoccurrences and lack of thorough data
about long-term side effects of pharmacologic intervention necessitate further
research before anti-VEGF agents become the mainstay of ROP management.
PMID- 27206262
TI - Applications of stem cell biology to oculoplastic surgery.
AB - PURPOSE OF REVIEW: The review examines the utility of stem cell biology in
ophthalmology and oculoplastic surgery. RECENT FINDINGS: The applicability of
stem cell biology varies across a range of different subfields within
ophthalmology and oculoplastic surgery. Resident stem cells have been identified
in the lacrimal gland, corneal limbus, orbital fat, and muscles of the eye, and
can potentially be applied for in-vitro cell and organ cultures with the intent
of disease modeling and transplants. The discovery of adipocyte-derived stem
cells offered a potentially powerful tool for a variety of oculoplastic
applications, such as wound healing, skin rejuvenation, and burn therapeutics.
Several groups are currently identifying new uses for stem cells in oculoplastic
surgery. SUMMARY: The need for stem cell treatment spans a wide array of
subfields within ophthalmology, ranging from reconstruction of the eyelid to the
generation of artificial lacrimal glands and oncological therapeutics. The advent
of induced pluripotent stem cells opened the realm of regenerative medicine,
making the modeling of patient-specific diseases a possibility. The
identification and characterization of endogenous stem cell populations in the
eye makes it possible to obtain specific tissues through induced pluripotent stem
cells differentiation, permitting their use in transplants for oculoplastic
surgery.
PMID- 27206265
TI - White Matter Integrity Reductions in Intermittent Explosive Disorder.
AB - Intermittent explosive disorder (IED), as described in DSM-5, is the categorical
expression of pathological impulsive aggression. Previous work has identified
neurobiological correlates of the disorder in patterns of frontal-limbic brain
activity and dysregulation of serotonergic neurotransmission. Given the
importance of short- and-long range white matter connections of the brain in
social and emotional behavior, studies of white matter connectivity in impulsive
aggression are warranted. Diffusion tensor imaging (DTI) studies in the related
conditions of antisocial and borderline personality disorder have produced
preliminary evidence of disturbed white matter connectivity in these disorders,
but to date there have been no DTI studies in IED. A total of 132 male and female
adults between the ages of 18 and 55 years underwent Turboprop-DTI on a 3-Tesla
MRI scanner. Of these, 42 subjects had IED, 40 were normal controls, and 50 were
clinical psychiatric controls with psychiatric disorders without IED. All
subjects were free of alcohol, psychotropic medications, or drugs of abuse. The
diffusion tensor was calculated in each voxel and maps of fractional anisotropy
(FA) were generated. Tract-based spatial statistics (TBSS) were used to compare
FA along the white matter skeleton among the three subject groups. IED was
associated with lower FA in two clusters located in the superior longitudinal
fasciculus (SLF) when compared with the psychiatric and healthy controls.
Impulsive aggression and borderline personality disorder, but not psychopathy or
antisocial personality disorder, was associated with lower FA in the two clusters
within the SLF. In conclusion, IED was associated with lower white matter
integrity in long-range connections between the frontal and temporoparietal
regions.
PMID- 27206267
TI - UV light-mediated difunctionalization of alkenes with CF3SO2Na: synthesis of
trifluoromethyl phenanthrene and anthrone derivatives.
AB - A metal-free and cost-effective protocol for UV light-mediated
difunctionalization of alkenes with CF3SO2Na was developed. This strategy
realized the direct formation of Csp(3)-CF3 and C-C bonds through a proposed
tandem radical cyclization process, which produced a variety of phenanthrene and
anthrone derivatives in moderate yields.
PMID- 27206268
TI - The author file: Mihaela Zavolan.
PMID- 27206266
TI - Human Pharmacology of Mephedrone in Comparison with MDMA.
AB - Mephedrone (4-methylmethcathinone) is a novel psychoactive substance popular
among drug users because it displays similar effects to MDMA (3,4
methylenedioxymethamphetamine, ecstasy). Mephedrone consumption has been
associated with undesirable effects and fatal intoxications. At present, there is
no research available on its pharmacological effects in humans under controlled
and experimental administration. This study aims to evaluate the clinical
pharmacology of mephedrone and its relative abuse liability compared with MDMA.
Twelve male volunteers participated in a randomized, double-blind, crossover, and
placebo-controlled trial. The single oral dose conditions were: mephedrone 200
mg, MDMA 100 mg, and placebo. Outcome variables included physiological,
subjective, and psychomotor effects, and pharmacokinetic parameters. The protocol
was registered in ClinicalTrials.gov (NCT02232789). Mephedrone produced a
significant increase in systolic and diastolic blood pressure, heart rate, and
pupillary diameter. It elicited stimulant-like effects, euphoria, and well-being,
and induced mild changes in perceptions with similar ratings to those observed
after MDMA administration although effects peaked earlier and were shorter in
duration. Maximal plasma concentration values for mephedrone and MDMA peaked at
1.25 h and 2.00 h, respectively. The elimination half-life for mephedrone was
2.15 h and 7.89 h for MDMA. In a similar manner to MDMA, mephedrone exhibits high
abuse liability. Its earlier onset and shorter duration of effects, probably
related to its short elimination half-life, could explain a more compulsive
pattern of use as described by the users.
PMID- 27206269
TI - Toward better understanding of chloral hydrate stability in water: Kinetics,
pathways, and influencing factors.
AB - Chloral hydrate (CH) is a disinfection byproduct commonly found in disinfected
water, and once formed, CH may undergo several transformation processes in water
distribution system. In order to understand its fate and occurrence in water,
this study examined several factors that may affect the stability of CH in water,
including pH, temperature, initial CH concentration, typical anions, and the
presence of free chlorine and monochloramine. The results indicated that CH was a
relatively stable compound (half-life ~7 d for 20 MUg/L) in ambient pH (7) and
temperature (20 degrees C) conditions. However, the hydrolysis rate can be
greatly facilitated by increasing pH (from 7 to 12) and temperature (from 20 to
60 degrees C) or decreasing initial CH concentration (from 10 mg/L to 20 MUg/L).
To quantify the influences of these factors on the CH hydrolysis rate constant
(k, 1/h), which spans five orders of magnitude, this study developed a
multivariate model that predicts literature and this study's data well (R(2) =
0.90). In contrast, the presence of chloride, nitrate, monochloramine, and free
chlorine exhibited no significant impacts on the degradation of CH, while the CH
loss in non-buffered waters spiked with sodium hypochlorite was driven by
alkaline hydrolysis. In terms of reaction products, CH hydrolysis yielded mostly
chloroform and formic acid and a few chloride, which confirmed decarburization as
a dominant pathway and dehalogenation as a noticeable coexisting reaction.
PMID- 27206270
TI - Study on the volatility of halogenated fluorenes.
AB - This work reports the experimental determination of relevant thermophysical
properties of five halogenated fluorenes. The vapor pressures of the compounds
studied were measured at different temperatures using two different experimental
techniques. The static method was used for studying 2-fluorofluorene (liquid and
crystal vapor pressures between 321.04 K and 411.88 K), 2-iodofluorene (liquid
and crystal vapor pressures between 362.63 K and 413.86 K), and 2,7
dichlorofluorene (crystal vapor pressures between 364.64 K and 394.22 K). The
Knudsen effusion method was employed to determine the vapor pressures of 2,7
difluorofluorene (crystal vapor pressures between 299.17 K and 321.19 K), 2,7
diiodofluorene (crystal vapor pressures between 393.19 K and 415.14 K), and
(again) 2-iodofluorene (crystal vapor pressures between 341.16 K and 361.12 K).
The temperatures and the molar enthalpies of fusion of the five compounds were
determined using differential scanning calorimetry. The application to
halogenated fluorenes of recently developed methods for predicting vapor
pressures and enthalpies of sublimation and vaporization of substituted benzenes
is also discussed.
PMID- 27206272
TI - Regain in Body Mass After Weigh-In is Linked to Success in Real Life Judo
Competition.
AB - We examined the relationship between the regain of body mass (BM) after weigh-in
and success in real-life judo competition. Eighty-six (36 females, 50 males)
senior judoka volunteered for this observational study of an international judo
competition. Subjects were weighed at the official weigh-in and one hour before
their first competition fight (15-20 hr later). Regain in BM after weigh-in was
compared between medal winners and nonmedalists, winners and losers of each
fight, males and females and across weight divisions. Heavyweights were excluded
from analysis. Prefight BM was greater than BM at official weigh-in for both
males and females, with % BM gains of 2.3 +/- 2.0 (p <= .0001; ES= 1.59; CI95%
[1.63, 2.98]) and 3.1 +/- 2.2 (p <= .0001; ES = 2.03; CI95% [2.30, 3.89]),
respectively. No significant differences were found between weight divisions for
post weigh-in BM regain. Differences in post weigh-in BM regain were
significantly higher in medal winners than nonmedalists for males and females
combined (1.4 +/- 0.4% BM; p = .0026; ES= 0.69; CI95% [0.05, 2.34]) and for males
alone (1.5 +/- 0.6% BM; p = .017; ES= 0.74; CI95% [0.02, 2.64]), but not for
females (1.2 +/- 0.7% BM; p = .096; ES = 0.58; CI95% [-0.02, 2.31]). Differences
in BM regain after weigh-in between winners and losers were significant across
all fights (0.9 +/- 0.3% BM; p = .0021; ES= 0.43; CI95% [0.31, 1.41]) but not for
first round fights (0.8 +/- 0.5% BM; p = .1386, ES = 0.38; CI95% [-0.26, 1.86]).
Winners showed a greater regain in BM post weigh-in than losers. This may reflect
the greater magnitude of the BM loss needed to achieve weigh-in targets which
also relates to the experience level of successful athletes.
PMID- 27206271
TI - Determination of detection sensitivity for cerebral microbleeds using
susceptibility-weighted imaging.
AB - Cerebral microbleeds (CMBs) are small brain hemorrhages caused by the break down
or structural abnormalities of small vessels of the brain. Owing to the
paramagnetic properties of blood degradation products, CMBs can be detected in
vivo using susceptibility-weighted imaging (SWI). SWI can be used not only to
detect iron changes and CMBs, but also to differentiate them from calcifications,
both of which may be important MR-based biomarkers for neurodegenerative
diseases. Moreover, SWI can be used to quantify the iron in CMBs. SWI and
gradient echo (GE) imaging are the two most common methods for the detection of
iron deposition and CMBs. This study provides a comprehensive analysis of the
number of voxels detected in the presence of a CMB on GE magnitude, phase and SWI
composite images as a function of resolution, signal-to-noise ratio (SNR), TE,
field strength and susceptibility using in silico experiments. Susceptibility
maps were used to quantify the bias in the effective susceptibility value and to
determine the optimal TE for CMB quantification. We observed a non-linear trend
with susceptibility for CMB detection from the magnitude images, but a linear
trend with susceptibility for CMB detection from the phase and SWI composite
images. The optimal TE values for CMB quantification were found to be 3 ms at 7
T, 7 ms at 3 T and 14 ms at 1.5 T for a CMB of one voxel in diameter with an SNR
of 20: 1. The simulations of signal loss and detectability were used to generate
theoretical formulae for predictions. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27206273
TI - Characterization of Microvesicles in Septic Shock Using High-Sensitivity Flow
Cytometry.
AB - PURPOSE: Endothelial pathology is considered to play a key role in septic shock.
Since endothelial-derived microvesicles (MV) are elevated in various diseases
associated with endothelial pathology, they are considered surrogate markers of
the endothelial state. By analyzing the signature of circulating MV with high
sensitivity flow cytometry (hsFC), we wanted to test the hypothesis whether
endothelial-derived MV are increased in septic shock. METHODS: MV in blood from
healthy volunteers and patients with septic shock treated in a medical intensive
care unit were quantified by hsFC, which has an improved detection limit of
approximately 0.3 MUm. RESULTS: Patients with septic shock (n = 30) showed 3-fold
higher levels of CD31+/CD41- MV (58.5 (26.4-101.2) [median (25th-75th
percentile)] vs. 19.5 (12.8-25.4) MV/MUL; P <0.001) compared with healthy
volunteers (n = 18). Absolute counts of CD144+, CD62E+, and CD106+ MV, specific
for endothelial-derived MV, were low in all groups. The number of CD31+/CD41- MV
correlated significantly with leukocyte count (rs = 0.64; P <0.001). Platelet
derived CD41+ MV were significantly elevated in the group dying within 48 h after
inclusion (639.1 (321.3-969.7) vs. 221.5 (119.5-456.9) MV/MUL; P = 0.037).
Patients dying within 48 h had also significantly higher levels of CD31+/CD41
/AnnexinV- MV (51.9 (24.9-259.8) vs. 18.9 (9.7-31) MV/MUL; P = 0.028).
CONCLUSIONS: Despite an improved detection limit for MV by using hsFC, counts of
endothelial-specific MV are unexpectedly low in patients with septic shock.
Increased amounts of CD41+ and CD31+/CD41-/AnnexinV- MV indicate release by
activated platelets and possibly leukocytes correlating with unfavorable outcome.
PMID- 27206274
TI - Shock Index Values and Trends in Pediatric Sepsis: Predictors or Therapeutic
Targets? A Retrospective Observational Study.
AB - BACKGROUND: Shock index (SI) (heart rate [HR]/systolic blood pressure [SBP]) has
been used to predict outcome in both adult and pediatric sepsis within the
intensive care unit (ICU). We aimed to evaluate the utility of SI before
pediatric ICU (PICU) admission. PATIENTS AND METHODS: We conducted a
retrospective observational study of children referred to a pediatric intensive
care transport service (PICTS) between 2005 and 2011. The predictive value of SI,
HR, and blood pressure at three prespecified time points (at referral to PICTS,
at PICTS arrival at the referring hospital, and at PICU admission) and changes in
SI between the time points were evaluated. Death within the first 48 h of ICU
admission (early death) was the primary outcome variable. RESULTS: Over the 7
year period, 633 children with sepsis were referred to the PICTS. Thirty-nine
children died before transport to a PICU, whereas 474 were transported alive.
Adjusting for age, time points, and time duration in a multilevel regression
analysis, SI was significantly higher in those who died early. There was a
significant improvement in SI with the transport team in survivors but not in
nonsurvivors. However, the predictive value of a change in SI for mortality was
no better than either a change in HR or blood pressure. CONCLUSIONS: The absolute
or change in SI does not predict early death any more than HR and SBP
individually in children with sepsis.
PMID- 27206275
TI - Pulmonary Vascular Dysfunction and Cor Pulmonale During Acute Respiratory
Distress Syndrome in Sicklers.
AB - BACKGROUND: Acute chest syndrome (ACS) is the most common cause of death among
sickle cell disease (SCD) adult patients. Pulmonary vascular dysfunction (PVD)
and acute cor pulmonale (ACP) are common during acute respiratory distress
syndrome (ARDS) and their prevalence may be even more important during ARDS
related to ACS (ACS-ARDS). The objective of this study was to evaluate the
prevalence and prognosis of PVD and ACP during ACS-ARDS. PATIENTS AND METHODS:
This was a retrospective analysis over a 10-year period of patients with moderate
to-severe ARDS. PVD and ACP were assessed by echocardiography. ARDS episodes were
assigned to ACS-ARDS or nonACS-ARDS group according to whether the clinical
insult was ACS or not, respectively. To evaluate independent factors associated
with ACP, significant univariable risk factors were examined using logistic
regression and propensity score analyses. RESULTS: A total of 362 patients were
analyzed, including 24 ACS-ARDS. PVD and ACP were identified, respectively, in 24
(100%) and 20 (83%) ACS-ARDS patients, as compared with 204 (60%) and 68 (20%)
nonACS-ARDS patients (P < 0.0001). The mortality did not differ between ACS-ARDS
and nonACS-ARDS patients. Both the crude (odds ratio [OR], 19.9; 95% confidence
interval [CI], 6.6-60; P < 0.0001), multivariable adjustment (OR, 27.4; 95% CI,
8.2-91.5; P < 0.001), and propensity-matched (OR, 11.7; 95% CI, 1.2-110.8; P =
0.03) analyses found a significant association between ACS-ARDS and ACP.
CONCLUSIONS: All SCD patients presenting with moderate-to-severe ARDS as a
consequence of ACS experienced PVD and more than 80% of them exhibited ACP. These
results suggest a predominant role for PVD in the pathogenesis of severe forms of
ACS.
PMID- 27206276
TI - Severe Hemorrhagic Shock Induces Acute Activation and Expansion of IL-8+/IL-10+
Neutrophils with Enhanced Oxidative Reactivity in Non-Human Primates.
AB - BACKGROUND: Neutrophilic inflammation is a mediator of morbidity and mortality in
response to hemorrhagic shock. Although injury-induced neutrophil margination has
long been observed, the nature of neutrophils' role in the "second hit" paradigm
remains to be fully elucidated. We sought to extensively characterize neutrophil
phenotype and functionality in response to severe hemorrhage in non-human
primates (NHPs). METHODS: NHPs (n = 8) were subjected to severe hemorrhagic shock
and resuscitation. Blood was obtained at baseline (T = 0 min), end of shock (T =
60 min), end of resuscitation (T = 180 min), T = 360 min, and 24 h (T = 1440
min). Neutrophils were quantified by complete blood count and flow cytometry. IL
8 and IL-10 production was determined by intracellular flow cytometry. Oxidation
of dihydrorhodamine-123 (DHR-123) was used to determine neutrophil oxidative
bursts (untreated), priming (+fMLP), and burst capacity (+PMA/ionomycin) via
microplate reader ex vivo. Data are reported as mean +/- SEM; statistical
significance was measured using repeated measures ANOVA with Bonferroni
adjustment. P < 0.05 is considered significant. RESULTS: CD45CD11bCD16
neutrophils doubled postinjury (P < 0.0001); this was due to activated IL-8/IL-10
neutrophils that increased in frequency in relation to resting IL-8IL-10 cells.
At 24 h, the proportions of activated to resting neutrophils returned to baseline
levels. Resuscitative measures initially decreased neutrophil oxidative output;
however, oxidative bursts, priming, and burst capacity were significantly
increased at 24 h (P < 0.0025, 0.0124, and 0.0118, respectively). CONCLUSION:
These results demonstrate an acute expansion and phenotypic activation of
circulating neutrophils postinjury followed by a return to homeostatic
proportions within 24 h; paradoxically, phenotypically "resting" neutrophils at
24 h have significantly higher oxidative potential, predisposing for exaggerated
inflammatory responses. These data are consistent with clinical literature and
provide important functional insight into neutrophil-mediated shock pathology.
PMID- 27206277
TI - Self-Propelled Dressings Containing Thrombin and Tranexamic Acid Improve Short
Term Survival in a Swine Model of Lethal Junctional Hemorrhage.
AB - Hemorrhage is the leading cause of preventable death in trauma, and hemorrhage
from noncompressible junctional anatomic sites is particularly difficult to
control. The current standard is QuikClot Combat Gauze packing, which requires 3
min of compression. We have created a novel dressing with calcium carbonate
microparticles that can disperse and self-propel upstream against flowing blood.
We loaded these microparticles with thrombin and tranexamic acid and tested their
efficacy in a swine arterial bleeding model without wound compression.
Anesthetized immature female swine received 5 mm femoral arteriotomies to induce
severe junctional hemorrhage. Wounds were packed with kaolin-based QuikClot
Combat Gauze (KG), propelled thrombin-microparticles with protonated tranexamic
acid (PTG), or a non-propelling formulation of the same thrombin-microparticles
with non-protonated tranexamic acid (NPTG). Wounds were not compressed after
packing. Each animal then received one 15 mL/kg bolus of hydroxyethyl starch
solution followed by Lactated Ringer as needed for hypotension (maximum: 100
mL/kg) for up to 3 h. Survival was improved with PTG (3-h survival: 8/8, 100%)
compared with KG (3/8, 37.5%) and NPTG (2/8, 25%) (P <0.01). PTG animals
maintained lower serum lactate and higher hemoglobin concentrations than NPTG (P
<0.05) suggesting PTG decreased severity of subsequent hemorrhagic shock.
However, total blood loss, Lactated Ringer infusion volumes, and mean arterial
pressures of surviving animals were not different between groups (P >0.05). Thus,
in this swine model of junctional arterial hemorrhage, gauze with self-propelled,
prothrombotic microparticles improved survival and 2 indicators of hemorrhagic
shock when applied without compression, suggesting this capability may enable
better treatment of non-compressible junctional wounds.
PMID- 27206278
TI - Sympathoadrenal Activation is Associated with Acute Traumatic Coagulopathy and
Endotheliopathy in Isolated Brain Injury.
AB - BACKGROUND: Acute coagulopathy after traumatic brain injury (TBI) involves a
complex multifactorial hemostatic response that is poorly characterized.
OBJECTIVES: To examine early posttraumatic alterations in coagulofibrinolytic,
endothelial, and inflammatory blood biomarkers in relation to sympathetic nervous
system (SNS) activation and 6-month patient outcomes, using multivariate partial
least-squares (PLS) analysis. PATIENTS AND METHODS: A multicenter observational
study of 159 adult isolated TBI patients admitted to the emergency department at
an urban level I trauma center, was performed. Plasma concentrations of 6
coagulofibrinolytic, 10 vascular endothelial, 19 inflammatory, and 2
catecholamine biomarkers were measured by immunoassay on admission and 24 h
postinjury. Neurological outcome at 6 months was assessed using the Extended
Glasgow Outcome Scale. PLS-discriminant analysis was used to identify salient
biomarker contributions to unfavorable outcome, whereas PLS regression analysis
was used to evaluate the covariance between SNS correlates (catecholamines) and
biomarkers of coagulopathy, endotheliopathy, and inflammation. RESULTS: Biomarker
profiles in patients with an unfavorable outcome displayed procoagulation,
hyperfibrinolysis, glycocalyx and endothelial damage, vasculature activation, and
inflammation. A strong covariant relationship was evident between catecholamines
and biomarkers of coagulopathy, endotheliopathy, and inflammation at both
admission and 24 h postinjury. CONCLUSIONS: Biomarkers of coagulopathy and
endotheliopathy are associated with poor outcome after TBI. Catecholamine levels
were highly correlated with endotheliopathy and coagulopathy markers within the
first 24 h after injury. Further research is warranted to characterize the
pathogenic role of SNS-mediated hemostatic alterations in isolated TBI.
PMID- 27206279
TI - High Levels of Methylarginines Were Associated With Increased Mortality in
Patients With Severe Sepsis.
AB - INTRODUCTION: Nitric oxide (NO) likely plays a pivotal role in the pathogenesis
of sepsis. Arginine is a substrate for NO, whereas the methylated arginines
asymmetric dimethylarginine (ADMA) and symmetric dimethylarginine (SDMA)-are
endogenous by-products of proteolysis that inhibit NO production.We investigated
if high-plasma levels of ADMA, SDMA, and arginine/ADMA ratio were associated with
90-day mortality in patients with severe sepsis or septic shock. METHODS: We
included 267 adult patients admitted to intensive care unit with severe sepsis or
septic shock. The patients had previously been included in the randomized
controlled trial "Scandinavian Starch for Severe Sepsis and Septic Shock (6S)."
ADMA, SDMA, and arginine/ADMA ratio were measured in plasma. The risk of death
within 90 days was estimated in multivariate Cox regression analyses adjusted for
gender, age >=65 years, major cardiovascular disease, diabetes, hypertension,
respiratory failure, vasopressor treatment, highest quartile of creatinine and
bilirubin, and lowest quartile of platelet count. In the regression analyses
missing values were estimated using multiple imputation. RESULTS: Twenty-five
patients had missing data in one or more of the baseline variables and 44
patients had missing methylarginine values. Both ADMA and SDMA were independently
associated with 90-day mortality (ADMA: hazard ratio 1.54; 95% CI, 1.00-2.38; P =
0.046, and SDMA: hazard ratio 1.78; 95% CI, 1.14-2.72; P = 0.011). Arginine/ADMA
ratio was not associated with 90-day mortality neither in univariate nor in
multivariate analyses. The difference in mortality between patients with high and
low ADMA was most pronounced in the first week after inclusion. CONCLUSIONS: High
levels of ADMA and SDMA in plasma were associated with increased 90-day mortality
in patients with severe sepsis or septic shock. Interfering with the
methylarginine-NO systems may be a novel target in these patients.
PMID- 27206280
TI - Ion mobility spectrometry nuisance alarm threshold analysis for illicit narcotics
based on environmental background and a ROC-curve approach.
AB - The discriminative potential of an ion mobility spectrometer (IMS) for trace
detection of illicit narcotics relative to environmental background was
investigated with a receiver operating characteristic (ROC) curve framework. The
IMS response of cocaine, heroin, methamphetamine, 3,4
methylenedioxymethamphetamine (MDMA), and Delta(9)-tetrahydro-cannabinol (THC)
was evaluated against environmental background levels derived from the screening
of incoming delivery vehicles at a federal facility. Over 20 000 samples were
collected over a multiyear period under two distinct sets of instrument operating
conditions, a baseline mode and an increased desorption/drift tube temperature
and sampling time mode. ROC curves provided a quantifiable representation of the
interplay between sensitivity (true positive rate, TPR) and specificity (1 -
false positive rate, FPR). A TPR of 90% and minimized FPR were targeted as the
detection limits of IMS for the selected narcotics. MDMA, THC, and cocaine
demonstrated single nanogram sensitivity at 90% TPR and <10% FPR, with
improvements to both MDMA and cocaine in the elevated temperature/increased
sampling mode. Detection limits in the tens of nanograms with poor specificity
(FPR ~ 20%) were observed for methamphetamine and heroin under baseline
conditions. However, elevating the temperature reduced the background in the
methamphetamine window, drastically improving its response (90% TPR and 3.8% FPR
at 1 ng). On the contrary, the altered mode conditions increased the level of
background for THC and heroin, partially offsetting observed enhancements to
desorption. The presented framework demonstrated the significant effect
environmental background distributions have on sensitivity and specificity.
PMID- 27206281
TI - Transvaginal Sonography-Guided Core Biopsy of Adnexal Masses as a Useful
Diagnostic Alternative Replacing Cytologic Examination or Laparoscopy in Advanced
Ovarian Cancer Patients.
AB - OBJECTIVE: The aim of this study was to evaluate transvaginal sonography (TVS)
guided core biopsy of the adnexal masses for neoadjuvant chemotherapy (NACT) in
patients with advanced ovarian malignancies. MATERIALS AND METHODS: We
retrospectively reviewed the medical records of 52 patients who had undergone TVS
guided core biopsies in our gynecologic cancer center between May 2009 and
October 2015. TVS-guided core biopsies were performed on patients with advanced
ovarian malignancies who were considered as candidates for NACT and patients with
adnexal masses who required a differential diagnosis of non-gynecologic tumors.
RESULTS: Thirty-seven patients (71.2%) were scheduled to undergo NACT owing to
the presence of coexisting illness, age, tumor burden, and location of metastatic
sites. Fifteen patients (28.8%) underwent TVS-guided core biopsies to determine
if they had primary or secondary ovarian tumors. Histopathologic examinations
revealed primary ovarian tumors in 44 patients (84.6%). Nongynecologic tumors
including gastrointestinal stromal tumor and metastatic tumor from gallbladder,
gastric, and colorectal cancer were found to be the second most common disease (n
= 5 [9.6%]). Findings in the samples were nondiagnostic in 4 patients (5.8%).
With respect to the histological concordance rate between TVS-guided core biopsy
and surgical specimen, diagnostic accuracy was 93.6%. There were no biopsy
related complications. CONCLUSIONS: TVS-guided core biopsy may be a feasible
procedure to diagnose adnexal masses, particularly in patients with advanced
ovarian malignancies who are more likely to benefit from NACT.
PMID- 27206282
TI - Investigating the Impact of Body Mass Index on Intraperitoneal Chemotherapy
Outcomes in Ovarian and Fallopian Tube Cancer.
AB - OBJECTIVES: The aim of this study was to investigate the impact of body mass
index (BMI) on completion, complications, and clinical outcomes of
intraperitoneal (IP) chemotherapy in patients with advanced-stage ovarian cancer.
METHODS: Patients with optimally cytoreduced International Federation of
Gynecology and Obstetrics stage IIIC ovarian cancer treated with IP chemotherapy
were retrospectively identified using an institutional review board-approved
database. Clinical data were abstracted from the longitudinal medical record.
Survival estimates were calculated using the Kaplan-Meier method. RESULTS: Ninety
two patients (35.5%) completed at least one cycle of IP chemotherapy. For these
patients, there was no difference in histology, surgical complexity, or degree of
cytoreduction based on BMI. Sixty-five percent of normal weight, 70% of
overweight, and 59.1% of obese women completed 6 cycles (P = 0.697). There was
also no significant difference in IP chemotherapy complications (P = 0.303). Body
mass index had no impact on disease-free survival (P = 0.44) or overall survival,
with a median overall survival of 68.5 months for normal weight, 65.9 months for
overweight, and 61.7 months for obese women (P = 0.25). However, on multivariate
analysis, obesity had an odds ratio of 2.92 (P = 0.02) for mortality. There was a
trend toward treatment with intravenous chemotherapy (84.2%) over IP (15.8%) in
patients with class II obesity (P = 0.06). DISCUSSION: There was no difference in
completion of IP chemotherapy or complications with respect to BMI; however,
there was a trend away from treatment with IP therapy in extreme obesity. These
data suggest that IP chemotherapy is feasible in obese patients without incurring
increased morbidity.
PMID- 27206283
TI - Predictors of Survival After Recurrence in Women With Early-Stage Endometrial
Carcinoma.
AB - OBJECTIVE: Factors predictive of survival after recurrent early-stage endometrial
carcinoma have not been thoroughly investigated. The purpose of this study was to
explore factors that impact disease-specific survival (DSS) and overall survival
(OS) after recurrence in women with early-stage endometrial carcinoma. MATERIALS
AND METHODS: After institutional review board approval, we identified 104 women
with 2009 International Federation of Gynecology and Obstetrics stage I to II
uterine endometrioid carcinoma who developed disease recurrence between January
1990 and December 2014. The Kaplan-Meier approach and Cox regression analysis
were used to assess DSS and OS after recurrence and to determine factors
influencing these survival end points. RESULTS: Median age of the study cohort
was 65 years with a median follow-up time of 42.8 months after hysterectomy.
Median time to recurrence was 15.8 months. Recurrences were diagnosed in 60
patients (57.7%) who were originally managed with observation after hysterectomy
and in 44 patients (42.3%) who were initially managed with adjuvant radiation
treatment. Fifty-six patients (54%) had pelvic recurrence (vaginal and/or
pelvic), whereas 48 (46%) had extrapelvic recurrence. Five-year DSS and OS for
the entire study population was 44% and 37%, respectively. Five-year DSS and OS
were longer for patients with pelvic recurrence compared with patients with
extrapelvic recurrence (66% vs 18% and 55% vs 17%, P < 0.0001). Five-year DSS was
also longer for radiation-naive patients than for radiation-treated patients (51%
vs 34%, P = 0.023). On multivariate analysis of DSS and OS, pelvic recurrence (P
< 0.001) was the only significant predictor of longer DSS and OS. CONCLUSIONS: In
women with recurrent early-stage endometrioid carcinoma, our study suggests that
site of recurrence (pelvic vs extra pelvic) is the only predictor of survival. In
addition, we found that radiation naivete and pelvic recurrence correlated with
longer DSS and OS.
PMID- 27206284
TI - Validation of Revised FIGO Staging Classification for Cancer of the Ovary,
Fallopian Tube, and Peritoneum Based on a Single Histological Type.
AB - OBJECTIVE: This study aimed to evaluate the prognostic significance of revised
International Federation of Gynecology and Obstetrics (FIGO2013) staging
classification for cancer of the ovary, fallopian tube, and peritoneum in
patients exhibiting high-grade serous histology. METHODS: Clinical records of
patients with high-grade serous carcinoma who underwent primary surgery between
2007 and 2012 were reviewed retrospectively. Patients were reclassified according
to the FIGO2013 criteria. Progression-free survival (PFS) and overall survival
(OS) were calculated for each stage using Kaplan-Meier estimates and compared
with the log-rank test. RESULTS: In total, 125 patients were included in the
analysis. The distribution of the study cohort according to the revised
classification was as follows; stage I, 6 patients; stage II, 9 patients; stage
III, 85 patients; and stage IV, 25 patients. Median follow-up time was 36 months
(95% confidence interval [CI], 3-110). The median PFS and OS were 14 months (95%
CI, 12.4-15.6) and 60 months (95% CI, 47.0-72.9), respectively. Both PFS and OS
were significantly different among stages I, II, III, and IV (P < 0.01). Subgroup
analyses for stage III disease also revealed significant differences in survival.
The median PFS for stages IIIA1, IIIB, and IIIC was 56, 46, and 16 months,
respectively (P < 0.01), and the median OS was 104, 95, and 60 months,
respectively (P = 0.03). The outcomes of patients with stage IV disease differed
slightly but nonsignificantly according to new substages. The median PFS for
stages IVA and IVB was 12 and 6 months, respectively (hazard ratio, 1.16; 95% CI,
0.48-2.79; P = 0.72), and the median OS was 41 and 24 months, respectively
(hazard ratio, 1.62; 95% CI, 0.58-4.55; P = 0.35). The study sample was
insufficient in size for subgroup analyses in stages I and II. CONCLUSIONS: The
revised FIGO2013 staging system is highly prognostic for discriminating outcomes
of patients with high-grade serous carcinoma across stages I to IV, in subgroups
of stage III, but not in subgroups of stage IV.
PMID- 27206287
TI - Oncology Nursing Is Evidence-Based Care.
AB - This issue of the Clinical Journal of Oncology Nursing (CJON) will be the final
time that you will see the Evidence-Based Practice (EBP) feature column. Why?
Because we have seen oncology nursing evolve in the past 20 years and EBP is
everywhere! We use it in our clinics and hospital units, incorporate it into
decisions about symptom management, and use evidence to develop survivorship
guidelines. We discuss EBP in journal clubs and use applications on mobile
devices to find the best interventions for our patients. We have oncology nurses
sitting on committees to develop guidelines based on the best evidence and expert
opinion. We have come a long way and it is our belief that EBP is included in
almost every article in CJON and, therefore, a need no longer exists for an
individual column about EBP. ?.
PMID- 27206285
TI - Validation of a Biomarker Panel and Longitudinal Biomarker Performance for Early
Detection of Ovarian Cancer.
AB - OBJECTIVES: Longitudinal multimarker combinations have the potential to improve
sensitivity while maintaining the high specificity required for the early
detection of ovarian cancer. The use of multiple markers to improve sensitivity
over cancer antigen 125 (CA125) in longitudinal algorithms for early ovarian
cancer detection requires the selection of markers with optimal discriminatory
power and low longitudinal variance relative to disease-initiated changes. Our
objective was to identify a multimarker panel suitable for ovarian cancer, where
each individual marker has its own baseline, permitting longitudinal algorithm
development. MATERIALS AND METHODS: In this retrospective study, we measured
CA125, human epididymis protein 4 (HE4), matrix metalloproteinase-7 (MMP-7), CA72
4, CA19-9, CA15-3, carcinoembryonic antigen, and soluble vascular cell adhesion
molecule (sVCAM) concentrations using immunoassays in pretreatment sera from 142
stage I ovarian cancer cases and 5 annual samples each from 217 healthy controls.
After random division into training and validation sets, all possible biomarker
combinations were explored exhaustively using linear classifiers to identify the
panel with the greatest sensitivity for stage I disease at a high specificity of
98%. To evaluate longitudinal performance of the individual markers, the within
person over time and the between-person coefficient of variation (CV) were
estimated. Hierarchical modeling across women of log-concentrations enabled the
borrowing of information across subjects to moderate variance estimates given the
small number of observations per subject. RESULTS: The 4-marker panel comprising
CA125, HE4, MMP-7, and CA72-4 performed with the highest sensitivity (83.2%) at
98% specificity. The within-person CVs were lower for CA125, HE4, MMP-7, and CA72
4 (15%, 25%, 25%, and 21%, respectively) compared with their corresponding
between-person CV (49%, 20%, 35%, and 84%, respectively) indicating baselines in
healthy volunteers. After simple log-transformations, the within-volunteer
variation across volunteers was modeled with a normal distribution permitting
parsimonious hierarchical modeling. CONCLUSIONS: The multiplex panel chosen is
suitable for the early detection of ovarian cancer and the individual markers
have their own baseline permitting longitudinal algorithm development.
PMID- 27206286
TI - Prognostic Use of Pretreatment Hematologic Parameters in Patients Receiving
Definitive Chemoradiotherapy for Cervical Cancer.
AB - OBJECTIVES: The aim of this work was to evaluate the prognostic role of
pretreatment neutrophil-to-lymphocyte ratio (NLR) and platelet-to-lymphocyte
ratio (PLR) in recipients of definitive chemoradiotherapy (ChRT) for cervical
cancer. METHODS: In 235 patients given definitive ChRT for histologically
confirmed cervical cancer, clinical data and pretreatment complete blood cell
counts were analyzed. Prognostic and therapeutic ramifications of NLR and PLR
were assessed. RESULTS: Median pretreatment NLR and PLR were 3.03 (range, 1.04
13.03) and 133.02 (range, 36.3-518.16), respectively. Both NLR and PLR correlated
significantly with tumor size, lymph node metastasis, and treatment response. In
addition to NLR and PLR, tumor stage, size, and nodal metastasis were identified
by univariate analysis as significant predictors of overall survival (OS) and
progression-free survival (PFS). By multivariate analysis, independent predictors
of OS and PFS were NLR (OS: hazard ratio [HR], 3.322; 95% confidence interval
[CI], 1.905-5.790; PFS: HR, 3.579; 95% CI, 2.106-6.082; both P < 0.001) and lymph
node metastasis (OS: HR, 2.620; 95% CI, 1.706-4.023; PFS: HR, 2.989; 95% CI,
1.918-4.378; both P < 0.001), although patients' age (HR, 1.019; 95% CI, 1.003
1.035; P = 0.02) was also significantly predictive of OS. CONCLUSIONS:
Pretreatment NLR and PLR were associated with larger tumors, lymph node
metastasis, and poorer therapeutic responses to definitive ChRT. By multivariate
analysis, pretreatment NLR and lymph node metastasis were found independently
predictive of OS and PFS, whereas patients' age was significantly predictive of
OS only. In patients with advanced cervical cancer, NLR is a potential biomarker,
serving to guide systemic therapy and predict treatment outcomes.
PMID- 27206288
TI - The Nurse's Role in Health Literacy of Patients With Cancer.
AB - Patients with cancer are often faced with complex diagnoses that require decision
making in a highly stressful environment. The role of the healthcare team is to
ensure that patients have the information, tools, and resources needed to make
informed decisions. However, low health literacy is a common and undervalued
factor in the outcomes of patients, particularly those with cancer.
PMID- 27206289
TI - Improving Transitions of Care With an Advanced Practice Nurse: A Pilot Study.
AB - Gaps in complex oncology care coordination between inpatient and outpatient
settings can result in treatment and monitoring delays and omissions, which can
negatively affect patient outcomes. Gaps also exist for patients facing complex
treatment modalities and collaborations between multiple care teams working at
geographically distant sites. A pilot advanced practice nurse care coordinator
?(APNCC) role to coordinate these complex care transitions and implement
processes for safer and more efficient care has shown promise.?.
PMID- 27206290
TI - Dyspnea and Delirium at the End of Life.
AB - This article focuses on the symptoms of dyspnea and delirium, which can be
extremely distressing to patients with cancer at the end of life. Oncology nurses
are well suited to detect and treat these symptoms.??.
PMID- 27206291
TI - Microwave Ablation for Palliation of Bone Metastases.
AB - Bone metastases are the most common source of pain for patients with cancer. For
pain that is refractory to conventional measures, microwave ablation (MWA) is an
emerging alternative therapy. Studies show that MWA is effective in reducing pain
and analgesic requirements while improving function. This article describes
studies of MWA that include patients with bone metastases to a variety of
locations from a range of primary malignancies. Although studies are limited, MWA
has proven to be well tolerated with impressive efficacy. ?.
PMID- 27206292
TI - Nursing Application of Oral Chemotherapy Safety Standards:An Informal Survey.
AB - As the use of oral chemotherapy continues to rise, new approaches are needed to
ensure patient safety. To help address this issue, the American Society of
Clinical Oncology/Oncology Nursing Society (ONS) Chemotherapy Administration
Safety Standards were expanded in 2013 to include additional measures addressing
oral anticancer drugs (OACs). Because minimal data assessing the application of
these standards exist, ONS conducted an independent survey of oncology nurses to
evaluate the application of these standards in practice as they relate to several
areas of OAC use: assessment, consent, patient education, drug verification, and
monitoring. The data revealed that, although the standards are followed in many
settings, a large number of settings do not have processes in place to support
safety standards and ensure patient safety when administering OACs. Information
gained in this informal survey can be used to guide additional research and
educational initiatives.
PMID- 27206294
TI - Implementing the Surviving Sepsis Campaign in an Ambulatory Clinic for Patients
With Hematologic Malignancies.
AB - BACKGROUND: Infectious complications can occur in patients receiving cancer
treatment and are the most common cause of death not directly related to
malignancy. Established international best practices for recognition and
management of early sepsis with bundled interventions reduce sepsis-related
morbidity and mortality in many patient populations. Integration of these
practices is common in emergency departments but has not been documented in
ambulatory oncology clinics, where many patients with cancer present for
evaluation of infectious symptoms. OBJECTIVES: The current quality improvement
project embedded sepsis best practices into routine care for ambulatory clinic
patients receiving chemotherapy or undergoing hematopoietic stem cell
transplantation for hematologic disease or malignancies. METHODS: An
interprofessional protocol was implemented that included guideline-based
universal screening, nurse-activated standing orders for recommended
interventions, and clinician-supported decision making for the first six hours.
FINDINGS: Evaluation of implementation of the protocol showed improved timeliness
and adherence to sepsis practice guidelines. Postintervention adherence to
threshold times for obtaining blood cultures and blood lactate and start of
antibiotics showed improvement. All recommended interventions were completed
within the target time frame for the majority of patients.
PMID- 27206293
TI - Applying the Chronic Care Model to Support Ostomy Self-Management: Implications
for Oncology Nursing Practice.
AB - BACKGROUND: Living with an ostomy requires daily site and equipment care,
lifestyle changes, emotional management, and social role adjustments. The Chronic
Care Ostomy Self-Management Training Program (CCOSMTP) offers an ostomy self
management curriculum, emphasizing problem solving, self-efficacy, cognitive
reframing, and goal setting. OBJECTIVES: The qualitative method of content
analysis was employed to categorize self-reported goals of ostomates identified
during a nurse-led feasibility trial testing the CCOSMTP. METHODS: Thirty-eight
ostomates identified goals at three CCOSMTP sessions. The goals were classified
according to the City of Hope Health-Related Qualify of Life Model, a validated
multidimensional framework, describing physical, psychological, social, and
spiritual ostomy-related effects. Nurse experts coded the goals independently and
then collaborated to reach 100% consensus on the goals' classification. FINDINGS:
A total of 118 goals were identified by 38 participants. Eighty-seven goals were
physical, related to the care of the skin, placement of the pouch or bag, and
management of leaks; 26 were social goals, which addressed engagement in social
or recreational roles and daily activities; and 5 were psychological goals, which
were related to confidence and controlling negative thinking. Although the goals
of survivors of cancer with an ostomy are variable, physical goals are most
common in self-management training.
PMID- 27206295
TI - A Self-Administered Sleep Intervention for Patients With Cancer Experiencing
Insomnia.
AB - BACKGROUND: Sleep-wake disturbances are experienced by as many as 75% of patients
with cancer and are associated with poor symptom management, lower functionality,
and decreased quality of life. Although promising sleep interventions exist, they
require extensive resources and time. OBJECTIVES: The objectives of this study
were to develop a brief, self-administered sleep intervention and to evaluate the
feasibility and potential efficacy of its implementation with adult patients with
cancer who were about to receive, were receiving, or had received radiation
therapy in an ambulatory cancer care setting. METHODS: Pre- and postintervention
surveys and qualitative interviews were conducted with patients with cancer
experiencing insomnia (N = 28) and receiving radiation treatment within the past
six months. Patients received instruction on breathing, visualization, and
intonation. Adherence and sleep quality were primary study outcomes. Analyses
included descriptive statistics and repeated measure regression analysis.
Thematic analysis was conducted on qualitative data. FINDINGS: Adherence to the
sleep intervention was high (75%), and significant improvement was found in
global sleep quality (p < 0.0001) regardless of level of adherence. Sleep onset
latency (p = 0.0005), sleep duration (p = 0.0016), and sleep quality (p < 0.0001)
were significantly improved. Age was significantly correlated with sleep quality
(p = 0.0094), with older participants reporting greater benefit from the
intervention. Participants reported that the intervention was easy to learn and
implement and that it "calmed the mind."
PMID- 27206297
TI - Early Recognition and Management of Posterior Reversible Encephalopathy Syndrome:
A Newly Recognized Complication in Patients Receiving Tyrosine Kinase Inhibitors.
AB - BACKGROUND: Adult patients with cancer receiving antineoplastic, targeted, and
other immunosuppressive therapies are at risk for severe side effects. Studies
link posterior reversible encephalopathy syndrome (PRES) with immunosuppressants
used for patients undergoing transplantation, as well as select tyrosine kinase
inhibitors (TKIs) and other targeted therapies used in patients with cancer. PRES
is a reversible condition with early recognition and management; however,
permanent neurologic toxicities have been reported. OBJECTIVES: This article aims
to educate oncology nurses on signs, symptoms, and management of PRES in patients
receiving TKIs. METHODS: The literature was reviewed to develop an educational
session about causes, manifestations, pathophysiology, and management of PRES.
Using a case study and flipped classroom model, staff participated in an online
lecture and concept engagement exercise. Education for nurses included frequent
neurologic and mental status assessments, blood pressure monitoring with mean
arterial blood pressure goal, and seizure precautions. Nursing knowledge was
evaluated with pre- and post-testing. FINDINGS: Evaluation revealed improved
knowledge in recognizing and managing patients with PRES related to TKIs. The
flipped classroom approach was perceived as a valuable tool for busy staff
nurses.
PMID- 27206296
TI - From Student to Practicing Oncology Nurse: A Novel Collaboration to Create a
Transition to Practice Program in Ambulatory Cancer Care.
AB - BACKGROUND: Healthcare reform and the shift of care to the ambulatory setting has
created challenges for preparing nurses to practice in these complex clinical
settings. Oncology is an area where dramatic transitions to ambulatory care have
occurred, and the ambulatory oncology setting holds great potential for teaching
evidence-based care to nursing students. OBJECTIVES: The article summarizes the
collaboration between a baccalaureate nursing program and a cancer clinic to
establish a dedicated education unit (DEU). METHODS: A pilot project was
undertaken to create the DEU and residency program. FINDINGS: The collaboration
has provided a clinical setting for baccalaureate nursing students to learn and
develop clinical competencies, advance their critical thinking skills, and
enhance advanced pathophysiology knowledge. The scope of the program includes a
transition-to-practice model which maximizes the use of the DEU as students
graduate and are eligible to apply for the oncology residency program. The DEU
has created a pipeline for new nurses.
PMID- 27206298
TI - Clinical Management of Patients With Thalassemia Syndromes.
AB - BACKGROUND: Thalassemia is a chronic inherited blood disorder that reduces
hemoglobin production, causing chronic hemolytic anemia. Patients often are
diagnosed via newborn screening programs. Patients diagnosed with the most severe
form of thalassemia often require chronic red blood cell transfusions to control
their anemia. The side effect of chronic transfusions is cumulative iron overload
for which chelation therapy is required. The incidence of thalassemia is low;
therefore, care is best delivered at specialized treatment centers that offer
multidisciplinary coordination. OBJECTIVES: This article reviews the diagnosis,
management, and curative options for thalassemia. METHODS: This review follows a
hypothetical patient with thalassemia and his family through the major stages of
the disease. FINDINGS: Increasing knowledge about thalassemia and its management
among healthcare providers can improve patient outcomes and quality of life.
PMID- 27206299
TI - Programmed Death-1 Inhibition in Cancer With a Focus on Non-Small Cell Lung
Cancer: Rationale, Nursing Implications, and Patient Management Strategies.
AB - BACKGROUND: Programmed death-1 (PD-1) immune checkpoint inhibitors are novel
immuno-oncology agents. Unlike chemotherapy or targeted agents, which inhibit
tumor cell proliferation or induce tumor cell death, immune checkpoint inhibitors
are designed to stimulate a patient's own immune system to eliminate tumors. As a
result of their mechanism of action, PD-1 pathway inhibitors are associated with
adverse events (AEs) with immunologic etiologies, termed immune-mediated AEs
(imAEs). These include skin and gastrointestinal AEs, and endocrine, hepatic,
renal, and respiratory AEs, including pneumonitis. Most imAEs can be effectively
managed with treatment interruption/discontinuation and/or steroids or other
immunosuppressive agents. A specialist consult may be required in some cases, and
endocrine imAEs may require permanent hormone replacement therapy. OBJECTIVES:
This article provides an overview of PD-1 inhibitors, including the potential
mechanism of action, key clinical trial data, and strategies for managing
patients who may receive PD-1 inhibitors for the treatment of non-small cell lung
cancer. METHODS: Information in the article comes from PubMed literature searches
and the author's experience with these agents in clinical trials. FINDINGS:
Oncology clinicians must thoroughly assess baseline functioning and symptoms and
be vigilant for imAEs, which require prompt diagnosis and management. A good
understanding of the clinical profile of PD-1 pathway inhibitors is instrumental
in helping clinicians manage patients receiving these new therapies.
PMID- 27206300
TI - Use of a Point-of-Care Tool to Improve Nurse Practitioner BRCA Knowledge.
AB - BACKGROUND: Women who have been identified with a BRCA mutation benefit from a
multidisciplinary, individualized medical evaluation to reduce their risk of
developing cancers. Identifying women who would gain from testing for BRCA
mutations is essential. Nurse practitioners (NPs) as primary care providers are
important members of the healthcare team and are instrumental in identifying and
referring women for testing. However, studies have shown that NPs lack knowledge
about and confidence in identifying women at risk. OBJECTIVES: This project was
undertaken to increase NP knowledge about assessing women at risk for the BRCA
mutation and determining whether such testing is appropriate. This was
accomplished through a BRCA risk assessment tool developed as a mobile health
technology (MHT) application using the Ontario Family History Assessment Tool,
one of the tools recommended by the U.S. Preventive Services Task Force in its
guidelines on BRCA-related cancer risk assessment, genetic counseling, and
genetic testing to assist primary care providers in the assessment of women.
METHODS: NPs attending an NP conference in the midwestern United States completed
pre-test, post-test, and satisfaction surveys regarding use of the MHT
application. The application included a point-of-care tool and educational
information. FINDINGS: The participants demonstrated increased knowledge from pre
to post-test after use of the MHT application, with an overall positive
evaluation.
PMID- 27206301
TI - Impact of Collaborative Evidence-Based Breast Cancer Survivorship Care Guidelines
on Survivors and Providers.
AB - Breast cancer survivors face many challenges stemming from both their disease and
its treatment. In December 2015, the American Cancer Society and the American
Society of Clinical Oncology released collaborative evidence-based breast cancer
survivorship care guidelines for female breast cancer survivors and their primary
care providers. This article discusses these recommendations for surveillance,
screening, and management of both long-term and late effects of breast cancer and
treatment.?.
PMID- 27206302
TI - Improving the Education and Training of Primary Care Nurse Practitioners to Meet
the Healthcare Needs of Long-Term Cancer Survivors.
AB - The United States has a well-documented shortage of primary care providers (PCPs)
and oncologists. Cancer survivors are living longer because of advances in
treatment, and, consequently, more are seeking survivorship care from PCPs; this
trend is predicted to continue. One proposed solution is to increase the use of
nurse practitioners (NPs). However, most NP programs do not provide adequate
training or education that is specific to the needs of long-term cancer
survivors. .
PMID- 27206303
TI - Standardized Nursing Data and the Oncology Nurse.
AB - Oncology nurses are experts in conducting comprehensive assessments of symptoms
and patient responses to treatments, but documentation in electronic health
records frequently results in data that cannot be readily shared or compared
because of a lack of standardization of the terms. Standardized nursing
terminology can enhance communication among nurses and between nurses and other
members of the healthcare team. It can improve care coordination and may enable
nurses to capture and make visible the unique, holistic perspective that they
provide to patient care. Standardization also is important for large-scale data
aggregation, which will enable healthcare teams to learn about particular subsets
of patients so that care can be tailored to individual characteristics and
responses.
PMID- 27206304
TI - Journey of a Woman With Terminal Cervical Cancer.
AB - When a cervical cancer diagnosis is made during a terminal stage, a woman is
faced with many challenges. Although a terminal illness has many negative
effects, such as physical pain, scarring, fear, and sexual dysfunction, women may
experience a positive impact on their life, such as improved well-being and a
greater appreciation of daily life. The individual experience can lead to
personal revelations. Sometimes, the diagnosis can even be seen as a blessing.
Understanding a personal experience in a real-life context of the terminal stages
of disease is important. This story shares the day-to-day journey of a woman
living with a terminal illness of cervical cancer.
PMID- 27206305
TI - Supporting One Another for 40 Years.
AB - The Oncology Nursing Society's (ONS's) 40th anniversary stimulated our
reflections on the professional and personal benefits of caring for people with
cancer. We wanted to share a story about an oncology nurse support group that has
continued to meet every six weeks for 40 years. Initially, we were all 30-49
years old and are now 70-89! ?.
PMID- 27206306
TI - Energy Through Motion(c): An Evidence-Based Exercise Program to Reduce Cancer
Related Fatigue and Improve Quality of Life.
AB - BACKGROUND: Evidence supports addressing cancer-related fatigue (CRF) with
activity. Activity promotion is feasible during and following cancer treatment
and improves patient outcomes. OBJECTIVES: This project provided an evidence
based activity program for adult cancer survivors after treatment to reduce CRF
and improve quality of life. METHODS: The Iowa Model of Evidence-Based Practice
to Promote Quality Care guided development of the activity promotion evidence
based practice project. This included evidence review, creation of an evidence
based activity intervention, and evaluation of the practice change. Two groups
participated in the project; one group, the usual care group, provided baseline
data and received "usual" instructions for activity, fatigue, and sleep, whereas
the Energy Through Motion(c) (ETM) activity group received a three-month activity
intervention with prepackaged ETM kits and follow-up phone calls. FINDINGS:
Patients in the ETM activity group had increased activity levels, whereas those
in the usual care group had decreased activity levels. Fatigue levels in the ETM
activity group decreased, and quality of life improved. This project supports
nurse-led activity promotion as useful in addressing CRF and feasible for use in
a busy clinical setting.
PMID- 27206308
TI - The Effects of Yoga, Massage, and Reiki on Patient Well-Being at a Cancer
Resource Center.
AB - BACKGROUND: Cancer resource centers offer patients a variety of therapeutic
services. However, patients with cancer and cancer healthcare practitioners may
not fully understand the specific objectives and benefits of each service. This
research offers guidance to cancer healthcare practitioners on how they can best
direct patients to partake in specific integrative therapies, depending on their
expressed needs. OBJECTIVES: This article investigates the effects of yoga,
massage, and Reiki services administered in a cancer resource center on patients'
sense of personal well-being. The results show how program directors at a cancer
resource center can customize therapies to meet the needs of patients' well
being. METHODS: The experimental design measured whether engaging in yoga,
massage, or Reiki services affects the self-perceived well-being of 150 patients
at a cancer resource center at two times. FINDINGS: All three services helped
decrease stress and anxiety, improve mood, and enhance cancer center patrons'
perceived overall health and quality of life in a similar manner. Reiki reduced
the pain of patients with cancer to a greater extent than either massage or yoga.
PMID- 27206307
TI - Latina Women and Cervical Cancer Screening: Decisional Balance and Self-Efficacy.
AB - BACKGROUND: Latina women in the United States have greater cervical cancer
mortality rates than non-Latina women because of their low rates of Papanicolau
(Pap) smear screening. OBJECTIVES: The purpose of this article is to assess
differences in perceived benefits, perceived barriers, and self-efficacy among
Latina women to obtain Pap smears using the framework of the Transtheoretical
Model. METHODS: A descriptive design with a snowball sample was used. The
researchers assessed demographics, three perceived benefits, 12 barriers, and
seven self-efficacy measures for 121 Latina women in northern Nevada. FINDINGS:
Participants in precontemplation and relapse perceived greater barriers than
those in action and maintenance for three items.
PMID- 27206309
TI - The Case for Lung Cancer Screening: What Nurses Need to Know.
AB - BACKGROUND: Lung cancer screening with low-dose helical computed tomography
(LDCT) can improve high-risk individuals' chances of being diagnosed at an
earlier stage and increase survival. OBJECTIVES: The aims of this article are to
present the risk factors associated with the development of lung cancer, identify
patients at high risk for lung cancer qualifying for LDCT screening, and
understand the importance of early lung cancer detection through the use of LDCT
screening. METHODS: PubMed and CINAHL(r) databases were searched with key words
lung cancer screening to identify full-text academic articles from 2004-2014.
This resulted in 529 articles from PubMed and 195 from CINAHL. PubMed offered
suggestions for additional relevant journal articles. The National Comprehensive
Cancer Network guidelines also provided substantial evidence-based information.
FINDINGS: Nurses need to provide support, education, and resources for patients
undergoing lung cancer screening.
PMID- 27206311
TI - Current practice in septal surgery and adjunctive turbinate reduction - A
multisite experience in 226 consecutive cases.
PMID- 27206310
TI - Phosphatidylserine on blood cells and endothelial cells contributes to the
hypercoagulable state in cirrhosis.
AB - BACKGROUND & AIMS: The mechanism of thrombogenicity in cirrhosis is largely
unknown. Our objective was to study the relationship between phosphatidylserine
on blood cells and endothelial cells and the hypercoagulable state in cirrhotic
patients. METHODS: Patients with cirrhosis and healthy controls were studied.
Lactadherin was used to quantify phosphatidylserine exposure on blood cells and
endothelial cells. Procoagulant activity of cells was evaluated using clotting
time and purified coagulation complex assays. Fibrin production was determined by
turbidity. Phosphatidylserine exposure, fibrin strands and FVa/Xa binding on
cells were observed using confocal microscopy. RESULTS: Our study showed that
phosphatidylserine exposure on erythrocytes, platelets and leucocytes in
cirrhotic patients increased progressively with Child-Pugh categories. In
addition, we found that endothelial cells treated with cirrhotic serum in vitro
exposed more phosphatidylserine than those exposed to healthy serum. The exposed
phosphatidylserine supported a shorter coagulation time and increased FXa,
thrombin and fibrin formation. Notably, phosphatidylserine+ erythrocytes also
promoted shorter coagulation times and more fibrin generation in cirrhotic
microparticle-depleted plasma, regardless of Child-Pugh categories. Confocal
microscopy data showed that the FVa/FXa complex and fibrin fibrils colocalized
with phosphatidylserine on endothelial cells. Lactadherin significantly inhibited
FXa and thrombin generation and consequently decreased fibrin production in
normal or cirrhotic plasma. CONCLUSIONS: These results lead us to believe that
exposed phosphatidylserine on activated or injured erythrocytes, platelets,
leucocytes and endothelial cells plays an important role in the hypercoagulable
state in cirrhotic patients. Thus, blocking phosphatidylserine binding sites
might be a new therapeutic target for preventing thrombosis.
PMID- 27206313
TI - Orthographic units in the absence of visual processing: Evidence from sublexical
structure in braille.
AB - Reading relies on the recognition of units larger than single letters and smaller
than whole words. Previous research has linked sublexical structures in reading
to properties of the visual system, specifically on the parallel processing of
letters that the visual system enables. But whether the visual system is
essential for this to happen, or whether the recognition of sublexical structures
may emerge by other means, is an open question. To address this question, we
investigate braille, a writing system that relies exclusively on the tactile
rather than the visual modality. We provide experimental evidence demonstrating
that adult readers of (English) braille are sensitive to sublexical units.
Contrary to prior assumptions in the braille research literature, we find strong
evidence that braille readers do indeed access sublexical structure, namely the
processing of multi-cell contractions as single orthographic units and the
recognition of morphemes within morphologically-complex words. Therefore, we
conclude that the recognition of sublexical structure is not exclusively tied to
the visual system. However, our findings also suggest that there are aspects of
morphological processing on which braille and print readers differ, and that
these differences may, crucially, be related to reading using the tactile rather
than the visual sensory modality.
PMID- 27206312
TI - Robust representation of shape in a Grey parrot (Psittacus erithacus).
AB - A Grey parrot, Griffin (Psittacus erithacus), previously taught English labels
for various colors and shapes with respect to three-dimensional (3D) stimuli, was
tested on his ability to transfer to very different two-dimensional (2D) images
consisting of modal and amodal completion stimuli. For modal completion (aka
subjective contours), Kanizsa figures were constructed using black 'pac-men' to
form regular polygons on colored paper. For amodal completion, portions of
variously colored regular 2D polygons were occluded by black circles or other
black figures. For each task, Griffin provided a vocal English shape label for
five possible shapes designated by their vertices (one, two, three, four, six).
His accuracy was high for both amodal completed figures, including probe stimuli
(28/38 correct) and modally completed figures (29/38 correct), with chance=0.20.
The modally completed case (i.e., Kanizsa subjective figures) is of particular
importance as there are no shared image parts between training and testing
stimuli. We draw several conclusions from these results. First, a surface level
completion process is fully operative insofar as Griffin was able to correctly
identify shapes that differed considerably from training images. Second, because
parrots can generalize from shapes of real objects to drawings where original
image contours were clearly absent, the data provide a compelling example of
shape invariance, indicating that visual shapes are processed far beyond that of
their image description. Third, parrots with a repertoire of multiple vocal
responses can be rigorously tested for visual competencies, an option as yet to
be tried in other experimental animals.
PMID- 27206314
TI - Metallocalixarene catalysts: alpha-olefin polymerization and ROP of cyclic
esters.
AB - This perspective review discusses metallocalix[n]arene complexes that have been
employed in either alpha-olefin polymerization or in the ring opening
polymerization (ROP) of cyclic esters over the last 5 years. Synthesis, molecular
structure and catalytic potential are discussed. For alpha-olefin polymerization,
systems based on early transition metals in combination with calix[n]arenes (n =
4, 6 or 8), depleted calix[4]arenes or thia/sulfinyl/sulfonyl calix[4]arenes have
been reported, and in some cases, are highly active. For the ROP studies, a
number of the systems, typically of the early transition metals, only exhibit
activity under robust conditions, whereas other systems, for example those of
magnesium, demonstrate exceptional activity, immortal behaviour and intriguing
stereoselectivity.
PMID- 27206315
TI - Oxidative metabolism drives inflammation-induced platinum resistance in human
ovarian cancer.
AB - Tumour cells have long been considered defective in mitochondrial respiration and
mostly dependent on glycolytic metabolism. However, this assumption is currently
challenged by several lines of evidence in a growing number of tumours. Ovarian
cancer (OC) is one of the most lethal cancers worldwide, but it continues to be a
poorly understood disease and its metabolic features are far to be elucidated. In
this context, we investigated the role of tumour necrosis factor receptor
associated protein 1 (TRAP1), which is found upregulated in several cancer types
and is a key modulator of tumour cell metabolism. Surprisingly, we found that
TRAP1 expression inversely correlated with grade, stage and lower survival in a
large cohort of OC patients. Accordingly, TRAP1 silencing induced resistance to
cisplatin, resistant cells showed increased oxidative metabolism compared with
their sensitive counterpart, and the bioenergetics cellular index of higher grade
tumours indicated increased mitochondrial respiration. Strikingly, cisplatin
resistance was reversible upon pharmacological inhibition of mitochondrial
oxidative phosphorylation by metformin/oligomycin. At molecular level, increased
oxidative metabolism in low TRAP1-expressing OC cells and tissues enhanced
production of inflammatory mediators such as interleukin (IL)-6 and IL-8.
Mechanistically, we identified members of the multidrug resistance complex (MDR)
as key mediators of such metabolism-driven, inflammation-induced process. Indeed,
treatment of OC cell lines with TNFalpha and IL6 induced a selective increase in
the expression of TAP1 and multidrug resistance protein 1, whereas TAP1 silencing
sensitized cells to cisplatin-induced apoptosis. Our results unveil a novel role
for TRAP1 and oxidative metabolism in cancer progression and suggest the
targeting of mitochondrial bioenergetics to increase cisplatin efficacy in human
OC.
PMID- 27206317
TI - The role of the low-density lipoprotein receptor-related protein 1 (LRP-1) in
regulating blood-brain barrier integrity.
AB - The blood-brain barrier (BBB) is a protective structure that helps maintaining
the homeostasis in cerebral microenvironment by limiting the passage of molecules
into the brain. BBB is formed by closely conjugated endothelial cells, with
astrocytic endfeet surrounded and extracellular matrix (ECM) consolidated.
Numerous neurological diseases can cause disturbance of BBB, leading to brain
edema and neurological dysfunctions. The low-density lipoprotein (LDL) receptor
related protein 1 (LRP-1), a member of the LDL receptor gene family, is involved
in a lot of important processes in the brain under both physiological and
pathological conditions. As a membrane receptor, LRP-1 interacts with a variety
of ligands and mediates the internalization of several important substances. LRP
1 is found responsible for inducing the opening of BBB following ischemic attack.
It has also been reported that LRP-1 regulates several tight junction proteins
and mediates the clearance of major ECM-degrading proteinases. In this review, we
briefly discussed the role of LRP-1 in regulating BBB integrity by modulating
tight junction proteins, endothelial cells and the remodeling of ECM.
PMID- 27206316
TI - Focused screening of mitochondrial metabolism reveals a crucial role for a tumor
suppressor Hbp1 in ovarian reserve.
AB - Granulosa cells (GCs) are tightly associated with fertility and the fate of
ovarian follicles. Mitochondria are the central executers of apoptosis. However,
the genetic basis underlying mitochondrial modulation in GCs during the ovarian
development is poorly understood. Here, CRISPR/Cas9-mediated genetic screening
was used to identify genes conferring mitochondrial metabolism in human GCs. The
results uncovered roles for several tumor suppressors, including HBP1, in the
augmentation of mitochondrial function. Focused analysis revealed that high
mobility group (HMG)-box transcription factor 1 (Hbp1) levels regulate
mitochondrial biogenesis, which is associated with global changes in
transcription including Tfam. The systemic or granulosa-specific but not oocyte
specific ablation of Hbp1 promoted follicle growth and oocyte production, and is
associated with the reduced apoptotic signals in mouse GCs. Consistent with
increased mitochondrial function and attenuated GC apoptosis, the regulation of
Hbp1 conferred substantial protection of ovarian reserve. Thus, the results of
the present study provide a critical target to understand the control of the
reproductive lifespan.
PMID- 27206318
TI - Synaptic and extrasynaptic traces of long-term memory: the ID molecule theory.
AB - It is generally assumed at the time of this writing that memories are stored in
the form of synaptic weights. However, it is now also clear that the synapses are
not permanent; in fact, synaptic patterns undergo significant change in a matter
of hours. This means that to implement the long survival of distant memories (for
several decades in humans), the brain must possess a molecular backup mechanism
in some form, complete with provisions for the storage and retrieval of
information. It is found below that the memory-supporting molecules need not
contain a detailed description of mental entities, as had been envisioned in the
'memory molecule papers' from 50 years ago, they only need to contain unique
identifiers of various entities, and that this can be achieved using relatively
small molecules, using a random code ('ID molecules'). In this paper, the
logistics of information flow are followed through the steps of storage and
retrieval, and the conclusion reached is that the ID molecules, by carrying a
sufficient amount of information (entropy), can effectively control the
recreation of complex multineuronal patterns. In illustrations, it is described
how ID molecules can be made to revive a selected cell assembly by waking up its
synapses and how they cause a selected cell assembly to ignite by sending slow
inward currents into its cells. The arrangement involves producing multiple
copies of the ID molecules and distributing them at strategic locations at
selected sets of synapses, then reaching them through small noncoding RNA
molecules. This requires the quick creation of entropy-rich messengers and
matching receptors, and it suggests that these are created from each other by
small-scale transcription and reverse transcription.
PMID- 27206319
TI - Plicosepalin A, a new antioxidant catechin-gallic acid derivative of inositol
from the mistletoe Plicosepalus curviflorus.
AB - Phytochemical investigation of the semi-parasitic plant, Plicosepalus curviflorus
(Loranthaceae) growing in Saudi Arabia resulted in the isolation of a new
catechin-gallic acid derivative of inositol, plicosepalin A (1) [(+) catechin-4'
O-(1"-O-galloyl-5"-O-methyl)-myo-inositol], along with seven known compounds:
methyl gallate (2), catechin (3), quercetin (4), gallic acid (5), lupeol (6),
beta-sitosterol (7), and ursolic acid (8). Their structures were elucidated on
the basis of spectroscopic analyses, including HRESIMS, ESIMS, 1H and 13C NMR,
HSQC, and HMBC, as well as comparison with reported data. The antioxidant and
antimicrobial activities of 1 were evaluated using 2,2-diphenyl-1-picrylhydrazyl
(DPPH) and the disc diffusion assay, respectively. Compound 1 exhibited potent
free radical scavenging activity with an IC50 value of 9.0 +/- 0.27 MUM.
Moreover, significant activities against Staphylococcus aureus and Bacillus
subtilis were recorded.
PMID- 27206320
TI - Laboratory economics. Risk or opportunity?
PMID- 27206322
TI - Acquired hemophilia A and fulminant diabetes mellitus possibly caused by
adalimumab in a patient with psoriatic arthritis.
PMID- 27206321
TI - Inference of kinship using spatial distributions of SNPs for genome-wide
association studies.
AB - BACKGROUND: Genome-wide association studies (GWASs) are powerful in identifying
genetic loci which cause complex traits of common diseases. However, it is well
known that inappropriately accounting for pedigree or population structure leads
to spurious associations. GWASs have often encountered increased type I error
rates due to the correlated genotypes of cryptically related individuals or
subgroups. Therefore, accurate pedigree information is crucial for successful
GWASs. RESULTS: We propose a distance-based method KIND to estimate kinship
coefficients among individuals. Our method utilizes the spatial distribution of
SNPs in the genome that represents how far each minor-allele variant is located
from its neighboring minor-allele variants. The SNP distribution of each
individual was presented in a feature vector in Euclidean space, and then the
kinship coefficient was inferred from the two vectors of each individual pair. We
demonstrate that the distance information can measure the similarity of genetic
variants of individuals accurately and efficiently. We applied our method to a
synthetic data set and two real data sets (i.e. the HapMap phase III and the 1000
genomes data). We investigated the estimation accuracy of kinship coefficients
not only within homogeneous populations but also for a population with extreme
stratification. CONCLUSIONS: Our method KIND usually produces more accurate and
more robust kinship coefficient estimates than existing methods especially for
populations with extreme stratification. It can serve as an important and very
efficient tool for GWASs.
PMID- 27206323
TI - Differential expression of pro-inflammatory and oxidative stress mediators
induced by nitrogen dioxide and ozone in primary human bronchial epithelial
cells.
AB - CONTEXT: NO2 and O3 are ubiquitous air toxicants capable of inducing lung damage
to the respiratory epithelium. Due to their oxidizing capabilities, these
pollutants have been proposed to target specific biological pathways, but few
publications have compared the pathways activated. OBJECTIVE: This work will test
the premise that NO2 and O3 induce toxicity by activating similar cellular
pathways. METHODS: Primary human bronchial epithelial cells (HBECs, n = 3 donors)
were exposed for 2 h at an air-liquid interface to 3 ppm NO2, 0.75 ppm O3, or
filtered air and harvested 1 h post-exposure. To give an overview of pathways
that may be influenced by each exposure, gene expression was measured using PCR
arrays for toxicity and oxidative stress. Based on the results, genes were
selected to quantify whether expression changes were changed in a dose- and time
response manner using NO2 (1, 2, 3, or 5 ppm), O3 (0.25, 0.50, 0.75, or 1.00
ppm), or filtered air and harvesting 0, 1, 4 and 24 h post-exposure. RESULTS:
Using the arrays, genes related to oxidative stress were highly induced with NO2
while expression of pro-inflammatory and vascular function genes was found
subsequent to O3. NO2 elicited the greatest HMOX1 response, whereas O3 more
greatly induced IL-6, IL-8 and PTGS2 expression. Additionally, O3 elicited a
greater response 1 h post-exposure and NO2 produced a maximal response after 4 h.
CONCLUSION: We have demonstrated that these two oxidant gases stimulate differing
mechanistic responses in vitro and these responses occur at dissimilar times.
PMID- 27206324
TI - Determination of Antibody-Drug Conjugate Released Payload Species Using Directed
in Vitro Assays and Mass Spectrometric Interrogation.
AB - Antibody-drug conjugates (ADC) are currently an active area of research, focused
primarily on oncology therapeutics, but also to a limited extent on other areas
such as infectious disease. The success of this type of targeted drug delivery is
dependent upon many factors, one of which is the performance of the linker in
releasing an active drug moiety under the appropriate conditions. As a tool in
the development of linker/payload chemistry, we have developed an in vitro method
for the identification of payload species released from ADCs in the presence of
lysosomal enzymes. This method utilizes commercially available human liver S9
fraction as the source of these enzymes, and this has certain advantages over
lysosomal fractions or purified enzymes. This article describes the
characterization and performance of this assay with multiple ADCs composed of
known and novel linkers and payloads. Additionally, we report the observation of
incomplete degradation of mAb protein chains by lysosomal enzymes in vitro,
believed to be the first report of this phenomenon involving an ADC therapeutic.
PMID- 27206325
TI - Risk of venous thromboembolism in cancer patients treated with epoetins or blood
transfusions.
AB - AIMS: Anaemia is common in cancer patients, with treatments including epoetins
and blood transfusions. Although an increased risk of venous thromboembolism
(VTE) has been associated with both therapeutics, studies comparing the risk of
VTE between epoetins and transfusions in cancer patients are lacking. METHODS: A
nested case-control study investigated this risk using the German
Pharmacoepidemiological Research Database. Cohort members were incident cancer
patients receiving first time treatment with epoetin or transfusion. A subcohort
including only patients receiving chemotherapy was created, since the formally
approved indication of epoetins is chemotherapy-induced anaemia. Cases were
defined as patients developing VTE. For each case up to 10 gender- and age
matched controls were selected from the cohort. Multiple confounder adjusted odds
ratios (aORs) with 95% confidence intervals (CIs) for VTE and recent treatment
with epoetins or transfusions (last 28 days before index date) compared with past
anti-anaemic treatment were calculated by conditional logistic regression.
RESULTS: Among 69 888 patients receiving first time treatment with epoetin or
transfusion, 3316 VTE cases were identified. The aOR for VTE was 1.31 (95% CI
1.03, 1.65) for epoetins, 2.33 (95% CI 2.03, 2.66) for transfusions, and 2.24
(95% CI 1.34, 3.77) for epoetins and transfusions. Sensitivity analyses with a
stricter VTE definition or an expanded time window yielded similar results. In
the chemotherapy only subcohort the risk difference between epoetins and
transfusions could not be verified (aOR 1.48, 95% CI 1.10, 1.98 vs. aOR 1.80, 95%
CI 1.49, 2.19). Our study confirmed known VTE risk factors including previous VTE
(aOR 14.76, 95% CI 12.79, 17.03) or surgery (aOR 1.83, 95% CI 1.67, 2.01).
Epoetin-associated risk decreased after a safety warning by the European
Medicines Agency setting maximum haemoglobin target values to 12 g dl(-1) .
CONCLUSIONS: Transfusions could be associated with a higher VTE risk than
epoetins in cancer patients. Moreover, current prescribing patterns may have
decreased the VTE risk for epoetins.
PMID- 27206326
TI - Disinfectant susceptibility of different Salmonella serotypes isolated from
chicken and egg production chains.
AB - AIMS: The study aimed to serotype the Salmonella isolates recovered from chicken
and egg production chains, and to investigate the disinfectant resistance
phenotypes and genotypes of these isolates. METHODS AND RESULTS: The Salmonella
isolates were serotyped, and the minimal inhibitory concentrations (MICs) of
disinfectants were determined. Results showed that the Salmonella isolates
recovered from both chains were diverse, and the serotypes in each part of the
production chain and between the two production chains were significantly
different. In the chicken production chain, 19 different serotypes were
recovered, while only five serotypes were found in the egg production chain. The
isolates showed a high susceptibility to didecyldimethylammonium bromide (DDAB)
but a low susceptibility to benzalkonium chloride (BC), benzalkonium bromide
(BAB) and chlorhexidine (CHX). Salmonella Enteritidis and Salmonella Typhimurium
were more resistant to BC and BAB. The qacEDelta1 and qacF resistance genes were
detected in 26.7 and 7.7% of the isolates respectively. The qacEDelta1 gene was
frequently found in Salmonella Derby and Salm. Enteritidis (P < 0.05).
CONCLUSION: Our findings indicated that Salmonella was commonly present in both
chains, and could serve as a critical vector in spreading disinfectant resistance
associated with different serotypes. SIGNIFICANCE AND IMPACT OF THE STUDY: This
study first demonstrated disinfectant resistance phenotypes and genotypes of
serotyped Salmonella. The study highlights the need for monitoring the
disinfectant resistance varied in different Salmonella serotypes.
PMID- 27206328
TI - The complete chloroplast genome of Anoectochilus roxburghii.
AB - We determined the complete chloroplast (cp) genome of Anoectochilus roxburghii, a
well-known medicinal orchid. The total genome size was 156,252 bp in length,
containing a pair of inverted repeats (IRs) of 26,591 bp, a large single copy
(LSC) of 84,665 bp and a small single copy (SSC) of 18,405 bp. The overall GC
content of the genome was 37.71%. The cp genome of A. roxburghii contained 87
protein-coding genes, 38 tRNA genes, and eight rRNA genes. Of these 18 genes, one
or two contained introns. A maximum parsimony phylogenetic tree revealed that the
cp genome of A. roxburghii was closely related to that of the orchid within the
Orchidoideae subfamily.
PMID- 27206327
TI - Difficulties in adjustment to multiple sclerosis: vulnerability and
unpredictability of illness in the foreground.
AB - PURPOSE: Multiple Sclerosis (MS) is a progressive neurological disease
characterized by an unpredictable risk to develop relevant disability over time.
Few studies focused on the core psychological features of difficulties in
adjustment to MS. This qualitative study aims to explore the illness experience
of people with difficulties in adjustment to MS, paying more attention to both
illness representation and shifting perspective. METHOD: Nineteen persons with
difficulties in adjustment to MS participated in the study and their interviews
were analyzed using thematic analysis. RESULTS: Considering the Shifting
Perspective Model, illness is rigidly placed in the foreground, and wellness
decisively pushed in the background, with a lack of flexibility in shifting
between the two perspectives. The thematic analysis delineated three main topics:
illness representation; coping strategies; relationships with others. The illness
representation is characterized by invalidating symptoms; unclear cause; sudden
onset; unpredictable course and uncertain prognosis; severe impact on person's
functioning; and low sense of internal control. Participants reported to mainly
use emotion-focused coping strategies. CONCLUSIONS: Adjustment can be supported
activating psychological intervention addressed to patients' illness
representations and more flexibility in the shifting between illness and wellness
in the foreground. Implications for Rehabilitation Adjustment to MS is influenced
by illness perception. It is important to pay more attention to contents about
vulnerability and unpredictability associated to MS. With regards to the Shifting
Perspectives Model, difficulties in adjustment are related to the rigidity that
prevents an adequate shift between the two perspectives (illness and wellness),
with the predominance of the illness perspective in the foreground. Hence, it is
important to assess the shifting perspectives preference and flexibility, and the
contents of illness representation of a person with MS. Clinicians can support
process of adjustment, activating psychological intervention addressed to
patients' illness representations and promotion of a more flexibility in the
shifting between illness and wellness in the foreground. The Illness
Representation Model should be enriched by a social component, able to take into
consideration the impact of the disease on interactions with others.
PMID- 27206330
TI - Three-month paliperidone palmitate - a new treatment option for schizophrenia.
AB - INTRODUCTION: Long-acting injectable antipsychotics (LAIAs) were introduced to
improve treatment adherence and tolerability of oral formulations. After
risperidone was introduced as the first long-acting injectable second-generation
antipsychotic, during the last five years olanzapine pamoate, once-monthly
paliperidone palmitate and once-monthly aripiprazole has also been marketed.
AREAS COVERED: A three-month injection of paliperidone palmitate (3MPP) was
introduced in the North-American market in 2015. It will be gradually available
in the rest of the world in the coming years. The principal aim of this review is
to evaluate its efficacy and tolerability and to discuss the possible role it may
play. Expert commentary: In the coming years an increase in the prescription
rates of LAIAs is expected. 3MPP offers a substantially longer dosing interval
than other options, which may be a potential advancement to reduce nonadherence.
Future research and experiences will identify which subpopulation may obtain
greater benefit from this new tool.
PMID- 27206331
TI - The value proposition of simulation.
AB - BACKGROUND: Simulation has been shown to improve trainee performance at the
bedside and in the operating room. As the use of simulation-based training is
expanded to address a host of health care challenges, its added value needs to be
clearly demonstrated. Demonstrable improvements will support the expansion of
infrastructure, staff, and programs within existing simulation facilities as well
as the establishment of new facilities to meet growing needs and demands. Thus,
organizational and institutional leaders, faculty members, and other stakeholders
can be assured of the best use of existing resources and can be persuaded to make
greater investments in simulation-based training for the future. METHODS: A
multidisciplinary panel was convened during the 8th Annual Meeting of the
Consortium of the American College of Surgeons-Accredited Education Institutes
(Simulation Centers) in March 2015 to discuss the added value of simulation-based
training. Panelists shared the ways in which the value of simulation was
demonstrated at their institutions. CONCLUSION: The value of simulation-based
training was considered and described in terms of educational impact, patient
care outcomes, and costs.
PMID- 27206332
TI - Simulation-based summative assessments in surgery.
AB - BACKGROUND: The American College of Surgeons-Accredited Education Institutes (ACS
AEI) Consortium aims to enhance patient safety and advance surgical education
through the use of cutting-edge simulation-based training and assessment methods.
The annual ACS-AEI Consortium meeting provides a forum to discuss the latest
simulation-based training and assessment methods and includes special panel
presentations on key topics. METHODS: During the 8th annual Consortium, there was
a panel presentation on simulation-based summative assessments, during which
experiences from across surgical disciplines were presented. The formal
presentations were followed by a robust discussion between the conference
attendees and the panelists. RESULTS: This report summarizes the panelists'
presentations and their ensuing discussion with attendees. CONCLUSION: The focus
of this report is on the basis for and advances in simulation-based summative
assessments, the current practices employed across various surgical disciplines,
and future directions that may be pursued by the ACS-AEI Consortium.
PMID- 27206333
TI - Teaching professionalism in graduate medical education: What is the role of
simulation?
AB - BACKGROUND: We systematically reviewed the literature concerning simulation-based
teaching and assessment of the Accreditation Council for Graduate Medical
Education professionalism competencies to elucidate best practices and facilitate
further research. METHODS: A systematic review of English literature for
"professionalism" and "simulation(s)" yielded 697 abstracts. Two independent
raters chose abstracts that (1) focused on graduate medical education, (2)
described the simulation method, and (3) used simulation to train or assess
professionalism. Fifty abstracts met the criteria, and seven were excluded for
lack of relevant information. The raters, 6 professionals with medical education,
simulation, and clinical experience, discussed 5 of these articles as a group;
they calibrated coding and applied further refinements, resulting in a final,
iteratively developed evaluation form. The raters then divided into 2 teams to
read and assess the remaining articles. Overall, 15 articles were eliminated, and
28 articles underwent final analysis. RESULTS: Papers addressed a heterogeneous
range of professionalism content via multiple methods. Common specialties
represented were surgery (46.4%), pediatrics (17.9%), and emergency medicine
(14.3%). Sixteen articles (57%) referenced a professionalism framework; 14 (50%)
incorporated an assessment tool; and 17 (60.7%) reported debriefing participants,
though in limited detail. Twenty-three (82.1%) articles evaluated programs,
mostly using subjective trainee reports. CONCLUSION: Despite early innovation,
reporting of simulation-based professionalism training and assessment is
nonstandardized in methods and terminology and lacks the details required for
replication. We offer minimum standards for reporting of future professionalism
focused simulation training and assessment as well as a basic framework for
better mapping proper simulation methods to the targeted domain of
professionalism.
PMID- 27206334
TI - Clinical impact of interleukin 6 as a predictive biomarker in the early diagnosis
of postoperative systemic inflammatory response syndrome after major thoracic
surgery: A prospective clinical trial.
AB - BACKGROUND: Postoperative systemic inflammatory response syndrome and sepsis are
associated with high morbidity and mortality rates. Early detection of
postoperative systemic inflammatory response syndrome improves the outcome. The
aim of this study was to evaluate the feasibility of interleukin 6 as a
predictive biomarker in the early diagnosis of postoperative systemic
inflammatory response syndrome after a major thoracic operation. METHODS: A total
of 94 patients were enrolled in this prospective, clinical, single-center study.
The enrolled subjects underwent either lung resection or esophageal operation.
Interleukin 6, procalcitonin, C-reactive protein, and leucocytes were measured
sequentially before, during, and after the operation. These levels were compared
between patients who developed postoperative systemic inflammatory response
syndrome and those who did not. RESULTS: The enrollees who completed the study
included of 55 males (79.7%) and 14 females (20.3%) with a mean age of 60.9
years. Twenty patients (29.0%) developed systemic inflammatory response syndrome
at a median time of 33.0 hours postoperatively. In cases of postoperative
systemic inflammatory response syndrome, interleukin 6 was the most predictive
biomarker, showing a striking increase on the day of operation and preceding the
median onset of postoperative systemic inflammatory response syndrome, which
occurred the next day (P <= .001). Peak procalcitonin and C-reactive protein
occurrence were significantly delayed at 24 hours (P = .012) and 48 hours (P =
.012). There was no mortality 30 days postoperatively. CONCLUSION: Interleukin 6
is a reliable predictor of postoperative systemic inflammatory response syndrome,
and it is able to detect postoperative system inflammatory response syndrome
before the onset of related clinical symptoms. When identifying patients at high
risk, it would be beneficial to include interleukin 6 in conventional
postoperative monitoring, particularly after extended surgical resection.
PMID- 27206335
TI - Using simulation for disaster preparedness.
AB - BACKGROUND: As it addresses both technical and nontechnical skills, simulation
based training is playing an increasingly important role in surgery. In addition
to the focus on skill acquisition, it is also important to ensure that surgeons
are able to perform a variety of tasks in unique and challenging situations.
These situations include responding to mass casualties, dealing with disease
outbreaks, and preparing for wartime missions. Simulation-based training can be a
valuable training modality in these situations, as it allows opportunities to
practice and prepare for high-risk and often low-frequency events. METHODS:
During the 8th Annual Meeting of the Consortium of the American College of
Surgeons-Accredited Education Institutes in March 2015, a multidisciplinary panel
was assembled to discuss how simulation can be used to prepare the surgical
community for such high-risk events. CONCLUSION: An overview of how simulation
has been used to address needs in each of these situations is presented.
PMID- 27206329
TI - ACE serum level and I/D gene polymorphism in children with obstructive uropathies
and other congenital anomalies of the kidney and urinary tract.
AB - AIM: The aim of this study was to investigate the association of an
insertion/deletion (I/D) polymorphism in angiotensin-converting enzyme (ACE) gene
with serum ACE level in relation to the type and severity of malformations from
congenital anomalies of the kidney and urinary tract (CAKUT) spectrum. METHODS: A
group of 134 Bulgarian children with CAKUT divided into four subgroups according
to the leading malformation and 109 controls were genotyped by classical
polymerase chain reaction. The quantitative determination of serum ACE was
performed by ELISA method. RESULTS: A significant elevation of DD-genotype was
observed in high-grade hydronephrosis compared to low-grade (43% vs. 9%). The
carrying of DD-genotype was associated with higher risk for severe hydronephrosis
with OR = 7.5 (95% CI: 1.242/45.278; P = 0.028). Also, elevated serum ACE
concentrations in patients with high-grade compared to low-grade hydronephrosis
(237.4 +/- 45 ng/mL vs 180.5 +/- 64 ng/mL; P = 0.0065) were found. ACE level was
significantly lower in patients with unilateral renal agenesis; hypo/dysplasia
and multicystic dysplastic kidney (156.6 +/- 54 ng/mL) than controls (200.6 +/-
56.7 ng/mL; P = 0.005) and the remaining CAKUT subgroups. CONCLUSION: The DD
genotype of I/D ACE polymorphism encodes the highest serum ACE level may be an
additional genetic risk factor contributing to the severe hydronephrosis in
Bulgarian patients with obstructive uropathies in contrast to other investigated
categories of CAKUT malformations.
PMID- 27206336
TI - Acute heart failure with and without acute coronary syndrome: clinical correlates
and prognostic impact (From the HEARTS registry).
AB - BACKGROUND: Little is know about the outcomes of acute heart failure (AHF) with
acute coronary syndrome (ACS-AHF), compared to those without ACS (NACS-AHF).
METHODS: We conducted a prospective registry of AHF patients involving 18
hospitals in Saudi Arabia between October 2009 and December 2010. In this sub
study, we compared the clinical correlates, management and hospital course, as
well as short, and long-term outcomes between AHF patients with and without ACS.
RESULTS: Of the 2609 AHF patients enrolled, 27.8 % presented with ACS. Compared
to NACS-AHF patients, ACS-AHF patients were more likely to be old males (Mean age
= 62.7 vs. 60.8 years, p = 0.003, and 73.8 % vs. 62.7 %, p < 0.001,
respectively), and to present with De-novo heart failure (56.6 % vs. 28.1 %, p <
0.001). Additionally they were more likely to have history of ischemic heart
disease, diabetes, dyslipidemia, and less likely to have chronic kidney disease
(p < 0.001 for all comparisons). The prevalence of severe LV systolic dysfunction
(EF < 30 %) was higher in ACS-AHF patients. During hospital stay, ACS-AHF
patients were more likely to develop shock (p < 0.001), recurrent heart failure
(p = 0.02) and needed more mechanical ventilation (p < 0.001). beta blockers and
Angiotensin Converting Enzyme inhibitors were used more often in ACS-AHF patients
(p = 0.001 and, p = 0.004 respectively). ACS- AHF patients underwent more
coronary angiography and had higher prevalence of multi-vessel coronary artery
disease (p < 0.001 for all comparisons). The unadjusted hospital and one-month
mortality were higher in ACS-AHF patients (OR = 1.6 (1.2-2.2), p = 0.003 and 1.4
(1.0-1.9), p = 0.026 respectively). A significant interaction existed between the
level of left ventricular ejection fraction and ACS-AHF status. After adjustment,
ACS-AHF status was only significantly associated with hospital mortality (OR =
1.6 (1.1-2.4), p = 0.019). The three-years survival following hospital discharge
was not different between the two groups. CONCLUSION: AHF patients presenting
with ACS had worse hospital prognosis, and an equivalent long-term survival
compared to AHF patients without ACS. These findings underscore the importance of
timely recognition and management of AHF patients with concomitant ACS given
their distinct presentation and underlying pathophysiology compared to other AHF
patients.
PMID- 27206337
TI - LFG-500, a newly synthesized flavonoid, attenuates lipopolysaccharide-induced
acute lung injury and inflammation in mice.
AB - Acute lung injury (ALI) often causes significant morbidity and mortality
worldwide. Improved treatment and effective strategies are still required for ALI
patients. Our previous studies demonstrated that LFG-500, a novel synthesized
flavonoid, has potent anti-cancer activities, while its anti-inflammatory effect
has not been revealed. In the present study, the in vivo protective effect of LFG
500 on the amelioration of lipopolysaccharide (LPS)-induced ALI and inflammation
was detected. LFG-500 attenuated LPS-induced histological alterations, suppressed
the infiltration of inflammatory cells in lung tissues and bronchoalveolar lavage
fluid, as well as inhibited the secretion of several inflammatory cytokines, such
as tumor necrosis factor-alpha (TNF-alpha), interleukin-1beta (IL-1beta), and IL
6 in lung tissues after LPS challenge. In addition, the in vitro effects and
mechanisms were studied in LPS stimulated RAW 264.7 cells and THP-1 cells. LFG
500 significantly decreased the secretion and expression of TNF-alpha, IL-1beta,
and IL-6 through inhibiting the transcriptional activation of NF-kappaB.
Moreover, overexpression of NF-kappaB p65 reversed the inhibitory effect of LFG
500 on LPS-induced NF-kappaB activation and inflammatory cytokine secretion.
Further elucidation of the mechanism revealed that p38 and JNK MAPK pathways were
involved in the anti-inflammation effect of LFG-500, through which LFG-500
inhibited the classical IKK-dependent pathway and led to inactivation of NF
kappaB. More importantly, LFG-500 suppressed the expression and nuclear
localization of NF-kappaB in LPS-induced ALI mice. Taken together, these results
demonstrated that LFG-500 could attenuate LPS-induced ALI and inflammation by
suppressing NF-kappaB activation, which provides new evidence for the anti
inflammation activity of LFG-500.
PMID- 27206338
TI - Beneficial impact of intracerebroventricular fractalkine administration on
behavioral and biochemical changes induced by prenatal stress in adult rats:
Possible role of NLRP3 inflammasome pathway.
AB - Several lines of evidence indicate that adverse experience in early life may be a
triggering factor for pathological inflammatory processes and lead to the
development of depression. Fractalkine (CX3CL1), a chemokine, plays an important
role not only in the migration, differentiation and proliferation of neuronal and
glial cells but also in the regulation of neuronal-microglial signaling and the
production of pro-inflammatory factors. In the present study, we examined the
impact of a prenatal stress procedure on the expression of fractalkine in the
hippocampus and frontal cortex of young and adult male rats. Furthermore, we
measured the age-dependent effect of stress during pregnancy on the expression of
pro-inflammatory factors IL-1beta, IL-18, TNF-alpha, IL-6, and CCL2 in both brain
structures. Next, to illustrate the link between fractalkine signaling and the
behavioral and biochemical changes induced by prenatal stress, adult prenatally
stressed offspring were injected intracerebroventricularly (icv) with exogenous
fractalkine. We reported that prenatal stress leads to long-lasting deficits in
fractalkine signaling and enhanced inflammatory activation. The study
demonstrates that icv administration of fractalkine attenuates the behavioural
changes evoked by prenatal stress procedure in adult animals. Moreover,
fractalkine administration, exhibits anti-inflammatory action, mainly in the
frontal cortex of adult prenatally stressed rats. The effect of fractalkine is
related to inhibition of NLRP3 inflammasome. However, its action on the other
members of NOD-like receptor family (NLR) cannot be excluded. These findings
provide new in vivo evidence that the behavioral and inflammatory disturbances
observed in adult prenatally stressed rats may be related to long-lasting
malfunctions in fractalkine signaling.
PMID- 27206341
TI - Genomic analysis of a xylose operon and characterization of novel xylose
isomerase and xylulokinase from Bacillus coagulans NL01.
AB - OBJECTIVE: To investigate the xylose operon and properties of xylose isomerase
and xylulokinase in Bacillus coagulans that can effectively ferment xylose to
lactic acid. RESULTS: The xylose operon is widely present in B. coagulans. It is
composed of four putative ORFs. Novel xylA and xylB from B. coagulans NL01 were
cloned and expressed in Escherichia coli. Sequence of xylose isomerase was more
conserved than that of xylulokinase. Both the enzymes exhibited maximum
activities at pH 7-8 but with a high temperature maximum of 80-85 degrees C,
divalent metal ion was prerequisite for their activation. Xylose isomerase and
xylulokinase were most effectively activated by Ni(2+) and Co(2+), respectively.
CONCLUSIONS: Genomic analysis of xylose operon has contributed to understanding
xylose metabolism in B. coagulans and the novel xylose isomerase and xylulokinase
might provide new alternatives for metabolic engineering of other strains to
improve their fermentation performance on xylose.
PMID- 27206340
TI - 4-Vinylphenol production from glucose using recombinant Streptomyces mobaraense
expressing a tyrosine ammonia lyase from Rhodobacter sphaeroides.
AB - OBJECTIVES: To find a novel host for the production of 4-vinylphenol (4VPh) by
screening Streptomyces species. RESULTS: The conversion of p-coumaric acid (pHCA)
to 4VPh in Streptomyces mobaraense was evaluated using a medium containing pHCA.
S. mobaraense readily assimilated pHCA after 24 h of cultivation to produce 4VPh.
A phenolic acid decarboxylase, derived from S. mobaraense (SmPAD), was purified
following heterologous expression in Escherichia coli. SmPAD was evaluated under
various conditions, and the enzyme's kcat/Km value was 0.54 mM (-1) s(-1). Using
intergenetic conjugation, a gene from Rhodobacter sphaeroides encoding a tyrosine
ammonia lyase, which catalyzes the conversion of L-tyrosine to p-coumaric acid,
was introduced into S. mobaraense. The resulting S. mobaraense transformant
produced 273 mg 4VPh l(-1) from 10 g glucose l(-1). CONCLUSION: A novel strain
suitable for the production of 4VPh and potentially other aromatic compounds was
isolated.
PMID- 27206339
TI - Up-regulation of long non-coding RNA PANDAR is associated with poor prognosis and
promotes tumorigenesis in bladder cancer.
AB - BACKGROUND: Long non-coding RNAs (lncRNAs) have emerged as biomarkers and
important regulators of tumor development and progression. PANDAR (promoter of
CDKN1A antisense DNA damage activated RNA) is a novel long non-coding RNA that
acts as a potential biomarker and involves in development of multiple cancers.
However, the clinical significance and molecular mechanism of PANDAR in bladder
cancer is still unknown. In this study, we aimed to figure out the role of PANDAR
in bladder cancer. METHODS: The relative expression level of lncRNA PANDAR was
determined by Real-Time qPCR in a total of 55 patients with urothelial bladder
cancer and in different bladder cancer cell lines. We inhibited PANDAR expression
by transfecting PANDAR specific siRNA and enhanced PANDAR expression by
transfecting a PANDAR expression vector (pcDNA3.1-PANDAR). Cell proliferation was
determined by using both CCK-8 assay and Edu assay. Cell apoptosis was determined
by using ELISA assay, Hoechst 33342 staining and Flow cytometry. Cell migration
was determined by using transwell assay. All experimental data from three
independent experiments were analyzed by chi2 test or Student's t-test and
results were expressed as mean +/- standard deviation. RESULTS: We found that
PANDAR was significantly up-regulated in bladder cancer tissues compared with
paired-adjacent nontumorous tissues in a cohort of 55 bladder cancer patients.
Moreover, increased PANDAR expression was positively correlated with higher
histological grade (P < 0.05) and advanced TNM stage (P < 0.05). Further
experiments demonstrated that inhibited cell proliferation/migration and induced
apoptosis by silencing PANDAR were also observed in bladder cancer cells.
Furthermore, over expression of PANDAR in bladder cancer cells promoted the
proliferation/migration and suppressed apoptosis. CONCLUSIONS: These findings
demonstrate that PANDAR plays oncogenic roles in bladder cancer and PANDAR may
serve as a potential prognostic biomarker and therapeutic target of bladder
cancer.
PMID- 27206342
TI - Bone augmentation at peri-implant dehiscence defects comparing a synthetic
polyethylene glycol hydrogel matrix vs. standard guided bone regeneration
techniques.
AB - OBJECTIVES: The aim of the study was to test whether or not the use of a
polyethylene glycol (PEG) hydrogel with or without the addition of an
arginylglycylaspartic acid (RGD) sequence applied as a matrix in combination with
hydroxyapatite/tricalciumphosphate (HA/TCP) results in similar peri-implant bone
regeneration as traditional guided bone regeneration procedures. MATERIAL AND
METHODS: In 12 beagle dogs, implant placement and peri-implant bone regeneration
were performed 2 months after tooth extraction in the maxilla. Two standardized
box-shaped defects were bilaterally created, and dental implants were placed in
the center of the defects with a dehiscence of 4 mm. Four treatment modalities
were randomly applied: i)HA/TCP mixed with a synthetic PEG hydrogel, ii)HA/TCP
mixed with a synthetic PEG hydrogel supplemented with an RGD sequence, iii)HA/TCP
covered with a native collagen membrane (CM), iv)and no bone augmentation
(empty). After a healing period of 8 or 16 weeks, micro-CT and histological
analyses were performed. RESULTS: Histomorphometric analysis revealed a greater
relative augmented area for groups with bone augmentation (43.3%-53.9% at 8
weeks, 31.2%-42.8% at 16 weeks) compared to empty controls (22.9% at 8 weeks,
1.1% at 16 weeks). The median amount of newly formed bone was greatest in group
CM at both time-points. Regarding the first bone-to-implant contact, CM was
statistically significantly superior to all other groups at 8 weeks. CONCLUSIONS:
Bone can partially be regenerated at peri-implant buccal dehiscence defects using
traditional guided bone regeneration techniques. The use of a PEG hydrogel
applied as a matrix mixed with a synthetic bone substitute material might lack a
sufficient stability over time for this kind of defect.
PMID- 27206343
TI - [Hip fractures and use of psychotropic drugs in an urban health centre].
PMID- 27206344
TI - [Foot disorders in community dwelling frail elderly people with heart disease and
risk factors].
PMID- 27206346
TI - Molecular dynamics-based investigation of InhA substrate binding loop for diverse
biological activity of direct InhA inhibitors.
AB - The closed conformation of substrate binding loop (SBL) is considered significant
for biological activity of direct InhA inhibitors (DIIs). However, exact
interactions of SBL with inhibitors are not characterized yet to emphasize over
SBL conformations. The seven InhA-DII complexes are analyzed using molecular
dynamics simulation to deduce the mechanism for closed and open conformation of
SBL. MMGBSA binding energy calculations and decompositions help to identify
Ala198, Met199, Ile202, Val203, Ile215, and Leu218 in SBL region as the key
residues. The interactions of DIIs with SBL residues particularly Ile202, Val203,
Ile215, and Leu218 are found considerable for closed SBL conformation. This
difference is accounted for closed state of SBL in 2X23, and open/moderately open
state in other complexes. This study substantiates the loop ordering property of
DIIs as the basis for high-affinity InhA inhibitors under the molecular
recognition phenomena. This property can be used as a parameter to identify
potential DIIs using virtual screening approaches.
PMID- 27206345
TI - Evaluation of infraspinatus reinnervation and function following spinal accessory
nerve to suprascapular nerve transfer in adult traumatic brachial plexus
injuries.
AB - PURPOSE: Our objective was to determine the prevalence and quality of restored
external rotation (ER) in adult brachial plexus injury (BPI) patients who
underwent spinal accessory nerve (SAN) to suprascapular nerve (SSN) transfer, and
to identify patient and injury factors that may influence results. METHODS: Fifty
one adult traumatic BPI patients who underwent SAN to SSN transfer between 2000
and 2013, all treated less than 1 year after injury with >1 year follow-up. The
primary outcome measured was shoulder ER. The outcomes we utilized included
"clinically useful ER" (motion >= -35 degrees with >=MRC 2 strength), modified
British Medical Research Council (MRC) grading, and electromyographic (EMG)
reinnervation. RESULTS: EMG evidence of re-innervation was found in 85% of
patients. Surgery resulted in improved ER in 41% (21/51) of shoulders at an
average of 28 months follow-up. Of these, only 31% (17/51) had clinically useful
ER. The average ER active range of motion was 12 degrees from full internal
rotation (Range: -60 degrees to 90 degrees ) and MRC grade 2.2 (2-4). The only
predictor of ER improvement was an isolated upper trunk (C5-C6) injury. Improved
ER was clinically evident in 76%, 37% and 26% of upper trunk (UT), C5-C6-C7 and
panplexus injuries, respectively (P < 0.03). CONCLUSIONS: Although 85% had EMG
signs of recovery, the SAN to SSN transfer failed to provide useful recovery of
ER through reinnervation of the infraspinatus muscle in injuries involving more
levels than a C5-C6 root/upper trunk pattern. In patients with greater than C5-6
level injuries alternatives to SAN to SSN transfer should be considered to
restore shoulder ER. (c) 2016 Wiley Periodicals, Inc. Microsurgery 37:365-370,
2017.
PMID- 27206347
TI - Nurses' knowledge, attitudes and practices regarding influenza vaccination: an
integrative review.
AB - AIMS AND OBJECTIVES: To critically analyse the literature describing nurses'
knowledge, attitudes and practices regarding influenza vaccination. BACKGROUND:
Influenza is a serious illness that has significant impacts on productivity,
health outcomes and healthcare costs. Despite the recommendations for nurses to
be vaccinated annually against influenza, the vaccination rates remain
suboptimal. DESIGN: Integrative literature review. METHODS: An integrative review
was conducted as described by Whittemore and Knafl (2005). A search of CINAHL,
Cochrane Library, ProQuest Central, ClinicalKey, ScienceDirect, Wiley Online
Library, and Informit was undertaken to identify relevant papers. Given the
heterogeneity of included studies, a narrative approach was used to analyse the
data. RESULTS: There was limited research available on this topic area, with only
10 papers identified as meeting the inclusion criteria. Five themes were
identified: the relationship between knowledge and influenza vaccination,
perception of risk, motivators for influenza vaccination, barriers to influenza
vaccination and impact of demographics on vaccination. CONCLUSIONS: Despite the
evidence for the protective effects of influenza vaccination, rates of
vaccination among nurses remain sub-optimal. Nurses' influenza vaccination
practices likely relate to their level of knowledge and perception of risk; the
greater nurses' knowledge regarding influenza and influenza vaccination the
higher their perception of risk and the more likely they are to be vaccinated.
This also translates to the advice that they give patients with vaccinated nurses
more inclined to recommend vaccination than those unvaccinated. RELEVANCE TO
CLINICAL PRACTICE: The practices of nurses related to influenza vaccination may
translate to the advice that they give their patients. Understanding the
knowledge levels, practices and attitudes of nurses can assist in developing
strategies to enhance education of nurses.
PMID- 27206348
TI - Two distinct Ras genes from Puccinia striiformis exhibit differential roles in
rust pathogenicity and cell death.
AB - Ras genes have been shown to regulate a variety of cellular processes in higher
eukaryotes. However, much less is known about their function(s) in fungi,
especially plant pathogenic fungi. Here, we report the identification and
functional analysis of Ras genes from Puccinia striiformis f. sp. tritici (Pst),
an important fungal pathogen in wheat production worldwide. Pst contains two Ras
genes, PsRas1 and PsRas2, which share 48.6% similarity at the protein level and
fall into two different phylogenetic clades. Both PsRas1 and PsRas2 have
conserved protein sequences among different Pst isolates, but exhibit different
transcript profiles during Pst infection. Silencing of PsRas1 or PsRas2 indicates
that PsRas2 but not PsRas1 contributes significantly to rust pathogenicity.
However, overexpression of PsRas1, but not PsRas2, promotes cell death in yeast
and plants. Further studies show that all conserved domains of Ras GTPases in
PsRas1 are needed to induce this cell death. In plants, PsRas1-triggered cell
death shows similar characteristics as plant hypersensitive response. Our
findings suggest that PsRas1 and PsRas2 take over different functions in rust
pathogenicity and cell death, thus facilitating the understanding of cell death,
pathogenic mechanisms of plant pathogenic fungi and the search for novel pathogen
control strategies.
PMID- 27206349
TI - Genome-wide analysis of gene expression reveals gene regulatory networks that
regulate chasmogamous and cleistogamous flowering in Pseudostellaria heterophylla
(Caryophyllaceae).
AB - BACKGROUND: Pseudostellaria heterophylla produces both closed (cleistogamous, CL)
and open (chasmogamous, CH) flowers on the same individual but in different
seasons. The production of CH and CL flowers might be in response to
environmental changes. To better understand the molecular mechanisms of CH and CL
flowering, we compared the transcriptome of the two types of flowers to examine
differential gene expression patterns, and to identify gene regulatory networks
that control CH and CL flowering. RESULTS: Using RNA sequencing, we identified
homologues of 428 Arabidopsis genes involved in regulating flowering processes
and estimated the differential gene expression patterns between CH and CL
flowers. Some of these genes involved in gene regulatory networks of flowering
processes showed significantly differential expression patterns between CH and CL
flowers. In addition, we identified another 396 differentially expressed
transcripts between CH and CL flowers. Some are involved in environmental stress
responses and flavonoid biosynthesis. CONCLUSIONS: We propose how the
differential expression of key members of three gene regulatory modules may
explain CH and CL flowering. Future research is needed to investigate how the
environment impinges on these flowering pathways to regulate CH and CL flowering
in P. heterophylla.
PMID- 27206350
TI - A development of assistant surgical robot system based on surgical-operation-by
wire and hands-on-throttle-and-stick.
AB - BACKGROUND: Robot-assisted laparoscopic surgery offers several advantages
compared with open surgery and conventional minimally invasive surgery. However,
one issue that needs to be resolved is a collision between the robot arm and the
assistant instrument. This is mostly caused by miscommunication between the
surgeon and the assistant. To resolve this limitation, an assistant surgical
robot system that can be simultaneously manipulated via a wireless controller is
proposed to allow the surgeon to control the assistant instrument. METHODS: The
system comprises two novel master interfaces (NMIs), a surgical instrument with a
gripper actuated by a micromotor, and 6-axis robot arm. Two NMIs are attached to
master tool manipulators of da Vinci research kit (dVRK) to control the proposed
system simultaneously with patient side manipulators of dVRK. The developments of
the surgical instrument and NMI are based on surgical-operation-by-wire concept
and hands-on-throttle-and-stick concept from the earlier research, respectively.
Tests for checking the accuracy, latency, and power consumption of the NMI are
performed. The gripping force, reaction time, and durability are assessed to
validate the surgical instrument. The workspace is calculated for estimating the
clinical applicability. A simple peg task using the fundamentals of laparoscopic
surgery board and an in vitro test are executed with three novice volunteers.
RESULTS: The NMI was operated for 185 min and reflected the surgeon's decision
successfully with a mean latency of 132 ms. The gripping force of the surgical
instrument was comparable to that of conventional systems and was consistent even
after 1000 times of gripping motion. The reaction time was 0.4 s. The workspace
was calculated to be 8397.4 cm(3). Recruited volunteers were able to execute the
simple peg task within the cut-off time and successfully performed the in vitro
test without any collision. CONCLUSIONS: Various experiments were conducted and
it is verified that the proposed assistant surgical robot system enables
collision-free and simultaneous operation of the dVRK's robot arm and the
proposed assistant robot arm. The workspace is appropriate for the performance of
various kinds of surgeries. Therefore, the proposed system is expected to provide
higher safety and effectiveness for the current surgical robot system.
PMID- 27206352
TI - National Institute for Health and Care Excellence melanoma guidelines: good news
for patients but challenging to implement.
PMID- 27206351
TI - Clinical trials in the BJD: how to publish, what to publish and where to publish.
PMID- 27206353
TI - Exploring new worlds: expanding the reach of qualitative research in dermatology.
PMID- 27206354
TI - Interventions for hidradenitis suppurativa: an important step towards evidence
based medicine.
PMID- 27206355
TI - Actinic keratosis in China: big numbers and small percentages.
PMID- 27206356
TI - Of men and mice: analysing the action of an established drug using tumour
necrosis factor-alpha-deficient mice in the imiquimod psoriasis model.
PMID- 27206357
TI - Increasing the diagnostic sensitivity for mucous membrane pemphigoid by detection
of salivary autoantibodies.
PMID- 27206358
TI - Advice for patients with hair dye allergy remains 'stop using permanent hair
dyes'.
PMID- 27206359
TI - Cutaneous lupus erythematosus is strongly associated with psychiatric disease.
PMID- 27206360
TI - Currently the best treatment for lipoedema.
PMID- 27206361
TI - Freely available meteorological data can be used to predict population vitamin D
levels.
PMID- 27206362
TI - A prelude to a functional noninvasive in vivo diagnostic tool for skin diseases.
PMID- 27206363
TI - Cover image: Unpeeling the layers of harlequin ichthyosis.
PMID- 27206364
TI - Professor Sergio Chimenti: 1949-2016.
PMID- 27206366
TI - Image Gallery: Brain? no, melanoma.
PMID- 27206367
TI - Image Gallery: Cutaneous T-cell lymphoma mimicking a gyrate erythema.
PMID- 27206369
TI - Plain language summaries.
PMID- 27206370
TI - Plain language summaries in Simplified Chinese.
PMID- 27206371
TI - Rickettsia parkeri colonization in Amblyomma maculatum: the role of superoxide
dismutases.
AB - BACKGROUND: The Gulf Coast tick (Amblyomma maculatum) is an arthropod vector of
Rickettsia parkeri, the causative agent of American boutonneuse fever and an
infectious agent of public health significance. In this study, we evaluated the
biological significance of the superoxide dismutases (SODs) of A. maculatum in
hematophagy and R. parkeri colonization within the tick host. METHODS: An RNA
interference approach was used to measure the functional roles of tick SODs
(Cu/Zn-SOD and Mn-SOD) in R. parkeri colonization of the tick vector. Total
microbial load, R. parkeri infection rate, and compensatory mechanisms by tick
genes were examined using quantitative polymerase chain reaction (PCR) and
quantitative reverse-transcriptase PCR assays. SOD enzymatic activity assays and
malondialdehyde (MDA) lipid peroxidation were employed to determine the redox
states in the tick tissues. RESULTS: Knockdown of the Cu/Zn-SOD gene caused the
upregulation of Mn-SOD in transcript levels. Single and dual knockdowns of the
SOD genes caused an increase in MDA lipid peroxidation while SOD enzymatic
activities did not show a significant change. Mn-SOD knockdown resulted in a
substantial increase in the microbial load; however, Cu/Zn-SOD transcript
depletion prompted an upsurge in the midgut bacterial load, and significantly
decreased the bacterial load in salivary gland tissues. Additionally, Cu/Zn-SOD
transcript silencing led to significantly fewer R. parkeri DNA copy numbers in
both tick tissues (midguts and salivary glands). CONCLUSIONS: SOD enzymes play an
important function in the regulation of bacterial communities associated with
tick vectors and also in the defense mechanisms against the damage caused by
reactive oxygen species within the tick. Knockdown experiments increased the
levels of total oxidative stress in ticks, revealing the interplay between SOD
isozymes that results in the transcriptional regulation of tick antioxidants.
Moreover, the tick's Cu/Zn-SOD aids in the colonization of R. parkeri in tick
tissues providing evidence of A. maculatum's vectorial success for a spotted
fever group rickettsial pathogen.
PMID- 27206379
TI - Survey of hepatitis B knowledge and stigma among chronically infected patients
and uninfected persons in Beijing, China.
AB - BACKGROUND & AIMS: Hepatitis B virus (HBV) infection carries substantial stigma
in China. We surveyed HBV knowledge and stigma among chronic hepatitis B (CHB)
patients and persons without HBV infection in Beijing, China. METHODS: Four
hundred and thirty five CHB patients and 801 controls at Peking University
People's Hospital were surveyed. RESULTS: Chronic hepatitis B patients were older
(mean 46 vs. 39 years) and more often men (71 vs. 48%) than controls. Mean
knowledge score was 11.9/15 for CHB and 9.3/15 for control patients (P < 0.001).
Average stigma score was 22.1/39 for CHB and 19.2/30 for control patients.
Controls expressed discomfort with close contact (45%) or sharing meals with CHB
patients (39%) and believed CHB patients should not be allowed to work in
restaurants (58%) or childcare (44%). Chronic hepatitis B patients felt that they
were undesirable as spouses (33 vs. 17%) and brought trouble to their families
(58 vs. 34%) more often than controls. Despite legal prohibitions, 40% of CHB
patients were required to undergo pre-employment HBV testing, and 29% of these
individuals thought that they lost job opportunities because of their disease
status. 16% of CHB patients regretted disclosing their HBV status and disclosure
was inversely associated with stigma. Higher stigma was associated with older
age, lower education and lower knowledge score among controls; and with lower
education, younger age, having undergone pre-employment HBV testing and regret
disclosing their HBV status among CHB patients. CONCLUSION: Despite high
prevalence of CHB in China, our study shows knowledge is limited and there is
significant societal and internalized stigma associated with HBV infection.
PMID- 27206380
TI - Mitochondrial Replacement Techniques: Divergence in Global Policy.
AB - In 2015, the UK became the first country permitting the clinical application of
mitochondrial replacement techniques (MRT). Here, we explore how MRT have led to
diverging international policy. In response, we recommend focused regulatory
efforts coupled with United Nations (UN) leadership to build international
consensus on the future of MRT.
PMID- 27206381
TI - Policy mix in deposit-refund systems - From schemes in Finland and Norway.
PMID- 27206382
TI - Corrigendum to "Projecting the environmental profile of Singapore's landfill
activities: Comparisons of present and future scenarios based on LCA" [Waste
Manage. 32 (2012) 890-900].
PMID- 27206384
TI - Extremely early onset hereditary ATTR amyloidosis with G47R (p.G67R) mutation.
PMID- 27206383
TI - Older adolescent presentations to a children's hospital emergency department.
AB - OBJECTIVES: To describe the characteristics, diagnoses and outcomes of older
adolescents, aged 16-19 years, presenting to a paediatric ED. METHODS: A
retrospective review of total ED presentations by older adolescents to a tertiary
paediatric hospital between 2010 and 2012, inclusive, was undertaken to determine
if behavioural or mental health problems were common. RESULTS: A total of 1184 ED
presentations by 730 older adolescents were identified. Injury and abdominal pain
were the most common complaints for presentations by older adolescents to the ED.
The median length of stay in ED was 241 (range: 0-3873) min. More than 60% of the
older adolescent ED presentations were triaged urgent or semi-urgent, and 39% of
all these presentations resulted in hospital admission. Two-thirds of these older
adolescents had a chronic illness, which accounted for 77% of all ED
presentations by older adolescents. The history of chronic illness was considered
related or relevant in the evaluation and management of over 80% of older
adolescents. Of all the ED presentations by older adolescents with chronic
illness, only one quarter had transition planning documentation. CONCLUSIONS: A
high prevalence of chronic illness was found in older adolescents attending the
paediatric ED. There was no evidence that behavioural and mental health issues
dominated. These findings reflect admission policy.
PMID- 27206385
TI - Continuous active surveillance of adverse events following immunisation using SMS
technology.
AB - INTRODUCTION: On-going post-licensure surveillance of adverse events following
immunisation (AEFI) is critical to detecting and responding to potentially
serious adverse events in a timely manner. SmartVax is a vaccine safety
monitoring tool that uses automated data extraction from existing practice
management software and short message service (SMS) technology to follow-up
vaccinees in real-time. We report on childhood vaccine safety surveillance using
SmartVax at a medical practice in Perth, Western Australia. METHODS: Parents of
all children under age five years who were vaccinated according to the Australian
National Immunisation Schedule between November 2011 and June 2015 were sent an
SMS three days post administration to enquire whether the child had experienced a
suspected vaccine reaction. Affirmative replies triggered a follow-up SMS
requesting details of the reaction(s) via a link to a survey that could be
completed using a smartphone or the web. Rates of reported AEFI including fever,
headache, fatigue, rash, vomiting, diarrhoea, rigours, seizures, and local
reactions were calculated by vaccination time point. RESULTS: Overall, 239 (8.2%;
95% CI 7.2-9.2%) possible vaccine reactions were reported for 2897 vaccination
visits over the 44 month time period. The proportion of children experiencing a
possible AEFI, mostly local reactions, was significantly greater following
administration of diphtheria-tetanus-pertussis-poliomyelitis vaccine at 4 years
of age (77/441; 17.5%; 95% CI 13.9-21.0%) compared to the vaccinations given at 2
18 months (p<0.001). Across all time points, local reactions and fatigue were the
most frequently reported AEFI. CONCLUSION: Automated SMS-based reporting can
facilitate sustainable, real-time, monitoring of adverse reactions and contribute
to early identification of potential vaccine safety issues.
PMID- 27206386
TI - A Phase 1 clinical trial of a DNA vaccine for Venezuelan equine encephalitis
delivered by intramuscular or intradermal electroporation.
AB - Venezuelan equine encephalitis virus (VEEV), a mosquito-borne alphavirus, causes
periodic epizootics in equines and is a recognized biological defense threat for
humans. There are currently no FDA-licensed vaccines against VEEV. We developed a
candidate DNA vaccine expressing the E3-E2-6K-E1 genes of VEEV (pWRG/VEE) and
performed a Phase 1 clinical study to assess the vaccine's safety,
reactogenicity, tolerability, and immunogenicity when administered by
intramuscular (IM) or intradermal (ID) electroporation (EP) using the Ichor
Medical Systems TriGridTM Delivery System. Subjects in IM-EP groups received
0.5mg (N=8) or 2.0mg (N=9) of pWRG/VEE or a saline placebo (N=4) in a 1.0ml
injection. Subjects in ID-EP groups received 0.08mg (N=8) or 0.3mg (N=8) of DNA
or a saline placebo (N=4) in a 0.15ml injection. Subjects were monitored for a
total period of 360 days. No vaccine- or device-related serious adverse events
were reported. Based on the results of a subject questionnaire, the IM- and ID-EP
procedures were both considered to be generally acceptable for prophylactic
vaccine administration, with the acute tolerability of ID EP delivery judged to
be greater than that of IM-EP delivery. All subjects (100%) in the high and low
dose IM-EP groups developed detectable VEEV-neutralizing antibodies after two or
three administrations of pWRG/VEE, respectively. VEEV-neutralizing antibody
responses were detected in seven of eight subjects (87.5%) in the high dose and
five of eight subjects (62.5%) in the low dose ID-EP groups after three vaccine
administrations. There was a correlation between the DNA dose and the magnitude
of the resulting VEEV-neutralizing antibody responses for both IM and ID EP
delivery. These results indicate that pWRG/VEE delivered by either IM- or ID-EP
is safe, tolerable, and immunogenic in humans at the evaluated dose levels.
Clinicaltrials.gov registry number NCT01984983.
PMID- 27206387
TI - Estimated prevalence of smallpox vaccine contraindications in Israeli
adolescents.
AB - BACKGROUND: Routine smallpox vaccination for military recruits was discontinued
in Israel in 1996. However, Israeli guidelines recommend post-event mass
vaccination. This study aimed to estimate the rate of Israeli adolescents at risk
of severe adverse events after vaccination during 1998-2013. METHODS: The study
population included adolescents screened before military service in 1998-2013.
Medical parameters correlating with contraindications to smallpox vaccination
were retrieved from army databases, and were categorized by severity according to
the Israeli post-event strategy. RESULTS: Of 1,180,964 individuals, 1.86% had
vaccination contraindications in a post-event scenario. An additional 1.24% had
contraindications in a pre-event scenario. There was an increase in the
percentage of contraindications over time, attributed to the rising incidence of
atopic-dermatitis. CONCLUSIONS: Only a small percentage of the adolescent
population is ineligible to receive the smallpox vaccine currently in use. This
group may be protected by herd-immunity, or by new-generation vaccines designed
to prevent severe adverse events.
PMID- 27206388
TI - Identification and characterization of the novel nuclease activity of human
phospholipid scramblase 1.
AB - BACKGROUND: Human phospholipid scramblase 1 (hPLSCR1) was initially identified as
a Ca(2+) dependent phospholipid translocator involved in disrupting membrane
asymmetry. Recent reports revealed that hPLSCR1 acts as a multifunctional
signaling molecule rather than functioning as scramblase. hPLSCR1 is
overexpressed in a variety of tumor cells and is known to interact with a number
of protein molecules implying diverse functions. RESULTS: In this study, the
nuclease activity of recombinant hPLSCR1 and its biochemical properties have been
determined. Point mutations were generated to identify the critical region
responsible for the nuclease activity. Recombinant hPLSCR1 exhibits Mg(2+)
dependent nuclease activity with an optimum pH and temperature of 8.5 and 37
degrees C respectively. Experiments with amino acid modifying reagents revealed
that histidine, cysteine and arginine residues were crucial for its function.
hPLSCR1 has five histidine residues and point mutations of histidine residues to
alanine in hPLSCR1 resulted in 60 % loss in nuclease activity. Thus histidine
residues could play a critical role in the nuclease activity of hPLSCR1.
CONCLUSIONS: This is the first report on the novel nuclease activity of the multi
functional hPLSCR1. hPLSCR1 shows a metal dependent nuclease activity which could
play a role in key cellular processes that needs to be further investigated.
PMID- 27206392
TI - Unilateral deafness associated with a glossopharyngeal neurinoma.
PMID- 27206393
TI - Revision endoscopic sinonasal surgery.
AB - INTRODUCTION AND OBJECTIVES: Endoscopic sinonasal surgery is the procedure of
choice in the treatment of chronic rhinosinusitis and sinonasal polyposis
refractory to medical treatment, with high rates of success (76% to 97.5%).
However, 2.5%-24% of those patients will require revision surgery (RESS). In this
study, we present the clinical, anatomical, radiological and histological
features of patients receiving RESS in our centre during a 3-year period.
METHODS: A retrospective review of clinical, anatomical, radiological and
histopathological data of patients receiving revision endoscopic sinonasal
surgery between 2012 and 2014 was carried out. RESULTS: From 299 surgery
procedures performed, 27 (9%) were revision surgeries. The mean patient age was
46 years, with a male/female ratio of 1.4/1. The most frequent preoperative and
postoperative diagnosis was chronic polypoid rhinosinusitis. The mean time since
the previous surgery was 6.1 years, with 11.9 months of mean follow-up since that
surgery. Stenotic antrostomy was found during revision in 81.5% of the patients
and incomplete anterior ethmoidectomy and persistent uncinate process, in 59.3%.
In radiology, 70.4% of patients had persistent anterior ethmoidal cells.
Antrostomy or widening of antrostomy was performed in 96.3% of cases and anterior
ethmoidectomy or completion of it was performed in 66.7%. CONCLUSIONS: Polyps,
stenotic antrostomy and incomplete ethmoidectomy were the most frequent causes of
revision surgery, in concordance with the procedures performed. The patients had
long periods of time without follow-up between surgeries. Further investigation
is necessary to generate measures to reduce the number of revision surgeries.
PMID- 27206394
TI - Granular cell tumour in the masseter muscle.
PMID- 27206395
TI - Osteoblastoma of the thyroid cartilage.
PMID- 27206396
TI - Isolated osteoma of the sphenoid sinus.
PMID- 27206397
TI - A new malleostapedotomy prosthesis. Experimental analysis by laser doppler
vibrometer in fresh cadaver temporal bones.
AB - INTRODUCTION AND OBJECTIVES: One of the problems with total ossicular replacement
prostheses is their stability. Prosthesis dislocations and extrusions are common
in middle ear surgery. This is due to variations in endo-tympanic pressure as
well as design defects. The design of this new prosthesis reduces this problem by
being joined directly to the malleus handle. The aim of this study is to confirm
adequate acoustic-mechanical behaviour in fresh cadaver middle ear of a new total
ossicular replacement prosthesis, designed using the finite elements method.
METHODS: Using the doppler vibrometer laser, we analysed the acoustic-mechanical
behaviour of a new total ossicular replacement prosthesis in the human middle ear
using 10 temporal bones from fresh cadavers. RESULTS: The transfer function of
the ears in which we implanted the new prosthesis was superimposed over the non
manipulated ear. This suggests optimum acoustic-mechanical behaviour.
CONCLUSIONS: The titanium prosthesis analysed in this study demonstrated optimum
acoustic-mechanical behaviour. Together with its ease of implantation and post
surgical stability, these factors make it a prosthesis to be kept in mind in
ossicular reconstruction.
PMID- 27206398
TI - Pyogenic Flexor Tenosynovitis Caused by Shewanella algae.
AB - Pyogenic flexor tenosynovitis is an orthopedic emergency most commonly caused by
Staphylococcus aureus and streptococci and occasionally, when associated with
water exposure, Mycobacterium marinum. Shewanella algae, a gram-negative bacillus
found in warm saltwater environments, has infrequently been reported to cause
serious soft tissue infections and necrosis. In this case, S. algae caused
complicated flexor tenosynovitis requiring open surgical irrigation and
debridement. Flexor tenosynovitis caused by S. algae rapidly presented with all 4
Kanavel cardinal signs as well as subcutaneous purulence, ischemia, and necrosis,
thus meeting the requirements for Pang et al group III classification of worst
prognosis. Because of its rarity and virulence, S. algae should always be
considered in cases of flexor tenosynovitis associated with traumatic water
exposure to treat and minimize morbidity appropriately.
PMID- 27206399
TI - A Positive Node on Ultrasound-Guided Fine Needle Aspiration Predicts Higher Nodal
Burden Than a Positive Sentinel Lymph Node Biopsy in Breast Carcinoma.
AB - INTRODUCTION: Axillary status remains an important prognostic indicator in breast
cancer. Certain patients with a positive sentinel node (SLNB) may not benefit
from axillary clearance (AC). Uncertainty remains if this approach could be
applied to patients diagnosed with axillary metastases on ultrasound-guided fine
needle aspiration cytology (USFNAC). The aim of this study was to compare nodal
burden in patients with positive USFNAC and a positive SLNB. METHODS: A
retrospective study was performed involving all BC patients between 2007 and 2014
who had either pre-operative USFNAC or a SLNB. Patient/tumour characteristics and
nodal burden were examined in all patients proceeding to AC. RESULTS: 974
patients were eligible for analysis. 439 patients (45 %) had positive USFNAC and
535 (55 %) had a positive SLNB. USFNAC-positive patients were more likely to
undergo mastectomy (Chi-square test; p < 0.001), have extra-nodal extension (p <
0.001), be oestrogen receptor negative (p < 0.001) and be HER2 positive (p <
0.001). The median total number of lymph nodes (LNs) excised during AC was higher
in the USFNAC group (Mann-Whitney test; 23 vs. 21; p < 0.001). The median total
number of involved LNs was 3 (range 1-47) in FNAC-positive patients versus 1
(range 1-37) in SLNB-positive patients (p < 0.001). The median number of involved
LNs in level 1 was 3 in FNAC-positive patients versus 1 in SLNB-positive patients
(p < 0.001). Within the SLN-positive group, 49 % of the patients had only one
involved LN, 28 % had two nodes involved and 23 % had >=3. In comparison, within
the FNAC-positive group only 13 % of the patients had one involved LN, 12 % had
two nodes involved and 74 % had >=3. CONCLUSION: Patients with positive USFNAC
have more aggressive clinico-pathological characteristics and higher nodal burden
compared to SLNB-positive patients. Currently, the authors advocate that patients
not receiving neoadjuvant chemotherapy, with a positive USFNAC, should proceed
directly to an axillary ALND.
PMID- 27206400
TI - Contemporary Review of Risk-Stratified Management in Acute Uncomplicated and
Complicated Diverticulitis.
AB - BACKGROUND: Acute colonic diverticulitis is a common clinical condition. Severity
of the disease is based on clinical, laboratory, and radiological investigations
and dictates the need for medical or surgical intervention. Recent clinical
trials have improved the understanding of the natural history of the disease
resulting in new approaches to and better evidence for the management of acute
diverticulitis. METHODS: We searched the Cochrane Library (years 2004-2015),
MEDLINE (years 2004-2015), and EMBASE (years 2004-2015) databases. We used the
search terms "diverticulitis, colonic" or "acute diverticulitis" or "divertic*"
in combination with the terms "management," "antibiotics," "non-operative," or
"surgery." Registers for clinical trials (such as the WHO registry and the
https://clinicaltrials.gov/ ) were searched for ongoing, recruiting, or closed
trials not yet published. RESULTS: Antibiotic treatment can be avoided in simple,
non-complicated diverticulitis and outpatient management is safe. The management
of complicated disease, ranging from a localized abscess to perforation with
diffuse peritonitis, has changed towards either percutaneous or minimally
invasive approaches in selected cases. The role of laparoscopic lavage without
resection in perforated non-fecal diverticulitis is still debated; however,
recent evidence from two randomised controlled trials has found a higher re
intervention in this group of patients. CONCLUSIONS: A shift in management has
occurred towards conservative management in acute uncomplicated disease. Those
with uncomplicated acute diverticulitis may be treated without antibiotics. For
complicated diverticulitis with purulent peritonitis, the use of peritoneal
lavage appears to be non-superior to resection.
PMID- 27206401
TI - Robotic-Assisted Pancreatic Resections.
AB - BACKGROUND: Robotic assistance enhances surgical dexterity and could facilitate
wider adoption of laparoscopy for pancreatic resections (PR). METHODS: Data were
prospectively entered into a database and analyzed retrospectively to assess
feasibility and safety of robotic-assisted PR (RAPR). Additionally, robotic
assisted pancreaticoduodenectomy (RAPD) was compared to a contemporary group of
open pancreaticoduodenectomies (OPD). RESULTS: Between October 2008 and October
2014, 200 consecutive patients underwent RAPR. Three procedures were converted to
open surgery (1.5 %), despite 14 patients required associated vascular
procedures. RAPD was performed in 83 patients (41.5 %), distal pancreatectomy in
83 (41.5 %), total pancreatectomy in 17 (8.5 %), tumor enucleation in 12 (6 %),
and central pancreatectomy in 5 (2.5 %). Thirty-day and 90-day mortality rates
were 0.5 and 1 %, respectively. Both deaths occurred after RAPD with vein
resection. Complications occurred in 63.0 % of the patients (>=Clavien-Dindo
grade IIIb in 4 %). Median comprehensive complication index was 20.9 (0-26.2).
Incidence of grade B/C pancreatic fistula was 28.0 %. Reoperation was required in
14 patients (7.0 %). The risk of reoperation decreased after post-operative day
20 (OR 0.072) (p = 0.0015). When compared to OPD, RAPD was associated with longer
mean operative time (527.2 +/- 166.1 vs. 425.3 +/- 92.7; <0.0001) but had an
equivalent safety profile. The median number of examined lymph nodes (37; 28.8
45.3 vs. 36; 28-52.8) and the rate of margin positivity in patients diagnosed
with pancreatic cancer were also similar (12.5 vs. 45.5 %). CONCLUSIONS: RAPR,
including RAPD, are safely feasible in selected patients. The results of RAPD in
pancreatic cancer are encouraging but deserve further investigation.
PMID- 27206402
TI - Actual Long-Term Survival Outcome of 403 Consecutive Patients with Hilar
Cholangiocarcinoma.
AB - BACKGROUND: Despite aggressive surgical resection, prognosis of patients with
hilar cholangiocarcinoma is still unsatisfactory. There were limited data about
actual long-term survival outcome. This study was designed to explore actual long
term survival outcome of hilar cholangiocarcinoma after surgical treatment, and
to investigate the characteristics of patients with actual long-term survival.
METHODS: The study cohort consisted of 403 consecutive patients with at least 5
year follow-up after surgical treatment for hilar cholangiocarcinoma at Seoul
National University Hospital between 1991 and 2010. Prognostic factors were
analyzed with Cox proportional hazard models, and the effect of adjuvant
treatment was evaluated by propensity score analysis. RESULTS: Of all patients,
R0 resection rate was 41.2 and 63.8 % among intended curative resection. Adjuvant
therapy was performed in 48.8 % after curative surgery. Actual 5-year overall
survival (OS) rate was 18.9, and 30.1 % after R0 resection. Actual 5-year disease
free survival rate was 25.8 % after resection. Adjuvant treatment improved
prognosis in patients with positive metastatic lymph nodes (median OS 21.9 vs.
11.5 months, p = 0.003). Overall recurrence rate was 55.0 %, and distant
metastasis (39.7 %) was more frequent than loco-regional recurrence (20.8 %).
Lymph node metastasis (p = 0.021) and poor histologic grade (p < 0.001) were
independent prognostic factors after curative resection. Patients who survived
more than 5 years had less lymph node metastasis (p = 0.025), poor histologic
differentiation (p = 0.010), R2 resection (p = 0.040), and recurrence (p <
0.001). CONCLUSION: Actual 5-year OS rate after R0 resection of hilar
cholangiocarcinoma is 30.1 %. Adjuvant treatment could be beneficial in patients
with lymph node metastasis.
PMID- 27206403
TI - Assessment of iodine concentration in dietary salt at household level in Morocco.
AB - BACKGROUND: Following WHO recommendations, Morocco adopted in 1995 the universal
salt iodization (USI) as a strategy to prevent and control iodine deficiency
disorders. In 2009, the standard salt iodine concentration was adjusted to 15-40
mg/kg. The success of USI for the control of iodine deficiency disorders requires
an evaluation of iodine concentration in salt prior to assessing the iodine
nutritional status of a population. METHODS: In our study we refer to the
anterior studies that were made in Morocco in 1993 and 1998. 178 salt samples
from households were tested for iodine using spot-testing kits. The iodometric
titration method was used to analyze accurately the concentration of iodine in
the 178 household salt samples. An empiric polling method was adopted, using a
non-probability sampling method; across the different twelve regions in the
country. RESULTS: The median and interquartile range iodine concentration in salt
was 2.9 mg/kg (IQR: 2.4-3.7). The results show that only 25 % of households use
iodized salt. The recommended iodine concentration in salt of 15-40 mg/kg was met
only in 4.5 % of salt samples. The bulk salt is used by 8 % of households. All
samples of this bulk salt were found in rural areas. According to nonparametric
appropriate tests used, there is no significant difference in iodine
concentrations between regions, between urban and rural areas and between
packaged and bulk salt. CONCLUSIONS: Two decades since introducing legislation on
Universal Salt Iodization, our survey shows that generalization of iodized salt
is far from being reached. In 2015, only a quarter of Moroccan households use the
iodized salt and only 4.5 % of salt is in conformity with regulations. The use of
bulk salt by households in rural areas constitutes a major obstacle to the
success of USI. The National Iodine Deficiency Disorders Control Program can only
be achieved if an internal follow-up and a control of external quality of program
is put in place.
PMID- 27206405
TI - The role of alternative salt bridges in cold adaptation of a novel psychrophilic
laminarinase.
PMID- 27206406
TI - Relationship between intracellular calcium and morphologic changes in rabbit
erythrocytes: Effects of the acylated and unacylated forms of E. coli alpha
hemolysin.
PMID- 27206404
TI - Elevated fecal calprotectin associates with adverse outcomes from Clostridium
difficile infection in older adults.
AB - BACKGROUND: Clostridium difficile infection (CDI) causes a mild to moderate
colitis in most patients, but some, especially older adults, develop severe,
adverse outcomes. Biomarkers predicting outcomes are needed to optimize
treatments. This study tested whether fecal calprotectin associated with a
composite primary outcome of complicated CDI (intensive care unit admission,
colectomy, or death due to CDI within 30 days of diagnosis) and/or 8-week
recurrence. METHODS: Stool was collected in Cary-Blair media at the time of
diagnosis from inpatients of age >60 years that tested positive for C. difficile
(enzyme immunoassay [EIA] for toxin A/B or polymerase chain reaction for the tcdB
gene). Fecal calprotectin was measured and normalized to solid stool weight.
Analysis was performed using logistic regression. Variables were selected for the
final model using likelihood ratio tests. RESULTS: Fifty patients were included
with a mean age 72.8 (+/- 7.5), and 13 (26%) developed the primary outcome.
Clinical variables such as age, gender, and comorbid disease did not associate
with complicated CDI/recurrence, nor did traditional biomarkers such as serum
albumin or white blood cell count. A high normalized fecal calprotectin (>2000
MUg/g) associated with the primary outcome in the final model after adjustment
for gender and detectable fecal toxin(s) by EIA (OR 24.9, 95% CI 2.4-257.9, p =
0.007) with a specificity of 91.9%. CONCLUSION: This study provides evidence that
fecal calprotectin level associates with complications from CDI in older adults.
Further studies are required to validate these findings in larger cohorts and
incorporate them into clinical prediction algorithms.
PMID- 27206408
TI - Long-term effects of penicillin resistance and fitness cost on pneumococcal
transmission dynamics in a developed setting.
AB - BACKGROUND: The increasing prevalence of penicillin non-susceptible pneumococci
(PNSP) throughout the world threatens successful treatment of infections caused
by this important bacterial pathogen. The rate at which PNSP clones spread in the
community is thought to mainly be determined by two key determinants; the volume
of penicillin use and the magnitude of the fitness cost in the absence of
treatment. The aim of the study was to determine the impacts of penicillin
consumption and fitness cost on pneumococcal transmission dynamics in a developed
country setting. METHODS: An individual-based network model based on real-life
demographic data was constructed and applied in a developed country setting
(Sweden). A population structure with transmission of carriage taking place
within relevant mixing groups, i.e. families, day care groups, school classes,
and other close contacts, was considered to properly assess the transmission
dynamics for susceptible and PNSP clones. Several scenarios were simulated and
model outcomes were statistically analysed. RESULTS: Model simulations predicted
that with an outpatient penicillin use corresponding to the sales in Sweden 2010
(118 recipes per 1,000 inhabitants per year), the magnitude of a fitness cost for
resistance must be at least 5% to offset the advantage of penicillin resistance.
Moreover, even if there is a fitness cost associated with penicillin resistance,
a considerable reduction of penicillin usage appears to be required to
significantly decrease the incidence of PNSP in a community. CONCLUSION: The
frequency of PNSP clones is hard to reverse by simply reducing the penicillin
consumption even if there is a biological cost associated with resistance.
However, because penicillin usage does promote further spread of PNSP clones, it
is important to keep down penicillin consumption considering future resistance
problems.
PMID- 27206407
TI - In vivo analysis of the effect of panobinostat on cell-associated HIV RNA and DNA
levels and latent HIV infection.
AB - BACKGROUND: The latent reservoir in resting CD4(+) T cells presents a major
barrier to HIV cure. Latency-reversing agents are therefore being developed with
the ultimate goal of disrupting the latent state, resulting in induction of HIV
expression and clearance of infected cells. Histone deacetylase inhibitors
(HDACi) have received a significant amount of attention for their potential as
latency-reversing agents. RESULTS: Here, we have investigated the in vitro and
systemic in vivo effect of panobinostat, a clinically relevant HDACi, on HIV
latency. We showed that panobinostat induces histone acetylation in human PBMCs.
Further, we showed that panobinostat induced HIV RNA expression and allowed the
outgrowth of replication-competent virus ex vivo from resting CD4(+) T cells of
HIV-infected patients on suppressive antiretroviral therapy (ART). Next, we
demonstrated that panobinostat induced systemic histone acetylation in vivo in
the tissues of BLT humanized mice. Finally, in HIV-infected, ART-suppressed BLT
mice, we evaluated the effect of panobinostat on systemic cell-associated HIV RNA
and DNA levels and the total frequency of latently infected resting CD4(+) T
cells. Our data indicate that panobinostat treatment resulted in systemic
increases in cellular levels of histone acetylation, a key biomarker for in vivo
activity. However, panobinostat did not affect the levels of cell-associated HIV
RNA, HIV DNA, or latently infected resting CD4(+) T cells. CONCLUSION: We have
demonstrated robust levels of systemic histone acetylation after panobinostat
treatment of BLT humanized mice; and we did not observe a detectable change in
the levels of cell-associated HIV RNA, HIV DNA, or latently infected resting
CD4(+) T cells in HIV-infected, ART-suppressed BLT mice. These results are
consistent with the modest effects noted in vitro and suggest that combination
therapies may be necessary to reverse latency and enable clearance. Animal models
will contribute to the progress towards an HIV cure.
PMID- 27206409
TI - The role of endoscopy in the diagnosis and treatment of cystic pancreatic
neoplasms.
PMID- 27206410
TI - Attitudes of pediatric intensive care unit physicians towards the use of
cognitive aids: a qualitative study.
AB - BACKGROUND: Cognitive aids are increasingly recommended in clinical practice, yet
little is known about the attitudes of physicians towards these tools. METHODS:
We employed a qualitative, descriptive design to explore physician attitudes
towards cognitive aids in pediatric intensive care units (PICUs). Semi-structured
interviews elicited the opinions of a convenience sample of practicing PICU
physicians towards the use of cognitive aids. We analyzed interview data for
thematic content to examine the three factors of intention to use cognitive aids
as defined by the Theory of Planned Behavior (TPB), attitudes, social norms, and
perceived control. RESULTS: Analysis of 14 interviews suggested that in the PICU
setting, cognitive aids are widely used. Discovered themes related to their use
touched on all three TPB factors of intention and included: aids are perceived to
improve team communication; aids may improve patient safety; aids may hinder
clinician judgment; physicians may resist implementation if it occurs prior to
demonstration of benefit; effective adoption requires cognitive aids to be
integrated into local workplace culture; and implementation should take physician
concerns into account. CONCLUSIONS: Our sample of PICU physicians were open to
cognitive aids in their practice, as long as such aids preserve the primacy of
clinical judgment, focus on team communication, demonstrate effectiveness through
preliminary testing, and are designed and implemented with the local culture and
work environment in mind. Future knowledge translation efforts to implement
cognitive aids would benefit from consideration of these issues.
PMID- 27206411
TI - Ambient ionization mass spectrometric analysis of human surgical specimens to
distinguish renal cell carcinoma from healthy renal tissue.
AB - Touch spray-mass spectrometry (TS-MS) is an ambient ionization technique
(ionization of unprocessed samples in the open air) that may find intraoperative
applications in quickly identifying the disease state of cancerous tissues and in
defining surgical margins. In this study, TS-MS was performed on fresh kidney
tissue (~1-5 cm(3)), within 1 h of resection, from 21 human subjects afflicted by
renal cell carcinoma (RCC). The preliminary diagnostic value of TS-MS data taken
from freshly resected tissue was evaluated. Principal component analysis (PCA) of
the negative ion mode (m/z 700-1000) data provided the separation between RCC (16
samples) and healthy renal tissue (13 samples). Linear discriminant analysis
(LDA) on the PCA-compressed data estimated sensitivity (true positive rate) and
specificity (true negative rate) of 98 and 95 %, respectively, based on
histopathological evaluation. The results indicate that TS-MS might provide rapid
diagnostic information in spite of the complexity of unprocessed kidney tissue
and the presence of interferences such as urine and blood. Desorption
electrospray ionization-MS imaging (DESI-MSI) in the negative ionization mode was
performed on the tissue specimens after TS-MS analysis as a reference method. The
DESI imaging experiments provided phospholipid profiles (m/z 700-1000) that also
separated RCC and healthy tissue in the PCA space, with PCA-LDA sensitivity and
specificity of 100 and 89 %, respectively. The TS and DESI loading plots
indicated that different ions contributed most to the separation of RCC from
healthy renal tissue (m/z 794 [PC 34:1 + Cl](-) and 844 [PC 38:4 + Cl](-) for TS
vs. m/z 788 [PS 36:1 - H](-) and 810 [PS 38:4 - H](-) for DESI), while m/z 885
([PI 38:4 - H](-)) was important in both TS and DESI. The prospect, remaining
hurdles, and future work required for translating TS-MS into a method of
intraoperative tissue diagnosis are discussed. Graphical abstract Touch spray
mass spectrometry used for lipid profiling of fresh human renal cell carcinoma.
Left) Photograph of the touch spray probe pointed at the MS inlet. Right) Average
mass spectra of healthy renal tissue (blue) and RCC (red).
PMID- 27206412
TI - Role of dual-source dual-energy computed tomography versus X-ray crystallography
in prediction of the stone composition: a retrospective non-randomized pilot
study.
AB - PURPOSE: We aimed to evaluate the accuracy of determining stone composition with
dual-source (DS) dual-energy (DE) computed tomography (CT). METHODS: A total of
142 patients, diagnosed with urolithiasis and had complete medical records, were
included in the study. The number, dimensions, location and CT density of the
stones, and dose-length products and effective radiation dose were recorded for
every patient. Stone compositions determined with DECT by two radiologists
separately were compared with crystallography method. RESULTS: Among 138 stones
with a crystallographic result out of 187 stones evaluated, 58 calcium oxalate,
42 hydroxyapatite, 24 uric acid and 10 cystine stones were detected. DECT showed
a sensitivity and negative predictive value of 68.67 and 67.5 % for calcium
oxalate. Moreover, DECT was found to be very useful in predicting hydroxyapatite
and cystine stones with a 100 % sensitivity and negative predictive value. Cohen
kappa correlation test showed a substantial agreement (kappa = 0.682) between
crystallographic analysis and prediction with DECT-analysis, which was
statistically significant (p < 0.001). CONCLUSION: In this retrospective study,
an unenhanced DECT was found to be accurate for in vivo determination of stone
type, and thus it can be used easily without any extra burden to the patient or
cost while providing additional information.
PMID- 27206413
TI - Chemical characterization of milk oligosaccharides of the tiger quoll (Dasyurus
maculatus), a marsupial.
AB - Milk oligosaccharides were separated from the carbohydrate fraction of milk of
the tiger quoll a species of marsupial that is closely related to the eastern
quoll, Dasyurus viverrinus. They were characterized by (1)H - nuclear magnetic
resonance spectroscopy and matrix - assisted laser desorption/ionization time-of
flight mass spectrometry. The following oligosaccharides were identified;
Gal(beta1-3)Gal(beta1-4)Glc, Gal(beta1-3)Gal(beta1-3)Gal(beta1-4)Glc, Gal(beta1
3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1-4)Glc, Gal(beta1-3)Gal(beta1
3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1-4)Glc, Gal(beta1-3)[Gal(beta1
4)GlcNAc(beta1-6)]Gal(beta1-3)Gal(beta1-4)Glc, Gal(beta1-3)[Gal(beta1-3)Gal(beta1
4)GlcNAc(beta1-6)]Gal(beta1-4)Glc, Gal(beta1-3)[Gal(beta1-4)GlcNAc(beta1
6)]Gal(beta1-3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1-4)Glc, Neu5Ac(alpha2-3)
Gal(beta1-3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1-3)[Gal(beta1-4)GlcNAc(beta1
6)]Gal(beta1-4)Glc, Gal(beta1-3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1
3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1-4)Glc with an alpha(2-3)Neu5Ac linked to
beta(1-4)Gal residue of either branch of Gal(beta1-4)GlcNAc(beta1-6) units, and
Gal(beta1-3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1-3)[Gal(beta1-4)GlcNAc(beta1
6)]Gal(beta1-4)Glc with a beta(1-3) linked Gal and an alpha(2-3) linked Neu5Ac.
In addition, larger oligosaccharides were characterized as follows; Gal(beta1
3){Gal(beta1-3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1-4)GlcNAc(beta1-6)}Gal(beta1
3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1-4)Glc and Gal(beta1-3)[Gal(beta1
4)GlcNAc(beta1-6)]Gal(beta1-3){Gal(beta1-3)[Gal(beta1-4)GlcNAc(beta1-6)]Gal(beta1
4)GlcNAc(beta1-6)}Gal(beta1-4)Glc and their alpha(2-3) linked Neu5Ac derivatives.
PMID- 27206414
TI - Characterization of two novel sialyl N-acetyllactosaminyl nucleotides separated
from ovine colostrum.
AB - The milk/colostrum of some mammalian species is known to contain sugar
nucleotides including uridine diphosphate (UDP) oligosaccharides in addition to
lactose and milk oligosaccharides, but the detailed structures of these UDP
oligosaccharides have not so far been clarified. In this study we isolated two
UDP-sialyl N-acetyllactosamines from ovine colostrum and characterized them using
(1)H-NMR and MALDI-TOFMS spectroscopies. Their structures were found to be
Neu5Gc(alpha2-3)Gal(beta1-4)GlcNAcalpha1-UDP and Neu5Gc(alpha2-6)Gal(beta1
4)GlcNAcalpha1-UDP.
PMID- 27206415
TI - Association between cystatin C and heart failure with preserved ejection fraction
in elderly Chinese patients.
AB - BACKGROUND: Approximately 50 % of patients with heart failure have a preserved
ejection fraction (HFpEF). Cystatin C has been reported to be associated with
cardiovascular events. This study was carried out to investigate whether cystatin
C is associated with cardiac function and cardiac diastolic properties in elderly
Chinese HFpEF patients. MATERIAL AND METHODS: A cross-sectional study of 381
elderly Chinese HFpEF patients (81 women, average age 82 +/- 6 years) was
conducted. Serum concentrations of cystatin C and the New York Heart Association
(NYHA) classification were assessed and early (E) to late (A) transmitral flow
velocity ratios (E/A ratio) were measured to assess cardiac diastolic properties.
RESULTS: Cystatin C levels, N-terminal pro brain natriuretic peptide (NT-proBNP)
levels and age were significantly correlated to the NYHA class (r = 0.605 P <
0.001, r = 0.333 P < 0.001 and r = 0.254 P < 0.001, respectively). Cystatin C
levels, age and body mass index (BMI) were negatively correlated to the E/A ratio
(r = -0.224 P = 0.005, r = -0.258 P = 0.001 and r = -0.258 P = 0.003,
respectively). The patients with cystatin C concentrations below 1.3 mg/l had a
higher E/A ratio compared to those with cystatin C concentrations higher than 1.3
mg/l. Cystatin C was also significantly associated with both the NYHA
classification and the E/A ratio even after adjustment for the creatinine
clearance rate (CCr). CONCLUSION: Both cystatin C and NT-proBNP were found to be
correlated to the NYHA classification. Independent of renal function, higher
serum concentrations of cystatin C were associated with a worse NYHA
classification and abnormal cardiac diastolic properties in elderly Chinese HFpEF
patients.
PMID- 27206416
TI - [Preventive home visits : Cross-sectional study to support an independent
lifestyle for elderly people].
AB - BACKGROUND: In the interest of preventing or postponing dependency on care and
assistance for as long as possible, preventive home visits to people aged over 70
years living at home were conducted by registered nurses. AIM: Assessment of the
functional health of people over 70 years of age and counseling or information
carried out based on the identified problem areas and resources. MATERIAL AND
METHODS: A multidimensional nursing assessment through self-evaluation was
applied for 345 people aged over 70 years. RESULTS: The sample of people
investigated showed a high level of competence in self-care; however, a large
number of functional health impairments could be identified that are reflected in
the high requirement for counseling and information. DISCUSSION: It became
evident that recruiting of study participants was difficult and that care by
family members was an important resource for people aged over 70 years. From this
it was recommended that in the future sustainable advertising efforts should be
conducted and special attention needs to be given to counseling and information
for family members in preventive home visits.
PMID- 27206417
TI - Idiopathic Guttate Hypomelanosis: A Review of its Etiology, Pathogenesis,
Findings, and Treatments.
AB - Idiopathic guttate hypomelanosis is a common acquired leukoderma characterized by
multiple, discrete round or oval, porcelain-white macules on sun-exposed areas,
especially on the extensor surface of forearms and pretibial areas. It usually
affects individuals aged over 40 years and the likelihood of acquiring it
increases with age. The exact pathogenesis remains controversial. However, there
are several factors that are believed to be involved such as aging, ultraviolet
exposure, trauma, genetic factors, autoimmunity, and local inhibition of
melanogenesis. Despite the benign course of progression, many patients visit
medical centers owing to cosmetic concerns and to confirm the natural course of
idiopathic guttate hypomelanosis. Because there is no standard therapy for this
condition, numerous medical and surgical treatments including intralesional
corticosteroids, topical retinoids, topical calcineurin inhibitors, phenol
peeling, cryotherapy, superficial dermabrasion, skin grafting, and ablative and
non-ablative lasers have been tested with mixed results. This article will
thoroughly review the etiology, pathogenesis, clinical presentations, histologic,
dermoscopic, and ultrastructural findings, and the treatment of idiopathic
guttate hypomelanosis.
PMID- 27206418
TI - CARS 2016-Computer Assisted Radiology and Surgery Proceedings of the 30th
International Congress and Exhibition Heidelberg, Germany, June 21-25, 2016.
PMID- 27206419
TI - Use of the ETView Tracheoscopic Ventilation Tube in airway management of a
patient with unanticipated difficult bag-mask ventilation.
AB - The management of unanticipated difficult airway is a clinical challenge to
anesthesiologists. The ETView Tracheoscopic Ventilation Tube (ETView), which is
capable of real-time video imaging of the airway without needing additional
equipment, may provide a promising settlement for the cases. Here, we reported a
successful management of unanticipated difficult bag-mask ventilation airway with
the ETView. More importantly, we successfully maintained oxygenation by modifying
its injection and suction port.
PMID- 27206420
TI - Effects of heparin bridging anticoagulation on perioperative bleeding and
thromboembolic risks in patients undergoing abdominal malignancy surgery.
AB - Recent publications provided controversial results indicating that perioperative
heparin bridging anticoagulation (HBA) increased the bleeding risk without
decreasing the thromboembolic risk in patients undergoing minor surgery. To
investigate if this is also the case in high-risk patients undergoing major
abdominal malignancy surgery, we retrospectively collected data of 3268 patients
over a 10-year period. After the interruption of preoperative antithrombotic
agents, HBA was initiated with a prophylactic-dose of unfractionated heparin in
133 patients (HBA group), and 62 patients did not receive HBA (non-HBA group).
The incidence of exogenous blood transfusion (EBT) and thromboembolic events
(TEEs) within 30 days after surgery were compared between the HBA and non-HBA
groups. The results showed that the incidence of EBT and TEEs was similar between
the two groups (23.3 vs 19.4 %; P = 0.535) and (4.1 vs 3.2 %; P = 0.821),
respectively. The amount of intraoperative bleeding and the length of
postoperative hospital stay were also similar [median (quantile 1-3); 192 (71
498) vs 228 ml (100-685); P = 0.422] and [12 (9-19) vs 14.5 days (10-21); P =
0.052], respectively. These findings may suggest it is unlikely that prophylactic
dose HBA affects bleeding and thromboembolic risks in patients undergoing major
abdominal malignancy surgery.
PMID- 27206421
TI - Ecchordosis physaliphora: typical and atypical radiologic features.
AB - Ecchordosis physaliphora (EP) is a distinct clinical entity defined as a
notochordal remnant found on the dorsal surface of the clivus, occurring in about
2 % of autopsies. The aim of this study is to introduce typical and atypical
imaging features of EP, which can be confused with those of clival chordoma.
Forty-one patients with clinical suspicion for clival chordoma visited the
outpatient clinic from June 2007 to August 2015. A retrospective review was
performed with magnetic resonance imaging (MRI) and computed tomography (CT)
studies to revise the diagnosis to EP. Eight of 41 patients (19.5 %) manifested
lesions on the dorsal surface of the clivus that were well circumscribed and
homogenous, with no septations or osteolysis. The lesions were all hypointense on
T1, hyperintense on T2-weighted MRI, and had no enhancement with gadolinium. A
distinct T2-hypointense pedicle, which is the hallmark of EP, was seen in five
patients (62.5 %) and defined as typical EP. A characteristic T2-hypointense rim
was observed in three patients and defined as atypical EP (37.5 %). The mean
largest diameter of the lesions was 1.1 cm (0.6-1.8 cm). Lesion size did not
change in all the patients who were followed for a mean of 3.6 years (1.4-8.2
years) by separate MRI scans performed every 6 months to 1 year. EP and clival
chordoma represent different spectra of the same pathology. As the two lesions
have completely different prognoses, precise knowledge of the imaging features of
EP is very important. Accurate diagnosis is essential for proper treatment
planning.
PMID- 27206423
TI - Antibiotic resistance of Streptococcus pneumoniae, isolated from nasopharynx of
preschool children with acute respiratory tract infection in Lithuania.
AB - BACKGROUND: Increasing pneumococcal resistance to commonly used antibiotics and
multidrug resistance is a serious public health concern. Data on distribution of
resistant Streptococcus pneumoniae (SPn) strains among children in Lithuania are
limited. We evaluated the circulation of SPn serotypes and antimicrobial
susceptibility among preschool children in Lithuania before the introduction of
universal infant pneumococcal vaccination. METHODS: A prospective study was
carried out from February 2012 to March 2013 in five cities of Lithuania. A total
of 900 children under six years of age who presented to primary care centre or a
hospital emergency department with acute respiratory tract infection were
enrolled in the study. Nasopharyngeal swabs were obtained and cultured for SPn.
Positive samples (n = 367) were serotyped and tested for antimicrobial
susceptibility. Associations of pneumococcal non-susceptibility with study site,
season, age, sex, attendance of day care centre and treatment with antimicrobials
(between one and six months prior the study) were evaluated. RESULTS: About a
half (56.7 %) of SPn strains were susceptible to all the antibiotics tested.
Pneumococcal non-susceptibility to penicillin, erythromycin, clindamycin and
trimethoprim-sulphamethoxazole was 15.8, 21.3, 16.9 and 27.3 %, respectively.
None of the tested isolates was resistant to norfloxacin or vancomycin. We found
a geographical variation of pneumococcal resistance within the cities of the
country. Age, sex, the attendance of day care centre and treatment with
antimicrobials prior the study was not significantly associated with a carriage
of non-susceptible SPn strains. Among non-susceptible SPn serotypes 67.9 %-82.4 %
were present in currently available pneumococcal conjugate vaccines. CONCLUSIONS:
The rates of nasopharyngeal SPn susceptibility to penicillin and macrolides are
still high among preschool children in Lithuania, however they are lower compared
with previous studies. A strict policy with respect to antibiotic prescription
together with widespread use of vaccination could potentially reduce the carriage
rate of antibiotic-resistant pneumococci in our country.
PMID- 27206422
TI - Seizure outcomes in nonresective epilepsy surgery: an update.
AB - In approximately 30 % of patients with epilepsy, seizures are refractory to
medical therapy, leading to significant morbidity and increased mortality.
Substantial evidence has demonstrated the benefit of surgical resection in
patients with drug-resistant focal epilepsy, and in the present journal, we
recently reviewed seizure outcomes in resective epilepsy surgery. However, not
all patients are candidates for or amenable to open surgical resection for
epilepsy. Fortunately, several nonresective surgical options are now available at
various epilepsy centers, including novel therapies which have been pioneered in
recent years. Ablative procedures such as stereotactic laser ablation and
stereotactic radiosurgery offer minimally invasive alternatives to open surgery
with relatively favorable seizure outcomes, particularly in patients with mesial
temporal lobe epilepsy. For certain individuals who are not candidates for
ablation or resection, palliative neuromodulation procedures such as vagus nerve
stimulation, deep brain stimulation, or responsive neurostimulation may result in
a significant decrease in seizure frequency and improved quality of life.
Finally, disconnection procedures such as multiple subpial transections and
corpus callosotomy continue to play a role in select patients with an eloquent
epileptogenic zone or intractable atonic seizures, respectively. Overall, open
surgical resection remains the gold standard treatment for drug-resistant
epilepsy, although it is significantly underutilized. While nonresective epilepsy
procedures have not replaced the need for resection, there is hope that these
additional surgical options will increase the number of patients who receive
treatment for this devastating disorder-particularly individuals who are not
candidates for or who have failed resection.
PMID- 27206424
TI - Implicit and explicit anti-fat bias among Asian females.
AB - Obesity is not only associated with an increased risk of numerous health
problems, but also with high rates of stigmatization and weight-related bias.
Anti-fat attitudes have been shown to be prevalent in Western samples; however,
there is a lack of studies investigating both implicit and explicit anti-fat bias
in Asian populations. There is also limited research investigating the
relationship between anti-fat attitudes and weight-related behavioral intentions.
Thus, this study aimed to examine anti-fat bias and its effect on behavioral
intentions using three types of measures-implicit, explicit, and a revised
behavioral intention measure-in a sample of 104 Asian females in Singapore.
Significant differences were found between implicit and explicit bias: on
average, participants exhibited strong implicit but no explicit anti-fat bias (p
< 0.001). Furthermore, only implicit anti-fat bias was found to be a significant
predictor of behavioral intentions (p < 0.05), after accounting for body mass
index, and sociodemographic variables. In conclusion, the present study revealed
that implicit anti-fat bias is present among Asian females and is a valid
predictor of weight-related behavioral intentions. However, anti-fat bias is
often not expressed explicitly, possibly influenced by collectivistic beliefs.
More studies are needed to better understand similarities and differences between
Asian and Western populations regarding attitudes toward overweight and obese
individuals.
PMID- 27206425
TI - Erratum to: Comparative anatomical distribution of neuronal calcium-binding
protein (NECAB) 1 and -2 in rodent and human spinal cord.
PMID- 27206426
TI - Three-dimensional probability maps of the rhinal and the collateral sulci in the
human brain.
AB - The sulcal segments of the collateral sulcal complex on the medial part of the
temporal lobe delineate the parahippocampal gyrus involved in memory processing
from the laterally adjacent fusiform gyrus. The rhinal sulcus delineates the
entorhinal cortex on the anterior portion of the parahippocampal gyrus. Posterior
to the rhinal sulcus lies the collateral sulcus proper which delineates the
parahippocampal cortex that occupies the posterior part of the parahippocampal
gyrus. A small sulcus, the parahippocampal extension of the collateral sulcus,
runs transversely within the parahippocampal gyrus. The rhinal sulcus, the
collateral sulcus proper, and the parahippocampal extension of the collateral
sulcus were identified on magnetic resonance images of 40 healthy human brains
and probability maps were created to provide quantification of the location
variability within standard stereotaxic space. These probability maps can act as
a reference frame for the accurate identification of key components of the
parahippocampal region and assist in the interpretation of structural and
functional changes obtained in neuroimaging studies.
PMID- 27206427
TI - Nucleus incertus promotes cortical desynchronization and behavioral arousal.
AB - Arousal and vigilance are essential for survival and relevant regulatory neural
circuits lie within the brainstem, hypothalamus and forebrain. The nucleus
incertus (NI) is a distinct site within the pontine periventricular gray,
containing a substantial population of GABAergic neurons with long-range,
ascending projections. Existing neuroanatomical data and functional studies in
anesthetized rats, suggest the NI is a central component of a midline behavioral
control network well positioned to modulate arousal, vigilance and exploratory
navigation, yet none of these roles have been established experimentally. We used
a chemogenetic approach-clozapine-N-oxide (CNO) activation of virally delivered
excitatory hM3Dq-DREADDs-to activate the NI in rats and examined the behavioral
and physiological effects, relative to effects in naive rats and appropriate
viral-treated controls. hM3Dq activation by CNO resulted in long-lasting
depolarization of NI neurons with action potentials, in vitro. Peripheral
injection of CNO significantly increased c-Fos immunoreactivity in the NI and
promoted cortical electroencephalograph (EEG) desynchronization. These brain
changes were associated with heightened arousal, and increased locomotor activity
in the homecage and in a novel environment. Furthermore, NI activation altered
responses in a fear conditioning paradigm, reflected by increased head-scanning,
vigilant behaviors during conditioned fear recall. These findings provide direct
evidence that the NI promotes general arousal via a broad behavioral activation
circuit and support early hypotheses, based on its connectivity, that the NI is a
modulator of cognition and attention, and emotional and motivated behaviors.
PMID- 27206428
TI - Is CD147 a New Biomarker Reflecting Histological Malignancy of Gliomas?
AB - CD147 belongs to immunoglobulin superfamily and can stimulate the surrounding
fibroblasts to secret matrix metalloproteinases (MMPs). Studies showed that when
compared with their normal counterparts, CD47 expression level increased in lung
carcinoma tissue, breast cancer tissue, and bladder cancer tissue. They increase
in line with a tumor's malignant progression, invasiveness, and metastasis.
However, the precise implications and utility of the presence of CD147 in the WHO
grading system for gliomas have rarely been reported; in addition, the signal
transduction pathways regarding CD147 remain unclear and controversial. Thus, in
performing a meta-analysis, it is essential to reach a reliable conclusion. The
related literatures were incorporated into the present meta-analysis after
careful assessment, and odds ratios (ORs) with 95 % confidence intervals (95 %
CIs) were calculated. Heterogeneity evaluation was estimated. Ten studies
involving 615 patients were found to be eligible, nine of which were conducted in
China and the remaining one in Japan. Analysis of eight studies involving
dichotomous data revealed that CD147 overexpression in glioma tissue was related
to higher WHO grading (III + IV; OR, 9.900; 95 % CI, 5.943, 16.491; P = 0.000)
closely, whereas analysis of three studies of continuous data type indicated that
there were no statistical associations (standard mean difference, -1.894; 95 %
CI, -4.081, 0.293; P = 0.090). In accordance with funnel plot, Egger test, and
Begg test, there was no publication bias. Considering that the continuous data
make up only a small proportion of the overall analysis, we believe that our
study indicates that CD147 overexpression is potentially related to higher WHO
grade. Certainly, more data compiled based on evidence-based medicine are
required to further support this conclusion.
PMID- 27206429
TI - Monocrotophos Induces the Expression of Xenobiotic Metabolizing Cytochrome P450s
(CYP2C8 and CYP3A4) and Neurotoxicity in Human Brain Cells.
AB - Expression of various cytochrome P450s (CYPs) in mammalian brain cells is well
documented. However, such studies are hampered in neural/glial cells of human
origin due to nonavailability of human brain cells. To address this issue, we
investigated the expression and inducibility of CYP2C8 and CYP3A4 and their
responsiveness against cyclophosphamide (CPA) and organophosphorus pesticide
monocrotophos (MCP), a known developmental neurotoxicant in human neural (SH
SY5Y) and glial (U373-MG) cell lines. CPA induced significant expression of
CYP2C8 and CYP3A4 in both types of cells in a time-dependent manner. Neural cell
line exhibited relatively higher constitutive and inducible expression of CYPs
than the glial cell line. MCP exposure alone could not induce the significant
expression of CYPs, whereas the cells preexposed to CPA showed a significant
response to MCP. Similar to the case of CPA induced expressions, neural cells
were found to be more vulnerable than glial cells. Our data indicate differential
expressions of CYPs in cultured human neural and glial cell lines. The findings
were synchronized with protein ligand docking studies, which showed a significant
modulatory capacity of MCP by strong interaction with CYP regulators-CAR and PXR.
Similarly, the known CYP inducer CPA has also shown significant high docking
scores with the two studied CYP regulators. We also observed a significant
induction in reactive oxygen species (ROS), lipid peroxides (LPO), micronucleus
(MN), chromosomal aberration (CA), and reduction in reduced glutathione (GSH) and
catalase following the exposure of MCP. Moreover, the expressions of apoptotic
markers such as caspase-3, caspase-9, Bax, and p53 were significantly
upregulated, whereas the levels of antiapoptotic marker, Bcl2, was downregulated
after the exposure of MCP in both cell lines. These findings confirm the
involvement of ROS-mediated oxidative stress, which subsequently triggers
apoptosis pathways in both human neural (SH-SY5Y) and glial (U373-MG) cell lines
following the exposure of MCP.
PMID- 27206430
TI - Delayed Treatment with Green Tea Polyphenol EGCG Promotes Neurogenesis After
Ischemic Stroke in Adult Mice.
AB - (-)-Epigallocatechin-3-gallate (EGCG), the predominant constituent of green tea,
has been demonstrated to be neuroprotective against acute ischemic stroke.
However, the long-term actions of EGCG on neurogenesis and functional recovery
after ischemic stroke have not been identified. In this study, C57BL/6 mice
underwent middle cerebral artery occlusion (60 min) followed by reperfusion for
28 days. Neural progenitor cells (NPCs) were isolated from ipsilateral
subventricular zone (SVZ) at 14 days post-ischemia (dpi). The effects of EGCG on
the proliferation and differentiation of NPCs were examined in vivo and in vitro.
Behavioral assessments were made 3 days before MCAO and at 28 dpi. SVZ NPCs were
stimulated with lipopolysaccharide (LPS) in vitro to mimic the inflammatory
response after ischemic stroke. We found that 14 days treatment with EGCG
significantly increased the proliferation of SVZ NPCs and the migration of SVZ
neuroblasts, as well as functional recovery, perhaps through M2 phenotype
induction in microglia. LPS stimulation promoted the neuronal differentiation in
cultured NPCs from the ischemic SVZ. EGCG treatment (20 or 40 MUM) further
significantly increased the neuronal differentiation of LPS-stimulated SVZ NPCs.
After screening for multiple signaling pathways, the AKT signaling pathway was
found to be involved in EGCG-mediated proliferation and neuronal differentiation
of NPCs in vitro. Taken together, our results reveal a previously uncharacterized
role of EGCG in the augment of proliferation and neuronal differentiation of SVZ
NPCs and subsequent spontaneous recovery after ischemic stroke. Thus, the
beneficial effects of EGCG on neurogenesis and stroke recovery should be
considered in developing therapeutic approaches.
PMID- 27206431
TI - TERT Genetic Mutations as Prognostic Marker in Glioma.
AB - Telomerase reverse transcriptase (TERT) encodes the catalytic subunit of
telomerase. The role of TERT in gliomagenesis has been extensively investigated.
Since the influence of district, population, sample size, and experimental
technology, our analysis, based on published articles, was aimed to obtain an
accurate estimation of the relationship between TERT mutations and prognosis of
glioma patients. PubMed, Web of science and Google Scholar databases were
searched for potential articles. Finally, six studies with 2111 patients were
included in the meta-analysis. Heterogeneity was evaluated by I2 statistics and P
value. I2 > 50 % and P < 0.05 indicated significant heterogeneity between
included studies and random-effects model was used; otherwise, fixed-effects
model was used for analysis. The results of meta-analysis was expressed as hazard
ratio (HR) and 95 % confidence interval (CI). The pooled results calculated by
fixed-effects model suggested that TERT mutations were associated with poor
prognosis of glioma patients (HR 1.68, 95 % CI 1.43-1.97). In conclusion, TERT
mutations may be associated with shorter survival of glioma patients.
PMID- 27206433
TI - [Fecal Calprotectin in Inflammatory Bowel Disease].
AB - Inflammatory bowel disease (IBD), Crohn's disease and ulcerative colitis comprise
conditions characterized by chronic, relapsing immune activation and inflammation
within the gastrointestinal tract. Objective estimation of intestinal
inflammation is the mainstay in the diagnosis and observation of IBD, but is
primarily dependent on expensive and invasive procedures such as endoscopy.
Therefore, a simple, noninvasive, inexpensive, and accurate test would be
extremely important in clinical practice. Fecal calprotectin is a calcium
containing protein released into the lumen that is excreted in feces during acute
and chronic inflammation. It is well-researched, noninvasive, and has high
sensitivity and specificity for identification of inflammation in IBD. This
review will focus on the use of fecal calprotectin to help diagnose, monitor, and
determine treatment in IBD.
PMID- 27206434
TI - Predictors of Mortality in Korean Patients with Pyogenic Liver Abscess: A Single
Center, Retrospective Study.
AB - BACKGROUND/AIMS: The mortality rate of pyogenic liver abscess (PLA) has decreased
dramatically, but it remains a potentially life threatening disease. Most cases
are cryptogenic or occur in elderly men with underlying biliary tract disease.
Although several studies have addressed the characteristics and etiology of PLA,
research on factors affecting PLA-associated mortality is lacking. This study
intended to identify the clinical and radiological features, pathogens,
complications, and predictors of mortality in Korean PLA patients. METHODS: The
medical records of 231 PLA patients diagnosed at Yeungnam University Medical
Center between January 2010 and January 2014 were analyzed. A diagnosis of PLA
was made based on imaging studies and blood and abscess cultures. The clinical,
radiological, and laboratory findings of patients were analyzed. RESULTS: The
mean patient age was 64.0+/-12.9 years and the male to female ratio was 1.5:1.
Klebsiella pneumoniae was the predominant organism isolated from hepatic
abscesses (69.9%) and blood (74.2%). The most common complication was pleural
effusion (35.8%) and most common co-infection was cholangitis (8.2%). The overa
ll mortality rate of PLA was 6.9% (16/231), and was significantly higher in
patients with a history of liver abscess (OR 5.970, 95% CI 1.207-29.529;
p=0.028), bilirubinemia (>2 mg/dL) (OR 9.541, 95% CI 2.382-38.216; p=0.001),
thrombocytopenia (< 140*10(3)/L) (OR 4.396, 95% CI 1.130-17.106; p=0.033), or
anemia (< 12 g/dL) (OR 13.277, 95% CI 1.476-119.423; p=0.021). CONCLUSIONS: The
prognosis of PLA appears to be dependent on underlying pathologies and severity
of condition. More aggressive treatment should be considered if a poor prognosis
is expected.
PMID- 27206432
TI - Molecular Mechanisms of Vascular Dementia: What Can Be Learned from Animal Models
of Chronic Cerebral Hypoperfusion?
AB - Vascular dementia (VD) is defined as a progressive neurodegenerative disease of
cognitive decline, attributable to cerebrovascular factors. Numerous studies have
demonstrated that chronic cerebral hypoperfusion (CCH) is associated with the
initiation and progression of VD and Alzheimer's disease (AD). Suitable animal
models were established to replicate such pathological condition in experimental
research, which contributes largely to comprehending causal relationships between
CCH and cognitive impairment. The most widely used experimental model of VD and
CCH is permanent bilateral common carotid artery occlusion in rats. In CCH
models, changes of learning and memory, cerebral blood flow (CBF), energy
metabolism, and neuropathology initiated by ischemia were revealed. However, in
order to achieve potential therapeutic targets, particular mechanisms in
cognitive and neuropathological changes from CCH to dementia should be
investigated. Recent studies have shown that hypoperfusion resulted in a chain of
disruption of homeostatic interactions, including oxidative stress,
neuroinflammation, neurotransmitter system dysfunction, mitochondrial
dysfunction, disturbance of lipid metabolism, and alterations of growth factors.
Evidence from experimental studies that elucidate the damaging effects of such
imbalances suggests their critical roles in the pathogenesis of VD. The present
review provides a summary of the achievements in mechanisms made with the CCH
models, permits an understanding of the causative role played by CCH in VD, and
highlights preventative and therapeutic prospects.
PMID- 27206436
TI - [A Case of Giant Fibrovascular Polyp of the Esophagus, Treated Successfully by
Endoscopic Resection].
AB - Fibrovascular polyps are rare benign intraluminal tumors that usually arise from
the cervical esophagus. These often present as very large sized pedunculated
polyps and cause symptoms including dysphagia and respiratory distress.
Generally, large polyps are surgically excised, while endoscopic resection is
limited to smaller polyps. Herein, we present a giant fibrovascular polyp of the
esophagus treated successfully by endoscopic resection.
PMID- 27206437
TI - Primary Mucosa-associated Lymphoid Tissue Lymphoma Metachronously Involving
Esophagus and Stomach.
AB - Mucosa-associated lymphoid tissue (MALT) lymphoma is found in various organs as
extranodal B cell lymphoma. The gastro-intestinal tract is the most commonly
involved extranodal site in MALT lymphoma. However, primary esophageal MALT
lymphoma is very rare. In addition, few cases with metachronous gastric
involvement have been reported. A 55-year-old man was diagnosed with MALT
lymphoma by surveillance esop hagogastroduodenoscopy. A 5 cm esophageal
submucosal tumor-like lesion was incidentally revealed by screening
esophagogastroduodenoscopy two years prior. Esophagogastroduodenoscopy showed a
cylin-drically elongated submucosal mass with normal overlying mucosa in the mid
esophagus. He underwent surgery to confirm the diagnosis. The pathologic
diagnosis was esophageal MALT lymp homa. He was treated with radiation, which
achieved complete remission. Esophagogastroduodenoscopy and chest computed
tomography were performed every three to six months, with no evidence of
recurrence for 18 months. After 21 months, severa l elevated gastric erosions
were found on the great curvature and posterior sides of the midbody and
confirmed as MALT lymphoma pathologically. Here we report a case with MALT
lymphoma metachronously involving the esophagus and stomach.
PMID- 27206435
TI - [Septic Shock in Pyogenic Liver Abscess: Clinical Considerations].
AB - BACKGROUND/AIMS: Pyogenic liver abscess (PLA) is a life-threatening condition,
despite advances in diagnostic technology and strategies for treatment. A strong
predictor of mortality in this condition is septic shock. This study describes
clinical, biochemical, and radiologic features in patients with PLA with or
without septic shock, with the intent of describing risk factors for septic
shock. METHODS: Of 358 patients with PLA enrolled, 30 suffered septic shock and
the remaining 328 did not. We reviewed the medical records including etiologies,
underlying diseases, laboratory, radiologic and microbiologic findings, methods
of treatment and treatment outcomes. RESULTS: The case fatality rate was 6.1%. In
univariate analysis, the presence of general weakness, mental change, low
platelet level, prolonged PT, high BUN level, high creatinine level, low albumin
level, high AST level, high CRP level, abscess size >6 cm, the presence of gas
forming abscess, APACHE II score >= 20, and the presence of Klebsiella pneumoniae
infection were significantly associated with septic shock. Multivariate analysis
showed the presence of mental change (p=0.004), gas-form -ing abscess (p=0.012),
and K. pneumoniae infection (p=0.027) were independent predictors for septic
shock. CONCLUSIONS: The presence of mental change, gas-forming abscess, and K.
pneumoniae infection were independent predictors for septic shock in patients
with PLA.
PMID- 27206438
TI - [Clinical Course of Three Cases of Pneumatosis Intestinalis].
AB - Pneumatosis cystoides intestinalis (PCI) is a rare condition characterized by
multiple gas-filled cysts of varying size in the wall of gastrointestinal tract.
PCI may idiopathic or secondary to various disorders. The etiology and
pathogenesis of PCI are unclear. Treatment is usually conservative, and includes
oxygen and antibiotics therapy. Surgery is reserved for cases of suspected
inconvertible intestinal obstruction or perforation. Eleven patients who were
diagnosed with PI between 2005 and 2015 were reviewed. We report three cases of
PCI and describe causes and complications. The most important point in the
treatment of PCI is to determine whether the patient needs surgery. Conservative
care should be considered first if the patient is stable. If any complication is
observed, such as ischemia in the intestine, surgery is needed. It is important
to choose the best treatment based on prognostic factors and CT findings.
PMID- 27206439
TI - [Concomitant Drug Reaction with Eosinophilia and Systemic Symptom Syndrome from
Ethambutol and Autoimmune Hepatitis from Isoniazid].
AB - Anti-tuberculosis drugs can produce levels of hepatotoxicity ranging from mild
elevation of aminotransferase to severe acute hepatitis. A few cases of drug
induced autoimmune hepatitis or the drug reaction with eosinophilia and systemic
symptom (DRESS) syndrome by anti-tuberculosis medications have been reported.
However, concomitant occurrence of these two disorders has not been reported.
Here, we present a case of severe acute hepatitis with DRESS syndrome and
autoimmune hepatitis resulting from primary standard anti-tuberculosis drugs.
Both conditions were successfully treated with a systemic steroid regimen.
PMID- 27206441
TI - [A Case of Xanthogranulomatous Inflammation of Terminal Ileum Presenting as a
Mass in a Woman with Severe Obesity].
AB - Xanthogranulomatous inflammation is an acute or chronic inflammatory condition
most frequently reported in pyelonephritis and cholecystitis. However, the
involvement of the terminal ileum is extremely rare. Its clinical significance is
that it can m imic a malignant lesion clinically and intraoperatively, as well as
radiographically. A 34-year-old European ethnic female presented with gradually
aggravated abdominal pain in right lower quadrant for 15 days. There was no
significant medical, surgical or traumatic history, except class III obesity
(BMI, 41.0 kg/m 2 ). An abdominal CT showed about a 4.7*3.7 cm sized, mass-like
lesion in the terminal ileum. Despite symp tomatic treatment, her clinical
symptoms did not improve. After six days, she underwent a laparoscopic
ileocecectomy. Pathologic findings showed extensive inflammation with occasional
multinucleated giant cells and aggregates of foamy histiocytes, consistent with
xanthogran ulomatous inflammation. Here, we present a case of xanthogranu
lomatous inflammation in the terminal ileum presenting as subacute abdominal pain
and a mass on imaging study. Xanthogranulomatous inflammation should be added to
the differential diagnosis of patients with a suspected mass-like lesion in the
terminal ileum.
PMID- 27206440
TI - Repeated Panniculitis Induced by Pegylated Interferon Alpha 2a in a Patient with
Chronic Hepatitis C.
AB - Pegylated interferon alpha (PEG-IFN-alpha) is widely used to treat chronic
hepatitis C in combination with ribavirin. Many adverse effects of PEG-IFN-alpha,
such as hematologic, psychologic, dermatologic, immunologic, and other
abnormalities, have been reported, and some serious adverse events lead to PEG
IFN-alpha treatment discontinuation. For very rare adverse events such as
panniculitis, there are no established guidelines on whether to continue PEG-IFN
alpha treatment. Published reports on panniculitis induced by PEG-IFN-alpha 2a
are sparse. Herein we report a case of repeated occurrences of panniculitis in a
patient with chronic hepatitis C, leading to treatment cessation.
PMID- 27206442
TI - 'A child is also a teacher': exploring the potential for children as change
agents in the context of a school-based WASH intervention in rural Eastern
Zambia.
AB - As part of water, sanitation and hygiene interventions in low-income settings, it
is frequently assumed that pupils can disseminate information and catalyze change
at home, yet this assumption has not been rigorously assessed. We employed
qualitative research methods in two phases to assess the potential for children
to be change agents in five schools in rural Zambia. Phase 1 included role-play
and focus group discussions among pupils on their percieved ability to serve as
change agents. Children were then given 'homework' that included information on
health messages and on how to build a handwashing station, and were encouraged to
engage their family. In Phase 2, we conducted separate focus group discussions
with pupils and mothers on their experiences with the 'homework'. We found that,
in general, pupils were enthusiastic about engaging with parents-typically male
heads of household-and were successful at constructing handwashing stations.
Mothers reported high levels of trust in children to relay health information
learned at school. Pupils were able to enact small changes to behavior, but not
larger infrastructure changes, such as construction of latrines. Pupils are
capable of communicating knowledge and behaviors to family members; however,
discrete activities and guidance is required.
PMID- 27206443
TI - John David Symons Hammond.
PMID- 27206444
TI - A novel curvature-controllable steerable needle for percutaneous intervention.
AB - Over the last few decades, flexible steerable robotic needles for percutaneous
intervention have been the subject of significant interest. However, there still
remain issues related to (a) steering the needle's direction with less damage to
surrounding tissues and (b) increasing the needle's maximum curvature for better
controllability. One widely used approach is to control the fixed-angled bevel
tip needle using a "duty-cycle" algorithm. While this algorithm has shown its
applicability, it can potentially damage surrounding tissue, which has prevented
the widespread adoption of this technology. This situation has motivated the
development of a new steerable flexible needle that can change its curvature
without axial rotation, while at the same time producing a larger curvature. In
this article, we propose a novel curvature-controllable steerable needle. The
proposed robotic needle consists of two parts: a cannula and a stylet with a
bevel-tip. The curvature of the needle's path is controlled by a control offset,
defined by the offset between the bevel-tip and the cannula. As a result, the
necessity of rotating the whole needle's body is decreased. The duty-cycle
algorithm is utilized to a limited degree to obtain a larger radius of curvature,
which is similar to a straight path. The first prototype of 0.46 mm (outer
diameter) was fabricated and tested with both in vitro gelatin phantom and ex
vivo cow liver tissue. The maximum curvatures measured 0.008 mm(-1) in 6 wt%
gelatin phantom, 0.0139 mm(-1) in 10 wt% gelatin phantom, and 0.0038 mm(-1) in
cow liver. The experimental results show a linear relationship between the
curvature and the control offset, which can be utilized for future implementation
of this control algorithm.
PMID- 27206445
TI - Autologous bone marrow aspirate for treatment of superficial digital flexor
tendonitis in 105 racehorses.
AB - To evaluate a treatment protocol whereby superficial digital flexor (SDF)
tendonitis in Thoroughbred and Standardbred racehorses was treated with
autologous bone marrow aspirate (ABMA) obtained from the sternebrae. This
treatment was combined with desmotomy of the accessory ligament of the SDF tendon
(DAL-SDFT) in selected cases. Medical records of 105 horses treated using the
reported protocol were reviewed. Signalment, history and details of treatment
were recorded. Racing records were reviewed and performance recorded. Of
Thoroughbreds, 82 per cent had one or more starts within the follow-up period and
59 per cent had five or more starts. Of Standardbreds, 76 per cent had one or
more starts and 62 per cent had five or more starts. A statistically significant
difference was found when comparing race starts between sexes, with females
having less starts than males (>=1start P=0.017 and >=5 starts P=0.008,
respectively). The proportions of horses having one or more starts and five or
more starts did not differ significantly if a DAL-SDFT was performed or not
(P=0.31 and 0.63, respectively). Horses with a core lesion in the body of the
SDFT have a good prognosis for return to racing following intralesional ABMA
injection. Addition of DAL-SDFT to the treatment regimen did not significantly
influence outcome.
PMID- 27206446
TI - Enhanced oral bioavailability of glycyrrhetinic acid via nanocrystal formulation.
AB - The purpose of this study was to prepare solid nanocrystals of glycyrrhetinic
acid (GA) for improved oral bioavailability. The anti-solvent precipitation
ultrasonication method followed by freeze-drying was adopted for the preparation
of GA nanocrystals. The physicochemical properties, drug dissolution and
pharmacokinetic of the obtained nanocrystals were investigated. GA nanocrystals
showed a mean particle size of 220 nm and shaped like short rods. The analysis
results from differential scanning calorimetry and X-ray powder diffraction
indicated that GA remained in crystalline state despite a huge size reduction.
The equilibrium solubility and dissolution rate of GA nanocrystal were
significantly improved in comparison with those of the coarse GA or the physical
mixture. The bioavailability of GA nanocrystals in rats was 4.3-fold higher than
that of the coarse GA after oral administration. With its rapid dissolution and
absorption performance, the solid nanocrystal might be a more preferable
formulation for oral administration of poorly soluble GA.
PMID- 27206447
TI - Morbidity and mortality of infective endocarditis in a hospital system in New
York City serving a diverse urban population.
AB - Infective endocarditis (IE) is a severe illness associated with significant
morbidity and mortality. The primary purpose of this study was to evaluate
morbidity and mortality of IE in a hospital serving the most diverse area in New
York City. An analysis of 209 patients admitted to the hospital from 2000 to 2012
who were found to have IE based on modified Duke criteria. Among the 209 patients
with IE, 188 (88.8%) had native heart valves and 21 (11.2%) had prosthetic
valves. Of the patients with native heart valves, 3.7% had coronary artery bypass
graft, 4.3% were active drug users, 6.3% had permanent pacemakers, 12.2% had a
history of IE, 25.7% were diabetic, 17% had end-stage renal disease (ESRD), 9%
had congestive heart failure, 8% had abnormal heart valves, and 13.8% had an
unknown etiology. Mortality rates of the patients with prosthetic heart valves
were 27.7% compared to 8.11% in patients with native heart valves (OR 3,
p<0.0001). Since we identified diabetes mellitus and ESRD to be significant risk
factors in our population, we isolated and compared characteristics of patients
with and without IE. IE among patients with diabetes mellitus was 23% compared
with 13.8% in the control group (p=0.016). Cases of IE in patients with ESRD were
15.3%, compared with 4% in the control group (p<0.0001). We identified an overall
mortality rate of 20.1% in patients with IE, a readmission rate within 30 days of
discharge of 21.5%, and an average age of 59 years. Among 209 patients, 107 were
males and 102 females. The most common organisms identified were Staphylococcus
aureus (43.7%), viridans streptococci (17%) followed by Enterococcus (14.7%).
Despite appropriate treatment, high rates of morbidity and mortality remained,
with a higher impact in patients greater than 50 years of age. Such discoveries
raise the importance of controlling and monitoring risk factors for IE.
PMID- 27206448
TI - [Therapy of basal cell carcinoma].
AB - Basal cell carcinoma (BCC) represents the most common malignant skin tumour in
fair-skinned people. Despite low metastatic potential, BCC can cause decisive
tissue destruction and disfigurement by invasive growth. In addition to clinical
and histologic diagnosis modern imaging techniques as optical coherence
tomography and confocal laser microscopy have been introduced. BCCs with
aggressive growth pattern and/or increased risk of relapse are preferentially
treated surgically. For superficial BCCs various topical treatments and
photodynamic therapy are available. Inhibitors of the sonic hedgehog pathway have
been approved for symptomatic treatment of metastatic BCC and locally advanced
BCC inappropriate for surgery or radiotherapy. Detailed knowledge of the clinical
spectrum of BCC and an appropriate choice of therapy are mandatory for the
successful treatment of BCC.
PMID- 27206449
TI - [Melanoma brain metastases : Treatment options].
AB - The majority of patients with metastatic melanoma will develop brain metastases,
which are the most common cause of death. Until recently, local therapies (e. g.,
neurosurgery, radiotherapy) were the only options for brain metastases; however,
effective systemic treatment options are now available. Upon suspicion of brain
metastases, diagnostic staging with brain MRI and a neurological investigation
are indicated. Prognostic factors such as number of cerebral metastases and
symptoms, serum lactate dehydrogenase and S-100 levels, extracerebral metastases,
and ECOG status are considered during therapeutic planning. Treatment planning
and therapeutic interventions should be based on an interdisciplinary and
multimodal approach. Established treatments for singular brain metastases are
neurosurgical resection and stereotactic radiotherapy, which can prolong
survival. In patients with asymptomatic BRAF V600E-mutant brain metastases, the
BRAF inhibitors dabrafenib, vemurafenib, and immunotherapy with ipilimumab are
used. In the case of multiple symptomatic brain metastases, palliative whole
brain radiotherapy is used for treatment, although it has failed to show an
overall survival benefit. Increased intracranial pressure and epileptic seizures
are addressed with corticosteroids and anticonvulsants. Current clinical studies
for melanoma patients with brain metastases are investigating new treatment
options such as PD-1 antibodies, combined ipilimumab and nivolumab, combined BRAF
inhibitors and MEK inhibitors, and stereotactic radiation in combination with
immunotherapy or targeted therapy.
PMID- 27206450
TI - Endovascular treatment of intracranial aneurysms with the LVIS device: a
systematic review.
AB - OBJECTIVE: Despite promising initial results, current knowledge regarding the use
of the Low-profile Visualized Intraluminal Support (LVIS) device to treat wide
necked intracranial aneurysms is still limited. Our aim is to evaluate the
feasibility, efficacy, and safety of the LVIS device in stent-assisted coiling of
intracranial aneurysms. METHODS: We conducted a systematic review by searching
PubMed, EMBASE, and Cochrane Library for all published studies on the treatment
of intracranial aneurysms with the LVIS device up to March 2016. Feasibility was
evaluated by the technical success rate during the procedure, efficacy was
evaluated by the rate of complete aneurysm occlusion at follow-up angiography,
and safety was assessed by procedure-related morbidity and mortality. RESULTS: A
total of nine studies were included in the analysis, including 384 patients with
390 aneurysms. The overall technical success rate was 96.8% (95% CI 94.4% to
99.1%). The aneurysmal complete occlusion rate was 54.6% (95% CI 31.8% to 77.4%)
on immediate control and 84.3% (95% CI 78.9% to 89.7%) at follow-up angiography.
Procedural-related morbidity and mortality were 1.4% (95% CI 0.2% to 2.6%) and 0%
(95% CI 0%), respectively. The thromboembolic event rate was 4.9% (95% CI 1.9% to
7.9%) and the hemorrhagic event rate was 2.1% (95% CI 0.7% to 3.5%), with 0.9%
(95% CI 0% to 1.8%) experiencing neurologic hemorrhagic complications and 1.9%
(95% CI 0.5% to 3.2%) experiencing non-neurologic hemorrhagic complications.
CONCLUSIONS: Our systematic review suggests that endovascular treatment of
intracranial aneurysms with the LVIS device is feasible, safe, and effective in
the short term. However, the rate of thromboembolic complications is not
negligible. Further prospective studies are needed to evaluate the long-term
efficacy and safety of the LVIS device.
PMID- 27206452
TI - GPs demand extra funding for weekend emergency cover rather than routine care.
PMID- 27206453
TI - Physician Perceptions of ADHD Stimulant Diversion and Misuse.
AB - OBJECTIVE: The recent rise in ADHD has prompted concerns about adolescents with
ADHD diverting and/or misusing stimulants. This is the first study to assess
physician perceptions of the pervasiveness of these issues. METHOD:
Questionnaires were mailed to a national sample of pediatric subspecialists.
Responses were analyzed (n = 826; 18% response rate) using descriptive statistics
and regression analyses. RESULTS: In the past year, 59% of physicians suspected
>=1 patient(s) with ADHD diverted stimulants. Seventy-four percent believed >=1
patient(s) feigned symptoms to obtain an initial ADHD diagnosis; 66% believed >=1
patient(s) wanted stimulants to improve academic performance. Child and
adolescent psychiatrists were most likely to suspect diversion and feigning
symptoms. Thirty-nine percent of physicians believed diversion was at least
"common." CONCLUSION: Although many physicians suspected stimulant diversion and
misuse, a substantial number were unaware of these issues, and subspecialist
perceptions varied. These findings support the potential pervasiveness of these
issues and the need for increased physician awareness.
PMID- 27206451
TI - Inhibition of ER stress and unfolding protein response pathways causes skeletal
muscle wasting during cancer cachexia.
AB - Cachexia is a devastating syndrome that causes morbidity and mortality in a large
number of patients with cancer. However, the mechanisms of cancer cachexia remain
poorly understood. Accumulation of misfolded proteins in the endoplasmic
reticulum (ER) causes stress. The ER responds to this stress through activating
certain pathways commonly known as the unfolding protein response (UPR). The main
function of UPR is to restore homeostasis, but excessive or prolonged activation
of UPR can lead to pathologic conditions. In this study, we examined the role of
ER stress and UPR in regulation of skeletal muscle mass in naive conditions and
during cancer cachexia. Our results demonstrate that multiple markers of ER
stress are highly activated in skeletal muscle of Lewis lung carcinoma (LLC) and
Apc(Min/+) mouse models of cancer cachexia. Treatment of mice with 4
phenylbutyrate (4-PBA), a chemical chaperon and a potent inhibitor of ER stress,
significantly reduced skeletal muscle strength and mass in both control and LLC
bearing mice. Blocking the UPR also increased the proportion of fast-type fibers
in soleus muscle of both control and LLC-bearing mice. Inhibition of UPR reduced
the activity of Akt/mTOR pathway and increased the expression of the components
of the ubiquitin-proteasome system and autophagy in LLC-bearing mice. Moreover,
we found that the inhibition of UPR causes severe atrophy in cultured myotubes.
Our study provides initial evidence that ER stress and UPR pathways are essential
for maintaining skeletal muscle mass and strength and for protection against
cancer cachexia.-Bohnert, K. R., Gallot, Y. S., Sato, S., Xiong, G., Hindi, S.
M., Kumar, A. Inhibition of ER stress and unfolding protein response pathways
causes skeletal muscle wasting during cancer cachexia.
PMID- 27206454
TI - British guideline on the management of asthma: SIGN Clinical Guideline 141, 2014.
PMID- 27206455
TI - Paracetamol: pharmacology, prescribing and controversies.
PMID- 27206456
TI - "Reconstructing a Sense of Self": Trauma and Coping Among Returned Women
Survivors of Human Trafficking in Vietnam.
AB - Survivors of human trafficking who return to their community of origin must cope
with the trauma they experienced as victims as well as the conditions that
contributed to their trafficking vulnerabilities. In this article, I examine the
psychosocial adjustment process among women survivors of trafficking who returned
to Vietnam. Supplemented by participation observation, thematic analysis of in
depth interviews with survivors revealed that throughout the trafficking process,
the women experienced multiple abuses and changes in relationships and
environments. The women coped by navigating a process of "reconstructing a sense
of self," seeking congruence between their self-understandings and the changing
contextual factors while exhibiting three main coping strategies: regulating
emotional expression and thought, creating opportunities within constraints, and
relating to cultural schemas. The findings underscore the importance of
considering contextual factors such as cultural norms and societal values in
efforts to assist trafficked survivors reintegrate into their communities.
PMID- 27206457
TI - The Changing Nature of Guilt in Family Caregivers: Living Through Care
Transitions of Parents at the End of Life.
AB - Older adults cared for at home by family members at the end of life are at risk
for care transitions to residential and institutional care settings. These
transitions are emotionally distressing and fraught with suffering for both
families and the older adult. A theoretical model titled "The Changing Nature of
Guilt in Family Caregivers: Living Through Care Transitions of Parents at the End
of Life" was developed using the method of grounded theory. When a dying parent
cannot remain at home to die, family members experience guilt throughout the
transition process. Findings indicated that guilt surrounding transfers escalated
during the initial stages of the transfer but was mitigated by achieving what
family members deemed as a "good" death when relatives were receiving hospice
care. The findings of this interpretative approach provide new insights into
family-focused perspectives in care transfers of the dying.
PMID- 27206458
TI - Early experiences of accredited clinical informatics fellowships.
AB - Since the launch of the clinical informatics subspecialty for physicians in 2013,
over 1100 physicians have used the practice and education pathways to become
board-certified in clinical informatics. Starting in 2018, only physicians who
have completed a 2-year clinical informatics fellowship program accredited by the
Accreditation Council on Graduate Medical Education will be eligible to take the
board exam. The purpose of this viewpoint piece is to describe the collective
experience of the first four programs accredited by the Accreditation Council on
Graduate Medical Education and to share lessons learned in developing new
fellowship programs in this novel medical subspecialty.
PMID- 27206459
TI - Beyond access: barriers to internet health information seeking among the urban
poor.
AB - OBJECTIVE: Communication inequalities deepen health disparities even when
internet access is achieved. The goal of this study is to understand how a range
of barriers may inhibit individuals from low socioeconomic position (SEP) from
engaging with online health information even when it is freely available.
MATERIALS AND METHODS: Detailed data were collected from 118 low-SEP individuals
from a randomized controlled trial providing internet access. Measures
triangulated the health-seeking experience through internet use tracked in real
time, call log data, and self-reported barriers. Negative binomial regression
models were fitted with technology and perceived predictors, and our outcome,
health information seeking, and then stratified by medical status. RESULTS:
Participants experienced a median of two computer issues (median 6 days) and two
internet issues (median 6.5 days). Duration of internet problems was associated
with a decrease in the rate of internet health information seeking by a factor of
0.990 (P = .03) for each additional day. Participants with a medical problem who
were frustrated in their search for health information had half the rate of
health information seeking of those who were not frustrated (incidence rate ratio
= 0.395, P = .030). DISCUSSION: Despite IT support, participants still
experienced internet connectivity issues that negatively impacted their health
information seeking. Frustration in their search to find information may serve as
an additional barrier to those who have medical issues. CONCLUSION: After initial
internet access, a second-level digital divide emerged due to connectivity
issues, highlighting the need to understand the complex network of barriers
experienced by low-SEP internet users.
PMID- 27206460
TI - How diploidization turned a tetraploid into a pseudotriploid.
AB - PREMISE OF THE STUDY: Despite being highly fertile and occupying a large
geographic region, the North American heartleaf bittercress (Cardamine
cordifolia; Brassicaceae) has a puzzling triploid-like chromosome number (2n = 3x
= 24). As most triploids are sterile, we embarked on a detailed analysis of the
C. cordifolia genome to elucidate its origin and structure. METHODS: Mitotic and
meiotic chromosome complement of C. cordifolia was analyzed by comparative
chromosome painting using chromosome-specific BAC contigs of Arabidopsis
thaliana. Resulting chromosome patterns were documented by multicolor
fluorescence microscopy and compared with known ancestral and extant Brassicaceae
genomes. KEY RESULTS: We discovered that C. cordifolia is not a triploid hybrid
but a diploidized tetraploid with the prevalence of regular, diploid-like meiotic
pairing. The ancestral tetraploid chromosome number (2n = 32) was reduced to a
triploid-like number (2n = 24) through four terminal chromosome translocations.
CONCLUSIONS: The structure of the pseudotriploid C. cordifolia genome results
from a stepwise diploidization process after whole-genome duplication. We showed
that translocation-based descending dysploidy (from n = 16 to n = 12) was
mediated by the formation of five new chromosomes. The genome of C. cordifolia
represents the diploidization process in statu nascendi and provides valuable
insights into mechanisms of postpolyploidy rediploidization in land plants. Our
data further suggest that chromosome number alone does not need to be a reliable
proxy of species' evolutionary past and that the same chromosome number may
originate either by polyploidization (hybridization) or due to descending
dysploidy.
PMID- 27206461
TI - Is hybridization driving the evolution of climatic niche in Alyssum montanum.
AB - PREMISE OF THE STUDY: After decades of interest, the contribution of
hybridization to ecological diversification remains unclear. Hybridization is a
potent source of novelty, but nascent hybrid lineages must overcome reproductive
and ecological competition from their parental species. Here, we assess whether
hybrid speciation is advantageous over alternative modes of speciation, by
comparing the geographical and ecological ranges and climatic niche evolutionary
rates of stabilized allopolyploid vs. autopolyploids in the Alyssum montanum
species complex. METHODS: We combined an extensive review of studies addressing
the systematics and genetic diversity of A. montanum s.l., with flow cytometry
and cloning of nuclear markers, to establish the ploidy level and putative hybrid
nature of 205 populations. The respective geographic distribution and climatic
niche evolution dynamics of the allo- and autopolyploids were investigated using
multivariate analyses and comparative phylogenetic approaches. KEY RESULTS: As
expected by theory, allopolyploids occur mainly along contact zones and are
generally spatially overlapping with their diploid counterparts. However, they
demonstrate higher rates of niche evolution and expand into different climatic
conditions than those of their diploid congeners. In contrast, autopolyploids
show lower rates of niche evolution, occupy ecological niches similar to their
ancestors and are restricted to less competitive and peripheral geographic areas.
CONCLUSIONS: Hybridization thus seems advantageous by promoting ecological niche
evolution and more readily allowing escape from competitive exclusion.
PMID- 27206462
TI - Evaluating the role of genome downsizing and size thresholds from genome size
distributions in angiosperms.
AB - PREMISE OF THE STUDY: Whole-genome duplications (WGDs) can rapidly increase
genome size in angiosperms. Yet their mean genome size is not correlated with
ploidy. We compared three hypotheses to explain the constancy of genome size
means across ploidies. The genome downsizing hypothesis suggests that genome size
will decrease by a given percentage after a WGD. The genome size threshold
hypothesis assumes that taxa with large genomes or large monoploid numbers will
fail to undergo or survive WGDs. Finally, the genome downsizing and threshold
hypothesis suggests that both genome downsizing and thresholds affect the
relationship between genome size means and ploidy. METHODS: We performed
nonparametric bootstrap simulations to compare observed angiosperm genome size
means among species or genera against simulated genome sizes under the three
different hypotheses. We evaluated the hypotheses using a decision theory
approach and estimated the expected percentage of genome downsizing. KEY RESULTS:
The threshold hypothesis improves the approximations between mean genome size and
simulated genome size. At the species level, the genome downsizing with
thresholds hypothesis best explains the genome size means with a 15% genome
downsizing percentage. In the genus level simulations, the monoploid number
threshold hypothesis best explains the data. CONCLUSIONS: Thresholds of genome
size and monoploid number added to genome downsizing at species level simulations
explain the observed means of angiosperm genome sizes, and monoploid number is
important for determining the genome size mean at the genus level.
PMID- 27206463
TI - Cost-Effectiveness of the Hepatitis C Self-Management Program.
AB - BACKGROUND: Despite the emergence of new hepatitis C virus (HCV) antiviral
medications, many people with chronic HCV know little about their disease, are at
risk for transmitting HCV to others, and/or are not considered good treatment
candidates. Self-management interventions can educate HCV-infected persons,
improve their quality of life, and prepare them for treatment. PURPOSE: A cost
effectiveness analysis of the HCV Self-Management Program is presented. METHOD:
Effectiveness data in quality-adjusted life years (QALYs) were derived from the
previously published prospective, randomized controlled trial ( n = 134). Health
care utilization was abstracted from medical records in 2011 for the 12 months
before and after study enrollment. Intervention costs were tracked from the
payer's perspective and combined with health care costs. Sensitivity analyses
were used to examine assumptions. Data were analyzed in 2014. RESULTS: Estimated
intervention costs including organizational overhead were $1,760 per 6-week
workshop, or $229/person. Health care costs were $815 lower/person for self
management participants, resulting in a cost savings of $586/person. Self
management participants had an average net gain of 0.02975 QALYs after 1 year.
When removing inpatient substance use treatment days from analyses, costs were
similar between groups, producing an incremental cost-effectiveness ratio of
$6,218/QALY. Sensitivity analyses showed that the results and conclusions change
little when assumptions were varied. CONCLUSIONS: When compared to information
only, the HCV Self-Management Program led to more QALYs and cost savings in the
randomized controlled trial. Independent of health care costs, the intervention
is low-cost and educates HCV-infected individuals about antiviral treatment and
avoiding viral transmission. Low-cost interventions that can enhance the outcomes
derived from expensive antiviral treatments should be studied further.
PMID- 27206464
TI - Coupling Financial Incentives With Direct Mail in Population-Based Practice.
AB - Financial incentives are being used increasingly to encourage a wide array of
health behaviors because of their well-established efficacy. However, little is
known about how to translate incentive-based strategies to public health practice
geared toward improving population-level health, and a dearth of research exists
on how individuals respond to incentives through public health communication
strategies such as direct mail. This study reports results of a population-based
randomized controlled trial testing a direct mail, incentive-based intervention
for promoting mammography uptake. The study population was composed of a random
sample of Minnesota women enrolled in Medicare fee-for-service and overdue for
breast cancer screening. Participants ( N = 18,939) were randomized into three
groups: (1) Direct Mail only, (2) Direct Mail plus Incentive, and (3) Control.
Both direct mail groups received two mailers with a message about the importance
of mammography; however, Mail plus Incentive mailers also offered a $25 incentive
for getting a mammogram. Logistic regression analyses measured intervention
effects. Results showed the odds for receiving mammography were significantly
higher for the Direct Mail plus Incentive group compared with both Direct Mail
only and Control groups. The use of incentives also proved to be cost-effective.
Additionally, the Direct Mail only group was more likely to receive mammography
than the Control group. Findings offer experimental evidence on how the
population-based strategy of direct mail coupled with a financial incentive can
encourage healthy behavior, as well as how incentive-based programs can be
translated into health promotion practice aimed at achieving population-level
impact.
PMID- 27206466
TI - The association between smoking and psychopathology adjusted for body mass index
and gender.
AB - OBJECTIVE: This study examined the correlation between smoking habits and
psychopathology status, as well as the impact of confounders such as body mass
index and gender. METHOD: A total of 134 non-smokers and 152 smokers were
enrolled in this study. We measured psychopathology features using Symptom
Checklist 90-Revised. We ran logistic regression models testing the smoking
psychopathology association, controlling for body mass index and gender. RESULTS:
Smoking was positively correlated with depression, interpersonal sensitivity,
hostility, somatization, paranoid ideation and psychoticism (P<0.05). Adjusting
for body mass index and gender, the results remained largely unchanged, with a
slight independent effect of body mass index. CONCLUSIONS: Our data suggest that
smoking is a stronger predictor of psychopathology than body mass index and
gender.
PMID- 27206465
TI - Advancing Understanding of the Characteristics and Capacity of African American
Women Who Serve as Lay Health Advisors in Community-Based Settings.
AB - Lay Health Advisor (LHA) programs hold tremendous promise for reducing health
disparities and addressing social determinants of health in medically underserved
communities, including African American populations. Very little is understood
about the capacity of LHAs in these roles and the broader contributions they make
to their communities. This article seeks to address this gap by describing the
characteristics and capacity of a sample of 76 female African American LHAs from
a nationally disseminated evidence-based LHA program for breast and cervical
cancer screening (The National Witness Project), as well as potential differences
between cancer survivors and nonsurvivors who serve as LHAs. A conceptual model
for understanding LHA capacity and contributions in underserved communities at
the individual, social, and organizational levels is presented. We describe LHA
experiences and characteristics (e.g., experiences of mistrust and
discrimination, racial pride, sociodemographics), capacity at the individual
level (e.g., psychological and physical health, health behaviors), capacity at
the social level (e.g., social networks, social support), and capacity at the
organizational level (e.g., role-related competencies, self-efficacy, leadership,
role benefits/challenges). Data were obtained through interview-administered
telephone surveys between 2010 and 2011. Findings highlight the critical capacity
that LHAs bring to their communities and the importance of supporting LHAs to
sustain these programs and to address racial/ethnic health disparities.
PMID- 27206467
TI - Towards a better understanding of standard determination and standard setting in
the RANZCP summative assessments.
AB - OBJECTIVES: The authors outline the difference between content and performance
standards and the rationale for standard setting at a medical college. The
principles of the college's standard setting processes for the written and
objective structured clinical examination summative assessments are discussed in
greater detail. CONCLUSION: There is no evidence of any single standard setting
method to be the best. Multiple methods exist and will have varied results when
applied. The judgement of a panel of subject experts remains an important
component of the standard setting process.
PMID- 27206468
TI - Tuberculosis and mental health in the Asia-Pacific.
AB - OBJECTIVE: This opinion piece encourages mental health researchers and clinicians
to engage with mental health issues among tuberculosis patients in the Asia
Pacific region in a culturally appropriate and ethical manner. The diversity of
cultural contexts and the high burden of tuberculosis throughout the Asia-Pacific
presents significant challenges. Research into tuberculosis and mental illness in
this region is an opportunity to develop more nuanced models of mental illness
and treatment, while simultaneously contributing meaningfully to regional
tuberculosis care and prevention. CONCLUSIONS: We overview key issues in
tuberculosis and mental illness co-morbidity, highlight ethical concerns and
advocate for a regional approach to tuberculosis and mental health that is
consistent with the transnational challenges presented by this airborne
infectious disease. Integrating tuberculosis and mental health services will go a
long way to addressing the needs of vulnerable populations and stopping the
transmission of one of the world's biggest infectious killers.
PMID- 27206469
TI - Prognostic factor of the two-year mortality after revascularization in patients
with critical limb ischemia.
AB - Purposes The aim of this study was to evaluate the risk factors for the two-year
survival after revascularization of critical limb ischemia. Methods Between 2008
and 2012, 142 patients underwent revascularization. A retrospective analysis was
performed to measure the risk factor. Results A total 85 patients underwent
surgical revascularization, 31 patients underwent endovascular therapy while 26
patients underwent hybrid therapy. By multivariate analysis, the following
variables were considered to be risk factors: ejection fraction <50 % (HR, 3.14;
95% CI, 1.22-7.95; P = 0.02), serum albumin level <2.5 g/dL (HR, 3.45; 95% CI,
1.01-11.7; P = 0.04) and nonambulatory status (HR, 4.11; 95% CI, 1.79-9.70; P <
0.01). The two-year survival rate of the patients with no risk factors was 85.5%,
while the patients with at least one risk factor had an unfavorable prognosis
(one; 56.7%, two; 45.4%). Conclusions The nonambulatory status, serum albumin
level <2.5 g/dL and ejection fraction <50% were the risk factors for the two-year
mortality after revascularization in critical limb ischemia patients. These risk
factors may be useful for the treatment strategy of critical limb ischemia
patients.
PMID- 27206470
TI - Cyanoacrylate closure of incompetent great, small and accessory saphenous veins
without the use of post-procedure compression: Initial outcomes of a post-market
evaluation of the VenaSeal System (the WAVES Study).
AB - Purpose Cyanoacrylate closure of the great saphenous vein with the VenaSealTM
Closure System is a relatively new modality. Studies have been limited to
moderate-sized great saphenous veins and some have mandated postoperative
compression stockings. We report the results of a prospective study of
cyanoacrylate closure for the treatment of great saphenous vein, small saphenous
veins, and/or accessory saphenous veins up to 20 mm in diameter. Methods Fifty
subjects with symptomatic great saphenous vein, small saphenous veins, and/or
accessory saphenous veins incompetence were each treated at a single session.
Compression stockings were not used post-procedure. Subjects returned to clinic
at week 1 and again at one month. Post-procedure evaluations were performed at
seven days and one month and included numerical pain rating score, revised venous
clinical severity score, the Aberdeen Varicose Vein Questionnaire score, and time
to return to work and normal activities. Duplex ultrasound was performed at each
visit. Findings Procedural pain was mild (numerical pain rating scale 2.2 +/-
1.8). All treated veins (48 great saphenous vein, 14 accessory saphenous veins,
and 8 small saphenous veins) had complete closure by duplex ultrasound at seven
days and one month. Mean time to return to work and normal activities was 0.2 +/-
1.1 and 2.4 +/- 4.1 days, respectively. The revised venous clinical severity
score was improved to 1.8 +/- 1.4 ( p < .001) and Aberdeen Varicose Vein
Questionnaire score to 8.9 +/- 6.6 ( p < .001) at one month. Phlebitis in the
treatment area or side branches occurred in 10 subjects (20%) and completely
resolved in all but one subject (2%) by one month; 98% of subjects were
"completely" or "somewhat" satisfied, and 2% "unsatisfied" with the procedure at
one month, despite the protocol disallowance of concomitant side branch
treatment. Conclusions Cyanoacrylate closure is safe and effective for the
treatment of one or more incompetent saphenous or accessory saphenous veins.
Closure rates were high even in the absence of the use of compression stockings
or side branch treatment. Time back to work or normal activities was short and
improvements in venous severity scores and QOL were significant, comparing
favorably with alternative treatment methods.
PMID- 27206471
TI - The relationship of regional hemoglobin A1c testing and amputation rate among
patients with diabetes.
AB - Objective The risk of leg amputation among patients with diabetes has declined
over the past decade, while use of preventative measures-such as hemoglobin A1c
monitoring-has increased. However, the relationship between hemoglobin A1c
testing and amputation risk remains unclear. Methods We examined annual rates of
hemoglobin A1c testing and major leg amputation among Medicare patients with
diabetes from 2003 to 2012 across 306 hospital referral regions. We created
linear regression models to study associations between hemoglobin A1c testing and
lower extremity amputation. Results From 2003 to 2012, the proportion of patients
who received hemoglobin A1c testing increased 10% (74% to 84%), while their rate
of lower extremity amputation decreased 50% (430 to 232/100,000 beneficiaries).
Regional hemoglobin A1c testing weakly correlated with crude amputation rate in
both years (2003 R = -0.20, 2012 R = -0.21), and further weakened with adjustment
for age, sex, and disability status (2003 R = -0.11, 2012 R = -0.17). In a
multivariable model of 2012 amputation rates, hemoglobin A1c testing was not a
significant predictor. Conclusion Lower extremity amputation among patients with
diabetes nearly halved over the past decade but only weakly correlated with
hemoglobin A1c testing throughout the study period. Better metrics are needed to
understand the relationship between preventative care and amputation.
PMID- 27206472
TI - Outpatient treatment of acute poisoning by substances of abuse: a prospective
observational cohort study.
AB - BACKGROUND: Procedures for the clinical assessment of acute poisoning by
substances of abuse should identify patients in need of hospital admission and
avoid hazardous discharges, while keeping the observation time short. We assess
the safety of a systematic procedure developed at the Oslo Accident and Emergency
Outpatient Clinic (OAEOC). METHODS: All patients 12 years and older treated for
acute poisoning by substances of abuse at the OAEOC were included consecutively
from October 2011 to September 2012. Data were collected on pre-set registration
forms. Information on re-presentations to health services nation-wide during the
first week following discharge was retrieved from the Norwegian Patient Register
and from local electronic medical records. Information on fatalities was obtained
from the Norwegian Cause of Death Registry. RESULTS: There were 2343 cases of
acute poisoning by substances of abuse. The main toxic agent was ethanol in 1291
(55 %) cases, opioids in 539 (23 %), benzodiazepines in 194 (8 %), central
stimulants in 132 (6 %), and gamma-hydroxybutyrate (GHB) in 105 (4 %). Median
observation time was four hours. The patient was hospitalised in 391 (17 %)
cases. Two patients died during the first week following discharge, both from a
new opioid poisoning. Among 1952 discharges, 375 (19 %) patients re-presented at
the OAEOC or a hospital within a week; 13 (0.7 %) with a diagnosis missed at the
index episode, 169 (9 %) with a new poisoning, 31 (2 %) for follow-up of
concomitant conditions diagnosed at index, and 162 (8 %) for unrelated events.
Among the patients with missed diagnoses, five needed further treatment for the
same poisoning episode, two were admitted with psychosis, one had hemorrhagic
gastritis, another had fractures in need of surgery and four had minor injuries.
CONCLUSION: The procedure in use at the OAEOC can be considered safe and could be
implemented elsewhere. The high re-presentation rate calls for better follow-up.
PMID- 27206474
TI - The Youth Health Care measure-satisfaction, utilization, and needs (YHC-SUN)
development of a self-report version of the Child Health Care (CHC-SUN) proxy
measure.
AB - BACKGROUND: The transition of health care of youth (age 15-25) with chronic
conditions requires the assessment of adolescents' access, use and needs as well
as satisfaction with the health services they use. The aim of this study was to
test the adolescent adaptation of the parent version "Child Health Care
Questionnaire - Satisfaction, Utilization and Needs" (CHC-SUN) concerning its
psychometric performance and appropriateness for adolescents and young adults.
METHODS: The Youth Health Care Measure (YHC-SUN) was designed to allow self
report of youth and it was pilot-tested in a small sample using cognitive
debriefing. A cross-sectional survey in a sample of youth with chronic conditions
in the transition period was carried out. RESULTS: One hundred eighty-two
ambulatory care patients with three conditions participated in the survey. The
subscales of the section on satisfaction with care showed excellent internal
consistencies, uni-dimensionality and fit to the model of the parent version.
There was no impact of gender and education on satisfaction with care.
Associations with age, diagnosis, experiences with care and health literacy
affecting the satisfaction with care indicate discriminatory and content
validity. CONCLUSIONS: Potential applications of the new instrument are
evaluations of health care services for adolescents and young adults using self
reports and evaluations of transition programs and interventions such as patient
education.
PMID- 27206473
TI - Genetic diversity of two Daphnia-infecting microsporidian parasites, based on
sequence variation in the internal transcribed spacer region.
AB - BACKGROUND: Microsporidia are spore-forming obligate intracellular parasites that
include both emerging pathogens and economically important disease agents.
However, little is known about the genetic diversity of microsporidia. Here, we
investigated patterns of geographic population structure, intraspecific genetic
variation, and recombination in two microsporidian taxa that commonly infect
cladocerans of the Daphnia longispina complex in central Europe. Taken together,
this information helps elucidate the reproductive mode and life-cycles of these
parasite species. METHODS: Microsporidia-infected Daphnia were sampled from seven
drinking water reservoirs in the Czech Republic. Two microsporidia species
(Berwaldia schaefernai and microsporidium lineage MIC1) were sequenced at the
internal transcribed spacer (ITS) region, using the 454 pyrosequencing platform.
Geographical structure analyses were performed applying Fisher's exact tests,
analyses of molecular variance, and permutational MANOVA. To evaluate the genetic
diversity of the ITS region, the number of polymorphic sites and Tajima's and
Watterson's estimators of theta were calculated. Tajima's D was also used to
determine if the ITS in these taxa evolved neutrally. Finally, neighbour
similarity score and pairwise homology index tests were performed to detect
recombination events. RESULTS: While there was little variation among Berwaldia
parasite strains infecting different host populations, the among-population
genetic variation of MIC1 was significant. Likewise, ITS genetic diversity was
lower in Berwaldia than in MIC1. Recombination signals were detected only in
Berwaldia. CONCLUSION: Genetic tests showed that parasite populations could have
expanded recently after a bottleneck or that the ITS could be under negative
selection in both microsporidia species. Recombination analyses might indicate
cryptic sex in Berwaldia and pure asexuality in MIC1. The differences observed
between the two microsporidian species present an exciting opportunity to study
the genetic basis of microsporidia-Daphnia coevolution in natural populations,
and to better understand reproduction in these parasites.
PMID- 27206475
TI - A novel multi-epitope recombined protein for diagnosis of human brucellosis.
AB - BACKGROUND: In epidemic regions of the world, brucellosis is a reemerging
zoonosis with minimal mortality but is a serious public hygiene problem.
Currently, there are various methods for brucellosis diagnosis, however few of
them are available to be used to diagnose, especially for serious cross-reaction
with other bacteria. METHOD: To overcome this disadvantage, we explored a novel
multi-epitope recombinant protein as human brucellosis diagnostic antigen. We
established an indirect enzyme-linked immunosorbent assay (ELISA) based on this
recombinant protein. 248 sera obtained from three different groups including
patients with brucellosis (146 samples), non-brucellosis patients (82 samples),
and healthy individuals (20 samples) were tested by indirect ELISA. To evaluate
the assay, a receiver-operating characteristic (ROC) analysis and immunoblotting
were carried out using these characterized serum samples. RESULTS: For this test,
the area under the ROC curve was 0.9409 (95 % confidence interval, 0.9108 to
0.9709), and a sensitivity of 88.89 % and a specificity of 85.54 % was given with
a cutoff value of 0.3865 from this ROC analysis. The Western blot results
indicate that it is feasible to differentiate human brucellosis and non
brucellosis with the newly established method based on this recombinant protein.
CONCLUSION: Our results obtained high diagnostic accuracy of the ELISA assay
which encourage the use of this novel recombinant protein as diagnostic antigen
to implement serological diagnosis of brucellosis.
PMID- 27206477
TI - Registration of retinal sequences from new video-ophthalmoscopic camera.
AB - BACKGROUND: Analysis of fast temporal changes on retinas has become an important
part of diagnostic video-ophthalmology. It enables investigation of the
hemodynamic processes in retinal tissue, e.g. blood-vessel diameter changes as a
result of blood-pressure variation, spontaneous venous pulsation influenced by
intracranial-intraocular pressure difference, blood-volume changes as a result of
changes in light reflection from retinal tissue, and blood flow using laser
speckle contrast imaging. For such applications, image registration of the
recorded sequence must be performed. METHODS: Here we use a new non-mydriatic
video-ophthalmoscope for simple and fast acquisition of low SNR retinal
sequences. We introduce a novel, two-step approach for fast image registration.
The phase correlation in the first stage removes large eye movements. Lucas
Kanade tracking in the second stage removes small eye movements. We propose
robust adaptive selection of the tracking points, which is the most important
part of tracking-based approaches. We also describe a method for quantitative
evaluation of the registration results, based on vascular tree intensity
profiles. RESULTS: The achieved registration error evaluated on 23 sequences
(5840 frames) is 0.78 +/- 0.67 pixels inside the optic disc and 1.39 +/- 0.63
pixels outside the optic disc. We compared the results with the commonly used
approaches based on Lucas-Kanade tracking and scale-invariant feature transform,
which achieved worse results. CONCLUSION: The proposed method can efficiently
correct particular frames of retinal sequences for shift and rotation. The
registration results for each frame (shift in X and Y direction and eye rotation)
can also be used for eye-movement evaluation during single-spot fixation tasks.
PMID- 27206476
TI - Genome-wide patterns of copy number variation in the Chinese yak genome.
AB - BACKGROUND: Copy number variation (CNV) represents an important source of genetic
divergence that can produce drastic phenotypic differences and may therefore be
subject to selection during domestication and environmental adaptation. To
investigate the evolutionary dynamics of CNV in the yak genome, we used a read
depth approach to detect CNV based on genome resequencing data from 14 wild and
65 domestic yaks and determined CNV regions related to domestication and
adaptations to high-altitude. RESULTS: We identified 2,634 CNV regions (CNVRs)
comprising a total of 153 megabases (5.7 % of the yak genome) and 3,879
overlapping annotated genes. Comparison between domestic and wild yak populations
identified 121 potentially selected CNVRs, harboring genes related to neuronal
development, reproduction, nutrition and energy metabolism. In addition, we found
85 CNVRs that are significantly different between domestic yak living in high-
and low-altitude areas, including three genes related to hypoxia response and six
related to immune defense. This analysis shows that genic CNVs may play an
important role in phenotypic changes during yak domestication and adaptation to
life at high-altitude. CONCLUSIONS: We present the first refined CNV map for yak
along with comprehensive genomic analysis of yak CNV. Our results provide new
insights into the genetic basis of yak domestication and adaptation to living in
a high-altitude environment, as well as a valuable genetic resource that will
facilitate future CNV association studies of important traits in yak and other
bovid species.
PMID- 27206478
TI - Association of HbA1c with hospitalization and mortality among patients with heart
failure and diabetes.
AB - BACKGROUND: Comorbid diabetes is common in heart failure and associated with
increased hospitalization and mortality. Nonetheless, the association between
glycemic control and outcomes among patients with heart failure and diabetes
remains poorly characterized, particularly among low income and minority
patients. METHODS: We performed a retrospective cohort study of outpatients with
heart failure and diabetes in the New York City Health and Hospitals Corporation,
the largest municipal health care system in the United States. Cox proportional
hazard models were used to measure the association between HbA1c levels and
outcomes of all-cause hospitalization, heart failure hospitalization, and
mortality. RESULTS: Of 4723 patients with heart failure and diabetes, 42.6 % were
black, 30.5 % were Hispanic/Latino, 31.4 % were Medicaid beneficiaries and 22.9 %
were uninsured. As compared to patients with an HbA1c of 8.0-8.9 %, patients with
an HbA1c of <6.5, 6.5-6.9, 7.0-7.9, and >=9.0 % had an adjusted hazard ratio
(aHR) (95 % CI) for all-cause hospitalization of 1.03 (0.90-1.17), 1.05 (0.91
1.22), 1.03 (0.90-1.17), and 1.13 (1.00-1.28), respectively. An HbA1c >= 9.0 %
was also associated with an increased risk of heart failure hospitalization (aHR
1.33; 95 % CI 1.11-1.59) and a non-significant increased risk in mortality (aHR
1.20; 95 % CI 0.99-1.45) when compared to HbA1c of 8.0-8.9 %. CONCLUSIONS: Among
a cohort of primarily minority and low income patients with heart failure and
diabetes, an increased risk of hospitalization was observed only for an HbA1c
greater than 9 %.
PMID- 27206479
TI - ECL: an exhaustive search tool for the identification of cross-linked peptides
using whole database.
AB - BACKGROUND: Chemical cross-linking combined with mass spectrometry (CX-MS) is a
high-throughput approach to studying protein-protein interactions. The number of
peptide-peptide combinations grows quadratically with respect to the number of
proteins, resulting in a high computational complexity. Widely used methods
including xQuest (Rinner et al., Nat Methods 5(4):315-8, 2008; Walzthoeni et al.,
Nat Methods 9(9):901-3, 2012), pLink (Yang et al., Nat Methods 9(9):904-6, 2012),
ProteinProspector (Chu et al., Mol Cell Proteomics 9:25-31, 2010; Trnka et al.,
13(2):420-34, 2014) and Kojak (Hoopmann et al., J Proteome Res 14(5):2190-198,
2015) avoid searching all peptide-peptide combinations by pre-selecting peptides
with heuristic approaches. However, pre-selection procedures may cause missing
findings. The most intuitive approach is searching all possible candidates. A
tool that can exhaustively search a whole database without any heuristic pre
selection procedure is therefore desirable. RESULTS: We have developed a cross
linked peptides identification tool named ECL. It can exhaustively search a whole
database in a reasonable period of time without any heuristic pre-selection
procedure. Tests showed that searching a database containing 5200 proteins took 7
h. ECL identified more non-redundant cross-linked peptides than xQuest, pLink,
and ProteinProspector. Experiments showed that about 30 % of these additional
identified peptides were not pre-selected by Kojak. We used protein crystal
structures from the protein data bank to check the intra-protein cross-linked
peptides. Most of the distances between cross-linking sites were smaller than 30
A. CONCLUSIONS: To the best of our knowledge, ECL is the first tool that can
exhaustively search all candidates in cross-linked peptides identification. The
experiments showed that ECL could identify more peptides than xQuest, pLink, and
ProteinProspector. A further analysis indicated that some of the additional
identified results were thanks to the exhaustive search.
PMID- 27206480
TI - Flashy flagella: flagellin modification is relatively common and highly versatile
among the Enterobacteriaceae.
AB - BACKGROUND: Post-translational glycosylation of the flagellin protein is
relatively common among Gram-negative bacteria, and has been linked to several
phenotypes, including flagellar biosynthesis and motility, biofilm formation,
host immune evasion and manipulation and virulence. However to date, despite
extensive physiological and genetic characterization, it has never been reported
for the peritrichously flagellate Enterobacteriaceae. RESULTS: Using comparative
genomic approaches we analyzed 2,000 representative genomes of
Enterobacteriaceae, and show that flagellin glycosylation islands are relatively
common and extremely versatile among members of this family. Differences in the G
+ C content of the FGIs and the rest of the genome and the presence of mobile
genetic elements provide evidence of horizontal gene transfer occurring within
the FGI loci. These loci therefore encode highly variable flagellin glycan
structures, with distinct sugar backbones, heavily substituted with formyl,
methyl, acetyl, lipoyl and amino groups. Additionally, an N-lysine methylase,
FliB, previously identified only in the enterobacterial pathogen Salmonella
enterica, is relatively common among several distinct taxa within the family.
These flagellin methylase island loci (FMIs), in contrast to the FGI loci, appear
to be stably maintained within these diverse lineages. CONCLUSIONS: The
prevalence and versatility of flagellin modification loci, both glycosylation and
methylation loci, suggests they play important biological roles among the
Enterobacteriaceae.
PMID- 27206481
TI - Trends in ectopic pregnancy, hydatidiform mole and miscarriage in the largest
obstetrics and gynaecology hospital in China from 2003 to 2013.
AB - BACKGROUND: Ectopic pregnancies, miscarriages and hydatidiform moles are the
major types of pathological pregnancies in the early gestations of pregnancy and
constitute an important public health problem. The trends and incidences of these
pathological pregnancies may vary by ethnicity and geographical regions. This has
not been fully investigated in the Chinese population. In this study we
retrospectively report the trends of pathological pregnancies in Chinese
population. METHODS: Data on 22,511 women with ectopic pregnancy, hydatidiform
mole and miscarriage were collected from the largest obstetrics and gynaecology
hospital in China from 2003 to 2013. Data included age at diagnosis and the
annual number of women with diagnosed ectopic pregnancy, hydatidiform mole and
miscarriage. RESULTS: The total number of ectopic pregnancy, hydatidiform mole
and miscarriage was increased 3.5folds in 2013 compared to 2003. Ectopic
pregnancy is the leading pathological pregnancy and miscarriage is increasing at
a greater rate among the pathological pregnancies. The median age of women with
hydatidiform mole at diagnosis significantly increased from 25.5 years to 29
years (p = 0.002), however the median age for other pathological pregnancies was
not different between 2003 and 2013. The number of women with hydatidiform mole
at diagnosis who were over 40 years old has increased. The mean maternal age is
increased from 28.1 years old in 2003 to 29.4 years old in 2013 in this hospital.
CONCLUSION: We speculate that the increased maternal age may contribute to the
increase in these pathological pregnancies between 2003 and 2013 in China.
PMID- 27206483
TI - Initial assessment and treatment of refugees in the Mediterranean Sea (a
secondary data analysis concerning the initial assessment and treatment of 2656
refugees rescued from distress at sea in support of the EUNAVFOR MED relief
mission of the EU).
AB - BACKGROUND: As a part of the European Union Naval Force - Mediterranean Operation
Sophia (EUNAVFOR Med), the Federal Republic of Germany is contributing to avoid
further loss of lives at sea by supplying two naval vessels. In the study
presented here we analyse the medical requirements of such rescue missions, as
well as the potential benefits of various additional monitoring devices in
identifying sick/injured refugees within the primary onboard medical assessment
process. METHODS: Retrospective analysis of the data collected between May -
September 2015 from a German Naval Force frigate. Initial data collection focused
on the primary medical assessment and treatment process of refugees rescued from
distress at sea. Descriptive statistics, uni- and multivariate analysis were
performed. The study has received a positive vote from the Ethics Commission of
the University of Ulm, Germany (request no. 284/15) and has been registered in
the German Register of Clinical Studies (no. DRKS00009535). RESULTS: A total of
2656 refugees had been rescued. 16.9 % of them were classified as "medical
treatment required" within the initial onboard medical assessment process. In
addition to the clinical assessment by an emergency physician, pulse rate (PR),
core body temperature (CBT) and oxygen saturation (SpO2) were evaluated.
Sick/injured refugees displayed a statistically significant higher PR (114/min
vs. 107/min; p < .001) and CBT (37.1 degrees C vs. 36.7 degrees C; p < .001).
There was no statistically significant difference in SpO2-values. The same
results were found for the subgroup of patients classified as "treatment at
emergency hospital required". However, a much larger difference of the mean PR
and CBT (35/min resp. 1.8 degrees C) was found when examining the subgroups of
the corresponding refugee boats. A cut-off value of clinical importance could not
be found. Predominant diagnoses have been dermatological diseases (55.4),
followed by internal diseases (27.7) and trauma (12.1 %). None of the refugees
classified as "healthy" within the primary medical assessment process changed to
"medical treatment required" during further observation. CONCLUSIONS: The initial
medical assessment by an emergency physician has proved successful. PR, CBT and
SpO2 didn't have any clinical impact to improve the identification of
sick/injured refugees within the primary onboard assessment process.
PMID- 27206482
TI - Clinical results of endoscopic sciatic nerve decompression for deep gluteal
syndrome: mean 2-year follow-up.
AB - BACKGROUND: The purpose of this study is to assess the effectiveness of
endoscopic sciatic nerve decompression and evaluated the differences of clinical
results between atraumatic and traumatic groups. METHODS: Sixty consecutive
patients. We retrospectively reviewed sixty consecutive patients without major
trauma (45 hips) or with major trauma (15 hips) groups to compare the outcomes of
endoscopic treatment.). The mean follow-up period was 24 +/- 2.6 months (range,
24-38.4 months). RESULTS: The mean duration of symptoms was 14.1 months (range,
12 to 32 months). Compromising structures were piriformis muscle, fibrovascular
bundles, and adhesion with scar tissues. The mean VAS score for pain decreased
from 7.4 +/- 1.5 to 2.6 +/- 1.5 (P = .001). The mean mHHS increased from 81.7 +/-
9.6 to 91.8 +/- 7.6 (P = .003). Clinically, positive paresthesia and seated
piriformis test were statistically significant to diagnosis sciatic entrapment
syndrome. Paresthesia and sitting pain were significantly improved at the final
follow-up (P = .002). More favorable outcome was observed a group without major
trauma. No complication was observed. CONCLUSIONS: Endoscopic sciatic nerve
decompression is a safe and effective procedure for the management of DGS.
Patients with major trauma could have poor clinical outcome. Seated piriformis
test, FADIR, and tenderness of sciatic notch are maybe useful guide for pre and
postoperative evaluation of DGS.
PMID- 27206484
TI - Novel PSEN1 G209A mutation in early-onset Alzheimer dementia supported by
structural prediction.
AB - BACKGROUND: Three main genes are described as causative genes for early-onset
Alzheimer dementia (EOAD): APP, PSEN1 and PSEN2. We describe a woman with EOAD
had a novel PSEN1 mutation. CASE REPORT: A 54-year-old right-handed woman
presented 12-year history of progressive memory decline. She was clinically
diagnosed as familial Alzheimer's disease due to a PSEN1 mutation. One of two
daughters also has the same mutation, G209A in the TM-IV of PS1 protein. Her
mother had unspecified dementia that began at the age of 40s. PolyPhen2 and SIFT
prediction suggested that G209A might be a damaging variant with high scores. 3D
modeling revealed that G209A exchange could result significant changes in the PS1
protein. CONCLUSION: We report a case of EOAD having probable novel PSEN1 (G209A)
mutation verified with structural prediction.
PMID- 27206485
TI - Characterization of the watercress (Nasturtium officinale R. Br.; Brassicaceae)
transcriptome using RNASeq and identification of candidate genes for important
phytonutrient traits linked to human health.
AB - BACKGROUND: Consuming watercress is thought to provide health benefits as a
consequence of its phytonutrient composition. However, for watercress there are
currently limited genetic resources underpinning breeding efforts for either
yield or phytonutritional traits. In this paper, we use RNASeq data from twelve
watercress accessions to characterize the transcriptome, perform candidate gene
mining and conduct differential expression analysis for two key phytonutritional
traits: antioxidant (AO) capacity and glucosinolate (GLS) content. RESULTS: The
watercress transcriptome was assembled to 80,800 transcripts (48,732 unigenes);
71 % of which were annotated based on orthology to Arabidopsis. Differential
expression analysis comparing watercress accessions with 'high' and 'low' AO and
GLS resulted in 145 and 94 differentially expressed loci for AO capacity and GLS
respectively. Differentially expressed loci between high and low AO watercress
were significantly enriched for genes involved in plant defence and response to
stimuli, in line with the observation that AO are involved in plant stress
response. Differential expression between the high and low GLS watercress
identified links to GLS regulation and also novel transcripts warranting further
investigation. Additionally, we successfully identified watercress orthologs for
Arabidopsis phenylpropanoid, GLS and shikimate biosynthesis pathway genes, and
compiled a catalogue of polymorphic markers for future applications. CONCLUSIONS:
Our work describes the first transcriptome of watercress and establishes the
foundation for further molecular study by providing valuable resources, including
sequence data, annotated transcripts, candidate genes and markers.
PMID- 27206486
TI - Qualitative assessment of take-home naloxone program participant and law
enforcement interactions in British Columbia.
AB - BACKGROUND: The British Columbia take-home naloxone (BCTHN) program has been in
operation since 2012 and has resulted in the successful reversal of over 581
opioid overdoses. The study aims to explore BCTHN program participant
perspectives about the program, barriers to participants contacting emergency
services (calling "911") during an overdose, and perspectives of law enforcement
officials on naloxone administration by police officers. METHODS: Two focus
groups and four individual interviews were conducted with BCTHN program
participants; interviews with two law enforcement officials were also conducted.
Qualitative analysis of all transcripts was performed. RESULTS: Positive themes
about the BCTHN program from participants included easy to understand training,
correcting misperceptions in the community, and positive interactions with
emergency services. Potential barriers to contacting emergency services during an
overdose include concerns about being arrested for outstanding warrants or for
other illegal activities (such as drug possession) and confiscation of kits. Law
enforcement officials noted that warrants were complex situational issues, kits
would normally not be confiscated, and admitted arrests for drug possession or
other activities may not serve the public good in an overdose situation. Law
enforcement officials were concerned about legal liability and
jurisdictional/authorization issues if naloxone administration privileges were
expanded to police. CONCLUSIONS: Program participants and law enforcement
officials expressed differing perspectives about warrants, kit confiscation, and
arrests. Facilitating communication between BCTHN program participants and other
stakeholders may address some of the confusion and remove potential barriers to
further improving program outcomes. Naloxone administration by law enforcement
would require policies to address jurisdiction/authorization and liability
issues.
PMID- 27206487
TI - Does thrombo-aspiration still have a place in the treatment of myocardial
infarction?
AB - BACKGROUND: Thrombectomy for the treatment of ST elevation myocardial infarction
(STEMI) is a simple and intuitive idea. In the 2000s, several studies evaluated
the efficacy of thrombus aspiration and showed that thrombus aspiration led to
improved myocardial perfusion, as assessed by a range of surrogate endpoints.
These findings were confirmed by meta-analyses. However, the favorable results
with thrombo-aspiration in STEMI were subsequently called into question by data
indicating not only a lack of efficacy, but a risk of potentially deleterious
complications. DISCUSSION: We review here the scientific evidence in favor of,
then subsequently against the utility of thrombo-aspiration in the setting of
STEMI, and examine how such discordant findings come to be observed, e.g.
technical problems, faulty study design, weak statistical power, or a true lack
of efficacy of thrombus aspiration. We also consider what these conflicting
results may mean for the future of this technique in the treatment of ST
elevation myocardial infarction. Over the course of its development, significant
evidence has cumulated both in favour of, and against thrombectomy for the
treatment of ST elevation myocardial infarction. Overall, although its place
among the therapeutic armamentarium for ST elevation myocardial infarction is now
limited, it is likely that it will continue to be used to treat specific cases,
after careful consideration of the limited success of our catheters at retrieving
effective thrombus, the risk of stroke linked to the procedure, and the special
attention that needs to be paid to avoid a risk of embolization during removal of
thrombotic material.
PMID- 27206488
TI - Recyclable magnetite nanoparticle coated with cationic polymers for adsorption of
DNA.
AB - Magnetite nanoparticle (MNP) grafted with a cationic copolymer between poly(2
(N,N-diethylamino) ethyl methacrylate) and poly(poly(ethylene glycol) methyl
ether methacrylate)) for efficient and recyclable adsorption of 5'-fluorescein
tagged DNA (FAM-dT9) was prepared. MNP having highest degree of positive charge
(+32.1 +/- 1.9 mV) retained 100% adsorption of FAM-dT9 during eight adsorption
separation-desorption cycles. The MNP having lower degree of positive charge
showed a slight decrease in adsorption percentages (94-98% adsorption) after
multiple recycling processes. This biocompatible hybrid material with charged
surface and magnetic-responsive properties might be applicable for use as a
nanosolid support for efficient and facile separation of various bioentities.
PMID- 27206489
TI - Antiepileptic drugs' tolerability and safety--a systematic review and meta
analysis of adverse effects in dogs.
AB - BACKGROUND: The safety profile of anti-epileptic drugs (AEDs) is an important
consideration for the regulatory bodies, owners and prescribing clinicians.
Information on their adverse effects still remains limited. A systematic review
including a meta-analytic approach was designed to evaluate existing evidence for
the safety profile of AEDs in canine patients. Electronic searches of PubMed, CAB
Direct and Google scholar were carried out without date or language restrictions.
Conference proceedings were also searched. Peer-reviewed full-length studies
reporting adverse effects of AEDs in epileptic and healthy non-epileptic dogs
were included. Studies were allocated to three groups based on their design.
Individual studies were evaluated based on the quality of evidence (study design,
study group sizes, subject enrolment quality and overall risk of bias) and the
outcome measures reported (proportion of specific adverse effects for each AED,
prevalence and 95% confidence interval of the affected population in each study
and comparative odds ratio of adverse effects for AEDs). RESULTS: Ninety studies,
including six conference proceedings, reporting clinical outcomes of AEDs'
adverse effects were identified. Few studies were designed as blinded randomised
controlled clinical trials. Many studies included low canine populations with
unclear criteria of subject enrolment and short treatment periods. Direct
comparisons suggested that imepitoin and levetiracetam might have a better safety
profile than phenobarbital, whilst the latter might have a better safety profile
than potassium bromide. However, none of these comparisons showed a statistically
significant difference. Comparisons between other AEDs were not possible as a
considerable amount of studies lacked power calculations or adequate data to
allow further statistical analysis. Individual AED assessments indicated that
levetiracetam might be one of the safest AEDs, followed by imepitoin and then
phenobarbital and potassium bromide; these findings were all supported by a
strong level of evidence. The safety profile in other AEDs was variable, but weak
evidence was found to permit firm conclusions or to compare their safety to other
AEDs. CONCLUSIONS: This systematic review provides objective evaluation of the
most commonly used AEDs' adverse effects. Adverse effects usually appeared mild
in all AEDs and subsided once doses and/or serum levels were monitored or after
the AED was withdrawn. Although phenobarbital might be less safe than imepitoin
and levetiracetam, there was insufficient evidence to classify it as an AED with
a high risk of major adverse effects. It is important for clinicians to evaluate
both AEDs' effectiveness and safety on an individual basis before the selection
of the appropriate monotherapy or adjunctive AED therapy.
PMID- 27206490
TI - Influence of mTOR-inhibitors and mycophenolic acid on human cholangiocellular
carcinoma and cancer associated fibroblasts.
AB - BACKGROUND: The incidence of Cholangiocellular Carcinoma (CCA) is increasing in
the western world. The tumour has a high proportion of desmoplastic stroma and is
correlated with a worse prognosis when cancer associated myofibroblasts (CAFs)
are present. Recent studies showed promising results after liver transplantation
(LTx) in non-resectable early stage CCA. Mycophenolic acid (MPA) and the mTor
inhibitor Everolimus are used to prevent organ rejection but recently were shown
to exhibit an antiproliferative effect on CCA-cells. Little is known about the
influence of immunosuppressive drugs on tumour cell proliferation and migration
after paracrine stimulation by CAFs. Moreover, it is still unknown, which
signaling pathways are activated following these specific cell-cell interactions.
METHODS: CCA cell lines HuCCT1 and TFK1 were utilized for the study. CAFs were
derived from resected CCA cancer tissue. Cell viability was measured by the
crystal violet assay and tumour cell invasion was quantified using a modified co
culture transmigration assay. Semiquantitative cytokine-expression was measured
using a cytokine-array. Protein expression and phosphorylation of ERK, STAT3 and
AKT was determined by Western-blot analysis. RESULTS: CCA cells treated with MPA
exhibited a dose related decrease in cell viability in contrast to Cyclosporine A
(CSA) treatment which had no effect on cell viability. Everolimus significantly
inhibited proliferation at very low concentrations. The pro-invasive effect of
CAFs in co-culture transmigration assay was significantly reduced by Everolimus
at a concentration of 1nM (p = 0.047). In contrast, MPA and CSA showed no effect
on tumour cell invasion. Treatment of CAFs with 1nM Everolimus showed a
significant reduction in the expression of IL 8, IL 13, MCP1, MIF and Serpin E1.
CCA-cells showed significant increases in phosphorylation of ERK, STAT3 and AKT
under the influence of conditioned CAF-media. This effect was suppressed by
Everolimus. CONCLUSIONS: The secretion of proinflammatory cytokines by CAFs may
lead to increased activation of JAK/STAT3-, ERK- and AKT-signaling and increased
migration of CCA-cells. Everolimus abrogates this effect and inhibits
proliferation of CCA-cells even at low concentrations. LTx for non-resectable
early stage CCA is currently performed in several clinical studies. Consistent
with a role for common immunosuppressants in inhibiting tumour cell-proliferation
and -invasion, our study indicates that a combination of standard therapies with
Everolimus and MPA is a promising therapy option to treat CCA following LTx.
PMID- 27206493
TI - Facile Approach To Construct Ternary Cocktail Nanoparticles for Cancer
Combination Therapy.
AB - Drug combinations have been widely used in cancer treatment. However, it remains
a formidable challenge to deliver three or more therapeutic agents in one
nanoparticle with a precise and tunable molar ratio because of differences in
pharmacokinetics and biodistribution of various anticancer drugs. Herein, we
reported a facile approach to construct ternary cocktail nanoparticles, which are
composed of three different anticancer drugs, such as gemcitabine, chlorambucil,
and irinotecan, through the molecular coassembly of two amphiphilic drug-drug
conjugates. The component of these nanoparticles can be simply adjusted by
changing the feed ratio of two amphiphilic drug-drug conjugates in the coassembly
process. Without the help of any drug carriers, they can self-deliver, release
three drugs synchronally, and obtain the optimal synergistic therapeutic effect.
This facile strategy may open a new way for cancer combination therapy.
PMID- 27206492
TI - Applied immuno-epidemiological research: an approach for integrating existing
knowledge into the statistical analysis of multiple immune markers.
AB - BACKGROUND: Immunologists often measure several correlated immunological markers,
such as concentrations of different cytokines produced by different immune cells
and/or measured under different conditions, to draw insights from complex
immunological mechanisms. Although there have been recent methodological efforts
to improve the statistical analysis of immunological data, a framework is still
needed for the simultaneous analysis of multiple, often correlated, immune
markers. This framework would allow the immunologists' hypotheses about the
underlying biological mechanisms to be integrated. RESULTS: We present an
analytical approach for statistical analysis of correlated immune markers, such
as those commonly collected in modern immuno-epidemiological studies. We
demonstrate i) how to deal with interdependencies among multiple measurements of
the same immune marker, ii) how to analyse association patterns among different
markers, iii) how to aggregate different measures and/or markers to immunological
summary scores, iv) how to model the inter-relationships among these scores, and
v) how to use these scores in epidemiological association analyses. We illustrate
the application of our approach to multiple cytokine measurements from 818
children enrolled in a large immuno-epidemiological study (SCAALA Salvador),
which aimed to quantify the major immunological mechanisms underlying atopic
diseases or asthma. We demonstrate how to aggregate systematically the
information captured in multiple cytokine measurements to immunological summary
scores aimed at reflecting the presumed underlying immunological mechanisms
(Th1/Th2 balance and immune regulatory network). We show how these aggregated
immune scores can be used as predictors in regression models with outcomes of
immunological studies (e.g. specific IgE) and compare the results to those
obtained by a traditional multivariate regression approach. CONCLUSION: The
proposed analytical approach may be especially useful to quantify complex immune
responses in immuno-epidemiological studies, where investigators examine the
relationship among epidemiological patterns, immune response, and disease
outcomes.
PMID- 27206494
TI - Morphological Characteristics of the Vertebrobasilar Arterial System Are
Associated with Vertebrobasilar Dolichoectasia.
AB - BACKGROUND Vertebrobasilar dolichoectasia (VBD) is characterized by abnormal
dilation, distortion, and extension of the vertebral artery (VA) and basilar
artery (BA). This study investigated whether BA and VA morphological
characteristics were factors predicting VBD. MATERIAL AND METHODS Individuals
aged >=18 years undergoing contrast-enhanced magnetic resonance angiography (CE
MRA) of the head/neck were enrolled in 2012 at Changhai Hospital, Shanghai. Data
concerning cardiovascular risk factors were recorded. Bilateral VA diameter and
lateral displacement, BA diameter and lateral displacement, VA confluence
displacement, and dominant VA (DVA) presence/absence were determined from CE-MRA.
VBD was diagnosed using established criteria. DVA and no-DVA groups were
compared. Logistic regression analysis was used to identify variables
independently associated with VBD. RESULTS Our study included 1153 individuals,
of which 614 (53.3%) had DVA. The DVA group had higher mean age, hypertension
prevalence (44.6% vs. 37.5%), and VBD prevalence (8.1% vs. 4.5%), and lower
smoking prevalence (24.3% vs. 30.6%), than no-DVA patients. Univariate analysis
revealed that age, female sex, hypertension, hyperlipidemia, smoking, alcohol
consumption, and DVA presence were associated with VBD occurrence. Multivariate
analysis showed that age and presence of a DVA were independently associated with
VBD. CONCLUSIONS Age and presence of DVA are independently associated with VBD.
PMID- 27206491
TI - Representation of anatomy in online atlases and databases: a survey and
collection of patterns for interface design.
AB - BACKGROUND: A large number of online atlases and databases have been developed to
mange the rapidly growing amount of data describing embryogenesis. As these
community resources continue to evolve, it is important to understand how
representations of anatomy can facilitate the sharing and integration of data. In
addition, attention to the design of the interfaces is critical to make online
resources useful and usable. RESULTS: I first present a survey of online atlases
and gene expression resources for model organisms, with a focus on methods of
semantic and spatial representation of anatomy. A total of 14 anatomical atlases
and 21 gene expression resources are included. This survey demonstrates how
choices in semantic representation, in the form of ontologies, can enhance
interface search functions and provide links between relevant information. This
survey also reviews methods for spatially representing anatomy in online
resources. I then provide a collection of patterns for interface design based on
the atlases and databases surveyed. These patterns include methods for displaying
graphics, integrating semantic and spatial representations, organizing
information, and querying databases to find genes expressed in anatomical
structures. CONCLUSIONS: This collection of patterns for interface design will
assist biologists and software developers in planning the interfaces of new
atlases and databases or enhancing existing ones. They also show the benefits of
standardizing semantic and spatial representations of anatomy by demonstrating
how interfaces can use standardization to provide enhanced functionality.
PMID- 27206495
TI - Absence of high-risk HPV 16 and 18 in Chinese patients with oral squamous cell
carcinoma and oral potentially malignant disorders.
AB - BACKGROUND: The critical role of human papillomavirus (HPV) in cancer has been
recognized, but the involvement of HPV in oral squamous cell carcinoma (OSCC) and
oral potentially malignant disorders (OPMD) is still controversial. The aim of
this study was to identify and verify the prevalence of high-risk HPV infection
(HPV16 and 18) in Chinese patients with OSCC or OPMD using real-time PCR and DNA
sequencing. METHODS: Paired tissue and serum DNA samples were extracted from 40
Chinese patients with OSCC and 59 with OPMD. A SYBR Green-based real-time PCR
assay was developed to detect the E6 gene of HPV16 and HPV18. Suspicious positive
samples were then sequenced to eliminate false positives. RESULTS: We found that
none of the tissue and serum samples of OSCCs and OPMDs were positive for HPV16
E6 or 18 E6, using both real-time PCR and DNA sequencing. Overall, 3 of 198 (1.52
%) and 7 of 198 (3.54 %) samples were false-positive for HPV16 E6 and HPV18 E6,
respectively, using real-time PCR. CONCLUSION: The lack of HPV16 and HPV18
detected in this study indicates that high-risk HPV 16 and 18 infections are
uncommon in Chinese patients with OSCC and OPMD. Real-time PCR followed by DNA
sequencing for HPV DNA detection is an effective strategy to rule out false
positives.
PMID- 27206496
TI - Topical glucocorticoid vs. diet therapy in eosinophilic esophagitis: the need for
better treatment options.
PMID- 27206497
TI - Preoperative cognitive-behavioural intervention improves in-hospital mobilisation
and analgesic use for lumbar spinal fusion patients.
AB - BACKGROUND: Catastrophic thinking and fear-avoidance belief are negatively
influencing severe acute pain following surgery causing delayed ambulation and
discharge. We aimed to examine if a preoperative intervention of cognitive
behavioural therapy (CBT) could influence the early postsurgical outcome
following lumbar spinal fusion surgery (LSF). METHODS: Ninety patients undergoing
LSF due to degenerative spinal disorders were randomly allocated to either the
CBT group or the control group. Both groups received surgery and postoperative
rehabilitation. In addition, the CBT group received a preoperative intervention
focussed on pain coping using a CBT approach. Primary outcome was back pain
during the first week (0-10 scale). Secondary outcomes were mobility, analgesic
consumption, and length of hospitalisation. Data were retrieved using self-report
questionnaires, assessments made by physical therapists and from medical records.
RESULTS: No difference between the groups' self-reported back pain (p = 0.76) was
detected. Independent mobility was reached by a significantly larger number of
patients in the CBT group than the control group during the first three
postoperative days. Analgesic consumption tended to be lower in the CBT group,
whereas length of hospitalisation was unaffected by the CBT intervention.
CONCLUSION: Participation in a preoperative CBT intervention appeared to
facilitate mobility in the acute postoperative phase, despite equally high levels
of self-reported acute postsurgical pain in the two groups, and a slightly lower
intake of rescue analgesics in the CBT group. This may reflect an overall
improved ability to cope with pain following participation in the preoperative
CBT intervention. TRIAL REGISTRATION: The study was approved by the Danish
Protection Agency (2011-41-5899) and the Ethics Committee of the Central Denmark
Region (M-20110047). The trial was registered in Current Controlled Trials (
ISRCTN42281022 ).
PMID- 27206498
TI - The cell of origin of Burkitt lymphoma: germinal centre or not germinal centre?
PMID- 27206499
TI - Demyelination as a harbinger of lymphoma: a case report and review of primary
central nervous system lymphoma preceded by multifocal sentinel demyelination.
AB - BACKGROUND: Primary central nervous system lymphoma (PCNSL) may rarely be
preceded by "sentinel demyelination," a pathologic entity characterized by
histologically confirmed demyelinating inflammatory brain lesions that mimic
multiple sclerosis (MS) or acute disseminated encephalomyelitis (ADEM).
Interpreting the overlapping radiologic and clinical characteristics associated
with each of these conditions-contrast-enhancing demyelination of white matter
and relapsing and remitting steroid-responsive symptoms respectively-can be a
significant diagnostic challenge. CASE PRESENTATION: We describe a 57-year-old
woman with an unusual clinical course who presented with multi-focal enhancing
white matter lesions demonstrated to be inflammatory demyelination by brain
biopsy. Despite a good initial response to steroids and rituximab for treatment
of presumed tumefactive multiple sclerosis, the patient's condition rapidly
deteriorated, and a repeat brain biopsy six months later was consistent with a
diagnosis of diffuse large B-cell lymphoma. CONCLUSIONS: Early clinical suspicion
for PCNSL and awareness that biopsied lesions may initially show sentinel
demyelination suggestive of alternate diagnoses may be essential for early
initiation of appropriate therapies and mitigation of disease progression.
Clinical, pathophysiological, and diagnostic aspects of sentinel demyelination
and PCNSL are discussed.
PMID- 27206501
TI - Activities of proteasome and m-calpain are essential for Chikungunya virus
replication.
AB - Replication of many viruses is dependent on the ubiquitin proteasome system. The
present study demonstrates that Chikungunya virus replication increases
proteasome activity and induces unfolded protein response (UPR) in cultured
cells. Further, it was seen that the virus replication was dependent on the
activities of proteasomes and m-calpain. Proteasome inhibition induced
accumulation of polyubiquitinated proteins and earlier visualization of UPR.
PMID- 27206500
TI - Prism adaptation magnitude has differential influences on perceptual versus
manual responses.
AB - Previous research has indicated that rightward prism adaptation can reduce
symptoms of spatial neglect following right brain damage. In addition, leftward
prism adaptation can create "neglect-like" patterns of performance in healthy
adults on tasks that measure attention and spatial biases. Although a great deal
of research has focused on which behaviors are influenced by prism adaptation,
very few studies have focused directly on how the magnitude of visual shift
induced by prisms might be related to the observed aftereffects, or the effects
of prisms on measures of attentional and spatial biases. In the current study, we
examined these questions by having groups of healthy adult participants complete
manual line bisection and landmark tasks prior to and following adaptation to
either 8.5 degrees (15 diopter; n = 22) or 17 degrees (30 diopter; n = 25)
leftward shifting prisms. Our results demonstrated a significantly larger
rightward shift in straight-ahead pointing (a measure of prism aftereffect)
following adaptation to 17 degrees , compared to 8.5 degrees leftward shifting
prisms. In addition, only 17 degrees leftward shifting prisms resulted in a
significant rightward shift in line bisection following adaptation. However,
there was a significant change in performance on the landmark task pre- versus
post-adaptation in both the 8.5 degrees and 17 degrees leftward shifting prism
groups. Interestingly, correlation analyses indicated that changes in straight
ahead pointing pre- versus post-adaptation were positively correlated with
changes in performance on the manual line bisection task, but not the landmark
task. These data suggest that larger magnitudes of prism adaptation seem to have
a greater influence on tasks that require a response with the adapted hand (i.e.,
line bisection), compared to tasks that only require a perceptual judgment (i.e.,
the landmark task). In addition, these data provide further evidence that the
effects of prisms on manual and perceptual responses are not related to one
another.
PMID- 27206502
TI - C-Reactive Protein and Procalcitonin as Predictors of Postoperative Inflammatory
Complications After Pancreatic Surgery.
AB - BACKGROUND: The association between postoperative inflammatory markers and risk
of complications after pancreaticoduodenectomy (PD) is controversial. We sought
to assess the diagnostic value of perioperative C-reactive protein (CRP) and
procalcitonin (PCT) levels in the early identification of patients at risk for
complications after PD. METHODS: In 2014, 84 patients undergoing elective PD were
enrolled in a prospective database. Clinicopathological characteristics, CRP and
PCT, as well as short-term outcomes, such as complications and pancreatic
fistula, were analyzed. Complications and pancreatic fistula were defined based
on the Clavien-Dindo classification and the International Study Group on
Pancreatic Fistula (ISGPF) classification, respectively. High CRP and PCT were
classified using cut-off values based on ROC curve analysis. RESULTS: The
majority (73.8 %) of patients had pancreatic adenocarcinoma. CRP and PCT levels
over the first 5 postoperative days (POD) were higher among patients who
experienced a complication versus those who did not (p < 0.001). Postoperative
CRP and PCT levels were also higher among patients who developed a grade B or C
pancreatic fistula (p < 0.05). A CRP concentration >84 mg/l on POD 1 (AUC 0.77)
and >127 mg/l on POD 3 (AUC 0.79) was associated with the highest risk of overall
complications (OR 6.86 and 9.0, respectively; both p < 0.001). Similarly patients
with PCT >0.7 mg/dl on POD 1 (AUC 0.67) were at higher risk of developing a
postoperative complication (OR 3.33; p = 0.024). On POD 1, a CRP >92 mg/l (AUC
0.72) and a PCT >0.4 mg/dl (AUC 0.70) were associated with the highest risk of
pancreatic fistula (OR 5.63 and 5.62, respectively; both p < 0.05). CONCLUSIONS:
CRP and PCT concentration were associated with an increased risk of developing
complications and clinical relevant pancreatic fistula after PD. Use of these
biomarkers may help identify those patients at highest risk for perioperative
morbidity and help guide postoperative management of patients undergoing PD.
PMID- 27206503
TI - First Human Experience with Directly Image-able Iodinated Embolization
Microbeads.
AB - PURPOSE: To describe first clinical experience with a directly image-able,
inherently radio-opaque microspherical embolic agent for transarterial
embolization of liver tumors. METHODOLOGY: LC Bead LUMITM is a new product based
upon sulfonate-modified polyvinyl alcohol hydrogel microbeads with covalently
bound iodine (~260 mg I/ml). 70-150 MU LC Bead LUMITM iodinated microbeads were
injected selectively via a 2.8 Fr microcatheter to near complete flow stasis into
hepatic arteries in three patients with hepatocellular carcinoma, carcinoid, or
neuroendocrine tumor. A custom imaging platform tuned for LC LUMITM microbead
conspicuity using a cone beam CT (CBCT)/angiographic C-arm system (Allura Clarity
FD20, Philips) was used along with CBCT embolization treatment planning software
(EmboGuide, Philips). RESULTS: LC Bead LUMITM image-able microbeads were easily
delivered and monitored during the procedure using fluoroscopy, single-shot
radiography (SSD), digital subtraction angiography (DSA), dual-phase enhanced and
unenhanced CBCT, and unenhanced conventional CT obtained 48 h after the
procedure. Intra-procedural imaging demonstrated tumor at risk for potential
under-treatment, defined as paucity of image-able microbeads within a portion of
the tumor which was confirmed at 48 h CT imaging. Fusion of pre- and post
embolization CBCT identified vessels without beads that corresponded to enhancing
tumor tissue in the same location on follow-up imaging (48 h post). CONCLUSION:
LC Bead LUMITM image-able microbeads provide real-time feedback and geographic
localization of treatment in real time during treatment. The distribution and
density of image-able beads within a tumor need further evaluation as an
additional endpoint for embolization.
PMID- 27206504
TI - Effects of epiplakin-knockdown in cultured corneal epithelial cells.
AB - BACKGROUND: To investigate effects of knockdown of epiplakin gene expression on
the homeostasis of cultured corneal epithelial cell line. We previously reported
acceleration of corneal epithelial wound healing in an epiplakin-null mouse.
METHODS: Gene expression of epiplakin was knockdowned by employing siRNA
transfection in SV40-immortalized human corneal epithelial cell line. Protein
expression of E-cadherin, keratin 6 and vimentin was examined by western
blotting. Cell migration and proliferation were examined by using scratch assay
and Alamar blue assay, respectively. RESULTS: Scratch assay and Alamar blue assay
showed migration and proliferation of the cells was accelerated by epiplakin
knockdown. siRNA-knockdown of epiplakin suppressed protein expression of E
cadherin, keratin 6 and vimentin. CONCLUSIONS: Decreased expression of E
cadherin, keratin 6 and vimentin might be included in the mechanisms of cell
migration acceleration in the absence of epiplakin. The mechanism of cell
proliferation stimulation by epiplakin knockdown is to be investigated.
PMID- 27206506
TI - CORR Insights(r): How Does the Level of Nerve Root Resection in En Bloc
Sacrectomy Influence Patient-Reported Outcomes?
PMID- 27206505
TI - Classifications In Brief: Salter-Harris Classification of Pediatric Physeal
Fractures.
PMID- 27206507
TI - Mono-sensitisation to peanut component Ara h 6: a case series of five children
and literature review.
AB - Here, we summarise the current clinical knowledge on Ara h 6 sensitisation and
clinical relevance of this sensitisation pattern using five illustrative clinical
cases. The literature search yielded a total of 166 papers, and an additional
relevant article was found by 'snowballing'. A total of ten articles were
considered relevant for this review. Most studies included patients with a
sensitisation to Ara h 6 and cosensitisation to Ara h 2. Only three studies
showed patients with a mono-sensitisation to Ara h 6. This illustrates that Ara h
6 mono-sensitisation has been neglected in literature. We present a case series
of five children with sensitisation to peanut component Ara h 6. Only one of
these five patients showed Ara h 8 cosensitivity. Three out of the five children
had a positive double-blind placebo-controlled food challenge (DBPCFC), with
moderate to strong reactions. CONCLUSION: A mono-sensitisation to peanut
component Ara h 6 is uncommon but can cause severe allergic reactions. Therefore,
the determination of sIgE to Ara h 6 is warranted in patients with a suspected
peanut allergy, especially in the absence of sensitisation to Ara h 1, 2, 3 and
9. WHAT IS KNOWN: * Peanut allergy is common and can cause severe allergic
reactions. * The diagnostics of peanut allergy has recently improved with the use
of component resolved diagnosis What is new: * A mono-sensitisation to peanut
component Ara h 6 is uncommon, but can cause severe allergic reactions *
Determination of sIgE to Ara h 6 is warranted in patients with a suspected peanut
allergy, especially in the absence of sensitisation to Ara h 1, 2, 3 and 9.
PMID- 27206508
TI - Probing the Relationship Between Detected Ion Intensity, Laser Fluence, and Beam
Profile in Thin Film and Tissue in MALDI MSI.
AB - Matrix assisted laser desorption ionization mass spectrometry imaging (MALDI MSI)
is increasingly widely used to provide information regarding molecular location
within tissue samples. The nature of the photon distribution within the
irradiated region, the laser beam profile, and fluence, will significantly affect
the form and abundance of the detected ions. Previous studies into these
phenomena have focused on circular-core optic fibers or Gaussian beam profiles
irradiating dried droplet preparations, where peptides were employed as the
analyte of interest. Within this work, we use both round and novel square core
optic fibers of 100 and 50 MUm diameter to deliver the laser photons to the
sample. The laser beam profiles were recorded and analyzed to quantify aspects of
the photon distributions and their relation to the spectral data obtained with
each optic fiber. Beam profiles with a relatively small number of large beam
profile features were found to give rise to the lowest threshold fluence. The
detected ion intensity versus fluence relationship was investigated, for the
first time, in both thin films of alpha-cyano-4-hydroxycinnamic acid (CHCA) with
phosphatidylcholine (PC) 34:1 lipid standard and in CHCA coated murine tissue
sections for both the square and round optic fibers in continuous raster imaging
mode. The fluence threshold of ion detection was found to occur at between ~14
and ~64 J/m(2) higher in tissue compared with thin film for the same lipid,
depending upon the optic fiber employed. The image quality is also observed to
depend upon the fluence employed during image acquisition. Graphical Abstract ?.
PMID- 27206510
TI - Quantitative Comparison of Tandem Mass Spectra Obtained on Various Instruments.
AB - The similarity between two tandem mass spectra, which were measured on different
instruments, was compared quantitatively using the similarity index (SI), defined
as the dot product of the square root of peak intensities in the respective
spectra. This function was found to be useful for comparing energy-dependent
tandem mass spectra obtained on various instruments. Spectral comparisons show
the similarity index in a 2D "heat map", indicating which collision energy
combinations result in similar spectra, and how good this agreement is. The
results and methodology can be used in the pharma industry to design experiments
and equipment well suited for good reproducibility. We suggest that to get good
long-term reproducibility, it is best to adjust the collision energy to yield a
spectrum very similar to a reference spectrum. It is likely to yield better
results than using the same tuning file, which, for example, does not take into
account that contamination of the ion source due to extended use may influence
instrument tuning. The methodology may be used to characterize energy dependence
on various instrument types, to optimize instrumentation, and to study the
influence or correlation between various experimental parameters. Graphical
Abstract ?.
PMID- 27206509
TI - Statistical Examination of the a and a + 1 Fragment Ions from 193 nm Ultraviolet
Photodissociation Reveals Local Hydrogen Bonding Interactions.
AB - Dissociation of proteins and peptides by 193 nm ultraviolet photodissociation
(UVPD) has gained momentum in proteomic studies because of the diversity of
backbone fragments that are produced and subsequent unrivaled sequence coverage
obtained by the approach. The pathways that form the basis for the production of
particular ion types are not completely understood. In this study, a statistical
approach is used to probe hydrogen atom elimination from a + 1 radical ions, and
different extents of elimination are found to vary as a function of the identity
of the C-terminal residue of the a product ions and the presence or absence of
hydrogen bonds to the cleaved residue. Graphical Abstract ?.
PMID- 27206511
TI - Controlled regular locomotion of algae cell microrobots.
AB - Algae cells can be considered as microrobots from the perspective of engineering.
These organisms not only have a strong reproductive ability but can also sense
the environment, harvest energy from the surroundings, and swim very efficiently,
accommodating all these functions in a body of size on the order of dozens of
micrometers. An interesting topic with respect to random swimming motions of
algae cells in a liquid is how to precisely control them as microrobots such that
they swim according to manually set routes. This study developed an ingenious
method to steer swimming cells based on the phototaxis. The method used a varying
light signal to direct the motion of the cells. The swimming trajectory, speed,
and force of algae cells were analyzed in detail. Then the algae cell could be
controlled to swim back and forth, and traverse a crossroad as a microrobot
obeying specific traffic rules. Furthermore, their motions along arbitrarily set
trajectories such as zigzag, and triangle were realized successfully under
optical control. Robotize algae cells can be used to precisely transport and
deliver cargo such as drug particles in microfluidic chip for biomedical
treatment and pharmacodynamic analysis. The study findings are expected to bring
significant breakthrough in biological drives and new biomedical applications.
PMID- 27206512
TI - Microfluidic manufacture of rt-PA -loaded echogenic liposomes.
AB - Echogenic liposomes (ELIP), loaded with recombinant tissue-type plasminogen
activator (rt-PA) and microbubbles that act as cavitation nuclei, are under
development for ultrasound-mediated thrombolysis. Conventional manufacturing
techniques produce a polydisperse rt-PA-loaded ELIP population with only a small
percentage of particles containing microbubbles. Further, a polydisperse
population of rt-PA-loaded ELIP has a broadband frequency response with complex
bubble dynamics when exposed to pulsed ultrasound. In this work, a microfluidic
flow-focusing device was used to generate monodisperse rt-PA-loaded ELIP
(MUtELIP) loaded with a perfluorocarbon gas. The rt-PA associated with the
MUtELIP was encapsulated within the lipid shell as well as intercalated within
the lipid shell. The MUtELIP had a mean diameter of 5 MUm, a resonance frequency
of 2.2 MHz, and were found to be stable for at least 30 min in 0.5 % bovine serum
albumin. Additionally, 35 % of MUtELIP particles were estimated to contain
microbubbles, an order of magnitude higher than that reported previously for
batch-produced rt-PA-loaded ELIP. These findings emphasize the advantages offered
by microfluidic techniques for improving the encapsulation efficiency of both rt
PA and perflurocarbon microbubbles within echogenic liposomes.
PMID- 27206513
TI - Effectiveness of N-acetylcysteine for preserving residual renal function in
patients undergoing maintenance hemodialysis: multicenter randomized clinical
trial.
AB - BACKGROUND: To investigate the efficacy and safety of oral N-acetylcysteine (NAC)
for preserving residual renal function in patients undergoing hemodialysis.
METHODS: Randomized, multi-center, parallel-group, open-label clinical trial
(Registration No. IRCT 2014071418482N1). 54 patients who have been undergoing
hemodialysis for at least 3 months and had residual urine volume >100 ml/24 h
were randomly allocated to NAC or no medication. Residual renal function
evaluated by (1) estimated glomerular filtration rate (GFR), (2) 24 h urine
volume, and (3) renal Kt/V. GFR and Kt/V was determined at baseline and after 3
months. 24 h urine volume was measured at baseline, after 1, 2, and 3 months.
RESULTS: Intention-to-treat analysis was performed on 47 patients (NAC = 26,
control = 21). GFR in patients receiving NAC improved, whereas in the control arm
a decline of 1.0 ml/min/1.73 m2 was recorded (3.59 vs. 2.11 ml/min/1.73 m2,
effect size = 17.0 %, p = 0.004). For 24 h urine volume, the between-group
difference after 1 month was significant (669 vs. 533 ml/24 h, effect size = 15.4
%, p = 0.004). After 3 months, 24 h urine volume in the NAC arm was on average
137 ml higher than in the control group, and the difference reached near
significance (673 vs. 536 ml/24 h, p = 0.072). In the follow-up visit, Kt/V was
higher in the NAC arm but the difference did not reach statistical significance
(0.81 vs. 0.54, p = 0.152). CONCLUSION: Three months treatment with NAC appears
to be effective in preserving renal function in patients undergoing hemodialysis
and the medication is generally well-tolerated.
PMID- 27206514
TI - Comparing the Recurrence of Vulvovaginal Candidiasis in Patients Undergoing
Prophylactic Treatment with Probiotic and Placebo During the 6 Months.
AB - This is a randomized, double-blind, clinical/comparative trial study, involving
the recurrence of vaginal candidiasis (VVC) after initial treatment with oral
fluconazole in patients undergoing prophylactic management with a probiotic and
placebo for 6 months. Fifty-nine VVC patients who were diagnosed based on their
history, physical examination, and culture of vaginal discharge were initially
treated by a single dose of 150 mg fluconazole. According to the table of random
numbers, the sample was divided into two groups. The patients from one group took
probiotics, while those from the other group took a placebo, with all of them
being continuously monitored for 6 months. The patients complaining of vaginal
candidiasis symptoms, such as burning, pruritus, and a vaginal (curd-like)
discharge, were examined and the discharge was cultured for candida. The positive
cultures were considered to be recurring for the patients in each group. Thirty
one cases from the placebo group and 28 cases from the probiotic group were
carefully observed. In total, the 6-month recurrence in the control group was
eleven (35.5 %) and in the research group was two (7.2 %). The results from
Fisher's exact test for the value p = 0.01 and OR 0.14 95 % CI (0.028-0.7) showed
significant recurrence in the placebo group. The findings demonstrated that
taking probiotics withazole antifungal drugs could be highly effective in
treating VVC, resulting in a lower recurrence rate as well.
PMID- 27206515
TI - Neuroforaminal decompression and intra-/extraforaminal discectomy via a
paraspinal muscle-splitting approach.
PMID- 27206516
TI - Influence of high-heeled shoes on the sagittal balance of the spine and the whole
body.
AB - PURPOSE: Wearing high heels is associated with chronic pain of the neck, lower
back and knees. The mechanisms behind this have not been fully understood. The
purpose of this study was to investigate the influence of high-heeled shoes on
the sagittal balance of the spine and the whole body in non-habitual wearers of
high heels. METHODS: Lateral standing whole body low-dose radiographs were
obtained from 23 female participants (age 29 +/- 6 years) with and without high
heels and radiological parameters describing the sagittal balance were
quantified. These were analyzed for differences between both conditions in the
total sample and in subgroups. RESULTS: Standing in high heels was associated
with an increased femoral obliquity angle [difference (Delta) 3.0 degrees +/-
1.7 degrees , p < 0.0001], and increased knee (Delta 2.4 degrees +/- 2.9 degrees
, p = 0.0009) and ankle flexion (Delta 38.7 degrees +/- 3.4 degrees , p <
0.0001). The differences in C7 and meatus vertical axis, cervical and lumbar
lordosis, thoracic kyphosis, spino-sacral angle, pelvic tilt, sacral slope, and
spinal tilt were not significant. Individuals adapting with less-than-average
knee flexion responded to high heels by an additional increase in cervical
lordosis (Delta 5.8 degrees +/- 10.7 degrees vs. 1.8 degrees +/- 5.3 degrees
). CONCLUSIONS: In all participants, wearing high heels led to increased flexion
of the knees and to more ankle flexion. While some participants responded to high
heels primarily through the lower extremities, others used increased cervical
lordosis to adapt to the shift of the body's center of gravity. This could
explain the different patterns of pain in the neck, lower back and knees seen in
individuals wearing high heels frequently.
PMID- 27206518
TI - Dynamic ambulance dispatching: is the closest-idle policy always optimal?
AB - We address the problem of ambulance dispatching, in which we must decide which
ambulance to send to an incident in real time. In practice, it is commonly
believed that the 'closest idle ambulance' rule is near-optimal and it is used
throughout most literature. In this paper, we present alternatives to the
classical closest idle ambulance rule. Most ambulance providers as well as
researchers focus on minimizing the fraction of arrivals later than a certain
threshold time, and we show that significant improvements can be obtained by our
alternative policies. The first alternative is based on a Markov decision problem
(MDP), that models more than just the number of idle vehicles, while remaining
computationally tractable for reasonably-sized ambulance fleets. Second, we
propose a heuristic for ambulance dispatching that can handle regions with large
numbers of ambulances. Our main focus is on minimizing the fraction of arrivals
later than a certain threshold time, but we show that with a small adaptation our
MDP can also be used to minimize the average response time. We evaluate our
policies by simulating a large emergency medical services region in the
Netherlands. For this region, we show that our heuristic reduces the fraction of
late arrivals by 18 % compared to the 'closest idle' benchmark policy. A drawback
is that this heuristic increases the average response time (for this problem
instance with 37 %). Therefore, we do not claim that our heuristic is practically
preferable over the closest-idle method. However, our result sheds new light on
the popular belief that the closest idle dispatch policy is near-optimal when
minimizing the fraction of late arrivals.
PMID- 27206517
TI - Entecavir plus tenofovir combination therapy for chronic hepatitis B in patients
with previous nucleos(t)ide treatment failure.
AB - BACKGROUND AND AIMS: In patients with chronic hepatitis B (CHB) who have failed
on other nucleos(t)ide analogs (NUCs), the combination of entecavir (ETV) plus
tenofovir disoproxil fumarate (TDF), two potent agents with non-overlapping
resistance profiles, may provide a single rescue regimen. METHODS: In this single
arm, open-label study, 92 CHB patients with a primary non-response, partial
response, or virologic breakthrough on their current NUC were switched to ETV (1
mg) plus TDF (300 mg) and treated for 96 weeks. RESULTS: At baseline, 62 % of
patients were HBeAg(+) and mean HBV DNA was 4.4 log10IU/mL. Patients had received
>=1 line of prior NUC therapy, with the latest regimen consisting of monotherapy
with ETV (53 %), lamivudine (LVD 22 %), TDF (12 %), adefovir (ADV 4 %), or
telbivudine (2 %), or combinations of these agents (7 %); 58 % had evidence of
single- or multidrug resistance mutations (LVD 52 %, ETV 26 %; ADV 7 %). Response
rates for HBV DNA <50 IU/mL were 76 % (70/92) at week 48 (primary endpoint), and
85 % (78/92) at week 96, including 80 % (16/20) in prior LVD failures, 100 %
(4/4) in ADV failures, 82 % (9/11) in TDF failures, and 88 % (42/48) in ETV
failures. No treatment-emergent resistance to ETV or ADV was observed. ETV/TDF
was well tolerated, with no significant renal or additive toxicities observed.
CONCLUSIONS: In NUC-experienced patients who have failed prior NUC therapy,
ETV/TDF was well tolerated and effective, achieving virologic suppression through
96 weeks in the majority (85 %), irrespective of prior NUC exposure, without
occurrence of treatment-emergent resistance to either agent.
PMID- 27206519
TI - Priorities for Patient-Centered Outcomes Research: The Views of Minority and
Underserved Communities.
AB - OBJECTIVE: To learn how minority and underserved communities would set priorities
for patient-centered outcomes research (PCOR). DATA SOURCES: Sixteen groups (n =
183) from minority and underserved communities in two states deliberated about
PCOR priorities using the simulation exercise CHoosing All Together (CHAT). Most
participants were minority, one-third reported income <$10,000, and one-fourth
reported fair/poor health. DESIGN: Academic-community partnerships adapted CHAT
for PCOR priority setting using existing research agendas and interviews with
community leaders, clinicians, and key informants. DATA COLLECTION: Tablet-based
CHAT collected demographic information, individual priorities before and after
group deliberation, and groups' priorities. PRINCIPAL FINDINGS: Individuals and
groups prioritized research on Quality of Life, Patient-Doctor, Access, Special
Needs, and (by total resources spent) Compare Approaches. Those with less than a
high school education were less likely to prioritize New Approaches, Patient
Doctor, Quality of Life, and Families/Caregivers. Blacks were less likely to
prioritize research on Causes of Disease, New Approaches, and Compare Approaches
than whites. Compare Approaches, Special Needs, Access, and Families/Caregivers
were significantly more likely to be selected by individuals after compared to
before deliberation. CONCLUSIONS: Members of underserved communities, in informed
deliberations, prioritized research on Quality of Life, Patient-Doctor, Special
Needs, Access, and Compare Approaches.
PMID- 27206520
TI - Activation of chloramphenicol biosynthesis in Streptomyces venezuelae ATCC 10712
by ethanol shock: insights from the promoter fusion studies.
AB - BACKGROUND: Streptomyces venezuelae ATCC 10712 produces antibiotics
chloramphenicol (Cml) and jadomycin (Jad) in response to nutrient limitation and
ethanol shock (ES), respectively. Biosynthesis of Cml and Jad was shown to be
reciprocally regulated via the action of regulatory proteins JadR1 and JadR2
encoded by the jad cluster, and mechanism of such regulation has been
characterized. However, detailed analysis of the regulatory mechanism controlling
Cml biosynthesis is still lacking. RESULTS: In the present study, several
promoters from the cml cluster were fused to the reporter gene gusA. Reporter
protein activity and Cml production were assayed in the wild-type strain with and
without ES, followed by similar experiments with the jadR1 deletion mutant. The
latter gene was earlier reported to negatively control Cml biosynthesis, while
serving as a positive regulator for the jad cluster. A double deletion mutant
deficient in both jadR1 and the cml cluster was also constructed and used in
promoter fusion studies. Analyses of the results revealed that ES activates Cml
biosynthesis in both wild-type and jadR1 deletion mutant, while Cml production by
the latter was ca 80% lower. CONCLUSIONS: These results contradict earlier
reports regarding the function of JadR1, but correlate well with the reporter
activity data for some promoters, while reaction of others to the ES is genotype
dependent. Remarkably, the absence of Cml production in the double mutant has a
profound effect on the way certain cml promoters react to ES. The latter suggests
direct involvement of Cml in this complex regulatory mechanism.
PMID- 27206521
TI - The evolution of anthropoid molar proportions.
AB - BACKGROUND: Developmental processes that underpin morphological variation have
become a focus of interest when attempting to interpret macroevolutionary
patterns. Recently, the Dental Inhibitory Cascade (DIC) model has been suggested
to explain much of the variation in mammalian molar size proportions. We tested
the macroevolutionary implications of this model using anthropoid primate species
(n=100), focusing on overall morphological patterns, as well as predictions made
about molar size variability, direct developmental control, and diet. RESULTS: Of
the species sampled, 56 % had centroids that fell within regions of molar
proportion morphospace consistent with the DIC model. We also found that the
third molar had greater variation in size than either the first or second molars,
as expected by the model. Some DIC model predictions were not supported, however,
such as the expected proportion of M 2/M 1 when the third molar is absent.
Furthermore, we found that some variability in third molar size could not be
explained by the influence of the inhibitory cascade. Overall, we found
considerable clade-specific differences in relative molar sizes among anthropoid
primates, with hominoids and cercopithecins strongly divergent from DIC model
predictions, and platyrrhines, colobines, and papionins more consistent with the
inhibitory cascade. Finally, we investigated reasons why some clades deviated
from DIC model expectations. Adaptations for frugivory (e.g., bunodont cusp
relief) appeared to be one driver of relatively larger second molars and have
evolved independently in multiple lineages of anthropoids. CONCLUSIONS: The DIC
model explains some of the variation in anthropoid primate molar proportions.
However, there are interesting deviations away from this broad mammalian pattern,
particularly in hominoids and cercopithecins, which suggest the model is only one
of multiple mechanisms determining morphological variability in mammalian teeth.
PMID- 27206522
TI - HrcU and HrpP are pathogenicity factors in the fire blight pathogen Erwinia
amylovora required for the type III secretion of DspA/E.
AB - BACKGROUND: Many Gram-negative bacterial pathogens mediate host-microbe
interactions via utilization of the type III secretion (T3S) system. The T3S
system is a complex molecular machine consisting of more than 20 proteins.
Collectively, these proteins translocate effectors across extracellular space and
into the host cytoplasm. Successful translocation requires timely synthesis and
allocation of both structural and secreted T3S proteins. Based on amino acid
conservation in animal pathogenic bacteria, HrcU and HrpP were examined for their
roles in regulation of T3S hierarchy. RESULTS: Both HrcU and HrpP were shown to
be required for disease development in an immature pear infection model and
respective mutants were unable to induce a hypersensitive response in tobacco.
Using in vitro western blot analyses, both proteins were also shown to be
required for the secretion of DspA/E, a type 3 effector and an important
pathogenicity factor. Via yeast-two hybridization (Y2H), HrpP and HrcU were
revealed to exhibit protein-protein binding. Finally, all HrcU and HrpP
phenotypes identified were shown to be dependent on a conserved amino acid motif
in the cytoplasmic tail of HrcU. CONCLUSIONS: Collectively, these data
demonstrate roles for HrcU and HrpP in regulating T3S and represent the first
attempt in understanding T3S heirarchy in E. amylovora.
PMID- 27206523
TI - Graves' hyperthyroidism accompanied with acute hepatitis B virus infection: an
extrahepatic manifestation?
AB - BACKGROUND: Although hepatitis B virus (HBV) primarily affects hepatocytes, it
has also been shown to cause complications in the skin, joints, muscles, and
kidneys. Thyroid dysfunction is uncommon in cases of acute HBV infection. CASE
PRESENTATION: In this report, we describe a case of a 46-year-old woman with
incipient acute hepatitis B virus (HBV) infection who presented clinically with
Graves' hyperthyroidism. She showed typical symptoms of hyperthyroidism, and
laboratory tests revealed high levels of HBV DNA and alanine transaminase (ALT).
The patient was not administered with antithyroid medicine or radioiodine, but
she was given antiviral therapy and symptomatic treatment with propranolol.
Follow-up studies showed that as the HBV DNA levels decreased, the thyroid
function recovered. CONCLUSION: Graves' disease maybe an extrahepatic
manifestation of acute HBV infection. Antiviral therapy is likely to be
beneficial for this condition as without severe thyrotoxicosis.
PMID- 27206524
TI - Guillain-Barre syndrome following the 2009 pandemic monovalent and seasonal
trivalent influenza vaccination campaigns in Spain from 2009 to 2011: outcomes
from active surveillance by a neurologist network, and records from a country
wide hospital discharge database.
AB - BACKGROUND: Studies have shown a slight excess risk in Guillain-Barre syndrome
(GBS) incidence associated with A(H1N1)pdm09 vaccination campaign and seasonal
trivalent influenza vaccine immunisations in 2009-2010. We aimed to assess the
incidence of GBS as a potential adverse effect of A(H1N1)pdm09 vaccination.
METHODS: A neurologist-led network, active at the neurology departments of ten
general hospitals serving an adult population of 4.68 million, conducted GBS
surveillance in Spain in 2009-2011. The network, established in 1996, carried out
a retrospective and a prospective study to estimate monthly alarm thresholds in
GBS incidence and tested them in 1998-1999 in a pilot study. Such incidence
thresholds additionally to observation of GBS cases with immunisation antecedent
in the 42 days prior to clinical onset were taken as alarm signals for 2009-2011,
since November 2009 onwards. For purpose of surveillance, in 2009 we updated both
the available centres and the populations served by the network. We also did a
retrospective countrywide review of hospital-discharged patients having ICD-9-CM
code 357.0 (acute infective polyneuritis) as their principal diagnosis from
January 2009 to December 2011. RESULTS: Among 141 confirmed of 148 notified cases
of GBS or Miller-Fisher syndrome, Brighton 1-2 criteria in 96 %, not a single
patient was identified with clinical onset during the 42-day time interval
following A(H1N1)pdm09 vaccination. In contrast, seven cases were seen during a
similar period after seasonal campaigns. Monthly incidence figures did not,
however, exceed the upper 95 % CI limit of expected incidence. A retrospective
countrywide review of the registry of hospital-discharged patients having ICD-9
CM code 357.0 (acute infective polyneuritis) as their principal diagnosis did not
suggest higher admission rates in critical months across the period December 2009
February 2010. CONCLUSIONS: Despite limited power and underlying reporting bias
in 2010-2011, an increase in GBS incidence over background GBS, associated with
A(H1N1)pdm09 monovalent or trivalent influenza immunisations, appears unlikely.
PMID- 27206525
TI - Marketing Strategies Used to Promote Children's Medicine Sold on Internet Sites
of Pharmaceutical Stores.
AB - Retail sales of over the counter (OTC) medications have risen steadily over the
years; however, these products are not without potential risk. The aim of this
study was to describe the marketing strategies used to promote OTC medicines to
children and their parents. Data were collected from 187 product packaging of
fever reducer, allergy, and cough medicines posted on the Internet sites of three
of the largest pharmacies in the United States. Specific marketing information
was collected related to flavorings and pictures appearing on the packaging.
There was no significant difference between the type of medicine and whether they
were flavored or not, as almost every product (95.7 %) indicated that it
resembles a food flavoring. On almost all the packaging (92.5 %) the flavor was
also indicated by words in different font sizes. Most of the products (83.4 %)
showed a picture of a food product on their packaging. There was a significant
difference between the medicine types by picture, with fever medicines having
more pictures of food than either cough or allergy medicine. Stronger regulations
of marketing strategies of these products are needed.
PMID- 27206526
TI - P2X7 nucleotide receptor signaling potentiates the Wnt/beta-catenin pathway in
cells of the osteoblast lineage.
AB - The P2X7 and Wnt/beta-catenin signaling pathways regulate osteoblast
differentiation and are critical for the anabolic responses of bone to mechanical
loading. However, whether these pathways interact to control osteoblast activity
is unknown. The purpose of this study was to investigate the effects of P2X7
activation on Wnt/beta-catenin signaling in osteoblasts. Using MC3T3-E1 cells, we
found that combined treatment with Wnt3a and the P2X7 agonist 2'(3')-O-(4
benzoylbenzoyl)adenosine 5'-triphosphate (BzATP) elicited more sustained beta
catenin nuclear localization than that induced by Wnt3a alone. Wnt3a-induced
increases in beta-catenin transcriptional activity were also potentiated by
treatment with BzATP. Consistent with involvement of P2X7, a high ATP
concentration (1 mM) potentiated Wnt3a-induced beta-catenin transcriptional
activity, whereas a low concentration (10 MUM) of ATP, adenosine 5'-diphosphate
(ADP), or uridine 5'-triphosphate (UTP) failed to elicit a response. The
potentiation of beta-catenin transcriptional activity elicited by BzATP was also
inhibited by two distinct P2X7 antagonists: A 438079 and A 740003. Furthermore,
responses to Wnt3a in calvarial cells isolated from P2rx7 knockout mice were
significantly less than in cells from wild-type controls. In MC3T3-E1 cells,
BzATP increased inhibitory phosphorylation of glycogen synthase kinase 3beta
(GSK3beta), a process that was blocked by A 438079 and diminished by inhibition
of protein kinase C. Thus, P2X7 signaling may potentiate the canonical Wnt
pathway through GSK3beta inhibition. Taken together, we show that P2X7 activation
prolongs and potentiates Wnt/beta-catenin signaling. Consequently, cross-talk
between P2X7 and Wnt/beta-catenin pathways may modulate osteoblast activity in
response to mechanical loading.
PMID- 27206527
TI - Identification and functional characterization of the sulfate transporter gene
GmSULTR1;2b in soybean.
AB - BACKGROUND: Soybean is a major source of oil and protein in the human diet and in
animal feed. However, as soybean is deficient in sulfur-containing amino acids,
its nutritional value is limited. Increasing sulfate assimilation and utilization
efficiency is a valuable approach to augment the concentration of sulfur
containing amino acids in soybean seeds, and sulfate transporters play important
roles in both sulfate uptake and translocation within plants. RESULTS: In this
study, we isolated and characterized a soybean sulfate transporter gene:
GmSULTR1;2b. The gene was found to be specifically expressed in root tissues and
induced by low-sulfur stress. In addition, GmSULTR1;2b expression in yeast could
complement deficiency in the sulfate transporter genes SUL1 and SUL2. Under +S
conditions, GmSULTR1;2b-overexpressing tobacco plants accumulated higher levels
of organic matter and exhibited enhanced biomass and seed weight compared to
control plants. Under -S conditions, acclimation of GmSULTR1;2b-overexpressing
plants was much better than control plants. GmSULTR1;2b-overexpressing tobacco
seedlings showed better tolerance to drought stress than the control plants, but
no significant difference was observed under salt stress. Transcriptome analysis
revealed 515 genes with at least a 2-fold change in expression in the leaves of
tobacco plants overexpressing GmSULTR1;2b. Of these, 227 gene annotations were
classified into 12 functional categories associated with 123 relevant pathways,
including biosynthesis and metabolism-related proteins, stress-related proteins,
and transporters. CONCLUSIONS: The findings reported here indicate that the
increased biomass and seed yield observed in transgenic tobacco plants could have
resulted from greater nutrient uptake and transport capability as well as
enhanced development and accumulation of organic matter. Taken together, our
results indicate that GmSULTR1;2b plays an important role in sulfur uptake and
could alter the sulfur status of plants. Our study suggests that overexpressing
GmSULTR1;2b may enhance plant yield under +S conditions, reduce plant production
loss under -S conditions, and improve plant tolerance to sulfur deficiency
stress.
PMID- 27206528
TI - The Relationship of BMD Increases Between the First 12 Months and the Latter 12
Months by Daily Teriparatide Treatment.
AB - The degree of correlation between the first 12 months and the latter 12 months of
increased bone mineral density (BMD) with teriparatide treatment is unknown. We
retrospectively investigated the correlation between the first 12 months and the
latter 12 months of increased BMD owing to teriparatide treatment. We
retrospectively analyzed 357 patients (mean age, 78 years) with osteoporosis
treated with teriparatide 20 MUg/day for 24 months. The primary efficacy measure
was the correlation between lumbar spine (LS) and femoral neck (FN) BMD increases
from baseline to 12 months and from 12 to 24 months. The correlation between the
first 12 months and the latter 12 months of increased BMD was evaluated. We
investigated the correlation between the increases in BMD and the baseline
procollagen type I N-terminal propeptide (PINP) concentration. LS BMD
significantly increased by 9.7 +/- 8.3 % in the first 12 months and 3.5 +/- 4.8 %
in the latter 12 months. FN BMD increased by 2.2 +/- 8.4 % in the first 12 months
and 1.3 +/- 4.9 % in the latter 12 months. Increased LS and FN BMD were not
significantly correlated between the first 12 months and the latter 12 months.
The serum baseline PINP concentration was correlated with the LS BMD in the first
12 months, and similarly, the PINP concentration at 12 months was correlated with
the latter 12 months of increased LS BMD. Increased BMD by teriparatide treatment
in the first 12 months and the latter 12 months was not significantly correlated.
PMID- 27206529
TI - Metronidazole containing pyrazole derivatives potently inhibit tyrosyl-tRNA
synthetase: design, synthesis, and biological evaluation.
AB - As an important enzyme in bacterial protein biosynthesis, tyrosyl-tRNA synthetase
(TyrRS) has been an absorbing therapeutic target for exploring novel
antibacterial agents. A series of metronidazole-based antibacterial agents has
been synthesized and identified as TyrRS inhibitors with low cytotoxicity and
significant antibacterial activity, especially against Gram-negative organisms.
Of the compounds obtained, 4f is the most potent agent which inhibited the growth
of Pseudomonas aeruginosa ATCC 13525 (MIC = 0.98 MUg/mL) and exhibited TryRS
inhibitory activity (IC50 = 0.92 MUm). Docking simulation was performed to
further understand its potency. Membrane-mediated apoptosis in P. aeruginosa was
verified by flow cytometry.
PMID- 27206530
TI - Close correlation of bone mineral density and body mass index in Japanese
psoriasis patients.
PMID- 27206531
TI - The evolution of genomic islands by increased establishment probability of linked
alleles.
AB - Genomic islands are clusters of loci with elevated divergence that are commonly
found in population genomic studies of local adaptation and speciation. One
explanation for their evolution is that linkage between selected alleles confers
a benefit, which increases the establishment probability of new mutations that
are linked to existing locally adapted polymorphisms. Previous theory suggested
there is only limited potential for the evolution of islands via this mechanism,
but involved some simplifying assumptions that may limit the accuracy of this
inference. Here, we extend previous analytical approaches to study the effect of
linkage on the establishment probability of new mutations and identify parameter
regimes that are most likely to lead to evolution of islands via this mechanism.
We show how the interplay between migration and selection affects the
establishment probability of linked vs. unlinked alleles, the expected maximum
size of genomic islands, and the expected time required for their evolution. Our
results agree with previous studies, suggesting that this mechanism alone is
unlikely to be a general explanation for the evolution of genomic islands.
However, this mechanism could occur more readily if there were other pre
adaptations to reduce local rates of recombination or increase the local density
of mutational targets within the region of the island. We also show that island
formation via erosion following secondary contact is much more rapid than island
formation from de novo mutations, suggesting that this mechanism may be more
likely.
PMID- 27206532
TI - The supplemental use of infant formula in the context of universal breastfeeding
practices in Western Nepal.
AB - BACKGROUND: While the initiation of breastfeeding is universal in Nepal, little
has been reported on formula feeding practices. This study aimed to report the
prevalence of, and factors associated with, the use of infant formula as
supplementary feeds in the Western region of Nepal. METHODS: A community-based
cohort study was conducted to collect infant feeding information among 735
postpartum mothers using structured questionnaires. Complete formula feeding data
were collected from 711 women in the first, fourth and sixth month postpartum.
Factors independently associated with formula feeding were investigated using
multiple logistic regression. RESULTS: All mothers were breastfeeding their
infants at the time of recruitment. The prevalence of formula feeding was 7.5 %
in the first month and 17 % in the sixth month. About a quarter of mothers (23.8
%) reported providing infant formula at least once during the first six months of
life. Infant formula was used commonly as top-up food. Stepwise logistic
regression showed that infants born to families residing in urban areas (adjusted
odds ratio (aOR): 2.14; 95 % confidence interval (CI): 1.37 to 3.33), mothers
with higher education (aOR: 2.08; 95 % CI: 1.14 to 3.80), and infants born by
caesarean section (aOR: 1.96; 95 % CI: 1.21 to 3.18) were at greater risk of
formula feeding. CONCLUSION: The current findings indicate that health workers
should support mothers to initiate and continue exclusive breastfeeding
particularly after caesarean deliveries. Furthermore, urban health programs in
Nepal should incorporate breastfeeding programs which discourage the unnecessary
use of formula feeding. The marketing of formula milk should be monitored more
vigilantly especially in the aftermath of the April 2015 earthquakes or other
natural disasters.
PMID- 27206533
TI - The persistence of cliques in the post-communist state. The case of deniability
in drug reimbursement policy in Poland.
AB - This article explores a key question in political sociology: Can post-communist
policy-making be described with classical theories of the Western state or do we
need a theory of the specificity of the post-communist state? In so doing, we
consider Janine Wedel's clique theory, concerned with informal social actors and
processes in post-communist transition. We conducted a case study of drug
reimbursement policy in Poland, using 109 stakeholder interviews, official
documents and media coverage. Drawing on 'sensitizing concepts' from Wedel's
theory, especially the notion of 'deniability', we developed an explanation of
why Poland's reimbursement policy combined suboptimal outcomes, procedural
irregularities with limited accountability of key stakeholders. We argue that
deniability was created through four main mechanisms: (1) blurred boundaries
between different types of state authority allowing for the dispersion of blame
for controversial policy decisions; (2) bridging different sectors by
'institutional nomads', who often escaped existing conflicts of interest
regulations; (3) institutional nomads' 'flexible' methods of influence premised
on managing roles and representations; and (4) coordination of resources and
influence by elite cliques monopolizing exclusive policy expertise. Overall, the
greatest power over drug reimbursement was often associated with lowest
accountability. We suggest, therefore, that the clique theory can be generalized
from its home domain of explanation in foreign aid and privatizations to more
technologically advanced policies in Poland and other post-communist countries.
This conclusion is not identical, however, with arguing the uniqueness of the
post-communist state. Rather, we show potential for using Wedel's account to
analyse policy-making in Western democracies and indicate scope for its possible
integration with the classical theories of the state.
PMID- 27206534
TI - Effects of different titanium zirconium implant surfaces on initial supragingival
plaque formation.
AB - OBJECTIVES: The aim of the current study was the evaluation of biofilm
development on different implant surfaces. MATERIAL AND METHODS: Initial biofilm
formation was investigated on five different implant surfaces, machined titanium
(MTi), modified machined acid-etched titanium (modMATi), machined titanium
zirconium (MTiZr), modified machined and acid-etched titanium zirconium
(modMATiZr) and sandblasted large grid and acid-etched titanium zirconium surface
(SLATiZr) for 24 and 48 h. Biocompatibility was tested after tooth brushing of
the samples via cell viability testing with human gingival fibroblasts. RESULTS:
After 24 h of biofilm collection, mean plaque surface was detected in the
following descending order: After 24 h: MTiZr > MTi > SLATiZr > modMATiZr >
modMATi. Both M surfaces showed significant higher biofilm formation than the
other groups. After 48 h: MTiZr > MTi > SLATiZr > modMATiZr > modMATi. After
tooth brushing: SLATiZr > modMATi > modMATiZr > MTi > MTiZr. All native samples
depicted significant higher cell viability than their corresponding surfaces
after biofilm removal procedure. CONCLUSIONS: The TiZr groups especially the
modMATiZr group showed slower and less biofilm formation. In combination with the
good biocompatibility, both modMA surfaces seem to be interesting candidates for
surfaces in transgingival implant design.
PMID- 27206535
TI - The impact of work related physical activity and leisure physical activity on the
risk and prognosis of neck pain - a population based cohort study on workers.
AB - BACKGROUND: The effect of physical activity on risk and prognosis for neck pain
has been studied earlier with inconclusive results. There is a need for large
prospective studies on the subject. The aim of this study was to investigate if
work related physical activity and physical activity during leisure time are of
importance for the risk and prognosis of neck pain in men and women. METHODS: We
used the Stockholm Public Health Cohort and formed two sub-cohorts of the working
population based on data from 2002. Cohort I (risk cohort) included persons
without neck pain (n = 4681), and cohort II (prognostic cohort) included persons
with occasional neck pain (n = 6820) during the previous six months. Both cohorts
were assessed for the outcome long duration troublesome neck pain (LDNP) in 2007.
The exposures and potential confounders were assessed through a questionnaire in
2002. The question regarding work related physical activity over the past 12
months had five answering categories ranging from "sedentary" to"heavy". The
question regarding leisure physical activity for the past 12 months had five
answering categories ranging from "sedentary" to "regular physical activity".
LDNP in 2007 was defined as having had troublesome neck pain lasting >= 3
consecutive months during the previous five years. Associations between work
related physical activity and LDNP, as well as leisure physical activity and
LDNP, were investigated by multivariable logistic regression, considering
potential confounding factors. RESULTS: In cohort I (risk cohort) we found an
association between leisure physical activity and LDNP. In cohort II (prognostic
cohort) we found no association between the exposures and the outcome.
CONCLUSION: The results suggest that leisure physical activity has a protective
effect on the risk of developing LDNP in a population free from neck pain. It did
not, however, affect the prognosis of occasional neck pain. Neither the risk nor
the prognosis of neck pain was affected by work related physical activity in this
study.
PMID- 27206536
TI - Characterization of the complete mitochondrial genome sequence of wild yak (Bos
mutus).
AB - Wild yak is a special breed in China and it is regarded as an important genetic
resource for sustainably developing the animal husbandry in Tibetan area and
enriching region's biodiversity. The complete mitochondrial genome of wild yak
(16,322 bp in length) displayed 37 typical animal mitochondrial genes and A + T
rich (61.01%), with an overall G + C content of only 38.99%. It contained a non
coding control region (D-loop), 13 protein-coding genes, two rRNA genes, and 22
tRNA genes. Most of the genes have ATG initiation codons, whereas ND2, ND3, and
ND5 genes start with ATA and were encoded on H-strand. The gene order of wild yak
mitogenome is identical to that observed in most other vertebrates. The complete
mitochondrial genome sequence of wild yak reported here could provide valuable
information for developing genetic markers and phylogenetic analysis in yak.
PMID- 27206537
TI - Subjective hearing-related quality-of-life is a major factor in the decision to
continue using hearing aids among older persons.
AB - CONCLUSION: Audiological parameters alone do not determine the choice to use
hearing aids (HA). Subjective hearing-related QoL is a major factor that
determines whether or not an older person will continue to wear HA. OBJECTIVE:
This study aimed to identify which audiological parameters and quality-of-life
(QoL) measures determine whether or not older persons will continue wearing HA.
METHODS: Charts of 157 patients aged >=65 years who attended the HA service unit
at the Otolaryngology Department were retrospectively reviewed. After HA fitting
and a trial, the patients were divided into groups, depending upon whether or not
they wanted to continue wearing the HA (users, 58.2%; non-users, 41.8%) and then
audiological parameters were compared between them. At least 4 months after the
HA fitting, the self-reported QoL questionnaire, Hearing Handicap Inventory for
the Elderly (HHIE), was mailed to all 157 patients and HHIE scores were compared
between HA users and non-users. RESULT: Speech discrimination score and dynamic
range did not significantly differ between HA users and non-users. A difference
in the average hearing threshold was marginally significant. The response rate to
the HHIE was 65.2%. Total HHIE and emotional scores were higher (more impaired)
among HA users than non-users.
PMID- 27206538
TI - Physicians Asking Patients About Guns: Promoting Patient Safety, Respecting
Patient Rights.
AB - Recent debate on whether physicians should discuss gun ownership with their
patients has centered on determining whether gun injuries are an issue of health
or safety, and on protecting patient privacy. Yet, physicians' duties span
personal health, public health, and safety spheres, and they often must address
private patient matters. To prioritize gun safety and reduce gun injuries, the
primary policy-driving question should be: will physician counseling on gun
ownership effectively reduce gun-related injuries without interfering with the
physician's other treatment obligations or compromising the physician-patient
relationship? Existing data on physician-initiated conversations with patients
about guns support a positive prevention effect. However, it is critical that
physician-initiated discussions of safe gun practices are not motivated by, nor
convey, disapproval of gun ownership. To be ethical, respectful, and efficient,
the conversation should be standard between primary care providers and all of
their patients (not limited to patient subsets); questions and education should
be limited to topics of gun-ownership risks and storage practices; and the
conversation must be framed without bias against gun ownership.
PMID- 27206540
TI - Improvement Happens -- Learning to Better Care for "Super-Utilizers" at Denver
Health: An Interview with Holly Batal.
PMID- 27206539
TI - A Randomized Controlled Trial of IVR-Based Alcohol Brief Intervention to Promote
Patient-Provider Communication in Primary Care.
AB - BACKGROUND: Brief interventions for unhealthy drinking in primary care settings
are efficacious, but underutilized. Efforts to improve rates of brief
intervention though provider education and office systems redesign have had
limited impact. Our novel brief intervention uses interactive voice response
(IVR) to provide information and advice directly to unhealthy drinkers before a
physician office visit, with the goals of stimulating in-office dialogue about
drinking and decreasing unhealthy drinking. This automated approach is
potentially scalable for wide application. OBJECTIVE: We aimed to examine the
effect of a pre-visit IVR-delivered brief alcohol intervention (IVR-BI) on
patient-provider discussions of alcohol during the visit. DESIGN: This was a
parallel group randomized controlled trial with two treatment arms: 1) IVR-BI or
2) usual care (no IVR-BI). PARTICIPANTS: In all, 1,567 patients were recruited
from eight university medical center-affiliated internal medicine and family
medicine clinics. INTERVENTIONS: IVR-BI is a brief alcohol intervention delivered
by automated telephone. It has four components, based on the intervention steps
outlined in the National Institute of Alcohol Abuse and Alcoholism guidelines for
clinicians: 1) ask about alcohol use, 2) assess for alcohol use disorders, 3)
advise patient to cut down or quit drinking, and 4) follow up at subsequent
visits. MAIN MEASURES: Outcomes were patient reported: patient-provider
discussion of alcohol during the visit; patient initiation of the discussion; and
provider's recommendation about the patient's alcohol use. KEY RESULTS: Patients
randomized to IVR-BI were more likely to have reported discussing alcohol with
their provider (52 % vs. 44 %, p = 0.003), bringing up the topic themselves (20 %
vs. 12 %, p < 0.001), and receiving a recommendation (20 % vs. 14 %, p < 0.001).
Other predictors of outcome included baseline consumption, education, age, and
alcohol use disorder diagnosis. CONCLUSIONS: Providing automated brief
interventions to patients prior to a primary care visit promotes discussion about
unhealthy drinking and increases specific professional advice regarding changing
drinking behavior.
PMID- 27206541
TI - Frontline Account: Physician Partners: An Antidote to the Electronic Health
Record.
PMID- 27206543
TI - Specific emotions as mediators of the effect of intergroup contact on prejudice:
findings across multiple participant and target groups.
AB - Emotions are increasingly being recognised as important aspects of prejudice and
intergroup behaviour. Specifically, emotional mediators play a key role in the
process by which intergroup contact reduces prejudice towards outgroups. However,
which particular emotions are most important for prejudice reduction, as well as
the consistency and generality of emotion-prejudice relations across different in
group-out-group relations, remain uncertain. To address these issues, in Study 1
we examined six distinct positive and negative emotions as mediators of the
contact-prejudice relations using representative samples of U.S. White, Black,
and Asian American respondents (N = 639). Admiration and anger (but not other
emotions) were significant mediators of the effects of previous contact on
prejudice, consistently across different perceiver and target ethnic groups.
Study 2 examined the same relations with student participants and gay men as the
out-group. Admiration and disgust mediated the effect of past contact on
attitude. The findings confirm that not only negative emotions (anger or disgust,
based on the specific types of threat perceived to be posed by an out-group), but
also positive, status- and esteem-related emotions (admiration) mediate effects
of contact on prejudice, robustly across several different respondent and target
groups.
PMID- 27206542
TI - Neuromuscular structure of the tibialis anterior muscle for functional electrical
stimulation.
AB - PURPOSE: This study describes the nerve entry points and intramuscular nerve
branching of the tibialis anterior, providing essential information for
therapeutic functional electrical stimulation and botulinum toxin injection.
METHODS: One hundred and ten legs from Korean and Thai cadavers were dissected.
Ten specimens were harvested and subjected to modified Sihler's staining.
RESULTS: The average total length from the lateral malleolus to the fibular head
was 32.0 cm (SD 1.9). The nerve entry points were densely distributed between
86.5 and 90.6 % of the reference length, where the first and second nerve entry
points were observable. A densely arborizing area of the intramuscular nerve
branches was observed at 70-80 % of the reference length. CONCLUSIONS: Based on
the results of this study, clinicians can increase the effectiveness of
therapeutic functional electrical stimulation and identify the ideal sites for
botulinum toxin injection to the tibialis anterior muscle.
PMID- 27206544
TI - Neural endocannabinoid CB1 receptor expression, social status, and behavior in
male European starlings.
AB - Many species modify behavior in response to changes in resource availability or
social status; however, the neural mechanisms underlying these modifications are
not well understood. Prior work in male starlings demonstrates that status
appropriate changes in behavior involve brain regions that regulate social
behavior and vocal production. Endocannabinoids are ubiquitously distributed
neuromodulators that are proposed to play a role in adjusting behavior to match
social status. As an initial step to provide insight into this hypothesis we
observed flocks of male starlings in outdoor aviaries during the breeding season.
We used quantitative real-time PCR to measure expression of endocannabinoid CB1
receptors in brain regions involved in social behavior and motivation (lateral
septum [LS], ventral tegmental area [VTA], medial preoptic nucleus [POM]) and
vocal behavior (Area X and robust nucleus of the arcopallium; RA). Males with
nesting sites sang to females and displaced other males more than males without
nesting sites. They also had higher levels of CB1 receptor expression in LS and
RA. CB1 expression in LS correlated positively with agonistic behaviors. CB1
expression in RA correlated positively with singing behavior. CB1 in VTA also
correlated positively with singing when only singing birds were considered. These
correlations nicely map onto the well-established role of LS in agonistic
behavior and the known role of RA in song production and VTA in motivation and
song production. Studies are now needed to precisely characterize the role of CB1
receptors in these regions in the production of status-appropriate social
behaviors.
PMID- 27206545
TI - ChIP-seq reveals broad roles of SARD1 and CBP60g in regulating plant immunity.
AB - Recognition of pathogens by host plants leads to rapid transcriptional
reprogramming and activation of defence responses. The expression of many defence
regulators is induced in this process, but the mechanisms of how they are
controlled transcriptionally are largely unknown. Here we use chromatin
immunoprecipitation sequencing to show that the transcription factors SARD1 and
CBP60g bind to the promoter regions of a large number of genes encoding key
regulators of plant immunity. Among them are positive regulators of systemic
immunity and signalling components for effector-triggered immunity and PAMP
triggered immunity, which is consistent with the critical roles of SARD1 and
CBP60g in these processes. In addition, SARD1 and CBP60g target a number of genes
encoding negative regulators of plant immunity, suggesting that they are also
involved in negative feedback regulation of defence responses. Based on these
findings we propose that SARD1 and CBP60g function as master regulators of plant
immune responses.
PMID- 27206546
TI - Divergence along the gonadal steroidogenic pathway: Implications for hormone
mediated phenotypic evolution.
AB - Across a range of taxa, hormones regulate suites of traits that influence
survival and reproductive success; however, the mechanisms by which hormone
mediated traits evolve are still unclear. We hypothesized that phenotypic
divergence might follow from differential regulation of genes encoding key steps
in hormone biosynthesis and thus the rate of hormone production. We tested this
hypothesis in relation to the steroid hormone testosterone by comparing two
subspecies of junco (Junco hyemalis) in the wild and in captivity. These
subspecies have diverged over the last 10-15kyears in multiple testosterone
mediated traits, including aggression, ornamentation, and body size. We show that
variation in gonadal gene expression along the steroid biosynthetic pathway
predicts phenotypic divergence within and among subspecies, and that the more
androgenized subspecies exhibits a more prolonged time-course of elevated
testosterone following exogenous stimulation. Our results point to specific genes
that fulfill key conditions for phenotypic evolution because they vary
functionally in their expression among individuals and between populations, and
they map onto population variation in phenotype in a common garden. Our findings
therefore build an important bridge between hormones, genes, and phenotypic
evolution.
PMID- 27206547
TI - Free prepuce perforator flap: Ultra-thin superficial penile artery perforator
flap for a dorsal finger defect.
AB - Dorsal skin defect of the hand/digit requires thin and pliable skin for coverage.
The prepuce skin, the second thinnest skin, can be a reconstructive option, but
there is no previous report of a free prepuce flap for the reconstruction of the
hand/digit. We report the first successful case of a free prepuce flap based on
the superficial penile artery perforator (SPAP) for the treatment of dorsal soft
tissue defect of the hand/digit. A 68-year-old male presented with a 5 x 3 cm
dorsal soft tissue defect of the left index finger with the extensor tendon
exposure. A prepuce perforator flap was raised based on the SPAP. The flap was
transferred to the recipient site, and the SPAP was supermicrosurgically
anastomosed to the dorsal branch of the digital artery. The patient complained no
postoperative discomfort of the donor penis, and was satisfied with functionally
pleasing and esthetically acceptable results of the reconstructed finger and the
donor site. Although only applicable for male patients without past history of
penile trauma such as circumcision, a prepuce perforator flap may be an option
for thin and pliable skin reconstruction with minimal donor site morbidity. (c)
2016 Wiley Periodicals, Inc. Microsurgery 37:252-255, 2017.
PMID- 27206548
TI - The influenza A virus NS genome segment displays lineage-specific patterns in
predicted RNA secondary structure.
AB - BACKGROUND: Influenza A virus (IAV) is a segmented negative-sense RNA virus that
causes seasonal epidemics and periodic pandemics in humans. Two regions
(nucleotide positions 82-148 and 497-564) in the positive-sense RNA of the NS
segment fold into a multi-branch loop or hairpin structures. RESULTS: We studied
25,384 NS segment positive-sense RNA unique sequences of human and non-human IAVs
in order to predict secondary RNA structures of the 82-148 and 497-564 regions
using RNAfold software, and determined their host- and lineage-specific
distributions. Hairpins prevailed in avian and avian-origin human IAVs, including
H1N1pdm1918 and H5N1. In human and swine IAV hairpins distribution varied between
evolutionary lineages. CONCLUSIONS: These results suggest a possible functional
role for these RNA secondary structures and the need for experimental evaluation
of these structures in the influenza life cycle.
PMID- 27206549
TI - The effect of arabinoxylooligosaccharides on gastric sensory-motor function and
nutrient tolerance in man.
AB - BACKGROUND: Intestinal microbiota regulates gastrointestinal sensory-motor
function. Prebiotics such as arabinoxylan-oligosaccharide (AXOS) are non
digestible, fermentable food ingredients beneficially affecting intestinal
microbiota, colon activity, and improving human health. We wanted to investigate
whether acute AXOS or maltodextrin (placebo) administration may alter gastric
sensitivity (GS), accommodation (GA), nutrient tolerance (NT) in man. METHODS:
Thirteen HV (6 M, 32.2 +/- 1.8 years; BMI 22.3 +/- 0.2) underwent two 48 h
treatment periods with oral 4 * 9.4 g AXOS or 4 * 10 g maltodextrin (at least 1
week wash-out) for gastric barostat assessment of GS, gastric compliance (GC), GA
to a liquid test meal, on day 1, and NT drink test, on day 2. Oro-cecal transit
time (OCTT), colonic fermentation (CF) were assessed simultaneously with (13) C
lactose ureide, H2 breath tests. KEY RESULTS: Arabinoxylan-oligosaccharide
significantly increased CF on day 1 and 2 (565 +/- 272 vs 100 +/- 24, 365 +/- 66
vs 281 +/- 25 H2 ppm/min, AXOS vs maltodextrin, both p < 0.05), not the OCTT.
AXOS did not alter GC, sensitivity before and after the meal. Gastric
accommodation was not significantly influenced by AXOS (volume increment: 171 +/-
33 vs 130 +/- 28 mL, AXOS vs maltodextrin, p = NS). On day 1, AXOS fermentation
was associated with significantly higher postprandial bloating scores (960 +/-
235 vs 396 +/- 138 mm*min, AXOS vs maltodextrin, p < 0.05). On day 2, AXOS did
not affect maximal NT (946 +/- 102 vs 894 +/- 97 mL, AXOS vs maltodextrin, p =
NS), increased the bloating score (1236 +/- 339 vs 675 +/- 197 mm*min, AXOS vs
maltodextrin, p < 0.05). CONCLUSIONS & INFERENCES: Acute AXOS administration,
associated with increased CF, does not affect GA, is not associated with
increased meal-induced satiety or perception scores.
PMID- 27206550
TI - Long-term functional outcomes of patients with very mild stroke: does a NIHSS
score of 0 mean no disability? An interim analysis of the KOSCO study.
AB - PURPOSE: To explore the long-term functional outcomes of stroke patients with
very mild severity at 6 months after stroke. METHODS: This study presents the
interim results of the Korean Stroke Cohort for Functioning and Rehabilitation.
On day 7, stroke evaluation was performed using the functional assessment battery
including the National Institute of Health Stroke Scale (NIHSS). At 6 months
after stroke, functional outcomes using the face-to-face functional assessment
battery including Functional Independence Measure (FIM) were analyzed in the
patients who had a score of 0 on the NIHSS at 7 days after stroke onset. RESULTS:
In the very mild stroke group, 455 patients were followed up at 6 months. Out of
these patients, 11.0% had impairments in cognitive function, 14.1% had motor
impairment, and 2.1% had impairments in their mobility measured by the functional
assessment battery. At 6 months after onset, 3.3% of stroke survivors without
recurrence showed dependency according to the FIM. CONCLUSIONS: Many acute stroke
patients with mild stroke severity as assessed by the NIHSS had impairments in
various functional domains, and could have been easily overlooked for intensive
rehabilitation therapy. Candidates for comprehensive rehabilitation therapy might
be better identified by the functional assessment battery. Implications for
rehabilitation Many acute stroke patients with mild stroke severity assessed by
NIHSS could be easily overlooked for intensive rehabilitation therapy. Candidates
for comprehensive rehabilitation therapy should be evaluated using a functional
assessment battery rather than the NIHSS.
PMID- 27206551
TI - Clinical validation of automated audiometry with continuous noise-monitoring in a
clinically heterogeneous population outside a sound-treated environment.
AB - OBJECTIVE: Examine the accuracy of automated audiometry in a clinically
heterogeneous population of adults using the KUDUwave automated audiometer.
DESIGN: Prospective accuracy study. Manual audiometry was performed in a sound
treated room and automated audiometry was not conducted in a sound-treated
environment. STUDY SAMPLE: 42 consecutively recruited participants from a
tertiary otolaryngology department in Western Australia. RESULTS: Absolute mean
differences ranged between 5.12-9.68 dB (air-conduction) and 8.26-15 dB (bone
conduction). A total of 86.5% of manual and automated 4FAs were within 10 dB
(i.e. +/-5 dB); 94.8% were within 15 dB. However, there were significant (p <
0.05) differences between automated and manual audiometry at 250, 500, 1000, and
2000 Hz (air-conduction) and 500 and 1000 Hz (bone-conduction). The effect of age
(>=55 years) on accuracy (p = 0.014) was not significant on linear regression (p
> 0.05; R(2) =( ) 0.11). The presence of a hearing loss (better ear >=26 dB) did
not significantly affect accuracy (p = 0.604; air-conduction), (p = 0.218; bone
conduction). CONCLUSIONS: This study provides clinical validation of automated
audiometry using the KUDUwave in a clinically heterogeneous population, without
the use of a sound-treated environment. Whilst threshold variations were
statistically significant, future research is needed to ascertain the clinical
significance of such variation.
PMID- 27206552
TI - In Vitro Endothelialization of Biodegradable Vascular Grafts Via Endothelial
Progenitor Cell Seeding and Maturation in a Tubular Perfusion System Bioreactor.
AB - A critical challenge to the success of biodegradable vascular grafts is the
establishment of a healthy endothelium. To establish this monolayer of
endothelial cells (ECs), a variety of techniques have been developed, including
cell seeding. Vascular grafts may be seeded with relevant cell types and allowed
to mature before implantation. Due to the low proliferative ability of adult ECs
and issues with donor site morbidity, there has been increasing interest in using
endothelial progenitor cells (EPCs) for vascular healing procedures. In this
work, we combined the proliferative and differentiation capabilities of a
commercial cell line of early EPCs with an established bioreactor system to
support the maturation of cell-seeded vascular grafts. All components of the
vascular graft and bioreactor setup are commercially available and allow for
complete customization of the scaffold and culturing system. This bioreactor
setup enables the control of flow through the graft, imparting fluid shear stress
on EPCs and affecting cellular proliferation and differentiation. Grafts cultured
with EPCs in the bioreactor system demonstrated greatly increased cell
populations and neotissue formation compared with grafts seeded and cultured in a
static system. Increased expression of markers for mature endothelial tissues
were also observed in bioreactor-cultured EPC-seeded grafts. These findings
suggest the distinct advantages of a customizable bioreactor setup for the
proliferation and maturation of EPCs. Such a strategy may be beneficial for
utilizing EPCs in vascular tissue engineering applications.
PMID- 27206553
TI - The role of unique color changes and singletons in attention capture.
AB - Previous studies have shown that a sudden color change is typically less salient
in capturing attention than the onset of a new object. Von Muhlenen, Rempel, and
Enns (Psychological Science 16: 979-986, 2005) showed that a color change can
capture attention as effectively as the onset of a new object given that it
occurs during a period of temporal calm, where no other display changes happen.
The current study presents a series of experiments that further investigate the
conditions under which a change in color captures attention, by disentangling the
change signal from the onset of a singleton. The results show that the item
changing color receives attentional priority irrespective of whether this change
goes along with the appearance of a singleton or not.
PMID- 27206554
TI - Progress and potential of exosome analysis for early pancreatic cancer detection.
AB - INTRODUCTION: Pancreatic cancer (PaCa) is the most deadly malignancy, due to late
diagnosis prohibiting surgery. Thus, strong efforts are taken improving early
diagnosis via biomarkers recovered in the serum of PaCa patients. AREAS COVERED:
One promising option are PaCa-derived exosomes in patients' sera. Exosomes, small
vesicles delivered by live cells and recovered in all body fluids, are a powerful
diagnostic tool due to relative stability and composition covering the whole
range of cancer-related biomarkers including proteins, metabolites, DNA, DNA
modifications, coding and noncoding RNA. We discuss the mechanisms accounting for
the condensed packaging of biomarkers, refer to studies using PaCa serum-exosomes
for diagnosis. Based on an extensive literature search, we outline questions that
answers may help establishing a serum-exosome-based screening for early PaCa
detection. Expert commentary: Improved proteomic and genomic characterization and
progress in the biogenesis of exosomes will allow for optimized and unified
screening panels for PaCa diagnosis via TEX in body fluids.
PMID- 27206555
TI - Love is a pain? Quality of sex life after surgical resection of endometriosis: a
review.
AB - Dyspareunia, a common symptom of endometriosis and may severely affect quality of
sex life in affected patients. The objective of the present work was to review
the effect of surgical resection of endometriosis on pain intensity and quality
of sex life. MEDLINE and EMBASE databases were searched for papers investigating
the outcome after surgical endometriosis resection on dyspareunia and quality of
sex life measured via VAS/NAS respectively via standardized measuring
instruments. However, data did not permit a meaningful meta-analysis according to
current standards. However, out of 69 papers, four studies fulfilled the
predefined inclusion criteria involving 321 patients with endometriosis and
dyspareunia preoperatively. All included studies showed a significant
postoperative reduction of dyspareunia after a follow-up period of 10 up to 60
months. Sex life as well as predominantly evaluated parameters like quality of
life and mental health improved significantly. We therefore conclude that
surgical excision of endometriosis is a feasible and good treatment option for
pain relief and improvement of quality of sex life in symptomatic women with
endometriosis.
PMID- 27206556
TI - High-risk and low-risk gastric cancer areas in Italy and its association with
microsatellite instability.
AB - PURPOSE: The different pathological characteristics and prognoses between gastric
cancer patients coming from high-risk (group A) and low-risk (group B) areas of
Italy were analyzed. We investigated a suspected difference in microsatellite
instability (MSI) between these two groups. METHODS: MSI analyses of 452 gastric
cancer patients were performed using five quasimonomorphic mononucleotide repeats
NR-21, NR-24, NR-27, BAT-25, and BAT-26. MSI analysis was done by PCR usage. An
allelic profile of these five mononucleotides was detected on an automated DNA
sequencer ABI PRISM 3100 Genetic Analyser. Data were analyzed according to high
risk and low-risk gastric cancer areas. RESULTS: MSI was observed in 23.9 % of
all gastric cancer patients studied. Patients from group A showed a higher rate
of MSI (28.4 %) than from group B (13.5 %) (p < 0.001). We analyzed this
association together with tumor location and Lauren classification: A
nonsignificant differences were seen when analyzing cardia and non-cardia tumors
(p = 0.854) but significant for Lauren histotype (p = 0.028). There was no
statistical difference in survival between high-risk and low-risk areas (p =
0.437), with a nonsignificant trend for better survival in the high-risk group,
especially when measured over a longer period of time. Analyzing MSI or MSS in
these groups, the survival curves were almost the same. CONCLUSIONS: A higher
frequency of MSI in patients coming from high-risk areas may help explain
geographical differences in gastric cancer. The trend of better survival in high
risk areas may be due to a higher rate of MSI gastric cancer patients.
PMID- 27206557
TI - Health-related quality of life, anxiety and depression in the diagnostic phase of
suspected cancer, and the influence of diagnosis.
AB - BACKGROUND: Undergoing diagnostic evaluation for cancer has been associated with
a high prevalence of anxiety and depression and affected health-related quality
of life (HRQoL). The aims of this study were to assess HRQoL, anxiety, and
depression pre- and post-diagnosis in patients undergoing diagnostic evaluations
for cancer due to non-specific symptoms; to examine changes over time in relation
to final diagnosis (cancer yes/no); and to assess the predictive value of pre
diagnostic psychological, socio-demographic and clinical factors. METHODS: A
prospective, multicenter survey study of patients suspected to have cancer based
on non-specific symptoms was performed. Participants completed the EORTC-QLQ-C30
quality of life scale, HADS, SOC-13 and self-rated health before and after
completing diagnostic evaluations. Intra- and inter-group differences between
patients diagnosed with cancer versus patients with non-cancer diagnoses were
calculated. The impact of baseline psychological, socio-demographic, and medical
factors on HRQoL, anxiety and depression at follow-up was explored by
bootstrapped multivariate linear regression analyses and logistic regression
analyses. RESULTS: A total of 838 patients participated in this study; 679 (81 %)
completed the follow-up. Twenty-two percent of the patients received a cancer
diagnosis at the end of the follow-up. Patients presented initially with a high
burden of symptoms and affected role and emotional functioning and global
health/QL, irrespective of diagnosis. The prevalence of clinical anxiety prior to
knowledge of the diagnosis was 32 % in patients with cancer and 35 % in patients
who received a non-cancer diagnosis. HRQoL and anxiety improved after diagnosis,
and a larger improvement was seen in patients who received a non-cancer
diagnosis. There were no intra- or inter-group differences in the depression
scores. The strongest predictors of global QL, anxiety, and depression after a
known diagnosis were baseline scores, co-morbidity and poor self-rated health.
CONCLUSIONS: Patients undergoing diagnostic evaluations for cancer based on non
specific symptoms experience a high prevalence of anxiety and affected quality of
life prior to knowledge of the diagnosis. The predictive value of the baseline
scores is important when assessing the psychological impact of undergoing
diagnostic evaluations for cancer.
PMID- 27206558
TI - Enhanced Harvesting of Chlorella vulgaris Using Combined Flocculants.
AB - In this study, a novel flocculation strategy for harvesting Chlorella vulgaris
with combined flocculants, poly (gamma-glutamic acid) (gamma-PGA) and calcium
oxide (CaO), has been developed. The effect of flocculant dosage, the order of
flocculant addition, mixing speed, and growth stage on the harvesting efficiency
was evaluated. Results showed that the flocculation using combined flocculants
significantly decreases the flocculant dosage and settling time compared with
control. It was also found that CaO and gamma-PGA influenced microalgal
flocculation by changing the zeta potential of cells and pH of microalgal
suspension. The most suitable order of flocculant addition was CaO first and then
gamma-PGA. The optimal mixing speed was 200 rpm for 0.5 min, followed by 50 rpm
for another 4.5 min for CaO and gamma-PGA with the highest flocculation
efficiency of 95 % and a concentration factor of 35.5. The biomass concentration
and lipid yield of the culture reusing the flocculated medium were similar to
those when a fresh medium was used. Overall, the proposed method requires low
energy input, alleviates biomass and water contamination, and reduces utilization
of water resources and is feasible for harvesting C. vulgaris for biofuel and
other bio-based chemical production.
PMID- 27206559
TI - Recent Progress in Chitosanase Production of Monomer-Free Chitooligosaccharides:
Bioprocess Strategies and Future Applications.
AB - Biological activities of chitosan oligosaccharides (COS) are well documented, and
numerous reports of COS production using specific and non-specific enzymes are
available. However, strategies for improving the overall yield by making it
monomer free need to be developed. Continuous enzymatic production from chitosan
derived from marine wastes is desirable and is cost-effective. Isolation of
potential microbes showing chitosanase activity from various ecological niches,
gene cloning, enzyme immobilization, and fractionation/purification of COS are
some areas, where lot of work is in progress. This review covers recent measures
to improve monomer-free COS production using chitosanase/non-specific enzymes and
purification/fractionation of these molecules using ultrafiltration and column
chromatographic techniques. Various bioprocess strategies, gene cloning for
enhanced chitosanase enzyme production, and other measures for COS yield
improvements have also been covered in this review. COS derivative preparation as
well as COS-coated nanoparticles for efficient drug delivery are being focused in
recent studies.
PMID- 27206560
TI - The Preparation and Applications of One Biodegradable Liquid Film Mulching by
Oxidized Corn Starch-Gelatin Composite.
AB - Degraded gelatin (Gel) and oxidized corn starch (OCS) as abundant, recyclable,
and biodegradable materials can be applied to agricultural production, which has
been investigated in this research. Firstly, the prepared oxidized corn starch
gelatin (OCS-Gel) composite material was characterized through a Fourier
transform infrared spectrometer (FT-IR), a scanning electron microscope (SEM)
picture, and a thermogravimetric analysis (TGA). The OCS-Gel was then used as a
liquid film mulching for agricultural production, and the application
performances (hygroscopicity, permeability, water retention, etc.) of the OCS-Gel
were measured. Finally, the planting rapeseed experiments were carried out, and
the germination and growing state of the rapeseed seeds were observed. The
results from the structural analysis indicated that OCS-Gel enriches pore
structure and exhibits high thermal stability up to 324.8 degrees C. In the
application experiments, the OCS-Gel showed excellent properties of water
absorbing and water-retention and low permeability. In addition, the germination
rate of the rapeseed seed reached 80 %, and the height of rapeseeds obviously
increased in pot experiments after adding the liquid film mulching.
PMID- 27206561
TI - Multilocus sequence typing of Campylobacter jejuni and Campylobacter coli
isolates from poultry, cattle and humans in Nigeria.
AB - AIMS: To determine the genetic diversity of Campylobacter jejuni and
Campylobacter coli isolates from Nigeria and to identify the association between
multilocus sequence types and hosts (poultry, cattle and humans). METHODS AND
RESULTS: Isolates were identified using multiplex PCR assays. Multilocus sequence
typing (MLST) was used to determine the genetic diversity of 36 Camp. jejuni and
24 Camp. coli strains isolated from poultry, cattle and humans. Of the 36 Camp.
jejuni genotyped, 21 sequence types (ST) were found, 9 (43%) were new while of
the 24 Camp. coli isolates genotyped, 22 STs were identified with 14 (64%) being
new. The most prevalent sequence type was ST1932 followed by ST1036 and ST607
while the prevalent clonal complexes were CC-828, CC-460 and CC-353. CONCLUSIONS:
Campylobacter isolates from Nigeria were found to be diverse with novel
genotypes. There was overlap of CC-828, CC-460 and CC-353 between the poultry,
cattle and human isolates. Genetic exchange was also detected in two of the Camp.
coli isolates. SIGNIFICANCE AND IMPACT OF THE STUDY: This study highlights the
genetic diversity of Campylobacter strains in Nigeria, demonstrating that Camp.
jejuni and Camp. coli isolates are diverse and have both local and global
strains. The predominant sequence types and clonal complexes found in this study
differ from other countries; this exemplifies that different predominant
Campylobacter populations exist between countries.
PMID- 27206563
TI - Reply.
PMID- 27206562
TI - Defining the Phenotype and Assessing Severity in Phosphoglucomutase-1 Deficiency.
AB - OBJECTIVE: To define phenotypic groups and identify predictors of disease
severity in patients with phosphoglucomutase-1 deficiency (PGM1-CDG). STUDY
DESIGN: We evaluated 27 patients with PGM1-CDG who were divided into 3 phenotypic
groups, and group assignment was validated by a scoring system, the Tulane PGM1
CDG Rating Scale (TPCRS). This scale evaluates measurable clinical features of
PGM1-CDG. We examined the relationship between genotype, enzyme activity, and
TPCRS score by using regression analysis. Associations between the most common
clinical features and disease severity were evaluated by principal component
analysis. RESULTS: We found a statistically significant stratification of the
TPCRS scores among the phenotypic groups (P < .001). Regression analysis showed
that there is no significant correlation between genotype, enzyme activity, and
TPCRS score. Principal component analysis identified 5 variables that contributed
to 54% variance in the cohort and are predictive of disease severity: congenital
malformation, cardiac involvement, endocrine deficiency, myopathy, and growth.
CONCLUSIONS: We established a scoring algorithm to reliably evaluate disease
severity in patients with PGM1-CDG on the basis of their clinical history and
presentation. We also identified 5 clinical features that are predictors of
disease severity; 2 of these features can be evaluated by physical examination,
without the need for specific diagnostic testing and thus allow for rapid
assessment and initiation of therapy.
PMID- 27206564
TI - Patient-Controlled Fentanyl Iontophoretic Transdermal System Improved
Postoperative Mobility Compared to Intravenous Patient-Controlled Analgesia
Morphine: A Pooled Analysis of Randomized, Controlled Trials.
AB - BACKGROUND: Postoperative pain management protocols that use patient-controlled
analgesia (PCA) can hinder mobility due to attached machinery and tubing.
Immobility in the postoperative setting can increase complications, length of
stay (LOS), and costs. Early and enhanced mobilization can reduce the cost of
care while improving patient outcomes. A needle-free, compact, patient-activated,
and portable fentanyl iontophoretic transdermal system (fentanyl ITS, IONSYS; The
Medicines Company, Parsippany NJ) has been shown to provide comparable efficacy
and tolerability to intravenous (IV) PCA morphine that promotes improved
mobility. METHODS: This pooled analysis of 1,882 patients across three
randomized, controlled trials compared fentanyl ITS to IV PCA morphine for
postoperative pain management. Outcomes of patient mobility were assessed by a
validated Patient Ease of Care Questionnaire that was given to patients,
patients' nurses, and physical therapists involved in patient care. Safety was
assessed via spontaneously reported treatment-emergent adverse events (TEAE).
RESULTS: Fentanyl ITS significantly improved overall patient mobility, each
mobility subscore (P < 0.0001) across all demographics (male/female; elderly/non
elderly; normal BMI/overweight/obese/morbidly obese) and surgery types, and was
consistent across nurses and physical therapists mobility assessments. TEAEs were
generally similar between the two groups. However, more patients reported an
opioid-related TEAE with morphine IV PCA than with fentanyl IV PCA (P = 0.003).
CONCLUSION: Due to improved mobility with fentanyl ITS, complications are
expected to be less frequent than with IV PCA and epidural PCA. Incorporation of
this strategy into postoperative pain management protocols may reduce LOS and
total hospital costs.
PMID- 27206565
TI - Gabapentin for the hemodynamic response to intubation: systematic review and meta
analysis.
AB - PURPOSE: Endotracheal intubation is the gold standard for securing the airway
before surgery. Nevertheless, this procedure can produce an activation of the
sympathetic nervous system and result in a hemodynamic response which, in high
risk patients, may lead to cardiovascular instability and myocardial ischemia.
The aim of this review was to evaluate whether gabapentin can attenuate this
response and whether such an attenuation could translate into reduced myocardial
ischemia and mortality. SOURCE: We searched MEDLINE((r)), EMBASETM, CINAHL, AMED,
and unpublished clinical trial databases for randomized-controlled trials that
compared gabapentin with control, fentanyl, clonidine, or beta blockers for
attenuating the hemodynamic response to intubation. Primary outcomes were
mortality, myocardial infarction, and myocardial ischemia. Secondary outcomes
were hemodynamic changes following intubation. PRINCIPAL FINDINGS: We included 29
randomized trials with only two studies at low risk of bias. No data were
provided for the primary outcomes and no studies included high-risk patients. The
use of gabapentin resulted in attenuation in the rise in mean arterial blood
pressure [mean difference (MD), -12 mmHg; 95% confidence interval (CI), -17 to
8] and heart rate (MD, -8 beats.min(-1); 95% CI, -11 to -5) one minute after
intubation. Gabapentin also reduced the risk of hypertension or tachycardia
requiring treatment (risk ratio, 0.15; 95% CI, 0.05 to 0.48). Data were limited
on adverse hemodynamic events such as bradycardia and hypotension. CONCLUSION: It
remains unknown whether gabapentin improves clinically relevant outcomes such as
death and myocardial infarction since studies failed to report on these.
Nevertheless, gabapentin attenuated increases in heart rate and blood pressure
following intubation when compared with the control group. Even so, the studies
included in this review were at potential risk of bias. Moreover, they did not
include high-risk patients or report adverse hemodynamic outcomes. Future studies
are required to address these limitations.
PMID- 27206566
TI - SEOM/SERAM consensus statement on radiological diagnosis, response assessment and
follow-up in colorectal cancer.
AB - Colorectal cancer (CRC) is one of the world's most common cancers, and has one of
the highest mortality rates. The last few decades have seen great progress in
preventing, diagnosing and treating this disease, providing undeniable impact on
patients' prognosis and quality of life. At all these stages of CRC management,
imaging techniques play an essential role. This article reviews some important
issues concerning the use of various radiological techniques in the screening,
diagnosis, staging, assessment of treatment response, and follow-up of patients
with CRC. It also includes a number of practical recommendations on indications
for use, technical requirements, minimum information required in the radiology
report, evaluation criteria for the response to various drugs, and the
recommended frequency at which different examinations should be performed. This
consensus statement is the result of cooperation between the Spanish Society of
Medical Oncology (SEOM) and the Spanish Society of Radiology (SERAM).
PMID- 27206567
TI - Lorcaserin in Obese and Overweight Patients Taking Prohibited Serotonergic
Agents: A Retrospective Analysis.
AB - PURPOSE: Lorcaserin is a selective serotonin 2C receptor (5-HT2C) agonist
approved in the United States for use in chronic weight management as an adjunct
to a reduced-calorie diet and increased physical activity. Its pharmacologic
activity is limited to 5-HT subtype 2 receptors. The potency of lorcaserin for
the 5-HT2C receptor is 14-fold greater than its potency for the 5-HT2A receptor
and 61-fold greater than its potency for the 5-HT2B receptor. Although 5-HT
receptors have been implicated in serotonin syndrome, the precise pathogenesis is
unknown. Given a theoretic risk for this syndrome in patients administered
lorcaserin either alone or in combination with certain serotonergic agents (eg,
selective serotonin reuptake inhibitors [SSRIs] and serotonin-norepinephrine
reuptake inhibitors [SNRIs]), patients taking prohibited serotonergic agents were
excluded from the Phase III clinical trials. This retrospective analysis
evaluated the tolerability of lorcaserin in patients who took protocol-allowed or
proscribed serotonergic agents for varying durations of up to 1 year during the
BLOOM, BLOSSOM, and BLOOM-DM studies. METHODS: Patients randomly assigned to
receive either lorcaserin 10 mg QD, lorcaserin 10 mg BID, or placebo and who took
a spectrum of serotonergic agents were evaluated at week 52 of treatment (814 and
624 patients receiving lorcaserin and placebo, respectively, were found to have
taken allowed or prohibited serotonergic agents during these trials). After the
use of a proscribed serotonergic agent was discovered, these patients were
discontinued from the trial and followed. FINDINGS: None of the patients in the
serotonergic agent subpopulation or in the overall safety population met the
clinical criteria of serotonin syndrome. The proportions of patients experiencing
any adverse event (AE) were balanced in the lorcaserin and placebo groups in the
prohibited serotonergic agent subpopulation. The prevalences of the most common
AEs were similar between the serotonergic agent subpopulation and the overall
safety population. IMPLICATIONS: The concurrent use of lorcaserin and prohibited
or allowed serotonergic agents did not appear to have increased the spectrum or
intensity of AEs potentially associated with serotonin excess in this limited
dataset. However, the sample population was too small to rule out an effect on a
rare event such as serotonin syndrome. ClinicalTrials.gov identifiers:
NCT00395135, NCT00603902, and NCT00603291.
PMID- 27206568
TI - Calculation of contraction patterns in rat skin flap model.
AB - INTRODUCTION: In this study rat abdominal skin flaps were observed daily to
explain their contraction behavior and a mathematical formula has been developed.
METHODS: 24 male rats were used for the study. Abdominal skin flaps based on the
inferior epigastric neurovascular bundles were raised. In the first group all
flaps were sutured to their donor area without any extra physical or chemical
interference. In the second groups steroid was applied under the flaps to change
the contraction rate. RESULTS: Contraction rate was significantly higher in
necrotic tissues in both groups. A formula was used to correct for the
contraction. The corrected results for the seventh day necrotic area percentage
measurements were significantly higher than actual measurements. (p = 0.0001).
CONCLUSIONS: By using the mathematical formula developed in this study, pre
contraction value of the experimental skin flaps can be achieved and the results
can be interpreted more accurately.
PMID- 27206571
TI - Investigating increased admissions to neonatal intensive care in England between
1995 and 2006: data linkage study using Hospital Episode Statistics.
AB - BACKGROUND: A 44 % increase was observed in admissions to neonatal intensive care
of babies born <=26 weeks completed gestational age in England between 1995 and
2006. Hospital Episode Statistics (HES) may provide supplementary information to
investigate this. The methods and results of a probabilistic data linkage
exercise are reported. METHODS: Two data sets were linked for each year (1995 and
2006) using 3 different algorithms (Fellegi and Sunter, Contiero and estimation
maximisation). RESULTS: In 1995, linkage was performed between 668 EPICure and
486,705 HES records; 1,820 linked pairs were identified of which 422 (63.17 %)
were confirmed. In 2006, from 2,750 EPICure and 631,401 HES records, 8,913 linked
pairs were identified with 1,662 (60.40 %) confirmed as true. Reported births in
HES at <26 weeks gestation increased 37.0 % from 867 to 1188. CONCLUSIONS:
Results support the EPICure findings that there was an increase in the birth rate
for extremely premature babies between 1995 and 2006. There were insufficient
data available for detailed investigation. Routine data sources may not be
suitable for investigations at the margins of viability.
PMID- 27206570
TI - High temperature and temperature variation undermine future disease
susceptibility in a population of the invasive garden ant Lasius neglectus.
AB - Environmental temperature and temperature variation can have strong effects on
the outcome of host-parasite interactions. Whilst such effects have been reported
for different host systems, long-term consequences of pre-infection temperatures
on host susceptibility and immunity remain understudied. Here, we show that
experiencing both a biologically relevant increase in temperature and temperature
variation undermines future disease susceptibility of the invasive garden ant
Lasius neglectus when challenged with a pathogen under a constant temperature
regime. In light of the economic and ecological importance of many social
insects, our results emphasise the necessity to take the hosts' temperature
history into account when studying host-parasite interactions under both natural
and laboratory conditions, especially in the face of global change.
PMID- 27206573
TI - Molecular detection and characterization of bovine viral diarrhea virus in
Mongolian cattle and yaks.
AB - Bovine viral diarrhea virus (BVDV) is classified into two species, namely, Bovine
viral diarrhea virus 1 and Bovine viral diarrhea virus 2, and affects cattle
worldwide, resulting in significant economic loss. The prevalence of BVDV-1 and
BVDV-2 infections and its genotypes in Mongolian animals has not been studied. In
this study, we surveyed BVDV infection in dairy cattle and yaks from Bornuur and
Bulgan counties by RT-PCR, and the average infection rate in the sampling sites
was 15.8 % and 20.0 %, respectively. In addition, molecular features of the 5'
UTR region of the BVDV genome in Mongolian cattle and yaks were identified as
belonging to the subtypes BVDV-1a and BVDV-2a, respectively. Determining the
prevalence, geographical distribution, and molecular diversity of BVDV-1 and BVDV
2 in various host species in Mongolia is important for further studies and
process control programs.
PMID- 27206572
TI - Follicular dendritic cell tumour/sarcoma: a commonly misdiagnosed tumour in the
thorax.
AB - AIMS: Follicular dendritic cell sarcoma is a rare tumour reported to occur
occasionally in association with the hyaline-vascular type of Castleman's disease
(HVCD). Most cases arise in lymph nodes, although extranodal presentation is
described. METHODS AND RESULTS: Clinical, radiological and histological
characteristics, including diagnosis on pre-resection material, were assessed in
seven intrathoracic cases from five males and two females with a median age of 38
years. Clinical symptoms were related to mass location, six cases presenting
within central and/or posterior mediastinal compartments and one within the
lungs. Positron emission tomography-computed tomography demonstrated marked
fluoro-deoxy-glucose avidity and the prominent vessels traversing the lesions.
Four of six cases (67%) were misdiagnosed initially. HVCD was present in three
cases. Two cases with high mitotic rates recurred after resection. All were
positive for at least one of the follicular dendritic cell markers (CD21, CD35
and CD23). Six of seven cases (86%) show cyclin D1 expression ranging from 5% to
90%. CONCLUSIONS: Follicular dendritic cell sarcoma is often misdiagnosed on
biopsy and pathologists need to be aware of the tumour to request the relevant
immunohistochemistry, especially in masses presenting in the central/posterior
mediastinum with high vascularity and standardized uptake values. Background HVCD
appears more common than previously thought.
PMID- 27206569
TI - Pathway-Specific Dopamine Abnormalities in Schizophrenia.
AB - In light of the clinical evidence implicating dopamine in schizophrenia and the
prominent hypotheses put forth regarding alterations in dopaminergic transmission
in this disease, molecular imaging has been used to examine multiple aspects of
the dopaminergic system. We review the imaging methods used and compare the
findings across the different molecular targets. Findings have converged to
suggest early dysregulation in the striatum, especially in the rostral caudate,
manifesting as excess synthesis and release. Recent data showed deficit extending
to most cortical regions and even to other extrastriatal subcortical regions not
previously considered to be "hypodopaminergic" in schizophrenia. These findings
yield a new topography for the dopaminergic dysregulation in schizophrenia. We
discuss the dopaminergic innervation within the individual projection fields to
provide a topographical map of this dual dysregulation and explore potential
cellular and circuit-based mechanisms for brain region-dependent alterations in
dopaminergic parameters. This refined knowledge is essential to better guide
translational studies and efforts in early drug development.
PMID- 27206574
TI - The comparisons of phenotype and genotype between CADASIL and CADASIL-like
patients and population-specific evaluation of CADASIL scale in China.
AB - BACKGROUND: Cerebral autosomal dominant arteriopathy with subcortical infarcts
and leukoencephalopathy (CADASIL) is the most common form of hereditary stroke
disorder caused by mutations in the NOTCH3 gene. Although CADASIL scale is a
widely used tool to screen clinically suspected CADASIL patients, the
differential effects of this scale in various populations remain unknown.
METHODS: 92 CADASIL-like patients and 24 CADASIL patients were selected based on
CADASIL scale and gene tests. The clinical, genetic and radiological
characteristics were analyzed. RESULTS: Based on the CADASIL scale, we first
screened 116 suspected CADASIL patients, and detected 20 mutations in 24 CADASIL
patients (Specificity: 20.69 %). Surprisingly, we found that transient ischemic
attack/stroke, migraine, cognitive decline, psychiatric disturbances and early
onset age in CADASIL scale showed no differences between the CADASIL and the
CADASIL-like patients (p > 0.05). Instead, recurrent cerebral ischemic events
(58.33 %, p = 0.028) and positive family histories (p < 0.05) were more
frequently observed in CADASIL patients. Moreover, compared with CADASIL-like
patients (21.74 %), CADASIL patients demonstrated higher percentage of temporal
pole involvements (58.33 %, p = 0.001), but not the external capsule involvements
(66.67 %, p = 0.602), in MRI imaging. Further, we found that vascular risk
factors could occur in both CADASIL patients and CADASIL-like patients, and
therefore could not be used as the markers to differentiate the two groups in our
study (p > 0.05). By performing DSA analysis, we for the first time identified
dysplasia of cerebral blood vessels in CADASIL patients, which were detected more
frequently in CADASIL patients (41.67 %) in comparison with CADASIL-like patients
(8.69 %, p <0.01). CONCLUSION: Our data suggested that the efficacy of CADASIL
scale to diagnose the disease varied with specific populations. Recurrent
cerebral ischemic events, temporal pole involvements (but not the external
capsule) in MRI imaging and dysplasia of cerebral blood vessels in DSA may be the
new potential risk factors of the CADASIL scale suitable for Chinese patients.
Gene testing by encephalopathy gene panel is expected to improve the accuracy of
CADASIL differential diagnosis and increase the understanding of this disease in
the future.
PMID- 27206576
TI - Neurohormonal activation and pharmacological inhibition in pulmonary arterial
hypertension and related right ventricular failure.
AB - During the last decade, hyperactivity of the sympathetic nervous and renin
angiotensin-aldosterone systems (SNS and RAAS, respectively) has repeatedly been
related to the pathophysiology of pulmonary arterial hypertension (PAH) and PAH
related right ventricular failure (PAH-RVF), raising the question of whether
neurohormonal inhibition may be indicated for these conditions. Experimental data
indicate that the RAAS may be involved in pulmonary vascular remodeling, which is
in fact halted by RAAS antagonism. Favorable actions of beta-blockers on the
pulmonary vasculature have also been described, even if information about beta
adrenergic receptors in PAH is lacking. Furthermore, the available evidence
suggests that stimulation of the pressure-overloaded RV by the SNS and RAAS is
initially compensatory, but becomes maladaptive over time. Consistently, RV
reverse remodeling has been shown in PAH animal models treated with either beta
blockers or RAAS inhibitors, although important differences with human PAH may
limit the translational value of these findings. Only few observational studies
of neurohormonal antagonism in PAH and PAH-RVF have been published. Nonetheless,
beta-blockers on top of specific therapy appear to be safe and possibly also
effective. The combination of mineralocorticoid receptor and endothelin-A
receptor antagonists may result in an additive effect because of a positive
pharmacodynamic interaction. While neurohormonal inhibitors cannot be recommended
at present for treatment of PAH and PAH-RVF, they are worth being further
investigated.
PMID- 27206575
TI - Evaluation of a Pharmacokinetic Interaction between Telmisartan and
Chlorthalidone in Healthy Male Adult Subjects.
AB - BACKGROUND AND OBJECTIVE: Combination therapy is recommended for the effective
management of hypertension according to most treatment guidelines, including
those of the US Joint National Committee. Therefore, pharmacokinetic drug
interactions are an important issue in combination therapy for hypertension. In
this study, the pharmacokinetic properties of telmisartan and chlorthalidone were
evaluated to investigate their pharmacokinetic interactions in healthy subjects.
METHODS: Two separate, randomized, multiple-dose, two-period, one-sequence
studies were conducted. In study A, 43 participants received 80 mg of telmisartan
orally for 7 days, and were then administered oral chlorthalidone 25 mg for 14
days (days 8-21), coadministered with 80 mg of telmisartan from day 15. In study
B, 14 participants received oral chlorthalidone (25 mg) for 13 days, followed by
coadministration with 80 mg of telmisartan orally for 7 days. RESULTS: The
geometric mean ratios (GMRs) (90 % confidence intervals [CIs]) of the maximum
plasma concentration (C max,ss) and area under the concentration-time curve for
the dosing interval at steady state (AUCtau,ss) of telmisartan (with and without
chlorthalidone) were 1.018 (0.861-1.203) and 1.099 (1.015-1.190), respectively.
For chlorthalidone (with/without telmisartan), the GMRs (90 % CIs) for C max,ss
and AUCtau,ss were 0.996 (0.922-1.075) and 0.992 (0.925-1.064), respectively. The
GMRs and 90 % CIs for telmisartan and chlorthalidone were all within the 0.80
1.25 range. CONCLUSION: Thus, in this study, there was no significant
pharmacokinetic interaction between telmisartan and chlorthalidone.
CLINICALTRIAL. GOV IDENTIFIER: NCT01806363.
PMID- 27206577
TI - Generation of Neural Crest-Like Cells From Human Periodontal Ligament Cell
Derived Induced Pluripotent Stem Cells.
AB - Neural crest cells (NCC) hold great promise for tissue engineering, however the
inability to easily obtain large numbers of NCC is a major factor limiting their
use in studies of regenerative medicine. Induced pluripotent stem cells (iPSC)
are emerging as a novel candidate that could provide an unlimited source of NCC.
In the present study, we examined the potential of neural crest tissue-derived
periodontal ligament (PDL) iPSC to differentiate into neural crest-like cells
(NCLC) relative to iPSC generated from a non-neural crest derived tissue,
foreskin fibroblasts (FF). We detected high HNK1 expression during the
differentiation of PDL and FF iPSC into NCLC as a marker for enriching for a
population of cells with NCC characteristics. We isolated PDL iPSC- and FF iPSC
derived NCLC, which highly expressed HNK1. A high proportion of the HNK1-positive
cell populations generated, expressed the MSC markers, whilst very few cells
expressed the pluripotency markers or the hematopoietic markers. The PDL and FF
HNK1-positive populations gave rise to smooth muscle, neural, glial, osteoblastic
and adipocytic like cells and exhibited higher expression of smooth muscle,
neural, and glial cell-associated markers than the PDL and FF HNK1-negative
populations. Interestingly, the HNK1-positive cells derived from the PDL-iPSC
exhibited a greater ability to differentiate into smooth muscle, neural, glial
cells and adipocytes, than the HNK1-positive cells derived from the FF-iPSC. Our
work suggests that HNK1-enriched NCLC from neural crest tissue-derived iPSC more
closely resemble the phenotypic and functional hallmarks of NCC compared to the
HNK1-low population and non-neural crest iPSC-derived NCLC. J. Cell. Physiol.
232: 402-416, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27206581
TI - Pancreatic cyst surveillance: Threat or opportunity?
PMID- 27206580
TI - Integration event induced changes in recombinant protein productivity in Pichia
pastoris discovered by whole genome sequencing and derived vector optimization.
AB - BACKGROUND: The classic AOX1 replacement approach is still one of the most often
used techniques for expression of recombinant proteins in the methylotrophic
yeast Pichia pastoris. Although this approach is largely successful, it
frequently delivers clones with unpredicted production characteristics and a work
intense screening process is required to find the strain with desired
productivity. RESULTS: In this project 845 P. pastoris clones, transformed with a
GFP expression cassette, were analyzed for their methanol-utilization (Mut)
phenotypes, GFP gene expression levels and gene copy numbers. Several groups of
strains with irregular features were identified. Such features include GFP
expression that is markedly higher or lower than expected based on gene copy
number as well as strains that grew under selective conditions but where the GFP
gene cassette and its expression could not be detected. From these classes of
strains 31 characteristic clones were selected and their genomes sequenced. By
correlating the assembled genome data with the experimental phenotypes novel
insights were obtained. These comprise a clear connection between productivity
and cassette-to-cassette orientation in the genome, the occurrence of false
positive clones due to a secondary recombination event, and lower total
productivity due to the presence of untransformed cells within the isolates were
discovered. To cope with some of these problems, the original vector was
optimized by replacing the AOX1 terminator, preventing the occurrence of false
positive clones due to the secondary recombination event. CONCLUSIONS: Standard
methods for transformation of P. pastoris led to a multitude of unintended and
sometimes detrimental integration events, lowering total productivity. By
documenting the connections between productivity and integration event we
obtained a deeper understanding of the genetics of mutation in P. pastoris. These
findings and the derived improved mutagenesis and transformation procedures and
tools will help other scientists working on recombinant protein production in P.
pastoris and similar non-conventional yeasts.
PMID- 27206582
TI - Another modality to treat esophageal cancer?
PMID- 27206578
TI - The Borrelia burgdorferi CheY3 response regulator is essential for chemotaxis and
completion of its natural infection cycle.
AB - Borrelia burgdorferi possesses a sophisticated and complex chemotaxis system, but
how the organism utilizes this system in its natural enzootic life cycle is
poorly understood. Of the three CheY chemotaxis response regulators in B.
burgdorferi, we found that only deletion of cheY3 resulted in an altered motility
and significantly reduced chemotaxis phenotype. Although DeltacheY3 maintained
normal densities in unfed ticks, their numbers were significantly reduced in fed
ticks compared with the parental or cheY3-complemented spirochetes. Importantly,
mice fed upon by the DeltacheY3-infected ticks did not develop a persistent
infection. Intravital confocal microscopy analyses discovered that the DeltacheY3
spirochetes were motile within skin, but appeared unable to reverse direction and
perform the characteristic backward-forward motility displayed by the parental
strain. Subsequently, the DeltacheY3 became 'trapped' in the skin matrix within
days of inoculation, were cleared from the skin needle-inoculation site within 96
h post-injection and did not disseminate to distant tissues. Interestingly,
although DeltacheY3 cells were cleared within 96 h post-injection, this
attenuated infection elicited significant levels of B. burgdorferi-specific IgM
and IgG. Taken together, these data demonstrate that cheY3-mediated chemotaxis is
crucial for motility, dissemination and viability of the spirochete both within
and between mice and ticks.
PMID- 27206583
TI - Narrow-caliber esophagus of eosinophilic esophagitis: difficult to define,
resistant to remedy.
PMID- 27206579
TI - New data from basal Australian songbird lineages show that complex structure of
MHC class II beta genes has early evolutionary origins within passerines.
AB - BACKGROUND: The major histocompatibility complex (MHC) plays a crucial role in
the adaptive immune system and has been extensively studied across vertebrate
taxa. Although the function of MHC genes appears to be conserved across taxa,
there is great variation in the number and organisation of these genes. Among
avian species, for instance, there are notable differences in MHC structure
between passerine and non-passerine lineages: passerines typically have a high
number of highly polymorphic MHC paralogs whereas non-passerines have fewer loci
and lower levels of polymorphism. Although the occurrence of highly polymorphic
MHC paralogs in passerines is well documented, their evolutionary origins are
relatively unexplored. The majority of studies have focussed on the more derived
passerine lineages and there is very little empirical information on the
diversity of the MHC in basal passerine lineages. We undertook a study of MHC
diversity and evolutionary relationships across seven species from four families
(Climacteridae, Maluridae, Pardalotidae, Meliphagidae) that comprise a prominent
component of the basal passerine lineages. We aimed to determine if highly
polymorphic MHC paralogs have an early evolutionary origin within passerines or
are a more derived feature of the infraorder Passerida. RESULTS: We identified
177 alleles of the MHC class II beta exon 2 in seven basal passerine species,
with variation in numbers of alleles across individuals and species. Overall, we
found evidence of multiple gene loci, pseudoalleles, trans-species polymorphism
and high allelic diversity in these basal lineages. Phylogenetic reconstruction
of avian lineages based on MHC class II beta exon 2 sequences strongly supported
the monophyletic grouping of basal and derived passerine species. CONCLUSIONS:
Our study provides evidence of a large number of highly polymorphic MHC paralogs
in seven basal passerine species, with strong similarities to the MHC described
in more derived passerine lineages rather than the simpler MHC in non-passerine
lineages. These findings indicate an early evolutionary origin of highly
polymorphic MHC paralogs in passerines and shed light on the evolutionary forces
shaping the avian MHC.
PMID- 27206584
TI - Is it time to implement clinical decision rules for upper GI bleeding? Barriers,
facilitators, and the need for a collaborative approach.
PMID- 27206585
TI - EUS-guided angiotherapy: a sticky situation.
PMID- 27206586
TI - Cap-assisted pancreaticobiliary endoscopy in Billroth II anatomy: ERCP "through
the looking glass".
PMID- 27206587
TI - Evaluation of indeterminate biliary strictures: Is it time to FISH or cut bait?
PMID- 27206588
TI - Cecal intubation failure: Refer or change technique?
PMID- 27206589
TI - Simplified prophylaxis of mucosal resection site defects.
PMID- 27206590
TI - The need for supplementary surgery after endoscopic treatment of colorectal
neoplasms: comparing endoscopic mucosal resection and endoscopic submucosal
dissection.
PMID- 27206591
TI - Response.
PMID- 27206592
TI - Laser lithotripsy as a supplementary approach for the treatment of main
pancreatic duct stones.
PMID- 27206593
TI - Response.
PMID- 27206594
TI - Cystic duct patency in EUS-guided gallbladder drainage as a rescue treatment for
malignant biliary obstruction.
PMID- 27206595
TI - Post-ERCP pancreatitis after biliary cannulation with traditional rendezvous in
patients with T-tube.
PMID- 27206596
TI - Response.
PMID- 27206597
TI - Management of novel oral anticoagulants for GI endoscopy procedures.
PMID- 27206598
TI - Response.
PMID- 27206599
TI - Response.
PMID- 27206600
TI - Response.
PMID- 27206601
TI - Excess weight loss should not be used to define success for bariatric endoscopy.
PMID- 27206602
TI - Risk of post-ERCP pancreatitis declines with age.
PMID- 27206603
TI - Response.
PMID- 27206604
TI - Acral Peeling Skin Syndrome: A Case Report and Literature Review.
PMID- 27206605
TI - Reticulate Hyperpigmentation of the Flexures.
PMID- 27206606
TI - Pigmented Cutaneous Metastasis of Breast Carcinoma Mimicking a Melanoma.
PMID- 27206607
TI - Subcutaneous Nodules in a Kidney Transplant Recipient: Familial Multiple
Lipomatosis.
PMID- 27206608
TI - Immunophenotypic Shift Associated With Angiocentricity and Cytoxic
Characteristics in a Case of Mycosis Fungoides.
PMID- 27206609
TI - Clear Cell Acanthoma of the Areola and Nipple.
PMID- 27206611
TI - Activities of daily living questionnaire from patients' perspectives in
Parkinson's disease: a cross-sectional study.
AB - BACKGROUND: The aim of this study was to develop an assessment tool for
activities of daily living (ADL) from the perspective of patients with
Parkinson's disease (PD) and examine the validity and reliability of the
assessment. METHODS: A preliminary 45-item questionnaire was developed through
intensive interviews with 54 patients with PD and administered to another group
of 248 patients with PD. Based on clinical and statistical analyses, 20 ADL-items
were selected. The final 20-item questionnaire was examined in the other group of
59 patients with PD. RESULTS: The new ADL questionnaire showed high internal
consistency (Cronbach's alpha, 0.962-0.966) and acceptable test-retest
reliability (0.632-0.984). Concurrent validity was shown as a significant
positive correlation between the new ADL questionnaire and other ADL or clinical
instruments. The Hoehn and Yahr stage showed the highest degree of correlation
with the new ADL questionnaire, followed by the other ADL scales (Schwab and
England ADL and the ADL subscore of the Unified Parkinson's Disease Rating
Scale). Additionally, a regression analysis was conducted with the disease
specific quality of life questionnaire, and the new ADL questionnaire was the
most powerful predictor of quality of life among the clinical instruments.
CONCLUSIONS: The new ADL questionnaire is a valid tool for assessing ADL from the
perspectives of patients with PD.
PMID- 27206610
TI - Antibodies against Lewis antigens inhibit the binding of human norovirus GII.4
virus-like particles to saliva but not to intestinal Caco-2 cells.
AB - BACKGROUND: Human noroviruses (NoVs) are the main cause of gastroenteritis
worldwide. The most commonly detected NoV strains belong to the genetically
diverse GII.4 genotype, with new pandemic variants emerging periodically. Despite
extensive efforts, NoV investigation has been hampered by the lack of an
effective in vitro cell culture system. However, NoV-derived recombinant virus
like particles (VLPs) resembling empty capsids are good surrogates for analysing
NoV antigenicity and virus-ligand interactions. NoV VLPs have been reported to
bind to histo-blood group antigens (HBGAs). We have analysed the ability of NoV
VLPs derived from GI.1 genotype and from three GII.4 genotype variants, GII.4
1999, GII.4-2004 and GII.4-2006b, to bind to porcine gastric mucin (PGM), human
saliva and differentiated human intestinal Caco-2 cells (D-Caco-2 cells).
RESULTS: Distinct patterns of saliva binding with the NoV GII.4 variant VLPs were
observed, although they bound to D-Caco-2 cells independently of the expression
of HBGAs. Monoclonal antibodies against Lewis antigens were able to block the
binding of NoV VLPs to saliva, but not to D-Caco-2 cells. Blocking HBGAs on the
surface of D-Caco-2 cells with specific monoclonal antibodies did not affect NoV
VLP binding to cellular membranes. Co-localisation of Lewis y (Le(y)) and H-type
2 antigens with NoV VLPs was not observed by immunofluorescence assays.
CONCLUSION: Although the binding of NoV VLPs of GII.4 genotype variants to human
saliva samples occur with distinct HBGA binding patterns and can be blocked by
antibodies against Lewis antigens, their attachment to D-Caco-2 cells can be
mediated by other receptors, which still need further investigation.
PMID- 27206612
TI - Effectiveness of electroacupuncture for polycystic ovary syndrome: study protocol
for a randomized controlled trial.
AB - BACKGROUND: Whether electroacupuncture is effective for patients with polycystic
ovary syndrome is still inconclusive. Therefore, this study aims to evaluate the
add-on effects of electroacupuncture to conventional drugs for the treatment of
polycystic ovary syndrome. METHODS/DESIGN: This study is a two-center, open
labeled, randomized, controlled trial. A total of 116 eligible patients with
polycystic ovary syndrome will be randomly allocated in a 1:1 ratio to the
electroacupuncture plus clomiphene citrate group or to the clomiphene citrate
group. Participants in the electroacupuncture plus clomiphene citrate group will
receive electroacupuncture treatment in addition to clomiphene citrate capsules,
whereas participants in the clomiphene citrate group will be prescribed
clomiphene citrate capsules only. Electroacupuncture treatment will be performed
from the fifth day of menstruation or withdrawal bleeding until the next
menstruation, in three sessions per week for three menstrual cycles. The primary
outcome is the ovulation rate. The secondary outcomes include the dominant
follicle rate, mean number of dominant follicles, endometrial thickness, time
point of ovulation, follicular size before ovulation, luteinizing hormone,
estradiol level, and pregnancy rate. The measuring points for outcomes will be
baseline and the completion of treatment. Any adverse events occurring during the
trial process will be recorded. In addition, a quality-monitoring group
independent from the research team will be set up to control the quality of the
trial. DISCUSSION: The design and methodological rigor of this trial will allow
for the collection of valuable data to evaluate the effectiveness of
electroacupuncture for treating polycystic ovary syndrome. Therefore, this trial
will contribute reliable evidence for use in clinical decision-making in
acupuncture therapy of polycystic ovary syndrome as well as to future research in
acupuncture for polycystic ovary syndrome. TRIAL REGISTRATION: Chinese Clinical
Trial Registry, ChiCTR-IOR-15007358 , registered on 26 October 2015.
PMID- 27206614
TI - Corrigendum to "Phylogeography and demographic history of two widespread Indo
Pacific mudskippers (Gobiidae: Periophthalmus)" [Mol. Phylogenet. Evol. 73 (2014)
161-176].
PMID- 27206613
TI - Association between genotypic diversity and biofilm production in group B
Streptococcus.
AB - BACKGROUND: Group B Streptococcus (GBS) is a leading cause of sepsis and
meningitis and an important factor in premature and stillbirths. Biofilm
production has been suggested to be important for GBS pathogenesis alongside many
other elements, including phylogenetic lineage and virulence factors, such as
pili and capsule type. A complete understanding of the confluence of these
components, however, is lacking. To identify associations between biofilm
phenotype, pilus profile and lineage, 293 strains from asymptomatic carriers,
invasive disease cases, and bovine mastitis cases, were assessed for biofilm
production using an in vitro assay. RESULTS: Multilocus sequence type (ST)
profile, pilus island profile, and isolate source were associated with biofilm
production. Strains from invasive disease cases and/or belonging to the ST-17 and
ST-19 lineages were significantly more likely to form weak biofilms, whereas
strains producing strong biofilms were recovered more frequently from individuals
with asymptomatic colonization. CONCLUSIONS: These data suggest that biofilm
production is a lineage-specific trait in GBS and may promote colonization of
strains representing lineages other than STs 17 and 19. The findings herein also
demonstrate that biofilms must be considered in the treatment of pregnant women,
particularly for women with heavy GBS colonization.
PMID- 27206615
TI - Is LARC for Everyone? A Qualitative Study of Sociocultural Perceptions of Family
Planning and Contraception Among Refugees in Ethiopia.
AB - Objective Ethiopia is home to an increasingly large refugee population.
Reproductive health care is a critical issue for these groups because refugee
women are at high risk for unmet family planning needs. Efforts to expand
contraceptive use, particularly long acting reversible contraceptive (LARC)
methods are currently underway in several Ethiopian refugee camps. Despite
availability of LARC methods, few refugee women opt to use them. The purpose of
this study was to explore how culture influences contraceptive attitudes and
behaviors, particularly towards LARC methods, among Ethiopia's refugee
populations. Methods Focus group discussions and individual interviews were
conducted with Eritrean and Somali refugees living in Ethiopia. The qualitative
data was analyzed to identify important themes highlighting the relationship
between cultural values and contraceptive attitudes and behaviors. Results
Childbearing was highly valued among participants in both study groups. Eritreans
reported desire to limit family size and attributed this to constraints related
to refugee status. Somalis used cultural and religious faith to deal with
economic scarcity and were less likely to feel the need to adapt contraceptive
behaviors to reduce family size. Participants held overall positive views of the
contraceptive implant. Attitudes toward the intrauterine device (IUD) were
overwhelmingly negative due to its long-acting nature. Conclusions Culture,
religion and refugee status form a complex interplay with family planning
attitudes and behaviors among Eritrean and Somali refugees. For these
populations, the three-year implant appears to be a more acceptable contraceptive
method than the longer-acting IUD because it is in line with their reproductive
plans.
PMID- 27206617
TI - The unexplainable drift of the MIR examination questions on macular disease.
PMID- 27206616
TI - Perceptions of Body Size in Mothers and Their Young Children in the Galapagos
Islands.
AB - Introduction Little specific information has been published about the health of
people who live in the Galapagos Islands. As part of determining the status of
the nutrition transition that may be occurring in the islands mothers of young
children in the Galapagos perceptions of their child's body size and therefore
health status was evaluated along with actual body size. Methods This paper
presents data collected as part of a pilot study that used a mixed methods
approach to identify and describe health and nutrition issues for mother-child
pairs on Isla Isabela in Galapagos, Ecuador. It includes participant
anthropometric assessment and self-perception of body size using silhouettes for
themselves and one of their children along with open-ended questions to elicit
further understanding of body size perceptions. Twenty mothers of children
greater than 6 months of age but less than 6 years of age were interviewed.
Results The women preferred a smaller body size for themselves but a larger body
size for their children. Findings of different body size combinations between
mothers and children in the same household demonstrated that the island is
undergoing or may be post the nutrition transition. Discussion This dual burden
of body weights (especially overweight or obese mothers) in the same household
with underweight, normal and overweight or obese children and the potential
nutrition related chronic disease burden in the future will require more
educational resources and innovative health services than are currently available
for the people of the Galapagos.
PMID- 27206618
TI - Recommendations for the management of Birdshot retinochoroiditis.
PMID- 27206619
TI - Impact of multinucleated blastomeres on embryo developmental competence,
morphokinetics, and aneuploidy.
AB - OBJECTIVE: To study the effect of human embryo multinucleation on the rate of
aneuploidy, in vitro developmental morphokinetics, and pregnancy outcome. DESIGN:
Retrospective study. SETTING: University-affiliated fertility center. PATIENT(S):
A total of 296 patients undergoing IVF cycles. INTERVENTION(S): None. MAIN
OUTCOME MEASURE(S): Rate of multinucleation at the 2- and 4-cell stage, time
lapse morphokinetic parameters from zygote to blastocyst stage, ploidy of embryos
analyzed by means of trophectoderm biopsy and array comparative genomic
hybridization (PGS), and pregnancy outcome. RESULT(S): A total of 1,055 out of
2,441 (43.2%) embryos evaluated with the use of the Embryoscope time-lapse system
showed blastomere multinucleation at the 2-cell stage (MN2). The frequency of
this abnormality was substantially reduced in 4-cell-embryos (15.0%). Among all
clinical factors analyzed, only maternal age had a positive correlation with
multinucleation rate. The timing of cleavage divisions from the pronuclear fading
to 5-cell embryo was significantly longer (1.0-2.5 h) in MN2 embryos than in non
MN2 control samples. Of the total embryos tested with the use of PGS (n = 607),
the rates of multinucleation were similar in euploid versus aneuploid blastocysts
(40.8% and 46.7%, respectively). All 24 chromosomes contributed to aneuploidy of
MN2 embryos. There were 61 transfers of MN2 embryos that resulted in 45.9%
clinical pregnancies and a 31.6% implantation rate. CONCLUSION(S): The frequency
of multinucleation is high in human embryos cultured in vitro and equally affects
euploid and aneuploid human embryos. It appears that most MN embryos have the
capacity for self-correction during early cleavage divisions and can develop into
euploid blastocysts resulting in healthy babies.
PMID- 27206620
TI - [Optical coherence tomography and fundus autofluorescence in Best macular
dystrophy].
AB - Best vitelliform macular dystrophy is the second most frequent hereditary
maculopathy, with bilateral involvement and juvenile onset. It is clinically
characterized by bilateral deposits of lipofuscin-like autofluorescent material
in the subretinal space, with a typical phenotypic manifestation taking the form
of a vitelliform macular lesion evolving gradually into more advanced stages. The
purpose of our study was to describe fundus autofluorescence patterns and OCT
findings in three patients (6 eyes) with several stages of Best vitelliform
macular dystrophy. Optical coherence tomography (OCT) has become the first
imaging technique to order when confronted with a hereditary maculopathy
suggesting Best disease. Fundus autofluorescence combined with OCT allow for
better diagnosis and management, which are necessary for any genetic analysis.
PMID- 27206621
TI - [Combined central retinal artery occlusion and central retinal vein occlusion
secondary to Behcet's disease].
PMID- 27206622
TI - [Neuro-ophthalmologic manifestations as presenting signs of neuroendocrine
carcinoma of the sphenoid sinus].
PMID- 27206623
TI - Serum high-density lipoprotein correlates with serum apolipoprotein M and A5 in
obstructive sleep apnea hypopnea syndrome.
AB - PURPOSE: The purpose of this study was to investigate the correlation between
serum levels of serum apolipoprotein M (ApoM), A5 (ApoA5), and high-density
lipoprotein (HDL) in patients with obstructive sleep apnea hypopnea syndrome
(OSAHS) and study the effects of nasal continuous positive airway pressure
treatment on these serum biomarkers. METHODS: Thirty OSAHS patients and 15 non
OSAHS probands as control were selected for the study. Serum HDL, ApoM, and ApoA5
levels in two groups were detected; differences and association among them were
analyzed. Patients with moderate and severe OSAHS underwent 3-month auto
continuous positive airway pressure treatment, and a comparative study was
conducted to investigate the changes in blood lipids, serum ApoM, and ApoA5.
RESULTS: In comparison to the control group, the HDL, ApoM, and ApoA5 serum
levels were lower (P < 0.05). HDL was positively correlated to ApoM and ApoA5 (P
< 0.001), and ApoM was positively correlated to ApoA5 (r = 0.536, P < 0.001).
HDL, ApoM, and ApoA5 were significantly increased in the patients of moderate and
severe OSAHS after auto-continuous positive airway pressure treatment for 3
months (P < 0.05). CONCLUSIONS: The HDL level was significantly lower in OSAHS
patients. The decrease in serum ApoM and ApoA5 in OSAHS patients was correlated
to the severity of OSAHS and HDL levels. Auto-continuous positive airway pressure
treatment increased serum levels of ApoM, ApoA5, and HDL in OSAHS patients.
PMID- 27206624
TI - Decreased proportions of CD4 + IL17+/CD4 + CD25 + CD127- and CD4 + IL17+/CD4 +
CD25 + CD127 - FoxP3+ T cells in children with autoimmune thyroid diseases (.).
AB - Until now, altered balance of Th1 and Th2 immune cells has been postulated to
play an important role in the pathogenesis of autoimmune thyroid diseases (AITD).
However, recent studies on thyroid diseases have suggested a new role for Th17
cells that have been classified as a new lineage, distinct from Th1, Th2 and Treg
cells. Despite wide interest, the role of Th17 cells in the pathogenesis of
inflammatory and autoimmune diseases is still debated. The aim of the study was
to estimate the proportions of Th17/Treg T cells in peripheral blood from
patients with Graves' disease (GD; n = 29, mean age 15.4 +/- 5.1 years),
Hashimoto's thyroiditis (HT; n = 39, mean age 15.2 +/- 4.1 years) and in healthy
controls (n = 49, mean age 14.8 +/- 3 years). Polychromatic flow cytometry and
several fluorochrome-conjugated monoclonal antibodies were applied to delineate
Th17 and Treg cells. The analysis of Th17/Treg T cell proportions in peripheral
blood from patients with Graves' disease revealed significantly lower ratios of
CD4 + IL17+/CD4 + CD25 + CD127 - (p < 0.0021) and CD4 + IL17+/CD4 + CD25 + CD127
FoxP3 + (p < 0.0031) than in the control group. In addition, in the case of HT,
we observed a significant decrease in the ratios of CD4 + IL17+/CD4 + CD25 +
CD127 - (p < 0.0001) and CD4 + IL17+/CD4 + CD25 + CD127 - FoxP3 + (p < 0.0001) T
cells in comparison to healthy children. In patients with untreated GD, a
statistically significant positive correlation was found between the proportions
of CD4 + IL17+/CD4 + CD25 + CD127-, CD4 + IL17+/CD4 + CD25 + CD127 - FoxP3+ T
cells and the TRAbs (R = 0.71, p < 0.029; R = 0.72, p < 0.026, respectively) and
a positive correlation was noted between the percentage of CD4 + CD - IL - 17 + T
cells and the level of TSAbs (R = 0.66, p < 0.037). We conclude that the changes
in the proportion of Th17/Treg T cells in peripheral blood and their significant
relationship with the level of anti-thyroid antibodies indicate an involvement of
these cells in the pathogenesis of AITD.
PMID- 27206625
TI - Treatment of Labial Soft Tissue Recession Around Dental Implants in the Esthetic
Zone Using Guided Bone Regeneration With Mineralized Allograft: A Retrospective
Clinical Case Series.
AB - PURPOSE: Soft tissue augmentation procedures are often performed to correct
gingival recession on the facial aspects of implants in the esthetic zone. This
retrospective clinical case series reports on the use of guided bone regeneration
(GBR) and a coronal advancement flap with a resorbable membrane and allograft.
MATERIALS AND METHODS: We analyzed the records of 14 patients (7 men and 7 women)
with a mean age of 36.78 years (SD, 13.9 years) who were treated for soft tissue
recessions around implant-supported restorations in the maxillary central or
lateral incisor location. Implant diameters ranged from 3.3 to 4.7 mm. All
patients had bone loss confined to the labial surface of the implant. A solvent
dehydrated particulate mineralized allograft (Puros Cancellous Bone Allograft;
Zimmer Biomet Dental, Palm Beach Gardens, FL) and a resorbable membrane (CopiOs
Pericardium; Zimmer Biomet Dental) were used in a GBR surgical procedure in
combination with a roughened titanium tenting screw placed 3 to 4 mm below the
implant platform to restore unesthetic defects in the anterior maxilla. RESULTS:
All postoperative tissue changes from their preoperative states were
statistically significant (P < .05, Wilcoxon signed rank test). Mean preoperative
crestal bone thickness (measured 2 mm from crest) and mid-implant buccal bone
thickness increased by 1.84 mm (SD, 0.89 mm; 95% confidence interval [CI], 1.32
to 2.35 mm) and 2.07 mm (SD, 0.81 mm; 95% CI, 1.60 to 2.53 mm), respectively,
approximately 1 year after treatment (P < .001). Significant mean increases of
1.28 mm (SD, 0.53 mm; 95% CI, 0.97 to 1.58 mm), 1.29 mm (SD, 0.81 mm; 95% CI,
0.82 to 1.75 mm) and 1.23 mm (SD, 0.53 mm; 95% CI, 0.92 to 1.53 mm) also were
noted in soft tissue thickness, keratinized tissue width, and gingival height,
respectively (P < .001). CONCLUSIONS: Use of the allograft and xenogeneic
membrane effectively increased alveolar hard and soft tissue dimensions in the
esthetic zone of the anterior maxilla. Future prospective clinical trials with a
control group are needed to compare this technique with conventional methods such
as connective tissue graft.
PMID- 27206626
TI - Intermaxillary Fixation Screw Morbidity in Treatment of Mandibular Fractures-A
Retrospective Study.
AB - PURPOSE: The aim of the present retrospective study was to investigate the
morbidity of screws used for intermaxillary fixation (IMF) in the treatment of
mandibular fractures. A review of the published data was also performed for a
comparison of outcomes. Our hypothesis was that the use of screws for IMF of
mandibular fractures would result in minimal morbidity. MATERIALS AND METHODS:
Patients treated for mandibular fractures from 2007 to 2013, using screws for
IMF, using the international diagnosis code for mandibular fracture, DS026, were
anonymously selected (Department of Oral and Maxillofacial Surgery,
Rigshospitalet, University Hospital of Copenhagen, Copenhagen, Denmark). The
fracture type, radiographic findings, treatment modality, screw type and number,
and root damage were recorded. For the outcome comparison, a review of the
published data regarding iatrogenic dental root damage caused by screw fixation
was performed in May 2015. RESULTS: A total of 156 patients had undergone IMF
with screws. The total number of screws was 793. The incidence of root lesions
was 0.25% centrally and 0.88% peripherally. The incidence of screw loss was 0.13%
and that of screw loosening was 1.89%. In the review, 737 related reports were
identified in a search of PubMed and the Cochrane Library. Of these, 25 were
considered suitable for inclusion. A lack of valid evidence resulted in a
descriptive analysis, because a meta-analysis of the data was not possible.
CONCLUSIONS: The results of the present retrospective study have shown that the
use of screws is a valid choice for IMF in mandibular fracture treatment with
minimal morbidity. The 793 screws used for IMF resulted in a negligible amount of
central and peripheral tooth root trauma.
PMID- 27206627
TI - Clinical Application of an Original Vascular Anastomosis: A Clinical Multicenter
Study.
AB - PURPOSE: Vascular anastomosis is the most important technical step required for
the possibility of free tissue transfer, and mismatch of the donor and recipient
vessel size is the most common surgical challenge. As recent reports have
described a new method (Ren anastomosis) to resolve this challenge, the goal of
this study was to assess these newly described microvascular anastomosis methods.
PATIENTS AND METHODS: The study was conducted at 2 institutes in China in
different periods. Patients were recruited from the Second Xiangya Hospital
between February and May 2013 and from the Shanghai Ninth People's Hospital
between March and May 2015. All patients who participated in this study needed
free flaps for oral and maxillofacial defects. Patients were divided into the
experimental group and the control group. In the experimental group, isometric
double-notch end-in-end microvascular anastomosis (Ren anastomosis) was
performed, whereas end-to-end anastomosis was performed in the control group.
Statistical differences were assessed by use of chi2 and t tests. RESULTS: A
total of 148 patients (108 men and 40 women) were treated over the course of this
study. There was a statistically significant difference (P < .001) in the
operation time for the microscopic artery anastomosis between the experimental
group (70 cases; 5.6 +/- 1.8 minutes) and the control group (78 cases; 14.6 +/-
3.7 minutes). In each group, 1 case of artery compromise was observed.
CONCLUSIONS: The Ren anastomosis was time-saving, straightforward, efficient, and
easy to learn, with a high patency rate.
PMID- 27206628
TI - Nerve Sharing Between the Lingual and Mental Nerve to Restore Lower Lip Sensation
After Segmental Resection of the Mandible.
AB - This report demonstrates a successful new procedure for reconstructing the
inferior alveolar nerve by transplanting the great auricular nerve (GAN) between
the mental nerve and the remaining submandibular ganglion to achieve nerve
sharing of the lingual nerve. A 59-year-old woman with discomfort in the left
mandibular retromolar region and ipsilateral neck was referred to our hospital by
a local dentist. Physical examination showed mild swelling and redness at the
left mandibular retromolar region. The histologic diagnosis showed central
mucoepidermoid carcinoma of the jaw. With the patient under general anesthesia,
segmental resection of the mandible followed by level 1 selective neck dissection
was performed. The resected mandible was reconstructed with a titanium plate. The
submandibular incision was extended to the lower edge of the tragus for
harvesting of the GAN. The GAN was grafted, and an epineural neurorrhaphy was
carried out with the mental nerve, as well as the submandibular ganglion, under a
microscope. After the operation, submental sensation was evaluated with a Semmes
Weinstein pressure esthesiometer. The Semmes-Weinstein pressure esthesiometer
test showed a loss of perception at the third week after surgery. Within 12
months, nerve sensation was substantially improved and the patient was free from
discomfort.
PMID- 27206629
TI - A Comparison of Vascularity, Bone Mineral Density Distribution, and
Histomorphometrics in an Isogenic Versus an Outbred Murine Model of Mandibular
Distraction Osteogenesis.
AB - PURPOSE: The vascularity, bone mineral density distribution, and
histomorphometric data between the inbred, isogenic Lewis rat and the outbred,
nonisogenic Sprague Dawley rat within mandibular distraction osteogenesis (MDO)
were evaluated to allow future researchers to compare the results generated from
these 2 animals. We hypothesized that little difference would be found between
the 2 strains within these metrics. MATERIALS AND METHODS: We implemented a
comparative study between the Lewis and Sprague Dawley rat strains within MDO.
The sample was composed of 17 male Lewis and 17 male Sprague Dawley rats that
underwent surgical external fixation and distraction. The rats' hemimandibles
were distracted to a total distance of 5.1 mm. After 28 days of consolidation, 9
rats from each group underwent bone mineral density distribution analysis. The
remaining rats from each group were analyzed for the vascular and histologic
metrics. Descriptive and bivariate statistics were computed, and the P value was
set at .05. RESULTS: We demonstrated successful MDO in all the rats, with no
significant difference found in the histologic or bone mineral density
distribution metrics. No significant differences were found in any of the
vascular metrics, with the exception of vascular separation, which was not
normalized to the mandibular volume (P = .048). CONCLUSIONS: The results of the
present study have demonstrated that little dissimilarity exists between the
isogenic Lewis and outbred Sprague Dawley models of MDO. Thus, researchers can
confidently compare the gross results between the 2 strains, with consideration
of the very small differences between the 2 models. For studies that require an
isogenic strain, the Lewis rat is an apt surrogate for the Sprague Dawley strain.
PMID- 27206630
TI - [Ablation of supraventricular tachycardias : Complications and emergencies].
AB - Catheter ablation is an established treatment of supraventricular tachycardias
(SVT) with high success rates of > 95 %. Complication rates range from 3 to 5 %,
with serious complications occurring in about 0.8 %. There are general
complications caused either by the vascular access or the catheters (e. g.
hematomas, hemo-pneumothorax, embolism, thrombosis and aspiration) und specific
ablation related complications (e. g. AV block during ablation of the slow
pathway). The complication risk is elevated in elderly and multimorbid patients.
Furthermore, the experience of the treating physician and the respective team
plays an essential role. The purpose of this article is to give an overview on
incidences, causes and management as well as prevention strategies of
complications associated with catheter ablation of SVT.
PMID- 27206631
TI - The floral transcriptomes of four bamboo species (Bambusoideae; Poaceae): support
for common ancestry among woody bamboos.
AB - BACKGROUND: Next-generation sequencing now allows for total RNA extracts to be
sequenced in non-model organisms such as bamboos, an economically and
ecologically important group of grasses. Bamboos are divided into three lineages,
two of which are woody perennials with bisexual flowers, which undergo gregarious
monocarpy. The third lineage, which are herbaceous perennials, possesses
unisexual flowers that undergo annual flowering events. RESULTS: Transcriptomes
were assembled using both reference-based and de novo methods. These two methods
were tested by characterizing transcriptome content using sequence alignment to
previously characterized reference proteomes and by identifying Pfam domains.
Because of the striking differences in floral morphology and phenology between
the herbaceous and woody bamboo lineages, MADS-box genes, transcription factors
that control floral development and timing, were characterized and analyzed in
this study. Transcripts were identified using phylogenetic methods and
categorized as A, B, C, D or E-class genes, which control floral development, or
SOC or SVP-like genes, which control the timing of flowering events. Putative
nuclear orthologues were also identified in bamboos to use as phylogenetic
markers. CONCLUSIONS: Instances of gene copies exhibiting topological patterns
that correspond to shared phenotypes were observed in several gene families
including floral development and timing genes. Alignments and phylogenetic trees
were generated for 3,878 genes and for all genes in a concatenated analysis. Both
the concatenated analysis and those of 2,412 separate gene trees supported
monophyly among the woody bamboos, which is incongruent with previous
phylogenetic studies using plastid markers.
PMID- 27206632
TI - Plant community influence on soil microbial response after a wildfire in Sierra
Nevada National Park (Spain).
AB - Plant community influence on microbial response after fire has been studied in a
Sierra Nevada National Park area affected by a wildfire in 2005. Two different
plant communities adapted to different altitudes were selected to analyse
possible differences on soil microbial recolonisation process after fire, in oak
forest and high mountain shrub communities. Microbial abundance, activity and
community composition were monitored to evaluate medium-term changes. Microbial
abundance was studied by mean of microbial biomass carbon and plate count
methods; microbial activity was analysed by microbial respiration and bacterial
growth while microbial community composition was determined by analysing
phospholipid fatty acid pattern. Under unburnt conditions oak forest showed
higher nutrient content, pH and microbial abundance and activity values than the
high mountain shrubs community. Different parameters studied showed different
trends with time, highlighting important changes in microbial community
composition in high mountain shrubs from first sampling to the second one. Post
fire recolonisation process was different depending on plant community studied.
Highlighting fungal response and microbial activity were stimulated in burnt high
mountain shrubs community whilst it was negatively affected in oak forest. Fire
induced changes in oak forest were almost neutralized 20months after the fire,
while high mountain shrubs community still showed fire-induced changes at the end
of the study.
PMID- 27206633
TI - Safety of total thyroid ablation in patients with Graves' orbitopathy.
PMID- 27206634
TI - Stability of remission rates in a 3-year follow-up of naturalistic treated
depressed inpatients.
AB - BACKGROUND: Remission is a common outcome of short-term trials and the main goal
of acute and longterm treatment. The longitudinal stability of remission has
rarely been investigated under naturalistic treatment conditions. METHODS:
Naturalistic multisite follow-up study. Three-year symptomatic long-term outcome
of initially hospitalized tertiary care patients (N = 784) with major depressive
episodes. Remission rates as well as the switch rates between remission and non
remission were reported. RESULTS: After one, two and three years 62 %, 59 % and
69 % of the observed patients met criteria for remission. During the follow-up 88
% of all patients achieved remission. 36 % of maintained remission from discharge
to 3-years, 12 % of all patients never reached remission and 52 % percent showed
a fluctuating course switching from remission to non-remission and vice versa.
There was considerable transition between remission and non-remission. For
example, from discharge to 1 year, from 1 to 2, and from 2 to 3 years 25 %, 21 %
and 11 % lost remission. CONCLUSION: Cumulative outcome rates are encouraging.
Absolute rates at predefined endpoints as well as the fluctuations between these
outcomes reflect the variable and chronic nature of major depression.
PMID- 27206635
TI - Nipple adenoma in a female patient presenting with persistent erythema of the
right nipple skin: case report, review of the literature, clinical implications,
and relevancy to health care providers who evaluate and treat patients with
dermatologic conditions of the breast skin.
AB - BACKGROUND: Nipple adenoma is a very uncommon, benign proliferative process of
lactiferous ducts of the nipple. Clinically, it often presents as a palpable
nipple nodule, a visible nipple skin erosive lesion, and/or with discharge from
the surface of the nipple skin, and is primarily seen in middle-aged women.
Resultantly, nipple adenoma can clinically mimic the presentation of mammary
Paget's disease of the nipple. The purpose of our current case report is to
present a comprehensive review of the available data on nipple adenoma, as well
as provide useful information to health care providers (including dermatologists,
breast health specialists, and other health care providers) who evaluate patients
with dermatologic conditions of the breast skin for appropriately clinically
recognizing, diagnosing, and treating patients with nipple adenoma. CASE
PRESENTATION: Fifty-three year old Caucasian female presented with a one year
history of erythema and induration of the skin of the inferior aspect of the
right nipple/areolar region. Skin punch biopsies showed subareolar duct
papillomatosis. The patient elected to undergo complete surgical excision with
right central breast resection. Final histopathologic evaluation confirmed nipple
adenoma. The patient is doing well 31 months after her definitive surgical
therapy. CONCLUSIONS: Since nipple adenoma represents a benign proliferative
process of the nipple, complete surgical excision is curative. However, the
coexistence of nipple adenoma and ipsilateral or contralateral breast cancer is
well reported in the literature. The potential for a direct causal link or
association of nipple adenoma and breast cancer cannot be fully excluded.
PMID- 27206639
TI - A phase I study of mTOR inhibitor everolimus in association with cisplatin and
radiotherapy for the treatment of locally advanced cervix cancer: PHOENIX I.
AB - BACKGROUND: Cervix cancer (CC) represents the fourth most common cancer in women.
Treatment involving cisplatin and radiotherapy has been the standard for locally
advanced disease. Everolimus inhibits the aberrant activity of mTOR that is part
of carcinogenesis in CC. Further everolimus inactivates the HPV E7 oncoprotein
and inhibits its proliferation. Preclinical models have suggested that everolimus
sensitizes tumoral cells and vasculature to cisplatin and radiotherapy. METHODS:
In a 3 + 3 design, the trial aimed to treat three dose levels of at least three
patients with daily doses of everolimus (2.5, 5 and 10 mg/day), cisplatin and
radiotherapy delivered in a 9-week interval in CC patients, stage IIB, IIIA or
IIIB. Patients received everolimus from day -7 up to the last day of
brachytherapy. Primary objective was to evaluate safety, toxicity and the maximum
tolerated dose (MTD) of everolimus in association with cisplatin and
radiotherapy. Pharmacokinetic (PK) parameters and response rates were analyzed as
secondary objectives. RESULTS: Thirteen patients were enrolled, 6 at 2.5 mg, 3 at
5 mg and 4 at 10 mg. Four patients did not complete the planned schedule, 1 at
2.5 mg presented grade 4 acute renal failure interpreted as dose-limiting
toxicity (DLT) and 3 at 10 mg: 1 with disease progression, and 2 with DLTs-1
grade 3 rash and 1 grade 4 neutropenia. PK results were characterized by dose
dependent increases in AUC and C max. CONCLUSIONS: The MTD of everolimus in
combination with cisplatin and radiotherapy has been defined as 5 mg/day. The
data regarding safety and response rates support further studies.
PMID- 27206637
TI - Near-Infrared Spectroscopy: The New Must Have Tool in the Intensive Care Unit?
AB - Standard hemodynamic monitoring such as blood pressure and pulse oximetry may
only provide a crude estimation of organ perfusion in the critical care setting.
Near-infrared spectroscopy (NIRS) is based on the same principle as a pulse
oximeter and allows continuous noninvasive monitoring of hemoglobin oxygenation
and deoxygenation and thus tissue saturation "StO2" This review aims to provide
an overview of NIRS technology principles and discuss its current clinical use in
the critical care setting. The study selection was performed using the PubMed
database to find studies that investigated the use of NIRS in both the critical
care setting and in the intensive care unit. Currently, NIRS in the critical care
setting is predominantly being used for infants and neonates. A number of studies
in the past decade have shown promising results for the use of NIRS in
surgical/trauma intensive care units during shock management as a prognostic tool
and in guiding resuscitation. It is evident that over the past 2 decades, NIRS
has gone from being a laboratory fascination to an actively employed clinical
tool. Even though the benefit of routine use of this technology to achieve better
outcomes is still questionable, the fact that NIRS is a low-cost, noninvasive
monitoring modality improves the attractiveness of the technology. However, more
research may be warranted before recommending its routine use in the critical
care setting.
PMID- 27206640
TI - Looking for answers: the current status of neoadjuvant treatment in localized
soft tissue sarcomas.
AB - PURPOSE: Sarcomas are a rare and heterogeneous variant of cancer. The standard of
care treatment involves surgical resection with radiation in high-risk patients.
Despite appropriate treatment approximately 50 % of patients will suffer and die
from recurrent disease. The purpose of this article is to review the current
evidence concerning the use of neoadjuvant chemotherapy with or without radiation
in soft tissue sarcomas. METHODS: An in-depth literature search was conducted
using Ovid Medline and PubMed. RESULTS: The most active chemotherapeutic agents
in sarcoma are anthracyclines and ifosfamide. Adjuvant chemotherapy trials show
only minimal benefit. Neoadjuvant chemotherapy offers the potential advantage of
reducing the extent of surgery, increasing the limb salvage rate, early exposure
of micrometastatic disease to chemotherapy, and assessment of tumor response to
chemotherapy. Some retrospective and phase II trials suggest a benefit to
neoadjuvant chemotherapy. Unfortunately, no clearly positive phase III
prospectively randomized trials exist for neoadjuvant therapy in soft tissue
sarcomas. CONCLUSIONS: The current neoadjuvant chemotherapy trials that do exist
are heterogeneous resulting in conflicting results. However, neoadjuvant
chemotherapy with or without radiation can be considered in patients with high
risk disease in an attempt to improve long-term outcomes.
PMID- 27206636
TI - Institutional Enrollment and Survival Among NSCLC Patients Receiving
Chemoradiation: NRG Oncology Radiation Therapy Oncology Group (RTOG) 0617.
AB - BACKGROUND: The purpose of this analysis is to evaluate the effect of
institutional accrual volume on clinical outcomes among patients receiving
chemoradiation for locally advanced non-small cell lung cancer (LA-NSCLC) on a
phase III trial. METHODS: Patients with LA-NSCLC were randomly assigned to 60 Gy
or 74 Gy radiotherapy (RT) with concurrent carboplatin/paclitaxel +/- cetuximab
on NRG Oncology RTOG 0617. Participating institutions were categorized as low
volume centers (LVCs) or high-volume centers (HVCs) according to the number of
patients accrued (<=3 vs > 3). All statistical tests were two-sided. RESULTS:
Range of accrual for LVCs (n = 195) vs HVCs (n = 300) was 1 to 3 vs 4 to 18
patients. Baseline characteristics were similar between the two cohorts.
Treatment at a HVC was associated with statistically significantly longer overall
survival (OS) and progression-free survival (PFS) compared with treatment at a
LVC (median OS = 26.2 vs 19.8 months; HR = 0.70, 95% CI = 0.56 to 0.88, P = .002;
median PFS: 11.4 vs 9.7 months, HR = 0.80, 95% CI = 0.65-0.99, P = .04). Patients
treated at HVCs were more often treated with intensity-modulated RT (54.0% vs
39.5%, P = .002), had a lower esophageal dose (mean = 26.1 vs 28.0 Gy, P = .03),
and had a lower heart dose (median = V5 Gy 38.2% vs 54.1%, P = .006; V50 Gy 3.6%
vs 7.3%, P < .001). Grade 5 adverse events (AEs) (5.3% vs 9.2%, P = .09) and RT
termination because of AEs (1.3% vs 4.1%, P = .07) were less common among
patients treated at HVCs. HVC remained independently associated with longer OS (P
= .03) when accounting for other factors. CONCLUSION: Treatment at institutions
with higher clinical trial accrual volume is associated with longer OS among
patients with LA-NSCLC participating in a phase III trial.
PMID- 27206641
TI - GPs call for ballot to consider industrial action.
PMID- 27206642
TI - Dehydration as a Possible Cause of Monthly Variation in the Incidence of Venous
Thromboembolism.
AB - BACKGROUND: Monthly or seasonal changes in the incidence of venous
thromboembolism (VTE) were previously reported; however, the mechanism of such
variability is not completely understood. METHODS: In the present retrospective
single-center analysis, consecutive patients with proximal deep vein thrombosis
and/or pulmonary embolism (PE) diagnosed between January 2009 and December 2013
were evaluated. RESULTS: The study population included 1496 patients, 48% men,
mean age 63 +/- 18 years. Most (82%) cases with VTE were provoked and 39% of
patients had active cancer. Four months of peak incidence (3, 7, 10 and 11) were
compared with 4 months of the lowest incidence (4, 5, 6, and 12), showing a
significant difference in VTE numbers (597 vs 405 cases/year, P = 0.001). In all
subgroup analyses, including gender, provoked or unprovoked event and presence or
absence of cancer, significant differences between the months of peak and lowest
incidence remained. Blood urea nitrogen (BUN)-creatinine ratio was significantly
higher in all cases in the peak incidence group compared to the lowest incidence
group (24 +/- 1.5 vs 21 +/- 1.6, P = 0.03). In patients with unprovoked VTE (n =
269), levels of BUN and hematocrit were significantly increased in the peak
incidence group compared to lowest incidence group (19.5 +/- 0.8 mg/dL vs 16 +/-
1.1 mg/dL, P = 0.03; 39.2 +/- 0.3% vs 37.4 +/- 0.5%, P = 0.01, respectively).
CONCLUSIONS: The current study demonstrates that occurrence of VTE exhibits
monthly variation also existing in patients with provoked events and even in
those with cancer. Dehydration is suggested as a potential explanation to the
month-related variation in incidence of VTE.
PMID- 27206643
TI - The Fabrication of Nanoimprinted P3HT Nanograting by Patterned ETFE Mold at Room
Temperature and Its Application for Solar Cell.
AB - Nanoimprinting lithography (NIL) is investigated as a promising method to define
nanostructure; however, finding a practical method to achieve large area
patterning of conjugated polymer remains a challenge. We demonstrate here that a
simple and cost-effective technique is proposed to fabricate the nanoimprinted
P3HT nanograting by solvent-assisted room temperature NIL (SART-NIL) method with
patterned ETFE film as mold. The patterned ETFE template is produced by embossing
ETFE film into a patterned silicon master and is used as template to transfer
nanogratings during the SART-NIL process. It indicates that highly reproducible
and well-controlled P3HT nanograting film is obtained successfully with feature
size of nanogratings ranging from 130 to 700 nm, due to the flexibility,
stiffness, and low surface energy of ETFE mold. Moreover, the SART-NIL method
using ETFE mold is able to fabricate nanogratings but not to induce the change of
molecular orientation within conjugated polymer. The conducting ability of P3HT
nanograting in the vertical direction is also not damaged after patterning.
Finally, we further apply P3HT nanograting for the fabrication of active layer of
OBHJ solar cell device, to investigate the morphology role presented by ETFE mold
in device performance. The device performance of OBHJ solar cell is preferential
to that of PBHJ device obviously.
PMID- 27206644
TI - The Effect of Novel Synthetic Methods and Parameters Control on Morphology of
Nano-alumina Particles.
AB - Alumina is an inorganic material, which is widely used in ceramics, catalysts,
catalyst supports, ion exchange and other fields. The micromorphology of alumina
determines its application in high tech and value-added industry and its
development prospects. This paper gives an overview of the liquid phase synthetic
method of alumina preparation, combined with the mechanism of its action. The
present work focuses on the effects of various factors such as concentration,
temperature, pH, additives, reaction system and methods of calcination on the
morphology of alumina during its preparation.
PMID- 27206646
TI - Announcing a New Subject Section in Environmental Entomology: Pollinator Ecology
and Management.
PMID- 27206645
TI - Detection of 25C-NBOMe in Three Related Cases.
AB - An accidental death associated with the use of the designer drug, 2-(4-chloro-2,5
dimethoxyphenyl)-N-(2-methoxybenzyl)ethanamine (25C-NBOMe), is reported. A 23
year-old Caucasian male experienced severe respiratory distress and died after
being subdued by military law enforcement. At autopsy, remarkable findings upon
internal examination included mild to moderate coronary atherosclerosis,
biventricular dilation, mild right ventricular hypertrophy and bilateral
pulmonary edema and congestion. The decedent's blood contained no drugs, ethanol
or other volatile compounds. Pseudoephedrine, nicotine and cotinine were detected
in his urine. A LC-QTOF designer drug screen, employing a basic solid-phase
extraction, was used to isolate 25C-NBOMe, 25C-NBOH and 2C-C from both blood and
urine specimens. Quantitative analysis was performed by LC-MS-MS operating in
multiple reaction monitoring mode. 25C-NBOMe and 2C-C were present in the blood
(2.07 and 0.12 ng/mL) and in the urine (27.43 ng/mL and 0.38 ng/mL),
respectively. 25C-NBOMe concentrations were determined by standard addition in
the brain (19.10 ng/g), spleen (27.13 ng/g), lung (25.21 ng/g), liver (15.20
ng/g), kidney (25.06 ng/g) and gastric contents (30.24 ug total in 100 mL
submitted). On the basis of decedent case history, autopsy and toxicological
findings, the medical examiner ruled the cause of death as 25C-NBOMe toxicity
temporally associated with excited delirium and forcible restraint. The manner of
death was ruled accidental.
PMID- 27206647
TI - Total duodenal necrosis with retroperitoneal perforation in an adolescent with
jejunal intussusceptions.
PMID- 27206649
TI - Tuning perception: Visual working memory biases the quality of visual awareness.
AB - Studies of consciousness reveal that it is possible to manipulate subjective
awareness of a visual stimulus. For example, items held in visual working memory
(VWM) that match target features increase the speed with which the target reaches
visual awareness. To examine the effect of VWM on perception, previous studies
have mainly used coarse measures of awareness, such as present/absent or forced
choice judgments. These methods can reveal whether or not an individual has seen
an item, but they do not provide information about the quality with which the
item was seen. Using continuous report methods it has been shown that the
fidelity of a perceived item can be affected by whether or not that item is
masked. In the present study, we used an object-substitution masking task to
examine whether items held in VWM would influence the quality with which a masked
target reached awareness, or whether the threshold for awareness was instead
affected by stimuli held in memory. We observed that targets matching the
contents of VWM were recalled with greater precision compared to items that did
not match the contents of VWM. Importantly, this effect occurred without
affecting the likelihood of the target being perceived. These results suggest
that VWM plays a greater role in modulating the fidelity of perceived
representations than in lowering the overall threshold of awareness.
PMID- 27206650
TI - Malala and the politics of global iconicity.
AB - The article presents a case analysis of Malala Yousafzai's transformation into a
global injustice icon after she was shot in 2012 by the Pakistani Taliban for
advocating for girls' right to education. The analysis focuses on the political
aspects of this process and is divided into three parts. The first looks at
factors that facilitated Malala's iconization as she was undergoing medical
treatment and was unable to participate in her iconization. The second part
starts when Malala enters the global public sphere and begins to actively
contribute to the iconization process. The third part identifies de-iconizing
resistance to Malala from Pakistani actors who see her iconization as a symbolic
colonization in which Malala has become a vehicle of the West. Theoretically, the
article is located within cultural sociology, but expands it in a political and
global direction.
PMID- 27206648
TI - Impact of frequent cerebrospinal fluid sampling on Abeta levels: systematic
approach to elucidate influencing factors.
AB - BACKGROUND: Cerebrospinal fluid (CSF) amyloid-beta (Abeta) peptides are
predictive biomarkers for Alzheimer's disease and are proposed as pharmacodynamic
markers for amyloid-lowering therapies. However, frequent sampling results in
fluctuating CSF Abeta levels that have a tendency to increase compared with
baseline. The impact of sampling frequency, volume, catheterization procedure,
and ibuprofen pretreatment on CSF Abeta levels using continuous sampling over 36
h was assessed. METHODS: In this open-label biomarker study, healthy participants
(n = 18; either sex, age 55-85 years) were randomized into one of three cohorts
(n = 6/cohort; high-frequency sampling). In all cohorts except cohort 2 (sampling
started 6 h post catheterization), sampling through lumbar catheterization
started immediately post catheterization. Cohort 3 received ibuprofen (800 mg)
before catheterization. Following interim data review, an additional cohort 4 (n
= 6) with an optimized sampling scheme (low-frequency and lower volume) was
included. CSF Abeta(1-37), Abeta(1-38), Abeta(1-40), and Abeta(1-42) levels were
analyzed. RESULTS: Increases and fluctuations in mean CSF Abeta levels occurred
in cohorts 1-3 at times of high-frequency sampling. Some outliers were observed
(cohorts 2 and 3) with an extreme pronunciation of this effect. Cohort 4
demonstrated minimal fluctuation of CSF Abeta both on a group and an individual
level. Intersubject variability in CSF Abeta profiles over time was observed in
all cohorts. CONCLUSIONS: CSF Abeta level fluctuation upon catheterization
primarily depends on the sampling frequency and volume, but not on the
catheterization procedure or inflammatory reaction. An optimized low-frequency
sampling protocol minimizes or eliminates fluctuation of CSF Abeta levels, which
will improve the capability of accurately measuring the pharmacodynamic read-out
for amyloid-lowering therapies. TRIAL REGISTRATION: ClinicalTrials.gov
NCT01436188 . Registered 15 September 2011.
PMID- 27206651
TI - Intracellular MMP3 Promotes HSP Gene Expression in Collaboration With Chromobox
Proteins.
AB - Matrix metalloproteinases (MMPs) are crucial factors in tumor progression,
inflammatory/immune responses and tissue development/regeneration. Of note, it
has been known that MMPs promote genome instability, epithelial-mesenchymal
transition, invasion, and metastasis in tumor progression. We previously reported
that human MMP3 could translocate into cellular nuclei and control transcription
in human chondrosarcoma-derived cells and in articular cartilage (Eguchi et al.
[2008] Mol Cell Biol 28(7):2391-2413); however, further transcriptional target
genes and cofactors of intranuclear MMP3 have not been uncovered. In this paper,
we used transcriptomics analysis in order to examine novel transcriptional target
genes regulated by intracellular MMP3. We found that mRNA levels of HSP family
members (HSP70B', HSP72, HSP40/DNAJ, and HSP20/CRYAB) are upregulated by the
intracellular MMP3 overload. Bioinformatic analysis predicted several
transcription factors that possibly interact with MMP3. Among these factors, heat
shock factor 1 (HSF1) cooperated with the MMP3 to activate the HSP70B' gene
promoter in reporter gene assays, while a dominant negative HSF1 blocked the role
for MMP3 in the trans-activation. The hemopexin-like repeat (PEX) domain of the
human MMP3 was essential for transcriptional induction of the HSP70B' gene. In
addition, chromobox proteins CBX5/HP1alpha and CBX3/HP1gamma cooperated with the
PEX domain in induction of HSP70B' mRNA. Taken together, this study newly
clarified that intracellular MMP3 cooperate with CBXs/HP1s in transcriptional
promotion of HSP genes. J. Cell. Biochem. 118: 43-51, 2017. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27206652
TI - Syndromic microphthalmia-3 caused by a mutation on gene SOX2 in a Colombian male
patient.
AB - Syndromic microphthalmia-3 is a rare congenital syndrome associated with brain
anomalies, esophageal atresia and genital anomalies. This is the case of a 4-year
old male with bilateral microphthalmia, short stature, neurodevelopmental delay,
genital anomalies, and maternal exposition to glyphosate during pregnancy.
Genetic testing detected a previously reported pathogenic heterozygous mutation
in the SOX2 gene, confirming a diagnosis of syndromic microphthalmia-3. Whenever
a patient presents bilateral microphthalmia, it is necessary to determine whether
it is isolated or syndromic; afterwards, genetic testing should be performed in
order to offer an effective genetic counseling.
PMID- 27206653
TI - Henneguya melini n. sp. (Myxosporea: Myxobolidae), a parasite of Corydoras melini
(Teleostei: Siluriformes) in the Amazon region: morphological and ultrastructural
aspects.
AB - A new species of myxozoan, Henneguya melini sp. n. (Myxosporea: Myxobolidae), was
described based on morphologic and ultrastructural features. This is a parasite
of the ornamental freshwater fish C. melini from the Rio Negro, and it was found
in five of 30 (16.7 %) C. melini examined. The parasite was found in the gill
filaments, and the plasmodia had form of round to ellipsoid, with mature and
immature spores inside them. The average spore body was 15.5 +/- 0.2 MUm in
length, 4.7 +/- 0.1 MUm in width, and the tail measured 25.3 +/- 0.1 MUm in
length. The spores showed typical features of the genus Henneguya, with two
valves of equal size and two symmetrical polar capsules of 4.8 +/- 0.7 MUm in
length and 1.7 +/- 0.3 MUm in width. Each polar capsule had a polar filament with
five to six turns. Based on morphology (morphologic and ultrastructural data) of
the plasmodia and spores and the fact that this is the first report of a
Henneguya species in a fish species of the genus Corydoras, it was considered a
new myxozoan species.
PMID- 27206654
TI - Echinococcus multilocularis (Cestoda, Cyclophyllidea, Taeniidae): oncospheral
hook morphogenesis.
AB - Ultrastructural characteristics of the oncospheral hook morphogenesis in the
taeniid cestode Echinococcus multilocularis Leuckart, 1863, a parasite of medical
and veterinary importance, are described. Oncospheral hook primordia appear at
the preoncospheral phase of the embryonic development. Within six specialised
cells of the so-called oncoblasts, high concentration of mitochondria, numerous
ribosomes and extended Golgi regions are involved in hook development. During
hook growth, the blade and base gradually protrude outside the oncoblast plasma
membrane. The nucleated oncoblast persists around the handles of fully formed
hooks. Simultaneously with the hook primordium elongation and transformation into
a blade, handle and base, the hook material differentiates into an electron-dense
cortex and a less dense inner core. The exit of the blade of each mature hook,
protruding from the oncosphere, is surrounded by a circular, septate desmosome
and two rigid, dense rings on either side. The pattern of oncospheral hook
morphogenesis in E. multilocularis is compared with that of other previously
examined cyclophyllidean cestodes. Though oncoblasts have never been observed
around the mature hooks, their remnants are often still visible in the fully
developed infective oncospheres in particular in some taeniid species so far
examined in this respect. The origin and formation of oncospheral hooks in E.
multilocularis, evidently differs from that of the rostellar hooks. Thus,
although the hooks may have slight similarity at the gross level, they are
neither analogous nor homologous structures.
PMID- 27206655
TI - First genetic characterisation of Giardia in human isolates from Jordan.
AB - Little is known about the epidemiology of Giardia in Jordan and to date, no
genotyping studies have been conducted on Giardia isolates from Jordanians. In
the present study, a total of 49 microscopy-positive faecal samples from
Jordanian patients suffering from giardiasis were analysed at two loci: the
triose phosphate isomerase (tpi) gene and the glutamate dehydrogenase (gdh) gene.
At the tpi locus, a total of 28 samples amplified and assemblage A was identified
in 46.4 % (13/28) samples, while assemblage B was identified in 50 % (14/28)
samples and a mixed assemblage A and B was identified in one sample (3.6 %)
(Table 1). At the gdh locus 48 isolates amplified and of these assemblages A was
identified in 43.7 % (21/48) of isolates and assemblage B in 56.3 % (27/48) of
isolates. No mixed infections were detected at the gdh locus. Subtyping at the
gdh locus identified sub-assemblage AII in 43.7 % (21/48) of isolates and sub
assemblages BIII and BIV in 25 % (12/48) and 31.2 % (15/48) of isolates,
respectively, with more genetic diversity in AII isolates than BIII or BIV
isolates. Novel sub-types within each sub-assemblage were identified suggesting
unique endemicity and anthroponotic transmission of Giardia in Jordanian patients
suffering from giardiasis. Further studies are required to better understand the
epidemiology and transmission of Giardia in Jordan.
PMID- 27206656
TI - Evaluation of Immunoflourescence antibody assay for the detection of
Enterocytozoon bieneusi and Encephalitozoon intestinalis.
AB - Detection of microsporidia at the species level is important for therapeutic
purpose. The available techniques, modified trichrome (MT) staining cannot
differentiate between species, while polymerase chain reaction (PCR) requires a
reference laboratory and skilled technical staff. Immunoflourescence antibody
(IFA) assay is another technique, which can differentiate among commonest species
of microsporidia. However, there are very limited studies on its efficacy
worldwide. Therefore, we aimed to evaluate IFA assay for the detection of
microsporidia and differentiation among commonest species, Enterocytozoon
bieneusi (E. bieneusi) and Encephalitozoon intestinalis infecting
immunocompromised patients. Stool samples from 200 immunocompromised patients (19
with microsporidia and 181 without microsporidia using MT staining) were tested
for species identification by PCR-RFLP and IFA assay. Sensitivity, specificity,
diagnostic accuracy, and positive and negative predictive values were calculated
as per standard formulae. Kappa statistics was used to assess the agreement
between three tests. Of 200 immunocompromised patients, 21 and 20 patients had
microsporidia using PCR and IFA assay, respectively. IFA assay and PCR identified
E. bieneusi in all patients infected with microsporidia. Considering MT stain as
gold standard, sensitivity and specificity of IFA assay was 100 and 99.4 %,
respectively. Upon considering PCR as gold standard, sensitivity and specificity
of IFA assay was 95.2 and 100 %, respectively. Diagnostic accuracy of IFA assay
was 99.5 % along with its high test agreement with MT staining and PCR (K =
0.915, p = 0.049; K = 0.973, p = 0.027). IFA assay is highly sensitive and
specific technique for detecting and identifying species of microsporidia among
immunocompromised patients. E. bieneusi was the commonest species identified.
PMID- 27206657
TI - Effects of different animal manures on attraction and reproductive behaviors of
common house fly, Musca domestica L.
AB - Insects rely mainly on their well-developed and highly sophisticated olfactory
system to discriminate volatile cues released from host and nonhost substances,
mates, oviposition substrates, and food sources. Onset of first mating, mating
duration, and onset of first oviposition, oviposition period, fecundity (number
of eggs laid by a female), and longevity of freshly emerged Musca domestica L.
(Diptera: Muscidae) adults were observed in the presence of different animal
manures: cow, horse, donkey, poultry, and an artificial diet. The M. domestica
adults exposed to horse manure showed a delay in onset of first mating and first
oviposition, prolonged mating duration, and reduced fecundity compared to the
artificial diet (control). Likewise, the fecundity was reduced in the presence of
donkey manure as compared to artificial diet. The onset of first mating was
delayed and duration of first mating was shortened in the presence of cow manure
as compared to artificial diet and no oviposition was observed throughout the
duration of the experiment. However, the reproductive behaviors and all fitness
measures in adults exposed to poultry manure were similar or even better,
compared to the artificial diet. Surprisingly, in a free-choice attraction assay,
the highest numbers of adult flies were attracted toward the cow manure as
compared to all other manures as well as the artificial diet. However, the
numbers of flies captured in all other types of manures were not different than
the artificial diet (control). Furthermore, chemical analysis of headspace
samples of manures revealed qualitative differences in odor (volatile) profiles
of all manures and artificial diet, indicating that behavioral differences could
be due to the differences in the volatile chemistry of the adult ovipositional
substrates and larval growth mediums. This study may contribute toward both
understanding the linkage between ecological adaptations and host selection
mechanisms and the development of pest management strategies against this serious
pest of medical and veterinary importance.
PMID- 27206659
TI - Complete mitochondrial genome and the phylogenetic position of the brown-spotted
grouper Epinephelus chlorostigma (Perciformes: Epinephelidae).
AB - The complete mitogenome of the brown-spotted grouper Epinephelus chlorostigma was
determined in this study. It is 16,894 bp in length, containing 37 genes with the
typical order and transcriptional direction in the vertebrates. The overall
nucleotide composition is: 28.7% A; 28.0% C; 16.3% G and 27.0% T. The lengths of
22 transfer RNA (tRNA) genes range from 67 (tRNA-Cys) to 76 (tRNA-Leu1) bp. Two
start codons (GTG and ATG) and two stop codons (TAG and TAA/T) were found in the
protein-coding genes. The nodes of phylogenetic tree were strongly supported
based on the complete mitogenomes of available groupers. The phylogenetic results
suggested E. chlorostigma is the closest to E. areolatus within the genus
Epinephelus.
PMID- 27206658
TI - Differential Lyn-dependence of the SHIP1-deficient mast cell phenotype.
AB - BACKGROUND: Antigen (Ag)/IgE-mediated mast cell (MC) responses play detrimental
roles in allergic diseases. MC activation via the high-affinity receptor for IgE
(FcepsilonRI) is controlled by the Src family kinase Lyn. Lyn-deficient (-/-)
bone marrow-derived MCs (BMMCs) have been shown by various laboratories to exert
stronger activation of the PI3K pathway, degranulation, and production of pro
inflammatory cytokines compared to wild-type (wt) cells. This mimics the
phenotype of BMMCs deficient for the SH2-containing inositol-5'-phosphatase 1
(SHIP1). In this line, Lyn has been demonstrated to tyrosine-phosphorylate and
activate SHIP1, thereby constituting a negative feedback control of PI3K-mediated
signals. However, several groups have also reported on Lyn-/- BMMCs degranulating
weaker than wt BMMCs. RESULTS: Lyn-/- BMMCs, which show a suppressed
degranulation response, were found to exhibit abrogated tyrosine phosphorylation
of SHIP1 as well. This indicated that even in the presence of reduced SHIP1
function MC degranulation is dependent on Lyn function. In contrast to the
reduced immediate secretory response, pro-inflammatory cytokine production was
augmented in Lyn-/- BMMCs. For closer analysis, Lyn/SHIP1-double-deficient (dko)
BMMCs were generated. In support of the dominance of Lyn deficiency, dko BMMCs
degranulated significantly weaker than SHIP1-/- BMMCs. This coincided with
reduced LAT1 and PLC-gamma1 phosphorylation as well as Ca(2+) mobilization in
those cells. Interestingly, activation of the NFkappaB pathway followed the same
pattern as measured by IkappaBalpha phosphorylation/degradation as well as
induction of NFkappaB target genes. This suggested that Ag-triggered NFkappaB
activation involves a Ca(2+)-dependent step. Indeed, IkappaBalpha
phosphorylation/degradation and NFkappaB target gene induction were controlled by
the Ca(2+)-dependent phosphatase calcineurin. CONCLUSIONS: Lyn deficiency is
dominant over SHIP1 deficiency in MCs with respect to Ag-triggered degranulation
and preceding signaling events. Moreover, the NFkappaB pathway and respective
targets are activated in a Lyn- and Ca(2+)-dependent manner, reinforcing the
importance of Lyn for MC activation.
PMID- 27206662
TI - Desai RG, Fulton GP. Evidence for a vessel wall defect in immuno-thrombocytopenic
hamsters. Blood. 1960;15(5):675-680.
PMID- 27206660
TI - Cannabinoid 1 receptor knockout mice display cold allodynia, but enhanced
recovery from spared-nerve injury-induced mechanical hypersensitivity.
AB - BACKGROUND: The function of the Cannabinoid 1 receptor (CB1R) in the development
of neuropathic pain is not clear. Mounting evidence suggest that CB1R expression
and activation may contribute to pain. Cannabinoid 1 receptor knockout mice (CB1R
/-) generated on a C57Bl/6 background exhibit hypoalgesia in the hotplate assay
and formalin test. These findings suggest that Cannabinoid 1 receptor expression
mediates the responses to at least some types of painful stimuli. By using this
mouse line, we sought to determine if the lack of Cannabinoid 1 receptor unveils
a general hypoalgesic phenotype, including protection against the development of
neuropathic pain. The acetone test was used to measure cold sensitivity, the
electronic von Frey was used to measure mechanical thresholds before and after
spared-nerve injury, and analysis of footprint patterns was conducted to
determine if motor function is differentially affected after nerve-injury in mice
with varying levels of Cannabinoid 1 receptor. RESULTS: At baseline, CB1R-/- mice
were hypersensitive in the acetone test, and this phenotype was maintained after
spared-nerve injury. Using calcium imaging of lumbar dorsal root ganglion (DRG)
cultures, a higher percentage of neurons isolated from CB1R-/- mice were menthol
sensitive relative to DRG isolated from wild-type (CB1R+/+) mice. Baseline
mechanical thresholds did not differ among genotypes, and mechanical
hypersensitivity developed similarly in the first two weeks following spared
nerve injury (SNI). At two weeks post-SNI, CB1R-/- mice recovered significantly
from mechanical hypersensitivity, while the CB1R+/+ mice did not. Heterozygous
knockouts (CB1R+/-) transiently developed cold allodynia only after injury, but
recovered mechanical thresholds to a similar extent as the CB1R-/- mice. Sciatic
functional indices, which reflect overall nerve health, and alternation
coefficients, which indicate uniformity of strides, were not significantly
different among genotypes. CONCLUSION: Cold allodynia and significant recovery
from spared-nerve injury-induced mechanical hypersensitivity are two novel
phenotypes which characterize the global CB1R-/- mice. An increase in transient
receptor potential channel of melastatin 8 channel function in DRG neurons may
underlie the cold phenotype. Recovery of mechanical thresholds in the CB1R
knockouts was independent of motor function. These results indicate that CB1R
expression contributes to the development of persistent mechanical
hypersensitivity, protects against the development of robust cold allodynia but
is not involved in motor impairment following spared-nerve injury in mice.
PMID- 27206661
TI - Cingulate GABA levels inversely correlate with the intensity of ongoing chronic
knee osteoarthritis pain.
AB - BACKGROUND: This study aims to investigate the role of the mid-anterior cingulate
cortex gamma-aminobutyric acid levels in chronic nociceptive pain. The molecular
mechanisms of pain chronification are not well understood. In fibromyalgia, low
mid-anterior cingulate cortex gamma-aminobutyric acid was associated with high
pain suggesting a role of prefrontal disinhibition. We hypothesize that mid
anterior cingulate cortex GABAergic disinhibition may underpin chronic pain
independent of the pain etiology and comorbid negative affect. Proton magnetic
resonance spectra were acquired at 3T from the mid-anterior cingulate cortex in
20 patients with chronic painful knee osteoarthritis, and 19 healthy pain-free
individuals using a point resolved spectroscopy sequence optimized for detection
of gamma-aminobutyric acid. Participants underwent questionnaires for negative
affect (depression and anxiety) and psychophysical pain phenotyping. RESULTS: No
differences in mid-anterior cingulate cortex gamma-aminobutyric acid or other
metabolite levels were detected between groups. Ratings of perceived intensity of
ongoing osteoarthritis pain were inversely correlated with gamma-aminobutyric
acid (r = -0.758, p < 0.001), but no correlations were seen for negative affect
or pain thresholds. The pain gamma-aminobutyric acid interrelation remained
strong when controlling for depression (r = -0.820, p < 0.001). Combined levels
of glutamine and glutamate were unrelated to psychometric or to pain thresholds.
CONCLUSION: Our study supports mid-anterior cingulate cortex gamma-aminobutyric
acid as a potential marker of pain severity in chronic nociceptive pain states
independent of negative affect. The findings suggest that GABAergic disinhibition
of the salience network may underlie sensitization to averse stimuli as a
mechanism contributing to pain chronification.
PMID- 27206663
TI - High-Jaking the blood: resistance is fetal.
PMID- 27206664
TI - Context matters in MLL-AF9-driven leukemias.
PMID- 27206665
TI - Matriptase-2 links erythropoietin to iron.
PMID- 27206666
TI - Dissecting stroke for anti-VWF therapeutics.
PMID- 27206667
TI - Establishing the UCB graft potentiality.
PMID- 27206668
TI - Vinpocetine and Vasoactive Intestinal Peptide Attenuate Manganese-Induced
Toxicity in NE-4C Cells.
AB - Increased concentration of manganese (Mn) in the brain is known to be associated
with excitotoxicity and neuroinflammation. Vinpocetine, an alkaloid derived from
the plant Vinca minor L., basically shows its effect via phosphodiesterase
inhibition and voltage-dependent Na+ channels. Vasoactive intestinal peptide
(VIP) has gastrointestinal, vasomotor, muscular, and neuroprotective effects. The
aim of this study was to examine the potential protective effects of vinpocetine
and VIP against Mn toxicity in NE-4C neural stem cells (NSCs). VIP treatment at 1
MUM and vinpocetine treatment at 2 MUM concentrations were sufficient to yield
maximum protection, and these concentrations were adopted in the following
experiments. In this study, Mn treatment significantly increased lactate
dehydrogenase (LDH) leakage, reactive oxygen species (ROS) production, and
triggered cell death in NE-4C cultures. However, significant reduction in LDH
release was observed following vinpocetine or VIP treatments when compared with
control. Similar to these findings, vinpocetine or VIP treatments significantly
reduced membrane degradation induced by Mn (p < 0.001). Moreover, vinpocetine
attenuated Mn-induced decrease of mitochondrial membrane potential. Similarly,
proapoptotic protein bax and ROS production significantly decreased in cells
after incubation with vinpocetine (p = 0.01) or VIP in the presence of Mn (p <
0.001). Our study provides the evidence that both vinpocetine and VIP may exert
protective effects via modulating oxidative stress and apoptosis in Mn-induced
neurodegeneration in NE-4C cells.
PMID- 27206669
TI - Serum and Hair Mineral Levels in Children with Failure to Thrive According to the
Type of Feeding Difficulties.
AB - This study evaluated serum and hair mineral and trace element levels as well as
levels of other nutritional factors affecting growth and appetite in young
children with non-organic failure to thrive (NOFTT) based on the presence or
types of feeding difficulty (FD). Between August 2012 and July 2015, 136 children
less than 6 years of age with NOFTT were included. FD was diagnosed based on
Wolfson criteria and divided into subtypes according to Chatoor's classification.
Clinical data were reviewed, and serum and hair mineral levels were measured. Of
all assessed serum and hair minerals, only hair sulfur contents differed
significantly between subjects with and without infantile anorexia (39,392 +/-
2211 vs. 40,332 +/- 2551 MUg/g, P = 0.034). There were no differences in serum
and hair mineral levels between children with and without sensory food aversion.
Hair copper contents were significantly lower in children with FD of reciprocity
(12.3 +/- 6.0 vs. 22.4 +/- 25.1 MUg/g, P = 0.049). While hair zinc contents were
also lower, the difference was not statistically significant (49.2 +/- 26.8 vs.
70.6 +/- 41.0 MUg/g, P = 0.055). Only hair manganese contents were significantly
lower in children with posttraumatic FD (0.12 +/- 0.04 vs. 0.26 +/- 0.73 MUg/g, P
= 0.037). In conclusion, there were no differences in most serum and hair mineral
levels in children with NOFTT, except for relatively lower hair levels of sulfur,
copper and possibly zinc, and manganese in infantile anorexia, reciprocity, and
posttraumatic types of FD, respectively.
PMID- 27206670
TI - Pro-inflammatory Cytokines Are Involved in Fluoride-Induced Cytotoxic Potential
in HeLa Cells.
AB - This study was designed to investigate the pro-inflammatory cytokines and their
involvement in the cytotoxic potential of fluoride (F) in HeLa cells. HeLa cells
were cultured with varying F concentrations (1-50 mg/L) for 48 h, and treatment
effects were analyzed. The viability of HeLa cells was determined with a
colorimetric method. The concentrations of IL-1beta, IL-2, IL-6, and TNF-a in
culture supernatant were measured through enzyme linked immunosorbent assay
(ELISA). The mRNA expression levels of IL-1beta, IL-2, IL-6 and TNF-a were
subjected to transcript analysis and quantified through reverse transcription
real-time PCR. Results showed that 10, 20 and 50 mg/L F significantly decreased
the viability of HeLa cells incubated for 24 and 48 h. With their cytotoxic
effect, the concentrations of IL-1beta, IL-2, IL-6, and TNF-a decreased
significantly in response to F, especially at 20 and 50 mg/L for 48 h. The mRNA
expression levels of IL-1beta, IL-2, IL-6, and TNF-a were downregulated at 50
mg/L F for 48 h. Therefore, F inhibited HeLa cell growth; as such, F could be
used to alleviate the inhibition of pro-inflammatory cytokine expression.
PMID- 27206671
TI - The association between smoking prevalence and eating disorders: a systematic
review and meta-analysis.
AB - BACKGROUND AND AIMS: Cigarette smoking is associated with severe mental illness,
including schizophrenia and bipolar disorder, and with morbidity and mortality,
but the association with anorexia (AN), bulimia nervosa (BN) and binge eating
disorder (BED) is unclear. This meta-analysis compared the odds of smoking in
eating disorders (ED) (ED = AN or BN or BED) versus healthy controls (HC) and
calculated the prevalence of smokers in people with ED. METHODS: Three
independent authors searched PubMed, MEDLINE and Scopus from database inception
until 31 December 2015 for studies reporting data on life-time or current smoking
prevalence in BED, BN and AN with or without control group. Meta-analyses were
undertaken, calculating odds ratios (ORs) of life-time smoking in BED, BN, AN
versus healthy controls (HCs) or prevalence of smoking in BED, BN and AN with 95%
confidence intervals (CI). RESULTS: Thirty-one studies (ED = 8517, controls = 68
335) were meta-analysed. Compared with HCs, there were significantly more smokers
among people with BN (life-time OR = 2.165) and BED (life-time OR = 1.792) but
not AN (life-time OR = 0.927). BED was associated with smoking the most (life
time prevalence = 47.73%) followed by BN (life-time prevalence = 39.4%) and AN
(life-time prevalence = 30.8%). In BN, life-time smoking prevalence was highest
in Europe. In AN, higher age moderated both life-time and current smoking
prevalence, and body mass index moderated higher life-time smoking prevalence. In
BN, female sex moderated higher life-time smoking prevalence. CONCLUSIONS: People
with binge eating disorder and bulimia nervosa are significantly more likely to
be life-time smokers than healthy controls, which is not the case for anorexia
nervosa.
PMID- 27206672
TI - Reprogramming human A375 amelanotic melanoma cells by catalase overexpression:
Reversion or promotion of malignancy by inducing melanogenesis or metastasis.
AB - Advanced melanoma is the most aggressive form of skin cancer. It is highly
metastatic and dysfunctional in melanogenesis; two processes that are induced by
H2O2. This work presents a melanoma cell model with low levels of H2O2 induced by
catalase overexpression to study differentiation/dedifferentiation processes.
Three clones (A7, C10 and G10) of human A375 amelanotic melanoma cells with quite
distinct phenotypes were obtained. These clones faced H2O2 scavenging by two main
strategies. One developed by clone G10 where ROS increased. This resulted in G10
migration and metastasis associated with the increased of cofilin-1 and CAP1. The
other strategy was observed in clone A7 and C10, where ROS levels were maintained
reversing malignant features. Particularly, C10 was not tumorigenic, while A7
reversed the amelanotic phenotype by increasing melanin content and melanocytic
differentiation markers. These clones allowed the study of potential
differentiation and migration markers and its association with ROS levels in
vitro and in vivo, providing a new melanoma model with different degree of
malignancy.
PMID- 27206674
TI - Are TKIs favourable for the elderly with non-small-cell lung cancer?
AB - Background: Epidermal Growth Factor Receptor (EGFR) tyrosine-kinase inhibitors
(TKIs) have changed treatment strategies for patients with advanced non-small
cell lung cancer (NSCLC) harbouring mutations in EGFR gene. This retrospective
analysis assessed efficacy and safety of TKIs in elderly compared to younger
patients. Patients and methods: 49 patients with advanced NSCLC and mutations in
exon 19 or 21 receiving a first-line therapy with TKIs were included and divided
into patients aged <70 years and patients aged >= 70 years. Primary endpoints
were progression free survival (PFS), response rate (RR) and clinical benefit in
terms of quality of life; secondary endpoint was overall survival (OS). Results:
Median PFS was significantly longer in elderly in comparison to younger patients
(12.6 and 5.6 months, respectively; p= .008). RR was 64% in younger patients and
75% in elderly population. Eighteen out of 20(90%) elderly patients treated with
gefitinib experienced symptoms relief and upgrading of performance status. No
difference in terms of OS was found (p= .34). Conclusion: TKIs seem more
effective in elderly than in younger patients affected by NSCLC with an EGFR gene
mutation. We hypothesize that the main difference between the two populations is
the number of medications related to concomitant comorbidities that cause an
increased plasma level of TKIs.
PMID- 27206673
TI - Reprogramming human A375 amelanotic melanoma cells by catalase overexpression:
Upregulation of antioxidant genes correlates with regression of melanoma
malignancy and with malignant progression when downregulated.
AB - Reactive oxygen species (ROS) are implicated in tumor transformation. The
antioxidant system (AOS) protects cells from ROS damage. However, it is also
hijacked by cancers cells to proliferate within the tumor. Thus, identifying
proteins altered by redox imbalance in cancer cells is an attractive prognostic
and therapeutic tool. Gene expression microarrays in A375 melanoma cells with
different ROS levels after overexpressing catalase were performed. Dissimilar
phenotypes by differential compensation to hydrogen peroxide scavenging were
generated. The melanotic A375-A7 (A7) upregulated TYRP1, CNTN1 and UCHL1
promoting melanogenesis. The metastatic A375-G10 (G10) downregulated MTSS1 and
TIAM1, proteins absent in metastasis. Moreover, differential coexpression of AOS
genes (EPHX2, GSTM3, MGST1, MSRA, TXNRD3, MGST3 and GSR) was found in A7 and G10.
Their increase in A7 improved its AOS ability and therefore, oxidative stress
response, resembling less aggressive tumor cells. Meanwhile, their decrease in
G10 revealed a disruption in the AOS and therefore, enhanced its metastatic
capacity.These gene signatures, not only bring new insights into the
physiopathology of melanoma, but also could be relevant in clinical prognostic to
classify between non aggressive and metastatic melanomas.
PMID- 27206675
TI - Loss of T-cell quiescence by targeting Slfn2 prevents the development and
progression of T-ALL.
AB - T-cell acute lymphoblastic leukemia (T-ALL) is an aggressive malignancy of
thymocytes. Despite significant improvement in the treatment of T-ALL,
approximately 20% of children and most adults undergo relapse. Previous findings
demonstrated that loss of T-cell quiescence due to a mutation in the Slfn2 gene
(elektra) leads to acquisition of an aberrant developmental program by which T
cells lose their renewal capabilities and undergo apoptosis. Here we show that
the elektra mutation in Slfn2 completely prevents a severe lymphoproliferative
disease caused by overexpression of BCL2 in combination with Fas deficiency in
mice. Moreover, Slfn2 impaired-function protects mice from experimental disease
similar to human T-ALL by severely impairing the proliferation potential and
survival of leukemic T-cells, partially by activation of the p53 tumor suppressor
protein. Our study suggest that in certain malignancies, such as T-ALL, a novel
therapeutic strategy may be applied by imposing aberrant development of leukemic
cells. Furthermore, as the elektra mutation in Slfn2 seems to impair only T-cells
and monocytes, targeting Slfn2 is expected to be harmless to other cell types,
and thereby could be a promising target for treating malignancies. Together our
results demonstrate the potential of targeting Slfn2 and its human paralog for T
ALL treatment.
PMID- 27206677
TI - TSH inhibits SERCA2a and the PKA/PLN pathway in rat cardiomyocytes.
AB - Elevated thyroid-stimulating hormone (TSH) levels often accompany impaired LV
diastolic function and subtle systolic dysfunction in subclinical hypothyroidism
(sHT). These cardiac dysfunctions are characterized by increases in mean aortic
acceleration and pre-ejection/ejection time ratios. To explore the mechanism
underlying these pathologies, we investigated the effects of TSH on sarcoplasmic
reticulum calcium ATPase (SERCA2a) activity and expression in neonatal rat
cardiomyocytes. TSH inhibited SERCA2a activity and expression by binding to TSH
receptors in cardiomyocyte membranes and inhibiting the protein kinase
A/phoshpolamban (PKA/PLN) signaling pathway. These results suggest that increases
in serum TSH levels contribute to the development of cardiac diastolic and
systolic dysfunction.
PMID- 27206679
TI - Measuring motivation using the transtheoretical (stages of change) model: A
follow-up study of people who failed an online hearing screening.
AB - OBJECTIVE: Acceptance and readiness to seek professional help have shown to be
important factors for favourable audiological rehabilitation outcomes. Theories
from health psychology such as the transtheoretical (stages-of-change) model
could help understand behavioural change in people with hearing impairment. In
recent studies, the University of Rhode Island change assessment (URICA) has been
found to have good predictive validity. DESIGN: In a previous study, 224 Swedish
adults who had failed an online hearing screening completed URICA and two other
measures of stages of change. This follow-up aimed to: (1) determine prevalence
of help-seeking at a hearing clinic and hearing aid uptake, and (2) explore the
predictive validity of the stages of change measures by a follow-up on the 224
participants who had failed a hearing screening 18 months previously. STUDY
SAMPLE: A total of 122 people (54%) completed the follow-up online questionnaire,
including the three measures and questions regarding experience with hearing help
seeking and hearing aid uptake. RESULTS: Since failing the online hearing
screening, 61% of participants had sought help. A good predictive validity for a
one-item measure of stages of change was reported. CONCLUSIONS: The Staging
algorithm was the stages of change measure with the best ability to predict help
seeking 18 months later.
PMID- 27206678
TI - Utilization and impact of 21-gene recurrence score assay for breast cancer in
clinical practice across the United States: lessons learned from the 2010 to 2012
National Cancer Data Base analysis.
AB - The aim of our study is to investigate patient selection for the 21-gene
recurrence score assay (RS) for breast cancer (BC) and the RS impact on
chemotherapy administration (Chemo) in clinical practice across the United States
through the retrospective observational study of National Cancer Data Base (NCDB)
patients from 2010 to 2012. NCDB captures ~70 % of all newly diagnosed
malignancies in the USA annually. The 2010-2012 period depicts data from the
beginning of the NCDB that required recording of molecular assays and their data
release in April 2015. De-identified demographic and clinical variables of
patients that had RS results were analyzed. 513,080 patients had BC; 406,525 were
estrogen receptor-positive (ER+). 74,334/91,651 patients with RS recorded as a
numerical value (0-100) were analyzed (18.2 % of ER+). Patients' ages ranged from
18 to 90 (mean = 58.8, median = 59); 99.1 % were females. Patients of Caucasian
race, from regions with <7 % having no high school education, and >$63,000 median
household income were more likely to be tested than patients of other races,
education, or income (p < 0.001). 58.1 % of tests were performed in ER+/lymph
node-negative/>1 cm tumors; 16.4 % included >=N1 disease; 9.9 % included T1a, T3,
Stage III and IV, or HER2-positive cancers. Low-risk RS result had 92.2 %
negative predictive value for no Chemo. Intermediate-risk RS result had 40.1 %
positive predictive value (PPV); high-risk RS had 81.2 % PPV for Chemo. RS is
obtained in ~1/5 of ER + BC patients across the USA. Further studies
investigating influence and implementation of the newest evidence-based
management guidelines regarding patients' selection for RS test and chemotherapy
administration upon obtaining of test results are warranted.
PMID- 27206680
TI - B-type natriuretic peptide levels normalise in preterm infants without a patent
ductus arteriosus by the fifth postnatal day.
AB - AIM: Few published reports have established B-type natriuretic peptide (BNP)
levels in preterm infants without a patent ductus arteriosus (PDA). This study
addressed that gap in our knowledge by establishing a reference range for BNP
levels during the first two weeks of life in preterm infants without a PDA.
METHODS: We enrolled 36 preterm infants between 24 and 32 weeks of gestation in
this prospective, noninterventional study. Infants with a PDA, congenital heart
disease, possible or confirmed sepsis and, or, meningitis, or perinatal
depression requiring chest compressions were excluded. BNP levels were measured
on postnatal days one, five, 10 and 15, with an echocardiogram on day five.
Statistical analyses were performed using the ANOVA and Mann-Whitney U-tests.
RESULTS: BNP levels were significantly higher on day one than on days five, 10
and 15, and there was no statistical difference between days five, 10 and 15. The
levels were not statistically different between infants of less than and greater
than 29 weeks of gestation. CONCLUSION: BNP levels were significantly elevated on
postnatal day one in preterm infants without a PDA, but then decreased by day
five and continued to stay low after that. Gestational age did not have an effect
on BNP levels.
PMID- 27206676
TI - The inflammasome: an emerging therapeutic oncotarget for cancer prevention.
AB - Deregulated inflammation is considered to be one of the hallmarks of cancer
initiation and development regulation. Emerging evidence indicates that the
inflammasome plays a central role in regulating immune cells and cytokines
related to cancer. The inflammasome is a multimeric complex consisting of Nod
like receptors (NLRs) and responds to a variety of endogenous (damage-associated
molecular patterns) and exogenous (pathogen-associated molecular patterns)
stimuli. Several lines of evidence suggests that in cancer the inflammasome is
positively associated with characteristics such as elevated levels of IL-1beta
and IL-18, activation of NF-kappaB signaling, enhanced mitochondrial oxidative
stress, and activation of autophagic process. A number of NLRs, such as NLRP3 and
NLRC4 are also highlighted in carcinogenesis and closely correlate to
chemoresponse and prognosis. Although conflicting evidence suggested the duplex
role of inflammasome in cancer development, the phenomenon might be attributed to
NLRs difference, cell and tissue type, cancer stage, and specific experimental
conditions. Given the promising role of inflammasome in mediating cancer
development, precise elucidation of its signaling network and pathological
significance may lead to novel therapeutic options for malignancy therapy and
prevention.
PMID- 27206681
TI - Innate signaling by mycobacterial cell wall components and relevance for
development of adjuvants for subunit vaccines.
AB - INTRODUCTION: Pathogen recognition receptors (PRRs) recognize pathogen-associated
molecular patterns, triggering the induction of inflammatory innate responses and
contributing to the development of specific adaptive immune responses. Novel
adjuvants have been developed based on agonists of PRRs. Areas covered: Lipid
pathogen-associated molecular patterns (PAMPs) present in the cell wall of
mycobacteria are revised, with emphasis on agonists of C-type lectin receptors,
signaling pathways, and preclinical data supporting their use as novel adjuvants
inducing cell-mediated immune responses. Their potential use as lipid antigens in
novel tuberculosis subunit vaccines is also discussed. Expert commentary: Few
adjuvants are licensed for human use and mainly favour antibody-mediated
protective immunity. Use of lipid PAMPs that trigger cell-mediated immune
responses could lead to the development of adjuvants for vaccines against
intracellular pathogens and cancer.
PMID- 27206682
TI - Prevalence of Staphylococcus aureus from nares and hands on health care
professionals in a Portuguese Hospital.
AB - AIMS: The main goal was to estimate the prevalence of methicillin-resistant
Staphylococcus aureus on hands and in nose of health care professionals. METHODS
AND RESULTS: Detection of Staph. aureus on hands or in the nose of 169
individuals was performed. Nasal and hand carriage was found in 39.6 and in 8.9%
respectively. About 17.2% of the individuals were carriers of methicillin
resistant Staph. aureus (MRSA) in the nose and 4.7% on hands. The majority of
nasal MRSA were resistant to beta-lactams, erythromycin and ciprofloxacin. All
nasal MRSA were SCCmec type IV and Panton-Valentine leukocidin (PVL) negative.
One MRSA isolated from hand was SCCmec type V. About 75.6% of MRSA isolates
presented the same or closely related restriction patterns. Sixty per cent of
Staph. aureus from hands and from noses from the same individual were the same
strain. CONCLUSIONS: MRSA nasal carriage was high considering healthy health care
professionals but in accordance with high level of MRSA infection in Portugal.
Isolates recovered in this study seemed to be different from major clones
previously isolated in other Portuguese hospitals. SIGNIFICANCE AND IMPACT OF THE
STUDY: These findings may have implications on the knowledge of healthy health
care workers as vehicles of MRSA infections among the community. Presence of
several virulence factors may contribute to increased pathogenesis in case of
infection.
PMID- 27206683
TI - Efficient regulation of branching morphogenesis via fibroblast growth factor
receptor 2c in early-stage embryonic mouse salivary glands.
AB - Salivary gland (SG) defects have a wide range of health implications, including
xerostomia, bacterial infections, and oral health issues. Branching morphogenesis
is critical for SG development. A clear understanding of the mechanisms
underlying this process will accelerate SG regeneration studies. Fibroblast
growth factor receptor 2 (FGFR2) interacts with multiple fibroblast growth
factors (FGFs), which promote development. FGFR2 consists of two isoforms, FGFR2b
and FGFR2c. FGFR2b is critical for SG development, but little is known about the
expression and function of FGFR2c. We investigated the expression of all FGFR
family members in fetal SGs between embryonic day 12.5 (E12.5) and E18.5. Based
on RT-PCR, we observed an increase in the expression of not only Fgfr2b, but also
Fgfr2c in early-stage embryonic mouse SGs, suggesting that FGFR2c is related to
SG development. The branch number decreased in response to exogenous FGF2
stimulation, and this effect was suppressed by a mouse anti-FGFR2c neutralizing
antibody (NA) and siRNA targeting FGFR2c, whereas FGFR2b signaling was not
inhibited. Moreover, the expression of marker genes related to EMT was induced by
FGF2, and this expression was suppressed by the NA. These results suggested that
branching morphogenesis in SGs is regulated by FGFR2c, in addition to FGFR2b.
Interestingly, FGFR2c signaling also led to increased fgf10 expression, and this
increase was suppressed by the NA. FGFR2c signaling regulates branching
morphogenesis through the activation of FGFR2b signaling via increased FGF10
autocrine. These results provide new insight into the mechanisms by which
crosstalk between FGFR2b and FGFR2c results in efficient branching morphogenesis.
PMID- 27206684
TI - Negative interpretation bias as a mechanism of the relationship between rejection
sensitivity and depressive symptoms.
AB - Rejection sensitivity and negative cognitive biases have been identified as
important risk factors for depression. Rejection sensitivity is defined as the
tendency to anxiously anticipate, easily perceive, and overreact to rejection.
Although prior studies have found an association between one component of
rejection sensitivity, the tendency to anxiously anticipate rejection, and
depression, little is known about the mechanisms through which anxious
anticipation of rejection might confer depression risk. One possibility is that
rejection anticipation leads to negatively biased interpretations, a cognitive
risk factor for depression. Results from two studies (one cross-sectional, one
longitudinal) indicate that negative interpretation biases mediate the
association between the anxious anticipation of rejection and depressive
symptoms. These findings indicate that interpretation biases represent a
mechanism through which anxious anticipation of rejection confers risk for
depression, and suggest that interventions designed to challenge negative
interpretations may help to decrease depression risk among individuals who
anticipate rejection.
PMID- 27206685
TI - Significance of rostral brain lesions of Leigh syndrome associated with the
mitochondrial DNA 8993T > G mutation.
PMID- 27206686
TI - Anti-NMDA Receptor Encephalitis.
PMID- 27206687
TI - Current Concepts in the Management of Meconium Aspiration Syndrome.
AB - In developing countries, meconium aspiration syndrome (MAS) is an important cause
of morbidity and mortality among neonates. The concepts of pathophysiology and
management of meconium stained amniotic fluid (MSAF) and meconium aspiration
syndrome have undergone tremendous change in recent years. Routine intranatal and
postnatal endotracheal suctioning of meconium in vigorous infants is no longer
recommended. Recent studies have challenged its role even in non-vigorous
infants. Supportive therapy like oxygen supplementation, mechanical ventilation
and intravenous fluids are the cornerstone in the management of meconium
aspiration syndrome. Availability of surfactant, inhaled nitric oxide, high
frequency ventilators and extracorporeal membrane oxygenation has made it
possible to salvage more infants with meconium aspiration syndrome. In this
review the authors have discussed the current concepts in the pathophysiology and
management of MAS. Drugs in trials and future therapeutic targets are also
discussed briefly.
PMID- 27206688
TI - Floating Harbor Syndrome.
PMID- 27206689
TI - Interplay between mast cells, enterochromaffin cells, and sensory signaling in
the aging human bowel.
AB - BACKGROUND: Advanced age is associated with a reduction in clinical visceral pain
perception. However, the underlying mechanisms remain largely unknown. Previous
studies have suggested that an abnormal interplay between mast cells,
enterochromaffin (EC) cells, and afferent nerves contribute to nociception in
gastrointestinal disorders. The aim of this study was to investigate how aging
affects afferent sensitivity and neuro-immune association in the human bowel.
METHODS: Mechanical and chemical sensitivity of human bowel afferents were
examined by ex vivo afferent nerve recordings. Age-related changes in the density
of mast cells, EC cells, sensory nerve terminals, and mast cell-nerve micro
anatomical association were investigated by histological and immune staining. KEY
RESULTS: Human afferents could be broadly classified into subpopulations
displaying mechanical and chemical sensitivity, adaptation, chemo-sensitization,
and recruitment. Interestingly human bowel afferent nerve sensitivity was
attenuated with age. The density of substance P-immunoreactive (SP-IR) nerve
varicosities was also reduced with age. In contrast, the density of ileal and
colonic mucosal mast cells was increased with age, as was ileal EC cell number.
An increased proportion of mast cells was found in close apposition to SP-IR
nerves. CONCLUSIONS & INFERENCES: Afferent sensitivity in human bowel was reduced
with advancing age. Augmentation of mast cells and EC cell numbers and the mast
cell-nerve association suggest a compensatory mechanism for sensory
neurodegeneration.
PMID- 27206690
TI - The Effect of Cell Dose on the Early Magnetic Resonance Morphological Outcomes of
Autologous Cell Implantation for Articular Cartilage Defects in the Knee: A
Randomized Clinical Trial.
AB - BACKGROUND: Although autologous chondrocyte implantation (ACI) has been
established as a standard treatment for large full-thickness cartilage defects,
the effect of different doses of autologous chondrocyte products on structural
outcomes has never been examined. HYPOTHESIS: In ACI, the dose level may have an
influence on medium-term magnetic resonance morphological findings after
treatment. STUDY DESIGN: Randomized controlled trial; Level of evidence, 1.
METHODS: A total of 75 patients who underwent ACI using a pure, autologous, third
generation matrix-associated ACI product were divided into 3 groups representing
different doses: 3 to 7 spheroids/cm(2), 10 to 30 spheroids/cm(2), and 40 to 70
spheroids/cm(2). Magnetic resonance imaging was performed at 1.5, 3, 6, and 12
months after ACI and was evaluated by the magnetic resonance observation of
cartilage repair tissue (MOCART) score and the Knee injury and Osteoarthritis
Outcome Score (KOOS). RESULTS: MOCART scores showed improvements after 3 months,
with slight dose dependence, and further improvement after 12 months, although
without significant dose dependence. The mean MOCART scores after 3 months (0 =
worst, 100 = best) were 59.8, 64.5, and 64.7 for the low-, medium-, and high-dose
groups, respectively, and 62.9 for all patients; at 12 months, these were 74.1,
74.5, and 68.8 for the respective dose groups and 72.4 for all patients. Several
MOCART items (surface of repair tissue, structure of repair tissue, signal
intensity of repair tissue, subchondral bone, and synovitis) showed a more rapid
response with the medium and high doses than with the low dose, suggesting a
potential dose relationship. No significant correlation between the MOCART
(overall and subscores) with clinical outcomes as assessed by the overall KOOS
was detected at 3- and 12-month assessments. CONCLUSION: This study reveals a
trend toward earlier recovery after treatment with higher spheroid doses in terms
of better defect filling for full-thickness cartilage defects of the knee, while
outcomes after 12 months were similar in all dose groups. However, a correlation
with clinical outcomes or the failure rate at 1 year after ACI was not found. A
longer follow-up will be required for more definite conclusions on the clinical
relevance of ACI cell density to be drawn. REGISTRATION: NCT01225575
(ClinicalTrials.gov identifier); 2009-016816-20 (EudraCT number).
PMID- 27206691
TI - Structural Abnormalities on Magnetic Resonance Imaging in Patients With
Patellofemoral Pain: A Cross-sectional Case-Control Study.
AB - BACKGROUND: Structural abnormalities of the patellofemoral joint might play a
role in the pathogenesis of patellofemoral pain (PFP), a common knee problem
among young and physically active individuals. No previous study has investigated
if PFP is associated with structural abnormalities of the patellofemoral joint
using high-resolution magnetic resonance imaging (MRI). PURPOSE: To investigate
the presence of structural abnormalities of the patellofemoral joint on high
resolution MRI in patients with PFP compared with healthy control subjects. STUDY
DESIGN: Cross-sectional study; Level of evidence, 3. METHODS: Patients with PFP
and healthy control subjects between 14 and 40 years of age underwent high
resolution 3-T MRI. All images were scored using the Magnetic Resonance Imaging
Osteoarthritis Knee Score with the addition of specific patellofemoral features.
Associations between PFP and the presence of structural abnormalities were
analyzed using logistic regression analyses adjusted for age, body mass index
(BMI), sex, and sports participation. RESULTS: A total of 64 patients and 70
control subjects were included in the study. Mean +/- SD age was 23.2 +/- 6.4
years, mean BMI +/- SD was 22.9 +/- 3.4 kg/m(2), and 56.7% were female. Full
thickness cartilage loss was not present. Minor patellar cartilage defects,
patellar bone marrow lesions, and high signal intensity of the Hoffa fat pad were
frequently seen in both patients (23%, 53%, and 58%, respectively) and control
subjects (21%, 51%, and 51%, respectively). After adjustment for age, BMI, sex,
and sports participation, none of the structural abnormalities were statistically
significantly associated with PFP. CONCLUSION: Structural abnormalities of the
patellofemoral joint have been hypothesized as a factor in the pathogenesis of
PFP, but the study findings suggest that structural abnormalities of the
patellofemoral joint on MRI are not associated with PFP.
PMID- 27206692
TI - Long-term Survivorship and Function of Meniscus Transplantation.
AB - BACKGROUND: Clinical outcomes and survivorship of meniscus transplants remain
unclear, especially when magnetic resonance imaging (MRI) and weightbearing
radiographic findings are included as endpoints. Many studies calculate
survivorship based only on subsequent operative procedures. PURPOSE: We
prospectively determined long-term survivorship and functional outcomes of 72
consecutive meniscus transplants. The effects of articular cartilage damage,
concurrent osteochondral autograft transfer (20 knees), patient age, and
tibiofemoral compartment were evaluated. STUDY DESIGN: Case series; Level of
evidence, 4. METHODS: The long-term function and survival rates of 69 of 72
consecutive medial and lateral bone-meniscus-bone transplants (96% follow-up)
were determined. Survival endpoints of reoperations, MRI failure (grade 3 signal
intensity, extrusion >50% of meniscal width), meniscal tear on examination, and
radiographic loss of joint space provided a worst-case outcome. Long-term
functional analysis was performed in 58 transplants a mean of 11.9 +/- 3.2 years
postoperatively. The Cincinnati and International Knee Documentation Committee
rating systems were used to evaluate outcomes. RESULTS: For all transplants, the
estimated probability of survival was 85% at 2 years, 77% at 5 years, 69% at 7
years, 45% at 10 years, and 19% at 15 years. There were significant improvements
for pain, swelling, walking, stair climbing, and patient knee rating (P < .05).
Further surgery was performed in 37 cases. Knees that had concurrent
osteochondral autograft transfer had significantly lower survival rates beginning
at the seventh postoperative year; however, there was no significant difference
in the long-term symptom, function, and patient perception scores between these
knees and the rest of the cohort. The factors of articular cartilage damage
(grade 2B/3 vs none), patient age (<30 years vs 30-49 years), and tibiofemoral
compartment (medial vs lateral) had no significant effect on the survival,
symptom, or functional analyses. CONCLUSION: A survival analysis that includes
reoperations, MRI, radiographs, and a comprehensive examination provides a worst
case but realistic analysis of transplant function. Many patients experienced a
short- and long-term benefit of reduced symptoms and improved function. However,
meniscus transplants undergo a deleterious remodeling process and eventually
fail. Patients should be advised that the procedure is not curative in the long
term, and additional surgery will likely be required.
PMID- 27206693
TI - Functional abilities in aging women with Rett syndrome - the Danish cohort.
AB - : Rett syndrome (RTT) is a neurodevelopmental disorder, which mainly affects
females and results in multiple disabilities. Many clinical descriptions of the
symptoms and functional abilities have been made medically, though mainly in
children with RTT. Previous reports have established that even though the
syndrome causes severe psychomotor disability, women with RTT can live long into
adulthood. PURPOSE: We aim to describe what to expect from aging women with RTT
regarding some of the basic functional abilities that are used in daily
activities and that could have an impact on quality of life in these women.
METHODS: A team of two medical doctors, a physiotherapist and an educational
psychological adviser, performed clinical evaluations of 27 women with RTT in
Denmark above 30 years of age and confirmed MECP2 mutation. RESULTS: We found
that 63% of the women were able to walk outside their homes and only 11% were not
able to walk at all. However, 67% could not transfer from sitting to standing
position without support. There was profound difficulties communicating, but
85.1% of the women could either consistently point with their hand or eyes to
things of their interest. CONCLUSIONS: Women with RTT are very dependent on
caregivers who maintain and rehabilitate their functional abilities. They can
often walk short distances unassisted, but do have trouble transferring and thus
getting up from a chair on their own. They have severe problems communicating and
they often perform subtle signs that can be difficult to recognize. Implications
for rehabilitation 3/4 of aging RTT women are household ambulators - daily
training of motor functions and focus on assisting the initiation of movements
are needed lifelong to maintain walking ability and participation in daily
activities More than half of aging women with RTT can grab on to things - persons
with hand function should be motivated to use this ability in the context of
eating Communication is a difficult task especially for the aging RTT women -
Communicative signs, their meaning and how to react to them should be written
down for every woman in an easy accessible way to all caregivers The majority of
aging RTT women can point out things of interest - they should be given the
opportunity to participate in choice making.
PMID- 27206694
TI - Sulfation pathways in plants.
AB - Plants take up sulfur in the form of sulfate. Sulfate is activated to adenosine
5'-phosphosulfate (APS) and reduced to sulfite and then to sulfide when it is
assimilated into amino acid cysteine. Alternatively, APS is phosphorylated to 3'
phosphoadenosine 5'-phosphosulfate (PAPS), and sulfate from PAPS is transferred
onto diverse metabolites in its oxidized form. Traditionally, these pathways are
referred to as primary and secondary sulfate metabolism, respectively. However,
the synthesis of PAPS is essential for plants and even its reduced provision
leads to dwarfism. Here the current knowledge of enzymes involved in sulfation
pathways of plants will be summarized, the similarities and differences between
different kingdoms will be highlighted, and major open questions in the research
of plant sulfation will be formulated.
PMID- 27206695
TI - The interaction of perfluorooctane sulfonate with hemoglobin: Influence on
protein stability.
AB - Perfluorooctane sulfonate (PFOS) is among the most prominent xenobiotics
contaminants in human blood. To evaluate the toxicity of PFOS at the protein
level, the influences of PFOS on the stability and conformation of hemoglobin
(Hb) has been investigated by circular dichroism (CD), UV-vis, and fluorescence
spectroscopic methods and molecular modeling. CD spectral data indicated that the
binding process of PFOS with Hb induced the relatively large changes in secondary
structure of protein. Thermal denaturation of Hb, when carried out in the
presence of PFOS, also indicated that PFOS acted as a structure destabilizer for
protein. UV-vis, and fluorescence spectroscopic data indicated that the tertiary
structures of Hb were also changed by PFOS binding. Hb did undergo significant
changes in the heme group symmetry, implying that the functions of Hb could be
disturbed by PFOS. In addition, molecular modeling study shows that PFOS could
enter into the binding cavity of Hb by many noncovalent interactions. Overall,
these data provide a mechanist explanation for the longer biological half-life of
PFOS in human blood and provide useful information that could be associated with
the toxicity of PFOS.
PMID- 27206696
TI - A novel therapeutic application of solid lipid nanoparticles encapsulated
thymoquinone (TQ-SLNs) on 3-nitroproponic acid induced Huntington's disease-like
symptoms in wistar rats.
AB - Huntington's disease (HD), a devastating neurodegenerative disease causing a
remarkable pathogenesis involves mitochondrial dysfunction and bioenergetics
failure. 3-Nitropropionic acid (3-NP) is a unique toxin model of HD that are
mainly confined to mitochondrial complex-II inhibition and free radical
generation. Recently, several nanoparticle formulations were developed to treat
against various neurodegenerative diseases including HD. One among them is solid
lipid nanoparticles (SLNs), a colloidal carrier designed to enhance the brain
drug delivery and to prolong the bio-availability of drugs in the system. Hence,
the present study was framed to evaluate solid lipid nanoparticles encapsulated
thymoquinone (TQ-SLNs) in comparison with thymoquinone suspension (TQ-S) against
3-NP induced behavioral despair, oxidative injury and striatal pathology. This
study reports that theTQ-SLNs (10 and 20 mg/kg) and TQ-S (80 mg/kg) treated
animals showed a significant (P < 0.01) improvement in the muscle strength,
rigidity, movement and memory performances on 7th and 14th day behavioral
analysis than TQ-S (40 mg/kg) treated group. Similarly, TQ-SLNs highly attenuated
the levels of oxidative stress markers such as LPO, NO and protein carbonylsin 3
NP induced animals. Further, TQ-SLNs significantly restored the antioxidant
defense system, controls the mitochondrial SDH inhibition and alleviates anti
cholinergic effect upon 3-NP induction. In addition, TQ-SLNs efficiently
protected the striatal structural microelements against 3-NP toxicity, which was
confirmed by light microscopic studies. Thus, the present investigation,
collectively suggests that the low dose of TQ-SLNs supplementation is highly
sufficient to attain the effect of TQ-S (80 mg/kg) to attenuate behavioral,
biochemical and histological modifications in 3-NP exposed HD model.
PMID- 27206697
TI - The ESPEN guidelines on enteral nutrition-Geriatrics: Need for its promotion in
practice.
PMID- 27206698
TI - Dietary patterns and colorectal cancer.
AB - BACKGROUND & AIMS: Dietary pattern and lifestyle have been reported to be
important risk factors in the development of colorectal cancer (CRC). However,
the mechanism of action of dietary factors in CRC disease is unclear. The aim of
this study is the examination of several dietary choices and their potential
association with the risk of developing CRC. METHODS: Dietary data was collected
from 220 subjects who were previously diagnosed with CRC, and 281 control
subjects (matched by age, gender, occupation and marital status). The data was
collected between January 2010 and December 2012, using interview-based
questionnaires. Multivariate logistic regression was used to estimate the
relationship between dietary choices and risk of developing colorectal cancer.
RESULTS: Factor analysis revealed three major dietary patterns. The first pattern
we identified as the "Healthy Pattern", the second was identified as "High
Sugar/High Tea Pattern" and the third as "Western Pattern". In the Healthy
Pattern group we found a 10.54% variation in food intake, while the intake
variation was 11.64% in the Western Pattern. After adjusting for confounding
factors, the Western Pattern food choice was found to be significantly associated
with an increased risk of developing CRC (OR = 1.88; 95% CI = 1.12-3.16). The
results for the Healthy and High-Sugar/High Tea Patterns showed a decrease, but
the statistic was not significant for the risk of CRC development. CONCLUSION:
The Western Pattern of dietary choice was directly associated with CRC. The
association between the dietary food choice in the Healthy and High-Sugar/High
Tea Patterns and colorectal cancer needs further study in our Jordanian
population.
PMID- 27206699
TI - Etiological factors associated with chronic suppurative otitis media in a
population of Han adults in China.
AB - OBJECTIVE: Risk factors for chronic suppurative otitis media (CSOM) were not
clearly established. The study was to investigate the etiological factors for
CSOM from a population of Han adults in China. METHODS: A case-control study was
conducted at Second Affiliated Hospital of Xi'an Jiaotong University, School of
Medicine in China. A total of 416 individuals participated in this study, which
included 206 cases and 210 controls. RESULTS: Multivariate logistic regression
analysis revealed male (OR = 0.42; 95% CI: 0.21-0.83), BMI increasing (OR = 0.85;
95% CI: 0.77-0.93), URTI (OR = 152.85; 95% CI: 34.11-684.93), smoke/passive smoke
(OR = 7.11; 95% CI: 3.36-15.07), residential location (urban area) (OR = 0.27;
95% CI: 0.13-0.56), serum calcium increasing (OR = 0.09; 95% CI: 0.01-0.71) were
prime risk factors for CSOM. Univariate analysis revealed that low socioeconomic
status (OR= 2.33; 95% CI: 1.57-3.45) and hepatitis B (OR = 4.90; 95% CI: 1.82
13.21) were risk factors together with the above variables. CONCLUSION: This
study has identified several variables as risk factors for CSOM, suggesting
better healthcare, living condition, as well as better nutrition might decrease
the development of CSOM. Further studies are necessary to assess the outcome of
CSOM after interventions in the etiological factors.
PMID- 27206700
TI - Amelioration of Benzo[a]pyrene-induced oxidative stress and pulmonary toxicity by
Naringenin in Wistar rats: A plausible role of COX-2 and NF-kappaB.
AB - Naringenin is a naturally occurring flavanones and has been found to exhibit free
radical scavenging, enzyme inhibition, antioxidants, anti-inflammatory, and
anticancer activities. Present study was designed to evaluate the protective role
of naringenin against benzo[a]pyrene (B[a]P)-induced oxidative stress and
pulmonary toxicity. Rats were treated with naringenin at a dose of 100 mg/kg body
weight (b. wt.), by oral gavage. B[a]P in a single dose of 50 mg/kg b. wt. was
given intraperitoneally. Total protein, total cell counts, lactate dehydrogenase,
lipid peroxidation, reduced glutathione, antioxidant enzymes activities, lung
histology and expression of nuclear factor kappa B (NF-kappaB), and cyclo
oxygenase-2 (COX-2) was assessed to evaluate protective effects of naringenin.
Histopathological and immunohistochemical studies were also carried out to
observe lung toxicity and inflammation. B[a]P administration enhanced the levels
of lung injury markers and reduced antioxidant enzymes activities. Naringenin
treatment attenuated the levels of oxidative stress by restoring antioxidant
enzymes, further improved lung histological damage and significant decrease in
inflammatory responses. Naringenin also effectively decreased the expression of
NF-kappaB, and COX-2 induced by B[a]P. These findings suggest that naringenin
supplementation is beneficial in maintaining the integrity of alveoli and the
epithelium that may be used as a protective agent in B[a]P-induced oxidative
stress and lung damage. However, further studies are warranted to elucidate the
potential mechanism of action of naringenin.
PMID- 27206701
TI - Evaluation of antioxidant and cytotoxic effects of olivetoric and physodic acid
in cultured human amnion fibroblasts.
AB - It is known that lichens are utilized for the treatment of many diseases
including ulcer, diabetes, and cancer for many years. Secondary metabolites in
the structure of the lichens provide various activity properties for them. In the
present study, cytotoxic and oxidative effects of main constituents of
Pseudevernia furfuracea (L.) Zopf (Parmeliaceae), olivetoric acid (OA), and
physodic acid (PA) were investigated on cultured human amnion fibroblasts (HAFs).
OA and PA were isolated from P. furfuracea using column chromatography and their
structures were determined by proton nuclear magnetic resonance and carbon-13
nuclear magnetic resonance. HAFs were incubated during 48 h in the presence of OA
and PA, at different concentrations from 6.25 mg/L to 200 mg/L. OA showed higher
cytotoxicity than PA. In fact, median inhibitory concentration values of OA and
PA were 571.27 and 3373.69 mg/L, respectively. The lower concentrations (<50
mg/L) of OA and PA did not cause oxidative stress and genotoxicity; furthermore,
they supported anti-oxidative capacity of HAFs. Therefore, all these data
suggested that both tested metabolites, especially PA might be developed as
natural health medicine to protect human body against oxidative stress and
genotoxicity. As far as we know, this is the first report on the cytotoxic and
anti-oxidative activities of OA and PA on HAFs.
PMID- 27206703
TI - Rare cause of recurrent urticaria in childhood and its unusual presentation:
Solitary mastocytoma on vulva.
PMID- 27206702
TI - Albumin as marker for susceptibility to metal ions in metal-on-metal hip
prosthesis patients.
AB - Metal-on-metal (MoM) hip prostheses are known to release chromium and cobalt
(Co), which negatively affect the health status, leading to prosthesis explant.
Albumin (ALB) is the main serum protein-binding divalent transition metals. Its
binding capacity can be affected by gene mutations or modification of the protein
N-terminal region, giving the ischaemia-modified albumin (IMA). This study
evaluated ALB, at gene and protein level, as marker of individual susceptibility
to Co in MoM patients, to understand whether it could be responsible for the
different management of this ion. Co was measured in whole blood, serum and urine
of 40 MoM patients. A mutational screening of ALB was performed to detect links
between mutations and metal binding. Finally, serum concentration of total ALB
and IMA were measured. Serum total ALB concentration was in the normal range for
all patients. None of the subjects presented mutations in the investigated gene.
Whole blood, serum and urine Co did not correlate with serum total ALB or IMA,
although IMA was above the normal limit in most subjects. The individual
susceptibility is very important for patients' health status. Despite the limited
results of this study, we provide indications on possible future investigations
on the toxicological response to Co.
PMID- 27206704
TI - Intrinsic Defect in Keratinocyte Function Leads to Inflammation in Hidradenitis
Suppurativa.
AB - Hidradenitis suppurativa (HS) is a chronic, inflammatory, debilitating,
follicular disease of the skin. Despite a high prevalence in the general
population, the physiopathology of HS remains poorly understood. The use of
antibiotics and immunosuppressive agents for therapy suggests a deregulated
immune response to microflora. Using cellular and gene expression analyses, we
found an increased number of infiltrating CD4(+) T cells secreting IL-17 and IFN
gamma in perilesional and lesional skin of patients with HS. By contrast, IL-22
secreting CD4(+) T cells are not enriched in HS lesions contrasting with
increased number of those cells in the blood of patients with HS. We showed that
keratinocytes isolated from hair follicles of patients with HS secreted
significantly more IL-1beta, IP-10, and chemokine (C-C motif) ligand 5 (RANTES)
either constitutively or on pattern recognition receptor stimulations. In
addition, they displayed a distinct pattern of antimicrobial peptide production.
These findings point out a functional defect of keratinocytes in HS leading to a
balance prone to inflammatory responses. This is likely to favor a permissive
environment for bacterial infections and chronic inflammation characterizing
clinical outcomes in patients with HS.
PMID- 27206705
TI - Epicutaneous and Oral Low-Zone Tolerance Protects from Colitis in Mice.
AB - Tolerance to environmental antigens that encounter the organism at interfaces
like skin or gut prevents deleterious systemic immune responses. The aim of this
study was to analyze whether and how low doses of haptens, by entry through the
skin or gastrointestinal tract, affect the outcome of the predominantly Th1/Th17
mediated 2,4,6-trinitro-benzenesulfonic acid-induced colitis, which mimics an
autoimmune bowl disease in man. Epicutaneous and oral applications of low doses
of the allergen resulted in the induction of low-zone tolerance (LZT) and
protected from colitis development, demonstrated by a significantly reduced
inflammatory response of the gut in vivo. In line with this observation, we found
a significantly diminished Th1/Th17-mediated T cell response and reduced T cell
proliferation after both tolerance regimes, indicating that epicutaneous LZT is
just as well efficient as oral tolerance in prevention of a gut-associated
inflammatory immune response. Use of a second, unrelated hapten for LZT induction
revealed an antigen-specific tolerance mechanism. Intriguingly, in the absence of
hapten-activated CD4(+)CD25(+)Foxp3(+) regulatory T cells and IL-10, epicutaneous
and oral LZT failed to abrogate the development of the intestinal inflammation.
In conclusion, this study highlights in particular epicutaneous immunotherapies
in the form of LZT through activation of CD4(+)CD25(+)Foxp3(+) regulatory T cells
as treatment strategies for inflammatory, allergic, or autoimmune diseases.
PMID- 27206707
TI - [Specialized neurological neurosurgical intensive care medicine].
AB - In Germany dedicated neurological-neurosurgical critical care (NCC) is the
fastest growing specialty and one of the five big disciplines integrated within
the German critical care society (Deutsche Interdisziplinare Vereinigung fur
Intensiv- und Notfallmedizin; DIVI). High-quality investigations based on
resilient evidence have underlined the need for technical advances, timely
optimization of therapeutic procedures, and multidisciplinary team-work to treat
those critically ill patients. This evolution has repeatedly raised questions,
whether NCC-units should be run independently or better be incorporated within
multidisciplinary critical care units, whether treatment variations exist that
impact clinical outcome, and whether nowadays NCC-units can operate cost
efficiently? Stroke is the most frequent disease entity treated on NCC-units, one
of the most common causes of death in Germany leading to a great socio-economic
burden due to long-term disabled patients. The main aim of NCC employs
surveillance of structural and functional integrity of the central nervous system
as well as the avoidance of secondary brain damage. However, clinical evaluation
of these severely injured commonly sedated and mechanically ventilated patients
is challenging and highlights the importance of neuromonitoring to detect
secondary damaging mechanisms. This multimodal strategy not only requires medical
expertise but also enforces the need for specialized teams consisting of
qualified nurses, technical assistants and medical therapists. The present
article reviews most recent data and tries to answer the aforementioned
questions.
PMID- 27206708
TI - [Wender-Reimherr self-report questionnaire on adult ADHD : German version].
AB - BACKGROUND: The Wender-Reimherr adult attention deficit disorder scale (WRAADDS)
is an internationally accepted attention-deficit hyperactivity disorder (ADHD)
interview with an authorized German translation. The WRAADS not only assesses the
symptoms of ADHD but also the severity of the symptoms using the Utah criteria.
With the aim of economizing the diagnostic process the original English version
of the Wender-Reimherr self-report questionnaire was translated into German (WR
SB) in order to evaluate the test psychometric properties. OBJECTIVES: To explore
the psychometric properties of the WR-SB within a classical test theory
framework. MATERIAL AND METHODS: The psychometric properties of the WR-SB were
determined in a population of 122 adult ADHD patients and 79 healthy controls.
RESULTS: For the individual WR-SB subscales the results showed an adequate
discriminatory power and a high internal consistency. The correlation between the
WRAADS and the WR-SB was significant and the retest reliability was high.
CONCLUSION: The WR-SB is a brief, self-report instrument and an economical
alternative to assess adult ADHD symptomatology using the Utah criteria. The
results of this evaluation study showed the WR-SB to be a valid and reliable
measurement for both clinical and research purposes.
PMID- 27206706
TI - Cross-Disease Transcriptomics: Unique IL-17A Signaling in Psoriasis Lesions and
an Autoimmune PBMC Signature.
AB - Transcriptome studies of psoriasis have identified robust changes in mRNA
expression through large-scale analysis of patient cohorts. These studies,
however, have analyzed all mRNA changes in aggregate, without distinguishing
between disease-specific and nonspecific differentially expressed genes (DEGs).
In this study, RNA-seq meta-analysis was used to identify (1) psoriasis-specific
DEGs altered in few diseases besides psoriasis and (2) nonspecific DEGs similarly
altered in many other skin conditions. We show that few cutaneous DEGs are
psoriasis specific and that the two DEG classes differ in their cell type and
cytokine associations. Psoriasis-specific DEGs are expressed by keratinocytes and
induced by IL-17A, whereas nonspecific DEGs are expressed by inflammatory cells
and induced by IFN-gamma and tumor necrosis factor. Peripheral blood mononuclear
cell-derived DEGs were more psoriasis specific than cutaneous DEGs. Nonetheless,
peripheral blood mononuclear cell DEGs associated with major histocompatibility
complex class I and natural killer cells were commonly downregulated in psoriasis
and other autoimmune diseases (e.g., multiple sclerosis, sarcoidosis, and
juvenile rheumatoid arthritis). These findings demonstrate "cross-disease"
transcriptomics as an approach to gain insights into the cutaneous and
noncutaneous psoriasis transcriptomes. This highlighted unique contributions of
IL-17A to the cytokine network and uncovered a blood-based gene signature that
links psoriasis to other diseases of autoimmunity.
PMID- 27206709
TI - The biasing effect of the "sexually violent predator" label on legal decisions.
AB - Public fear has driven legislation designed to identify and exclude sexual
offenders from society, culminating in sexually violent predator (SVP) statutes,
in which a sex offender who has served his prison sentence is hospitalized
indefinitely if a jury determines that he is likely to reoffend as a result of a
mental disorder. Jurors rarely vote not to commit a previously-convicted sex
offender as an SVP. This study tests whether the mere label of "sexually violent
predator" affects these legal decisions. Venire jurors (n=161) were asked to
decide whether an individual who had been incarcerated for 16years should be
released on parole. The individual was either labeled as a.) a sexually violent
predator or b.) a convicted felon, and all other information was identical
between the conditions. Jurors were over twice as likely to deny parole to the
SVP compared to the felon, even though they did not consider him any more
dangerous or any more likely to reoffend. Demographic variables did not moderate
this finding. However, jurors' desire to 'get revenge' and to 'make the offender
pay', as measured by Gerber and Jackson's (2013) Just Deserts Scale, did
significantly relate to decisions to deny parole. These findings suggest that
jurors' decisions in SVP hearings are driven by legally impermissible
considerations, and that the mere label of "sexually violent predator" induces
bias into the decision making process.
PMID- 27206710
TI - Workplace psychological harassment: Gendered exposures and implications for
policy.
AB - This article reports on the results of an empirical study of working conditions
including psychological harassment (workplace bullying) in the province of
Quebec, Canada, the first North American jurisdiction to regulate psychological
harassment in its labor legislation. All empirical data provided in this article
was drawn from the Quebec Survey on Working, Employment and Occupational Health
and Safety Conditions, conducted through 5071 telephone interviews of a
representative sample of Quebec workers, including the self-employed. Here we
focus on employees, and provide bivariate and multivariate analyses. All analyses
were stratified by gender. We provide a portrait of exposure to psychological
harassment, and exposure to other psychosocial factors in the workplace
associated with exposure to psychological harassment. Results show associations
between exposure to psychological harassment and negative health measures
including psychological distress, symptoms of depression, traumatic work
accidents, musculoskeletal disorders and negative perception of health status. We
report on steps taken by employees to put an end to the harassment. Gender
similarities and differences in exposure, associated risk factors, health
measures and strategies are presented and discussed in light of the legal context
in which the study took place. We conclude with recommendations for prevention
strategies that take into consideration the gender composition of the workplace.
PMID- 27206711
TI - Metabolic improvement of morbid obese patients following Roux-en-Y gastric bypass
surgery: A prospective study in Mashhad, Iran.
AB - BACKGROUND AND AIM: Obesity is one of the greatest public health concerns
worldwide. Weight loss surgeries have been increased in recent decades due to the
world's epidemic of obesity. The aim of this prospective study is investigating
metabolic factors of morbid obese patients following Roux-en-Y gastric bypass
surgery. METHODS: This was a nonrandomized prospective cohort study conducted
from 2010 to 2013 on 60 consecutive patients who had body mass index (BMI) of
more than 40 kg/m(2) and met the surgical indication criteria of bariatric
surgery. Upon discharge, patients were followed in outpatient clinic of Qaem
Hospital, Mashhad, Iran, each 3 months for 12 months. Measurement of
anthropometric and metabolic indices was done in each postoperative visit.
RESULTS: Mean BMI reduction was 15.26 +/- 3.45 kg/m(2) in the patients with an
average value of 28.84 +/- 3.94 (range from 22 to 40 kg/m(2)), which was
significantly lower than the base value (p < 0.001). After a 12-month follow up,
patients had lower low-density lipoprotein, triglycerides, and total cholesterol
(p < 0.001 for all the variables), while achieving a greater high-density
lipoprotein (p = 0.004). An improvement was seen in all of hypertensive patients
after a 3-month follow up and blood pressure remained within normal limit in
further follow ups. Complete remission was observed in all the patients with
obstructive sleep apnea. CONCLUSION: It appears reasonable that multidisciplinary
treatment including surgical alternatives should be concerned for all morbidly
obese patients, considering high rate of failure of conservative medical therapy
in this setting.
PMID- 27206713
TI - Synovial fluid proteins are required for the induction of interleukin-1beta
production by monosodium urate crystals.
AB - OBJECTIVES: Monosodium urate (MSU) crystal deposition in gouty joints promotes
the release of inflammatory mediators, in particular interleukin (IL)-1beta. The
induction of IL-1beta production by MSU crystals requires a co-stimulus. The
objective of this study was to determine which part of the synovial fluid (SF)
provides co-stimulation to MSU crystals to induce IL-1beta in macrophages.
METHOD: The lipidic fraction (LF) and the protein fraction (PF) were isolated
from the SF of patients with arthropathies. The PF was subfractionated according
to different molecular weight (MW) ranges. THP-1 cells or human primary monocytes
were stimulated with MSU crystals in the presence or absence of SF or SF
fractions. IL-1beta and IL-8 production and IL-1beta mRNA expression were
assessed by an enzyme-linked immunosorbent assay (ELISA) and quantitative real
time polymerase chain reaction (qPCR). RESULTS: Exposure of monocytes/macrophages
to MSU crystals alone induced the moderate release of IL-8 but not of IL-1beta.
The production of IL-1beta required the presence of both SF from patients with
inflammatory arthritis (SFi) and MSU crystals. SF from patients with non
inflammatory arthritis, that is patients with osteoarthritis (OA), did not affect
the IL-1beta production but slightly enhanced the secretion of IL-8. Both MSU
crystals and SFi were required for the induction of the IL-1beta transcript,
which was not expressed in the presence of either stimulus alone. SFi
fractionation demonstrated that the MSU crystal co-stimulus was contained in the
PF of SFi with MW > 50 kDa but not in the LF. CONCLUSIONS: This study shows that
the SF of inflammatory arthritis patients, including gout patients, contains
proteins required for the induction of IL-1beta by MSU crystals in macrophages
whereas lipids are not involved.
PMID- 27206712
TI - Pathophysiological mechanisms in acute pancreatitis: Current understanding.
AB - The precise mechanisms involved in the pathophysiology of acute pancreatitis (AP)
are still far from clear. Several earlier studies have focused mainly on
pancreatic enzyme activation as the key intracellular perturbation in the
pancreatic acinar cells. For decades, the trypsin-centered hypothesis has
remained the focus of the intra-acinar events in acute pancreatitis. Recent
advances in basic science research have lead to the better understanding of
various other mechanisms such as oxidative and endoplasmic stress, impaired
autophagy, mitochondrial dysfunction, etc. in causing acinar cell injury. Despite
all efforts, the clinical outcome of patients with AP has not changed
significantly over the years. This suggests that the knowledge of the critical
molecular pathways in the pathophysiology of AP is still limited. The mechanisms
through which the acinar cell injury leads to local and systemic inflammation are
not well understood. The role of inflammatory markers and immune system
activation is an area of much relevance from the point of view of finding a
target for therapeutic intervention. Some data are available from experimental
animal models but not much is known in human pancreatitis. This review intends to
highlight the current understanding in this area.
PMID- 27206714
TI - An evaluation of computerized adaptive testing for general psychological
distress: combining GHQ-12 and Affectometer-2 in an item bank for public mental
health research.
AB - BACKGROUND: Recent developments in psychometric modeling and technology allow
pooling well-validated items from existing instruments into larger item banks and
their deployment through methods of computerized adaptive testing (CAT). Use of
item response theory-based bifactor methods and integrative data analysis
overcomes barriers in cross-instrument comparison. This paper presents the joint
calibration of an item bank for researchers keen to investigate population
variations in general psychological distress (GPD). METHODS: Multidimensional
item response theory was used on existing health survey data from the Scottish
Health Education Population Survey (n = 766) to calibrate an item bank consisting
of pooled items from the short common mental disorder screen (GHQ-12) and the
Affectometer-2 (a measure of "general happiness"). Computer simulation was used
to evaluate usefulness and efficacy of its adaptive administration. RESULTS: A
bifactor model capturing variation across a continuum of population distress
(while controlling for artefacts due to item wording) was supported. The numbers
of items for different required reliabilities in adaptive administration
demonstrated promising efficacy of the proposed item bank. CONCLUSIONS:
Psychometric modeling of the common dimension captured by more than one
instrument offers the potential of adaptive testing for GPD using individually
sequenced combinations of existing survey items. The potential for linking other
item sets with alternative candidate measures of positive mental health is
discussed since an optimal item bank may require even more items than these.
PMID- 27206715
TI - Complex Haploinsufficiency-Based Genetic Analysis of the NDR/Lats Kinase Cbk1
Provides Insight into Its Multiple Functions in Candida albicans.
AB - Although the analysis of genetic interactions and networks is a powerful approach
to understanding biology, it has not been applied widely to the pathogenic yeast
Candida albicans Here, we describe the use of both screening and directed genetic
interaction studies based on complex haploinsufficiency to probe the function of
the R: egulation of A: ce2 and M: orphogenesis (RAM) pathway in C. albicans A
library of 5200 Tn7-mutagenized derivatives of a parental strain heterozygous at
CBK1, the key kinase in the RAM pathway, was screened for alterations in serum
induced filamentation. Following confirmation of phenotypes and identification of
insertion sites by sequencing, a set of 36 unique double heterozygous strains
showing complex haploinsufficiency was obtained. In addition to a large set of
genes regulated by the RAM transcription factor Ace2, genes related to cell wall
biosynthesis, cell cycle, polarity, oxidative stress, and nitrogen utilization
were identified. Follow-up analysis led to the first demonstration that the RAM
pathway is required for oxidative stress tolerance in a manner related to the two
component-regulated kinase Chk1 and revealed a potential direct connection
between the RAM pathway and the essential Mps1 spindle pole-related kinase. In
addition, genetic interactions with CDC42-related genes MSB1, a putative scaffold
protein, and RGD3, a putative Rho GTPase-activating protein (GAP) were
identified. We also provide evidence that Rgd3 is a GAP for Cdc42 and show that
its localization and phosphorylation are dependent on Cbk1.
PMID- 27206716
TI - A Parthenogenesis Gene Candidate and Evidence for Segmental Allopolyploidy in
Apomictic Brachiaria decumbens.
AB - Apomixis, asexual reproduction through seed, enables breeders to identify and
faithfully propagate superior heterozygous genotypes by seed without the
disadvantages of vegetative propagation or the expense and complexity of hybrid
seed production. The availability of new tools such as genotyping by sequencing
and bioinformatics pipelines for species lacking reference genomes now makes the
construction of dense maps possible in apomictic species, despite complications
including polyploidy, multisomic inheritance, self-incompatibility, and high
levels of heterozygosity. In this study, we developed saturated linkage maps for
the maternal and paternal genomes of an interspecific Brachiaria ruziziensis (R.
Germ. and C. M. Evrard) * B. decumbens Stapf. F1 mapping population in order to
identify markers linked to apomixis. High-resolution molecular karyotyping and
comparative genomics with Setaria italica (L.) P. Beauv provided conclusive
evidence for segmental allopolyploidy in B. decumbens, with strong preferential
pairing of homologs across the genome and multisomic segregation relatively more
common in chromosome 8. The apospory-specific genomic region (ASGR) was mapped to
a region of reduced recombination on B. decumbens chromosome 5. The Pennisetum
squamulatum (L.) R.Br. PsASGR-BABY BOOM-like (psASGR-BBML)-specific primer pair
p779/p780 was in perfect linkage with the ASGR in the F1 mapping population and
diagnostic for reproductive mode in a diversity panel of known sexual and apomict
Brachiaria (Trin.) Griseb. and P. maximum Jacq. germplasm accessions and
cultivars. These findings indicate that ASGR-BBML gene sequences are highly
conserved across the Paniceae and add further support for the postulation of the
ASGR-BBML as candidate genes for the apomictic function of parthenogenesis.
PMID- 27206717
TI - Phenotypic Consequences of a Spontaneous Loss of Heterozygosity in a Common
Laboratory Strain of Candida albicans.
AB - By testing the susceptibility to DNA damaging agents of several Candida albicans
mutant strains derived from the commonly used laboratory strain, CAI4, we
uncovered sensitivity to methyl methanesulfonate (MMS) in CAI4 and its
derivatives, but not in CAF2-1. This sensitivity is not a result of URA3
disruption because the phenotype was not restored after URA3 reintroduction.
Rather, we found that homozygosis of a short region of chromosome 3R (Chr3R),
which is naturally heterozygous in the MMS-resistant-related strains CAF4-2 and
CAF2-1, confers MMS sensitivity and modulates growth polarization in response to
MMS. Furthermore, induction of homozygosity in this region in CAF2-1 or CAF4-2
resulted in MMS sensitivity. We identified 11 genes by SNP/comparative genomic
hybridization containing only the a alleles in all the MMS-sensitive strains.
Four candidate genes, SNF5, POL1, orf19.5854.1, and MBP1, were analyzed by
generating hemizygous configurations in CAF2-1 and CAF4-2 for each allele of all
four genes. Only hemizygous MBP1a/mbp1b::SAT1-FLIP strains became MMS sensitive,
indicating that MBP1a in the homo- or hemizygosis state was sufficient to account
for the MMS-sensitive phenotype. In yeast, Mbp1 regulates G1/S genes involved in
DNA repair. A second region of homozygosis on Chr2L increased MMS sensitivity in
CAI4 (Chr3R homozygous) but not CAF4-2 (Chr3R heterozygous). This is the first
example of sign epistasis in C. albicans.
PMID- 27206719
TI - The Use of Analgesic and Other Pain-Relief Drugs to Manage Chronic Low Back Pain:
Results from a National Survey.
AB - OBJECTIVES: To analyze and characterize the intake profile of pain-relief drugs
in a population-based study of adults with chronic low back pain (CLBP). METHODS:
EpiReumaPt was a cross-sectional Portuguese population-based study (10,661
subjects). Self-reported active CLBP was considered to be low back pain on the
day of enrollment and for >= 90 days. Prevalence and profile of analgesic intake
was characterized among those self-reporting active CLBP, taking into account the
intensity of pain and the World Health Organization (WHO) analgesic ladder. We
further investigated whether the presence of active CLBP was a factor
independently associated with the intake of analgesics (adjusted for potential
confounders). RESULTS: Among 1,487 subjects with active CLBP, only 18.7% were
using analgesic/pain-relief drugs. Estimated prevalence was anxiolytics, 14.1%;
nonsteroidal anti-inflammatory drugs (NSAIDs), 12.3%; antidepressants, 10.1%;
analgesic, antipyretics, 6.6%; anticonvulsants, 3.4%; central muscle relaxants,
2.6%; and analgesic opioids, 1.6%. Most subjects with severe pain were in the
first step of the WHO analgesic ladder: NSAIDs plus anxiolytics (4.6%), NSAIDs
plus antidepressants (3.2%), or NSAIDs plus muscle relaxants (2.5%). The presence
of active CLBP was significantly associated with the intake of all therapeutic
groups: antidepressants (odds ratio [OR] = 12.56; P < 0.001); centrally acting
muscle relaxants (OR = 12.01; P < 0.001); anticonvulsants (OR = 9.27; P < 0.001);
anxiolytics, sedatives, and hypnotics (OR = 8.86; P < 0.001); NSAIDs (OR = 8.56;
P < 0.001); and analgesic opioids (OR = 8.13; P < 0.001). CONCLUSION:
Analgesic/pain-relief drug intake in patients with active CLBP was very low, even
for those with severe pain. The WHO analgesic ladder was carefully followed, with
an extremely conservative use of analgesic opioids even for those with severe
pain.
PMID- 27206720
TI - Applying the HIV-associated neurocognitive disorder diagnostic criteria to HIV
infected youth.
AB - OBJECTIVE: The aim of this study was to apply the HIV-associated neurocognitive
disorders (HAND) criteria for diagnosing HAND in HIV-infected adults, in a cohort
of HIV-infected youth to thus establish whether this system is able to detect a
spectrum of neurocognitive disorders (ND) in HIV-infected youth. METHODS: We used
a comprehensive pediatric neurocognitive battery, an assessment of functional
competence, and the American Academy of Neurology system for diagnosing ND in a
cross-sectional study of HIV-infected youth (n = 86) and HIV-negative controls (n
= 34) to establish whether this system could detect a spectrum of ND in HIV
infected youth (6-16 years). RESULTS: Compared to a well-matched control group of
HIV-negative youth, HIV-infected youth performed significantly more poorly on
tests of Verbal IQ, Full Scale IQ, processing speed, finger tapping, verbal
memory, expressive language, cognitive flexibility, and inhibition. HIV-infected
youth were also more likely to have impaired total competence on the Child
Behavior Checklist. Using the criteria for HAND, we found that 45.35% of the 86
HIV-infected youth could be diagnosed with an ND. Furthermore, youth with HIV
encephalopathy (HIVE) were 9.4 times more likely to have a diagnosis of a major
ND compared to HIV-infected youth without HIVE. CONCLUSIONS: The HAND criterion
designed for adults was able to identify youth with important functional
cognitive impairments who do not fit criteria for HIVE and would therefore not
have been identified otherwise. This has major clinical implications regarding
the importance of managing HIV-infected youth.
PMID- 27206721
TI - Should the Frascati criteria for HIV-associated neurocognitive disorders be used
in children?
PMID- 27206722
TI - Quantized stabilization of wireless networked control systems with packet losses.
AB - This paper considers stabilization of discrete-time linear systems, where
wireless networks exist for transmitting the sensor and controller information.
Based on Markov jump systems, we show that the coarsest quantizer that stabilizes
the WNCS is logarithmic in the sense of mean square quadratic stability and the
stabilization of this system can be transformed into the robust stabilization of
an equivalent uncertain system. Moreover, a method of optimal
quantizer/controller design in terms of linear matrix inequality is presented.
Finally, a numerical example is provided to illustrate the effectiveness of the
developed theoretical results.
PMID- 27206718
TI - Diversity matters - heterogeneity of dopaminergic neurons in the ventral
mesencephalon and its relation to Parkinson's Disease.
AB - Dopaminergic neurons in the ventral mesencephalon (the ventral mesencephalic
dopaminergic complex) are known for their role in a multitude of behaviors,
including cognition, reward, addiction and voluntary movement. Dysfunctions of
these neurons are the underlying cause of various neuropsychiatric disorders,
such as depression, addiction and schizophrenia. In addition, Parkinson's disease
(PD), which is the second most common degenerative disease in developed
countries, is characterized by the degeneration of dopaminergic neurons, leading
to the core motor symptoms of the disease. However, only a subset of dopaminergic
neurons in the ventral mesencephalon is highly vulnerable to the disease process.
Indeed, research over several decades revealed that the neurons in the ventral
mesencephalic dopaminergic complex do not form a homogeneous group with respect
to anatomy, physiology, function, molecular identity or vulnerability/dysfunction
in different diseases. Here, we review how the concept of dopaminergic neuron
diversity, assisted by the advent and application of new technologies, evolved
and was refined over time and how it shaped our understanding of PD pathogenesis.
Understanding this diversity of neurons in the ventral mesencephalic dopaminergic
complex at all levels is imperative for the development of new and more selective
drugs for both PD and various other neuropsychiatric diseases. Several decades of
research revealed that the neurons in the ventral mesencephalic dopaminergic
complex do not form a homogeneous group in respect to anatomy, physiology,
function, molecular identity or vulnerability/dysfunction in diseases like
Parkinson's disease (PD). Here, we review how this concept evolved and was
refined over time and how it shaped our understanding of the pathogenesis of PD.
Source of the midbrain image:
www.wikimd.org/wiki/index.php/The_Midbrain_or_Mesencephalon; downloaded
28.01.2016. See also Figures and of the paper. This article is part of a special
issue on Parkinson disease.
PMID- 27206723
TI - Efficient synthesis of both diastereomers of beta,gamma-diamino acids from
phenylalanine and tryptophan.
AB - We synthesized in a few steps both diastereomers of orthogonally protected
beta,gamma-diamino acids starting from L-phenylalanine or L-tryptophan. These
final compounds are interesting building blocks for peptide synthesis and
foldamer chemistry. The key step is a Blaise reaction performed under ultrasound
conditions.
PMID- 27206724
TI - Viola woosanensis, a recurrent spontaneous hybrid between V. ulleungdoensis and
V. chaerophylloides (Violaceae) endemic to Ulleung Island, Korea.
AB - Ulleung Island is an oceanic volcanic island in Korea, which has never been
connected to the adjacent continent. Previous studies highlighted Ulleung Island
as an excellent system to study the pattern and process of early stages of
flowering plant evolutions on oceanic island. The predominant mode of speciation
in flowering plants on Ulleung Island appears to be anagenesis. However, the
potentially important role of hybrid speciation among incompletely reproductively
isolated lineages cannot be ruled out. Viola woosanensis (Violaceae) is of
purportedly hybrid origin between V. ulleungdoensis (i.e., formerly recognized as
V. selkirkii in Ulleung Island) and V. chaerophylloides, based on morphology. To
examine the origin of V. woosanensis, we sampled a total of 80 accessions,
including V. woosanensis and its putative parental species and sequenced nrDNA
ITS, and four highly variable chloroplast noncoding regions (trnL-trnF, rpl16
intron, atpF-atpH, and psbA-trnH). Representative species of Viola from Korea
were also included in the phylogenetic analyses (maximum parsimony, maximum
likelihood, and Bayesian inference). Additive polymorphic sites in the nrDNA ITS
regions were confirmed by cloning amplicons from representative species. The
molecular data strongly supported the hybrid origin of V. woosanensis, and the
maternal and paternal parent were determined to be V. ulleungdoensis and V.
chaerophylloides, respectively. The presence of two parental ribotypes in V.
woosanensis (with the exception in one population) was confirmed by cloning,
suggesting V. woosanensis is primarily the F1 generation. No trace of
backcrossing and introgression with its parents was detected due to low fertility
of hybrid species. We found a multiple and unidirectional hybrid origin of V.
woosanensis. Additional studies are required to determine which factors
contribute to asymmetric gene flow of Viola species in Ulleung Island.
PMID- 27206725
TI - Phylogeography of East Asian Lespedeza buergeri (Fabaceae) based on chloroplast
and nuclear ribosomal DNA sequence variations.
AB - The dynamic changes in land configuration during the Quaternary that were
accompanied by climatic oscillations have significantly influenced the current
distribution and genetic structure of warm-temperate forests in East Asia.
Although recent surveys have been conducted, the historical migration of forest
species via land bridges and, especially, the origins of Korean populations
remains conjectural. Here, we reveal the genetic structure of Lespedeza buergeri,
a warm-temperate shrub that is disjunctively distributed around the East China
Sea (ECS) at China, Korea, and Japan. Two non-coding regions (rpl32-trnL, psbA
trnH) of chloroplast DNA (cpDNA) and the internal transcribed spacer of nuclear
ribosomal DNA (nrITS) were analyzed for 188 individuals from 16 populations,
which covered almost all of its distribution. The nrITS data demonstrated a
genetic structure that followed geographic boundaries. This examination utilized
AMOVA, comparisons of genetic differentiation based on haplotype
frequency/genetic mutations among haplotypes, and Mantel tests. However, the
cpDNA data showed contrasting genetic pattern, implying that this difference was
due to a slower mutation rate in cpDNA than in nrITS. These results indicated
frequent migration by this species via an ECS land bridge during the early
Pleistocene that then tapered gradually toward the late Pleistocene. A genetic
isolation between western and eastern Japan coincided with broad consensus that
was suggested by the presence of other warm-temperate plants in that country. For
Korean populations, high genetic diversity indicated the existence of refugia
during the Last Glacial Maximum on the Korean Peninsula. However, their closeness
with western Japanese populations at the level of haplotype clade implied that
gene flow from western Japanese refugia was possible until post-glacial
processing occurred through the Korea/Tsushima Strait land bridge.
PMID- 27206726
TI - Artesunate/Amodiaquine-Induced Acute Extrapyramidal Reactions in Children and
Younger Adults: Case Series Assessment.
AB - INTRODUCTION: Several studies conducted in African countries reported the
artesunate and amodiaquine (AS/AQ) tablet as a safe and well-tolerated anti
malarial drug in children and younger adults. The aim of this case series
assessment was to assess the causal relationship between the AS/AQ tablet and
extrapyramidal reactions in children and younger adults and to investigate the
factor(s) predisposing to the adverse drug reactions. METHODS: The causal
relationship of all the cases was first assessed individually using the Naranjo
Probability Scale and then subjected to a case series assessment using Austin
Bradford-Hill criteria. RESULTS: A total of 43 acute extrapyramidal reactions
associated with the AS/AQ tablet were reported between 2012 and 16 November, 2015
to the Eritrean Pharmacovigilance Centre. The causality was found to be probable
or highly probable for 33 (76.7 %) of the cases and the rest (10; 23.3 %) of the
cases had a possible causal association. The extrapyramidal reactions had more or
less similar clinical features in most of the cases and were characterized by
abnormal involuntary contractions of muscles. The median age and body weight of
the cases were 15 years and 40 kg, respectively, and 70 % of them were males.
90.7% of the reactions manifested in children and younger adults (aged <26
years). In most of the cases, reactions manifested in the third day from the
start of treatment and 88.3 % of cases were hospitalized. CONCLUSION: The causal
relationship between the AS/AQ tablet and extrapyramidal reactions in children
and younger adults was found to be apparent and possibly owing to dose
accumulation or an overdose of amodiaquine.
PMID- 27206727
TI - The predictors of the severity of ischaemic colitis: a systematic review of 2823
patients from 22 studies.
AB - AIM: The study reviews the literature related to ischaemic colitis (IC) as the
evidence base to identify factors predicting severity. METHOD: A systematic
review of the literature was conducted on the predictors of the severity of IC.
Severe IC or adverse outcome of IC was defined as a patient requiring surgery or
who died. MEDLINE, Embase and Cochrane Library databases were searched from
inception to 15 January 2015. Manual searches of reference lists from potentially
relevant papers and meetings were also performed. RESULTS: In all, 22 studies
involving 2823 patients were identified; 19 were case series, two were case
control studies and one was a cohort study. The overall adverse outcome rate was
22.0% (620/2823). The prognostic predictors for surgery or mortality which were
most frequently reported included right sided IC, peritonitis, shock or arterial
hypotension (< 90 mmHg), male gender, tachycardia and lack of rectal bleeding.
Thirteen studies relating to the right colon from which data could be extracted
were further analysed. The right colon was involved in 277 cases, with an
incidence of adverse outcomes of 48.4% (134/277), while in the non-right colonic
involvement group the incidence was significantly lower at 12.1% (142/1175) (P =
0.000). CONCLUSION: The incidence of adverse outcome in patients with IC remains
high. Male gender, tachycardia, lack of rectal bleeding, peritonitis, shock or
arterial hypotension (< 90 mmHg) and right sided IC are predictors of poor
prognosis. Right-sided IC, shock or arterial hypotension (< 90 mmHg) and signs of
peritonitis may be the most significant predictors of severity.
PMID- 27206728
TI - A prototype mobile application for triaging dental emergencies.
AB - BACKGROUND: Evidence suggests that dental emergencies are likely to occur when
preferred care is less accessible. Communication barriers often exist that cause
patients to receive suboptimal treatment or experience discomfort for extended
lengths of time. Furthermore, limitations in the conventional approach for
managing dental emergencies prevent dentists from receiving critical information
before patient visits. METHODS: The authors developed a mobile application to
mediate the uncertainty of dental emergencies. The development and study
consisted of a needs analysis and quality assessment of intraoral images captured
by smartphones, prototype development, refining the prototype through usability
inspection methods, and formative evaluation through usability testing with
prospective users. RESULTS: The developed application successfully guided all
users through a series of questions designed to capture clinically meaningful
data by using familiar smartphone functions. All participants were able to
complete a report within 4 minutes, and all clinical information was comprehended
by the users. CONCLUSIONS: Patient-provided information accompanied by high
resolution images may help dentists substantially in predicting urgency or
preparing necessary treatment resources. The results illustrate the feasibility
of patients using smartphone applications to report dental emergencies. This
technology allows dentists to assess care remotely when direct patient contact is
less practical. PRACTICAL IMPLICATIONS: This study's results demonstrate that
patients can use mobile applications to transmit clinical data to their dentists
and suggest the possibility of expanding the use of mobile applications to
enhance access to routine and emergency dental care. The authors addressed how to
enable patients to communicate emergency needs directly to a dentist while
obviating patient emergency department visits.
PMID- 27206729
TI - Village malaria worker performance key to the elimination of artemisinin
resistant malaria: a Western Cambodia health system assessment.
AB - BACKGROUND: Village malaria workers (VMWs) and mobile malaria workers (MMWs) are
a critical component of Cambodia's national strategy to eliminate Plasmodium
falciparum malaria by 2025. Since 2004, VMWs have been providing malaria
diagnosis through the use of rapid diagnostic tests and free-of-charge
artemisinin-based combination therapy in villages more than 5 km away from the
closest health facility. They have also played a key role in the delivery of
behaviour change communication interventions to this target population. This
study aimed to assess the job performance of VMWs/MMWs, and identify challenges
they face, which may impede elimination efforts. METHODS: A mixed-methods
assessment was conducted in five provinces of western Cambodia. One hundred and
eighty five VMW/MMW participants were surveyed using a structured questionnaire.
Qualitative data was gathered through a total of 60 focus group discussions and
65 in-depth interviews. Data triangulation of the qualitative and quantitative
data was used during analysis. RESULTS: Overall, VMWs/MMWs met or exceeded the
expected performance levels (80 %). Nevertheless, some performance gaps were
identified. Misconceptions regarding malaria transmission and prevention were
found among workers. The recommended approach for malaria treatment, directly
observed treatment (DOT), had low implementation rates. Stock-outs, difficulties
in reaching out to migrant and mobile populations, insufficient means of
transportation and dwindling worker satisfaction also affected job performance.
DISCUSSION: VMW/MMW job performance must be increased from 80 to 100 % in order
to achieve elimination. In order to do this, it is recommended for the national
malaria programme to eliminate worker malaria knowledge gaps. Barriers to DOT
implementation and health system failures also need to be addressed. The VMW
programme should be expanded on several fronts in order to tackle remaining
performance gaps. Findings from this evaluation are useful to inform the planning
of future activities of the programme and to improve the effectiveness of
interventions in a context where artemisinin drug resistance is a significant
public health issue.
PMID- 27206731
TI - Global health partnerships: building multi-national collaborations to achieve
lasting improvements in maternal and neonatal health.
AB - BACKGROUND: In response to health care challenges worldwide, extensive funding
has been channeled to the world's most vulnerable health systems. Funding alone
is not sufficient to address the complex issues and challenges plaguing these
health systems. To see lasting improvement in maternal and infant health outcomes
in the developing world, a global commitment to the sharing of knowledge and
resources through international partnerships is critical. But partnerships that
merely introduce western medical techniques and protocols to low resource
settings, without heeding the local contexts, are misguided and unsustainable.
Forming partnerships with mutual respect, shared vision, and collaborative effort
is needed to ensure that all parties, irrespective of whether they belong to
resource rich or resource poor settings, learn from each other so that meaningful
and sustained system strengthening can take place. METHODS: In this paper, we
describe the partnership building model of an international NGO, Kybele, which is
committed to achieving childbirth safety through sustained partnerships in low
resource settings. The Kybele model adapts generic stages of successful
partnerships documented in the literature to four principles relevant to Kybele's
work. A multiple-case study approach is used to demonstrate how the model is
applied in different country settings. RESULTS: The four principle of Kybele's
partnership model are robust drivers of successful partnerships in diverse
country settings. CONCLUSIONS: Much has been written about the need for multi
country partnerships to achieve sustainable outcomes in global health, but few
papers in the literature describe how this has been achieved in practice. A
strong champion, support and engagement of stakeholders, co-creation of solutions
with partners, and involvement of partners in the delivery of solutions are all
requirements for successful and sustained partnerships.
PMID- 27206730
TI - Evolution of a global regulator: Lrp in four orders of gamma-Proteobacteria.
AB - BACKGROUND: Bacterial global regulators each regulate the expression of several
hundred genes. In Escherichia coli, the top seven global regulators together
control over half of all genes. Leucine-responsive regulatory protein (Lrp) is
one of these top seven global regulators. Lrp orthologs are very widely
distributed, among both Bacteria and Archaea. Surprisingly, even within the
phylum gamma-Proteobacteria (which includes E. coli), Lrp is a global regulator
in some orders and a local regulator in others. This raises questions about the
evolution of Lrp and, more broadly, of global regulators. RESULTS: We examined
Lrp sequences from four bacterial orders of the gamma-Proteobacteria using
phylogenetic and Logo analyses. The orders studied were Enterobacteriales and
Vibrionales, in which Lrp plays a global role in tested species; Pasteurellales,
in which Lrp is a local regulator in the tested species; and Alteromonadales, an
order closely related to the other three but in which Lrp has not yet been
studied. For comparison, we analyzed the Lrp paralog AsnC, which in all tested
cases is a local regulator. The Lrp and AsnC phylogenetic clusters each divided,
as expected, into subclusters representing the Enterobacteriales, Vibrionales,
and Pasteuralles. However the Alteromonadales did not yield coherent clusters for
either Lrp or AsnC. Logo analysis revealed signatures associated with globally-
vs. locally- acting Lrp orthologs, providing testable hypotheses for which
portions of Lrp are responsible for a global vs. local role. These candidate
regions include both ends of the Lrp polypeptide but not, interestingly, the
highly-conserved helix-turn-helix motif responsible for DNA sequence specificity.
CONCLUSIONS: Lrp and AsnC have conserved sequence signatures that allow their
unambiguous annotation, at least in gamma-Proteobacteria. Among Lrp orthologs,
specific residues correlated with global vs. local regulatory roles, and can now
be tested to determine which are functionally relevant and which simply reflect
divergence. In the Alteromonadales, it appears that there are different subgroups
of Lrp orthologs, one of which may act globally while the other may act locally.
These results suggest experiments to improve our understanding of the evolution
of bacterial global regulators.
PMID- 27206732
TI - Compound heterozygous variants in PGAP1 causing severe psychomotor retardation,
brain atrophy, recurrent apneas and delayed myelination: a case report and
literature review.
AB - BACKGROUND: Mutations in proteins involved in the glycosylphosphatidylinositol
anchor biosynthesis and remodeling pathway are associated with autosomal
recessive forms of intellectual disability. Recently mutations in the PGAP1 gene
that codes for PGAP1, a protein localized in the endoplasmic reticulum
responsible for the first step of the remodeling of glycosylphosphatidylinositol
was linked to a disorder characterized by psychomotor retardation and facial
dysmorphism. Whole exome sequencing (WES) was performed in siblings with severely
delayed myelination and psychomotor retardation. Mutations in PGAP1 were
confirmed by Sanger sequencing and RNA analysis. A literature search was
performed to describe the emerging phenotype of PGAP1 related disease. CASE
PRESENTATION: WES resulted in the detection of two novel compound heterozygous
mutations in PGAP1, one base pair insertion leading to a frame shift
c.334_335InsA (p.A112fs) and a splice site mutation leading to exon skipping
c.G1173C (p.L391L). A symptom not described in PGAP1 related disorder before but
prominent in the siblings were recurrent apnea especially during sleep that
persisted at least until age 2 years. Sequential cerebral MRI at age one and two
year(s) respectively revealed frontal accentuated brain atrophy and significantly
delayed myelination. CONCLUSION: We report siblings with two novel mutations in
PGAP1. Other that the common symptoms related to PGAP1 mutations including non
progressive psychomotor retardation, neonatal feeding problems, microcephaly and
brain atrophy these patients displayed severely delayed myelination and recurrent
apneas thereby widing the clinical spectrum associated with such mutations.
PMID- 27206734
TI - Persistence of bacterial indicators and zoonotic pathogens in contaminated cattle
wastes.
AB - BACKGROUND: Manure can provide a favourable environment for pathogens' survival.
De-contamination may be conducted by extended storage, until field conditions are
suitable for application to land as source of agricultural nutrients. RESULTS:
The hygienic evaluation of manure and slurry coming from a plant that collects
cattle livestock wastes from a big slaughterhouse was carried out. Samples were
even collected from spillages in the area around the plant. Microbial analyses
highlighted the massive presence of faecal indicators in all samples: mean counts
of Escherichia coli and enterococci were always above EU limits for marketable
processed manure products. Cultures referable to the genus Brucella spp. were
recorded in two samples of fresh manure but not in the aged ones. Conventional
isolation techniques failed to detect members of the Mycobacterium genus, while
by means of IS900 and F57 PCR real-time system on DNA directly extracted from
environmental samples, the pathogen was detected in all cases. CONCLUSIONS:
Thoughtful design of manure storage infrastructure is critical to prevent spills
and over-topping of an open structure. The documented overload situation seems to
lay the basis for an ongoing environmental contamination by enteric organisms and
opportunistic pathogens circuit faecal-oral route. Moreover, the type of wastes
analysed during this study, namely a mixture of fresh cattle manure, bedding and
rumen content, needs a longer storage period or, alternatively, of specific
chemical, biological or thermal treatments for stabilization.
PMID- 27206735
TI - The Role of Surface Receptor Density in Surface-Initiated Polymerizations for
Cancer Cell Isolation.
AB - Fluid biopsies potentially offer a minimally invasive alternative to traditional
tissue biopsies for the continual monitoring of metastatic cancer. Current
established technologies for isolating circulating tumor cells (CTCs) suffer from
poor purity and yield and require fixatives that preclude the collection of
viable cells for longitudinal analyses of biological function. Antigen specific
lysis (ASL) is a rapid, high-purity method of cell isolation based on targeted
protective coatings on antigen-presenting cells and lysis depletion of
unprotected antigen-negative cells. In ASL, photoinitiators are specifically
labeled on cell surfaces that enable subsequent surface-initiated polymerization.
Critically, the significant determinants of process yield have yet to be
investigated for this emerging technology. In this work, we show that the
labeling density of photoinitiators is strongly correlated with the yield of
intact cells during ASL by flow cytometry analysis. Results suggest ASL is
capable of delivering ~25% of targeted cells after isolation using traditional
antibody labeling approaches. Monomer formulations of two molecular weights of
PEG-diacrylate (Mn ~ 575 and 3500) are examined. The gelation response during ASL
polymerization is also investigated via protein microarray analogues on planar
glass. Finally, a density threshold of photoinitiator labeling required for
protection during lysis is determined for both monomer formulations. These
results indicate ASL is a promising technology for high yield CTC isolation for
rare-cell function assays and fluid biopsies.
PMID- 27206733
TI - Type-2 diabetes primary prevention program implemented in routine primary care: a
process evaluation study.
AB - BACKGROUND: Process evaluation studies are recommended to improve our
understanding of underlying mechanisms related to clinicians, patients, context
and intervention delivery that may impact on trial or program results and on
their potential transferability to practice. This paper aims to document the
translation of a type-2 diabetes (T2D) prevention program into the routine
context of several primary care centers, assessing process indicators related to
clinician adoption, patient recruitment, exposure to the intervention components
and baseline characteristics. METHODS: An observational descriptive process
evaluation study was conducted of the 2.5-year implementation of the Prevention
of Diabetes in Euskadi cluster randomized trial in 14 primary care centers of the
Basque Health Service (Osakidetza). The clinical intervention consisted of three
components: (1) risk screening, (2) an educational intervention promoting healthy
lifestyles, and (3) remote support (follow-up). A passive dissemination strategy
of providing training and materials was used to translate the intervention into
practice. All non-diabetic patients aged 45 to 70 years who were identified as
being at high risk of developing T2D were eligible for study inclusion. The RE
AIM framework guided the process evaluation. RESULTS: Overall, 31.4 % of family
physicians and 57.6 % of nurses participated in the study, while 4170 out of
67,293 (6.2 %) targeted patients who attended the centers during the
implementation period were reached through the screening. Around half of the
screened patients were identified as being at high risk of developing T2D
(FINDRISC score >=14). The rate of refusal to participate and the proportion of
women were higher in the intervention group. Finally, 634 and 454 non-diabetic 45
to 70-year-old patients who were at high risk of T2D were included in the
control and intervention group centers (intervention reach = 48 %). Significant
variability in most process indicators was observed at center level. CONCLUSION:
The passive dissemination strategy has produced modest process indicators related
to the adoption, reach and implementation of the intervention program, and
reduced the possibility of its standardized application in heterogeneous
contexts. The resulting different procedures and strategies used by the centers
were associated with process outcomes. Context-specific variability and possible
confounding will require rigorous procedures for analysis of the intervention
effects. TRIAL REGISTRATION: The trial was registered in ClinicalTrials.gov
(identifier: NCT01365013 ). Registered on June 2011.
PMID- 27206737
TI - Boron promotes streptozotocin-induced diabetic wound healing: roles in cell
proliferation and migration, growth factor expression, and inflammation.
AB - Acute wounds do not generally require professional treatment modalities and heal
in a predictable fashion, but chronic wounds are mainly accompanied with
infection and prolonged inflammation, leading to healing impairments and
continuous tissue degradation. Although a vast amount of products have been
introduced in the market, claiming to provide a better optimization of local and
systemic conditions of patients, they do not meet the expectations due to being
expensive and not easily accessible, requiring wound care facilities, having
patient-specific response, low efficiency, and severe side-effects. In this
sense, developing new, safe, self-applicable, effective, and cheap wound care
products with broad-range antimicrobial activity is still an attractive area of
international research. In the present work, boron derivatives [boric acid and
sodium pentaborate pentahydrate (NaB)] were evaluated for their antimicrobial
activity, proliferation, migratory, angiogenesis, gene, and growth factor
expression promoting effects on dermal cells in vitro. In addition, boron
containing hydrogel formulation was examined for its wound healing promoting
potential using full-thickness wound model in streptozotocin-induced diabetic
rats. The results revealed that while both boron compounds significantly
increased proliferation, migration, vital growth factor, and gene expression
levels of dermal cells along with displaying remarkable antimicrobial effects
against bacteria, yeast, and fungi, NaB displayed greater antimicrobial
properties as well as gene and growth factor expression inductive effects. Animal
studies proved that NaB-containing gel formulation enhanced wound healing rate of
diabetic animals and histopathological scores. Overall data suggest a potential
promising therapeutic option for the management of chronic wounds but further
studies are highly warranted to determine signaling pathways and target
metabolisms in which boron is involved to elucidate the limitations and extend
its use in clinics.
PMID- 27206738
TI - Pioglitazone ameliorates renal ischemia reperfusion injury through NMDA receptor
antagonism in rats.
AB - The present study investigated the role of N-methyl-D-aspartate (NMDA) receptors
in pioglitazone-mediated protection against renal ischemia reperfusion injury
(IRI) in rats. Male wistar rats were subjected to 40 min of bilateral renal
ischemia followed by reperfusion for 24 h to induce kidney injury. The renal
damage was evaluated by measuring serum creatinine, creatinine clearance, blood
urea nitrogen, uric acid, electrolytes, and microproteinuria in rats. Oxidative
stress in renal tissues was quantified in terms of myeloperoxidase activity,
thiobarbituric acid reactive substances, superoxide anion generation, and reduced
glutathione level. Hematoxylin-eosin and periodic acid Schiff staining of renal
tissues were performed to observe histological changes. Pioglitazone (20 and 40
mg/kg) was administered 1 h prior to ischemia in rats. In separate groups, NMDA
agonists, glutamic acid (200 mg/kg), and spermidine (20 mg/kg) were administered
1 h prior to pioglitazone treatment, followed by renal IRI in rats. Ischemia
reperfusion resulted in marked renal damage with significant changes in serum and
urine parameters along with marked oxidative stress and histological changes in
kidneys. Pioglitazone treatment afforded anti-oxidant effect and renoprotection
in a dose-dependent manner in rats. Pioglitazone-mediated renoprotection was
attenuated by glutamic acid and spermidine pretreatment in rats, which indicated
the role of NMDA receptors in pioglitazone-mediated protection. It is concluded
that NMDA antagonism serves as one of the mechanisms in pioglitazone-mediated
protection against renal IRI in rats.
PMID- 27206736
TI - Lupeol evokes anticancer effects in oral squamous cell carcinoma by inhibiting
oncogenic EGFR pathway.
AB - Epidermal growth factor receptor (EGFR) pathway is overexpressed in head and neck
cancer (HNC). Lupeol, a natural triterpene (phytosterol found in fruits,
vegetables, etc.), has been reported to be effective against multiple cancer
indications. Here we investigate the antitumor effects of Lupeol and underlying
mechanism in oral cancer. Lupeol-induced antitumor response was evaluated in two
oral squamous cell carcinoma (OSCC) cell lines (UPCI:SCC131 and UPCI:SCC084) by
viability (MTT), proliferation, and colony formation assays. Lupeol-mediated
induction of apoptosis was examined by caspase 3/7 assay and flow cytometry.
Effect of Lupeol on EGFR in the presence or absence of EGF was delineated by
Western blot. The mRNA stability assay was performed to check the role of Lupeol
on COX-2 mRNA regulation. Lupeol inhibited proliferation of OSCC cells in vitro
by inducing apoptosis 48 h post treatment. Ligand-induced phosphorylation of EGFR
and subsequent activation of its downstream molecules such as protein kinase B
(PKB or AKT), I kappa B (IkappaB), and nuclear factor kappa B (NF-kappaB) was
also found to be, in part, suppressed. Interestingly, Lupeol suppressed
expression of COX-2 at mRNA and protein level in a time-dependent manner. Primary
explants from oral squamous cell carcinoma tissues further confirmed significant
inhibition of proliferation (Ki67) in Lupeol-treated explants as compared to
untreated control at 48 h. Together these data suggest that Lupeol may act as a
potent inhibitor of the EGFR signaling in OSCC and therefore imply its role in
triggering antitumor efficacy.
PMID- 27206739
TI - Glycated LDL increase VCAM-1 expression and secretion in endothelial cells and
promote monocyte adhesion through mechanisms involving endoplasmic reticulum
stress.
AB - Type 2 Diabetes Mellitus is a worldwide epidemic, and its atherosclerotic
complications produce morbidity and mortality in affected patients. It is known
that the vascular cell adhesion molecule-1 (VCAM-1) levels are increased in the
sera of diabetic patients. Our aim was to investigate the impact of the
endoplasmic reticulum stress (ERS) in VCAM-1 expression and secretion in human
endothelial cells (HEC) exposed to glycated low-density lipoproteins (gLDL). The
results showed that 24 h incubation of HEC with gLDL induces (i) stimulation of
VCAM-1 expression and secretion, determining increased monocyte adhesion to HEC;
(ii) RAGE up-regulation and free cholesterol loading; (iii) ERS activation
(increased eIF2alpha phosphorylation and CHOP mRNA levels, and decreased GRP78
protein expression); and (iv) oxidative stress [increased levels of reactive
oxygen species (ROS) and glutamate cysteine ligase catalytic unit gene
expression]. Treatment of gLDL-exposed HEC with ERS inhibitors, salubrinal (Sal)
and sodium phenylbutyrate (PBA), decreased intracellular ROS. Incubation of gLDL
exposed cells with the anti-oxidant N-acetyl-cysteine (NAC) reduced ERS, revealed
by decreased eIF2alpha phosphorylation and CHOP gene expression and increased
GRP78 expression, thus validating the interconnection between ERS and oxidative
stress. Sal, PBA, NAC and inhibitors of p38 MAP kinase and NF-kB induced the
decrease of VCAM-1 expression and of the ensuing monocyte adhesion induced by
gLDL. In conclusion, in HEC, gLDL stimulate the expression of cellular VCAM-1,
the secretion of soluble VCAM-1, and the adhesion of monocytes through mechanisms
involving p38 MAP kinase and NF-kB signalling pathways activated by RAGE, ERS and
oxidative stress, thus contributing to diabetic atherosclerosis.
PMID- 27206742
TI - Image enhancement based on in vivo hyperspectral gastroscopic images: a case
study.
AB - Hyperspectral imaging (HSI) has been recognized as a powerful tool for
noninvasive disease detection in the gastrointestinal field. However, most of the
studies on HSI in this field have involved ex vivo biopsies or resected tissues.
We proposed an image enhancement method based on in vivo hyperspectral
gastroscopic images. First, we developed a flexible gastroscopy system capable of
obtaining in vivo hyperspectral images of different types of stomach disease
mucosa. Then, depending on a specific object, an appropriate band selection
algorithm based on dependence of information was employed to determine a subset
of spectral bands that would yield useful spatial information. Finally, these
bands were assigned to be the color components of an enhanced image of the
object. A gastric ulcer case study demonstrated that our method yields higher
color tone contrast, which enhanced the displays of the gastric ulcer regions,
and that it will be valuable in clinical applications.
PMID- 27206741
TI - [Response to the Letter to the Editor: Autochthonous cutaneous larva migrans in
Chile. A case report].
PMID- 27206743
TI - Systemic Multiple Aneurysms Caused by Vascular Ehlers-Danlos Syndrome.
AB - Systemic multiple aneurysms are rare and usually associated with collagen tissue
disease, such as Ehlers-Danlos syndrome (EDS) or Marfan syndrome. In the present
case, we describe a 39-year-old male patient with systemic multiple aneurysms and
acute intraperitoneal hemorrhage who was clinically diagnosed with vascular EDS.
Coil embolization of the distal segment of the common hepatic artery was
performed, which resolved the patient's symptoms. With this case presentation, we
aim to increase the awareness of vascular EDS among clinicians and emphasize the
extreme fragility of the arteries in patients with vascular EDS.
PMID- 27206744
TI - Endovascular Aneurysm Repair Increases Aortic Arterial Stiffness When Compared to
Open Repair of Abdominal Aortic Aneurysms.
AB - OBJECTIVES: The initial survival advantage seen with endovascular aneurysm repair
(EVAR) over open repair does not persist in the long term. Pulse wave velocity
(PWV) is a measure of arterial stiffness, and increased PWV is an independent
risk factor for increased cardiovascular morbidity and mortality. This
prospective comparative pilot study examined the effect of implantation of an
aortic graft on PWV in patients undergoing open or endovascular aortic aneurysm
repair. PATIENTS AND METHODS: Thirty-four patients (15 open and 19 EVAR) were
recruited. Patient demographics were similar in both the groups. Pulse wave
velocity was calculated for all patients preoperatively and postoperatively using
a standardized technique on a Philips IU22 Vascular Ultrasound machine and the
results compared. RESULTS: An increase in mean PWV following EVAR was
demonstrated. The mean postprocedure PWV of 9.7 (+/- 4.5) cm/sec detected in the
open group was significantly lower than the elevated 12.2 (+/- 4.5) cm/sec
detected in the EVAR group. The surgical group also demonstrated a mean decrease
of 0.2 (+/- 4.9) cm/sec in PWV following open repair compared to a mean increase
of 3.3 (+/- 3.7) cm/sec in the EVAR group. CONCLUSION: EVAR patients have a
significantly higher postoperative PWV measurement than those undergoing open
abdominal aortic aneurysm repair. Patients who have undergone EVAR may be at a
higher risk of cardiovascular morbidity in the long term. A larger scale study
with a longer prospective follow-up is required.
PMID- 27206740
TI - Nitric oxide synthetic pathway and cGMP levels are altered in red blood cells
from end-stage renal disease patients.
AB - Red blood cells (RBCs) enzymatically produce nitric oxide (NO) by a functional
RBC-nitric oxide synthase (RBC-NOS). NO is a vascular key regulatory molecule. In
RBCs its generation is complex and influenced by several factors, including
insulin, acetylcholine, and calcium. NO availability is reduced in end-stage
renal disease (ESRD) and associated with endothelial dysfunction. We previously
demonstrated that, through increased phosphatidylserine membrane exposure, ESRD
RBCs augmented their adhesion to human cultured endothelium, in which NO
bioavailability decreased. Since RBC-NOS-dependent NO production in ESRD is
unknown, this study aimed to investigate RBC-NOS levels/activation, NO
production/bioavailability in RBCs from healthy control subjects (C, N = 18) and
ESRD patients (N = 27). Although RBC-NOS expression was lower in ESRD-RBCs, NO,
cyclic guanosine monophosphate (cGMP), RBC-NOS Serine1177 phosphorylation level
and eNOS/Calmodulin (CaM)/Heat Shock Protein-90 (HSP90) interaction levels were
higher in ESRD-RBCs, indicating increased enzyme activation. Conversely,
following RBCs stimulation with insulin or ionomycin, NO and cGMP levels were
significantly lower in ESRD- than in C-RBCs, suggesting that uremia might reduce
the RBC-NOS response to further stimuli. Additionally, the activity of multidrug
resistance-associated protein-4 (MRP4; cGMP-membrane transporter) was
significantly lower in ESRD-RBCs, suggesting a possible compromised efflux of
cGMP across the ESRD-RBCs membrane. This study for the first time showed highest
basal RBC-NOS activation in ESRD-RBCs, possibly to reduce the negative impact of
decreased NOS expression. It is further conceivable that high NO production only
partially affects cell function of ESRD-RBCs maybe because in vivo they are
unable to respond to physiologic stimuli, such as calcium and/or insulin.
PMID- 27206746
TI - Spontaneous Intrahepatic Portal Venous Shunt: Presentation and Endovascular
Treatment.
AB - Spontaneous intrahepatic portal venous shunts are rare with only few case reports
published. Treatments using various endovascular techniques have been described,
although no single technique has been shown to be preferred. We present a patient
who was referred for treatment of a spontaneous portal venous shunt and describe
our treatment approach and present a review on previously reported cases.
PMID- 27206745
TI - The Mid-Term Clinical Follow-Up Using Drug-Eluting Balloons on Tibial Artery "De
Novo" Lesions in Patients With Critical Limb Ischemia: A Cohort Study.
AB - RATIONALE: Restenosis due to intimal hyperplasia (IH) is a major clinical issue
that affects the success of lower limb endovascular surgery. After 1 year,
restenosis occurs in 40% to 60% of the treated vessels. The possibility to reduce
IH using local antiproliferative drugs, such as taxols, has been the rationale
for the clinical applications of drug-eluting stents and drug-eluting balloons
(DEBs). The purpose of this study was to evaluate the clinical and instrumental
efficacy of DEBs versus simple percutaneous transluminal angioplasty (PTA) in
patients affected by chronic limb ischemia (CLI) with tibial artery "de novo"
lesions. METHODS: A retrospective analysis was performed and included all
consecutive patients who underwent endovascular treatment for CLI in our centers
between January 2011 and March 2013. Inclusion criteria were (1) "de novo" tibial
artery stenosis and (2) Rutherford class >4. Lesions were further divided by
TransAtlantic Inter-Societal Consensus (TASC) classification into groups A, B, C,
and D. RESULTS: Between January 2010 and March 2013, a total of 138 patients
underwent simple PTA or DEB for CLI, and the groups were clinically and
demographically homogenous. We decided to use DEBs in 70 cases. An improvement in
the Rutherford Scale in cumulative and single TASC lesions classification was
better in the DEB group (74% vs 51%; P = .024) at 24 months than in the PTA
group. In the DEB group, the increase in ankle-brachial index was significantly
higher than in the PTA group (P = .039). CONCLUSIONS: Our experience in addition
to the existing literature supports the use of DEB in patients with CLI
Rutherford class >3.
PMID- 27206747
TI - Development of a Duplex Ultrasound Simulator and Preliminary Validation of
Velocity Measurements in Carotid Artery Models.
AB - OBJECTIVE: Duplex ultrasound scanning with B-mode imaging and both color Doppler
and Doppler spectral waveforms is relied upon for diagnosis of vascular pathology
and selection of patients for further evaluation and treatment. In most duplex
ultrasound applications, classification of disease severity is based primarily on
alterations in blood flow velocities, particularly the peak systolic velocity
(PSV) obtained from Doppler spectral waveforms. We developed a duplex ultrasound
simulator for training and assessment of scanning skills. METHODS: Duplex
ultrasound cases were prepared from 2-dimensional (2D) images of normal and
stenotic carotid arteries by reconstructing the common carotid, internal carotid,
and external carotid arteries in 3 dimensions and computationally simulating
blood flow velocity fields within the lumen. The simulator displays a 2D B-mode
image corresponding to transducer position on a mannequin, overlaid by color
coding of velocity data. A spectral waveform is generated according to examiner
defined settings (depth and size of the Doppler sample volume, beam steering,
Doppler beam angle, and pulse repetition frequency or scale). The accuracy of the
simulator was assessed by comparing the PSV measured from the spectral waveforms
with the true PSV which was derived from the computational flow model based on
the size and location of the sample volume within the artery. RESULTS: Three
expert examiners made a total of 36 carotid artery PSV measurements based on the
simulated cases. The PSV measured by the examiners deviated from true PSV by 8%
+/- 5% (N = 36). The deviation in PSV did not differ significantly between artery
segments, normal and stenotic arteries, or examiners. CONCLUSION: To our
knowledge, this is the first simulation of duplex ultrasound that can create and
display real-time color Doppler images and Doppler spectral waveforms. The
results demonstrate that an examiner can measure PSV from the spectral waveforms
using the settings on the simulator with a mean absolute error in the velocity
measurement of less than 10%. With the addition of cases with a range of
pathologies, this duplex ultrasound simulator will be a useful tool for training
health-care providers in vascular ultrasound applications and for assessing their
skills in an objective and quantitative manner.
PMID- 27206749
TI - Effect of UV-A radiation as an environmental stress on the development,
longevity, and reproduction of the oriental armyworm, Mythimna separata
(Lepidoptera: Noctuidae).
AB - The ultraviolet light (UV-A) range of 320-400 nm is widely used as light trap for
insect pests. Present investigation was aimed to determine the effect of UV light
A radiation on development, adult longevity, reproduction, and development of F1
generation of Mythimna separata. Our results revealed that the mortality of the
second instar larvae was higher than the third and fourth instar larvae after UV
A radiation. As the time of UV-A irradiation for pupae prolonged, the rate of
adult emergence reduced. Along with the extension of radiation time decreased the
longevity of adult females and males. However, the radiation exposure of 1 and 4
h/day increased fecundity of female adults, and a significant difference was
observed in a 1 h/day group. The oviposition rates of female adults in all the
treatments were significantly higher than the control. In addition, UV-A
radiation treatments resulted in declined cumulative survival of F1 immature
stages (eggs, larvae, and pupae). After exposure time of 4 and 7 h/day, the
developmental periods of F1 larvae increased significantly, but no significant
effects on F1 pupal period were recorded.
PMID- 27206748
TI - Presence of microbial and chemical source tracking markers in roof-harvested
rainwater and catchment systems for the detection of fecal contamination.
AB - Microbial source tracking (MST) and chemical source tracking (CST) markers were
utilized to identify fecal contamination in harvested rainwater and gutter debris
samples. Throughout the sampling period, Bacteroides HF183 was detected in 57.5 %
of the tank water samples and 95 % of the gutter debris samples, while adenovirus
was detected in 42.5 and 52.5 % of the tank water and gutter debris samples,
respectively. Human adenovirus was then detected at levels ranging from below the
detection limit to 316 and 1253 genome copies/MUL in the tank water and debris
samples, respectively. Results for the CST markers showed that salicylic acid
(average 4.62 MUg/L) was the most prevalent marker (100 %) in the gutter debris
samples, caffeine (average 18.0 MUg/L) was the most prevalent in the tank water
samples (100 %) and acetaminophen was detected sporadically throughout the study
period. Bacteroides HF183 and salicylic acid (95 %) and Bacteroides HF183 and
caffeine (80 %) yielded high concurrence frequencies in the gutter debris
samples. In addition, the highest concurrence frequency in the tank water samples
was observed for Bacteroides HF183 and caffeine (60 %). The current study thus
indicates that Bacteroides HF183, salicylic acid and caffeine may potentially be
applied as source tracking markers in rainwater catchment systems in order to
supplement fecal indicator analyses.
PMID- 27206750
TI - Nontargeted evaluation of the fate of steroids during wastewater treatment by
comprehensive two-dimensional gas chromatography-time-of-flight mass
spectrometry.
AB - Emerging organic contaminants in wastewater are usually analyzed by targeted
approaches, and especially estrogens have been the focus of environmental
research due to their high hormonal activity. The selection of specific target
compounds means, however, that most of the sample components, including
transformation products and potential new contaminants, are neglected. In this
study, the fate of steroidal compounds in wastewater treatment processes was
evaluated by a nontargeted approach based on comprehensive two-dimensional gas
chromatography-time-of-flight mass spectrometry. The potential of the nontargeted
approach to generate comprehensive information about sample constituents was
demonstrated with use of statistical tools. Transformation pathways of the
tentatively identified compounds with steroidal four-ring structure were
proposed. The purification efficiency of the wastewater treatment plants was
studied, and the distribution of the compounds of interest in the suspended
solids, effluent water, and sludge was measured. The results showed that, owing
to strong adsorption of hydrophobic compounds onto the solid matter, the steroids
were mostly bound to the suspended solids of the effluent water and the sewage
sludge at the end of the treatment process. The most abundant steroid class was
androstanes in the aqueous phase and cholestanes in the solid phase. 17beta
estradiol was the most abundant estrogen in the aqueous phase, but it was only
detected in the influent samples indicating efficient removal during the
treatment process. In the sludge samples, however, high concentrations of an
oxidation product of 17beta-estradiol, estrone, were measured.
PMID- 27206751
TI - Vitality assessment of exposed lichens along different altitudes. Influence of
weather conditions.
AB - To evaluate the effects of the ambient climatic conditions in lichen vitality
along some well oriented transect in different altitudes, lichen transplants of
Parmotrema bangii were exposed approximately every 250 m starting from 50 to 1500
m during a 1-year period in 3 transects along Pico mountain at Azores; electric
conductivity of leachates, elemental concentrations, and the ambient conditions
such as temperature, precipitation, humidity, and altitude were the compared
parameters. According to the obtained values of electric conductivity, it is
credible to consider that vitality was not seriously affected under different
altitudes. In accordance with previous studies, electric conductivity values in
this study show high negative correlation with precipitation and humidity. The
significantly high differences between minimum and maximum electric conductivity
values found along the altitude transect makes that, when comparing elemental
concentrations in time or spatial series, it is required a comparison with lichen
vitality, because the different vitality stages could induce variability in metal
uptake.
PMID- 27206752
TI - Mutagenic properties of linuron and chlorbromuron evaluated by means of
cytogenetic biomarkers in mammalian cell lines.
AB - Agricultural practices are usually supported by several chemical substances, such
as herbicides. Linuron and chlorbromuron are phenylurea herbicides largely used
to protect crops from weeds, blocking photosynthesis by inhibition of the
photosystem II complex. The former, also commercially known as lorox or afalon,
is selectively used to protect bean and French bean plants, fennels, and
celeriacs; the second, commercially known as maloran, is selectively used for
carrots, peas, potatoes, soy sprouts, and sunflowers. Considering the widespread
use of herbicides and, more generally, pesticides, it is important to clarify
their involvement on human health, one of them concerning the possible direct or
indirect effect on the genome of exposed populations. Here, we show that these
herbicides are endowed by mutagenic properties, as demonstrated by an increased
number of chromosomal aberrations (CAs) in two exposed Chinese hamster cell lines
derived from ovary and epithelial liver, respectively. This was also confirmed by
sister chromatid exchange (SCE) and micronucleus (MN) assays. Our present and
previously obtained data clearly indicate that phenylurea herbicides must be used
with great caution, especially for agricultural workers who use large amounts of
herbicides during their work, and particular attention should be given to
residues of these herbicides and their involvement in environmental pollution.
PMID- 27206753
TI - Heavy metal contamination characteristic of soil in WEEE (waste electrical and
electronic equipment) dismantling community: a case study of Bangkok, Thailand.
AB - Sue Yai Utit is an old community located in Bangkok, Thailand which dismantles
waste electrical and electronic equipment (WEEE). The surface soil samples at the
dismantling site were contaminated with copper (Cu), lead (Pb), zinc (Zn), and
nickel (Ni) higher than Dutch Standards, especially around the WEEE dumps.
Residual fractions of Cu, Pb, Zn, and Ni in coarse soil particles were greater
than in finer soil. However, those metals bonded to Fe-Mn oxides were
considerably greater in fine soil particles. The distribution of Zn in the mobile
fraction and a higher concentration in finer soil particles indicated its readily
leachable character. The concentration of Cu, Pb, and Ni in both fine and coarse
soil particles was mostly not significantly different. The fractionation of heavy
metals at this dismantling site was comparable to the background. The
contamination characteristics differed from pollution by other sources, which
generally demonstrated the magnification of the non-residual fraction. A
distribution pathway was proposed whereby contamination began by the deposition
of WEEE scrap directly onto the soil surface as a source of heavy metal. This
then accumulated, corroded, and was released via natural processes, becoming
redistributed among the soil material. Therefore, the concentrations of both the
residual and non-residual fractions of heavy metals in WEEE-contaminated soil
increased.
PMID- 27206754
TI - Removal of PCBs in contaminated soils by means of chemical reduction and advanced
oxidation processes.
AB - Although the chemical reduction and advanced oxidation processes have been widely
used individually, very few studies have assessed the combined
reduction/oxidation approach for soil remediation. In the present study,
experiments were performed in spiked sand and historically contaminated soil by
using four synthetic nanoparticles (Fe(0), Fe/Ni, Fe3O4, Fe3 - x Ni x O4). These
nanoparticles were tested firstly for reductive transformation of polychlorinated
biphenyls (PCBs) and then employed as catalysts to promote chemical oxidation
reactions (H2O2 or persulfate). Obtained results indicated that bimetallic
nanoparticles Fe/Ni showed the highest efficiency in reduction of PCB28 and
PCB118 in spiked sand (97 and 79 %, respectively), whereas magnetite (Fe3O4)
exhibited a high catalytic stability during the combined reduction/oxidation
approach. In chemical oxidation, persulfate showed higher PCB degradation extent
than hydrogen peroxide. As expected, the degradation efficiency was found to be
limited in historically contaminated soil, where only Fe(0) and Fe/Ni particles
exhibited reductive capability towards PCBs (13 and 18 %). In oxidation step, the
highest degradation extents were obtained in presence of Fe(0) and Fe/Ni (18-19
%). The increase in particle and oxidant doses improved the efficiency of
treatment, but overall degradation extents did not exceed 30 %, suggesting that
only a small part of PCBs in soil was available for reaction with catalyst and/or
oxidant. The use of organic solvent or cyclodextrin to improve the PCB
availability in soil did not enhance degradation efficiency, underscoring the
strong impact of soil matrix. Moreover, a better PCB degradation was observed in
sand spiked with extractable organic matter separated from contaminated soil. In
contrast to fractions with higher particle size (250-500 and <500 MUm), no PCB
degradation was observed in the finest fraction (<=250 MUm) having higher organic
matter content. These findings may have important practical implications to
promote successively reduction and oxidation reactions in soils and understand
the impact of soil properties on remediation performance.
PMID- 27206755
TI - Improving the environmental and performance characteristics of vehicles by
introducing the surfactant additive into gasoline.
AB - The operation of modern vehicles requires the introduction of package of fuel
additives to ensure the required level of operating characteristics, some of
which cannot be achieved by current oil refining methods. The use of additives
allows flexibility of impact on the properties of the fuel at minimal cost,
increasing the efficiency and environmental safety of vehicles. Among the wide
assortment of additives available on the world market, many are surfactants. It
has been shown that the introduction of some surfactants into gasoline
concurrently reduces losses from gasoline evaporation, improves the mixture
formation during injection of gasoline into the engine and improves detergent and
anticorrosive properties. The surfactant gasoline additive that provides
significant improvement in the quality of gasoline used and environmental and
operating characteristics of vehicles has been developed and thoroughly
investigated. The results of studies confirming the efficiency of the gasoline
additive application are herein presented.
PMID- 27206756
TI - Source identification and risk assessment of heavy metal contaminations in urban
soils of Changsha, a mine-impacted city in Southern China.
AB - The urban soils suffered seriously from heavy metal pollutions with rapid
industrialization and urbanization in China. In this study, 54 urban soil samples
were collected from Changsha, a mine-impacted city located in Southern China. The
concentrations of heavy metals (As, Cd, Co, Cu, Mn, Ni, Pb, and Zn) were
determined by ICP-MS. The pollution sources of heavy metals were discriminated
and identified by the combination of multivariate statistical and geostatistical
methods. Four main sources were identified according to the results of
hierarchical cluster analysis (HCA), principal component analysis (PCA), and
spatial distribution patterns. Co and Mn were primarily derived from soil parent
material. Cu, Pb, and Zn with significant positive relationships were associated
with mining activities and traffic emissions. Cd and Ni might be affected by
commercial activities and industrial discharges. As isolated into a single group
was considered to have correlation with coal combustion and waste incineration.
Risk assessment of heavy metals in urban soils indicated an overall moderate
potential ecological risk in the urban region of Changsha.
PMID- 27206757
TI - Gabapentin and pregabalin for the treatment of chronic pruritus.
AB - Chronic pruritus is a distressing symptom that is often refractory to treatment.
Patients frequently fail topical therapies and oral over-the-counter
antihistamines, prompting the clinician to consider alternative therapies such as
neuroactive agents. Herein, the use of gabapentin and pregabalin, 2 medications
well known for treating neuropathic pain and epilepsy that are occasionally used
for relieving chronic pruritus is explored. The findings from original sources
published to date to evaluate the use of gabapentin and pregabalin as
antipruritic agents are explored. They are found to be promising alternative
treatments for the relief of several forms of chronic pruritus, particularly
uremic pruritus and neuropathic or neurogenic itch, in patients who fail
conservative therapies.
PMID- 27206758
TI - Oral tranexamic acid (TA) in the treatment of melasma: A retrospective analysis.
AB - BACKGROUND: Melasma is a common pigmentary disorder among Asians and treatment is
challenging. Oral tranexamic acid (TA) has emerged as a potential treatment for
refractory melasma. Large-scale studies on its use, outcomes, and safety are
limited. OBJECTIVE: We sought to evaluate treatment outcomes and adverse effects
of oral TA in melasma in an Asian population. METHODS: We conducted a
retrospective analysis of patients who received oral TA for melasma in a tertiary
dermatologic center from January 2010 to June 2014. RESULTS: In all, 561 patients
(91.4% female, 8.6% male) were enrolled. Median duration of treatment was 4
months. The majority (503 [89.7%]) improved, 56 (10.0%) had no improvement, and 2
(0.4%) worsened. Patients without family history of melasma had better response
rates than those with family history (90.6% vs 60.0%, P = .01). Of the 503 who
improved, response was seen within 2 months of TA initiation, with a relapse rate
of 27.2%. Adverse events occurred in 40 (7.1%). Most were transient, but 1
developed deep vein thrombosis requiring prompt discontinuation. She was later
given the diagnosis of familial protein S deficiency. LIMITATIONS: This was a
retrospective study. CONCLUSION: Oral TA may be an effective adjunct for
refractory melasma. Careful screening for personal and familial risk factors for
thromboembolism should be done before initiation.
PMID- 27206759
TI - Clinical meaningfulness of complete skin clearance in psoriasis.
AB - BACKGROUND: New psoriasis therapies have increased the ability to achieve skin
clearance. However, insufficient evidence exists on the impact of total skin
clearance from the patient perspective. OBJECTIVE: We sought to determine if
complete skin clearance is clinically meaningful compared with treatment
responses without clearance. METHODS: Pooled data from 3 phase-III trials were
used to compare results for patients with complete skin clearance (Psoriasis Area
and Severity Index [PASI] 100 or static Physician Global Assessment score 0) with
patients without complete skin clearance (PASI 75 to <100 or static Physician
Global Assessment score 1) based on Psoriasis Symptom Inventory and Dermatology
Life Quality Index. RESULTS: Percentages of patients with Psoriasis Symptom
Inventory score 0 were 45% for those achieving PASI 100 and 8% for PASI 75 to
<100 (P < .001). Respective percentages with Dermatology Life Quality Index score
0/1 were 80% and 55% (P < .001). PASI 100 resulted in incremental improvement
over PASI 90 to <100 (incremental differences of 28% for Psoriasis Symptom
Inventory score 0 and 18% for Dermatology Life Quality Index score 0). Similar
results were observed for static Physician Global Assessment scores 0 versus 1.
CONCLUSIONS: Complete skin clearance represents a clinically meaningful end point
and outcome for patients, reflected in experiences of no psoriasis symptoms and
no impairment on health-related quality of life.
PMID- 27206760
TI - Full ablation of C9orf72 in mice causes immune system-related pathology and
neoplastic events but no motor neuron defects.
PMID- 27206761
TI - Differential transcriptomic responses to Fusarium graminearum infection in two
barley quantitative trait loci associated with Fusarium head blight resistance.
AB - BACKGROUND: Fusarium graminearum causes Fusarium head blight (FHB), a major
disease problem worldwide. Resistance to FHB is controlled by quantitative trait
loci (QTL) of which two are located on barley chromosomes 2H bin8 and 6H bin7.
The mechanisms of resistance mediated by FHB QTL are poorly defined. RESULTS:
Near-isogenic lines (NILs) carrying Chevron-derived resistant alleles for the two
QTL were developed and exhibited FHB resistance in field trials. To understand
the molecular responses associated with resistance, transcriptomes of the NILs
and recurrent parents (M69 and Lacey) were investigated with RNA sequencing (RNA
Seq) after F. graminearum or mock inoculation. A total of 2083 FHB-responsive
transcripts were detected and provide a gene expression atlas for the barley-F.
graminearum interaction. Comparative analysis of the 2Hb8 resistant (R) NIL and
M69 revealed that the 2Hb8 R NIL exhibited an elevated defense response in the
absence of fungal infection and responded quicker than M69 upon fungal infection.
The 6Hb7 R NIL displayed a more rapid induction of a set of defense genes than
Lacey during the early stage of fungal infection. Overlap of differentially
accumulated genes were identified between the two R NILs, suggesting that certain
responses may represent basal resistance to F. graminearum and/or general biotic
stress response and were expressed by both resistant genotypes. Long noncoding
RNAs (lncRNAs) have emerged as potential key regulators of transcription. A total
of 12,366 lncRNAs were identified, of which 604 were FHB responsive. CONCLUSIONS:
The current transcriptomic analysis revealed differential responses conferred by
two QTL during F. graminearum infection and identified genes and lncRNAs that
were associated with FHB resistance.
PMID- 27206762
TI - Proton pump inhibitors and symptomatic hypomagnesemic hypoparathyroidism.
AB - Hypomagnesemia is a common but often overlooked problem in hospitalized patients.
Unrecognized hypomagnesemia can cause serious complications. The association of
hypokalemia and hypocalcemia is strongly evocative of a magnesium deficiency.
Research into the causes of hypomagnesemia is imperative, as it will definitely
change the approach, treatment and prognosis. We report the case of a 65-year-old
man with chronic hypocalcemia and hypokalemia associated with cerebellar
syndrome, a solitary seizure and cerebellar hyperintensities on magnetic
resonance imaging. After the detection and treatment of hypomagnesemia with oral
supplements of magnesium and the replacement of pantoprazole with ranitidine, we
observed immediate relief of the symptoms. In conclusion, in clinical practice,
magnesium depletion should be investigated in elderly patients with hypocalcemia
treated with proton pump inhibitors for many years, in particular in the presence
of neurological disorders.
PMID- 27206763
TI - Once-daily prolonged-release tacrolimus formulations for kidney transplantation:
what the nephrologist needs to know.
AB - Tacrolimus has long been the cornerstone of the immunosuppressive standard-of
care in kidney transplantation. Until recently, only an immediate-release
formulation of tacrolimus was available in the clinic for twice-daily
administration, a schedule that is known to hamper prescription adherence and
contributes to the already significant tacrolimus interactions with other drugs
and meals. In order to improve patient compliance, two once-daily prolonged
release formulations of tacrolimus have recently been developed and approved.
Here we will analyze the main characteristics of these two prolonged-release
formulations with the aim to provide practical clinical information for a fully
aware drug prescription. Finally, the theoretical advantages of the prolonged
release formulations in terms of prescription adherence, blood level steadiness
and drug efficacy and tolerability will be critically reviewed, in order to
define the profile of renal recipients who may benefit most from the switch to
once-daily tacrolimus.
PMID- 27206764
TI - Sustained release of rhBMP-2 from microporous tricalciumphosphate using hydrogels
as a carrier.
AB - BACKGROUND: Tissue engineering and bone substitutes are subjects of intensive
ongoing research. If the healing of bone fractures is delayed, osteoinductive
materials that induce mesenchymal stem cells (MSCs) to form bone are necessary.
The use of Bone Morphogenetic Protein - 2 is a common means to enhance
effectiveness and accelerate the healing process. A delivery system that
maintains and releases BMP biological activity in controlled fashion at the
surgical site while preventing systemic diffusion (and thereby the risk of
undesirable effects by controlling the amount of protein implanted) is essential.
In this study, we aimed to test a cylindrical TCP-scaffold (porosity ~ 40 %, mean
pore size 5 MUm, high interconnectivity) in comparison to BMP-2. Recombinant
human BMP-2 was dissolved in different hydrogels as a carrier, namely gelatin and
alginate cross-linked with CaCl2-solution, or a solution of GDL and CaCO3. FITC
labeled Protein A was used as a model substance for rhBMP-2 in the pre-trials.
For loading, the samples were put in a flow chamber and sealed with silicone
rings. Using a directional vacuum, the samples were loaded with the alginate-BMP
2-mixture and the loading success monitored by observing changes in a fluorescent
dye (FITC labeled Protein A) under a fluorescence microscope. A fluorescence
reader and ELISA were employed to measure the release. Efficacy was determined in
cell culture experiments (MG63 cells) via Live-Dead-Assay, FACS, WST-1-Assay,
pNPP alkaline phosphatase assay and confocal microscopy. For statistical
analysis, we calculated the mean and standard deviation and carried out an
analysis of variance. RESULTS: Directional vacuum makes it possible to load
nearly 100 % of the interconnected micropores with alginate mixed with rhBMP-2.
Using alginate hardened with CaCl2 as a carrier, BMP-2's release can be
decelerated significantly longer than with other hydrogels - eg, for over 28
days. The effects on osteoblast-like cells were an increase of the growth rate
and expression of alkaline phosphatase while triggering no toxic effect.
CONCLUSION: The rhBMP-2-loaded microporous TCP scaffolds possess proliferative
and osteoinductive potential. Alginate helps to lower the local growth factor
dose below the cytotoxic limit, and allows the release period to be lengthened by
at least 28 days.
PMID- 27206765
TI - LAG-3 Confers a Competitive Disadvantage upon Antiviral CD8+ T Cell Responses.
AB - Ongoing clinical trials are evaluating the benefits of systemic blockade of
lymphocyte activation gene-3 (LAG-3) signals to improve immunity to tumors. Those
studies are founded on the well-established inhibitory role of LAG-3 in
regulating CD8(+) T cells during chronic virus infection and antitumor responses.
However, the T cell response in LAG-3-deficient mice is similar in size and
function to that in wild type animals, suggesting LAG-3 has nuanced immune
regulatory functions. We performed a series of adoptive transfer experiments in
mice to better understand the T cell-intrinsic functions of LAG-3 in the
regulation of CD8(+) T cell responses. Our results indicate that LAG-3 expression
by CD8(+) T cells inhibits their competitive fitness and results in a slightly
reduced rate of cell division in comparison with LAG-3-deficient cells. This cell
intrinsic effect of LAG-3 was consistent across both acute and chronic virus
infections. These data show that LAG-3 directly modulates the size of the T cell
response and support the use of LAG-3 blockade regimens to enhance CD8(+) T cell
responses.
PMID- 27206766
TI - Bone Marrow CD11c+ Cell-Derived Amphiregulin Promotes Pulmonary Fibrosis.
AB - Amphiregulin (AREG), an epidermal growth factor receptor ligand, is implicated in
tissue repair and fibrosis, but its cellular source and role in regeneration
versus fibrosis remain unclear. In this study, we hypothesize that AREG induced
in bone marrow-derived CD11c(+) cells is essential for pulmonary fibrosis. Thus,
the objectives were to evaluate the importance and role of AREG in pulmonary
fibrosis, identify the cellular source of AREG induction, and analyze its
regulation of fibroblast function and activation. The results showed that lung
AREG expression was significantly induced in bleomycin-induced pulmonary
fibrosis. AREG deficiency in knockout mice significantly diminished pulmonary
fibrosis. Analysis of AREG expression in major lung cell types revealed induction
in fibrotic lungs predominantly occurred in CD11c(+) cells. Moreover, depletion
of bone marrow-derived CD11c(+) cells suppressed both induction of lung AREG
expression and pulmonary fibrosis. Conversely, adoptive transfer of bone marrow
derived CD11c(+) cells from bleomycin-treated donor mice exacerbated pulmonary
fibrosis, but not if the donor cells were made AREG deficient prior to transfer.
CD11c(+) cell-conditioned media or coculture stimulated fibroblast proliferation,
activation, and myofibroblast differentiation in an AREG-dependent manner.
Furthermore, recombinant AREG induced telomerase reverse transcriptase, which
appeared to be essential for the proliferative effect. Finally, AREG
significantly enhanced fibroblast motility, which was associated with increased
expression of alpha6 integrin. These findings suggested that induced AREG
specifically in recruited bone marrow-derived CD11c(+) cells promoted bleomycin
induced pulmonary fibrosis by activation of fibroblast telomerase reverse
transcriptase-dependent proliferation, motility, and indirectly, myofibroblast
differentiation.
PMID- 27206767
TI - LFA-1/ICAM-1 Ligation in Human T Cells Promotes Th1 Polarization through a
GSK3beta Signaling-Dependent Notch Pathway.
AB - In this study, we report that the integrin LFA-1 cross-linking with its ligand
ICAM-1 in human PBMCs or CD4(+) T cells promotes Th1 polarization by upregulating
IFN-gamma secretion and T-bet expression. LFA-1 stimulation in PBMCs, CD4(+) T
cells, or the T cell line HuT78 activates the Notch pathway by nuclear
translocation of cleaved Notch1 intracellular domain (NICD) and upregulation of
target molecules Hey1 and Hes1. Blocking LFA-1 by a neutralizing Ab or specific
inhibition of Notch1 by a gamma-secretase inhibitor substantially inhibits LFA
1/ICAM-1-mediated activation of Notch signaling. We further demonstrate that the
Notch pathway activation is dependent on LFA-1/ICAM-1-induced inactivation of
glycogen synthase kinase 3beta (GSK3beta), which is mediated via Akt and ERK.
Furthermore, in silico analysis in combination with coimmunoprecipitation assays
show an interaction between NICD and GSK3beta. Thus, there exists a molecular
cross-talk between LFA-1 and Notch1 through the Akt/ERK-GSK3beta signaling axis
that ultimately enhances T cell differentiation toward Th1. Although clinical use
of LFA-1 antagonists is limited by toxicity related to immunosuppression, these
findings support the concept that Notch inhibitors could be attractive for
prevention or treatment of Th1-related immunologic disorders and have
implications at the level of local inflammatory responses.
PMID- 27206768
TI - Defective Association of the Platelet Glycoprotein Ib-IX Complex with the
Glycosphingolipid-Enriched Membrane Domain Inhibits Murine Thrombus and Atheroma
Formation.
AB - Localization of the platelet glycoprotein Ib-IX complex to the membrane lipid
domain is essential for platelet adhesion to von Willebrand factor and subsequent
platelet activation in vitro. Yet, the in vivo importance of this localization
has never been addressed. We recently found that the disulfide linkage between
Ibalpha and Ibbeta is critical for the association of Ibalpha with the
glycosphingolipid-enriched membrane domain; in this study, we established a
transgenic mouse model expressing this mutant human Ibalpha that is also devoid
of endogenous Ibalpha (HalphaSSMalpha(-/-)). Characterization of this model
demonstrated a similar dissociation of Ibalpha from murine platelet
glycosphingolipid-enriched membrane to that expressed in Chinese hamster ovary
cells, which correlates well with the impaired adhesion of the transgenic
platelets to von Willebrand factor ex vivo and in vivo. Furthermore, we bred our
transgenic mice into an atherosclerosis-prone background (HalphaSSMalpha(-/
)ApoE(-/-) and HalphaWTMalpha(-/-)ApoE(-/-)). We observed that atheroma formation
was significantly inhibited in mutant mice where fewer platelet-bound CD11c(+)
leukocytes were circulating (CD45(+)/CD11c(+)/CD41(+)) and residing in
atherosclerotic lesions (CD45(+)/CD11c(+)), suggesting that platelet-mediated
adhesion and infiltration of CD11c(+) leukocytes may be one of the mechanisms. To
our knowledge, these observations provide the first in vivo evidence showing that
the membrane GEM is physiologically and pathophysiologically critical in the
function of the glycoprotein Ib-IX complex.
PMID- 27206769
TI - The Cytosolic Microbial Receptor Nod2 Regulates Small Intestinal Crypt Damage and
Epithelial Regeneration following T Cell-Induced Enteropathy.
AB - Loss of function in the NOD2 gene is associated with a higher risk of developing
Crohn's disease (CD). CD is characterized by activation of T cells and activated
T cells are involved in mucosal inflammation and mucosal damage. We found that
acute T cell activation with anti-CD3 mAb induced stronger small intestinal
mucosal damage in NOD2(-/-) mice compared with wild-type mice. This enhanced
mucosal damage was characterized by loss of crypt architecture, increased
epithelial cell apoptosis, delayed epithelial regeneration and an accumulation of
inflammatory cytokines and Th17 cells in the small intestine. Partial microbiota
depletion with antibiotics did not decrease mucosal damage 1 d after anti-CD3 mAb
injection, but it significantly reduced crypt damage and inflammatory cytokine
secretion in NOD2(-/-) mice 3 d after anti-CD3 mAb injection, indicating that
microbial sensing by Nod2 was important to control mucosal damage and epithelial
regeneration after anti-CD3 mAb injection. To determine which cells play a key
role in microbial sensing and regulation of mucosal damage, we engineered mice
carrying a cell-specific deletion of Nod2 in villin and Lyz2-expressing cells. T
cell activation did not worsen crypt damage in mice carrying either cell-specific
deletion of Nod2 compared with wild-type mice. However, increased numbers of
apoptotic epithelial cells and higher expression of TNF-alpha and IL-22 were
observed in mice carrying a deletion of Nod2 in Lyz2-expressing cells. Taken
together, our results demonstrate that microbial sensing by Nod2 is an important
mechanism to regulate small intestinal mucosal damage following acute T cell
activation.
PMID- 27206772
TI - Ronald Henry McClure.
PMID- 27206771
TI - Maternal use of folic acid supplements and infant risk of neural tube defects in
Norway 1999-2013.
AB - AIMS: Like most European countries, Norway has refrained from mandatory food
fortification with folic acid to reduce the number of neural tube defects. We
explored the role of folic acid and multivitamin supplements in the prevention of
neural tube defects among newborn infants. METHODS: We used data from the Medical
Birth Registry of Norway, 1999-2013. A total of 528,220 women had 880,568
pregnancies resulting in 896,674 live- and stillborn infants, of whom 270 had
neural tube defects. Relative risks were estimated with log-binomial regression.
RESULTS: From 1999 to 2013, intake of folic acid supplements increased from 4.8%
to 27.4%. Vitamin supplement use was more frequent in older, married or
cohabiting women and those with lower parity, as well as women who did not smoke
during pregnancy. The overall adjusted relative risk of infant neural tube
defects associated with maternal vitamin intake before pregnancy relative to no
intake was 0.76 (95% confidence interval: 0.53-1.10). When we divided our study
period in two (1999-2005 and 2006-2013), we found a significantly reduced risk of
neural tube defects overall by vitamin use in the second time period, but not in
the first: adjusted relative risk 0.54 (95% confidence interval: 0.31-0.91) and
1.02 (95% confidence interval: 0.63-1.65), respectively. CONCLUSIONS: OVER THE
FULL STUDY PERIOD, WE FOUND NO STATISTICALLY SIGNIFICANT ASSOCIATION BETWEEN
VITAMIN USE AND NEURAL TUBE DEFECTS OVERALL HOWEVER, VITAMIN USE WAS ASSOCIATED
WITH A SIGNIFICANTLY LOWER RISK OF NEURAL TUBE DEFECTS IN THE SECOND HALF OF THE
STUDY PERIOD, 2006-2013.
PMID- 27206770
TI - Characterization of SIGIRR/IL-1R8 Homolog from Zebrafish Provides New Insights
into Its Inhibitory Role in Hepatic Inflammation.
AB - Single Ig IL-1R-related molecule (SIGIRR, also called IL-1R8 or Toll/IL-1R
[TIR]8), a negative regulator for Toll/IL-1R signaling, plays critical roles in
innate immunity and various diseases in mammals. However, the occurrence of this
molecule in ancient vertebrates and its function in liver homeostasis and
disorders remain poorly understood. In this study, we identified a SIGIRR
homology from zebrafish (Danio rerio [DrSIGIRR]) by using a number of conserved
structural and functional hallmarks to its mammalian counterparts. DrSIGIRR was
highly expressed in the liver. Ablation of DrSIGIRR by lentivirus-delivered small
interfering RNA in the liver significantly enhanced hepatic inflammation in
response to polyinosinic-polycytidylic acid [poly(I:C)] stimulation, as shown by
the upregulation of inflammatory cytokines and increased histological disorders.
In contrast, depletion of TIR domain-containing adaptor inducing IFN-beta (TRIF)
or administration of TRIF signaling inhibitor extremely abrogated the poly(I:C)
induced hepatic inflammation. Aided by the zebrafish embryo model, overexpression
of DrSIGIRR in vivo significantly inhibited the poly(I:C)- and TRIF-induced NF
kappaB activations; however, knockdown of DrSIGIRR promoted such activations.
Furthermore, pull-down and Duolink in situ proximity ligation assay assays showed
that DrSIGIRR can interact with the TRIF protein. Results suggest that DrSIGIRR
plays an inhibitory role in TRIF-mediated inflammatory reactions by competitive
recruitment of the TRIF adaptor protein from its TLR3/TLR22 receptor. To our
knowledge, this study is the first to report a functional SIGIRR homolog that
existed in a lower vertebrate. This molecule is essential to establish liver
homeostasis under inflammatory stimuli. Overall, the results will enrich the
current knowledge about SIGIRR-mediated immunity and disorders in the liver.
PMID- 27206774
TI - Deficit in NHS provider sector triples in a year to L2.45bn.
PMID- 27206773
TI - Validating reference genes using minimally transformed qpcr data: findings in
human cortex and outcomes in schizophrenia.
AB - BACKGROUND: It is common practice, when using quantitative real time polymerase
chain reaction (qPCR), to normalise levels of mRNA to reference gene mRNA which,
by definition, should not vary between tissue, with any disease aetiology or
after drug treatments. The complexity of human CNS means it unlikely that any
gene could fulfil these criteria. METHODS: To address this issue we measured
levels of mRNA for six potential reference genes (GAPDH, PPIA, SNCA, NOL9, TFB1M
and SKP1) in three cortical regions (Brodmann's areas (BA) 8, 9 and 44) from 30
subjects with schizophrenia and 30 age and sex matched controls. We used a
structured statistical approach to examine the characteristics of these data to
determine their suitability as reference genes. We also analysed our data using
reference genes selected by rank as defined using the average of the standard
deviation of pair-gene DeltaCt and the BestKeeper, NormFinder and geNorm
algorithms to determine if they suggested the same reference genes. RESULTS: Our
minimally derived data showed that levels of mRNA for all of the six genes varied
between cortical regions and therefore no gene fulfilled the absolute
requirements for use as reference genes. As levels of some mRNA for some genes
did not vary with diagnoses within a cortical region from subjects with
schizophrenia compared to controls, we normalised levels of mRNA for all the
other genes to mRNA for one, two or three reference genes in each cortical
region. This showed that using the geometric mean of at least two reference genes
gave more reproducible results. Finally, using the reference gene ranking
protocols the average of the standard deviation of pair-gene DeltaCt, BestKeeper,
NormFinder and geNorm we showed that these approaches ranked potential reference
genes differently. We then showed that outcomes of comparing data from subjects
with schizophrenia and controls varied depending on the reference genes chosen.
CONCLUSIONS: Our data shows that the selection of reference genes is a
significant component of qPCR study design and therefore the process by which
reference genes are selected must be clearly listed as a potential confound in
studying gene expression in human CNS. This should include showing that, using
minimally derived qPCR data, levels of mRNA for proposed reference genes does not
vary with variables such as diagnoses and CNS region.
PMID- 27206775
TI - Perioperative Risks of Untreated Obstructive Sleep Apnea in the Bariatric Surgery
Patient: a Retrospective Study.
AB - BACKGROUND: The use of continuous positive airway pressure (CPAP) perioperatively
in bariatric surgery patients with obstructive sleep apnea (OSA) has been
controversial. Although studies have demonstrated that CPAP use is safe in
bariatric patients, prior studies have not shown improvement in outcomes in these
patients. METHODS: A retrospective review of patients who underwent bariatric
surgery from 2005 to 2009 was performed. All patients underwent polysomnography
preoperatively. Patient age, sex, BMI, comorbidities, polysomnogram data, type of
bariatric procedure, length of hospital stay, and postoperative complications
were reviewed. The Fisher exact test was used for statistical analysis. RESULTS:
Among the 352 patients studied, 47 with apnea-hypopnea index (AHI) >=5 did not
receive CPAP postoperatively. A total of 7/47 (14.9 %) developed postoperative
pulmonary complications. There were no non-pulmonary complications. Some 9/305
(2.95 %) with CPAP developed pulmonary complications. There were 26/305 patients
with all-cause complications (8.52 %). The AHI was higher in the group receiving
treatment. There was a statistically significant difference in pulmonary
complications between patients with and those without treatment (p value 0.0002).
The average length of stay was 3.0 and 3.2 days in treatment and comparison
groups, respectively, a difference that did not reach statistical significance.
CONCLUSIONS: Patients who did not receive CPAP postoperatively developed more
pulmonary complications than those with CPAP, suggesting that CPAP might be
beneficial in decreasing pulmonary complications in patients undergoing bariatric
surgery. However, further investigation is warranted to better delineate other
risk factors due to small sample size in our study group.
PMID- 27206777
TI - A rare case of unilateral severe bullous emphysema in a 32-year-old female.
AB - Congenital bullous emphysema is defined as large bullae involving at least one
third of a hemithorax. We describe the case of a 32-year-old female who presented
with increasing shortness of breath. Radiological investigations revealed severe
emphysema with hyperexpansion of the right lung and a mediastinal shift to the
left. A lung perfusion scan showed reduced perfusion in the right lung. The
patient underwent a right pneumonectomy with a diagnosis of congenital bullous
emphysema. She was doing well 6 years later.
PMID- 27206776
TI - Existence of and decay to equilibrium of the filament end density along the
leading edge of the lamellipodium.
AB - A model for the dynamics of actin filament ends along the leading edge of the
lamellipodium is analyzed. It contains accounts of nucleation by branching, of
deactivation by capping, and of lateral flow along the leading edge by
polymerization. A nonlinearity arises from a Michaelis-Menten type modeling of
the branching process. For branching rates large enough compared to capping
rates, the existence and stability of nontrivial steady states is investigated.
The main result is exponential convergence to nontrivial steady states, proven by
investigating the decay of an appropriate Lyapunov functional.
PMID- 27206778
TI - Combined transcervical and thoracoscopic mediastinal parathyroid adenoma
resection.
AB - The routine approach for excision of a parathyroid adenoma is transcervical, but
this approach is inadequate when the gland is located in the mediastinum.
Traditionally, these cases have required a sternotomy or thoracotomy. We used a
combined transcervical and video-assisted thoracoscopic surgery approach to
resect a parathyroid adenoma that extended to the middle mediastinum.
PMID- 27206779
TI - New technique for fixing rib fracture with bioabsorbable plate.
AB - Fixation of a bone fracture with a bioabsorbable plate made of poly-L-lactide and
hydroxyapatite has received attention. We adopted this technique for a rib
fracture by bending the plate into a U-shape and fixing it with suture through
the holes in the mesh of the plate and holes that are drilled in the edge of the
fractured rib. The suture is also wound around the plate.
PMID- 27206780
TI - Bilateral thoracoscopic extended thymectomy versus sternotomy.
AB - BACKGROUND: Complete open surgical resection is the standard treatment for
thymoma and myasthenia gravis. We evaluated the feasibility of bilateral video
assisted thoracoscopic extended thymectomy, and compared it to surgery via
sternotomy. METHODS: From 2011 to 2014, 43 patients undergoing thymectomy were
divided into 2 groups: 23 underwent video-assisted thoracoscopic extended
thymectomy, and 20 had thymectomy via sternotomy. The primary outcomes were
postoperative pain score (visual analog scale) at 6, 12, 24, 48, and 72 h, and 1
month postoperatively, and morphine consumption in the first 48 h. Secondary
outcomes were surgical and clinical results. RESULTS: There were no significant
differences between the 2 groups in terms of demographics and preoperative
clinical data. Compared to the sternotomy group, the video-assisted thoracoscopic
thymectomy group had lower pain scores and morphine consumption at all time
points, significantly less operative blood loss and chest drainage volume, and
shorter hospital stay. The rates of improvement in myasthenia gravis were 85% and
86% in the video-assisted thoracoscopic thymectomy and sternotomy groups,
respectively. No recurrence of thymoma was found in either group (median follow
up 27 months). CONCLUSIONS: Our results seem to confirm that in selected cases,
video-assisted thoracoscopic thymectomy allows complete resection of thymus and
perithymic tissue, similar to sternotomy but with the known advantages of
minimally invasive surgery including less pain and a good cosmetic result.
PMID- 27206781
TI - Absent left circumflex artery detected by computed tomography-angiography.
PMID- 27206782
TI - Additional superior vena cava combined with abnormal inflow of the hepatic vein.
AB - We represent a case of successful surgical treatment of a rare congenital heart
disease: abnormal inflow of an additional superior vena cava into the left
atrium, combined with atrial septal defect, mitral and tricuspid valve
regurgitation, and abnormal inflow of the left hepatic vein into a roofless
coronary sinus.
PMID- 27206783
TI - Charge-state-distribution analysis of Bach2 intrinsically disordered heme binding
region.
AB - Bach2 is a transcriptional repressor that plays an important role in the
differentiation of T-cells and B-cells. Bach2 is functionally regulated by heme
binding, and possesses five Cys-Pro Cys-Pro (CP)-motifs as the heme binding site.
To reveal the molecular mechanism of heme binding by Bach2, the intrinsically
disordered heme binding region (a.a. 331-520; Bach2331-520) and its CP-motif
mutant were prepared and characterized with and without heme, by UV-Vis
spectroscopy and thermal profiles. In addition, the charge-state-distributions
(CSDs) were assessed by electrospray ionization mass spectrometry. The UV-Vis
spectroscopy revealed a lack of five-coordinated heme binding in the CP-motif
mutant of Bach2331-520 The thermal profile and CSDs of Bach2331-520 indicated
that heme binding induces the destabilization of Bach2331-520 The thermal profile
revealed that the wild type Bach2331-520 was destabilized more than the CP-motif
mutant. The shift in the CSDs by heme binding suggested that heme binding causes
Bach2331-520 to adopt a more compact conformation. In addition, heme binding to
the CP-motif could reduce the flexibility of Bach2331-520 Consequently, the five
coordinated heme binding destabilizes Bach2331-520, by reducing the flexibility
of the polypeptide chain.
PMID- 27206784
TI - Hippo/YAP signaling pathway is involved in osteosarcoma chemoresistance.
AB - BACKGROUND: Osteosarcoma is the most common bone malignancy in children and
adolescents, and 20%-30% of the patients suffer from poor prognosis because of
individual chemoresistance. The Hippo/yes-associated protein (YAP) signaling
pathway has been shown to play a role in tumor chemoresistance, but no previous
report has focused on its involvement in osteosarcoma chemoresistance. This study
aimed to investigate the role of the Hippo/YAP signaling pathway in osteosarcoma
chemoresistance and to determine potential treatment targets. METHODS: Using the
Cell Titer-Glo Luminescent cell viability assay and flow cytometry analysis, we
determined the proliferation and chemosensitivity of YAP-overexpressing and YAP
knockdown osteosarcoma cells. In addition, using western blotting and the real
time polymerase chain reaction technique, we investigated the alteration of the
Hippo/YAP signaling pathway in osteosarcoma cells treated with chemotherapeutic
agents. RESULTS: Mammalian sterile 20-like kinase 1 (MST1) degradation was
increased, and large tumor suppressor kinase 1/2 (LATS1/2) total protein levels
were decreased by methotrexate and doxorubicin, which increased activation and
nuclear translocation of YAP. Moreover, YAP increased the proliferation and
chemoresistance of MG63 cells. CONCLUSIONS: The Hippo/YAP signaling pathway plays
a role in osteosarcoma chemoresistance, and YAP is a potential target for
reducing chemoresistance.
PMID- 27206785
TI - Posttreatment FDG PET/CT in predicting survival of patients with ovarian
carcinoma.
AB - BACKGROUND: The purposes of this study are to evaluate the prognostic value of
posttreatment (18)F-FDG PET/CT in predicting the survival of patients with
ovarian carcinoma and to determine incremental value of combining posttreatment
PET/CT with traditional prognostic factors in a multivariate model. METHODS: This
was an IRB-approved retrospective study. From July 2001 to July 2011, 48 patients
who completed initial therapy for ovarian carcinoma with concurrent 3- to 9-month
initial posttreatment (18)F-FDG PET/CT and serum CA-125 were identified from the
radiology database. Prognostic value of posttreatment PET/CT, CA-125, age, race,
and tumor stage were determined from Cox proportional hazard model using
univariate and multivariate analyses. Time-dependent receiver operator curves
were also calculated at various follow-up intervals. RESULTS: In a univariate
model, overall survival (OS) was associated with PET/CT (hazard ratio = 4.18; 95
% CI 1.49-11.70) and CA-125 (hazard ratio = 11.09; 95 % CI 4.27-28.79). When the
effects of posttreatment PET/CT and CA-125 were combined in the multivariate
analysis, hazard ratio for PET/CT increased to 4.84 (95 % CI 1.59-14.73, p =
0.005) and hazard ratio for CA-125 increased to 14.43 (95 % CI 4.65-44.84, p <
0.001). In the subset of patients with negative CA-125, posttreatment PET/CT had
a hazard ratio of 2.98 (95 % CI 0.86-10.37), supporting the role of posttreatment
PET/CT in risk stratification of patients with negative CA-125. Time-dependent
receiver operator curves showed that the combination of PET/CT and CA-125
improved prognostic accuracy compared to PET/CT or CA-125 alone at 12-, 24-, 30-,
and 36-month follow-up. CONCLUSIONS: Posttreatment PET/CT can predict the
survival of patients with ovarian carcinoma. The addition of posttreatment PET/CT
to the CA-125 serum biomarker has an incremental value in improving prognostic
accuracy, particularly in the subset of patients with negative CA-125.
PMID- 27206787
TI - Diabetes News.
PMID- 27206786
TI - Autoantibody targets in vaccine-associated narcolepsy.
AB - Narcolepsy is a chronic sleep disorder with a yet unknown cause, but the specific
loss of hypocretin-producing neurons together with a strong human leukocyte
antigen (HLA) association has led to the hypothesis that autoimmune mechanisms
might be involved. Here, we describe an extensive effort to profile autoimmunity
repertoires in serum with the aim to find disease-related autoantigens.
Initially, 57 serum samples from vaccine-associated and sporadic narcolepsy
patients and controls were screened for IgG reactivity towards 10 846 fragments
of human proteins using planar microarrays. The discovered differential
reactivities were verified on suspension bead arrays in the same sample
collection followed by further investigation of 14 antigens in 176 independent
samples, including 57 narcolepsy patients. Among these 14 antigens,
methyltransferase-like 22 (METTL22) and 5'-nucleotidase cytosolic IA (NT5C1A)
were recognized at a higher frequency in narcolepsy patients of both sample sets.
Upon sequence analysis of the 14 proteins, polymerase family, member 3 (PARP3),
acyl-CoA-binding domain containing 7 (ARID4B), glutaminase 2 (GLS2) and cyclin
dependent kinase-like 1 (CDKL1) were found to contain amino acid sequences with
homology to proteins found in the H1N1 vaccine. These findings could become
useful elements of further clinical assays that aim towards a better phenotypic
understanding of narcolepsy and its triggers.
PMID- 27206788
TI - Concerted evolution in the mitochondrial control region of the Amazon small
bodied frog Pseudopaludicola canga (Anura, Leiuperidae).
AB - This study presents evidence of concerted evolution in the mitochondrial control
region of the frog Pseudopaludicola canga. Four repeat units of 88 bp (as well as
a fifth, incomplete unit) were observed in the 5' domain, with the duplicated
segments of the same specimen being more related to one another than to the
equivalent regions in other specimens, as a result of concerted evolution. We
highlight that drawing conclusions from phylogeographical analysis using the
control region containing VNTRs must be interpreted with caution, because it
violated a basic assumption of phylogeny, since the regions cannot be treated as
independent characters.
PMID- 27206789
TI - Performative family: homosexuality, marriage and intergenerational dynamics in
China.
AB - Using in-depth interview data on nominal marriages - legal marriages between a
gay man and a lesbian to give the appearance of heterosexuality - this paper
develops the concept of performative family to explain the processes through
which parents and their adult children negotiate and resolve disagreements in
relation to marriage decisions in post-socialist China. We identify three
mechanisms - network pressure, a revised discourse of filial piety and resource
leverage - through which parents influence their gay offspring's decision to turn
to nominal marriage. We also delineate six strategies, namely minimizing network
participation, changing expectations, making partial concessions, drawing the
line, delaying decisions and ending the marriage, by which gay people in nominal
marriages attempt to meet parental expectations while simultaneously retaining a
degree of autonomy. Through these interactions, we argue that Chinese parents and
their gay adult children implicitly and explicitly collaborate to perform family,
emphasizing the importance of formally meeting society's expectations about
marriage rather than substantively yielding to its demands. We also argue that
the performative family is a pragmatic response to the tension between the
persistent centrality of family and marriage and the rising tide of individualism
in post-socialist China. We believe that our findings highlight the specific
predicament of homosexual people. They also shed light on the more general
dynamics of intergenerational negotiation because there is evidence that the
mechanisms used by parents to exert influence may well be similar between gay and
non-gay people.
PMID- 27206790
TI - From cannabis initiation to daily use: educational inequalities in consumption
behaviours over three generations in France.
AB - AIMS: The diffusion of cannabis initiation has been accompanied by a reversal in
the educational gradient: contrary to older generations, the less educated in
recent generations are more likely to initiate than the more educated. We tested
whether the educational gradient for the transition from initiation to daily use
evolved in the same way. DESIGN/SETTING: A French telephone random survey
conducted in 2010 (21 818 respondents aged 15-64 years), asking interviewees
about their ages at initiation to daily use, if any. PARTICIPANTS: A total of
6824 cannabis initiators aged 18-64 years at data collection. Three birth cohort
groups (generations) were compared: 1946-60 (n = 767), 1961-75 (n = 2632) and
1976-92 (n = 3425) with, respectively, 47, 42 and 45% of women. MEASURES: Risks
of transition to daily use from ages 11-34 were compared through time-discrete
logistic regressions and educational gradients were quantified through a relative
index of inequality (RII). Control variables include age and time-varying
variables (ages at tobacco daily use, at first drunkenness and at first other use
of an illicit drug in a list of 13 products). FINDINGS: Twenty-four per cent of
the initiators reported daily use before age 35, the proportions tripling from
the oldest to the youngest generation (from 11.7 to 38.6% in men, from 7.7 to
22.2% in women). Whatever the generation, the less educated initiators more often
shifted to daily use than the most educated: from the oldest to the youngest
generation, RII = 2.13, 95% confidence interval (CI) = [0.65, 7.02]; 2.19 95% CI
= [1.33, 3.63]; and 2.24, 95% CI = [1.60, 3.15] in men; RII = 3.31, 95% CI =
[0.75, 14.68]; 3.17, 95% CI = [1.49, 6.76]; and 3.56, 95% CI = [2.07, 6.14] in
women, respectively. CONCLUSION: In France, the risk of transition from cannabis
initiation to daily use has remained consistently higher among less educated
cannabis initiators over three generations (1946-60, 1961-75, 1976-92), in
contrast to what is observed for initiation.
PMID- 27206791
TI - Enzyme-linked immunosorbent assay detection and bioactivity of Cry1Ab protein
fragments.
AB - The continuing use of transgenic crops has led to an increased interest in the
fate of insecticidal crystalline (Cry) proteins in the environment. Enzyme-linked
immunosorbent assays (ELISAs) have emerged as the preferred detection method for
Cry proteins in environmental matrices. Concerns exist that ELISAs are capable of
detecting fragments of Cry proteins, which may lead to an overestimation of the
concentration of these proteins in the environment. Five model systems were used
to generate fragments of the Cry1Ab protein, which were then analyzed by ELISAs
and bioassays. Fragments from 4 of the model systems were not detectable by ELISA
and did not retain bioactivity. Fragments from the proteinase K model system were
detectable by ELISA and retained bioactivity. In most cases, ELISAs appear to
provide an accurate estimation of the amount of Cry proteins in the environment,
as detectable fragments retained bioactivity and nondetectable fragments did not
retain bioactivity. Environ Toxicol Chem 2016;35:3101-3112. (c) 2016 SETAC.
PMID- 27206792
TI - Fire in the Amazon: impact of experimental fuel addition on responses of ants and
their interactions with myrmecochorous seeds.
AB - The widespread clearing of tropical forests causes lower tree cover, drier
microclimate, and higher and drier fuel loads of forest edges, increasing the
risk of fire occurrence and its intensity. We used a manipulative field
experiment to investigate the influence of fire and fuel loads on ant communities
and their interactions with myrmecochorous seeds in the southern Amazon, a region
currently undergoing extreme land-use intensification. Experimental fires and
fuel addition were applied to 40 * 40-m plots in six replicated blocks, and ants
were sampled between 15 and 30 days after fires in four strata: subterranean,
litter, epigaeic, and arboreal. Fire had extensive negative effects on ant
communities. Highly specialized cryptobiotic and predator species of the litter
layer and epigaeic specialist predators were among the most sensitive, but we did
not find evidence of overall biotic homogenization following fire. Fire reduced
rates of location and transport of myrmecochorous seeds, and therefore the
effectiveness of a key ecosystem service provided by ants, which we attribute to
lower ant abundance and increased thermal stress. Experimental fuel addition had
only minor effects on attributes of fire severity, and limited effects on ant
responses to fire. Our findings indicate that enhanced fuel loads will not
decrease ant diversity and ecosystem services through increased fire severity, at
least in wetter years. However, higher fuel loads can still have a significant
effect on ants from Amazonian rainforests because they increase the risk of fire
occurrence, which has a detrimental impact on ant communities and a key ecosystem
service they provide.
PMID- 27206794
TI - Emergent spatial synaptic structure from diffusive plasticity.
AB - Some neurotransmitters can diffuse freely across cell membranes, influencing
neighbouring neurons regardless of their synaptic coupling. This provides a means
of neural communication, alternative to synaptic transmission, which can
influence the way in which neural networks process information. Here, we ask
whether diffusive neurotransmission can also influence the structure of synaptic
connectivity in a network undergoing plasticity. We propose a form of Hebbian
synaptic plasticity which is mediated by a diffusive neurotransmitter. Whenever a
synapse is modified at an individual neuron through our proposed mechanism,
similar but smaller modifications occur in synapses connecting to neighbouring
neurons. The effects of this diffusive plasticity are explored in networks of
rate-based neurons. This leads to the emergence of spatial structure in the
synaptic connectivity of the network. We show that this spatial structure can
coexist with other forms of structure in the synaptic connectivity, such as with
groups of strongly interconnected neurons that form in response to correlated
external drive. Finally, we explore diffusive plasticity in a simple feedforward
network model of receptive field development. We show that, as widely observed
across sensory cortex, the preferred stimulus identity of neurons in our network
become spatially correlated due to diffusion. Our proposed mechanism of diffusive
plasticity provides an efficient mechanism for generating these spatial
correlations in stimulus preference which can flexibly interact with other forms
of synaptic organisation.
PMID- 27206793
TI - Exploring equity in primary-care-based physical activity interventions using
PROGRESS-Plus: a systematic review and evidence synthesis.
AB - BACKGROUND: Little is known about equity effects in primary care based physical
activity interventions. This review explored whether differences in intervention
effects are evident across indicators of social disadvantage, specified under the
acronym PROGRESS-Plus (place of residence, race/ethnicity, occupation, gender,
religion, education, social capital, socioeconomic status, plus age, disability
and sexual orientation). METHODS: Six bibliographic databases were systematically
searched for randomised controlled trials (RCTs) of physical activity
interventions conducted in primary care. Harvest plots were used to synthesize
findings from RCTs reporting subgroup or interaction analyses examining
differences in intervention effects across levels of at least one PROGRESS-Plus
factor. RESULTS: The search yielded 9052 articles, from which 173 eligible RCTs
were identified. Despite PROGRESS-Plus factors being commonly measured (N = 171
RCTs), differential effect analyses were infrequently reported (N = 24 RCTs).
Where reported, results of equity analyses suggest no differences in effect
across levels or categories of place of residence (N = 1RCT), race (N = 4 RCTs),
education (N = 3 RCTs), socioeconomic status (N = 3 RCTs), age (N = 16 RCTs) or
disability (N = 2 RCTs). Mixed findings were observed for gender (N = 22 RCTs),
with some interventions showing greater effect in men than women and others vice
versa. Three RCTs examined indicators of social capital, with larger post
intervention differences in physical activity levels between trial arms found in
those with higher baseline social support for exercise in one trial only. No RCTs
examined differential effects by participant occupation, religion or sexual
orientation. CONCLUSION: The majority of RCTs of physical activity interventions
in primary care record sufficient information on PROGRESS-Plus factors to allow
differential effects to be studied. However, very few actually report details of
relevant analyses to determine which population subgroups may stand to benefit or
be further disadvantaged by intervention efforts.
PMID- 27206795
TI - Tumor-selective replication herpes simplex virus-based technology significantly
improves clinical detection and prognostication of viable circulating tumor
cells.
AB - Detection of circulating tumor cells remains a significant challenge due to their
vast physical and biological heterogeneity. We developed a cell-surface-marker
independent technology based on telomerase-specific, replication-selective
oncolytic herpes-simplex-virus-1 that targets telomerase-reverse-transcriptase
positive cancer cells and expresses green-fluorescent-protein that identifies
viable CTCs from a broad spectrum of malignancies. Our method recovered 75.5
87.2% of tumor cells spiked into healthy donor blood, as validated by different
methods, including single cell sequencing. CTCs were detected in 59-100% of 326
blood samples from patients with 6 different solid organ carcinomas and
lymphomas. Significantly, CTC-positive rates increased remarkably with tumor
progression from N0M0, N+M0 to M1 in each of 5 tested cancers (lung, colon,
liver, gastric and pancreatic cancer, and glioma). Among 21 non-small cell lung
cancer cases in which CTC values were consecutively monitored, 81% showed
treatment-related decreases, which was also found after treatments in the other
solid tumors. Moreover, monitoring CTC values provided an efficient treatment
response indicator in hematological malignancies. Compared to CellSearch, our
method detected significantly higher positive rates in 40 NSCLC in all stages,
including N0M0, N+M0 and M1, and was less affected by chemotherapy. This simple,
robust and clinically-applicable technology detects viable CTCs from solid and
hematopoietic malignancies in early to late stages, and significantly improves
clinical detection and treatment prognostication.
PMID- 27206797
TI - Pseudomonas aeruginosa mannose-sensitive hemagglutinin inhibits proliferation and
invasion via the PTEN/AKT pathway in HeLa cells.
AB - We investigated the effects of Pseudomonas aeruginosa mannose-sensitive
hemagglutinin (PA-MSHA) on the proliferation and invasion of human cervical
cancer cell lines, as well as the molecular pathways underlying these effects.
MTT cell proliferation assays revealed a time- and concentration-dependent
cytotoxic effect of PA-MSHA on HeLa cells but not H8 cells. Flow cytometry with
propidium iodide and annexin-V-fluorescein isothiocyanate labeling (FITC)
indicated that various concentrations of PA-MSHA could induce apoptosis and G2-M
cell cycle arrest in HeLa cells. PA-MSHA also impaired the migration and invasion
abilities of HeLa cells in Wound healing and Transwell invasion assays. Western
blot results demonstrated that PA-MSHA reduced the expression of p-AKT, p
GSK3beta, BCL-2, Vimentin and beta-catenin, but increased the levels of PTEN,
BAD, BAX and E-cadherin in HeLa cells. Importantly, PTEN siRNA induced the
activity of p-AKT, while PA-MSHA partly inhibited this induction, indicating that
PA-MSHA may reduce the cell proliferation and invasion potential by activating
PTEN and thus inhibiting the AKT pathway in vitro. These data suggest the
potential application of PA-MSHA to the treatment of human cervical cancer.
PMID- 27206796
TI - The novel choline kinase inhibitor ICL-CCIC-0019 reprograms cellular metabolism
and inhibits cancer cell growth.
AB - The glycerophospholipid phosphatidylcholine is the most abundant phospholipid
species of eukaryotic membranes and essential for structural integrity and
signaling function of cell membranes required for cancer cell growth. Inhibition
of choline kinase alpha (CHKA), the first committed step to phosphatidylcholine
synthesis, by the selective small-molecule ICL-CCIC-0019, potently suppressed
growth of a panel of 60 cancer cell lines with median GI50 of 1.12 MUM and
inhibited tumor xenograft growth in mice. ICL-CCIC-0019 decreased phosphocholine
levels and the fraction of labeled choline in lipids, and induced G1 arrest,
endoplasmic reticulum stress and apoptosis. Changes in phosphocholine cellular
levels following treatment could be detected non-invasively in tumor xenografts
by [18F]-fluoromethyl-[1,2-2H4]-choline positron emission tomography. Herein, we
reveal a previously unappreciated effect of choline metabolism on mitochondria
function. Comparative metabolomics demonstrated that phosphatidylcholine pathway
inhibition leads to a metabolically stressed phenotype analogous to mitochondria
toxin treatment but without reactive oxygen species activation. Drug treatment
decreased mitochondria function with associated reduction of citrate synthase
expression and AMPK activation. Glucose and acetate uptake were increased in an
attempt to overcome the metabolic stress. This study indicates that choline
pathway pharmacological inhibition critically affects the metabolic function of
the cell beyond reduced synthesis of phospholipids.
PMID- 27206798
TI - Genome-wide DNA methylation profiles altered by Helicobacter pylori in gastric
mucosa and blood leukocyte DNA.
AB - PURPOSE: To investigate Helicobacter pylori (H.pylori) associated genome-wide
aberrant methylation patterns in gastric mucosa and blood leukocyte DNA, a
population-based study was conducted in Linqu County. RESULTS: A total of 3000
and 386 CpGs were differentially methylated after successful H.pylori eradication
in gastric mucosa and blood leukocyte DNA respectively, and 17 were the same
alteration trend in the both tissues. The differentially methylated CpGs were
located more frequently in promoters or CpG islands for gastric mucosa and gene
body or open sea for blood leukocyte DNA. In eradicated gastric mucosa, the
hypermethylated CpGs were enriched across inflammatory pathways, while the
hypomethylated CpGs in tube morphogenesis, development and so on. The final
validation found lower SPI1, PRIC285 and S1PR4 methylation levels in H.pylori
positive subjects by case-control comparison, and increased methylation levels in
H.pylori eradicated gastric mucosa by self-comparison. The Cancer Genome Atlas
(TCGA) database analysis suggested that the up-regulation of the three genes by
hypomethylation might be associated with gastric carcinogenesis. EXPERIMENTAL
DESIGN: Infinium HumanMethylation 450K BeadChip was used to compare methylation
profiles prior to and after eradication treatment. The methylation levels of
identified candidate differentially methylated genes before and after H.pylori
eradication were further validated by two stages (Stage I: self-comparison of 16
subjects before and after anti-H.pylori treatment; Stage II: case-control
comparison of 25 H.pylori positive and 25 negative subjects and self-comparison
of 50 anti-H.pylori treated subjects). CONCLUSIONS: Novel H.pylori associated
aberrant methylated genes were identified across the whole genome both in gastric
mucosa and blood leukocyte DNA.
PMID- 27206801
TI - Adverse event-related costs for systemic metastatic breast cancer treatment among
female Medicaid beneficiaries.
AB - OBJECTIVE: This retrospective study compared the real-world incidence and costs
of systemic treatment-related adverse events (AEs) in patients with metastatic
breast cancer in a Medicaid population. METHODS: Insurance claims data for adult
women who received biologic or chemotherapy (+/- hormonal therapy) for metastatic
breast cancer between 2006-2013 were extracted from the Truven Health
MarketScan(r) Multi-State Medicaid database. Incidence of AEs (per 100 person
years) and average monthly AE-related healthcare costs (per-patient-per-month)
during each line of therapy (first or later lines) were estimated. The
association between AEs and total all-cause healthcare costs was estimated using
multivariable regression. RESULTS: A total of 729 metastatic breast cancer
patients were analyzed. Hematological (202.3 per 100 person years) and
constitutional AEs (289.6 per 100 person years) were the most common class of AEs
reported. Unadjusted per-patient-per-month AE-related expenditure by class were
highest for hematological AEs ($1524), followed by gastrointestinal ($839) and
constitutional AEs ($795), with anemia ($942), nausea/vomiting ($699), and
leukopenia/neutropenia ($550) having incurred the highest total AE-related costs.
Adjusted total all-cause monthly costs increased with the number of AEs ($19,701
for >7 AEs, $16,264 for 4 - 6 AEs, and $13,731 for 1 - 3 AEs) compared to no AEs
($5908) (all p < 0.01). CONCLUSIONS: Among metastatic breast cancer patients
treated with systemic therapy in a Medicaid population, AEs were associated with
significant increases in costs, which increased with the number of AEs
experienced. Therapies associated with a lower incidence of AEs may reduce cost
burden and improve patient outcomes.
PMID- 27206800
TI - Signaling regulation and role of filamin A cleavage in Ca2+-stimulated migration
of androgen receptor-deficient prostate cancer cells.
AB - Ca2+, a ubiquitous cellular signal, and filamin A, an actin-binding protein, play
an important role in the regulation of cell adhesion, shape and motility. Using
transwell filters to analyze cell migration, we found that extracellular Ca2+
(Cao2+) promotes the migration of androgen receptor (AR)-deficient and highly
metastatic prostate cancer cell lines (DU145 and PC-3) compared to AR-positive
and relatively less metastatic prostate cancer cells (LNCaP). Furthermore, we
found that expression of filamin A is up-regulated in DU145 and PC-3 cells, and
that Cao2+ significantly induces the cleavage of filamin A. Silencing expression
of Ca2+-sensing receptor (CaR) and p115RhoGEF, and treating with leupeptin, a
protease inhibitor, and ALLM, a calpain specific inhibitor, we further
demonstrate that Cao2+-induced filamin A cleavage occurs via a CaR- p115RhoGEF
calpain dependent pathway. Our data show that Cao2+ via CaR- mediated signaling
induces filamin A cleavage and promotes the migration in AR-deficient and highly
metastatic prostate cancer cells.
PMID- 27206802
TI - Specific immunotherapy in hepatocellular cancer: A systematic review.
AB - BACKGROUND AND AIM: In recent years, several novel immunotherapeutic approaches
were developed and investigated in patients with hepatocellular carcinoma (HCC).
We designed this systematic review, to evaluate clinical efficacy of specific
immunotherapy in patients with HCC, according to the guidelines of Border of
Immune Tolerance Education and Research Network (BITERN) and Cochrane
collaboration. METHODS: We searched Medline, Scopus, CENTRAL, TRIP, DART,
OpenGrey, and ProQuest through the 9th of December 2015. One author reviewed and
retrieved citations from these seven databases for irrelevant and duplicate
studies, and two other authors independently extracted data from the studies and
rated their quality. We collated study findings and calculated a weighted
treatment effect across studies using Review Manager. RESULTS: We found 12144
references in seven databases of which 21 controlled studies with 1885 HCC
patients in different stages were included in this systematic review after the
primary and secondary screenings. Overall, patients undergoing specific
immunotherapy had significantly higher overall survival than those in control
group (HR = 0.59; 95% CI = 0.47-0.76, P < 0.0001). There was a significant
difference in recurrence-free survival between patients undergoing specific
immunotherapy and patients in control groups and patients in immunotherapy groups
overall had less recurrence than control group (HR = 0.54; 95% CI = 0.46-0.63, P
< 0.00001). CONCLUSIONS: Results of this systematic review based on the available
literature suggest that overall specific immunotherapeutic approaches could be
beneficiary for the treatment of patients with HCC. This further supports the
current and ongoing evaluations of specific immunotherapies in the field.
PMID- 27206799
TI - Sensitive and affordable diagnostic assay for the quantitative detection of
anaplastic lymphoma kinase (ALK) alterations in patients with non-small cell lung
cancer.
AB - Accurate detection of altered anaplastic lymphoma kinase (ALK) expression is
critical for the selection of lung cancer patients eligible for ALK-targeted
therapies. To overcome intrinsic limitations and discrepancies of currently
available companion diagnostics for ALK, we developed a simple, affordable and
objective PCR-based predictive model for the quantitative measurement of any ALK
fusion as well as wild-type ALK upregulation. This method, optimized for low
quantity/-quality RNA from FFPE samples, combines cDNA pre-amplification with ad
hoc generated calibration curves. All the models we derived yielded concordant
predictions when applied to a cohort of 51 lung tumors, and correctly identified
all 17 ALK FISH-positive and 33 of the 34 ALK FISH-negative samples. The one
discrepant case was confirmed as positive by IHC, thus raising the accuracy of
our test to 100%. Importantly, our method was accurate when using low amounts of
input RNA (10 ng), also in FFPE samples with limited tumor cellularity (5-10%)
and in FFPE cytology specimens. Thus, our test is an easily implementable
diagnostic tool for the rapid, efficacious and cost-effective screening of ALK
status in patients with lung cancer.
PMID- 27206803
TI - Nitro-Triarylmethyl Radical as Dual Oxygen and Superoxide Probe.
AB - Superoxide radical is involved in numerous physiological and pathophysiological
processes. Tetrathiatriarylmethyl (TAM) radicals are known to react with
superoxide allowing measurement of superoxide production in biological media. We
report the synthesis of a Nitro conjugated TAM radical showing a rate constant of
7 * 105 M-1 s-1 which is two order of magnitude higher than other TAMs, allowing
high sensitivity measurement of superoxide.
PMID- 27206805
TI - Optical coherence tomography assessment ruled out the need for intervention in a
'hazy' angiographic image.
PMID- 27206804
TI - The fate of completed intentions.
AB - The goal of this research was to determine whether and how people deactivate
prospective memory (PM) intentions after they have been completed. One view
proposes that PM intentions can be deactivated after completion, such that they
no longer come to mind and interfere with current tasks. Another view is that now
irrelevant completed PM intentions exhibit persisting activation, and continue to
be retrieved. In Experiment 1, participants were given a PM intention embedded
within the ongoing task during Phase 1, after which participants were told either
that the PM task had been completed or suspended until later. During Phase 2,
participants were instructed to perform only the ongoing task and were
periodically prompted to report their thoughts. Critically, the PM targets from
Phase 1 reappeared in Phase 2. All of our measures, including thoughts reported
about the PM task, supported the existence of persisting activation. In
Experiment 2, we varied conditions that were expected to mitigate persisting
activation. Despite our best attempts to promote deactivation, we found evidence
for the persistence of spontaneous retrieval in all groups after intentions were
completed. The theoretical and practical implications of this potential dark side
to spontaneous retrieval are discussed.
PMID- 27206806
TI - Adaptive governance of riverine and wetland ecosystem goods and services.
AB - Adaptive governance and adaptive management have developed over the past quarter
century in response to institutional and organizational failures, and unforeseen
changes in natural resource dynamics. Adaptive governance provides a context for
managing known and unknown consequences of prior management approaches and for
increasing legitimacy in the implementation of flexible and adaptive management.
Using examples from iconic water systems in the United States, we explore the
proposition that adaptive management and adaptive governance are useful for
evaluating the complexities of trade-offs among ecosystem goods and services.
PMID- 27206807
TI - Are errors of commission better than errors of omission?
PMID- 27206808
TI - Chimney and sandwich stent grafts for hybrid repair of type A dissection late
after a Bentall for Marfan syndrome.
PMID- 27206809
TI - Intramural ventricular septal defect after repair of conotruncal anomalies: Is
there light at the end of the tunnel?
PMID- 27206810
TI - Bi treatment with hydralazine/nitrates vs. placebo in Africans admitted with
acute HEart Failure (BA-HEF).
AB - AIMS: Patients with acute heart failure (HF) in Africa are rarely being treated
with a hydralazine/nitrates combination. Therefore the effect of this treatment
was studied here. METHODS AND RESULTS: The study was planned to enrol 500
patients during an acute HF admission, from nine sub-Saharan African countries.
Patients were randomized in a double-blind manner to receive 50 mg hydralazine/20
mg isosorbide dinitrate (HYIS) t.i.d. or matching placebo for 24 weeks followed
by open label HYIS for all patients. The study was terminated after 147 patients
were enrolled due mostly to issues with recruitment into a prospective, placebo
controlled study. Most patients were recruited from Mozambique, South Africa,
Kenya, and Uganda. The primary endpoint of death or HF readmission through 24
weeks was neutral [hazard ratio (HR) 1.05, 95% confidence interval (CI) 0.48
2.27, P = 0.90] in the 133 randomized patients included in the analyses. There
were non-signficant effects in favour of HYIS in secondary endpoints including
change in dyspnoea severity at day 7 or discharge, decrease in systolic blood
pressure, greater decrease in weight, and increase in 6-min walk test distance at
week 24. There were also small changes in echocardiographic indices of cardiac
size and function in favour or HYIS, but none was significant. CONCLUSION: The BA
HEF trial demonstrated challenges in recruiting the expected number of patients
with acute HF in a number of African countries, which highlights the need for
strategic logistic support. TRIAL REGISTRATION: NCT01822808.
PMID- 27206811
TI - Enterovirus 71: a whole virion inactivated enterovirus 71 vaccine.
AB - INTRODUCTION: Enterovirus A71 (EV71) is the predominant causative agent of hand,
foot, and mouth disease (HFMD), which is often associated with severe cases and
even deaths. EV71-associated epidemics have emerged as a serious threat to public
health, particularly in the Asia-Pacific region. AREAS COVERED: We searched
PubMed using the terms 'enterovirus 71', 'hand, foot, and mouth disease', and
'vaccine', with no date or language restrictions for all publications before
April 27, 2016. Among various vaccine candidates, the alum-adjuvant inactivated
EV71 vaccines are most promising. Three alum-adjuvant inactivated EV71 vaccines
developed by mainland China showed high efficacy, good immunogenicity persistence
and acceptable safety profiles in clinical trials. Recently, two of these EV71
vaccines have been approved for marketing in China and the other one is
undergoing the review process of licensure. In this manuscript, we summarized
previous study results as well as discussed the regulatory affairs and post
market surveillances issues. Expert commentary: The marketing of EV71 vaccines is
a milestone in the controlling of HFMD. International clinical trials are needed
to further assess the efficacy and cross-immunogenicity. Establishing a sensitive
pathogen monitoring system would be essential to monitor the variation of
genotypes and control HFMD epidemics.
PMID- 27206812
TI - Pathophysiology of fecal incontinence differs between men and women: a case
matched study in 200 patients.
AB - BACKGROUND: Fecal incontinence (FI) is a common and socially disabling condition
with obstetric trauma considered the principal etiological factor. This study
aimed to systematically evaluate symptom presentation and anorectal function in
both females and males with FI. METHODS: One hundred males (M) and 100 age
matched females (F) with FI presenting between 2012 and 2014 were identified from
a prospectively collected database. Comparison of clinical (history, symptom
profile, and severity using validated questionnaires) and anorectal physiological
(manometry, rectal sensory testing, endoanal ultrasonography, and evacuation
proctography) data between M and F was performed. KEY RESULTS: Incidence of prior
anal surgery (M: 28% vs F: 18%, p = 0.13) and abdominal surgery (M: 25% vs F:
26%, p = 0.90) was similar between sexes, but females had a higher incidence of
previous pelvic surgery (M: 4% vs F: 47%, p < 0.001). Eighty-five females were
parous and 75% reported history of traumatic vaginal delivery. There was a trend
toward higher St Mark's incontinence scores in females (mean +/- SD; M: 13 +/- 4
vs F: 14 +/- 5, p = 0.06). In men, structural sphincter abnormalities were
uncommon (M: 37% vs F: 77%, p < 0.001), while impaired rectal sensation (M: 24%
vs F: 7%, p = 0.001) and functional disturbances of evacuation (M: 36% vs F: 13%,
p = 0.001) were more common than in women. No abnormality on all tests performed
was observed in twice as many males (M: 18% vs F: 9%, p = 0.10). CONCLUSIONS &
INFERENCES: Pathophysiological mechanisms of FI differ between sexes. Anal
sphincter dysfunction was an uncommon finding in males, with impaired rectal
sensation and functional disturbances of evacuation much more prominent than in
the female cohort. These findings are likely to impact options for symptom
management.
PMID- 27206814
TI - Excess cervical cancer screening smears: Any benefit? A retrospective cohort in
Alsace, France.
AB - Objectives Although cervical cancer screening guidelines in France recommend a
smear test every three years, many physicians order more regular screening. We
aimed to assess the benefits or harms of shorter intervals between screenings,
both for women and public health. Methods For a retrospective cohort of women
aged 25-65 who had two normal smears and at least one additional smear, data were
sourced from a regionally organized cervical cancer screening programme in
France, with follow-up for nine years. Based on the interval between the second
and third smear, two groups were formed; the first comprised overscreened women
(interval <24 months), and the second of 'correctly' screened women (interval
between 24 and 42 months). The primary outcome was cervical intraepithelial
neoplasia 2 or worse (CIN2+); secondary outcomes were cervical cancers and CIN1
lesions. Results Among 63,821 women, CIN2+ incidence rate per 10,000 women per
year was 14.5 for 40,350 overscreened women, and 11.5 for 23,471 correctly
screened women. Age-adjusted relative risk was 1.22[1.02; 1.46]. We found no
significant difference for cancer (RR = 1.39; 95%CI = [0.60; 3.61]), but did find
additional CIN1 in the overscreened group (RR = 2.09; 95%CI = [1.76; 2.51]).
Conclusions A shorter interval between smears has a low benefit for CIN2+ lesion
detection, which may not help avoid cancer. The excess number of CIN1 detected by
overscreening may cause needless risk and excess costs due to overtreatment.
PMID- 27206813
TI - Effect of gender, age, diet and smoking status on chronomics of circulating
plasma lipid components in healthy Indians.
AB - BACKGROUND: Circulating lipid components were studied under near-normal tropical
conditions (around Lucknow) in 162 healthy volunteers - mostly medical students,
staff members and members of their families (103 males and 59 females; 7 to 75y),
subdivided into 4 age groups: A (7-20y; N=42), B (21-40y; N=60), C (41-60y; N=35)
and D (61-75y; N=25). METHODS: Blood samples were collected from each subject
every 6h for 24h (4 samples). Plasma was separated and total cholesterol, high
density-lipoprotein (HDL) cholesterol, phospholipids and total lipids were
measured spectrophotometrically. Data from each subject were analyzed by cosinor.
We examined by multiple-analysis of variance how the MESOR (Midline Estimating
Statistic Of Rhythm, a rhythm-adjusted mean) and the circadian amplitude of these
variables is affected by gender, age, diet (vegetarian vs. omnivore), and smoking
status. RESULTS: In addition to effects of gender and age, diet and smoking were
found to affect the MESOR of circulating plasma lipid components in healthy
Indians residing in northern India. Age also affected the circadian amplitude of
these variables. CONCLUSION: These results indicate the possibility of using non
pharmacological interventions to improve a patient's metabolic profile before
prescribing medication under near normal tropical conditions. They also add
information that may help refine cut-off values in the light of factors shown
here to affect blood lipids.
PMID- 27206816
TI - Severe mechanical hemolysis in a patient with thalassemia minor who had undergone
inappropriate splenectomy.
PMID- 27206815
TI - Impact of invitation schemes on breast cancer screening coverage: A cohort study
from Copenhagen, Denmark.
AB - Background The purpose of mammography screening is to decrease breast cancer
mortality. To achieve this a high coverage by examination is needed. Within an
organized screening programme, we examined the impact of changes in the
invitation schedule on the interplay between coverage and participation. Method
We studied nine cohorts aged 50-51 when first targeted by mammography screening
in Copenhagen, Denmark. Population data were retrieved from the Danish Civil
Registration System; invitation and attendance data from the screening programme
database. Data were linked using unique personal identification numbers. Coverage
by invitation was defined as (number of invited women/number of targeted women),
coverage by examination as (number of screened women/number of targeted women),
and participation rate as (number of screened women/number of invited women).
Results Coverage by invitation was close to or above 95% for all newly recruited
cohorts. In subsequent invitation rounds, both technical errors and changes in
the invitation scheme affected the coverage by invitation. Coverage by
examination at first invitation was 72.5% for the first cohort, but dropped to
64.2% for the latest cohort. Furthermore, coverage by examination dropped by
increasing invitation number and with omission of re-invitation of previous non
attenders. Participation rate closely reflected changes in the invitation scheme.
Conclusion Changes in the invitation schemes influenced coverage by invitation,
coverage by examination, and participation rate. We observed a considerable gap
between coverage by examination and participation rate, strongly indicating that
the latter cannot without reservations, be taken as an indicator of the first.
PMID- 27206817
TI - Measurement of activity limitations and participation restrictions: examination
of ICF-linked content and scale properties of the FIM and PC-PART instruments.
AB - PURPOSE: To explore the operationalization of activity and participation-related
measurement constructs through comparison of item phrasing, item response
categories and scoring (scale properties) for two separate instruments targeting
activities of daily living. METHOD: Personal Care Participation Assessment and
Resource Tool (PC-PART) item content was linked to ICF categories using
established linking rules. Previously reported ICF-linked FIM content categories
and ICF-linked PC-PART content categories were compared to identify common ICF
categories between the instruments. Scale properties of both instruments were
compared using a patient scenario to explore the instruments' separate
measurement constructs. RESULTS: The PC-PART and FIM shared 15 of the 53 level
two ICF-linked categories identified across both instruments. Examination of the
instruments' scale properties for items with overlapping ICF content, and
exploration through a patient scenario, provided supportive evidence that the
instruments measure different constructs. CONCLUSIONS: While the PC-PART and FIM
share common ICF-linked content, they measure separate constructs. Measurement
construct was influenced by the instruments' scale properties. The FIM was
observed to measure activity limitations and the PC-PART measured participation
restrictions. Scrutiny of instruments' scale properties in addition to item
content is critical in the operationalization of activity and participation
related measurement constructs. Implications for Rehabilitation When selecting
outcome measures for use in rehabilitation it is necessary to examine both the
content of the instruments' items and item phrasing, response categories and
scoring, to clarify the construct being measured. Measurement of activity
limitations as well as participation restrictions in activities of daily living
required for community life provides a more comprehensive measurement of
rehabilitation outcomes than measurement of either construct alone. To measure
the effects of interventions used in rehabilitation, it is necessary to select
measures with relevant content and scale properties that enable evaluation of
change in the constructs that are expected to change, as a result of the
rehabilitation intervention.
PMID- 27206818
TI - Updated Clinical Practice Guidelines on Heart Failure: An International
Alignment.
PMID- 27206820
TI - Idiopathic segmental anhidrosis associated with varicella.
PMID- 27206821
TI - Biological Monitoring of Occupational Exposure to Polycyclic Aromatic
Hydrocarbons at an Electric Steel Foundry in Tunisia.
AB - Occupational exposures during iron and steel founding have been classified as
carcinogenic to humans, and the exposure to polycyclic aromatic hydrocarbons
(PAHs) in this industrial setting may contribute to cancer risk. The occupational
exposure to PAHs was assessed in 93 male workers at an electric steel foundry in
Tunisia by biomonitoring, with the aims of characterizing the excretion profile
and investigating the influence of job title and personal characteristics on the
biomarkers. Sixteen 2-6 ring unmetabolized PAHs (U-PAHs) and eight hydroxylated
PAH metabolites (OHPAHs) were analyzed by gas chromatography-triple quadrupole
tandem mass spectrometry and liquid chromatography triple quadrupole tandem mass
spectrometry, respectively. Among U-PAHs, urinary naphthalene (U-NAP) was the
most abundant compound (median level: 643ng l(-1)), followed by phenanthrene (U
PHE, 18.5ng l(-1)). Urinary benzo[a]pyrene (U-BaP) level was <0.30ng l(-1) Among
OHPAHs, 2-hydroxynaphthalene (2-OHNAP) was the most abundant metabolite (2.27 ug
l(-1)). Median 1-hydroxypyrene (1-OHPYR) was 0.52 ug l(-1) Significant
correlations among urinary biomarkers were observed, with Pearson's r ranging
from 0.177 to 0.626. 1-OHPYR was correlated to benzo[a]pyrene, but not to five-
and six-rings PAHs. A multiple linear regression model showed that job title was
a significant determinant for almost all U-PAHs. In particular, employees in the
steel smelter workshop had higher levels of high-boiling U-PAHs and lower levels
of low-boiling U-PAHs than those of workers with other job titles. Among OHPAHs,
this model was significant only for naphthols and 1-hydroxyphenanthrene (1
OHPHE). Smoking status was a significant predictor for almost all biomarkers.
Among all analytes, U-PHE and 1-OHPHE were the less affected by tobacco smoke,
and they were significantly correlated with both low- and high-molecular-weight
compounds, and their levels were related to job titles, so they could be proposed
as suitable biomarkers of PAH exposure at steel foundries. Based on 1-OHPYR
levels, our findings show that occupational exposure of these workers was similar
to that reported in recent studies of electric steel foundry workers. The
multianalytic approach is useful in revealing different exposure levels among job
titles.
PMID- 27206822
TI - [Impact of cancer muscle mass loss on anticancer treatment toxicities].
AB - Administration of targeted therapies as a flat dose and administration of
chemotherapy based on body surface area do not take into account several
important sources of inter-individual variation. These variations could be
responsible partially for the occurrence of toxicity. Furthermore, the
availability of high-resolution CT images in the record of cancer patients, from
which key body composition information may be derived, allows us to study the
relationship between body composition and toxicity. If many studies have
highlighted this relationship, the mechanisms are not completely understood.
There are some arguments for a pharmacokinetic hypothesis: low muscle mass i.e.
sarcopenia, is associated with high drug plasma concentration which in turn is
associated with an increase in the incidence of toxicity. The other hypothesis is
that sarcopenic patients have a higher susceptibility to medical events leading
to an increase in chemotherapy toxicity. This concept of frailty was widely
described in studies in the elderly. This body composition analysis opened a huge
area of research and many questions still need to be resolved. Defining the cut
offs values for low muscle mass is important since in most of the studies, the
cut-offs values used were defined using survival studies. What could be the
physiological link between cut-off values defined by survival studies and
chemotherapy toxicities? Authors also used the median values, the level which
predicted the occurrence of toxicity most accurately and sometimes the measure of
the psoas. The final and crucial question is the capacity of reducing toxicity by
body composition based dosing.
PMID- 27206838
TI - Differential food intake and food choice by depression and body mass index levels
following a mood manipulation in a buffet-style setting.
AB - While eating in response to emotional cues is associated with intake of unhealthy
foods, less is known about the extent to which obesity and depression may
differentially influence food intake in a buffet-style setting where low- and
high-calorie foods are available to choose from. Using a counterbalanced design,
154 participants were grouped by depression and obesity categories, then asked to
read a series of vignettes that were sad (on 1 day) and neutral (on a different
day), followed by a buffet to eat until full. Food intake (in grams and calories)
and food choice (number of high- or low-calorie food options) were recorded.
Results showed that participants who were obese and depressed had significantly
greater energy intake following the sad versus happy vignette, largely due to
increased intake of high-calorie foods. The results corroborate recent theories
on emotional eating and extend the ecological validity of such effects in a
buffet-style setting.
PMID- 27206823
TI - [Evaluation of the impact of breast reconstruction in women in couple through a
community-based research tool: The Seintinelles].
AB - This preliminary study explores the psychological and marital impact of breast
reconstruction (or lack thereof) in women who had a mastectomy due to breast
cancer. The study was carried out through an innovative and French community
based research tool on cancer: the Seintinelles. Sixty-nine partnered women
treated for breast cancer participated, divided into 3 groups: 19 without breast
reconstruction, 24 with immediate breast reconstruction and 26 with delayed
breast reconstruction. They completed online questionnaires measuring both
satisfaction and regret about the decision related to breast reconstruction,
quality of life after breast surgery (EORTC-BRR), emotional state (POMS) and
marital intimacy (PAIR). Recruitment through the Seintinelles had the advantage
of being quick and national, but the profile of participants deviated from the
mean population in the sense that our subjects were on average younger than women
affected by breast cancer and had faced more breast cancer in their family. The
results revealed that women are satisfied with their choice (little regret), have
a similar emotional experience and good marital intimacy. However, women without
breast reconstruction would less recommend their decision to others and were less
satisfied with the aesthetic result, compared to women with breast
reconstruction. These results highlight that psychological and marital impact
seems comparable in women with and without reconstruction. Future studies are
needed to better understand the role of the partner in the recourse of breast
reconstruction.
PMID- 27206819
TI - 2016 ESC Guidelines for the diagnosis and treatment of acute and chronic heart
failure: The Task Force for the diagnosis and treatment of acute and chronic
heart failure of the European Society of Cardiology (ESC)Developed with the
special contribution of the Heart Failure Association (HFA) of the ESC.
PMID- 27206839
TI - Genetic variation and population history of three Carassius auratus populations
in Huaihe River, China.
AB - In order to investigate the relationships of drainage history of Huaihe River
with the genetic history of Carassius auratus along the river, we examined the
genetic variations and population histories of three wild C. auratus populations
in Huaihe River based on the D-loop gene. The results showed that their
nucleotide and haplotype diversities were ranged from 0.00268 to 0.00651 and from
0.863 to 0.902, respectively, and their genetic distance was quite small. The
analysis of molecular variance demonstrated that a frequent inter-population
connection and large historic gene flows occurred among the three populations.
Demographic analysis indicated that expansions had been happened in three
populations. After investigating the historic process of the Huaihe River, we
presumed that both nature and artificial factors may play important roles in
shaping the genetic structure of the three populations. The present study also
provided genetic information of C. auratus for further conservation of its
germplasm resources.
PMID- 27206840
TI - Automated Identification of Lesion Activity in Neovascular Age-Related Macular
Degeneration.
AB - PURPOSE: The objective of the study was to evaluate the accuracy of the Notal OCT
Analyzer (NOA) versus that of a retina specialist (RS) in the automated detection
of fluid on optical coherence tomography (OCT). DESIGN: A study of the
performance of the NOA compared with the results from 3 RSs. PARTICIPANTS: A
selection of 155 anonymized OCT scans (Zeiss Cirrus; Carl Zeiss Meditec, Dublin,
CA) from an image repository at a single tertiary referral retina center (Belfast
Health and Social Care Trust, Belfast, United Kingdom) after approval from the
local data guardian of the clinical site. METHODS: One hundred fifty-five OCT
cube scans were stripped of all clinical identifiers and exported. The NOA and 3
independent RSs analyzed all 128 B-scans of each cube scan for the presence of
intraretinal fluid, subretinal fluid, and sub-retinal pigment epithelium fluid.
The NOA also ranked individual B-scans of each volume scan for likelihood of CNV
activity, which was subjected to a second grading session by the 3 RSs. MAIN
OUTCOME MEASURES: The NOA's sensitivity and specificity versus the RS grading and
the NOA's performance in ranking B-scans for activity. RESULTS: One hundred forty
two cube scans met the inclusion criteria for the primary analysis. On testing
the RS grading versus the NOA, the accuracy was 91% (95% confidence interval
[CI], +/-7%), sensitivity was 92% (95% CI, +/-6%), and specificity was 91% (95%
CI, +/-6%), meeting the primary outcome. The graders' accuracy when compared with
the majority of the other graders (including a fourth grader) was 93%. On
average, the 3 graders could identify fluid in 95% of scans by just reviewing a
single cross-section with the highest NOA score and 99.5% of scans with fluid by
viewing the top 3 cross-sections. CONCLUSIONS: Concordance between the NOA and
the RS determination of lesion activity was extremely high. The level of
discrepancy between the RS and the NOA results was similar to the NOA's
mismatches. Our results show that automated delineation of the retinal contours
combined with interpretation of disease activity is feasible and has the
potential to become a powerful tool in terms of its clinical applications.
PMID- 27206841
TI - Development of a novel allele-specific Rfo marker and creation of Ogura CMS
fertility-restored interspecific hybrids in Brassica oleracea.
AB - KEY MESSAGE: A novel allele-specific Rfo marker was developed and proved to be
effective for MAS of Rfo gene in B. oleracea background and six Ogu-CMS fertility
restored interspecific hybrids were created for the first time. Ogura cytoplasmic
male sterility (Ogu-CMS) has been extensively used for Brassica oleracea hybrid
production. However, because of maternal inheritance, all the hybrids produced by
CMS lines are male sterile and cannot be self-pollinated, which prohibits
germplasm maintenance and innovation. This problem can be overcome by using the
Ogu-CMS restorer line, but restorer material is absent in B. oleracea crops.
Here, Rfo, a fertility-restored gene of Ogu-CMS, was transferred from rapeseed
restorer lines into a Chinese kale Ogu-CMS line using interspecific hybridization
combined with embryo rescue. Nine interspecific, triploid plant progenies were
identified at morphological and ploidy level, with phenotypes intermediate
between those of rapeseed and Chinese kale. Because the Rfo marker (Hu et al.,
Mol Breeding 22:663-674, 2008) cannot distinguish the Rfo and its homologies
under a B. oleracea background, a novel allele-specific Rfo marker was developed
based on the BLAST analysis of highly homologous Rfo sequences in B. oleracea.
Screening using the novel Rfo marker found that six interspecific hybrids
carrying Rfo were also fertile, although fertility varied during different
flowering periods. Furthermore, BC1 offsprings with the Rfo gene were selected
with the allele-specific Rfo marker and showed restored fertility. These results
indicated that the novel allele-specific marker could be used for the MAS of Rfo
gene in B. oleracea, and this study lays the foundation for the development of
Ogu-CMS restorer material in cabbage and its related other subspecies.
PMID- 27206842
TI - Executive function subcomponents and their relations to everyday functioning in
healthy older adults.
AB - Everyday functioning and its executive functioning cognitive correlates (i.e.,
switching, inhibition, and updating) were investigated in healthy older adults
(HOAs) using multiple methods of functional status. In addition to whether
computerized experimental tasks would better dissociate these subcomponents than
neuropsychological measures of executive functioning, we were also interested in
the contributions of both experimental and neuropsychological measures of
executive function subcomponents to functional abilities. Seventy HOAs (45 young
old and 25 old-old) and 70 younger adults completed executive function and
neuropsychological tests. In addition to self- and informant questionnaires of
functional abilities, HOAs completed two performance-based measures. An aging
effect was found on all executive function measures. Old-old older adults and
their informants did not report more functional difficulties but demonstrated
more difficulties on performance-based measures than did young-old participants.
For the HOAs, after controlling for age and education, the neuropsychological
measures of executive functioning, but not experimental measures, explained a
significant amount of variance in the informant-report and both performance-based
measures. Updating measures differentially predicted performance-based measures,
while switching was important for questionnaire and performance-based measures.
The contribution of executive functioning to functional status when measured with
experimental measures specifically designed to isolate the executive subcomponent
was not as strong as hypothesized. Further research examining the value of
isolating executive function subcomponents in neuropsychological assessment and
the prediction of functional abilities in older adults is warranted.
PMID- 27206843
TI - A role for amyloid precursor protein translation to restore iron homeostasis and
ameliorate lead (Pb) neurotoxicity.
AB - Iron supplementation ameliorates the neurotoxicity of the environmental
contaminant lead (Pb); however, the mechanism remains undefined. Iron is an
essential nutrient but high levels are toxic due to the catalytic generation of
destructive hydroxyl radicals. Using human neuroblastoma SH-SY5Y cells to model
human neurons, we investigated the effect of Pb on proteins of iron homeostasis:
the Alzheimer's amyloid precursor protein (APP), which stabilizes the iron
exporter ferroportin 1; and, the heavy subunit of the iron-storage protein,
ferritin (FTH). Lead (Pb(II) and Pb(IV) inhibited APP translation and raised
cytosolic iron(II). Lead also increased iron regulatory protein-1 binding to the
cognate 5'untranslated region-specific iron-responsive element (IRE) of APP and
FTH mRNAs. Concurrent iron treatment rescued cells from Pb toxicity by
specifically restoring APP synthesis, i.e. levels of the APP-related protein,
APLP-2, were unchanged. Significantly, iron/IRE-independent over-expression of
APP695 protected SH-SY5Y cells from Pb toxicity, demonstrating that APP plays a
key role in maintaining safe levels of intracellular iron. Overall, our data
support a model of neurotoxicity where Pb enhances iron regulatory protein/IRE
mediated repression of APP and FTH translation. We propose novel treatment
options for Pb poisoning to include chelators and the use of small molecules to
maintain APP and FTH translation. We propose the following cascade for Lead (Pb)
toxicity to neurons; by targeting the interaction between Iron regulatory protein
1 and Iron-responsive elements, Pb caused translational repression of proteins
that control intracellular iron homeostasis, including the Alzheimer's amyloid
precursor protein (APP) that stabilizes the iron exporter ferroportin, and the
ferroxidase heavy subunit of the iron-storage protein, ferritin. When
unregulated, IRE-independent over-expression of APP695 protected SH-SY5Y neurons
from Pb toxicity. There is a novel and key role for APP in maintaining safe
levels of intracellular iron pertinent to lead toxicity.
PMID- 27206844
TI - Optimizing compression: Comparing eccentric plate holes and external tensioning
devices.
AB - OBJECTIVE: Elimination of interfragmentary motion in fracture fixation using
plates to impart compression and promote primary bone healing through absolute
stability has been well described as a reliable and successful method to treat
simple transverse and short oblique fracture morphologies. Our hypothesis is that
dynamic compression plating augmented by external compression techniques would
produce and maintain a significantly greater amount of compression than using the
plate alone. METHODS: Simple transverse diayphyseal fractures were simulated in
nine 4th generation composite bone models. A load cell was placed within the
transverse fracture osteotomy and stabilized and compressed using either
eccentric screw placement in a dynamic compression plate alone or augmented with
an opposite segment Verbrugge clamp or articulated tensioning device (ATD)
compressing using a screw outside of the plate. Dynamic plate compression was
evaluated independently and in conjunction with the external compression
techniques. Statistical analyses were carried out using a linear mixed effects
model and pairwise comparisons between conditions with a significance set at a P
value <0.05. RESULTS: Both of the external compression techniques (Verbrugge and
ATD) achieved significantly higher compression than the plate compression
technique alone with 78% (P<0.001) and 134% (P<0.001) more compression
respectively. The measured compression across the osteotomy after screw
application and removal of external compression decreases by 17% for the
Verbrugge device (P=0.215) and by 22%, after removal of the ATD device (P=0.038).
For both techniques, adding additional screws in eccentric (load) position
further increases compression. CONCLUSION: Plate compression is a reliable method
for inducing compression across transverse and short oblique fractures.
Augmenting plate compression technique with external compression techniques
(Verbrugge clamp or ATD) allows for a significantly greater compressive load to
be achieved. Compression lost after removal of the external compression device
indicates that the maximal compression attainable across a fracture may not be
reliably maintained with standard dynamic compression plating techniques.
PMID- 27206845
TI - Retrospective analysis of 616 air-rescue trauma cases related to the practice of
extreme sports.
AB - INTRODUCTION: Extreme sports (ESs) are increasingly popular, and accidents due to
ESs sometimes require helicopter emergency medical services (HEMSs). Little is
known about their epidemiology, severity, specific injuries and required rescue
operations. AIM: Our aims were to perform an epidemiological analysis, to
identify specific injuries and to describe the characteristic of prehospital
procedures in ES accidents requiring HEMSs. METHODS: This is a retrospective
study, reviewing all rescue missions dedicated to ESs provided by HEMS REGA
Lausanne, from 1 January 1998 to 31 December 2008. ES were classified into three
categories of practice, according to the type of risk at the time of the fall.
RESULTS: Among the 616 cases meeting inclusion criteria, 219 (36%) were clearly
high-risk ES accidents; 69 (11%) and 328 (53%) were related to potential ES, but
with respectively low or indeterminate risk at the time of the fall. In the high
risk ES group, the median age was 32 years and 80% were male. Mortality at 48h
was 11%, almost ten times higher than in the other two groups. The proportion of
potentially life-threatening injuries (the National Advisory Committee for
Aeronautics (NACA) score>=4) was 39% in the high-risk ES group and 13% in the
other two groups. Thirty per cent of the cases in the high-risk ES group
presented an Injury Severity Score (ISS) >15, compared with 7% in the other
groups. Thoracolumbar vertebral fractures were the most common injuries with 32%
of all cases having at least one, involving the T12-L2 junction in 56% of cases.
The other most frequent injuries were traumatic brain injuries (16%), rib
fractures (9%), pneumothorax (8%) and femoral (7%), cervical (7%), ankle (5%) and
pelvic (5%) fractures. Median time on site for rescue teams was higher in the
confirmed high-risk ES group, with 50% of prehospital missions including at least
one environmental difficulty. CONCLUSIONS: High-risk ESs led to high-energy
accidents, characterized by a large proportion of severe injuries and axial
traumas (spine, thorax, pelvis and proximal femur). We identified a considerable
percentage of thoracolumbar vertebral fractures, mainly in the T12-L2 junction.
HEMSs dedicated to high-risk ESs implied longer and more complex interventions.
PMID- 27206846
TI - VEGFR2-Targeted Three-Dimensional Ultrasound Imaging Can Predict Responses to
Antiangiogenic Therapy in Preclinical Models of Colon Cancer.
AB - Three-dimensional (3D) imaging capabilities to assess responses to anticancer
therapies are needed to minimize sampling errors common to two-dimensional
approaches as a result of spatial heterogeneity in tumors. Recently, the
feasibility and reproducibility of 3D ultrasound molecular imaging (3D USMI)
using contrast agents, which target molecular markers, have greatly improved, due
to the development of clinical 3D matrix array transducers. Here we report
preclinical proof-of-concept studies showing that 3D USMI of VEGFR2/KDR
expression accurately gauges longitudinal treatment responses to antiangiogenesis
therapy in responding versus nonresponding mouse models of colon cancer. Tumors
in these models exhibited differential patterns of VEGFR2-targeted 3D USMI
signals during the course of antiangiogenic treatment with bevacizumab. In
responding tumors, the VEGFR2 signal decreased as soon as 24 hours after therapy
was started, whereas in nonresponding tumors there was no change in signal at any
time point. The early decrease in VEGFR2 signal was highly predictive of
treatment outcome at the end of therapy. Our results offer preclinical proof that
3D USMI can predict responses to antiangiogenic therapy, warranting further
investigation of its clinical translatability to predicting treatment outcomes in
patients. Cancer Res; 76(14); 4081-9. (c)2016 AACR.
PMID- 27206847
TI - Upregulated Glucose Metabolism Correlates Inversely with CD8+ T-cell Infiltration
and Survival in Squamous Cell Carcinoma.
AB - Antibodies that block T-cell-regulatory checkpoints have recently emerged as a
transformative approach to cancer treatment. However, the clinical efficacy of
checkpoint blockade depends upon inherent tumor immunogenicity, with variation in
infiltrating T cells contributing to differences in objective response rates.
Here, we sought to understand the molecular correlates of tumor-infiltrating T
lymphocytes (TIL) in squamous cell carcinoma (SCC), using a systems biologic
approach to integrate publicly available omics datasets with histopathologic
features. We provide evidence that links TIL abundance and therapeutic outcome to
the regulation of tumor glycolysis by EGFR and HIF, both of which are attractive
molecular targets for use in combination with immunotherapeutics. Cancer Res;
76(14); 4136-48. (c)2016 AACR.
PMID- 27206848
TI - Membrane IL1alpha Inhibits the Development of Hepatocellular Carcinoma via
Promoting T- and NK-cell Activation.
AB - Hepatocellular carcinoma is a worldwide health problem with limited treatment
options and poor prognosis. Inflammation associated with liver injury and
hepatocyte regeneration can lead to fibrosis, cirrhosis, and eventually,
hepatocellular carcinoma. IL1alpha is one of the most important inflammatory
cytokines involved in inflammation and tumor development. IL1alpha presents as
multiple forms in vivo, including precursor, propiece, membrane, and secreted
forms, and their functions have been thought to be different. The role of
membrane IL1alpha in hepatocellular carcinoma tumorigenesis is still not clear.
Here, we examined the functions of membrane IL1alpha in murine hepatocellular
carcinoma models. We found that membrane IL1alpha potently inhibited
hepatocellular carcinoma tumor growth. Further studies showed that membrane
IL1alpha promoted T- and natural killer (NK)-cell activation in vivo IFNgamma
production by CD8(+) T and NK cells was also increased as a result of membrane
IL1alpha expression. Moreover, the cytotoxicity of the CTL and NK cells was also
enhanced by membrane IL1alpha expression. Furthermore, in vitro studies
demonstrated that membrane IL1alpha could directly activate T cells and NK cells
in a cell contact-dependent manner. Conversely, depletion of both CD8(+) T and NK
cells suppressed the antitumor activity of membrane IL1alpha. Our studies
demonstrated that membrane IL1alpha could promote antitumor immune responses
through activation of T and NK cells. Thus, our findings provide new insights of
IL1alpha functions during hepatocellular carcinoma development. Cancer Res;
76(11); 3179-88. (c)2016 AACR.
PMID- 27206849
TI - A CDK4/6-Dependent Epigenetic Mechanism Protects Cancer Cells from PML-induced
Senescence.
AB - Promyelocytic leukemia (PML) plays a tumor suppressive role by inducing cellular
senescence in response to oncogenic stress. However, tumor cell lines fail to
engage in complete senescence upon PML activation. In this study, we investigated
the mechanisms underlying resistance to PML-induced senescence. Here, we report
that activation of the cyclin-dependent kinases CDK4 and CDK6 are essential and
sufficient to impair senescence induced by PML expression. Disrupting CDK
function by RNA interference or pharmacological inhibition restored senescence in
tumor cells and diminished their tumorigenic potential in mouse xenograft models.
Complete senescence correlated with an increase in autophagy, repression of E2F
target genes, and an gene expression signature of blocked DNA methylation.
Accordingly, treatment of tumor cells with inhibitors of DNA methylation reversed
resistance to PML-induced senescence. Further, CDK inhibition with palbociclib
promoted autophagy-dependent degradation of the DNA methyltransferase DNMT1.
Lastly, we found that CDK4 interacted with and phosphorylated DNMT1 in vitro,
suggesting that CDK activity is required for its stabilization. Taken together,
our findings highlight a potentially valuable feature of CDK4/6 inhibitors as
epigenetic modulators to facilitate activation of senescence programs in tumor
cells. Cancer Res; 76(11); 3252-64. (c)2016 AACR.
PMID- 27206850
TI - Genome-Wide Association Study of Bladder Cancer in a Chinese Cohort Reveals a New
Susceptibility Locus at 5q12.3.
AB - Genome-wide association studies (GWAS) of bladder cancer have identified a number
of susceptibility loci in European populations but have yet to uncover the
genetic determinants underlying bladder cancer incidence among other ethnicities.
Therefore, we performed the first GWAS in a Chinese cohort comprising 3,406 cases
of bladder cancer and 4,645 controls. We identified a new susceptibility locus
for bladder cancer at 5q12.3, located in the intron of CWC27 (rs2042329), that
was significantly associated with disease risk (OR = 1.40; P = 4.61 * 10(-11)).
However, rs2042329 was not associated with bladder cancer risk in patients of
European descent. The rs2042329 risk allele was also related to significantly
increased expression levels of CWC27 mRNA and protein in bladder cancer tissues
from Chinese patients. Additional functional analyses suggested that CWC27 played
an oncogenic role in bladder cancer by inducing cell proliferation and
suppressing apoptosis. In conclusion, the identification of a risk-associated
locus at 5q12.3 provides new insights into the inherited susceptibility to
bladder cancer in Chinese populations and may help to identify high-risk
individuals. Cancer Res; 76(11); 3277-84. (c)2016 AACR.
PMID- 27206851
TI - Microglia Activation and Polarization After Intracerebral Hemorrhage in Mice: the
Role of Protease-Activated Receptor-1.
AB - Polarized microglia play a dual (beneficial/detrimental) role in neurological
diseases. However, the status and the factors that modulate microglia
polarization in intracerebral hemorrhage (ICH) remain unclear. In the present
study, we investigated the role of protease-activated receptor-1 (PAR-1, a
thrombin receptor) in ICH-induced microglia polarization in mice. Male wild-type
(WT) and PAR-1 knockout (PAR-1 KO) mice received an infusion of 30-MUL autologous
blood or saline into the right basal ganglia. Mice were euthanized at different
time points and the brains were used for Western blotting and
immunohistochemistry. Some mice had magnetic resonance imaging. We found that ICH
induced microglia activation and polarization. M1 phenotypic markers were
markedly increased and reached a peak as early as 4 h, remained high at 3 days
and decreased 7 days after ICH. M2 phenotypic markers were upregulated later than
M1 markers reaching a peak at day 1 and declining by day 7 after ICH. PAR-1 was
upregulated after ICH and expressed in the neurons and microglia. ICH induced
less brain swelling and neuronal death in PAR-1 KO mice, and this was associated
with less M1 polarization and reduced proinflammatory cytokine levels in the
brain. In conclusion, these results suggest that polarized microglia occur
dynamically after ICH and that PAR-1 plays a role in the microglia activation and
polarization.
PMID- 27206852
TI - Sensorimotor Incongruence in People with Musculoskeletal Pain: A Systematic
Review.
AB - OBJECTIVES: Musculoskeletal pain has major public health implications, but the
theoretical framework remains unclear. It is hypothesized that sensorimotor
incongruence (SMI) might be a cause of long-lasting pain sensations in people
with chronic musculoskeletal pain. Research data about experimental SMI
triggering pain has been equivocal, making the relation between SMI and pain
elusive. The aim of this study was to systematically review the studies on
experimental SMI in people with musculoskeletal pain and healthy individuals.
METHODS: Preferred reporting items for systematic reviews and meta-analyses
guidelines were followed. A systematic literature search was conducted using
several databases until January 2015. To identify relevant articles, keywords
regarding musculoskeletal pain or healthy subjects and the sensory or the motor
system were combined. Study characteristics were extracted. Risk of bias was
assessed using the Dutch Institute for Healthcare Improvement (CBO) checklist for
randomized controlled trials, and level of evidence was judged. RESULTS: Eight
cross-over studies met the inclusion criteria. The methodological quality of the
studies varied, and populations were heterogeneous. In populations with
musculoskeletal pain, outcomes of sensory disturbances and pain were higher
during all experimental conditions compared to baseline conditions. In healthy
subjects, pain reports during experimental SMI were very low or did not occur at
all. DISCUSSION: Based on the current evidence and despite some methodological
issues, there is no evidence that experimental SMI triggers pain in healthy
individuals and in people with chronic musculoskeletal pain. However, people with
chronic musculoskeletal pain report more sensory disturbances and pain during the
experimental conditions, indicating that visual manipulation influences pain
outcomes in this population.
PMID- 27206854
TI - JBS Special Issue: Innovative Screening Methodologies to Identify New Compounds
for the Treatment of Central Nervous System Disorders.
PMID- 27206853
TI - Using the modified Delphi method to establish clinical consensus for the
diagnosis and treatment of patients with rotator cuff pathology.
AB - BACKGROUND: Patients presenting to the healthcare system with rotator cuff
pathology do not always receive high quality care. High quality care occurs when
a patient receives care that is accessible, appropriate, acceptable, effective,
efficient, and safe. The aim of this study was twofold: 1) to develop a clinical
pathway algorithm that sets forth a stepwise process for making decisions about
the diagnosis and treatment of rotator cuff pathology presenting to primary,
secondary, and tertiary healthcare settings; and 2) to establish clinical
practice guidelines for the diagnosis and treatment of rotator cuff pathology to
inform decision-making processes within the algorithm. METHODS: A three-step
modified Delphi method was used to establish consensus. Fourteen experts
representing athletic therapy, physiotherapy, sport medicine, and orthopaedic
surgery were invited to participate as the expert panel. In round 1, 123 best
practice statements were distributed to the panel. Panel members were asked to
mark "agree" or "disagree" beside each statement, and provide comments. The same
voting method was again used for round 2. Round 3 consisted of a final face-to
face meeting. RESULTS: In round 1, statements were grouped and reduced to 44
statements that met consensus. In round 2, five statements reached consensus. In
round 3, ten statements reached consensus. Consensus was reached for 59
statements representing five domains: screening, diagnosis, physical examination,
investigations, and treatment. The final face-to-face meeting was also used to
develop clinical pathway algorithms (i.e., clinical care pathways) for three
types of rotator cuff pathology: acute, chronic, and acute-on-chronic.
CONCLUSION: This consensus guideline will help to standardize care, provide
guidance on the diagnosis and treatment of rotator cuff pathology, and assist in
clinical decision-making for all healthcare professionals.
PMID- 27206855
TI - Perianal approach to ischiorectal fossa tumours - a video vignette.
PMID- 27206856
TI - Global analysis of transcriptionally engaged yeast RNA polymerase III reveals
extended tRNA transcripts.
AB - RNA polymerase III (RNAPIII) synthesizes a range of highly abundant small stable
RNAs, principally pre-tRNAs. Here we report the genome-wide analysis of nascent
transcripts attached to RNAPIII under permissive and restrictive growth
conditions. This revealed strikingly uneven polymerase distributions across
transcription units, generally with a predominant 5' peak. This peak was higher
for more heavily transcribed genes, suggesting that initiation site clearance is
rate-limiting during RNAPIII transcription. Down-regulation of RNAPIII
transcription under stress conditions was found to be uneven; a subset of tRNA
genes showed low response to nutrient shift or loss of the major transcription
regulator Maf1, suggesting potential "housekeeping" roles. Many tRNA genes were
found to generate long, 3'-extended forms due to read-through of the canonical
poly(U) terminators. The degree of read-through was anti-correlated with the
density of U-residues in the nascent tRNA, and multiple, functional terminators
can be located far downstream. The steady-state levels of 3'-extended pre-tRNA
transcripts are low, apparently due to targeting by the nuclear surveillance
machinery, especially the RNA binding protein Nab2, cofactors for the nuclear
exosome, and the 5'-exonuclease Rat1.
PMID- 27206857
TI - Chromatibody, a novel non-invasive molecular tool to explore and manipulate
chromatin in living cells.
AB - Chromatin function is involved in many cellular processes, its visualization or
modification being essential in many developmental or cellular studies. Here, we
present the characterization of chromatibody, a chromatin-binding single-domain,
and explore its use in living cells. This non-intercalating tool specifically
binds the heterodimer of H2A-H2B histones and displays a versatile reactivity,
specifically labeling chromatin from yeast to mammals. We show that this
genetically encoded probe, when fused to fluorescent proteins, allows non
invasive real-time chromatin imaging. Chromatibody is a dynamic chromatin probe
that can be modulated. Finally, chromatibody is an efficient tool to target an
enzymatic activity to the nucleosome, such as the DNA damage-dependent H2A
ubiquitylation, which can modify this epigenetic mark at the scale of the genome
and result in DNA damage signaling and repair defects. Taken together, these
results identify chromatibody as a universal non-invasive tool for either in vivo
chromatin imaging or to manipulate the chromatin landscape.
PMID- 27206858
TI - EPAC1 activation by cAMP stabilizes CFTR at the membrane by promoting its
interaction with NHERF1.
AB - Cyclic AMP (cAMP) activates protein kinase A (PKA) but also the guanine
nucleotide exchange factor 'exchange protein directly activated by cAMP' (EPAC1;
also known as RAPGEF3). Although phosphorylation by PKA is known to regulate CFTR
channel gating - the protein defective in cystic fibrosis - the contribution of
EPAC1 to CFTR regulation remains largely undefined. Here, we demonstrate that in
human airway epithelial cells, cAMP signaling through EPAC1 promotes CFTR
stabilization at the plasma membrane by attenuating its endocytosis,
independently of PKA activation. EPAC1 and CFTR colocalize and interact through
protein adaptor NHERF1 (also known as SLC9A3R1). This interaction is promoted by
EPAC1 activation, triggering its translocation to the plasma membrane and binding
to NHERF1. Our findings identify a new CFTR-interacting protein and demonstrate
that cAMP activates CFTR through two different but complementary pathways - the
well-known PKA-dependent channel gating pathway and a new mechanism regulating
endocytosis that involves EPAC1. The latter might constitute a novel therapeutic
target for treatment of cystic fibrosis.
PMID- 27206859
TI - TOR complex 2 localises to the cytokinetic actomyosin ring and controls the
fidelity of cytokinesis.
AB - The timing of cell division is controlled by the coupled regulation of growth and
division. The target of rapamycin (TOR) signalling network synchronises these
processes with the environmental setting. Here, we describe a novel interaction
of the fission yeast TOR complex 2 (TORC2) with the cytokinetic actomyosin ring
(CAR), and a novel role for TORC2 in regulating the timing and fidelity of
cytokinesis. Disruption of TORC2 or its localisation results in defects in CAR
morphology and constriction. We provide evidence that the myosin II protein Myp2
and the myosin V protein Myo51 play roles in recruiting TORC2 to the CAR. We show
that Myp2 and TORC2 are co-dependent upon each other for their normal
localisation to the cytokinetic machinery. We go on to show that TORC2-dependent
phosphorylation of actin-capping protein 1 (Acp1, a known regulator of
cytokinesis) controls CAR stability, modulates Acp1-Acp2 (the equivalent of the
mammalian CAPZA-CAPZB) heterodimer formation and is essential for survival upon
stress. Thus, TORC2 localisation to the CAR, and TORC2-dependent Acp1
phosphorylation contributes to timely control and the fidelity of cytokinesis and
cell division.
PMID- 27206861
TI - NECAP2 controls clathrin coat recruitment to early endosomes for fast endocytic
recycling.
AB - Endocytic recycling returns receptors to the plasma membrane following
internalization and is essential to maintain receptor levels on the cell surface,
re-sensitize cells to extracellular ligands and for continued nutrient uptake.
Yet, the protein machineries and mechanisms that drive endocytic recycling remain
ill-defined. Here, we establish that NECAP2 regulates the endocytic recycling of
EGFR and transferrin receptor. Our analysis of the recycling dynamics revealed
that NECAP2 functions in the fast recycling pathway that directly returns cargo
from early endosomes to the cell surface. In contrast, NECAP2 does not regulate
the clathrin-mediated endocytosis of these cargos, the degradation of EGFR or the
recycling of transferrin along the slow, Rab11-dependent recycling pathway. We
show that protein knockdown of NECAP2 leads to enlarged early endosomes and
causes the loss of the clathrin adapter AP-1 from the organelle. Through
structure-function analysis, we define the protein-binding interfaces in NECAP2
that are crucial for AP-1 recruitment to early endosomes. Together, our data
identify NECAP2 as a pathway-specific regulator of clathrin coat formation on
early endosomes for fast endocytic recycling.
PMID- 27206860
TI - Drosophila Ana1 is required for centrosome assembly and centriole elongation.
AB - Centrioles organise centrosomes and cilia, and these organelles have an important
role in many cell processes. In flies, the centriole protein Ana1 is required for
the assembly of functional centrosomes and cilia. It has recently been shown that
Cep135 (also known as Bld10) initially recruits Ana1 to newly formed centrioles,
and that Ana1 then recruits Asl (known as Cep152 in mammals) to promote the
conversion of these centrioles into centrosomes. Here, we show that ana1 mutants
lack detectable centrosomes in vivo, that Ana1 is irreversibly incorporated into
centrioles during their assembly and appears to play a more important role in
maintaining Asl at centrioles than in initially recruiting Asl to centrioles.
Unexpectedly, we also find that Ana1 promotes centriole elongation in a dose
dependent manner: centrioles are shorter when Ana1 dosage is reduced and are
longer when Ana1 is overexpressed. This latter function of Ana1 appears to be
distinct from its role in centrosome and cilium function, as a GFP-Ana1 fusion
lacking the N-terminal 639 amino acids of the protein can support centrosome
assembly and cilium function but cannot promote centriole over-elongation when
overexpressed.
PMID- 27206863
TI - l-dopa-induced off: Functional overlay in Parkinson disease.
PMID- 27206864
TI - Age-dependent cognitive and affective differences in Alzheimer's and Parkinson's
diseases in relation to MRI findings.
AB - OBJECTIVE: To compare age-dependent changes in cognitive and affective functions
related to white matter changes between patients with Alzheimer's disease (AD)
and Parkinson's disease (PD). METHODS: We retrospectively compared age-dependent
cognitive and affective functions in 216 AD patients, 153 PD patients, and 103
healthy controls with cerebral white matter lesions (WMLs), periventricular
hyperintensity (PVH), deep white matter hyperintensity (DWMH), micro-bleeds
(MBs), and lacunar infarcts (LIs). RESULTS: The average mini-mental state
examination (MMSE) scores were 19.6+/-6.1 and 26.8+/-3.6 in AD and PD patients,
respectively. Significant decreases were found in the MMSE score, Hasegawa's
dementia scale-revised (HDS-R) score, frontal assessment battery score, and Abe's
BPSD score (ABS) among the age-dependent AD subgroups and in the MMSE, HDS-R,
Montreal cognitive assessment, geriatric depression scale, and ABS scores among
the age-dependent PD subgroups; they were worse in AD patients. White matter
changes were observed in >88% and >72% of patients with AD and PD, respectively.
An age-dependent direct comparison of AD and PD showed significant differences in
the PVH and DWMH grades, and numbers of MBs and LIs. CONCLUSION: WML-related
cognitive and affective functions worsen with age in AD and PD patients; however,
the abnormalities were more frequent and stronger in AD patients.
PMID- 27206862
TI - Soft tissue coverage on the segmentation accuracy of the 3D surface-rendered
model from cone-beam CT.
AB - OBJECTIVES: The aim of this study is to investigate the effect of soft tissue
presence on the segmentation accuracy of the 3D hard tissue models from cone-beam
computed tomography (CBCT). MATERIALS AND METHODS: Seven pairs of CBCT Digital
Imaging and Communication in Medicine (DICOM) datasets, containing data of human
cadaver heads and their respective dry skulls, were used. The effect of the soft
tissue presence on the accuracy of the segmented models was evaluated by
performing linear and angular measurements and by superimposition and color
mapping of the surface discrepancies after splitting the mandible and maxillo
facial complex in the midsagittal plane. RESULTS: The linear and angular
measurements showed significant differences for the more posterior transversal
measurements on the mandible (p < 0.01). By splitting and superimposing the
maxillo-facial complex, the mean root-mean-square error (RMSE) as a measurement
of inaccuracy decreased insignificantly from 0.936 to 0.922 mm (p > 0.05). The
RMSE value for the mandible, however, significantly decreased from 1.240 to 0.981
mm after splitting (p < 0.01). CONCLUSIONS: The soft tissue presence seems to
affect the accuracy of the 3D hard tissue model obtained from a cone-beam CT,
below a generally accepted level of clinical significance of 1 mm. However, this
level of accuracy may not meet the requirement for applications where high
precision is paramount. CLINICAL RELEVANCE: Accuracy of CBCT-based 3D surface
rendered models, especially of the hard tissues, are crucial in several dental
and medical applications, such as implant planning and virtual surgical planning
on patients undergoing orthognathic and navigational surgeries. When used in
applications where high precision is paramount, the effect of soft tissue
presence should be taken into consideration during the segmentation process.
PMID- 27206866
TI - Emerging neuro-protective effects of Fasudil therapy.
PMID- 27206865
TI - Relations of blood pressure and head injury to regional cerebral blood flow.
AB - Hypertension confers increased risk for cognitive decline, dementia, and
cerebrovascular disease. These associations have been attributed, in part, to
cerebral hypoperfusion. Here we posit that relations of higher blood pressure to
lower levels of cerebral perfusion may be potentiated by a prior head injury.
Participants were 87 community-dwelling older adults - 69% men, 90% white, mean
age=66.9years, 27.6% with a history of mild traumatic brain injury (mTBI) defined
as a loss of consciousness <=30min resulting from an injury to the head, and free
of major medical (other than hypertension), neurological or psychiatric
comorbidities. All engaged in clinical assessment of systolic and diastolic blood
pressure (SBP, DBP) and single photon emission computed tomography (SPECT).
Computerized coding of the SPECT images yielded relative ratios of blood flow in
left and right cortical and select subcortical regions. Cerebellum served as the
denominator. Sex-stratified multiple regression analyses, adjusted for age,
education, race, alcohol consumption, smoking status, and depressive
symptomatology, revealed significant interactions of blood pressure and head
injury to cerebral blood flow in men only. Specifically, among men with a history
of head injury, higher systolic blood pressure was associated with lower levels
of perfusion in the left orbital (beta=-3.21, p=0.024) and left dorsolateral
(beta=-2.61, p=0.042) prefrontal cortex, and left temporal cortex (beta=-3.36,
p=0.014); higher diastolic blood pressure was marginally associated with lower
levels of perfusion in the left dorsolateral prefrontal cortex (beta=-2.79,
p=0.051). Results indicate that men with a history of head injury may be
particularly vulnerable to the impact of higher blood pressure on cerebral
perfusion in left anterior cortical regions, thus potentially enhancing risk for
adverse brain and neurocognitive outcomes.
PMID- 27206867
TI - Anti-JC virus seroprevalence in a Spanish multiple sclerosis cohort: JC virus
seroprevalence in Spain.
AB - OBJECTIVE: To estimate the seroprevalence of anti-JCV antibodies, seroconverting
rates and evolution of antibody levels in a multiple sclerosis (MS) Spanish
cohort. METHODS: Multicenter, retrospective cross-sectional and longitudinal
study. The JCV seroprevalence was analyzed in 711 MS patients by using 1st
(STRATIFY-1) and 2nd generation (STRATIFY-2) two-step ELISA over 2.65 (+/-0.97)
years. Seroconversion rate was obtained over 2 samples from 314 patients, and
index stability from 301 patients with 3 or more samples available. The effect of
each ELISA generation, demographics, clinical characteristics and therapy on
seroprevalence was assessed by logistic regression. RESULTS: The overall anti-JCV
seroprevalence was 55.3% (51.6-58.9), similar across regions (p=0.073). It
increased with age (p<0.000) and when STRATIFY-2 was used (60.5%, p=0.001).
Neither sex nor immunosuppressive therapy had any influence. Yearly
seroconversion rate was 7% (considering only STRATIFY-2). Serological changes
were observed in 24/301 patients, 5.7% initially seropositive reverted to
seronegative and 7% initially seronegative changed to seropositive and again to
seronegative, all these cases had initial index values around the assay's cut
off. CONCLUSIONS: JCV seroprevalence in Spanish MS patients was similar to that
reported in other European populations. Changes in serostatus are not infrequent
and should be considered in clinical decisions.
PMID- 27206868
TI - MLPA analysis of an Argentine cohort of patients with dystrophinopathy:
Association of intron breakpoints hot spots with STR abundance in DMD gene.
AB - Dystrophinopathies are X-linked recessive diseases caused by mutations in the DMD
gene. Our objective was to identify mutations in this gene by Multiplex Ligation
Probe Amplification (MLPA), to confirm the clinical diagnosis and determine the
carrier status of at-risk relatives. Also, we aimed to characterize the
Dystrophinopathies argentine population and the DMD gene. We analyzed a cohort of
121 individuals (70 affected boys, 11 symptomatic women, 37 at-risk women and 3
male villus samples). The MLPA technique identified 56 mutations (45 deletions, 9
duplications and 2 point mutations). These results allowed confirming the
clinical diagnosis in 63% (51/81) of patients and symptomatic females. We
established the carrier status of 54% (20/37) of females at-risk and 3 male
villus samples. We could establish an association between the most frequent
deletion intron breakpoints and the abundance of dinucleotide microsatellites
loci, despite the underlying mutational molecular mechanism remains to be
elucidated. The MLPA demonstrate, again, to be the appropriate first mutation
screening methodology for molecular diagnosis of Dystrophinopathies. The reported
results permitted to characterize the Dystrophinopathies argentine population and
lead to better understanding of the genetic and molecular basis of rearrangements
in the DMD gene, useful information for the gene therapies being developed.
PMID- 27206869
TI - Metronidazole-induced combined peripheral and central vestibulopathy.
PMID- 27206870
TI - Olfactory dysfunction in patients with multiple sclerosis.
AB - Association of changes in olfactory-related structures with olfactory function in
patients with multiple sclerosis (MS) is not well understood. We used a T&T
olfactometer test kit to evaluate olfactory function in 26 patients with MS and
26 age- and sex-matched healthy controls (HC). Then, Brain MRI were performed and
olfactory-related structures were analyzed in these subjects. Olfactory detection
and recognition threshold were significantly higher in the MS group,
interestingly olfactory recognition threshold positively correlated with expanded
disability status scale scores in these patients. Olfactory bulb (OB) volume
reduced in patients with olfactory dysfunction (ODF). At the same time,
reductions in gray matter (GM) volume were observed in the parahippocampal gyrus
(PCG), amygdala, piriform cortex, and inferior frontal gyrus in patients with MS
compared to HC. Atrophy of the PCG was more obvious in patients with ODF than
patients without ODF and the PCG volume correlated with the olfactory recognition
threshold, while no difference was found in fractional anisotropy values of tract
based spatial statistics analysis in the two groups. Olfactory function in
patients with MS tends to become gradually more impaired with disability
aggravation. Decreases in the volume of the OB and olfactory-related GM might
provide valuable information about disease status in patients with MS with
olfactory impairment.
PMID- 27206871
TI - Ipsilateral hemiparesis in lateral medullary infarction: Clinical investigation
of the lesion location on magnetic resonance imaging.
AB - BACKGROUND: In 1946, Opalski reported two cases of Wallenberg syndrome with
ipsilateral hemiparesis (IH). His hypothesis seems to be based on the view that
IH is caused by post-decussating pyramidal tract damage. Afterwards, other
researchers proposed a different hypothesis that ipsilateral sensory symptoms of
limbs (ISSL) or ipsilateral limb ataxia (ILA) caused by lateral medullary
infarction (LMI) might lead to ipsilateral motor weakness. The present study is
aimed to clarify whether IH in LMI patients is attributable mainly to ISSL/ILA or
disruption of ipsilateral post-decussating pyramidal tract. METHODS: Thirty-two
patients with acute LMI admitted during the last 13years were divided to IH Group
(n=7) and Non-IH Group (n=25). Lesion location/distribution on MRI and
neurological findings were compared between the two groups. RESULTS: LMI involved
the lower medulla in all seven IH patients and 12 of 25 Non-IH patients. The
lower medullary lesion extended to the cervico-medullary junction (CMJ) in four
of seven IH patients and one of 12 Non-IH patients. Definitive extension to upper
cervical cord (UCC) was confirmed in none of the patients. ISSL was found in two
IH and three Non-IH patients all showing only superficial sensory impairments.
ILA or hypotonia was observed in 57% of IH and 60% of Non-IH patients.
CONCLUSION: IH in LMI appears to be due mainly to post-decussating pyramidal
tract damage at the lower medulla instead of ILA or ISSL participation.
PMID- 27206872
TI - N98S mutation in NEFL gene is dominantly inherited with a phenotype of
polyneuropathy and cerebellar atrophy.
PMID- 27206873
TI - Alpha coma related to intentional bupropion overdose.
PMID- 27206874
TI - Asymptomatic subjects differ less from their twin siblings with MS than from
healthy controls in cognitive functioning. A Finnish Twin Cohort study.
AB - BACKGROUND: Cognitive impairment develops in some MS patients at any time during
the course of the disease regardless of whether the patients have neurological
disability or not. Underlying causes for the MS related cognitive decline are yet
poorly understood but both genetic and environmental risk factors have been
proposed. OBJECTIVES: To assess whether the cognitive performance differs between
subjects with multiple sclerosis (MS) and their asymptomatic co-twins. METHODS:
Nineteen twin pairs discordant for MS recruited from the Finnish Twin Cohort were
studied neurologically and with a comprehensive neuropsychological test battery.
Control group included twenty age and education matched healthy subjects.
RESULTS: Compared with the control subjects, the asymptomatic co-twins of MS
patients performed significantly less well in tests of naming, verbal reasoning,
visuospatial performance, processing speed, attention, verbal memory and
learning. The twins with MS performed significantly less well than their co-twins
in the SDMT evaluating processing speed, in visual learning and in word fluency.
CONCLUSIONS: The lack of significant difference in majority of neuropsychological
tests between the MS patients and their co-twins as well as considerable
differences between asymptomatic co-twins and healthy controls may suggest that
the cognitive performance may be partly developmental and regulated both by genes
and shared environmental factors.
PMID- 27206875
TI - Leukoencephalopathy with thalamus and brainstem involvement and high lactate
caused by novel mutations in the EARS2 gene in two siblings.
AB - Leukoencephalopathy with thalamus and brainstem involvement, and high lactate
(LTBL) is a recently identified disease related to mutations in the EARS2 gene
encoding glutamyl-tRNA synthetase. We report clinical and radiological findings
for two siblings with new pathogenic mutations in the EARS2 gene. Both patients
showed symptoms of mild-type disease, but there were clinical differences between
the two siblings. While the older brother had hypotonia and delayed developmental
milestones, the younger brother had seizures and spasticity in the lower
extremities. Brain magnetic resonance imaging (MRI) findings were quite similar
for the two siblings. MRI findings were specific to LTBL. MRI lesions of the
older sibling had regressed over time. Clinical and radiological improvement, as
in the previously reported patients with LTBL, may be an important clue for
diagnosis.
PMID- 27206876
TI - Minimum intravenous thrombolysis utilization rates in acute ischemic stroke to
achieve population effects on disability: A discrete-event simulation model.
AB - BACKGROUND: The only pharmacological treatment with proven cost-effectiveness in
reducing acute ischemic stroke (AIS) associated disability is intravenous
thrombolysis with recombinant tissue plasminogen activator but it's utilization
rate is still low in most of the world. We estimated the minimum thrombolysis
utilization rate needed to decrease the prevalence of stroke-related disability
at a population level by using a discrete-event simulation model. METHODS: The
model included efficacy according to time to treatment up to 4.5h, and four
scenarios for the utilization of intravenous thrombolysis in eligible patients
with AIS: a) 2%; b) 12% c) 25% and d) 40%. We calculated the prevalence of AIS
related disability in each scenario, using population based data. The simulation
was performed from 2002 to 2017 using the ARENA software. RESULTS: A 2%
utilization rate yielded a prevalence of disability of 359.1 per 100,000.
Increasing thrombolysis to 12% avoided 779 disabled patients. If the utilization
rate was increased to 25%, 1783 disabled patients would be avoided. The maximum
scenario of 40% decreased disability to 335.7 per 100,000, avoiding 17% of AIS
related disability. CONCLUSION: The current utilization rate of intravenous
thrombolysis of 2% has minimal population impact. Increasing the rate of
utilization to more than 12% is the minimum to have a significant population
effect on disability and should be a public policy aim.
PMID- 27206877
TI - Case-fatality and functional status three months after first-ever stroke in
Vietnam.
AB - BACKGROUND: To provide novel information on outcomes after first-ever stroke in
Vietnam, case-fatality and functional status were assessed 3months after stroke
onset. METHODS: First-ever stroke patients admitted to the stroke unit of a
tertiary teaching hospital in Ho Chi Minh City, Vietnam were recruited, examined
and interviewed. Functional status was assessed on the modified Rankin Scale
(mRS) at admission and again at 3months. RESULT: We recruited 450 consecutive
first-ever stroke patients (99.6% participation, 47.9% female, mean age 62.5 [SD
14.0] years, 76.2% ischaemic stroke). Three-month observed case-fatality was
10.4%. Under plausible assumptions about deaths among non-recruited participants,
the estimated case-fatality would be higher (16.4%) Those who had died were
mostly older patients compromised by comorbidities and pre-existing disability,
and who had severe impairment or severe disability due to stroke at the time of
admission. At 3-month follow-up of 376 patients, 34% had least severe disability
(mRS=0/1), 39% had intermediate disability and 28% had most severe disability
(mRS=4/5). Those with least severe disability were mostly men younger than
65years of age and principally with ischemic stroke. Those with most severe
disability were predominantly women aged >=65years and those with severe
disability, mainly attributable to intracerebral haemorrhage. At 3months, 50% had
better functional status than at stroke onset, and 27% had poorer function.
CONCLUSION: Case-fatality was relatively low in this study, possibly because of
cultural preferences for end-of-life care at home. The dependency burden was
relatively high, placing pressure on the healthcare system and society.
PMID- 27206878
TI - Recurrent cervical internal carotid artery vasospasm relating to menstruation
with endothelial dysfunction.
PMID- 27206879
TI - Aspirin, but not clopidogrel, ameliorates vasomotor symptoms due to essential
thrombocythemia: A case report.
PMID- 27206880
TI - Suction thrombectomy after balloon maceration for dural venous sinus thrombosis.
AB - PURPOSE: To introduce the combination of suction thrombectomy (ST) and balloon
maceration (BM) for the management of dural venous sinus thrombosis (DVST).
MATERIALS AND METHODS: Ten consecutive patients (average age, 53+/-15years;
range, 30 to 73years) with DVST treated by ST after BM were evaluated including
location of DVST, imaging presentation, procedural findings, and 3-month modified
Rankin scale (mRS). RESULTS: All 10 patients had evidence of venous infarct on MR
or CT. In addition, seven patients had intracerebral hemorrhage (ICH), one had
subarachnoid hemorrhage (SAH), and one had both ICH and SAH. More than one sinus
was involved in nine patients. ST after BM was technically successful in all
patients, and angiographic relief of venous congestion and good outcome (3-month
mRS 0 or 1) was achieved in eight patients (80%). The average procedural time was
73.5+/-24.7min. Two patients who were in coma status had negative outcomes, and
one had a known chronic thrombotic segment refractory to treatment. No recurrent
thrombosis of recanalized sinus was found on follow-up MR venography in six
patients and CT venography in two patients. CONCLUSION: BM followed by ST is a
promising technique for the treatment of acute DVST.
PMID- 27206881
TI - Plasma circulating cell-free mitochondrial DNA in the assessment of Friedreich's
ataxia.
AB - Friedreich's ataxia (FRDA) is one of the most devastating childhood onset
neurodegenerative disease affecting multiple organs in the course of progression.
FRDA is associated with mitochondrial dysfunction due to deficit in a nuclear
encoded mitochondrial protein, frataxin. Identification of disease-specific
biomarker for monitoring the severity remains to be a challenging topic. This
study was aimed to identify whether circulating cell-free nuclear DNA (nDNA) and
mitochondrial DNA (mtDNA) in blood plasma can be a potential biomarker for FRDA.
Clinical information was assessed using International Cooperative Ataxia Rating
Scale and the disease was confirmed using Long-range PCR for GAA repeat expansion
within the gene encoding frataxin. The frataxin expression was measured using
Western blot. Plasma nDNA and mtDNA levels were quantified by Multiplex real-time
PCR. The major observation is that the levels of nDNA found to be increased,
whereas mtDNA levels were reduced significantly in the plasma of FRDA patients
(n=21) as compared to healthy controls (n=21). Further, plasma mtDNA levels
showed high sensitivity (90%) and specificity (76%) in distinguishing from
healthy controls with optimal cutoff indicated at 4.1*10(5)GE/mL. Interestingly,
a small group of follow-up patients (n=9) on intervention with, a nutrient
supplement, omega-3 fatty acid (a known enhancer of mitochondrial metabolism)
displayed a significant improvement in the levels of plasma mtDNA, supporting our
hypothesis that plasma mtDNA can be a potential monitoring or prognosis biomarker
for FRDA.
PMID- 27206883
TI - SLC1A2 rs3794087 are associated with susceptibility to Parkinson's disease, but
not essential tremor, amyotrophic lateral sclerosis or multiple system atrophy in
a Chinese population.
AB - BACKGROUND: The association between the polymorphism rs3794087 in the solute
carrier family 1, member 2 (SLC1A2) and the risk of essential tremor (ET) among
different studies is controversial. Considering the overlap of the clinical
manifestations and pathological characteristics of ET, Parkinson's disease (PD),
multiple system atrophy (MSA), as well as amyotrophic lateral sclerosis (ALS), we
explored the possible genetic association of rs3794087 with ET, PD, MSA and ALS
in a Chinese cohort. METHODS: A total of 112 ET, 621 PD, 356 MSA, 513 sporadic
ALS (SALS) patients and 437 healthy controls (HCs) were genotyped for rs3794087
using the Sequenom iPLEX Assay technology. RESULTS: Significant association was
found between SLC1A2 rs3794087 and PD in the additive model (p=0.006), which was
more obvious in early onset PD. The minor allele of rs3794087 decreased the risk
for early onset PD (p=0.011, OR: 0.73, 95% CI: 0.56-0.94). However, no
significant differences in the genotype distributions and allele frequency were
observed in the allelic, additive, dominant or recessive genetic models of SLC1A2
rs3794087 between ET patients and HCs, between SALS patients and HCs, and between
MSA and HCs. CONCLUSIONS: Our results suggested SLC1A2 rs3794087 may decrease the
risk for PD in a Chinese cohort, but do not support a role in the susceptibility
to SALS or MSA.
PMID- 27206882
TI - Blood glucose levels and cortical thinning in cognitively normal, middle-aged
adults.
AB - Type II diabetes mellitus (DM) increases risk for cognitive decline and is
associated with brain atrophy in older demented and non-demented individuals. We
investigated (1) the cross-sectional association between fasting blood glucose
level and cortical thickness in a sample of largely middle-aged, cognitively
normal adults, and (2) whether these associations were modified by genes
associated with both lipid processing and dementia. To explore possible
modifications by genetic status, we investigated the interaction between blood
glucose levels and the apolipoprotein E (APOE) epsilon4 allele and the
translocase of the outer mitochondrial membrane (TOMM) 40 '523 genotype on
cortical thickness. Cortical thickness measures were based on mean thickness in a
subset of a priori-selected brain regions hypothesized to be vulnerable to
atrophy in Alzheimer's disease (AD) (i.e., 'AD vulnerable regions'). Participants
included 233 cognitively normal subjects in the BIOCARD study who had a measure
of fasting blood glucose and cortical thickness measures, quantified by magnetic
resonance imaging (MRI) scans. After adjustment for age, sex, race, education,
depression, and medical conditions, higher blood glucose was associated with
thinner parahippocampal gyri (B=-0.002; 95% CI -0.004, -0.0004) and temporal pole
(B=-0.002; 95% CI -0.004, -0.0001), as well as reduced average thickness over AD
vulnerable regions (B=-0.001; 95% CI -0.002, -0.0001). There was no evidence for
greater cortical thinning in epsilon4 carriers of the APOE gene or in APOE
epsilon3/3 individuals carrying the TOMM40 VL/VL genotypes. When individuals with
glucose levels in the diabetic range (>=126mg/dL), were excluded from the
analysis, the associations between glucose levels and cortical thickness were no
longer significant. These findings suggest that glucose levels in the diabetic
range are associated with reduced cortical thickness in AD vulnerable regions as
early as middle age.
PMID- 27206884
TI - Immunolocalization of Tom1 in relation to protein degradation systems in
Alzheimer's disease.
AB - Alzheimer's disease (AD) is an age-related neurodegenerative disorder. Its
pathological hallmarks are senile plaques (SPs), which contain extracellular
deposits of amyloid beta (Abeta) protein fibrils and dystrophic neurites (DNs),
and neurofibrillary tangles (NFTs) containing hyperphosphorylated tau. Impairment
of protein-degradation systems, including the ubiquitin-proteasome and the
autophagy-lysosome systems, has been proposed as one of the causes of the
accumulation of these aberrant proteins in AD brains. Tom1 (target of Myb1) was
originally identified by the induction of its expression by the v-Myb oncogene
and is a part of two major protein-degradation systems. The present study was
conducted by immunohistochemical and immunofluorescent stainings to show that
Tom1 was localized in DNs, perisomatic granules (PSGs), and NFTs in AD brains.
Moreover, in DNs, Tom1 colocalized with ubiquitin, lysosomal proteins, and Tom1
related proteins (Tollip and myosin VI), which act in both protein-degradation
systems via Tom1. These results indicate that Tom1 plays important roles in
protein-degradation systems in AD pathogenesis.
PMID- 27206885
TI - Predictors of clinical outcome in patients with acute perforating artery
infarction.
AB - BACKGROUND AND PURPOSE: We aimed to determine the predictive factors for super
acute perforating artery infarctions (PAI) involving lenticulostriate arteries
infarctions (LSAI), anterior pontine arteries infarctions (APAI) and thalamic
arteries infarctions (TAI). Whether intravenous thrombolysis (iv-tPA) therapy
would influence the clinical outcome was also studied. METHODS: We analyzed 84
consecutive patients within 12h of stroke symptom onset from January 2008 to June
2015. All patients had an imaging-proven acute infarction in the perforating
territory of LSA (n=53), TA (n=21) and APA (n=10). Favorable clinical outcome was
defined as modified Rankin Scale <=1 at 90days. Patients were divided into groups
according to clinical outcome, lesion location and thrombolysis therapy. Baseline
demographic data, past medical history, National Institutes of Health Stroke
Scale (NIHSS) score and infarction volume were compared between groups. RESULTS:
Eighty-four patients were recruited (median age: 61y; 67.9% male). All patients
with TAI (n=21), 34/53 (64.2%) patients with LSAI and 4/10 (40%) patients with
APAI achieved favorable clinical outcome (mRS<=1). Ninety-day clinical outcome
was associated with age, previous stroke, baseline NIHSS, infarct location,
infarct volume. Intravenous thrombolysis improved 24h NIHSS, but was not
significantly associated with favorable outcome at 90days. In multivariate
analysis, only previous stroke/TIA (OR 0.09, 95%CI 0.01-0.68, p=0.020) and
infarct volume (OR 0.64, 95%CI 0.43-0.96, p=0.032) were independently associated
with the outcome of acute PAI at 90days. CONCLUSIONS: Previous stroke/TIA,
infarct location and infarct volume were independently associated with the short
term clinical outcome of acute PAI.
PMID- 27206886
TI - Can aerobic exercise alleviate flu-like symptoms following interferon beta-1a
injections in patients with multiple sclerosis?
AB - BACKGROUND: Flu-like symptoms (FLS) are common side effects of interferon beta
(IFNbeta) treatment, and may affect the willingness to initiate therapy, the long
term acceptability, and the adherence to the treatment. Case reports suggest that
aerobic exercise is able to markedly reduce FLS following IFNbeta-1a injections
in persons with multiple sclerosis (PwMS). OBJECTIVE: To test the hypothesis that
aerobic exercise can alleviate FLS following IFNbeta-1a injections in PwMS, and
secondarily to examine whether or not fluctuations in circulating cytokines
provide a mechanism that can explain a potential positive effect. METHODS:
Seventeen PwMS who frequently experience FLS following IFNbeta-1a injections
completed four days of testing. On two of the testing days they completed 35min
of aerobic exercise on a bicycle-ergometer following IFNbeta-1a injection. On the
two other testing days, no intervention took place following the injection. FLS
were assessed pre-injection and 3h, 5h, 12h and 24h post-injection. Blood samples
were taken pre-injection and 1h and 3h post-injection to determine levels of
circulating interleukin 6 and 17 and IFNgamma. The primary study endpoint was the
comparison of the change in FLS severity from pre-injection to 5h post-injection
between days with injection alone and days with injection followed by aerobic
exercise. RESULTS: FLS severity change was significantly lower on days with
exercise compared to days with rest. IL6 was significantly increased 3h following
IFNbeta-1a injection and exercise compared to 1h post and pre and when compared
to the resting condition. Participants reported no adverse events in addition to
FLS during the study period. CONCLUSION: Data from this study suggest that
moderate intensity aerobic exercise following IFNbeta-1a injections is safe and
can alleviate the FLS severity in PwMS. Based on these results, 35min of aerobic
exercise should be encouraged for PwMS who often experience FLS following IFNbeta
1a injections.
PMID- 27206887
TI - Hemiparesis due to ipsilateral supratentorial stroke: Report of two cases.
PMID- 27206888
TI - Primary Sjogren's syndrome presenting with multiple aneurysmal dilatation of
cerebral arteries and causing repetitive intracranial hemorrhage.
PMID- 27206889
TI - Thiopental sodium preserves the responsiveness to glutamate but not acetylcholine
in rat primary cultured neurons exposed to hypoxia.
AB - Although many in vitro studies demonstrated that thiopental sodium (TPS) is a
promising neuroprotective agent, clinical attempts to use TPS showed mainly
unsatisfactory results. We investigated the neuroprotective effects of TPS
against hypoxic insults (HI), and the responses of the neurons to l-glutamate and
acetylcholine application. Neurons prepared from E17 Wistar rats were used after
2weeks in culture. The neurons were exposed to 12-h HI with or without TPS. HI
induced neurotoxicity was evaluated morphologically. Moreover, we investigated
the dynamics of the free intracellular calcium ([Ca(2+)]i) in the surviving
neurons after HI with or without TPS pretreatment following the application of
neurotransmitters. TPS was neuroprotective against HI according to the
morphological examinations (0.73+/-0.06 vs. 0.52+/-0.07, P=0.04). While the
response to l-glutamate was maintained (0.89+/-0.08 vs. 1.02+/-0.09, P=0.60), the
[Ca(2+)]i response to acetylcholine was notably impaired (0.59+/-0.02 vs. 0.94+/
0.04, P<0.01). Though TPS to cortical cultures was neuroprotective against HI
morphologically, the [Ca(2+)]i response not to l-glutamate but to acetylcholine
was impaired. This may partially explain the inconsistent results regarding the
neuroprotective effects of TPS between experimental studies and clinical
settings.
PMID- 27206890
TI - Demyelinating Guillain-Barre syndrome recurs more frequently than axonal
subtypes.
AB - Guillain-Barre syndrome (GBS) is considered a monophasic disorder yet recurrences
occur in up to 6% of patients. We retrospectively studied an Italian-Japanese
population of 236 GBS and 73 Miller Fisher syndrome (MFS) patients and searched
for factors which may be associated with recurrence. A recurrent patient was
defined as having at least two episodes that fulfilled the diagnostic criteria
for GBS and MFS with an identifiable recovery after each episode and a minimum of
2months between episodes. Preceding Campylobacter jejuni (C. jejuni) infection
and antiganglioside antibodies were also assessed. Seven (3%) recurrent GBS and
one (1.4%) recurrent MFS patients were identified. In the individual patient the
clinical features during episodes were usually similar varying in severity
whereas the preceding infection differed. None of the patients had GBS in one
episode and MFS in the recurrence or vice versa. Recurrent GBS patients, compared
with monophasic GBS, did not have preceding diarrhea at the first episode and
considering the electrophysiological subtypes, acute inflammatory demyelinating
polyneuropathies recurred more frequently than axonal GBS (6.5% vs 0.9%, p=0.04).
In conclusion in a GBS population with a balanced number of demyelinating and
axonal subtypes less frequent diarrhea and demyelination at electrophysiology
were associated with recurrence.
PMID- 27206891
TI - Upper back restlessness: Two case reports.
PMID- 27206892
TI - Impact of video-ambulatory electroencephalography on the medical management of
epilepsy.
AB - Video-ambulatory electroencephalography (video-AEEG) is increasingly being used
in secondary care centres for the diagnostic work-up of new cases with suspected
epilepsy and for the review of known cases with active seizures despite medical
treatment. We reviewed how the outcome of video-AEEG influenced the medical
management of patients who underwent this investigation at a neurophysiology
department within a secondary care centre. Out of a total of 171 consecutive
video-AEEG studies performed over a period of two years, 111 could be included in
our retrospective analysis, based on availability and completeness of relevant
clinical information pre- and post-investigation. In our sample, 55.9% of
patients had typical clinical events captured on video-AEEG and diagnostic yield
was higher in patients with a previously established diagnosis of epilepsy (n=62;
diagnostic yield 62.9%). A total of 27 patients (24.3%) had changes in medical
treatment following video-AEEG, most frequently antiepileptic drug
introduction/increase when epileptic seizures were captured. This proportion was
similar between patients with or without a previously established diagnosis of
epilepsy. Our findings in a real-life setting confirm the usefulness of video
AEEG in influencing the clinical attitude towards complex patients with suspected
or longstanding history of epilepsy.
PMID- 27206894
TI - Urinary dysfunction and motor symptoms in untreated Parkinson's disease.
AB - OBJECTIVES: The aim of the present study was to determine the associations of
motor symptoms in untreated Parkinson's disease (PD) with urinary dysfunction. We
therefore examined the association between the overactive bladder symptom score
(OABSS) and Unified Parkinson's Disease Rating Scale (UPDRS). PATIENTS AND
METHODS: Thirty-one untreated PD patients without dementia (12 men and 19 women
with a mean age of 72.0+/-6.7years) were included in this study. Their urinary
tract dysfunctions were rated using the OABSS. The motor symptoms of all patients
were also assessed using UPDRS. RESULTS: OABSS had significant correlations with
UPDRS motor score (Spearman's rho=0.39, p=0.03) and akinetic-rigid score
(Spearman's rho=0.47, p=0.01). However, OABSS showed no significant correlation
with tremor score, age, or duration of PD. CONCLUSIONS: Higher OABSS was
consistently associated with increase in severity of motor disorders, especially
akinetic-rigid score, in the study participants.
PMID- 27206895
TI - Parkinsonism in Spinocerebellar ataxia type 7.
PMID- 27206893
TI - Multimodal evoked potentials follow up in multiple sclerosis patients under
fingolimod therapy.
AB - BACKGROUND: Clinical trials have shown the therapeutic effect of fingolimod in
reducing disease activity in relapsing-remitting multiple sclerosis (RR-MS), but
its influence on nervous conduction assessed by evoked potentials (EPs) has not
been previously investigated. METHODS: EP data of 20 patients examined 12months
prior to initiation of fingolimod (t=-1), at treatment initiation (t=0) and 1year
later (t=+1) were compared. Each EP (VEP, MEP, SEP) and EP sum score, a global
evoked potential score as the sum score of the each EP score was evaluated and
correlated with Expanded Disability Status Scale (EDSS). RESULTS: During pre
treatment period (1year) EDSS worsened while one year after fingolimod treatment
EDSS remained stable. From t-1 to t0 VEP, SEP, MEP and EP sum score worsened
while from t0 to t+1 VEP, SEP and EP sum score improved, and MEP score remain
stable. VEP and SEP were related to EDSS at baseline (t=-1), while MEP and total
EP sum score were related to EDSS at all time points. CONCLUSION: Fingolimod is
able to improve visual and somatosensory evoked potential in RR-MS patients even
if clinical disability scale remains stable. VEP and SEP could give eloquent
information on pathway underweighted in EDSS. EPs are useful to evaluate
fingolimod effects in clinical practice.
PMID- 27206896
TI - Prolonged Wallerian degeneration in the corticospinal tract following external
capsular hemorrhage.
PMID- 27206897
TI - The aetiology of Idiopathic Late Onset Cerebellar Ataxia (ILOCA): Clinical and
imaging clues for a definitive diagnosis.
PMID- 27206898
TI - Quality of life in patients with myotonic dystrophy type 2.
AB - AIM: To analyze quality of life (QoL) in a large cohort of myotonic dystrophy
type 2 (DM2) patients in comparison to DM1 control group using both generic and
disease specific questionnaires. In addition, we intended to identify different
factors that might affect QoL of DM2 subjects. PATIENTS AND METHOD: 49 DM2
patients were compared with 42 adult-onset DM1 patients. Patients completed SF-36
questionnaire and individualized neuromuscular quality of life questionnaire
(INQoL). Following measures were also included: Medical Research Council 0-5
point scale for muscle strength, Addenbrooke's cognitive examination revised for
cognitive status, Hamilton rating scale for depression, Krupp's fatigue severity
scale and daytime sleepiness scale (DSS) RESULTS: SF-36 total score and physical
composite score did not differ between DM1 and DM2 patients (p>0.05). However,
role emotional and mental composite score were better in DM2 (p<0.05). INQoL
total score was similar in both groups (p>0.05), although DM2 patients showed
less impairment in independence (p<0.05) and body image domains (p<0.01).
Regarding symptoms assessed by INQoL, DM2 patients showed less severe complaint
of myotonia (p<0.01). Multiple linear regression analysis showed that significant
predictors of worse QoL in DM2 patients were older age, worse muscle strength and
higher level of fatigue. CONCLUSION: QoL reports of DM2 patients with the most
severe form of the disease are comparable to those of DM1 patients. Special
attention of clinicians should be paid to DM2 patients with older age, more
severe muscle weakness and higher level of fatigue since they may be at higher
risk to have worse QoL.
PMID- 27206900
TI - Selective impairment of horizontal vestibulo-ocular reflexes in acute Wernicke's
encephalopathy.
PMID- 27206899
TI - A Japanese multicenter survey characterizing pain in Parkinson's disease.
AB - BACKGROUND: Pain is a frequent, troublesome symptom of PD but is under-recognized
and poorly understood. AIM: We characterized pain prevalence, severity, and
location in PD, to better understand its pathophysiology and improve diagnosis
and treatment. SUBJECTS AND METHODS: A cross-sectional controlled study was
conducted at 19 centers across Japan. A total of 632 subjects with Mini-Mental
State Examination scores >=24 were enrolled, including 324 PD patients and 308
controls. Sex and mean age did not differ between the two groups. Demographic and
clinical data were collected. Pain was assessed using questionnaires, the SF-36v2
bodily pain scale, and a body illustration for patients to indicate the location
of pain in 45 anatomical areas. RESULTS: Pain prevalence in the PD group was
78.6%, significantly higher than in controls (49.0%), as was its severity. There
was no correlation between SF-36v2 score and motor scores, such as Unified
Parkinson's Disease Rating Scale III or Hoehn & Yahr scores. Pain distribution
was similar between groups, predominantly in the lower back, followed by the
gluteal region, lower legs, thighs, posterior neck, and shoulders. CONCLUSION:
Pain is a significant problem in the Japanese PD population and we discuss its
pathophysiology.
PMID- 27206901
TI - Neurotransmitter and their metabolite concentrations in different areas of the
HPRT knockout mouse brain.
AB - Lesch-Nyhan syndrome (LNS) is characterized by uric acid overproduction and
severe neurobehavioral symptoms, such as recurrent self-mutilative behavior. To
learn more about the pathophysiology of the disease, we quantified
neurotransmitters and their metabolites in the cerebral hemisphere, cerebellum
and the medulla oblongata of HPRT knockout mice, an animal model for LNS, in
comparison to the corresponding wild-type. Our analyses included l-glutamate, 4
aminobutanoic acid (GABA), acetylcholine, serotonin, 5-hydroxyindoleacetic acid
(5-HIAA), norepinephrine, l-normetanephrine, epinephrine and l-metanephrine and
were conducted via high performance liquid chromatography (HPLC) coupled to
tandem mass spectrometry (MS/MS). Among these neurotransmitter systems, we did
not find any abnormalities in the HPRT knockout mouse brains. On one side, this
might indicate that HPRT deficiency most severely affects dopamine signaling,
while brain functioning based on other neurotransmitters is more or less spared.
On the other hand, our findings may reflect a compensating mechanism for impaired
purine salvage that protects the brain in HPRT-deficient mice but not in LNS
patients.
PMID- 27206902
TI - Mucuna pruriens for Parkinson's disease: Low-cost preparation method, laboratory
measures and pharmacokinetics profile.
AB - BACKGROUND: Parkinson's disease (PD) is a progressive neurological condition.
Levodopa (LD) is the gold standard therapy for PD patients. Most PD patients in
low-income areas cannot afford long-term daily Levodopa therapy. The aim of our
study was to investigate if Mucuna pruriens (MP), a legume with high LD content
that grows in tropical regions worldwide, might be potential alternative for poor
PD patients. METHODS: We analyzed 25 samples of MP from Africa, Latin America and
Asia. We measured the content in LD in various MP preparations (dried, roasted,
boiled). LD pharmacokinetics and motor response were recorded in four PD
patients, comparing MP vs. LD+Dopa-Decarboxylase Inhibitor (DDCI) formulations.
RESULTS: Median LD concentration in dried MP seeds was 5.29%; similar results
were obtained in roasted powder samples (5.3%), while boiling reduced LD content
up to 70%. Compared to LD+DDCI, MP extract at similar LD dose provided less
clinical benefit, with a 3.5-fold lower median AUC. CONCLUSION: Considering the
lack of a DDCI, MP therapy may provide clinical benefit only when content of LD
is at least 3.5-fold the standard LD+DDCI. If long-term MP proves to be safe and
effective in controlled clinical trials, it may be a sustainable alternative
therapy for PD in low-income countries.
PMID- 27206903
TI - Partial pharmacologic blockade shows sympathetic connection between blood
pressure and cerebral blood flow velocity fluctuations.
AB - Cerebral autoregulation (CA) dampens transfer of blood pressure (BP)-fluctuations
onto cerebral blood flow velocity (CBFV). Thus, CBFV-oscillations precede BP
oscillations. The phase angle (PA) between sympathetically mediated low-frequency
(LF: 0.03-0.15Hz) BP- and CBFV-oscillations is a measure of CA quality. To
evaluate whether PA depends on sympathetic modulation, we assessed PA-changes
upon sympathetic stimulation with and without pharmacologic sympathetic blockade.
In 10 healthy, young men, we monitored mean BP and CBFV before and during 120
second cold pressor stimulation (CPS) of one foot (0 degrees C ice-water). We
calculated mean values, standard deviations and sympathetic LF-powers of all
signals, and PAs between LF-BP- and LF-CBFV-oscillations. We repeated
measurements after ingestion of the adrenoceptor-blocker carvedilol (25mg). We
compared parameters before and during CPS, without and after carvedilol (analysis
of variance, post-hoc t-tests, significance: p<0.05). Without carvedilol, CPS
increased BP, CBFV, BP-LF- and CBFV-LF-powers, and shortened PA. Carvedilol
decreased resting BP, CBFV, BP-LF- and CBFV-LF-powers, while PAs remained
unchanged. During CPS, BPs, CBFVs, BP-LF- and CBFV-LF-powers were lower, while
PAs were longer with than without carvedilol. With carvedilol, CPS no longer
shortened resting PA. Sympathetic activation shortens PA. Partial adrenoceptor
blockade abolishes this PA-shortening. Thus, PA-measurements provide a subtle
marker of sympathetic influences on CA and might refine CA evaluation.
PMID- 27206904
TI - Cerebral air embolism likely due to pulmonary Mycobacterium avium complex
disease.
PMID- 27206905
TI - Impact of a switch to fingolimod on depressive symptoms in patients with
relapsing multiple sclerosis: An analysis from the EPOC (Evaluate Patient
OutComes) trial.
AB - BACKGROUND: Depression is common in patients with multiple sclerosis (MS), may
confound evaluation of therapeutic effectiveness and may be impacted by MS
specific treatments. OBJECTIVE: First, to assess the impact on depressive
symptoms of a switch to fingolimod versus remaining on an injectable disease
modifying therapy (iDMT) in a post-hoc analysis of prospectively collected data
from the EPOC study. Secondly, to investigate the underlying Beck Depression
Inventory-II (BDI-II) factor structure in patients with MS, and estimate
treatment differences using the resulting subscales. METHODS: EPOC was a 6-month,
open-label study assessing patient-reported outcomes after switch from iDMT to
oral fingolimod 0.5mg versus remaining on iDMT in 1053 patients with relapsing
remitting MS. RESULTS: At end of study (EOS), a greater proportion of patients on
fingolimod versus iDMT no longer had BDI-II scores indicating depression
(p<0.001). Fewer mildly and moderately symptomatic patients developed severe
depressive symptoms, and fewer severely symptomatic patients continued to have
scores indicating severe depression at EOS on fingolimod versus iDMT (p=0.027,
p=0.038, p=0.030, respectively). Two BDI-II subscales were identified and
labelled Somatic and Affective; fingolimod demonstrated more reduction on both
subscales at EOS versus iDMTs (p<0.0001 and p=0.0001, respectively). CONCLUSION:
A switch to fingolimod versus remaining on/switching to another iDMT was
associated with an improvement in depressive symptoms in patients with relapsing
remitting MS.
PMID- 27206906
TI - A survey based study on sleep disturbance in postural tachycardia syndrome.
AB - BACKGROUND: Postural tachycardia syndrome (POTS) is an autonomic disturbance
characterized by an excessive increase in heart rate when an individual moves
from a sitting to an upright position. POTS patients often complain of fatigue,
daytime sleepiness and insomnia, but there is limited evidence to elucidate the
mechanism or the prevalence of sleep-related symptoms in POTS, as well as the
effect on patient quality of life. Here, we investigated the prevalence of sleep
disturbances in POTS patients, as well as the use of medication and effects on
daily life. METHODS: A survey was administered to 30 patients with POTS. The
survey contained 22 questions on various characteristics of sleep disturbances in
POTS. Answers were recorded on a five-point Likert rating scale. RESULTS: The
majority of the patients reported fatigue (96.7%) and low energy (93.3%) during
the day. Most (83.3%) patients reported that they do not feel well rested when
waking up in the morning. More than half of the patients reported trouble falling
asleep at night (63.3%) and maintaining sleep through the night (62.1%). Despite
the frequent complaint of sleep disturbance, a very low percentage of POTS
patient actually report seeking treatment. CONCLUSION: In this study, we explored
the prevalence of sleep disturbance in patients with POTS. Almost all POTS
patients reported trouble with sleep and fatigue; however, there is major
discrepancy between the high percentage of symptoms and small percentage of
patients seeking medical assistance for better sleep quality.
PMID- 27206907
TI - Association of black race with recurrent stroke risk.
AB - BACKGROUND: The significantly higher risk of primary stroke in Black vs. Whites
is very well established. However, very few studies have specifically examined
the presence of this racial disparity in recurrent stroke risk. METHODS: We
conducted an analysis of a clinical trial dataset comprising 3470 recent non
cardioembolic stroke patients aged >=35years and followed for 2years. Subjects
were categorized by race into Whites and Blacks. Cox regression analysis was used
to evaluate the associations between Black (vs. White) and ischemic stroke
(primary outcome); and stroke/coronary heart disease (CHD)/vascular death as
major vascular events (secondary outcome) with and without adjustment for
comorbid conditions associated with stroke. RESULTS: Among participants (2925
Whites and 545 Blacks), a total of 287 (8.3%) incident stroke and 582 (16.8%)
major vascular events occurred. Compared with Whites, Blacks had higher
frequencies of prior stroke, hypertension, diabetes mellitus, and smoking; but
were younger with lower prevalence of CHD. Frequency of stroke was higher in
Blacks vs. Whites (11.4% vs. 7.7%; P=0.004), but there was no difference in major
vascular events (16.9% vs. 16.8%). Compared with Whites, Blacks experienced a
significantly higher risk of recurrent stroke (HR 1.58; 95% CI, 1.19-2.09), but
the stroke risk was not significant after multivariable adjustment (1.13; 0.81
1.59). CONCLUSION: Blacks are ~60% more likely to experience a recurrent stroke
within 2years than their Whites, but this risk is likely mediated via stroke risk
factors. These results underscore a need to optimize and sustain risk factor
control in Black stroke populations.
PMID- 27206908
TI - Intraepidermal nerve fibre density in POEMS (Crow-Fukase) syndrome and the
correlation with sural nerve pathology.
AB - OBJECTIVE: To examine intraepidermal nerve fibre densities (IENFDs) in patients
with polyneuropathy, organomegaly, endocrinopathy, monoclonal gammopathy and skin
change (POEMS) syndrome. METHODS: The IENFDs of 11 patients with POEMS syndrome
were estimated. We determined whether IENFD was associated with patient clinical
features or the estimated number of nerve fibres on complete cross-sections of
biopsied sural nerves. RESULTS: IENFD was significantly reduced (9.7+/
4.4fibres/mm) compared with normal controls (p<0.05), although the individual
values varied from 1.4 to 14.4fibres/mm. The presence of glucose intolerance was
significantly associated with a reduction of IENFD (p<0.05). The number of
unmyelinated fibres was preserved at the sural nerve level and was not correlated
with IENFD. In contrast, the number of myelinated fibres was correlated with
IENFD (p<0.05). CONCLUSIONS: Some of the patients presented with a severe IENFD
reduction. Because the number of unmyelinated fibres was well preserved at the
level of the sural nerve biopsy, this severe reduction may indicate involvement
at the most distal nerve terminals of unmyelinated fibres. Although the reduction
of IENFD becomes evident as polyneuropathy becomes severe, the effects of glucose
intolerance should also be considered in patients with moderate to severe
reductions.
PMID- 27206909
TI - Bilateral vertebral artery dissection in the setting of ADEM.
PMID- 27206910
TI - Effect of a Multidisciplinary Approach for the Management of Patients With Atrial
Fibrillation in the Emergency Department on Hospital Admission Rate and Length of
Stay.
AB - Management of atrial fibrillation (AF) in the emergency department (ED) is
variable because of the absence of universally adopted treatment guidelines. To
address potentially preventable hospital admissions and prolonged length of stay,
an AF treatment pathway was co-developed by physicians from the cardiac
electrophysiology service and the department of emergency medicine at our
institution. The impact of this AF pathway on patient outcomes was evaluated with
a prospective, observational study conducted in a single tertiary care center
from July 1, 2013, to June 30, 2014. The primary study outcome was the rate of
hospital admission. The secondary outcomes were duration of ED visit and
inpatient length of stay. The 94 patients treated according to the AF pathway
during the study period were less likely to be admitted than the 265 patients who
received routine care (16% vs 80%, p <0.001). For admitted patients, the mean
length of stay was shorter for patients treated according to the AF pathway (32
vs 85 hours, p = 0.002). The time spent in the ED was longer for patients in the
AF pathway (16 vs 85 hours, p <0.001). Utilization of a multidisciplinary pathway
for management of AF in the ED led to a significant reduction in the rate of
hospital admission. Patients who were admitted after receiving care according to
the AF pathway had a shorter length of stay. In conclusion, utilization of a
multidisciplinary AF pathway resulted in a 5-fold reduction in admission rate and
>2-fold reduction length of stay for admitted patients.
PMID- 27206912
TI - Proceedings of the Editorial Board Meeting of The American Journal of Cardiology
on April 3, 2016.
PMID- 27206913
TI - Bioresorbable Scaffold With Drug-Coated Balloon: A "Biodegradable" Model for
Bifurcation Lesions Treatment. The New Intriguing Chapter of the "Leaving Nothing
Behind" Saga.
PMID- 27206914
TI - Influence of root dentin treatment on the push-out bond strength of fiber posts.
AB - The aim of the present study was to assess whether different dentin conditioning
protocols with different acids [phosphoric acid, ethylene diamine tetra acetic
acid (EDTA), and polyacrylic acid (PAA)] influence the bond strength of fiber
posts along the radicular depth when luted with self-adhesive resin cement.
Twenty single-rooted teeth were randomly divided into four experimental groups (n
= 5) according to dentin treatment: Group 1: no treatment; Group 2: etching with
35 % phosphoric acid for 10 s; Group 3: 17 % EDTA application for 60 s; and Group
4: conditioning with 25 % PAA for 30 s. RelyX Fiber Posts were luted with the
self-adhesive resin cement RelyX Unicem 2 Automix (3M ESPE). Roots were
transversally sectioned into nine 1-mm thick specimens, three corresponding to
each root third and a push-out test was performed. Data were analyzed by two-way
ANOVA and Tukey test (p < 0.05). Failure mode was determined and specimens with
representative failures for each group were observed under scanning electron
microscopy. According to the results, dentin treatment influenced the bond
strength (p < 0.001), whereas the root third did not (p > 0.05). Fiber posts
luted after treating dentin with phosphoric acid, and PAA exhibited the highest
push-out bond strength values, while the lowest were obtained after EDTA
application. Intermediate results were obtained when dentin was not conditioned.
In conclusion, the bond strength of the self-adhesive resin cement RelyX Unicem 2
improves when root dentin is treated with 35 % phosphoric acid or 25 % PAA,
before fiber posts luting irrespective of the root depth.
PMID- 27206915
TI - Effect of enamel sealants on tooth bleaching and on the color stability of the
result.
AB - The purpose of this in vitro study was to evaluate the effect of enamel sealants
on bleaching of natural teeth by use of 40 % hydrogen peroxide in a dental
surgery. The color stability of the results from bleaching was, furthermore,
determined 10 months after the bleaching procedure. In a standardized setting,
four sealants (Pro Seal(r), Light BondTM Sealant, Protecto(r), and ClinproTM XT
Varnish) were applied to and removed from human teeth in accordance with the
manufacturer's instructions. Natural teeth served as medium; half of the teeth
were sealed and the others served as controls. Hydrogen peroxide gel (40 %;
Opalescence Boost; Ultradent Products, South Jordan, UT, USA) was used as
bleaching agent. Color measurement was performed with a spectroradiometer
(Photoresearch PR670) before the bleaching process (T1) and 24 h (T2) and 10
months (T3) after bleaching. The spectroradiometer results were expressed by use
of the Commission Internationale de l'Eclairage (CIE) L*a*b* color notation. The
L*, a*, and b* values of the sealed and the unsealed surfaces were not
significantly different at any time during the study (p > 0.05), irrespective of
the sealant used. Ten months after the bleaching process, mean L*, a*, and b*
values were lower than at 1-day post-bleaching; the mean value of DeltaE between
1-day post-bleaching and 10 months post-bleaching was 2.46 (+/-3.1). The results
of the study suggest that the effectiveness of professional tooth whitening is
not appreciably affected by the application of the four sealants tested.
PMID- 27206916
TI - Effectiveness of XP-endo Finisher, EndoActivator, and File agitation on debris
and smear layer removal in curved root canals: a comparative study.
AB - The purpose of this study was to assess the efficacy of the XP-endo Finisher (FKG
Dentaire SA, La Chaux-de-Fonds, Switzerland) file on debris and smear layer
removal in curved root canals in comparison to different irrigation regimens.
Seventy-five freshly extracted human mandibular molar teeth with mesial root
curved more than 20 degrees were used in this study. The mesial root canals were
mechanically prepared using the BT-Race rotary system (FKG Dentaire) and divided
into five groups (n = 15) according to the following irrigation techniques:
positive control, non-agitated, File agitation, XP-endo Finisher, and
EndoActivator (Dentsply Tulsa Dental Specialities, Tulsa, OK, USA). Root canals
were split longitudinally and evaluated by scanning electron microscopy. Five
grade scoring system was used to assess the presence of debris and smear layer at
the coronal, middle, and apical regions. The XP-endo Finisher and EndoActivator
groups revealed significantly lower debris and smear layer scores than the other
groups at the coronal, middle, and apical regions (P < 0.05). There was no
significant difference between XP-endo Finisher and EndoActivator groups (P >
0.05). The apical region had higher debris and smear layer scores compared with
the coronal regions in all groups (P < 0.05), except for the positive control
group; there was no significant difference between the three regions of the root
canal (P > 0.05). Irrigation of curved root canals using XP-endo Finisher and
EndoActivator methods appears to be more effective on debris and smear layer
removal than the other tested groups.
PMID- 27206917
TI - Erratum to: Practical Considerations for the Use of Daratumumab, a Novel CD38
Monoclonal Antibody, in Myeloma.
PMID- 27206918
TI - Optimization of Folate-Targeted Immunotherapy for the Treatment of Experimental
Arthritis.
AB - Folate-targeted immunotherapy constitutes a powerful method for the treatment of
established arthritis in multiple animal models of the disease. The therapy
involves immunization of the animal against a hapten to induce anti-hapten
antibodies, followed by injection with a folate-hapten conjugate to decorate the
surface of folate receptor-positive (activated) macrophages with the antigenic
hapten. The hapten-marked macrophages are then recognized by the anti-hapten
antibodies and eliminated by immune mechanisms, leading to attenuation of disease
symptoms. In the following paper, we optimize the therapy for elimination of
inflammatory macrophages and suppression of rheumatoid arthritis symptoms. We
also demonstrate a tight correlation between folate receptor-positive macrophage
abundance in the liver and inflammation of affected joints. The results suggest
that therapies that reduce folate receptor-positive macrophage populations in the
body should constitute effective treatments for rheumatoid arthritis.
PMID- 27206919
TI - Effect of Low-Power Laser (LPL) and Light-Emitting Diode (LED) on Inflammatory
Response in Burn Wound Healing.
AB - The aim of the study was to investigate the biochemical and molecular changes in
the process of epidermal healing of burn injuries after therapeutic treatment
with low-power laser (LPL) and light-emitting diode (LED). Rats were divided into
six groups: skin without injury (Sham), burn wounds (BWs), BW + 660-nm LPL, BW +
904-nm LPL, BW + 632-nm LED, and BW + 850-nm LED. The burn wound model was
performed using a 100 degrees C copper plate, with 10 s of contact in the skin.
The irradiations started 24 h after the lesion and were performed daily for 7
days. The burn wound groups showed an increase in the superoxide production,
dichlorofluorescein, nitrites, and high protein oxidative damage. The activities
of glutathione peroxidase and catalase were also increased, and a significant
reduction in glutathione levels was observed compared to the control group.
However, treatments with 660-nm LPL and 850-nm LED promoted protection against to
oxidative stress, and similar results were also observed in the IL-6 and pERK1/2
expression. Taken together, these results suggest that LPL 660 nm and LED 850 nm
appear reduced in the inflammatory response and oxidative stress parameters, thus
decreasing dermal necrosis and increasing granulation tissue formation, in fact
accelerating the repair of burn wounds.
PMID- 27206920
TI - How organizational escalation prevention potential affects success of
implementation of innovations: electronic medical records in hospitals.
AB - BACKGROUND: Escalation of commitment is the tendency that (innovation) projects
continue, even if it is clear that they will not be successful and/or become
extremely costly. Escalation prevention potential (EPP), the capability of an
organization to stop or steer implementation processes that do not meet their
expectations, may prevent an organization of losing time and money on
unsuccessful projects. EPP consists of a set of checks and balances incorporated
in managerial practices that safeguard management against irrational (but very
human) decisions and may limit the escalation of implementation projects. We
study whether successful implementation of electronic medical records (EMRs)
relates to EPP and investigate the organizational factors accounting for this
relationship. METHODS: Structural equation modelling (SEM), using questionnaire
data of 427 doctors and 631 nurses who had experience with implementation and use
of EMRs in hospitals, was applied to study whether formal governance and
organizational culture mediate the relationship between EPP and the perceived
added value of EMRs. RESULTS: Doctors and nurses in hospitals with more EPP
report more successful implementation of EMR (in terms of perceived added value
of the EMR). Formal governance mediates the relation between EPP and
implementation success. We found no evidence that open or innovative culture
explains the relationship between EPP and implementation success. CONCLUSIONS:
There is a positive relationship between the level of EPP and perceived added
value of EMRs. This relationship is explained by formal governance mechanisms of
organizations. This means that management has a set of tangible tools to
positively affect the success of innovation processes. However, it also means
that management needs to be able to critically reflect on its (previous) actions
and decisions and is willing to change plans if elements of EPP signal that the
implementation process is hampered.
PMID- 27206921
TI - Static posturography across the EDSS scale in people with multiple sclerosis: a
cross sectional study.
AB - BACKGROUND: Posturography is considered the gold standard objective measure of
standing postural control in people with multiple sclerosis (PwMS). This reliable
tool provides quantitative data related to risk of falling and white and gray
matter brain damage due to MS. Nevertheless, it remains unclear whether and to
what extent, postural control declines throughout the disease process. We
therefore examined the impact of disability on posturography measures in PwMS.
METHODS: In this cross-sectional study, the data pool was divided into seven
levels of disability based on the Expanded Disability Status Scale (EDSS) score.
The study group comprised 464 PwMS, mean disease duration was 6.2 (SD = 7.5)
years and mean age 42.6 (SD = 14.1). Static postural control parameters were
obtained from the Zebris FDM-T instrumented Treadmill (Medical GmbH, Germany).
RESULTS: A significant positive correlation between the EDSS and posturography
parameters was found. Scores for the ellipse area, center of pressure (CoP) path
length and sway rate with eyes open were Spearman's rho =0.512, 0.527, 0.528; (P
value < 0.001), respectively. Non-significant differences were observed between
the EDSS subgroups at the lower end of the spectrum (EDSS 0-2.5) in all
posturography parameters. In contrast, MS patients with an EDSS score of 3.0-3.5
demonstrated a significant increase in the ellipse area with eyes open (~108 %)
and closed (~169 %), CoP path length with eyes open (~83 %) and closed (~88 %)
and sway rate with eyes open (~39 %) and closed (~148 %), compared with those who
scored within the range of 0-2.5 in the EDSS. Non-significant differences were
observed between MS patients with an EDSS score of 3.0-5.5. MS patients with an
EDSS score of 6.0-6.5 were significantly poorer in 4 (out of 6) balance measures
compared to other disability subgroups. CONCLUSIONS: Posturography CoP
trajectories are appropriate outcome measures indicating disability deterioration
in PwMS.
PMID- 27206922
TI - In vitro infectivity and differential gene expression of Leishmania infantum
metacyclic promastigotes: negative selection with peanut agglutinin in culture
versus isolation from the stomodeal valve of Phlebotomus perniciosus.
AB - BACKGROUND: Leishmania infantum is the protozoan parasite responsible for
zoonotic visceral leishmaniasis in the Mediterranean basin. A recent outbreak in
humans has been reported in this area. The life cycle of the parasite is
digenetic. The promastigote stage develops within the gut of phlebotomine sand
flies, whereas amastigotes survive and multiply within phagolysosomes of
mammalian host phagocytes. The major vector of L. infantum in Spain is
Phlebotomus perniciosus. The axenic culture model of promastigotes is generally
used because it is able to mimic the conditions of the natural environment (i.e.
the sand fly vector gut). However, infectivity decreases with culture passages
and infection of laboratory animals is frequently required. Enrichment of the
stationary phase population in highly infective metacyclic promastigotes is
achieved by negative selection with peanut agglutinin (PNA), which is possible
only in certain Leishmania species such as L. major and L. infantum. In this
study, in vitro infectivity and differential gene expression of cultured PNA
negative promastigotes (Pro-PNA(-)) and metacyclic promastigotes isolated from
the sand fly anterior thoracic midgut (Pro-Pper) have been compared. RESULTS: In
vitro infectivity is about 30 % higher in terms of rate of infected cells and
number of amastigotes per infected cell in Pro-Pper than in Pro-PNA(-). This
finding is in agreement with up-regulation of a leishmanolysin gene (gp63) and
genes involved in biosynthesis of glycosylinositolphospholipids (GIPL),
lipophosphoglycan (LPG) and proteophosphoglycan (PPG) in Pro-Pper. In addition,
differences between Pro-Pper and Pro-PNA(-) in genes involved in important
cellular processes (e.g. signaling and regulation of gene expression) have been
found. CONCLUSIONS: Pro-Pper are significantly more infective than peanut lectin
non-agglutinating ones. Therefore, negative selection with PNA is an appropriate
method for isolating metacyclic promastigotes in stationary phase of axenic
culture but it does not allow reaching the in vitro infectivity levels of Pro
Pper. Indeed, GIPL, LPG and PPG biosynthetic genes together with a gp63 gene are
up-regulated in Pro-Pper and interestingly, the correlation coefficient between
both transcriptomes in terms of transcript abundance is R (2) = 0.68. This means
that the correlation is sufficiently high to consider that both samples are
physiologically comparable (i.e. the experiment was correctly designed and
performed) and sufficiently low to conclude that important differences in
transcript abundance have been found. Therefore, the implications of axenic
culture should be evaluated case-by-case in each experimental design even when
the stationary phase population in culture is enriched in metacyclic
promastigotes by negative selection with PNA.
PMID- 27206923
TI - Efficacy of bone substitute material in preserving volume when placing a
maxillary immediate complete denture: study protocol for the PANORAMIX randomized
controlled trial.
AB - BACKGROUND: Bone preservation is an essential issue in the context of last teeth
extraction and complete edentulism. The intended treatment, whether a complete
denture or an implant placement, is facilitated with a voluminous residual ridge.
Bone resorption after multiple extractions has not been as well studied as the
bone resorption that occurs after the extraction of a single tooth. Recent
advances in bone substitute materials have revived this issue. The purpose of
this study is to evaluate the interest in using bone substitute material to fill
the socket after last teeth extraction in a maxillary immediate complete denture
procedure compared with the conventional protocol without socket filling.
METHODS/DESIGN: A randomized, controlled, clinical trial was designed. The 34
participants eligible for maxillary immediate complete denture were divided into
two groups. Complete dentures were prepared despite persistence of the last
anterior teeth. The control group received a conventional treatment including
denture placement immediately after extractions. In the experimental group, in
addition to the immediate denture placement, a xenograft bone-substitute material
(Bio-Oss Collagen(r)) was placed in the fresh sockets. The primary outcome of the
study is to compare mean bone ridge height loss 1 year after maxillary immediate
complete denture placement, with or without bone-substitute material, in incisor
and canine sockets. The secondary outcomes are to compare the average bone ridge
height and width loss for each extraction site. An original quantitative
evaluation method using cone beam computed tomography was designed for
reproducible measurements, with a radio-opaque denture duplicate. Two independent
operators perform the radiologic measurements. DISCUSSION: The immediate complete
denture technique limits bone resorption in multiple extraction situations and
thus allows better denture retention and better options for implant placement. To
compare the benefit of using any bone socket-filling material, we proposed a
quantitative evaluation protocol of resorption in the specific case of the last
anterior maxillary teeth extraction with immediate denture placement. TRIAL
REGISTRATION: ClinicalTrials.gov, NCT02120053 . Registered on 18 April 2014.
PMID- 27206925
TI - Metabolomic investigation of regional brain tissue dysfunctions induced by global
cerebral ischemia.
AB - BACKGROUND: To get a broader view of global ischemia-induced cerebral disorders
at the metabolic level, a nuclear magnetic resonance-based metabolomic study was
performed to evaluate the metabolic profile changes on regional brain tissues of
female and male mice upon bilateral common carotid arteries occlusion (BCCAO)
operation. RESULTS: Significant metabolic disorders were observed in both
cerebral cortex and hippocampus tissues of the experimental mice upon global
cerebral ischemic attack. Multiple amino acids were identified as the dominantly
perturbed metabolites. It was also shown that although the metabolic profile
change patterns in the brain tissues were quite similar in male and female BCCAO
mice, metabolic disorders in the cortex tissues were more severe in the female
mice than in the male mice. CONCLUSIONS: In the present study, significant
changes in amino acid metabolic pathways were confirmed in the early stage of
global ischemia. Meanwhile, cerebral metabolic dysfunctions were more severe in
the female BCCAO mice than in the male mice, suggesting that gender may play a
role in different metabolic responses to the ischemic attack, which may provide
an important hypothesis for a better understanding of the clinically observed
gender-dependent pathological outcome of cerebral ischemia.
PMID- 27206926
TI - Molecular cloning, characterization and expression analysis of a heat shock
protein 10 (Hsp10) from Pennisetum glaucum (L.), a C4 cereal plant from the semi
arid tropics.
AB - Heat shock proteins (Hsp10) belong to the ubiquitous family of heat-shock
molecular chaperones found in the organelles of both prokaryotes and eukaryotes.
Chaperonins assist the folding of nascent and stress-destabilized proteins. A
cDNA clone encoding a 10 kDa Hsp was isolated from pearl millet, Pennisetum
glaucum (L.) by screening a heat stress cDNA library. The fulllength PgHsp10 cDNA
consisted of 297 bp open reading frame (ORF) encoding a 98 amino acid polypeptide
with a predicted molecular mass of 10.61 kDa and an estimated isoelectric point
(pI) of 7.95. PgHsp10 shares 70-98 % sequence identity with other plant homologs.
Phylogenetic analysis revealed that PgHsp10 is evolutionarily close to the maize
Hsp10 homolog. The predicted 3D model confirmed a conserved eight-stranded beta
barrel with active site between the beta-barrel comprising of eight-strands, with
conserved domain VLLPEYGG sandwiched between two beta-sheets. The gene consisted
of 3 exons and 2 introns, while the position and phasing of these introns were
conserved similar to other plant Hsp10 family genes. In silico analysis of the
promoter region of PgHsp10 presented several distinct set of cis-elements and
transcription factor binding sites. Quantitative RT-PCR analysis showed that
PgHsp10 gene was differentially expressed in response to abiotic stresses with
the highest level of expression under heat stress conditions. Results of this
study provide useful information regarding the role of chaperonins in stress
regulation and generated leads for further elucidation of their function in plant
stress tolerance.
PMID- 27206924
TI - Challenges for malaria elimination in Brazil.
AB - Brazil currently contributes 42 % of all malaria cases reported in the Latin
America and the Caribbean, a region where major progress towards malaria
elimination has been achieved in recent years. In 2014, malaria burden in Brazil
(143,910 microscopically confirmed cases and 41 malaria-related deaths) has
reached its lowest levels in 35 years, Plasmodium falciparum is highly focal, and
the geographic boundary of transmission has considerably shrunk. Transmission in
Brazil remains entrenched in the Amazon Basin, which accounts for 99.5 % of the
country's malaria burden. This paper reviews major lessons learned from past and
current malaria control policies in Brazil. A comprehensive discussion of the
scientific and logistic challenges that may impact malaria elimination efforts in
the country is presented in light of the launching of the Plan for Elimination of
Malaria in Brazil in November 2015. Challenges for malaria elimination addressed
include the high prevalence of symptomless and submicroscopic infections,
emerging anti-malarial drug resistance in P. falciparum and Plasmodium vivax and
the lack of safe anti-relapse drugs, the largely neglected burden of malaria in
pregnancy, the need for better vector control strategies where Anopheles
mosquitoes present a highly variable biting behaviour, human movement, the need
for effective surveillance and tools to identify foci of infection in areas with
low transmission, and the effects of environmental changes and climatic
variability in transmission. Control actions launched in Brazil and results to
come are likely to influence control programs in other countries in the Americas.
PMID- 27206927
TI - H. pylori-associated gastric lymphoma: Complete remission in an HIV-positive
patient treated with HAART and H. pylori eradication therapy.
PMID- 27206928
TI - From the Editor: National Lipid Association, the annual scientific sessions,
triglycerides, and apolipoprotein-CIII.
PMID- 27206930
TI - Consensus between the American College of Cardiology and the National Lipid
Association on the use of non-statin therapy for atherosclerotic cardiovascular
disease prevention.
PMID- 27206929
TI - JCL roundtable: Lessons from genetic variants altering lipoprotein metabolism.
AB - Because the Human Genome Project reached its first major milestone in completing
the full sequence of human DNA, many new discoveries have been made relating
genetic variants to disease. The new methodology that allows much more rapid and
focused analyses of selected genes and the ability to screen the entire exome of
any individual has provided tools to examine literally thousands of individuals
for a given study. Genetic analysis has become a large-scale epidemiologic tool
for examining variants in gene structure and correlating them with phenotypic
markers of human disorders. These genome-wide association studies have been quite
revealing about the mechanism of disorders of many types. These tools have been
applied to the appearance of clinical atherosclerosis and to the chronic
metabolic risk factors for this disease process. We are joined by 2 individuals
who have made very significant contributions to this area of research: Dr Brian
Ference of Wayne State University School of Medicine and Dr Sekar Kathiresan from
Massachusetts General Hospital and Harvard Medical School. In our discussion, we
are going to focus on genetic variants, which lead to changes in lipoprotein
concentrations and those that have an association with earlier onset of clinical
vascular disease. This roundtable was recorded during the November 2016 American
Heart Association Scientific Sessions in Orlando, Florida.
PMID- 27206932
TI - The very high residual degree of death and disease from atherosclerosis needs new
approaches.
PMID- 27206931
TI - Editorial commentary: Dietary management of familial chylomicronemia syndrome.
PMID- 27206933
TI - Epicardial adipose tissue-Truly at the heart of the coronaries?
PMID- 27206934
TI - A review of low-density lipoprotein cholesterol, treatment strategies, and its
impact on cardiovascular disease morbidity and mortality.
AB - Cardiovascular (CV) disease is a leading cause of death worldwide, accounting for
approximately 31.4% of deaths globally in 2012. It is estimated that, from 1980
to 2000, reduction in total cholesterol accounted for a 33% decrease in coronary
heart disease (CHD) deaths in the United States. In other developed countries,
similar decreases in CHD deaths (ranging from 19%-46%) have been attributed to
reduction in total cholesterol. Low-density lipoprotein cholesterol (LDL-C) has
now largely replaced total cholesterol as a risk marker and the primary treatment
target for hyperlipidemia. Reduction in LDL-C levels by statin-based therapies
has been demonstrated to result in a reduction in the risk of nonfatal CV events
and mortality in a continuous and graded manner over a wide range of baseline
risk and LDL-C levels. This article provides a review of (1) the relationship
between LDL-C and CV risk from a biologic, epidemiologic, and genetic standpoint;
(2) evidence-based strategies for LDL-C lowering; (3) lipid-management
guidelines; (4) new strategies to further reduce CV risk through LDL-C lowering;
and (5) population-level and health-system initiatives aimed at identifying,
treating, and lowering lifetime LDL-C exposure.
PMID- 27206935
TI - Genetic diagnosis of familial hypercholesterolemia in Han Chinese.
AB - Familial hypercholesterolemia (FH) is an inherited autosomal dominant disorder of
lipoprotein metabolism resulting in elevated serum levels of low-density
lipoprotein cholesterol (LDL-C), which lead to increased risk for premature
cardiovascular disease. The recognized cause is mutations of the low-density
lipoprotein receptor (LDLR), apolipoprotein B (APOB), or proprotein convertase
subtilisin/kexin type 9 genes. This study reviewed the literature in Han Chinese
to investigate the frequency and spectrum of mutations that are recognized by
molecular genetics as causes of FH, the clinical characteristics, and mutation
detection rates of FH. MEDLINE, EMBASE, BIOSIS, Wanfang, CNKI, and FH websites,
were reviewed through December 2014. Sixty-six studies met inclusion criteria.
Totally, 143 different LDLR mutations were identified, including 134 point
mutations and 9 large rearrangements; functional characteristics of 46 point
mutations were studied. The 5 most frequent mutations included APOB 10579C>T,
LDLR 986G>A, 1747C>T, 1879G>A, and 268G>A. Most of these mutations were reported
in Southeast China, Hong Kong, and Taiwan. DNA detection rates of heterozygous FH
were 6.5% to 77.5%, depending on the inclusion criteria and chosen screening
method. With the economic growth and Western-like diet patterns being adopted
over the past decade in municipalities in mainland China and Taiwan, the mean
pretreatment concentration of LDL-C is higher among heterozygous FH patients
reported since 2005 than in patients reported before 2005 (231 vs 196 mg/dL, P <
.001). This review of DNA data for Han Chinese patients with FH updates the
frequency and spectrum of FH scenarios. Large-scale investigations are needed to
determine the interactions between mutations and LDL-C level in relation to
cardiovascular risk assessment and management.
PMID- 27206936
TI - Provider understanding of the 2013 ACC/AHA cholesterol guideline.
AB - BACKGROUND: Providers' understanding of the 2013 American College of Cardiology
(ACC)/American Heart Association (AHA) cholesterol guideline in clinical practice
is not known. METHODS: We designed a questionnaire, which was administered to
internal medicine, family practice, cardiology, and endocrinology providers at 21
venues across the United States. We compared responses between providers in
training or practice and between specialists (cardiology and endocrinology) and
nonspecialists (internal medicine and family practice). RESULTS: Response rate
was 72.1% (543 of 725). About 43% of the providers in training and 48% of those
in practice indicated having read the guideline. Almost 50% in each group were
unable to identify the 4 statin benefit groups and a large proportion (41% in
training and 49% in practice) were not aware of the >=7.5% 10-year risk threshold
for discussion regarding statin therapy. Most (~85%) were unaware of the 4
outcomes assessed by the 10-year ASCVD risk equation. About 36% of the providers
in training and 48% in practice could identify a patient with familial
hypercholesterolemia and start a discussion regarding statin therapy. Only 27.6%
of the providers in training and 40.4% in practice recommended repeating a lipid
panel 6-8 weeks after starting statins in a patient with recent myocardial
infarction. Similar gaps were noted when comparing specialists to nonspecialists.
CONCLUSION: Most providers do not completely understand the 2013 ACC/AHA
cholesterol guideline. As an unintended consequence, providers are moving away
from lipid testing to document response and adherence to statin therapy. Efforts
are needed to address these gaps.
PMID- 27206937
TI - Rare and common variants in LPL and APOA5 in Thai subjects with severe
hypertriglyceridemia: A resequencing approach.
AB - BACKGROUND: Severe hypertriglyceridemia usually results from a combination of
genetic and environmental factors. Few data exist on the genetics of severe
hypertriglyceridemia in Asian populations. OBJECTIVE: To examine the genetic
variants of 3 candidate genes known to influence triglyceride metabolism, LPL,
APOC2, and APOA5, which encode lipoprotein lipase, apolipoprotein C-II, and
apolipoprotein A-V, respectively, in a large group of Thai subjects with severe
hypertriglyceridemia. METHODS: We identified sequence variants of LPL, APOC2, and
APOA5 by sequencing exons and exon-intron junctions in 101 subjects with
triglyceride levels >= 10 mmol/L (886 mg/dL) and compared with those of 111
normotriglyceridemic subjects. RESULTS: Six different rare variants in LPL were
found in 13 patients, 2 of which were novel (1 heterozygous missense variant:
p.Arg270Gly and 1 frameshift variant: p.Asp308Glyfs*3). Four previously
identified heterozygous missense variants in LPL were p.Ala98Thr, p.Leu279Val,
p.Leu279Arg, and p.Arg432Thr. Collectively, these rare variants were found only
in the hypertriglyceridemic group but not in the control group (13% vs 0%, P <
.0001). One common variant in APOA5 (p.Gly185Cys, rs2075291) was found at a
higher frequency in the hypertriglyceridemic group compared with the control
group (25% vs 6%, respectively, P < .0005). Altogether, rare variants in LPL or
APOA5 and/or the common APOA5 p.Gly185Cys variant were found in 37% of the
hypertriglyceridemic group vs 6% in the controls (P = 3.1 * 10(-8)). No rare
variant in APOC2 was identified. CONCLUSIONS: Rare variants in LPL and a common
variant in APOA5 were more commonly found in Thai subjects with severe
hypertriglyceridemia. A common p.Gly185Cys APOA5 variant, in particular, was
quite prevalent and potentially contributed to hypertriglyceridemia in this group
of patients.
PMID- 27206938
TI - Higher circulating GlycA, a pro-inflammatory glycoprotein biomarker, relates to
lipoprotein-associated phospholipase A2 mass in nondiabetic subjects but not in
diabetic or metabolic syndrome subjects.
AB - BACKGROUND: Lipoprotein-associated phospholipase A2 (Lp-PLA2) is a cardiovascular
risk marker, which is in part complexed to low-density lipoproteins, where it
exerts pro-inflammatory properties. GlycA is a pro-inflammatory proton nuclear
magnetic resonance spectroscopy biomarker whose signal originates from a subset
of N-acetylglucosamine residues on the most abundant glycosylated acute-phase
proteins. OBJECTIVE: We compared plasma GlycA and Lp-PLA2 mass between subjects
without type 2 diabetes mellitus (T2DM) or the metabolic syndrome (MetS) and
subjects with T2DM and/or MetS. We also tested the relationship of GlycA with Lp
PLA2 in each group. METHODS: Plasma GlycA, Lp-PLA2 mass, high-sensitivity C
reactivity protein (hsCRP) and lipids were measured in 40 subjects with neither
T2DM nor MetS (group 1) and in 58 subjects with T2DM and/or MetS (group 2).
RESULTS: GlycA and hsCRP were higher (P < .01 for each), whereas Lp-PLA2 was
lower in group 2 vs group 1 (P < .001). GlycA was positively related to hsCRP in
each group (P < .001). In contrast, GlycA was correlated positively with Lp-PLA2
in group 1 (r = 0.384, P = .015), but not in group 2 (r = 0.045; P = .74;
interaction term for difference: P = .059). Although Lp-PLA2 was correlated
positively with non-high-density lipoprotein cholesterol and low-density
lipoprotein cholesterol in each group (P <= .02), its inverse relationship with
high-density lipoprotein cholesterol in group 1 (r = -0.381, P = .013) was absent
in group 2 (r = -0.101, P = .42). CONCLUSIONS: A pro-inflammatory glycoprotein
biomarker, GlycA, is higher in subjects with either T2DM, MetS, or both. The
normally present positive relationship of GlycA with Lp-PLA2 is blunted in
subjects with T2DM and/or MetS.
PMID- 27206940
TI - Comparison of different statin therapy to change low-density lipoprotein
cholesterol and high-density lipoprotein cholesterol level in Korean patients
with and without diabetes.
AB - BACKGROUND: It is difficult to apply the proper intensity of statin for new
treatment guidelines in clinical settings because of few data about the statin
efficacy in Asians. We conducted a retrospective, observational study to estimate
the percentage changes in lipid parameters and glucose induced by different
statins. METHODS: We analyzed 3854 patients including those with nondiabetes and
diabetes treated at the outpatient clinic between 2003 and 2013 who were statin
naive and maintained fixed-dose of statin for at least 18 months. RESULTS:
Moderate- and low-intensity statin therapy was effective in reducing low-density
lipoprotein cholesterol (LDL-C) to <100 mg/dL (70.3%, 83.0%, and 87.2% of
diabetic patients in the low-, moderate-, and high-intensity therapy groups,
respectively). The rapid decrease of LDL-C was observed in the first 8 months,
and LDL-C-lowering effect was maintained throughout the observation period in
even the low-intensity statin group. The effects of statins in elevating high
density lipoprotein cholesterol were similar in each statin groups, except the
ezetimibe-simvastatin group (4.5 +/- 2.1%) and high-dose atorvastatin groups (9.7
+/- 3.3% and 8.7 +/- 2.4% for 40 mg and 80 mg of atorvastatin/day, respectively).
High-density lipoprotein cholesterol increased less and LDL-C decreased more in
diabetes than in nondiabetes. There were no significant changes of fasting
glucose after statin use in nondiabetic patients. CONCLUSIONS: Moderate- or low
intensity statin was effective enough in reaching National Cholesterol Education
Program Adult Treatment Panel III LDL-C target goals in Koreans. Low-intensity
statin showed around 30% LDL-C reduction from the baseline level in Koreans,
which is comparable to moderate-intensity statin in new guideline.
PMID- 27206939
TI - Evacetrapib alone or in combination with statins lowers lipoprotein(a) and total
and small LDL particle concentrations in mildly hypercholesterolemic patients.
AB - BACKGROUND: Potent CETP inhibitors reduce plasma concentrations of atherogenic
lipoprotein biomarkers of cardiovascular risk. OBJECTIVES: To evaluate the
effects of the cholesteryl ester transfer protein (CETP) inhibitor evacetrapib,
as monotherapy or with statins, on atherogenic apolipoprotein B (apoB)-containing
lipoproteins in mildly hypercholesterolemic patients. METHODS: VLDL and LDL
particle concentrations and sizes (using nuclear magnetic resonance spectroscopy)
and lipoprotein(a) concentration (using nephelometry) were measured at baseline
and week 12 in a placebo-controlled trial of 393 patients treated with
evacetrapib as monotherapy (30 mg/d, 100 mg/d, or 500 mg/d) or in combination
with statins (100 mg plus simvastatin 40 mg/d, atorvastatin 20 mg/d, or
rosuvastatin 10 mg/d; Clinicaltrials.gov Identifier: NCT01105975). RESULTS:
Evacetrapib monotherapy resulted in significant placebo-adjusted dose-dependent
decreases from baseline in Lp(a) (up to -40% with evacetrapib 500 mg), total LDL
particle (LDL-P) (up to -54%), and small LDL particle (sLDL) (up to -95%)
concentrations. Compared to statin alone, coadministration of evacetrapib and
statins also resulted in significant reduction from baseline in Lp(a) (-31%), LDL
P (-22%), and sLDL (-60%) concentrations. The percentage of patients with
concentrations above optimal concentrations for LDL-P (>1000 nmol/L) and sLDL
(>600 nmol/L) decreased from 88% and 55% at baseline, respectively, to 20% and
12% at week 12, for patients treated with evacetrapib plus statins. Evacetrapib,
alone or with statins, significantly increased LDL-P size. CONCLUSIONS:
Evacetrapib, as monotherapy or with statins, significantly reduces the
concentrations of atherogenic apoB-containing lipoproteins, including Lp(a), LDL
P, and sLDL.
PMID- 27206941
TI - Characterization of the unique Chinese W483X mutation in the low-density
lipoprotein-receptor gene in young patients with homozygous familial
hypercholesterolemia.
AB - BACKGROUND: Recent guidelines suggest that more attention should be focused on
children with homozygous familial hypercholesterolemia (HoFH). China may have 3.8
million potential FH patients, but there are limited data focused on HoFH
children. OBJECTIVE: We systematically analyzed the characteristic phenotype and
the relationship between the genotype and the phenotype in HoFH children with the
unique Chinese W483X mutation in the low-density lipoprotein (LDL)-receptor gene.
METHODS: A systematic retrospective analysis of the lipid and cardiovascular
characteristics of HoFH patients in the atherosclerosis clinic of Beijing Anzhen
Hospital was performed. The W483X mutation was confirmed using DNA sequencing of
the patients and their parents. RESULTS: Two HoFH and 9 compound heterozygous
patients (mean age = 14.7 years) with 2 novel mutations, Q254X and c.1363delC,
were found. In total, 81.8% of the patients were from southern China. All the
patients had xanthoma, and the average TC and LDL-C levels were 16.8 and 14.4
mmol/L, respectively. Echocardiography showed that 63.6% of the patients had
aortic calcification, and 54.5% had mild regurgitation of the aortic valve. The
coronary flow velocity reserve had a mean value of 2.12, and the cIMT was 0.17
cm. The follow-up period was between 3 months and 8 years. Although all the
patients began the lipid-lowering treatment, 2 patients died because of severe
cardiovascular disease. The LDL-C levels of 6 patients were slightly decreased by
approximately 21% and remained far from the target values, and the other 3
patients' LDL-C levels increased by 13%. CONCLUSIONS: The results suggest that
younger HoFH patients with W483X mutations had a severe phenotype and should
receive more aggressive treatment.
PMID- 27206942
TI - Proprotein convertase subtilisin/kexin 9 V4I variant with LDLR mutations modifies
the phenotype of familial hypercholesterolemia.
AB - BACKGROUND: Familial hypercholesterolemia (FH) is caused by mutations in the
genes encoding low-density lipoprotein receptor (LDLR), apolipoprotein B, or
proprotein convertase subtilisin/kexin 9 (PCSK9). However, FH shows variability
of the clinical phenotype modified by other genetic variants or environmental
factors. OBJECTIVE: Our objective was to determine the distribution of PCSK9
variants in Japanese FH heterozygotes and to clarify whether those variants and
the combination of those variants and LDLR mutations modify the clinical
phenotypes. METHODS: A direct sequence analysis was performed for all 18 exons of
LDLR gene and 12 exons of PCSK9 gene in 269 clinically diagnosed FH
heterozygotes. The serum lipid levels of the carriers of each variant were
compared to those of noncarriers. We also assessed Achilles tendon xanthoma and
the prevalence of coronary artery disease (CAD) in the patients aged >=30 years.
RESULTS: Eleven PCSK9 variants were detected. There were 4 frequent PCSK9
variants: L21_22insL, A53 V, V4I, and E32 K. The PCSK9 L21_22insL and A53 V were
in linkage disequilibrium with each other. There were no significant differences
in serum lipids levels and the prevalence of CAD at the age of >= 30 years
between PCSK9 V4I, L21_22insL/A53 V, or E32 K variant carriers and noncarriers
without LDLR mutations. In the patients carrying LDLR mutations and aged >= 30
years, the additional PCSK9 V4I variant was linked to a significantly increased
prevalence of CAD in accord with the elevation of the LDL-cholesterol level.
CONCLUSIONS: The addition of the PCSK9 V4I was suggested to modify the phenotype
of patients carrying LDLR mutations by affecting their LDLR metabolism.
PMID- 27206943
TI - Treatment with ETC-1002 alone and in combination with ezetimibe lowers LDL
cholesterol in hypercholesterolemic patients with or without statin intolerance.
AB - BACKGROUND: ETC-1002 is an oral, once-daily, first-in-class medication being
developed to treat hypercholesterolemia. OBJECTIVES: To compare 2 doses of ETC
1002, alone or combined with ezetimibe 10 mg (EZE), vs EZE monotherapy for
lowering low-density lipoprotein cholesterol (LDL-C). METHODS: This phase 2b,
multicenter, double-blind trial-evaluated hypercholesterolemic patients (LDL-C,
130 to 220 mg/dL) with (n = 177) or without (n = 171) muscle-related intolerance
to >=2 statins; 1 at lowest approved dose. Subjects were randomized to 12-week
treatment with ETC-1002 120 mg or ETC-1002 180 mg alone, EZE alone, ETC-1002 120
mg plus EZE, or ETC-1002 180 mg plus EZE. RESULTS: EZE alone lowered LDL-C by
21%, whereas ETC-1002 monotherapy with 120 mg or 180 mg reduced LDL-C by 27% (P =
.0008 vs EZE) and 30% (P < .0001 vs EZE), respectively. The combination of ETC
1002, 120 mg or 180 mg plus EZE reduced LDL-C by 43% and 48%, respectively (both
P < .0001 vs EZE). ETC-1002 alone or combined with EZE also reduced non-high
density lipoprotein cholesterol, total cholesterol, apolipoprotein B, LDL
particle number, and high-sensitivity C-reactive protein compared with EZE alone.
Across all treatment groups, statin-intolerant patients reported more muscle
related adverse events than did statin-tolerant patients. ETC-1002 was safe and
well tolerated, and rates of muscle-related adverse events were similar in all
treatment groups. CONCLUSIONS: In patients with and without statin intolerance,
daily treatment with ETC-1002 120 mg and 180 mg alone or with EZE reduced LDL-C
more than EZE alone and had a similar tolerability profile (NCT01941836).
PMID- 27206945
TI - Lipoprotein-associated phospholipase A2 distribution among lipoproteins differs
in type 1 diabetes.
AB - BACKGROUND: LpPLA2 mass and activity have been variably related to cardiovascular
disease risk, and the distribution of LpPLA2 in patients with type 1 diabetes
(T1D), wherein cardiovascular disease risk is high despite normal or higher
levels of high-density lipoprotein (HDL) cholesterol, is unknown. OBJECTIVE: To
determine whether there are differences in the distribution of LpPLA2 mass and
activity across lipoproteins and their association with coronary artery calcium
(CAC) in patients with T1D. METHODS: Men with T1D (n = 19) not on statins, with
and without CAC progression, and men without diabetes matched for HDL cholesterol
(n = 25) had lipoproteins separated by fast protein liquid chromatography.
RESULTS: Both LpPLA2 mass and activity were found within low-density lipoprotein
(LDL) and HDL pools with more LpPLA2 mass being associated with HDL (54% vs 44%;
P-value <.001) and more LpPLA2 activity being associated with LDL (56% vs 40%; P
value = .02). In T1D, more LpPLA2 activity was associated with large- or less
dense LDL compared to those without diabetes. However, no difference in LpPLA2
activity or mass between lipoprotein subfractions was observed between all
groups, and there was no relationship between LpPLA2 activity or mass and its
distribution and CAC score progression in healthy or T1D men. CONCLUSION: LpPLA2
is found in both LDL and HDL and is distributed differently in men with T1D
without any relationship to CAC score progression.
PMID- 27206944
TI - Prevalence, awareness, treatment, and control of high low-density lipoprotein
cholesterol in Brazil: Baseline of the Brazilian Longitudinal Study of Adult
Health (ELSA-Brasil).
AB - BACKGROUND AND OBJECTIVES: Dyslipidemia is a pivotal risk factor for coronary
heart disease (CHD). The purpose of this study was to identify the profile of
dyslipidemia in a Brazilian population, according to high low-density lipoprotein
(LDL-C) levels. We used the classification of the 2004 update of National
Cholesterol Education Program Adult Treatment Panel III (ATP-III). METHODS: Of
the 15,105 men and women aged 35 to 74 years enrolled in the Brazilian
Longitudinal Study of Adult Health (ELSA-Brasil), we included 14,648 subjects
(97%). They had data to categorize them according to the NCEP-ATP-III criteria.
We compared 4 categories: "0-1" risk factors, "2 or more risk factors", "CHD or
CHD risk equivalent", and "CHD at very high risk". The sociodemographic
determinants used were sex, age, ethnicity, income, education, and health
insurance. Poisson regression was used to estimate the prevalence ratios for
cholesterol (LDL-C), frequency, awareness, treatment, and control of high LDL-C.
RESULTS: The frequencies of high LDL-C, awareness, treatment, and control were
45.5%, 58.1%, 42.3%, and 58.3%, respectively. After adjustment for
sociodemographic determinants, the prevalence ratios for high LDL-C were
significantly higher for men, blacks, older subjects, and subjects with lower
levels of education. Low frequency of awareness, treatment, and uncontrolled
values of LDL-C was observed among men, mixed race and blacks, poorer, less
educated, and those who did not have private health insurance. CONCLUSIONS: The
prevalence of high LDL-C was elevated in this Brazilian population, with low
rates of awareness, treatment and control, and remarkable socioeconomic
disparity.
PMID- 27206946
TI - Changes in carotid plaque tissue composition in subjects who continued and
discontinued statin therapy.
AB - BACKGROUND: Changes in plaque tissue components such as lipid-rich necrotic core
(LRNC) and fibrous tissue with long-term statin treatment or discontinuation have
not been studied. OBJECTIVE: LRNC and fibrous tissue by magnetic resonance
imaging were evaluated in subjects who continued and discontinued statin therapy
for 2 years after a prospective study. METHODS: The Rosuvastatin Evaluation of
Atherosclerotic Chinese Patients study in 32 lipid treatment naive subjects
showed a significant reduction in LRNC during 24 months of rosuvastatin therapy.
After Rosuvastatin Evaluation of Atherosclerotic Chinese Patients was completed,
15 subjects continued taking statins and 17 discontinued despite receiving an
instruction to continue statin therapy. LRNC and fibrous tissue were compared
between 24 and 48 months within each group and between the 2 groups. RESULTS: At
48 months, LRNC volume and composition decreased significantly compared with that
at 24 months in the statin-continued group (101 +/- 76 vs 76 +/- 65 mm(3); P =
.001 and 17.3 +/- 11.9% vs 12.6 +/- 7.6%; P = .04), whereas fibrous tissue
increased significantly in both volume (337 +/- 160 vs 357 +/- 169 mm(3); P <
.001) and composition (76.3 +/- 10.5% vs 83.1 +/- 10.1%, P = .002). Such changes
were not seen in subjects who discontinued statin. Furthermore, the changes in
LRNC volume and composition and fibrous tissue composition from 24 to 48 months
were significantly different between the statin-continued and -discontinued
groups (-25 +/- 18 vs 9 +/- 14 mm(3); P < .001) and (-4.6 +/- 8.2% vs 1.3 +/-
2.8%; P = .009) and (6.9 +/- 6.8% vs 1.3 +/- 5.4%, P = .018). CONCLUSIONS:
Continued statin therapy leads to continued decrease in LRNC and increase in
fibrous tissue, which indicates improved plaque stability and supports long-term
statin therapy.
PMID- 27206947
TI - Effect of therapeutic interventions on oxidized phospholipids on apolipoprotein
B100 and lipoprotein(a).
AB - BACKGROUND: Oxidized phospholipids (OxPL) on apolipoprotein B-100 (OxPL-apoB)
reflect the biological activity of lipoprotein(a) (Lp[a]) and predict
cardiovascular disease events. However, studies with statins and low-fat diets
show increases in OxPL-apoB and Lp(a). OBJECTIVE: This study evaluated changes in
OxPL-apoB and Lp(a) with extended-release niacin (N), ezetimibe/simvastatin (E/S)
and combination E/S/N. A systematic literature review of previously published
trials, measuring both OxPL-apoB and Lp(a) after therapeutic interventions, was
also performed. METHODS: OxPL-apoB and Lp(a) were measured in 591 patients at
baseline and 24 weeks after therapy with N, E/S, or E/S/N in a previously
completed randomized trial of hypercholesterolemic patients. The literature
review included 12 trials and 3896 patients evaluating statins, low-fat diets,
antisense to apolipoprotein(a) and lipid apheresis. RESULTS: Niacin decreased
OxPL-apoB levels (median [interquartile range]; 3.5 [2.2-9.2] nM to 3.1 [1.8-7.2]
nM, P < .01) and Lp(a) (10.9 [4.6-38.4] to 9.3 [3.1-32.9] mg/dL, P < .01). In
contrast, E/S and E/S/N significantly increased OxPL-apoB (3.5 [2.1-7.8] to 4.9
[3.0-11.1] nM, P < .01) and (3.3 [1.9-9.3] to 4.3 [2.6-11.2] nM, P < .01),
respectively and Lp(a) (11.5 [6.1-36.4] to 14.9 [6.6-54.6] mg/dL, P < .01) and
(11.3 [5.4-43.8] to 11.6 [5.9-52.8] mg/dL, P < .01), respectively. The systematic
review of statins and diet demonstrated 23.8% and 21.3% mean increases in OxPL
apoB and 10.6% and 19.4% increases in Lp(a), respectively. However 44.1% and
52.0% decreases in OxPL-apoB and Lp(a), respectively, were present with Lp(a)
lowering therapies. CONCLUSIONS: This study demonstrates differential changes in
OxPL-apoB and Lp(a) with various lipid-lowering approaches. These changes in OxPL
apoB and Lp(a) may provide insights into the results and interpretation of recent
cardiovascular disease outcomes trials.
PMID- 27206948
TI - Novel APOB missense variants, A224T and V925L, in a black South African woman
with marked hypocholesterolemia.
AB - BACKGROUND: One genetic cause of markedly low plasma concentrations of
apolipoprotein (apo) B and low density lipoprotein (LDL)-cholesterol is familial
hypobetalipoproteinemia. OBJECTIVE: We aimed to determine the molecular basis for
the marked hypocholesterolemia consistent with heterozygous familial
hypobetalipoproteinemia in a black female subject of Xhosa lineage. METHODS:
Coding regions of APOB, MTTP, PCSK9,ANGPTL3, SAR1B and APOC3 were sequenced, and
APOE was genotyped. COS-7 cells were transfected with plasmids containing apoB
variants. Western blotting was used to detect cellular and secreted apoB, and co
immunoprecipitation performed to assess binding with the microsomal triglyceride
transfer protein (MTP). RESULTS: Sequence analysis of the APOB gene revealed her
to be heterozygous for two novel variants, c.751G>A (A224T) and c.2854G>C
(V925L). She was also homozygous for the APOEepsilon2 allele, and did not carry a
PCSK9 loss-of-function mutation. Although Ala(224) is within the postulated MTP
binding region in apoB, it is not conserved among mammalian species. Subsequent
genotyping showed that Ala224Thr is found in a southern African population
(n=654) with an allele frequency of 1.15% and is not associated with plasma lipid
levels. Val(925), like Ala(224), is within the N-terminal 1000 amino acids
required for lipoprotein assembly, but was not found in the population screen.
However, in vitro studies showed that apoB V925L did not affect apoB48 production
or secretion nor have a deleterious effect on MTP interaction with apoB.
CONCLUSION: Taken together, this suggests that the hypocholesterolemia in our
case may be a result of being homozygous for APOEepsilon2 with a low baseline
cholesterol.
PMID- 27206950
TI - Relationship of epicardial fat thickness and nonalcoholic fatty liver disease to
coronary artery calcification: From the CAESAR study.
AB - BACKGROUND: Epicardial fat and nonalcoholic fatty liver disease (NAFLD) are
associated with subclinical atherosclerosis; however, the combined effect of both
EAT and NAFLD on coronary artery calcium (CAC) is unclear. OBJECTIVE: The present
study was performed to evaluate the association of both epicardial fat thickness
(EFT) and NAFLD with CAC. METHODS: Among 2277 individuals enrolled in the
CArdiometabolic risk, Epicardial fat, and Subclinical Atherosclerosis Registry
(CAESAR) Study, 1473 individuals (1242 men, mean age, 44 +/- 9 years) were
included for analysis. Echocardiographic EFT and ultrasonographic fatty liver
were measured. Individuals were divided into 4 groups according to EFT and NAFLD
(group I: low EFT and without NAFLD; group II: low EFT and with NAFLD; group III:
high EFT and without NAFLD; and group IV: high EFT and with NAFLD). RESULTS: The
median EFT value (interquartiles) was 3.17 mm (2.58 mm, 3.85 mm), and the
prevalence of NAFLD and CACS >0 was 46.0% and 16.8%, respectively. The prevalence
of CACS >0 was 7.9%, 16.8%, 18.0%, and 26.0% in group I, II, III, and IV,
respectively (P < .001). On multivariate regression after adjusting for variables
with a univariate relationship (P < .20), group IV had a significantly higher OR
for CACS >0, and the OR in group III was marginally significant, compared to
group I (1.458 [0.795, 2.672], 1.744 [0.999, 3.046], and 1.864 [1.041, 3.337] for
groups II, III, and IV, respectively). CONCLUSION: This study shows that both
increased EFT and presence of NAFLD are associated with coronary artery
calcification, and that increased EFT is more strongly related to CAC than NAFLD,
in spite of NAFLD having greater cardiometabolic risk than EFT.
PMID- 27206949
TI - Superiority of lipoprotein particle number to detect associations with arterial
thickness and stiffness in obese youth with and without prediabetes.
AB - BACKGROUND: The nuclear magnetic resonance (NMR) lipoprotein profile provides
additional cardiovascular risk information beyond traditional lipids in high-risk
adults. Similar studies have not been conducted in youth. OBJECTIVE: To evaluate
the relationship between the NMR profile and preclinical vascular measures in
youth. METHODS: We studied 96 obese youth with prediabetes (mean age = 18.1 +/-
3.6 years, 63% female, 78% African American) and 118 obese normoglycemic controls
(mean age = 18.0 +/- 3.1 years, 75% female, 62% African American) cross
sectionally. Traditional lipids (triglycerides, total, high-density lipoprotein
[HDL], and low-density lipoprotein [LDL] cholesterol), NMR particle size
(particle concentration [P] and size) and vascular thickness (carotid IMT) and
stiffness (pulse wave velocity [PWV]) were measured. Independent associations
between lipoproteins with carotid IMT and PWV after adjustment for group, age,
race, sex, BMI z score, blood pressure, HOMA-IR, and A1c were studied. RESULTS:
NMR analysis revealed youth with prediabetes exhibited a more atherogenic profile
with higher levels of small LDL-P and HDL-P and lower levels of intermediate and
large HDL-P (P < .03). In addition, lower intermediate HDL-P was associated with
a higher carotid IMT, whereas higher small HDL-P was associated with a higher PWV
(P < .01). Traditional lipids were not significantly different between groups and
were not associated with either vascular outcome. CONCLUSIONS: NMR lipoprotein
subclasses have improved sensitivity compared to traditional lipids to detect
lipoprotein abnormalities in normoglycemic and prediabetic obese youth and are
independently associated with preclinical vascular thickness and stiffness. NMR
lipids may enhance cardiovascular risk assessment in youth.
PMID- 27206951
TI - Alirocumab in patients with heterozygous familial hypercholesterolemia undergoing
lipoprotein apheresis: Rationale and design of the ODYSSEY ESCAPE trial.
AB - BACKGROUND: Many patients with heterozygous familial hypercholesterolemia (HeFH)
fail to reach optimal low-density lipoprotein cholesterol (LDL-C) levels with
available lipid-lowering medications, including statins, and require treatment
using alternative methods such as lipoprotein apheresis. OBJECTIVE: To evaluate
the efficacy of alirocumab 150 mg every 2 weeks (Q2W) compared with placebo in
reducing the frequency of lipoprotein apheresis treatments in patients with HeFH.
METHODS: ODYSSEY ESCAPE is a randomized, double-blind, placebo-controlled,
parallel-group, 18-week, phase 3 study being conducted in the United States and
Germany. ODYSSEY ESCAPE will evaluate the efficacy and safety of alirocumab in
approximately 63 adults with HeFH undergoing regular weekly (QW; for >=4 weeks)
or Q2W (for >=8 weeks) lipoprotein apheresis. Patients will be randomly assigned
(2:1, respectively) to receive alirocumab 150 mg subcutaneously Q2W or placebo
subcutaneously Q2W (both in 1-mL injections) for 18 weeks. From day 1 to week 6,
the apheresis frequency will be fixed to the individual patient's established
schedule (QW or Q2W); thereafter, apheresis will be performed according to the
LDL-C value at that visit: apheresis will not be performed when the LDL-C value
is >=30% lower than the baseline pre-apheresis LDL-C value. The primary end point
is the frequency of apheresis treatments over a 12-week period starting at week
7. DISCUSSION: The ODYSSEY ESCAPE trial will determine whether alirocumab reduces
the frequency of lipoprotein apheresis in patients with HeFH.
PMID- 27206952
TI - Icosapent ethyl (eicosapentaenoic acid ethyl ester): Effects on plasma
apolipoprotein C-III levels in patients from the MARINE and ANCHOR studies.
AB - BACKGROUND: Apolipoprotein C-III (ApoC-III) regulates lipoprotein and
triglyceride (TG) metabolism and may have a causal role in cardiovascular
disease. In the Multi-Center, Placebo-Controlled, Randomized, Double-Blind, 12
Week Study With an Open-Label Extension (MARINE) and ANCHOR studies, icosapent
ethyl, a high-purity prescription eicosapentaenoic acid ethyl ester, reduced TG,
and other atherogenic lipid parameters without increasing low-density lipoprotein
cholesterol (LDL-C) compared with placebo. OBJECTIVE: To evaluate the effects of
icosapent ethyl on plasma ApoC-III levels in patients from 2 phase 3 studies.
METHODS: MARINE and ANCHOR were 12-week double-blind studies of icosapent ethyl
in adult patients. Patients in MARINE had very high TG levels (>=500 and <=2000
mg/dL) and patients in ANCHOR had high TG levels (>=200 and <500 mg/dL) despite
statin control of LDL-C. This post hoc analysis of MARINE and ANCHOR assessed the
median percent change from baseline in plasma ApoC-III levels vs placebo and
includes subgroup analyses by statin use/efficacy and median ApoC-III levels.
RESULTS: We assessed ApoC-III levels in 148 and 612 patients in the MARINE and
ANCHOR studies, respectively. In MARINE, the approved prescription dose of
icosapent ethyl (4 g/day) significantly reduced ApoC-III levels by 25.1% (P <
.0001) vs placebo. In ANCHOR, icosapent ethyl 4 g/day significantly reduced ApoC
III levels by 19.2% (P < .0001) vs placebo; subanalysis by statin efficacy
revealed significant reductions vs placebo in the higher-efficacy and medium
efficacy groups (24.6% and 17.2%, respectively; both P < .0001). CONCLUSION:
Icosapent ethyl 4 g/day significantly reduced plasma ApoC-III levels in patients
with elevated TGs from the MARINE and ANCHOR studies.
PMID- 27206953
TI - Asparaginase-associated concurrence of hyperlipidemia, hyperglobulinemia, and
thrombocytosis was successfully treated by centrifuge/membrane hybrid double
filtration plasmapheresis.
AB - Asparaginase-associated concurrence of hyperlipidemia, hyperglobulinemia, and
thrombocytosis is a rare complication requiring aggressive lipoprotein apheresis,
but no one of currently available lipoprotein apheresis methods can
simultaneously resolve the 3 abnormalities. Herein, we reported a construction of
double-filtration plasmapheresis (DFPP) using a combination of
centrifugal/membranous plasma separation techniques to successfully treat a
patient with hyperlipidemia, hyperglobulinemia, and thrombocytosis. A male
presented with severe hyperlipidemia, hyperglobulinemia, and thrombocytosis
during asparaginase treatment for NK/T-cell lymphoblastic lymphoma and was
scheduled to receive lipoprotein apheresis. To simultaneously remove
lipoproteins, immunoglobulin, and deplete platelets from blood, a
centrifuge/membrane hybrid DFPP was constructed as following steps: plasma and
part of platelets were separated first from whole blood by centrifugal technique
and then divided by a fraction plasma separator into 2 parts: platelets and
plasma components with large size, which were discarded; and those containing
albumin, which were returned to blood with a supplement of extrinsic albumin
solution. DFPP lasted 240 minutes uneventfully, processing 5450-mL plasma. The
concentrations of plasma components before DFPP were as follows: triglycerides
38.22 mmol/L, total cholesterols 22.98 mmol/L, immunoglobulin A (IgA) 15.7 g/L,
IgG 12.7 g/L, and IgM 14.3 g/L; whereas after treatment were 5.69 mmol/L, 2.38
mmol/L, 2.5 g/L, 7.7 g/L, and 0.4 g/L, respectively. The respective reduction
ratio was 85.1%, 89.6%, 83.9%, 39.4%, and 96.9%. Platelet count decreased by
40.4% (from 612 * 10(9)/L to 365 * 10(9)/L). Centrifuge/membrane hybrid DFPP can
simultaneously remove lipoproteins, immunoglobulin, and deplete platelets, with a
success in treatment of asparaginase treatment-induced hyperlipidemia,
hyperglobulinemia, and thrombocytosis, and may be useful for patients requiring
DFPP but with particular situations.
PMID- 27206954
TI - Severe hypercholesterolemia and liver disease in a 3-year old.
AB - Lipoprotein-X, which is composed of phospholipids and non-esterified cholesterol,
is an abnormal lipoprotein with a density range similar to LDL-C. The two most
common ways which lipoprotein-X accumulates is from reflux of bile salts into
plasma or deficiency in lecithin cholesterol acyltransferase. This is a case of
severe hypercholesterolemia and liver disease in a 3- year old male that
presented with pruritus, pale stool, scleral ictus, and abdominal distention. He
was diagnosed with primary sclerosing cholangitis which was confirmed by liver
biopsy. Our patient was treated with steroids and immunomodulator therapy which
was associated with significant reduction in cholestasis and LDL-C levels.
Lipoprotein-X has several properties that make it anti-atherogenic, which raises
the question if treatment for hypercholesterolemia should be initiated.
PMID- 27206955
TI - Exploring the diversity of cytokinesis.
PMID- 27206956
TI - Current and emerging topics in research on FGF signalling.
PMID- 27206957
TI - Addendum.
PMID- 27206958
TI - Prevention of stress- or nitric oxide donor-induced medication overuse headache
by a calcitonin gene-related peptide antibody in rodents.
AB - Objective The objective of this study was the determination of the role of
calcitonin gene-related peptide (CGRP) in the induction of medication overuse
headache (MOH)-related migraine in an injury-free preclinical model. Methods Rats
were primed by a 7-day period of exposure to acute migraine therapies including
sumatriptan and morphine. After an additional 14-day drug-free period, rats were
exposed to putative migraine triggers including bright light stress (BLS) or
nitric oxide (NO) donor in the presence or absence of TEV48125, a fully humanized
CGRP antibody. Cutaneous allodynia (CA) was used as an outcome measure and CGRP
blood and cerebrospinal fluid (CSF) levels were measured. Results BLS and NO
donor challenge evoked delayed, long-lasting CA selectively in rats that were
previously treated with sumatriptan or morphine. BLS produced a significant
increase in CGRP in the plasma, but not CSF, in animals that were previously
exposed to sumatriptan compared to saline controls. TEV48125 did not modify
baseline tactile thresholds or produce behavioral side effects, but significantly
inhibited both BLS- and NO donor-induced CA in animals that were previously
primed with sumatriptan or morphine; an isotype control protein that does not
bind CGRP had no effect. Interpretation These data suggest that acute migraine
medications may promote MOH in susceptible individuals through CGRP-dependent
mechanisms and that anti-CGRP antibodies may be a useful clinical strategy for
the treatment of MOH.
PMID- 27206959
TI - Serum L-arginine and dimethylarginine levels in migraine patients with brain
white matter lesions.
AB - Background/Aim Migraine is a risk factor for the formation of silent brain white
matter lesions (WMLs) that are possibly ischemic in nature. Although dysfunction
of the L-arginine/nitric oxide (NO) pathway has been associated with oxidative
stress and endothelial dysfunction in migraine, its role in WML development has
not been specifically investigated. Thus, this prospective study aimed to measure
the serum concentrations of the NO substrate L-arginine, the NO synthase
inhibitor asymmetric dimethylarginine (ADMA), and the L-arginine transport
regulator symmetric dimethylarginine (SDMA) in migraine patients in a headache
free period. Methods All participants underwent MR imaging to assess for the
presence of WMLs on fluid-attenuated inversion recovery imaging. Altogether 109
migraine patients (43 with lesions, 66 without lesions) and 46 control
individuals were studied. High-performance liquid chromatography was used to
quantify L-arginine, ADMA and SDMA serum concentrations. Migraine characteristics
were investigated, and participants were screened for risk factors that can lead
to elevated serum ADMA levels independent of migraine. Results Migraine patients
and controls did not differ in regard to vascular risk factors. Migraineurs with
WMLs had a longer disease duration ( p < 0.001) and a higher number of lifetime
headache attacks ( p = 0.005) than lesion-free patients. Higher L-arginine serum
levels were found in both migraine subgroups compared to controls ( p < 0.001).
Migraine patients with WMLs showed higher ADMA concentrations than lesion-free
patients and controls ( p < 0.001, for both). In migraineurs, the presence of
WMLs, aura and increasing age proved to be significant predictors of increased
ADMA levels ( p = 0.008, 0.047 and 0.012, respectively). SDMA serum levels of
lesional migraineurs were higher than in nonlesional patients ( p < 0.001). The
presence of lesions and increasing age indicated an increased SDMA level ( p =
0.017 and 0.001, respectively). Binary logistic regression analysis showed that
ADMA level ( p = 0.006), increasing age ( p = 0.017) and the total number of
lifetime migraine attacks ( p = 0.026) were associated with an increased
likelihood of exhibiting WMLs. There was no significant effect of age on ADMA and
SDMA concentrations in controls. Conclusions Elevated ADMA levels may impact the
pathogenesis of migraine-related WMLs by influencing cerebrovascular
autoregulation and vasomotor reactivity. Higher SDMA concentrations may
indirectly influence NO synthesis by reducing substrate availability. Elevated L
arginine serum levels might reflect an increased demand for NO synthesis.
PMID- 27206961
TI - Experimental provocation of 'ice-cream headache' by ice cubes and ice water.
AB - Background There are various studies on experimentally provoked 'ice-cream
headache' or 'headache attributed to ingestion or inhalation of a cold stimulus'
(HICS) using different provocation protocols. The aim of this study was to
compare two provocation protocols. Methods Ice cubes pressed to the palate and
fast ingestion of ice water were used to provoke HICS and clinical features were
compared. Results The ice-water stimulus provoked HICS significantly more often
than the ice-cube stimulus (9/77 vs. 39/77). Ice-water-provoked HICS had a
significantly shorter latency (median 15 s, range 4-97 s vs. median 68 s, range
27-96 s). There was no difference in pain localisation. Character after ice-cube
stimulation was predominantly described as pressing and after ice-water
stimulation as stabbing. A second HICS followed in 10/39 (26%) of the headaches
provoked by ice water. Lacrimation occurred significantly more often in
volunteers with than in those without HICS. Discussion HICS provoked by ice water
was more frequent, had a shorter latency, different pain character and higher
pain intensity than HICS provoked by ice cubes. The finding of two subsequent
HICS attacks in the same volunteers supports the notion that two types of HICS
exist. Lacrimation during HICS indicates involvement of the trigeminal-autonomic
reflex.
PMID- 27206960
TI - Acquired equivalence and related memory processes in migraine without aura.
AB - Introduction Interictal deficits of elementary visuo-cognitive functions are well
documented in patients with migraine and are mostly explained in terms of
neocortical hyperexcitability. It has been suggested that the basal ganglia and
the hippocampi might also be affected in migraine. If so, a deterioration of
learning and memory processes related to these structures is expected. Methods A
visual learning paradigm thought to be capable of dissociating learning/memory
processes mediated by the basal ganglia from processes mediated by the
hippocampus (the Rutgers Acquired Equivalence Test) was applied to a group of
patients with migraine without aura and to age- and sex-matched controls. Results
Patients with migraine showed a significantly poorer performance in both main
phases of the test and the deficit in the phase considered to be dependent on the
hippocampi was especially marked. Conclusions These results can be interpreted as
behavioural support for findings that have suggested the involvement of the basal
ganglia and the hippocampi in migraine, but further research is needed to clarify
these findings.
PMID- 27206962
TI - Cerebral vasomotor reactivity in reversible cerebral vasoconstriction syndrome.
AB - Background Altered cerebrovascular tone is implicated in reversible cerebral
vasoconstriction syndrome (RCVS). We evaluated vasomotor reactivity using bedside
transcranial Doppler in RCVS patients. Methods In this retrospective case-control
study, middle cerebral artery (MCA) blood flow velocities were compared at rest
and in response to breath-hold in RCVS ( n = 8), Migraineurs ( n = 10), and non
headache Controls ( n = 10). Hyperventilation response was measured in RCVS.
Results In RCVS, Breath Holding Index (BHI) was severely reduced in seven of
eight patients and 14/16 MCAs; seven of 16 MCAs showed exhausted (BHI < 0.1) or
inverted (BHI < 0) vasomotor reactivity. Mean BHI in RCVS (0.23 +/- 0.5) was
significantly lower than Migraine (1.52 +/- 0.57) and Controls (1.51 +/- 0.32), p
< 0.001. Triphasic velocity responses were seen in all groups. The maximum Vmean
decline during the middle negative phase was -15.5 +/- 9.2% in RCVS, -15.4 +/- 7%
in Migraine, and -10.3 +/- 5% in Controls ( p = 0.04). In the late positive
phase, average Vmean increase was 6.2 +/- 14% in RCVS, which was significantly
lower ( p < 0.001) than Migraine (30.5 +/- 11%) and Controls (30.2 +/- 6%). With
hyperventilation, RCVS patients showed 23% decrease in Vmean. Conclusion Cerebral
arterial tone is abnormal in RCVS, with proximal vasoconstriction and abnormally
reduced capacity for vasodilation. Further studies are needed to determine the
utility of BHI to diagnose RCVS before angiographic reversibility is established,
and to estimate prognosis.
PMID- 27206963
TI - Headache in military service members with a history of mild traumatic brain
injury: A cohort study of diagnosis and classification.
AB - Introduction Headaches after concussion are highly prevalent, relatively
persistent and are being treated like primary headaches, especially migraine.
Methods We studied all new patients seen between August 2008 and December 2009
assessed by a civilian headache specialist at the TBI Center at Womack Army
Medical Center, Fort Bragg, NC. We report sample demographics, injuries and
headache characteristics, including time from injury to headache onset, detailed
descriptions and International Classification of Headache Disorders second
edition primary headache diagnosis type. Results A total of 95 soldiers reported
166 headaches. The most common injury cited was a blast (53.7%). Most subjects
(76.8%) recalled the onset of any headache within 7 days of injury. The most
commonly diagnosed headache was a continuous type with migraine features ( n = 31
(18.7%)), followed by chronic migraine (type 1.5.1, n = 14 (8.4%)), migraine with
aura (type 1.2.1, n = 10 (6.0%)), hemicrania continua (type 4.7, n = 12 (7.2%)),
chronic cluster (type 3.1.2, n = 6 (3.6%)) and headaches not otherwise
classifiable (type 14.1, n = 5 (3.0%)) also present. The most clinically
important was a continuous headache with migraine features. Conclusion We present
a series of patients seen in a military treatment facility for headache diagnosis
after concussion in whom we found migraine, as well as uncommon primary headache
types, at frequencies that were much higher than expected.
PMID- 27206964
TI - High-flow oxygen therapy for treatment of acute migraine: A randomized crossover
trial.
AB - Background Impaired oxygen utilization and cerebrovascular dysfunction are
implicated in migraine. High-flow oxygen is effective in cluster headache and has
shown promise in animal models of migraine, but has not been adequately studied
in patients with migraine. Methods In this randomized, crossover-design, placebo
controlled trial, adult migraineurs self-administered high-flow oxygen or medical
air at 10-15 l/min via face mask in blinded fashion starting soon after symptom
onset for 30 minutes, for a total of four migraine attacks. Participants recorded
the severity of headache, nausea, and visual symptoms on visual analog scales
periodically up to 60 minutes. Results We enrolled 22 individuals (mean age 36
years, 20 women) who self-treated 64 migraine attacks (33 oxygen, 31 air). The
pre-specified primary endpoint (mean decrease in pain score from baseline to 30
minutes) was 1.38 +/- 1.42 in oxygen-treated and 1.22 +/- 1.61 in air-treated
attacks ( p = 0.674). Oxygen therapy resulted in relief (severity score 0-1) of
pain (24% versus 6%, p = 0.05), nausea (42% versus 23%, p = 0.08) and visual
symptoms (36% versus 7%, p = 0.004) at 60 minutes. Exploratory analysis showed
that in moderately severe attacks (baseline pain score <6), pain relief was
achieved in six of 13 (46%) oxygen versus one of 15 (7%) air ( p = 0.02). Gas
therapy was used per protocol in 91% of attacks. There were no significant
adverse events. Conclusion High-flow oxygen may be a feasible and safe strategy
to treat acute migraine. Further studies are required to determine if this
relatively inexpensive, widely available treatment can be used as an adjunct or
alternative migraine therapy.
PMID- 27206965
TI - The Risk of Cardiovascular Disease, Diabetes, Liver-Related Outcomes, and Death
Over 10 Years in HIV/HCV-Coinfected Patients With and Without Steatosis.
AB - BACKGROUND: Coinfection with HIV/HCV is associated with more severe liver
disease, including increased frequency of steatosis and significant fibrosis,
compared to patients mono-infected with HCV or HIV. We sought to explore the
impact of steatosis on cardiovascular disease (CVD), liver-related outcomes, and
survival. METHODS: An IRB-approved, single-center retrospective cohort study was
undertaken to analyze 10-year clinical outcomes in HIV/HCV-coinfected patients.
Liver biopsy was performed at study entry for the evaluation of HCV disease; a
study pathologist graded samples for fibrosis and steatosis. Clinical outcomes,
including cardiac events, liver function with FIB-4, AST to Platelet Ratio Index,
and survival were assessed over 10 years. RESULTS: At cohort entry N = 105, mean
age 45 +/- 7 years, 70% male, and 56% had steatosis present on biopsy. During the
10-year follow-up, no association was found between incident CVD, changes in
noninvasive liver fibrosis measures, or survival in the steatosis group compared
to nonsteatosis group. However, nonsignificant trends were noted. Overall,
mortality for this coinfected population was 25% over 10 years, with liver
disease as the most common cause of death. CONCLUSIONS: Given the prevalence of
steatosis in approximately half of coinfected patients, larger studies are
warranted to determine if steatosis is associated with cardiac disease, diabetes,
or liver disease progression in this population. Furthermore, 10-year mortality
for this population was very high, underscoring the importance of HCV treatment
and need for a better understanding of other variables responsible for decreased
survival in this population.
PMID- 27206966
TI - 22(R)-hydroxycholesterol induces HuR-dependent MAP kinase phosphatase-1
expression via mGluR5-mediated Ca(2+)/PKCalpha signaling.
AB - MAP kinase phosphatase (MKP)-1 plays a pivotal role in controlling MAP kinase
(MAPK)-dependent (patho) physiological processes. Although MKP-1 gene expression
is tightly regulated at multiple levels, the underlying mechanistic details
remain largely unknown. In this study, we demonstrate that MKP-1 expression is
regulated at the post-transcriptional level by 22(R)-hydroxycholesterol [22(R)
HC] through a novel mechanism. 22(R)-HC induces Hu antigen R (HuR)
phosphorylation, cytoplasmic translocation and binding to MKP-1 mRNA, resulting
in stabilization of MKP-1 mRNA. The resulting increase in MKP-1 leads to
suppression of JNK-mediated inflammatory responses in brain astrocytes. We
further demonstrate that 22(R)-HC-induced phosphorylation of nuclear HuR is
mediated by PKCalpha, which is activated in the cytosol by increases in
intracellular Ca(2+) levels mediated by the phospholipase C/inositol 1,4,5
triphosphate receptor (PLC/IP3R) pathway and translocates from cytoplasm to
nucleus. In addition, pharmacological interventions reveal that metabotropic
glutamate receptor5 (mGluR5) is responsible for the increases in intracellular
Ca(2+) that underlie these actions of 22(R)-HC. Collectively, our findings
identify a novel anti-inflammatory mechanism of 22(R)-HC, which acts through
PKCalpha-mediated cytoplasmic shuttling of HuR to post-transcriptionally regulate
MKP-1 expression. These findings provide an experimental basis for the
development of a RNA-targeted therapeutic agent to control MAPK-dependent
inflammatory responses.
PMID- 27206967
TI - Increasing incidence of multidrug-resistant Gram-negative septicaemia during
induction therapy of acute myeloid leukaemia.
PMID- 27206968
TI - Clinical validation of a real-time polymerase chain reaction assay for rapid
detection of Acinetobacter baumannii colonization.
AB - Real-time polymerase chain reaction (PCR)-based approaches have not been assessed
in terms of their ability to detect patients colonized by Acinetobacter baumannii
during active surveillance. This prospective, double-blind study demonstrated
that a real-time PCR assay had high sensitivity (100%) and specificity (91.2%)
compared with conventional culture for detecting A. baumannii in 397 active
surveillance samples, and provided results within 3h. Receiver-operator curve
analyses demonstrated that the technique has diagnostic accuracy of 97.7% (95%
confidence interval 96.0-99.3%). This method could facilitate the rapid
implementation of infection control measures for preventing the transmission of
A. baumannii.
PMID- 27206971
TI - Quality of psoriasis care in Germany: results of the national health care study
"PsoHealth3".
AB - Two national surveys conducted in 2005 and 2007 indicated deficits in psoriasis
care and induced the composition of the ''National Goals for Health Care in
Psoriasis 2010-2015''. The aim of this work was to (1) evaluate the quality of
care for patients with psoriasis in Germany, (2) compare this with prior
psoriasis studies PsoHealth1 (2005) and PsoHealth2 (2007), and (3) review the
implementation of national treatment goals. By means of a cross sectional study
the following indicators of health care quality were collected: psoriasis
severity (Psoriasis Area Severity Index (PASI) and proportion of PASI >20),
quality of life (Dermatology Life Quality Index (DLQI) were corporated:
proportion of DLQI >10), previous systemic treatment, inpatient treatment, and
days absent from work due to psoriasis. Between January 2013 and March 2014, 1265
patients from 82 dermatological centres were included (mean age of 52 years). 9.2
% had a PASI >20 (2007: 11.6 %; 2005: 17.8 %). 21.3 % reported strong quality of
life restrictions (DLQI >10) (2007: 28.2 %; 2005: 34.0 %). 59.5 % had received a
systemic treatment at least once within the last 5 years (2007: 47.3 %; 2005:
32.9 %). 20.1 % were treated inpatient within the last 5 years (2007: 20.1 %;
2005: 26.9 %). The current data indicate a better health care situation for
psoriasis in Germany. The implementation of the S3-Guideline and the ''National
Goals for Health Care in Psoriasis 2010-2015'' could have been contributing
factors.
PMID- 27206969
TI - beta3 adrenergic receptor in the kidney may be a new player in sympathetic
regulation of renal function.
AB - To date, the study of the sympathetic regulation of renal function has been
restricted to the important contribution of beta1- and beta2-adrenergic receptors
(ARs). Here we investigate the expression and the possible physiologic role of
beta3-adrenergic receptor (beta3-AR) in mouse kidney. The beta3-AR is expressed
in most of the nephron segments that also express the type 2 vasopressin receptor
(AVPR2), including the thick ascending limb and the cortical and outer medullary
collecting duct. Ex vivo experiments in mouse kidney tubules showed that beta3-AR
stimulation with the selective agonist BRL37344 increased intracellular cAMP
levels and promoted 2 key processes in the urine concentrating mechanism. These
are accumulation of the water channel aquaporin 2 at the apical plasma membrane
in the collecting duct and activation of the Na-K-2Cl symporter in the thick
ascending limb. Both effects were prevented by the beta3-AR antagonist L748,337
or by the protein kinase A inhibitor H89. Interestingly, genetic inactivation of
beta3-AR in mice was associated with significantly increased urine excretion of
water, sodium, potassium, and chloride. Stimulation of beta3-AR significantly
reduced urine excretion of water and the same electrolytes. Moreover, BRL37344
promoted a potent antidiuretic effect in AVPR2-null mice. Thus, our findings are
of potential physiologic importance as they uncover the antidiuretic effect of
beta3-AR stimulation in the kidney. Hence, beta3-AR agonism might be useful to
bypass AVPR2-inactivating mutations.
PMID- 27206970
TI - Testosterone delays vascular smooth muscle cell senescence and inhibits collagen
synthesis via the Gas6/Axl signaling pathway.
AB - Testosterone deficiency is associated with a higher incidence of cardiovascular
diseases in men. However, its effect on cell senescence, which plays a causal
role in vascular aging, remains unclear. Here, we tested the hypothesis that
testosterone alleviated vascular smooth muscle cell (VSMC) senescence and
collagen synthesis via growth arrest-specific protein 6 (Gas6)/Axl- and
Akt/FoxO1a-dependent pathways. Testosterone significantly ameliorated angiotensin
II-induced VSMC senescence and collagen overexpression. In addition, testosterone
inhibited angiotensin II-induced matrix metalloproteinase-2 (MMP-2) activity,
which played a pivotal role in facilitating age-related collagen deposition.
Testosterone increased the expression of tissue inhibitor of metalloproteinase-2
but decreased the expression of MMP-2 and membrane type-1 metalloproteinase which
contributed to increase MMP-2 activity. The effects on VSMCs senescence and
collagen synthesis were mediated by restoration of angiotensin II-induced
downregulation of Gas6 and Axl expression and a subsequent reduction of Akt and
FoxO1a phosphorylation. The effects of testosterone were reversed by a Gas6
blocker, Axl-Fc, and a specific inhibitor of Axl, R428. Treatment of VSMCs with
PI3K inhibitor LY294002 abrogated the downregulating effect of testosterone on
MMP-2 activity. Furthermore, when FoxO1a expression was silenced by using a
specific siRNA, the inhibitory effect of testosterone on MMP-2 activity was
revered as well, that indicated this process was Akt/FoxO1a dependence. Taken
together, Gas6/Axl and Akt/FoxO1a were involved in protective effects of
testosterone on VSMCs senescence and collagen synthesis. Our results provide a
novel mechanism underlying the protective effect of testosterone on vascular
aging and may serve as a theoretical basis for testosterone replacement therapy.
PMID- 27206972
TI - Host-induced aneuploidy and phenotypic diversification in the Sudden Oak Death
pathogen Phytophthora ramorum.
AB - BACKGROUND: Aneuploidy can result in significant phenotypic changes, which can
sometimes be selectively advantageous. For example, aneuploidy confers resistance
to antifungal drugs in human pathogenic fungi. Aneuploidy has also been observed
in invasive fungal and oomycete plant pathogens in the field. Environments
conducive to the generation of aneuploids, the underlying genetic mechanisms, and
the contribution of aneuploidy to invasiveness are underexplored. We studied
phenotypic diversification and associated genome changes in Phytophthora ramorum,
a highly destructive oomycete pathogen with a wide host-range that causes Sudden
Oak Death in western North America and Sudden Larch Death in the UK. Introduced
populations of the pathogen are exclusively clonal. In California, oak (Quercus
spp.) isolates obtained from trunk cankers frequently exhibit host-dependent,
atypical phenotypes called non-wild type (nwt), apparently without any host
associated population differentiation. Based on a large survey of genotypes from
different hosts, we previously hypothesized that the environment in oak cankers
may be responsible for the observed phenotypic diversification in P. ramorum.
RESULTS: We show that both normal wild type (wt) and nwt phenotypes were obtained
when wt P. ramorum isolates from the foliar host California bay (Umbellularia
californica) were re-isolated from cankers of artificially-inoculated canyon live
oak (Q. chrysolepis). We also found comparable nwt phenotypes in P. ramorum
isolates from a bark canker of Lawson cypress (Chamaecyparis lawsoniana) in the
UK; previously nwt was not known to occur in this pathogen population. High
throughput sequencing-based analyses identified major genomic alterations
including partial aneuploidy and copy-neutral loss of heterozygosity
predominantly in nwt isolates. Chromosomal breakpoints were located at or near
transposons. CONCLUSION: This work demonstrates that major genome alterations of
a pathogen can be induced by its host species. This is an undocumented type of
plant-microbe interaction, and its contribution to pathogen evolution is yet to
be investigated, but one of the potential collateral effects of nwt phenotypes
may be host survival.
PMID- 27206973
TI - Daily Smoking and Subjective Health Complaints in Adolescence.
AB - INTRODUCTION: Using data from the Health Behaviour in School-aged Children
survey, this study used a repeated cross-sectional design to examine associations
between daily smoking, gender, and self-reported health complaints in five
cohorts of adolescents over a 16-year period. METHODS: Data were from nationally
representative cohorts of 15-year-old youth in Norway in 1993/1994, 1997/1998,
2001/2002, 2005/2006, and 2009/2010 (n total = 7761). Dependent variables were
psychological, somatic, and total health complaints. A mixed GLM model examined
main and interaction effects of smoking (daily, intermittent, nonsmoking), year,
and gender in predicting complaints. Time periods were segmented to compare
trends across smoking groups in specific periods. RESULTS: Prevalence of daily
smoking declined from 15.5% (1993/1994) to 6.0% (2009/2010). All health complaint
scores were significantly higher for smokers and for girls (vs. boys). Smoking
status by year interactions were significant for all complaint variables during
the period of sharpest decline of daily smoking prevalence (2001/2002-2005/2006),
with daily smokers experiencing increases in health complaints while intermittent
and nonsmokers did not. Smoking status by gender interactions were significant
for all health complaint variables, indicating that the main effect for gender
(females higher) was even stronger among smokers compared with nonsmokers. Using
year as unit of analysis, the size of mean differences between daily smokers and
intermittent/nonsmokers in total complaints was significantly negatively
correlated with daily smoking prevalence (-.963, n = 5, p < .01). CONCLUSIONS: As
prevalence of daily smoking declined, daily smokers reported higher levels of
complaints, suggesting increasing health problems within this group. Girls who
smoke daily had particularly elevated levels of complaints. IMPLICATIONS: This
study indicates that the relationship between daily smoking and concurrent health
symptomatology in adolescents is changing over time, with higher levels of health
complaints reported as overall smoking prevalence declines. To our knowledge,
this finding has not previously been reported. If youth are smoking to cope with
distress, pain, or other health concerns, tobacco control objectives will be
increasingly difficult to achieve with adolescents. Levels of health complaints
are particularly high among girls who are daily smokers. The findings suggest
that restrictive measures and persuasive communications may not be sufficient
tobacco prevention strategies for adolescent populations. Young smokers may need
counseling and support.
PMID- 27206974
TI - The Impact of Aortic Valve Replacement on Left Ventricular Remodeling in
Children.
AB - There are scant data in pediatrics on the optimal timing for aortic valve repair
(AVR). This study assesses the midterm response to AVR and possible predictors of
poor outcome. From 2001 to 2006, 41 patients had greater than 3-month follow-up
after AVR for aortic insufficiency, aortic stenosis, or both. Pre-, peri-, and
post-operative data were collected, including demographics and clinical symptoms.
Two reviewers measured echocardiographic parameters from the pre-operative and
latest follow-up echocardiograms. Ventricular dimensions were indexed to body
surface area (z-score). Median age at AVR was 13 years with 83 % having a Ross
operation. The average left ventricular end-diastolic dimension pre-op, z-score
of +1.3, significantly decreased at last follow-up to a mean z-score of -0.1 (p <
0.001). Similarly the indexed LV mass decreased from +3.9 to +0.5 (p < 0.001).
There was no significant correlation between the presence of pre-op symptoms and
the presence of post-op LV dilatation, hypertrophy, or dysfunction. In the subset
of patients (7/41) with persistent LV dysfunction at last follow-up, there was a
significant correlation with pre-op LV dilatation as assessed by both LVEDD (p =
0.02) and LVESD (p = 0.05). Children demonstrate significant reverse remodeling
after AVR. Pre-op LV dilatation may predict patients with persistent LV
dysfunction post-AVR. Symptoms are less useful in children, suggesting the need
for more objective data for functional assessment.
PMID- 27206975
TI - Additional mesenchymal stem cell injection improves the outcomes of marrow
stimulation combined with supramalleolar osteotomy in varus ankle osteoarthritis:
short-term clinical results with second-look arthroscopic evaluation.
AB - BACKGROUND: Supramalleolar osteotomy (SMO) is reported to be an effective
treatment for varus ankle osteoarthritis by redistributing the load line within
the ankle joint. Mesenchymal stem cells (MSCs) have been proposed as a new
treatment option for osteoarthritis on the basis of their cartilage regeneration
ability. The purpose of this study was to compare the clinical, radiological, and
second-look arthroscopic outcomes between MSC injection with marrow stimulation
and marrow stimulation alone in patients with varus ankle osteoarthritis who have
undergone SMO. METHODS: In this retrospective study, 62 patients (64 ankles) with
varus ankle osteoarthritis underwent second-look arthroscopy at a mean of 12.8
months after arthroscopic marrow stimulation combined with SMO; 33 ankles were
subjected to marrow stimulation alone (group I), and 31 were subjected to marrow
stimulation with MSC injection (group II). Clinical outcome measures included a
visual analog scale (VAS) for pain and the American Orthopaedic Foot and Ankle
Society (AOFAS) score. Radiological outcome variables included the tibial-ankle
surface (TAS), talar tilt (TT), and tibial-lateral surface (TLS) angles. In
second-look arthroscopy, cartilage regeneration was evaluated using the
International Cartilage Repair Society (ICRS) grade. RESULTS: The mean VAS score
improved significantly from 7.2 +/- 1.0 to 4.7 +/- 1.4 in group I and from 7.3 +/
0.8 to 3.7 +/- 1.5 in group II at the final follow-up (P < 0.001 for both
groups). The mean AOFAS score also improved significantly from 61.7 +/- 5.8 to
80.9 +/- 6.7 in group I and from 60.6 +/- 6.1 to 85.2 +/- 5.1 in group II at the
final follow-up (P < 0.001 for both groups). There were significant differences
in the mean VAS and AOFAS scores between groups at the final follow-up (P = 0.002
and 0.010, respectively). At second-look arthroscopy, there were significant
differences in ICRS grades between groups(P = 0.015 for medial aspect of the
talar dome, P = 0.044 for medial aspect of the tibial plafond, and P = 0.005 for
articular surface of the medial malleolus). ICRS grades were significantly
correlated with clinical outcomes in both groups (all P < 0.05). Mean TAS, TT,
and TLS angles improved significantly after SMO in both groups but were not
significantly correlated with clinical outcomes or ICRS grade (all n.s.).
CONCLUSIONS: The clinical and second-look arthroscopic outcomes of MSC injection
with marrow stimulation were better compared to those of marrow stimulation alone
in patients with varus ankle osteoarthritis who have undergone SMO. Furthermore,
the ICRS grade is significantly correlated with clinical outcome.
PMID- 27206976
TI - Making sense of "alternative", "complementary", "unconventional" and
"integrative" medicine: exploring the terms and meanings through a textual
analysis.
AB - BACKGROUND: Medical pluralism has flourished throughout the Western world in
spite of efforts to legitimize Western biomedical healthcare as "conventional
medicine" and thereby relegate all non-physician-related forms of healthcare to
an "other" category. These "other" practitioners have been referred to as
"unconventional", "alternative" and "complementary", among other terms throughout
the past half century. METHODS: This study investigates the discourses
surrounding the changes in the terms, and their meanings, used to describe
unconventional medicine in North America. Terms identified by the literature as
synonymous to unconventional medicine were searched using the Scopus database. A
textual analysis following the method described by Kripendorff 2013 was
subsequently performed on the five most highly-cited unconventional medicine
related peer-reviewed literature published between 1970 and 2013. RESULTS: Five
commonly-used, unconventional medicine-related terms were identified. Authors
using "complementary and alternative", "complementary", "alternative", or
"unconventional" tended to define them by what they are not (e.g., therapies not
taught/used in conventional medicine, therapy demands not met by conventional
medicine, and therapies that lack research on safety, efficacy and
effectiveness). Authors defined "integrated/integrative" medicine by what it is
(e.g., a new model of healthcare, the combining of both conventional and
unconventional therapies, accounting for the whole person, and preventative
maintenance of health). Authors who defined terms by "what is not" stressed that
the purpose of conducting research in this area was solely to create knowledge.
Comparatively, authors who defined terms by "what is" sought to advocate for the
evidence-based combination of unconventional and conventional medicines. Both
author groups used scientific rhetoric to define unconventional medical
practices. CONCLUSIONS: This emergence of two groups of authors who used two
different sets of terms to refer to the concept of "unconventional medicine" may
explain why some journals, practitioner associations and research/practice
centres may choose to use both "what is not" and "what is" terms in their
discourse to attract interest from both groups. Since each of the two groups of
terms (and authors who use them) has different meanings and goals, the evolution
of this discourse will continue to be an interesting phenomenon to explore in the
future.
PMID- 27206978
TI - John Sanford Berkeley.
PMID- 27206977
TI - The value of interleukin 6 as a peripheral diagnostic marker in schizophrenia.
AB - BACKGROUND: Associations between a pro-inflammatory state and schizophrenia have
been one of the more enduring findings of psychiatry, with various lines of
evidence suggesting a compelling role for IL-6 in the underlying pathogenesis of
schizophrenia. METHODS: In this study, we examined IL-6 mRNA levels by real-time
RT-PCR from fresh extracted peripheral blood mononuclear cells (PBMC) in normal
controls and participants with schizophrenia. RESULTS: We found that peripheral
PBMC IL-6 mRNA levels, in the absence of any other information, reliably
discriminated between a diagnosis of schizophrenia and normal controls.
Furthermore, in participants with schizophrenia, we also found elevated levels of
IL-6 mRNA with earlier ages of illness onset and worse positive symptom
presentation, as measured by the Positive and Negative Syndrome Scale.
CONCLUSIONS: These findings provide important and continued support for a
pathophysiological role of inflammation in patients with schizophrenia. Future
utilization of peripheral IL-6 mRNA levels could be clinically useful during an
initial diagnosis and help tailor individualized treatment plans for patients
with schizophrenia.
PMID- 27206979
TI - Myristic Acid Enhances Diacylglycerol Kinase delta-Dependent Glucose Uptake in
Myotubes.
AB - Decreased expression of diacylglycerol kinase (DGK) delta in skeletal muscles
attenuates glucose uptake and is closely related to the pathogenesis of type 2
diabetes. Therefore, up-regulation of DGKdelta expression is thought to protect
and improve glucose homoeostasis in type 2 diabetes. We recently determined that
myristic acid (14:0), but not palmitic (16:0) or stearic (18:0) acid,
significantly increased DGKdelta2 protein expression in mouse C2C12 myotubes. In
the current study, we analyzed whether myristic acid indeed enhances glucose
uptake in C2C12 myotubes. We observed that myristic acid caused ~1.4-fold
increase in insulin-independent glucose uptake. However, palmitic and stearic
acids failed to enhance glucose uptake. DGKdelta-specific siRNA decreased
myristic acid-dependent increase of glucose uptake. Moreover, overexpression of
DGKdelta2 enhanced glucose uptake in C2C12 cells in the absence of myristic acid
treatment. Taken together, these results strongly suggest that myristic acid
enhances basal glucose uptake in myotubes in a DGKdelta2 expression-dependent
manner.
PMID- 27206981
TI - GPs urge NHS to plan for sudden loss of primary care services.
PMID- 27206980
TI - Complete chloroplast genome sequence of a major economic species, Ziziphus jujuba
(Rhamnaceae).
AB - Ziziphus jujuba is an important woody plant with high economic and medicinal
value. Here, we analyzed and characterized the complete chloroplast (cp) genome
of Z. jujuba, the first member of the Rhamnaceae family for which the chloroplast
genome sequence has been reported. We also built a web browser for navigating the
cp genome of Z. jujuba ( http://bio.njfu.edu.cn/gb2/gbrowse/Ziziphus_jujuba_cp/
). Sequence analysis showed that this cp genome is 161,466 bp long and has a
typical quadripartite structure of large (LSC, 89,120 bp) and small (SSC, 19,348
bp) single-copy regions separated by a pair of inverted repeats (IRs, 26,499 bp).
The sequence contained 112 unique genes, including 78 protein-coding genes, 30
transfer RNAs, and four ribosomal RNAs. The genome structure, gene order, GC
content, and codon usage are similar to other typical angiosperm cp genomes. A
total of 38 tandem repeats, two forward repeats, and three palindromic repeats
were detected in the Z. jujuba cp genome. Simple sequence repeat (SSR) analysis
revealed that most SSRs were AT-rich. The homopolymer regions in the cp genome of
Z. jujuba were verified and manually corrected by Sanger sequencing. One-third of
mononucleotide repeats were found to be erroneously sequenced by the 454
pyrosequencing, which resulted in sequences of 1-4 bases shorter than that by the
Sanger sequencing. Analyzing the cp genome of Z. jujuba revealed that the IR
contraction and expansion events resulted in ycf1 and rps19 pseudogenes. A
phylogenetic analysis based on 64 protein-coding genes showed that Z. jujuba was
closely related to members of the Elaeagnaceae family, which will be helpful for
phylogenetic studies of other Rosales species. The complete cp genome sequence of
Z. jujuba will facilitate population, phylogenetic, and cp genetic engineering
studies of this economic plant.
PMID- 27206982
TI - SUGP1 is a novel regulator of cholesterol metabolism.
AB - A large haplotype on chromosome 19p13.11 tagged by rs10401969 in intron 8 of SURP
and G patch domain containing 1 (SUGP1) is associated with coronary artery
disease (CAD), plasma LDL cholesterol levels, and other energy metabolism
phenotypes. Recent studies have suggested that TM6SF2 is the causal gene within
the locus, but we postulated that this locus could harbor additional CAD risk
genes, including the putative splicing factor SUGP1 Indeed, we found that
rs10401969 regulates SUGP1 exon 8 skipping, causing non-sense-mediated mRNA
decay. Hepatic Sugp1 overexpression in CD1 male mice increased plasma cholesterol
levels 20-50%. In human hepatoma cell lines, SUGP1 knockdown stimulated 3-hydroxy
3-methylglutaryl-CoA reductase (HMGCR) alternative splicing and decreased HMGCR
transcript stability, thus reducing cholesterol synthesis and increasing LDL
uptake. Our results strongly support a role for SUGP1 as a novel regulator of
cholesterol metabolism and suggest that it contributes to the relationship
between rs10401969 and plasma cholesterol.
PMID- 27206983
TI - Allele-specific regulation of mutant Huntingtin by Wig1, a downstream target of
p53.
AB - p53 has been implicated in the pathophysiology of Huntington's disease (HD).
Nonetheless, the molecular mechanism of how p53 may play a unique role in the
pathology remains elusive. To address this question at the molecular and cellular
biology levels, we initially screened differentially expressed molecules
specifically dependent on p53 in a HD animal model. Among the candidate
molecules, wild-type p53-induced gene 1 (Wig1) is markedly upregulated in the
cerebral cortex of HD patients. Wig1 preferentially upregulates the level of
mutant Huntingtin (Htt) compared with wild-type Htt. This allele-specific
characteristic of Wig1 is likely to be explained by higher affinity binding to
mutant Htt transcripts than normal counterpart for the stabilization. Knockdown
of Wig1 level significantly ameliorates mutant Htt-elicited cytotoxicity and
aggregate formation. Together, we propose that Wig1, a key p53 downstream
molecule in HD condition, play an important role in stabilizing mutant Htt mRNA
and thereby accelerating HD pathology in the mHtt-p53-Wig1 positive feedback
manner.
PMID- 27206985
TI - Filamin C is a highly dynamic protein associated with fast repair of myofibrillar
microdamage.
AB - Filamin c (FLNc) is a large dimeric actin-binding protein located at
premyofibrils, myofibrillar Z-discs and myofibrillar attachment sites of striated
muscle cells, where it is involved in mechanical stabilization, mechanosensation
and intracellular signaling. Mutations in the gene encoding FLNc give rise to
skeletal muscle diseases and cardiomyopathies. Here, we demonstrate by
fluorescence recovery after photobleaching that a large fraction of FLNc is
highly mobile in cultured neonatal mouse cardiomyocytes and in cardiac and
skeletal muscles of live transgenic zebrafish embryos. Analysis of cardiomyocytes
from Xirp1 and Xirp2 deficient animals indicates that both Xin actin-binding
repeat-containing proteins stabilize FLNc selectively in premyofibrils. Using a
novel assay to analyze myofibrillar microdamage and subsequent repair in cultured
contracting cardiomyocytes by live cell imaging, we demonstrate that repair of
damaged myofibrils is achieved within only 4 h, even in the absence of de novo
protein synthesis. FLNc is immediately recruited to these sarcomeric lesions
together with its binding partner aciculin and precedes detectable assembly of
filamentous actin and recruitment of other myofibrillar proteins. These data
disclose an unprecedented degree of flexibility of the almost crystalline
contractile machinery and imply FLNc as a dynamic signaling hub, rather than a
primarily structural protein. Our myofibrillar damage/repair model illustrates
how (cardio)myocytes are kept functional in their mechanically and metabolically
strained environment. Our results help to better understand the pathomechanisms
and pathophysiology of early stages of FLNc-related myofibrillar myopathy and
skeletal and cardiac diseases preceding pathological protein aggregation.
PMID- 27206986
TI - Adipose tissue is prominent in salivary glands of Sjogren's syndrome patients and
appears to influence the microenvironment in these organs.
AB - A minor salivary gland (SG) biopsy with focal lymphocytic sialadenitis and a
focus score of >=1 is today's widely accepted pathological finding confirming the
SG component of Sjogren's syndrome (SS). Adipocytes can occupy a large percentage
of the SG area although little is known about their significance in SS lesions.
This study aimed to characterise adipose tissue infiltration in labial SG
biopsies from 27 SS patients and 28 non-SS sicca controls. Biopsies were
evaluated by one oral pathologist and assessed for focus score, acinar atrophy,
fatty replacement and non-specific chronic inflammation. Moreover, to explore the
SG microenvironment, immunohistochemical staining of paraffin-embedded SG tissue
was performed using interleukin-6 (IL-6). The fatty replacement was evident in
all SS patients possessing autoantibodies (Ro/SSA and/or La/SSB) as well as a
positive SG biopsy (focus score >=1). Additionally, 62% of SS patients having
autoantibodies but a negative biopsy showed fatty infiltration (FI) while non-SS
controls demonstrated fatty replacement in only 32% of the cases. Overall, the SS
group (mean age 53.0 years) had a significantly higher incidence (p value 0.005)
of FI than the non-SS controls (mean age 54.8 years). Interestingly, adipocytes
were located in IL-6 rich areas, and IL-6 positive adipocytes were detected. As
fat deposition seems to be more recurrent in SGs affected by SS, we propose the
assessment of adipose tissue replacement as a helpful tool for diagnostic
evaluation in SS. Detection of IL-6 positive adipocytes suggests their
involvement in immune reactions. Still, functional studies are needed to
investigate the SG microenvironment further.
PMID- 27206984
TI - The endoplasmic reticulum-mitochondria interface is perturbed in PARK2 knockout
mice and patients with PARK2 mutations.
AB - Mutations in PARK2, encoding the E3 ubiquitin protein ligase Parkin, are a common
cause of autosomal recessive Parkinson's disease (PD). Loss of PARK2 function
compromises mitochondrial quality by affecting mitochondrial biogenesis,
bioenergetics, dynamics, transport and turnover. We investigated the impact of
PARK2 dysfunction on the endoplasmic reticulum (ER)-mitochondria interface, which
mediates calcium (Ca2+) exchange between the two compartments and is essential
for Parkin-dependent mitophagy. Confocal and electron microscopy analyses showed
the ER and mitochondria to be in closer proximity in primary fibroblasts from
PARK2 knockout (KO) mice and PD patients with PARK2 mutations than in controls.
Ca2+ flux to the cytosol was also modified, due to enhanced ER-to-mitochondria
Ca2+ transfers, a change that was also observed in neurons derived from induced
pluripotent stem cells of a patient with PARK2 mutations. Subcellular
fractionation showed the abundance of the Parkin substrate mitofusin 2 (Mfn2),
which is known to modulate the ER-mitochondria interface, to be specifically
higher in the mitochondrion-associated ER membrane compartment in PARK2 KO
tissue. Mfn2 downregulation or the exogenous expression of normal Parkin restored
cytosolic Ca2+ transients in fibroblasts from patients with PARK2 mutations. In
contrast, a catalytically inactive PD-related Parkin variant had no effect.
Overall, our data suggest that Parkin is directly involved in regulating ER
mitochondria contacts and provide new insight into the role of the loss of Parkin
function in PD development.
PMID- 27206987
TI - Type 2 treatments for type 1 diabetes.
PMID- 27206988
TI - Chronological changes of mumps virus genotypes in Japan between 1999-2013.
AB - BACKGROUND: The molecular epidemiology of mumps virus (MuV) has been carried out
worldwide based on genotyping proposed by the World Health Organisation. However,
longitudinal molecular epidemiological studies of MuV are still limited. METHODS:
This study carried out genotyping of MuVs isolated in Yamagata prefecture, which
is located in northern Japan, between 1999-2013, using standard nomenclature
based on the sequence analysis of the entire 316 nucleotides of the small
hydrophobic (SH) gene. RESULTS: During this 15-year period, 249 MuVs were
isolated, with the majority of them belonging to genotype G. Phylogenetic
analysis revealed that genotype G strains were divided into two distinct clusters
1 and 2, consisting of 178 and 47 strains, respectively. The cluster 1 strains
were isolated every year since 2001, except for 2012. The cluster 2 strains first
appeared in 2011 and were dominant in 2011 and 2012. The epidemic pattern of
genotype G strains observed in Yamagata was similar to those in Kanagawa and
Hyogo prefectures located in eastern and western Japan, respectively. Only one L,
three H and one F genotype strains were isolated in 2001, 2004 and 2010,
respectively. Almost every year several genotype B strains related to Japanese
vaccine strains were isolated. CONCLUSIONS: These data demonstrated that the
genotype G strains have been endemically perpetuating as the major type over a
wide area of Japan since 2001, although the genotype G strains that emerged after
2011 differed from the earlier strains.
PMID- 27206989
TI - Consanguinity and its socio-biological parameters in Rahim Yar Khan District,
Southern Punjab, Pakistan.
AB - BACKGROUND: Rahim Yar Khan (RYK) District is a multi-ethnic assemblage of both
ancient and migrated communities in Southern Punjab, Pakistan. There is a paucity
of knowledge on the bio-demographic structure of this endogamous population.
METHODS: We have carried out a cross-sectional epidemiological study in RYK
District and recruited 2174 random Muslim married females. Detailed account of
marital union types, level of consanguinity, and subject's fertility, was taken.
RESULTS: The analyses of these data revealed that consanguineous unions (CU) were
58.46 %, rendering an inbreeding coefficient (IC-F) = 0.0355. The CU were
observed to be significantly higher in subjects originating from rural areas,
speaking Saraiki language, illiterate or having a religious/Madarsa education
only, and belonging to nuclear family type. The rate of consanguinity was also
higher in subjects whose husbands were engaged in unskilled manual or skilled
manual jobs, and had consanguinity in the parental generation. Multivariate
logistic regression analyses revealed that variables like Saraiki language,
illiteracy, reciprocal marriages, and parental consanguinity, were the
significant predictors of CU in the subject. Among the first cousin unions (which
constituted 52 % of all marriages), parallel-cousin and patrilineal unions were
in the majority (54 and 57 %, respectively), and father's brother's daughter type
had the highest representation (31 %). The analyses further demonstrated that
fertility and mean live-births were significantly higher in women who had CU
compared to the non-consanguineous (NCU) group (p < 0.006); and significantly
higher number of sons per women were born to the mothers who had CU compared with
the NCU sample (p = 0.0002). However, there were no differences in the CU and NCU
samples with respect to pre- or post-natal mortalities and child morbidities.
CONCLUSIONS: The scientific findings in RYK District are distinct from the
observations in other Pakistani populations and clue to a unique nature of this
population. This study presents a comprehensive account of consanguinity and IC-F
in RYK District and would be helpful in getting an insight into the structure of
this population.
PMID- 27206990
TI - Serum GDF-15 level in Behcet's disease: relationships between disease activity
and clinical parameters.
AB - Growth differentiation factor-15 (GDF-15), a member of the transforming growth
factor-beta superfamily of cytokines, plays an important role in cell growth,
signal transduction, and apoptosis regulation. The aim of this study was to
evaluate serum GDF-15 levels and their relationships with disease-related
variables in patients with Behcet's disease (BD). Forty-six patients diagnosed
with BD and 30 demographically matched healthy control subjects participated in
the study. GDF-15 levels were measured in blood samples from patients and
controls. The Behcet's Disease Current Activity Form (BDCAF) was used to evaluate
the disease activity of BD. There were no significant differences between the two
groups in C-reactive protein (CRP) level, mean erythrocyte sedimentation rate
(ESR), age, body mass index, and mean GDF-15 levels (P > 0.05). Serum GDF-15
levels were positively correlated with findings for peripheral arthritis and CRP,
and with BDCAF erythema nodosum, BDCAF arthralgia, and BDCAF arthritis scores.
Patients with BD were divided into two groups according to the presence of
peripheral arthritis; nine subjects (20%) were positive for peripheral arthritis.
Serum ESR, CRP, white blood cell counts, and GDF-15 levels were significantly
higher in the group that was positive for peripheral arthritis (P < 0.05). GDF-15
may play a role in the progression and pathway of Behcet's joint involvement and
erythema nodosum that is independent of classic inflammatory response measures.
PMID- 27206991
TI - Health workers' views of help seeking and suicide among Aboriginal people in
rural Victoria.
AB - OBJECTIVE: To explore Aboriginal health workers' views about help seeking and
suicide. DESIGN: One-to-one semi-structured interviews were conducted with
participants. Data were analysed thematically. SETTING: Njernda Aboriginal
Corporation and the Yorta Yorta Aboriginal Community of Echuca, Victoria.
PARTICIPANTS: Twenty seven participants (15 men and 12 women) over the age of 18
years were interviewed, of which 24 were Aboriginal workers employed by Njernda
Aboriginal Corporation. RESULTS: Four themes emerged from the data: 'Difficulty
in talking about one's problems'; 'Reasons for not talking with family and
peers'; 'Lack of access to suitable formal supports' and 'Consequences of not
talking about one's problems'. CONCLUSION: This study unpacks the problem of help
seeking for psychological distress among rural Aboriginal people and highlights
its association with suicide and self-harm. The findings suggest that the
barriers faced by Aboriginal people in sharing their traumatic emotions exist
from childhood to older age groups and this inability to seek and obtain help can
lead to self-harm and suicide. Similar studies on Aboriginal help seeking and
suicide will help shed more light on this challenging issue.
PMID- 27206992
TI - Effects of an herbicide on physiology, morphology, and fitness of the dung beetle
Euoniticellus intermedius (Coleoptera: Scarabaeidae).
AB - Some agrochemical compounds threaten nontarget organisms and their functions in
the ecosystem. The authors experimentally evaluated the effects of one of the
most common herbicide mixtures used worldwide, containing 2,4
dichlorophenoxyacetic acid and picloram, on dung beetles, which play fundamental
roles in the function of natural and managed ecosystems. The present study
employed techniques of physiology and geometric morphometrics, besides including
fitness measurements, to assess the effects of the herbicide in the introduced
beetle Euoniticellus intermedius. Because herbicide components promote oxidative
stress and affect survival in certain insects, the authors predicted negative
effects on the beetles. Unexpectedly, no effect of herbicide concentration was
found on clutch size, sex ratio, and fluctuating asymmetry, and it even increased
physiological condition and body size in exposed beetles. Because the studied
species presents 2 male morphs, the authors, for the first time, evaluated the
effect of a pollutant on the ratio of these morphs. Contrary to the prediction,
the herbicide mixture increased the proportion of major males. Thus, the
herbicide does not threaten populations of the studied beetles. The present study
discusses how both negative and positive effects of pollutants on wild animals
modify natural and sexual selection processes occurring in nature, which
ultimately impact population dynamics. The authors recommend the use of
physiological and geometric morphometrics techniques to assess the impact of
pollutants on nontarget animals. Environ Toxicol Chem 2017;36:96-102. (c) 2016
SETAC.
PMID- 27206993
TI - Response of the seated human body to whole-body vertical vibration: biodynamic
responses to mechanical shocks.
AB - The biodynamic response of the seated human body has been investigated with 20
males exposed to upward and downward shocks at 13 fundamental frequencies (1-16
Hz) and 18 magnitudes (up to +/-8.3 ms-2). For 1- and 2- degree-of-freedom
models, the stiffness and damping coefficients were obtained by fitting seat
acceleration waveforms predicted from the measured force to the measured seat
acceleration waveform. Stiffness and damping coefficients were also obtained in
the frequency domain with random vibration. The optimum stiffness and damping
coefficients varied with the magnitude and the frequency of shocks. With both
upward and downward shocks, the resonance frequency of the models decreased from
6.3 to 4 Hz as the vibration dose values of the shocks increased from 0.05 to 2.0
ms-1.75. The stiffness and damping obtained from responses to shocks were
correlated with, and similar to, the stiffness and damping obtained with random
vibration. Practitioner Summary: When modelling the dynamic response of the
seated human body to vertical acceleration less than 1 g, the relation between
force and acceleration can be well represented by a single degree-of-freedom
model although the optimum stiffness and damping depend on the magnitude and
frequency of sinusoidal, random or shock motion.
PMID- 27206995
TI - Effect on Quality of Life in Oral Cancer Patients after Radiation and
Chemotherapy.
AB - Almost 10% of the tumors that affect the human body are sited in the mouth. Oral
cancer has the 6th highest occurrence rate among the diverse forms of
malignancies. Excluding skin cancer, oral cancer is the most common form of
cancer affecting the head and neck region.(1).
PMID- 27206994
TI - Balancing family with a successful career in neuroscience.
PMID- 27206996
TI - Thermogravimetric Characterization of the Microstructui Composition of Polyamide
Injection Molded Denture Base Material vs Conventional Compression Molded Heat
cured Denture Base Material.
AB - BACKGROUND: Thermoplastic resin polymers are widely used in medicine due to their
biostability and hypoallergenic properties, making them a possible alternative to
poly-methylmethacrylate (PMMA). The current research examined the microstructure
of a rapid injection molding system thermoplastic resin for construction of
flexible denture compared with that of heat-cured PMMA. MATERIALS AND METHODS: A
total of 40 disk-shaped specimens (25 mm in diameter and 3 mm in thickness) were
prepared and divided into two groups of 20 disks each (group I samples were of
thermoplastic acrylic resin while group II was heat-cured PMMA resin). RESULTS:
In group I, thermogravimetric analyzer showed that increasing the temperature up
to 169 degrees C resulted in about 1.3% of the material loss, and after that the
material remains thermally stable up to 200 degrees C. Group 11 showed 2.24%
weight loss at 171 degrees C, and further weight loss (12.025%) was observed on
heating to 230 degrees C. Fourier transform infrared (FTIR) spectrophotometer
analysis in the range of 400-4000 cm(-1) detected the presence of an amine group
(N-H) in group I samples and the presence of methylene group attached to
inorganic Si as reinforcement filler (Si-CH3). CONCLUSION: Thermoplastic resin
displayed excellent thermal stability and the absence of residual monomer within
the polymerized material, suggesting its suitability for the fabrication
dentures.
PMID- 27206997
TI - Antimicrobial and Cytotoxic Activity of Cinnamomum zeylanicum, Calcium Hydroxide,
and Triple Antibiotic Paste as Root Canal Dressing Materials.
AB - OBJECTIVE: The aim of this article was (i) to define the chemical constituents of
Cinnamomum zeylanicum essential oil (CEO), (ii) to compare the antimicrobial
activity of CEO with triple antibiotic paste (TAP) and calcium hydroxide
[Ca(OH)2] on planktonic and biofilm Enterococcus faecalis; and (iii) to compare
the cytotoxicity of these medicaments on L929 fibroblasts. MATERIALS AND METHODS:
Gas chromatography-mass spectrometry was used to define the constituents of CEO.
Zone of inhibition, minimum inhibitory concentration (MIC), minimum bactericidal
concentration (MBC), and time-kill tests were performed. Further, 108 human teeth
were infected with E. faecalis and treated with the medicaments for 1, 7, and 14
days. Cytotoxicity was assessed by exposing L929 fibroblasts to the medicaments.
RESULTS: Cinnamaldehyde was the main component of CEO. Triple antibiotic paste
had the greatest zone of inhibition and the smallest MIC and MBC. Triple
antibiotic paste and CEO eradicated planktonic E. faecalis after 4 and 24 hours,
while Ca(OH)2 failed to achieve 100% killing after 24 hours. Cinnamomum
zeylanicum essential oil and TAP eradicated biofilm E. faecalis after 7 and 14
days, but Ca(OH)2 could not eliminate E. faecalis after 14 days. Cinnamomum
zeylanicum essential oil was the most biocompatible medicament. CONCLUSION:
Cinnamomum zeylanicum essential oil is an efficient antibacterial agent against
planktonic and biofilm E. faecalis and it was cytocompatible to L929 fibroblasts.
Therefore, CEO has the potential to be used as an antimicrobial agent in root
canal treatment.
PMID- 27206998
TI - Action of Chlorhexidine, Zingiber officinale, and Calcium Hydroxide on Candida
albicans, Enterococcus faecalis, Escherichia coli, and Endotoxin in the Root
Canals.
AB - AIM: The purpose of this in vitro study was to evaluate the antimicrobial
activity of 2% chlorhexidine gel (CHX) as auxiliary chemical substance and
intracanal medications on Candida albicans, Enterococcus faecalis, Escherichia
coli, and their endotoxins in the root canals. MATERIALS AND METHODS: The study
was conducted on 48 single-rooted human teeth divided into four groups (n = 12),
according to intracanal medications used: (1) Calcium hydroxide + apyrogenic
saline solution (Ca(OH)2 + SS), (2) 20% ginger glycolic extract (GEN), (3)
calcium hydroxide + 20% ginger glycolic extract (Ca(OH)2 + GEN), (4) apyrogenic
SS (control). Collections were made from the root canal content before
preparation (baseline-S1), immediately after instrumentation (S2), 7 days after
instrumentation (S3), after 14 days the action of intracanal medication (S4), and
7 days after removal of the intracanal medication (S5). The antimicrobial
activity and endotoxin content were analyzed for all collections. The results
were statistically analyzed by the Kruskal-Wallis and Dunn tests at a
significance level of 5%. RESULTS: After instrumentation with CHX, there was
complete elimination of E. coli and C. albicans, except for E. faecalis, which
was significantly reduced and then completely eliminated after intracanal
medication. There was significant reduction of endotoxin after instrumentation.
Comparison of collection after instrumentation and intracanal medication revealed
reduction of endotoxins in all groups; this reduction was greater in group
Ca(OH)2 followed by the group GEN. CONCLUSION: It was concluded that the
instrumentation using CHX and intracanal medication used were able to eliminate
the microorganisms from the root canal; the endotoxins were reduced, yet not
completely eliminated. CLINICAL SIGNIFICANCE: This study is important and
relevant for searching alternatives during endodontic therapy, since it aims to
study the effect of Zingiber officinale on microorganisms and endotoxins present
in root canals.
PMID- 27207000
TI - Oral Health of Patients Hospitalized in the Intensive Care Unit.
AB - AIM: Oral hygiene technique is an important factor in maintaining the health and
comfort of hospitalized patients given the frequent presence of oral biofilm and
pathogens brought on by mouth breathing. This is an important practice to assist
patients in intensive care, in particular those who are intu-bated and under
mechanical ventilation because the realization of oral hygiene reduces the
patient's risk of complications and length of hospitalization. The objective of
this research was to evaluate the oral health condition of patients hospitalized
in an intensive care unit (ICU) and to clarify the importance of protocol
standardization involving these patients' buccal hygiene. MATERIALS AND METHODS:
In this study, the sample consisted of 45 patients admitted to an ICU who were
evaluated in relation to the oral biofilm score index. RESULTS: The results
indicated that there was no significant difference in the biofilm score
associated with the genre (p = 0.091), age group (p = 0.549), or teething profile
(p = 0.207). However, the biofilm score was greater in partial and fully
edentulous patients when compared with dentulous patients. CONCLUSION: Based on
these results, it is recommended that care providers in ICUs complete the
relevant oral health care training programs. CLINICAL SIGNIFICANCE: When in the
ICU, suitable dental conduct following a protocol of prevention of oral biofilm
can lead to earlier diagnosis and can prevent the spread of pathogenic
microorganisms, particularly those that are systemic in patients with low
immunity.
PMID- 27206999
TI - Push-out Bond Strength of Glass Fiber Posts Cemented in Weakened Roots with
Different Luting Agents.
AB - AIM: To evaluate the push-out bond strength (BS) of direct anatomic posts (DAPs)
and conventional fiber posts (CFPs) cemented with different luting agents in
different thirds of flared root canals. MATERIALS AND METHODS: A total of 60
human single-rooted canine teeth were transversally sectioned 16 mm from the
radicular apex. After endodontic treatment, canals were flared with diamond burs.
Samples were divided into six groups according to post type and luting agent: DAP
and RelyX U100 (RXU); DAP and RelyX ARC (RXA); DAP and RelyX Luting 2 (RXL); CFP
and RXU; CFP and RXA; CFP and RXL. Roots were sectioned transversely into six 1
mm-thick slices. The push-out test was performed and failure modes were observed.
RESULTS: The DAP groups (7.23 +/- 2.05) showed highest BS values (p < 0.05) when
compared with CFP (5.93 +/- 1.76). RelyX U100 (8.17 +/- 1.70) showed higher BS
values (p < 0.05) than RXA (6.46 +/- 1.38), and RXL (5.10 +/- 1.65) showed the
lowest values. Bond strength on the apical third was statistically lower (p <
0.05) than that on the other thirds of the root canals. There was a predominance
of adhesive failure for all groups. CONCLUSION: The DAPs improved retention in
flared root canals, and RXU was the most effective luting agent. The apical third
showed the lowest BS values. CLINICAL SIGNIFICANCE: The relining procedure of
fiber posts with composite and the proper selection of luting resin cement are
important for increasing bonding effectiveness in flared root canals.
PMID- 27207001
TI - Effect of Three Different Injection Sites on the Success of Anterior Middle
Superior Alveolar Nerve Block with 3% Mepivacaine: A Randomized Controlled Trial.
AB - AIM: Anterior middle superior alveolar (AMSA) nerve block injection targets the
anterior superior alveolar nerve and the middle superior alveolar nerve branches
of the infraorbital nerve through nutrient canals. Therefore, the central incisor
to the second premolar teeth of one quadrant can be anesthetized. The aim of the
present study was to evaluate the efficacy of AMSA nerve block injection with 3%
mepivacaine solution at three different injection sites. MATERIALS AND METHODS:
In a double-blind crossover study, 47 volunteers participated and three AMSA
nerve block injections of 3% mepivacaine solution without epinephrine were
administered at the anterior, posterior, and the most common injection sites with
a 1-week interval between injections. Anesthesia of the central incisor to the
second premolar of the injected side was evaluated by using an electric pulp
tester. The success of the injection was considered as lack of response to two
consecutive 80 readings. The generalized estimating equation analytic tests were
administered (alpha = 0.05). RESULTS: The success rate of the AMSA nerve block
injection ranged from 27.5-47.5% for the most common injection site and 22.5
42.5% for both the anterior and posterior injection sites. CONCLUSION: Changing
the injection site did not result in statistically significant improvements (p >
0.05). CLINICAL SIGNIFICANCE: Changing the injection site anteropos-teriorly did
not influence the success rate of the AMSA nerve block injection.
PMID- 27207002
TI - Radiopacity of 28 Composite Resins for Teeth Restorations.
AB - AIM: Radiopacity is a fundamental requisite to check marginal adaptation of
restorations. Our objective was to assess the radiopacity of 28 brands of light
cured composite resins and compare their radiopacity with that of enamel, dentin,
and aluminum of equivalent thickness. MATERIALS AND METHODS: Composite resin
disks (0.2, 0.5, and 1 mm) were radiographed by the digital method, together with
an aluminum penetrometer and a human tooth equivalent tooth section. The degree
of radiopacity of each image was quantified using digital image processing.
Wilcoxon nonparametric test was used for comparison of the mean thickness of each
material. RESULTS: All of the materials tested had an equal or greater
radiopacity than that of aluminum of equivalent thickness. Similar results for
enamel were found with the exception of Durafill, which was less radiopaque than
enamel (p < 0.05). All the specimens were more radiopaque than dentin, except for
P90 (which was equally radiopaque) and Durafill (which was less radiopaque). The
thickness of the specimens may influence the similarity to the enamel's
radiopacity. All of the composite resins comply with specification #27 of the
American Dental Association. The radiopacity of Amelogen Plus, Aph, Brilhiante,
Charisma, Concept Advanced, Evolux X, Exthet X, Inten S, Llis, Master Fill,
Natural Look, Opallis, P60, Tetric, Tph, Z100, and Z250 was significantly higher
than that of enamel (p < 0.05). CONCLUSION: With these composites, it is possible
to observe the boundaries between restoration and tooth structure, thus allowing
clinicians to establish the presence of microleakage or restoration gap. CLINICAL
SIGNIFICANCE: Suitable radiopacity is an essential requisite for good-quality
esthetic restorative materials. We demonstrate that only some composites have the
sufficient radiopacity to observe the boundaries between restoration and tooth
structure, which is the main cause of restoration failure.
PMID- 27207003
TI - A Clinical Evaluation of Biphasic Calcium Phosphate Alloplast with and without a
Flowable Bioabsorbable Guided Tissue Regeneration Barrier in the Treatment of
Mandibular Molar Class II Furcation Defects.
AB - BACKGROUND: Guided tissue regeneration (GTR) therapy has shown good results in
the management of mandibular molar class II furcation defects. Advances in
biomaterial sciences have developed alloplastic bone replacement graft materials
and bioabsorbable GTR barrier membranes with good biologic response and handling
properties. The aim of this study was to compare the attachment gain and the bone
fill obtained with an alloplast [biphasic calcium phosphate (BCP) 60%
hydroxyapatite (HA) and 40% beta tricalcium phosphate (b-TCP)] with and without a
bioabsorbable GTR barrier [flowable poly (DL-lactide) (PLA) dissolved in N-methyl
2-pyrrolidone (NMP)] in the treatment of mandibular molar class II furcation
defects. MATERIALS AND METHODS: A total of 20 class II furcation defects were
treated in 16 patients with chronic periodontitis in a comparative study. Ten
defects were treated with Camceram((r)) (BCP 60% HA and 40% - TCP) bone
replacement graft material (group I) and 10 defects with a combination of
Camceram(r) bone replacement graft material with Atrisorb(r) FreeflowTM, bio
absorbable GTR barrier (flowable PLA dissolved in NMP) (group II). At baseline
and at 6 months postsurgery, clinical parameters of vertical probing depth (PD)
and horizontal probing depth (P-H), clinical attachment level (CAL), gingival
recession (GR), and vertical depth of furcation defect (VDF) and horizontal depth
of furcation defect (BP-H) were evaluated. RESULTS: Statistical analysis was done
with the Statistical Package for Social Sciences (SPSS) program. Intergroup
comparisons made at 6 months postsurgery by unpaired Student's t-test showed mean
reduction in PD in group I was 3.10 +/- 0.73 mm and in group II was 3.20 +/- 1.03
mm (p > 0.05). Mean reduction in P-H in group I was 1.60 +/- 0.69 mm and in group
II was 1.90 +/- 0.73 mm (p > 0.05). Gain in CAL in group I was 2.80 +/- 1.03 mm
and in group II was 2.90 +/- 0.94 mm (p > 0.05). Change in GR in group I was
0.30 +/- 0.48 mm and in group II was -0.30 +/- 0.48 (p > 0.05). Reduction in VDF
in group I was 1.30 +/- 0.67 mm and in group II was 1.80 +/- 0.63 mm (p <= 0.01).
Reduction in BP-H in group I was 1.30 +/- 0.67 mm and in group II was 1.90 +/-
0.73 mm (p <= 0.05). CONCLUSION: It was concluded that the combination technique
of BCP alloplast with a flowable bioabsorbable GTR barrier led to better results
in regard to defect bone fill as compared with when the BCP alloplast alone was
used.
PMID- 27207004
TI - Effect of Silanization on Microtensile Bond Strength of Different Resin Cements
to a Lithium Disilicate Glass Ceramic.
AB - AIM: This study evaluated the influence of a silane-coupling agent on the bond
strength of a self-adhesive cement and a conventional resin cement to a lithium
disilicate glass ceramic. MATERIALS AND METHODS: A total of eight ceramic blocks
were fabricated and divided into four groups (n = 2). In groups 1 and 3, ceramic
surfaces were etched with hydrofluoric acid 10% for 20 seconds, rinsed for 30
seconds, and air-dried. One layer of a silane agent was applied onto all ceramic
specimens and air-dried for 30 seconds. In groups 2 and 4, ceramic surfaces were
etched with hydrofluoric acid, rinsed, and air-dried without application of the
silane-coupling agent. The ceramic blocks were bonded to a block of composite
with a self-adhesive resin cement or with a conventional resin cement, according
to the manufacturer's instructions. After 24 hours in distilled water at 37
degrees C, the specimens were sectioned perpendicular to the bonding interface
area to obtain beams with a bonding area of 0.8 mm(2) and submitted to a
microtensile bond strength test at a crosshead speed of 0.5 mm/min. Data were
statistically analyzed with one-way analysis of variance and the Games-Howell
post hoc test (p = 0.05). Fractured specimens were examined under optical
microscopy at 40x magnification. RESULTS: Silanization resulted in higher
microtensile bond strength compared to groups without silane. No significant
differences were found between the conventional resin cement and the self
adhesive resin cement with silane agent (p = 0.983), and without silane agent (p
= 0.877). CONCLUSION: Silanization appears to be crucial for resin bonding to a
lithium disilicate-based ceramic, regardless of the resin cement used. The self
adhesive resin cement performed as well as the conventional resin cement.
CLINICAL SIGNIFICANCE: Applying one layer of a silane-coupling agent after
etching the ceramic surface with hydrofluoric acid 10% enhanced the bond strength
between resin cements and a glass ceramic.
PMID- 27207006
TI - Assessment of a Synthetic Type IV Cast and a Resin Polyol Used in the Fabrication
of Dental Models.
AB - OBJECTIVE: To evaluate the dimensional changes, reproduction of details, and
surface roughness of a type IV cast and a resin used to fabricate dental models.
MATERIALS AND METHODS: Two commercial brands of materials were evaluated: a type
IV synthetic cast (Fuji Rock) and a polyol resin (Novox). Twenty samples were
obtained from polyvinyl siloxane molds that reproduced the surface of a metal
master model standardized according to the American National Standards
Institute/American Dental Association specification no. 19. The materials were
used according to the manufacturer's instructions and divided into two groups (n
= 10). Each mold was photographed immediately after molding and 1 hour after
molding. The obtained models were also photographed and measurements were
obtained by using Image J software. The paired t-test was used to compare the
molding materials and one-way analysis of variance (ANOVA) was used to compare
the dimensional changes between the groups at a significance level of 5%.
RESULTS: Statistically significant differences were observed between the models
fabricated with the polyol resin and type IV synthetic cast with regard to
reproduction of surface details, surface roughness, and dimensional stability (p
< 0.05), with the resin providing superior surface detail reproduction and
greater dimensional accuracy. CONCLUSION: The polyol resin exhibited superior
behavior regarding detail reproduction, surface roughness, and dimensional change
compared with the type IV synthetic cast.
PMID- 27207005
TI - Effect of Different Surface Treatments and Thermocycling on Bond Strength of a
Silicone-based Denture Liner to a Denture Base Resin.
AB - AIM: The aim of this study is to evaluate the effects of three different surface
treatments and thermocycling on the tensile strength of a silicone lining
material to denture resin. MATERIALS AND METHODS: A total of 96 cube-shaped
specimens were fabricated using heat-cured polymethyl methacrylate (PMMA) denture
base resin. Three millimeters of the material was cut from the midsection. The
specimens were divided into four groups. The bonding surfaces of the specimens in
each group received one of the following surface treatments: no surface treatment
(control group), airborne particle abrasion with 110 pirn alumina particles (air
abrasion group), Er:yttrium aluminum garnet laser irradiation (laser group), and
air abrasion + laser. After the lining materials were processed between the two
PMMA blocks, each group was divided into two subgroups (n = 12), either stored in
distilled water at 37 degrees C for 24 hours or thermocycled between 5 and 55
degrees C for 5,000 cycles. The specimens were tested in tensile and shear
strength in a universal testing machine. Data were analyzed with two-way analysis
of variance and Tamhane's post hoc tests (alpha = 0.05). The mode of failure was
determined, and one specimen in each group was examined by scanning electron
microscopy. RESULTS: Surface-treated groups demonstrated significantly higher
tensile strengths compared to the control group (p < 0.001). Nonetheless, no
significant differences were found between surface-treated groups (p > 0.05). The
tensile strength was significantly different between thermocycled and water
stored specimens (p = 0.021). CONCLUSION: Altering the surface of the acrylic
denture base resin with air abrasion, laser, and air abrasion + laser increased
the tensile strength. Thermocycling resulted in decrease in bond strength of
silicone-based liner to surface-treated acrylic resin. CLINICAL SIGNIFICANCE:
Pretreatment of denture base resins before applying the soft liner materials
improves the bond strength. However, thermocycling results in decrease in bond
strength of soft denture liner to surface-treated acrylic resin.
PMID- 27207007
TI - Marginal Accuracy of Castings Fabricated with Ringless Casting Investment System
and Metal Ring Casting Investment System: A Comparative Study.
AB - BACKGROUND: The thermal expansion of the investment can be restricted by the
metal casting ring because the thermal expansion of the ring is less than that of
the investment. The ringless casting procedure is in use in clinical dentistry,
though there is little scientific data to support its use in fixed partial
dentures. In this study, marginal discrepancy of castings produced with the
ringless casting technique and the conventional technique using the metal rings
were compared. MATERIALS AND METHODS: A total of 30 wax patterns were fabricated
directly on a metal die. Optical stereomicroscope was used to measure the
marginal discrepancy between the metal die and wax patterns. A total of 15
castings were invested using Bellavest T phosphate-bonded investment with the
ringless technique and 15 were invested with the same investment with a metal
ring; 30 castings were produced using a nickel-chromium ceramo-metal alloy. The
internal surface of the castings was not modified and seated with finger
pressure. The vertical marginal discrepancy was measured using an optical
stereomicroscope at a magnification of 100x. The data obtained were statistically
analyzed using students t-test (paired t-test and unpaired t-test). RESULTS: The
castings of the ringless technique provided less vertical marginal discrepancy
(240.56 +/- 45.81 MU) than the castings produced with the conventional metal ring
technique (281.98+/- 53.05 MU). The difference was statistically significant.
CONCLUSION: The ringless casting technique had produced better marginal accuracy
compared with conventional casting technique. Ringless casting system can be used
routinely for clinical purpose.
PMID- 27207008
TI - Burning Mouth Syndrome: A Review of the Etiopathologic Factors and Management.
AB - Burning mouth syndrome (BMS) is characterized by pain in the mouth with or with
no inflammatory signs and no specific lesions. Synonyms found in literature
include glossodynia, oral dysesthesia, glossopyrosis, glossalgia, stomatopyrosis,
and stomatodynia. Burning mouth syndrome generally presents as a triad: Mouth
pain, alteration in taste, and altered salivation, in the absence of visible
mucosal lesions in the mouth. The syndrome generally manifests spontaneously, and
the discomfort is typically of a continuous nature but increases in intensity
during evening and at night. The etiopathogenesis seems to be complex and in a
large number of patients probably involves interactions among local, systemic,
and/or psychogenic factors. The differential diagnosis requires the exclusion of
oral mucosal lesions or blood test alterations that can produce burning mouth
sensation. Management is always based on the etiological agents involved. If
burning persists after local or systemic conditions are treated, then treatment
is aimed at controlling neuropathic symptoms. Treatment of BMS is still
unsatisfactory, and there is no definitive cure. As a result, a multidisciplinary
approach is required to bring the condition under better control. The aim of this
review was to discuss several aspects of BMS, update current knowledge, and
provide guidelines for patient management.
PMID- 27207009
TI - Economic value of narrow band imaging versus white light endoscopy for the
characterization of diminutive polyps in the colon: systematic literature review
and cost-consequence model.
AB - AIMS: To demonstrate the economic implication of adopting narrow-band imaging
(NBI) for the characterization of diminutive polyps in the colon from an English
payer perspective. MATERIALS AND METHODS: A decision-tree model was undertaken to
perform a cost-consequence and budget impact analysis from the NHS England
perspective in the UK, over a 7-year time horizon. Clinical inputs came from the
published literature (both randomized controlled trials and meta-analyses)
identified through a systematic literature review, and cost inputs came from
national list prices and unpublished internal market data. Deterministic
sensitivity analysis (DSA) was conducted on the budget impact results to assess
their robustness. RESULTS: Optical diagnosis with NBI offered cost savings vs
white light endoscopy (WLE) over 7 years due to reductions in histological exams,
resections, and associated adverse events, while having minimal impact on health
outcomes. Budget impact analysis demonstrated annual cost savings of L141 192 057
over 7 years, with histological exams being the biggest cost driver. DSA showed
these results to be robust, but most sensitive to the cost of tariff with and
without biopsy, and the cost of histological exam. Break-even analysis to explore
how changing the unit cost and number of biopsies per patient would change the
budget impact found NBI consistently offered net savings, even if the cost of
biopsy was L0. LIMITATIONS: Although every effort was made to ensure robustness
of results, as with any model, there were some limitations including a lack of
published data for certain clinical inputs and potential variation between model
inputs and real-life cost and market share values. CONCLUSIONS: Optical diagnosis
with NBI was found to be equally effective compared with the standard of care
(WLE), while potentially enabling cost savings from the NHS England perspective.
PMID- 27207010
TI - Soybean bio-refinery platform: enzymatic process for production of soy protein
concentrate, soy protein isolate and fermentable sugar syrup.
AB - Soybean carbohydrate is often found to limit the use of protein in soy flour as
food and animal feed due to its indigestibility to monogastric animal. In the
current study, an enzymatic process was developed to produce not only soy protein
concentrate and soy protein isolate without indigestible carbohydrate but also
soluble reducing sugar as potential fermentation feedstock. For increasing
protein content in the product and maximizing protein recovery, the process was
optimized to include the following steps: hydrolysis of soy flour using an
Aspergillus niger enzyme system; separation of the solid and liquid by
centrifugation (10 min at 7500*g); an optional step of washing to remove
entrapped hydrolysate from the protein-rich wet solid stream by ethanol (at an
ethanol-to-wet-solid ratio (v/w) of 10, resulting in a liquid phase of
approximately 60 % ethanol); and a final precipitation of residual protein from
the sugar-rich liquid stream by heat treatment (30 min at 95 degrees C).
Starting from 100 g soy flour, this process would produce approximately 54 g soy
protein concentrate with 70 % protein (or, including the optional solid wash, 43
g with 80 % protein), 9 g soy protein isolate with 89 % protein, and 280 ml syrup
of 60 g/l reducing sugar. The amino acid composition of the soy protein
concentrate produced was comparable to that of the starting soy flour. Enzymes
produced by three fungal species, A. niger, Trichoderma reesei, and Aspergillus
aculeatus, were also evaluated for effectiveness to use in this process.
PMID- 27207011
TI - Clinical-scale expansion of mesenchymal stromal cells: a large banking
experience.
AB - BACKGROUND: Mesenchymal stromal cells (MSC) are largely investigated in clinical
trials aiming to control inappropriate immune reactions (GVHD, Crohn's disease,
solid organ transplantation). As the percentage of MSC precursors in bone marrow
is very low, these must be expanded in vitro to obtain therapeutic cell doses. We
describe here the constitution of an allogeneic human third-party MSC bank from
screened healthy volunteer donors in compliance with quality specifications and
ISCT-release criteria and report follow-up of different aspects of this activity
since 2007. METHODS: 68 clinical-grade large-scale MSC cultures were completed
and analyzed. The whole process was described, including volunteer donor
screening, bone marrow collection, mononuclear cell isolation and expansion over
4 weeks, harvesting, cryopreservation, release, administration and quality
controls of the cells (including microbiology, phenotype, and potency assays).
RESULTS: From 59 validated donors, 68 cultures were completed (mean of final
yields: 886 * 10(6) cells/culture) and a total of 464 MSC aliquots have been
produced and stored in liquid nitrogen (mean of 132.8 * 10(6) cells/bag). Each
MSC batch underwent extensive testing to verify its conformity with EBMT and ISCT
release criteria and was individually validated. As of June 1 2015, 314 bags have
been released and infused to patients included in 6 different clinical protocols.
All thawed MSC units satisfied to release criteria and no infusion-related
toxicity was reported. CONCLUSION: In conclusion, despite low passage cultures,
we have been able to create an allogeneic "off-the-shelf" MSC bank with a large
number of frozen aliquots and report here an efficient clinical-grade MSC banking
activity in place for more than 7 years. Our challenge now is to produce MSC in
compliance with good manufacturing practices (GMP) as, in the meantime, MSC have
become considered as advanced therapy medicinal products (ATMP). Another
significant challenge remains the development of relevant potency assay.
PMID- 27207012
TI - Evaluation of the optimal provision of formalin-fixed, paraffin-embedded material
for reverse transcription-PCR in soft-tissue tumour diagnosis.
AB - AIMS: Molecular genetic analysis is now a routine ancillary diagnostic modality
to the histopathological diagnosis of soft-tissue neoplasms, many of which
harbour characteristic gene fusions detectable by reverse transcription-PCR (RT
PCR). As the final diagnosis often depends on the molecular result, it is
important to obtain the optimal yield of patient RNA. METHODS: We assessed the
most reliable method of providing formalin-fixed, paraffin-embedded material for
optimal RNA yield by comparing three consecutive periods in which different
preparations (5*10 MUm scrolls, 5*5 MUm sections and 1*10 MUm sections) were used
for RNA extraction for RT-PCR, with its technical success rate. RESULTS: For
'2011', '2012' and '2013', RT-PCR technical failure rates were 13.4%, 4.4% and
7.9%, respectively. The percentage of failed referral cases was 71.4%, 85.7% and
31.3%, and the proportion of core biopsy to excision specimens was 3:15, 2:5 and
13:3. CONCLUSIONS: This study shows that the effectiveness of RNA extraction and
purification is dependent on both specimen type and the tissue sectioning
strategy. The failure rate has improved over recent years, particularly for large
specimens as large numbers of thick 10 MUm scrolls can saturate RNA extraction
columns. In contrast, recent technical fails are more frequent in core biopsies,
where 1*10 MUm sections are insufficient for adequate RNA extraction. While
previous technical fails occurred mostly in referred cases, this appears no
longer the case due to the better fixation and processing of specimens in
external surgical pathology departments because of the widespread recognition of
the importance of molecular diagnostics as an important part of the patient
pathway.
PMID- 27207013
TI - Whole-genome profiling helps to classify phyllodes tumours of the breast.
AB - AIMS: The aim of this study was to analyse a series of borderline and malignant
phyllodes tumours (PTs) of the breast by whole-genome profiling to identify
genomic markers that could help to recognise potentially malignant tumours within
borderline tumours. METHODS: We evaluated the genetic imbalances of a series of
53 PTs (30 borderline, 23 malignant) using the Human CNV370 BeadChip microarray
(Illumina), containing 370 000 SNP markers and correlate this alterations with
clinicopathological features. RESULTS: Forty-five PTs (85%) showed chromosome
copy number variations (CNVs). Twenty PTs (37%) showed five or more chromosomal
imbalances (8/30 borderline (27%) and 12/23 malignant (52%)). The large-scale
genetic changes associated with malignant were+7p (9/23), +1q (8/23), -10p
(8/23), -13q14 (7/23), +8q (6/23) and +10q (6/23) and borderline were+1q (13/30),
-13q14 (9/30), -6q (8/30) and -10p (8/30). Losses in 9p21.3, encompassing
CDKN2A/B gene, were present in three tumours (malignant), whereas deletions of
13q, with a minimal region in 13q14.2 encompassing the RB1 gene, were found in
9/30 borderline and 7/28 malignant tumours. High-level amplifications were seen
in eight tumours (seven malignant and one borderline): in 7p in three tumours
(including EGFR in two), 7q31.2 (including TFEC and MET), 8q24.21 (including MYC)
and 8q23.3 (including CSMD3) in one tumour each. CONCLUSIONS: Whole-genome
profiling by SNP arrays in PTs leads to identify a high number of CNV, gains of
7p and 8q, losses of 13q and 10, losses in 9p21.3 (CDKN2A/B) and the presence of
amplifications, especially involving EGFR, as markers of potentially malignant
tumours.
PMID- 27207016
TI - A noninvasive model to predict liver histology in HBeAg-positive chronic
hepatitis B with alanine aminotransferase <= 2upper limit of normal.
AB - BACKGROUND AND AIM: Liver biopsy remains the gold standard to evaluate liver
histology. However, it has several limitations. This study aims to construct a
noninvasive model to predict liver histology for commencing antiviral therapy in
HBeAg-positive chronic hepatitis B (CHB) with aminotransferase (ALT) <= 2 upper
limit of normal (ULN). METHODS: Two hundred and ninety-eight patients with HBeAg
positive CHB, ALT <= 2ULN and HBV-DNA >=20 000 IU/ml were enrolled and randomly
divided into a training group and a validation group. A noninvasive model was
constructed in the training group to predict significant liver histological
change [necroinflammatory activity grade (G) >= 2 or fibrosis stage (S) >= 2] and
then validated in the validation group. RESULTS: Aspartate aminotransferase,
HBsAg, platelet, and albumin were identified as independent predictors. A model
was constructed by them. It had an area under the receiver operating
characteristic curve of 0.875 in the training group, 0.858 in the validation
group and 0.868 in the entire cohort. Using a cut-off point of -0.96, it showed
93% sensitivity, 90% negative predictive value (NPV) in the training group and
95% sensitivity, 94% NPV in the validation group. Using a cut-off point of 0.96,
it showed 95% specificity, 91% positive predictive value (PPV) in the training
group and 89% specificity, 80% PPV in the validation group. CONCLUSIONS: This
study constructed a noninvasive model to predict liver histology in HBeAg
positive CHB with ALT <= 2ULN, which might reduce the clinical need for liver
biopsy.
PMID- 27207017
TI - Spheroid-Formation (Colonosphere) Assay for in Vitro Assessment and Expansion of
Stem Cells in Colon Cancer.
AB - Colorectal cancers (CRCs) form a disorganized hierarchy of heterogeneous cell
populations on which current chemotherapy regimens fail to exert their
distinctive cytotoxicity. A small sub-population of poorly differentiated cancer
stem-like cells (CSCs), also known as cancer initiating cells, may exhibit
embryonic and/or adult stem-cell gene expression signatures. Self-renewal and
survival signals are also dominant over differentiation in CSCs. However,
inducers of differentiation exclusive to CSC may affect cellular pathways
required for the formation and progression of a tumor, which are not utilized in
normal adult stem-cells. Nevertheless, assays for targeting CSCs have been
hindered by expanding and maintaining rare CSCs in vitro. However, CRC-CSCs are
able to form floating spheroids (known as colonospheres) 3-dimentinionally (3D)
in a serum-free defined medium. Therefore, great efforts have been paid to
improve colonosphere forming assay as a preclinical model to study tumor biology
and to conduct drug screening in cancer research. The 3D-colonosphere culture
model may also represent in vivo conditions for the spontaneous aggregation of
cancer cells in spheroids. This protocol describes the development of an
enrichment/culture assay using CRC-CSCs to facilitate colorectal cancer research
through immunofluorescence staining of colonospheres. We have developed
colonospheres from HCT116 CRC cell line to compare and link CRC-CSC markers to
the NANOG expression level using an immunofluorescence assay. Our data also show
that the immunostaining assay of colonosphere is a useful method to explore the
role and dynamics of CRC-CSCs division between self-renewal and cell lineage
differentiation of cancer cells. In principle, this method is applicable to a
variety of primary cells and cell lines of epithelial origin. Furthermore, this
protocol may also allow screening of libraries of compounds to identify bona fide
CRC-CSC differentiation inducers.
PMID- 27207019
TI - Non-Invasive Evaluation of Liver Fibrosis: 2-D Shear Wave Elastography, Transient
Elastography or Acoustic Radiation Force Impulse Imaging?
PMID- 27207018
TI - Effect of Thrombus Composition and Viscosity on Sonoreperfusion Efficacy in a
Model of Micro-Vascular Obstruction.
AB - Distal embolization of micro-thrombi during stenting for myocardial infarction
causes micro-vascular obstruction (MVO). We have previously shown that
sonoreperfusion (SRP), a microbubble (MB)-mediated ultrasound (US) therapy,
resolves MVO from venous micro-thrombi in vitro in saline. However, blood is more
viscous than saline, and arterial thrombi that embolize during stenting are
mechanically distinct from venous clot. Therefore, we tested the hypothesis that
MVO created with arterial micro-thrombi are more resistant to SRP therapy
compared with venous micro-thrombi, and higher viscosity further increases the US
requirement for effective SRP in an in vitro model of MVO. Lipid MBs suspended in
plasma with adjusted viscosity (1.1 cP or 4.0 cP) were passed through tubing
bearing a mesh with 40-MUm pores to simulate a micro-vascular cross-section;
upstream pressure reflected thrombus burden. To simulate MVO, the mesh was
occluded with either arterial or venous micro-thrombi to increase upstream
pressure to 40 mmHg +/- 5 mmHg. Therapeutic long-tone-burst US was delivered to
the occluded area for 20 min. MB activity was recorded with a passive cavitation
detector. MVO caused by arterial micro-thrombi at either blood or plasma
viscosity resulted in less effective SRP therapy compared to venous thrombi.
Higher viscosity further reduced the effectiveness of SRP therapy. The passive
cavitation detector showed a decrease in inertial cavitation when viscosity was
increased, while stable cavitation was affected in a more complex manner.
Overall, these data suggest that arterial thrombi may require higher acoustic
pressure US than venous thrombi to achieve similar SRP efficacy; increased
viscosity decreases SRP efficacy; and both inertial and stable cavitation are
implicated in observed SRP efficacy.
PMID- 27207020
TI - Sonoelastographic Modalities in the Evaluation of Salivary Gland Characteristics
in Sjogren's Syndrome.
AB - The purpose of this study was to investigate salivary tissue assessment with
various sonoelastographic modalities (real-time tissue elastography, Virtual
Touch imaging and quantification) in patients with Sjogren's syndrome as compared
with an appropriate control group. The sonoelastographic modalities were
evaluated in 50 patients with primary Sjogren's syndrome (pSS). Patients
underwent high-resolution ultrasonography of the submandibular and parotid
glands. Results of B-mode, real-time tissue elastography, Virtual Touch imaging
each graded with the appropriate scoring system-and Virtual Touch quantification
were compared with those for 50 patients with sicca symptoms who did not fulfill
the American-European consensus group criteria. In B-mode, 34 of 50 parotid
glands in patients with pSS and 8 of 50 in the control group had abnormal
findings (p < 0.001). Compared with 9 of 50 control patients, 38 of 50 patients
with pSS had abnormal findings in submandibular gland B-mode (p < 0.001). With
real-time tissue elastography, there was a trend toward higher scores for parotid
glands in the pSS group (p = 0.238), whereas scores for submandibular glands in
the control group were higher (p = 0.107). Virtual Touch imaging did not indicate
any difference (p = 0.647 and p = 0.658). In Virtual Touch quantification, values
for parotid (mean: 2.99 m/s) and submandibular glands (mean: 2.54 m/s) in the pSS
group were higher than those for parotid (mean: 2.16 m/s) and submandibular
(mean: 2.04 m/s) glands in the control group (p < 0.001 and p = 0.008). Glandular
stiffness, measured by Virtual Touch quantification, was significantly higher in
patients with Sjogrens syndrome than in patients with sicca symptoms.
PMID- 27207021
TI - Updated Clinical Practice Guidelines on Heart Failure: An International
Alignment.
PMID- 27207022
TI - Aliphatic and polycyclic aromatic hydrocarbons risk assessment in coastal water
and sediments of Khark Island, SW Iran.
AB - The potential sources and ecotoxicological risks of 26 aliphatic hydrocarbons
(AHs), 16 polycyclic aromatic hydrocarbons (PAHs) and total petroleum
hydrocarbons (TPHs) were investigated in coastal water and sediments of Khark
Island, SW Iran. The major sources of the contamination were petroleum and
petroleum combustion based on the PCA analysis and diagnostic indices of AHs and
PAHs, and also ring classification of PAHs. The ecological risk of both
individual and multiple PAHs was quite low in sediments compared with screening
benchmarks. Likewise, the low concentration of TEQ, MEQ and TEQ(carc) of
sediments suggest low toxicity of PAHs in the study area. However, environmental
control is recommended to reduce the pollution burden of PAHs in seawater. The
human health risk assessment for PAHs through dermal adsorption indicated that
the possibility of negative adverse effects of PAHs in sediments is rare but
swimming in the vicinity of industrial facilities should be done cautiously.
PMID- 27207023
TI - Risk management model of winter navigation operations.
AB - The wintertime maritime traffic operations in the Gulf of Finland are managed
through the Finnish-Swedish Winter Navigation System. This establishes the
requirements and limitations for the vessels navigating when ice covers this
area. During winter navigation in the Gulf of Finland, the largest risk stems
from accidental ship collisions which may also trigger oil spills. In this
article, a model for managing the risk of winter navigation operations is
presented. The model analyses the probability of oil spills derived from
collisions involving oil tanker vessels and other vessel types. The model
structure is based on the steps provided in the Formal Safety Assessment (FSA) by
the International Maritime Organization (IMO) and adapted into a Bayesian Network
model. The results indicate that ship independent navigation and convoys are the
operations with higher probability of oil spills. Minor spills are most probable,
while major oil spills found very unlikely but possible.
PMID- 27207024
TI - Evaluation of anthropogenic contamination using sterol markers in a tropical
estuarine system of northeast Brazil.
AB - The Sao Francisco River estuarine system, located in the Northeast coast of
Brazil, has great economic, tourist and social importance. Its waters are used
for activities such as agriculture, aquaculture, navigation and fishery, which
supplies the surrounding communities. In this study, sterols markers were
determined in twenty-eight sediment samples from Sao Francisco River estuary by
gas chromatography - mass spectrometry (GC-MS). Sterol analysis was useful to
distinguish between anthropogenic and biogenic organic matter (OM) sources in the
studied area. Six sterols were quantified, suggesting different sources.
Concentrations of fecal sterol (coprostanol) were lower than 500ngg(-1),
suggesting no indicative of severe sewage contamination.However, two stations
showed concentrations around 100ngg(-1) and the values for the
coprostanol/(coprostanol+cholestanol) and coprostanol/cholesterol ratios
indicates sewage contamination. The results in this study may be considered as
baseline concentrations to be used as future reference for monitoring programs to
prevent anthropogenic impacts.
PMID- 27207025
TI - Effects of short-term hypoxia and seawater acidification on hemocyte responses of
the mussel Mytilus coruscus.
AB - Hypoxia often intensifies with rising dissolved CO2, but the concurrent effects
of hypoxia and acidification on bivalves are largely unknown. In this study,
immune responses of hemocytes in the mussel Mytilus coruscus were examined under
six combinations of pH (7.3, 7.7 and 8.1) and dissolved oxygen (DO)
concentrations (2mgL(-1), 6mgL(-1)) for 72h. Generally, total hemocyte account,
phagocytosis, esterase and lysosomal content were reduced under low DO and pH
conditions, whereas hemocyte mortality and reactive oxygen species production
increased under low DO and pH. Both hypoxia and low pH have negative effects on
mussels, but the effects of pH are not as strong as DO. Moreover, significant
interactions between DO and pH occurred. However, acidification generally doesn't
aggravate the effects induced by hypoxia. Acidification and hypoxia may increase
disease risk and impact the aquaculture of this species.
PMID- 27207026
TI - Contaminant (PAHs, OCs, PCBs and trace metals) concentrations are declining in
axial tissue of sand flathead (Platycephalus bassensis) collected from an
urbanised catchment (Port Phillip Bay, Australia).
AB - Concentrations of PAHs, OCs, PCBs and trace metals were determined in the white
muscle of sand flathead Platycephalus bassensis collected at 6 locations in Port
Phillip Bay during 2015. No PAHs, OCs or PCBs were detected in the white muscle
of sand flathead at any of the locations, however measurable levels of As, Cu,
Hg, Se and Zn were detected at all sites. Only As and Hg exhibited regional
difference in white muscle concentrations, with As present only in a non-toxic
organic form and Hg measured at levels that are comparable to levels reported in
reference sites in other studies. All contaminants detected in the white muscle
of sand flathead collected in Port Phillip Bay in 2015 were below Australian Food
Standards guideline values, and by world standards, the Port Phillip Bay sand
flathead population is considered minimally contaminated. Furthermore, tissue
contaminant concentrations appear to be decreasing over time.
PMID- 27207027
TI - Assessment of the environmental quality of coastal sediments by using a
combination of in vitro bioassays.
AB - The environmental quality of marine sediments collected in the area of influence
of the Po and Danube Rivers was assessed by using a battery of bioassays based on
the use of PLHC-1 cells, zebrafish-Pxr-transfected COS-7 cells, and sea bass
ovarian subcellular fractions. This allowed the determination of multiple
endpoints, namely, cytotoxicity, oxidative stress, induction of CYP1A, activation
of zebrafish Pxr and inhibition of ovarian aromatase. Organic extracts of
sediments influenced by the Danube River and collected near harbors and urban
discharges showed significant cytotoxicity, CYP1A induction and inhibition of
aromatase activity. An analogous response of CYP1A induction and zfPxr activation
was observed, which suggests the existence of common ligands of AhR and PXR in
the sediment extracts. The study highlights the usefulness of the selected
bioassays to identify those sediments that could pose a risk to aquatic organisms
and that require further action in order to improve their environmental quality.
PMID- 27207028
TI - Safety and efficacy of the NuvaRing(r) Applicator in healthy females: a
multicenter, open-label, randomized, 2-period crossover study.
AB - INTRODUCTION: We assessed performance and safety of the NuvaRing(r) Applicator.
METHODS: We randomized women (18-45 years) to insert a placebo ring using the
applicator or fingers-only and then vice versa. We assessed outcomes post
insertion and then 24-72 h later. RESULTS: Insertion was 100% successful using
both methods (applicator, n=163; fingers-only, n=162). A total of 8.6%
(applicator) and 4.3% (fingers-only) of subjects reported at least 1 treatment
related adverse event (AE); all were mild. Subjects reported 5 applicator-related
AEs (vulvovaginal pain, 4; abdominal cramping, 1). There was no vaginal bleeding
within 15 h post-applicator use. Ring expulsions were rare (applicator, 1;
fingers-only, 2). CONCLUSION: NuvaRing Applicator is effective and well-tolerated
(NCT02275546).
PMID- 27207030
TI - In Reply to 'Sodium Phosphate Enema, Colonoscopy, and Kidney Injury: An Unproven
Association'.
PMID- 27207031
TI - Sodium Phosphate Enema, Colonoscopy, and Kidney Injury: An Unproven Association.
PMID- 27207032
TI - Adsorption of tranexamic acid on hydroxyapatite: Toward the development of
biomaterials with local hemostatic activity.
AB - This work proposes to combine tranexamic acid (TAX), a clinically used
antifibrinolytic agent, and hydroxyapatite (HA), widely used in bone replacement,
to produce a novel bioactive apatitic biomaterial with intrinsic hemostatic
properties. The aim of this study was to investigate adsorptive behavior of the
TAX molecule onto HA and to point out its release in near physiological
conditions. No other phase was observed by X-ray diffraction or transmission
electron microscopy, and no apparent change in crystal size was detected. The
presence of TAX on the powders was lightly detected on Raman spectra after
adsorption. The adsorption data could be fitted with a Langmuir-Freundlich
equation, suggesting a strong interaction between adsorbed molecules and the
formation of multilayers. The concentration of calcium and phosphate ions in
solution remained low and stable during the adsorption process, thus ion exchange
during the adsorption process could be ruled out. The release of TAX was fast
during the first hours and was governed by a complex process that likely involved
both diffusion and dissolution of HA. Preliminary aPTT (activated partial
thromboplastin time) hemostasis tests offered promising results for the
development of osteoconductive apatitic biomaterials with intrinsic hemostatic
properties, whether for dental or orthopedic applications.
PMID- 27207029
TI - Squid Giant Axon Contains Neurofilament Protein mRNA but does not Synthesize
Neurofilament Proteins.
AB - When isolated squid giant axons are incubated in radioactive amino acids,
abundant newly synthesized proteins are found in the axoplasm. These proteins are
translated in the adaxonal Schwann cells and subsequently transferred into the
giant axon. The question as to whether any de novo protein synthesis occurs in
the giant axon itself is difficult to resolve because the small contribution of
the proteins possibly synthesized intra-axonally is not easily distinguished from
the large amounts of the proteins being supplied from the Schwann cells. In this
paper, we reexamine this issue by studying the synthesis of endogenous
neurofilament (NF) proteins in the axon. Our laboratory previously showed that NF
mRNA and protein are present in the squid giant axon, but not in the surrounding
adaxonal glia. Therefore, if the isolated squid axon could be shown to contain
newly synthesized NF protein de novo, it could not arise from the adaxonal glia.
The results of experiments in this paper show that abundant 3H-labeled NF protein
is synthesized in the squid giant fiber lobe containing the giant axon's neuronal
cell bodies, but despite the presence of NF mRNA in the giant axon no labeled NF
protein is detected in the giant axon. This lends support to the glia-axon
protein transfer hypothesis which posits that the squid giant axon obtains newly
synthesized protein by Schwann cell transfer and not through intra-axonal protein
synthesis, and further suggests that the NF mRNA in the axon is in a
translationally repressed state.
PMID- 27207033
TI - Direct laser writing of nanorough cell microbarriers on anatase/Si and
graphite/Si.
AB - The formation of hierarchical structures consisting of microstripe barriers
decorated with nanorough ablated materials prepared by direct laser writing is
described. Linear features of circa 25MUm width and 12MUm height are achieved on
amorphous and crystalline titania and graphitic carbon films deposited on
silicon. Ablated protrusions build up barriers decorated by nanoscale Si-film
reconstructions, as indicated by EDX maps and micro-Raman spectroscopy.
Wettability tests show a dramatic change in water contact angle, which leads to
almost full wetting after irradiation, irrespective of the original film
composition. Fluorescence microscopy images of human mesenchymal stem cells
cultured on 1D and 2D structures demonstrate the short term biocompatibility of
the ablated surfaces. It is shown that cells adhere, extend and polarize on
feature edges, independently of the type of surface, thus suggesting that the
created nanoroughness is at the origin of the antifouling behavior. In
particular, irradiated anatase and graphite surfaces demonstrate an increased
performance of crystalline films for the creation of cell guiding and trapping
devices. The results suggest that such laser processing of films may serve as a
time-and-cost-efficient method for the design of few-cells analytical surfaces.
PMID- 27207034
TI - Cyclic voltammetry deposition of copper nanostructure on MWCNTs modified pencil
graphite electrode: An ultra-sensitive hydrazine sensor.
AB - In this study, Copper (Cu) nanostructures (CuNS) were electrochemically deposited
on a film of multiwall carbon nanotubes (MWCNTs) modified pencil graphite
electrode (MWCNTs/PGE) by cyclic voltammetry method to fabricate a CuNS-MWCNTs
composite sensor (CuNS-MWCNT/PGE) for hydrazine detection. Scanning electron
microscopy (SEM) and Energy-dispersive X-ray spectroscopy (EDX) were used for the
characterization of CuNS on the MWCNTs matrix. The composite of CuNS-MWCNTs was
characterized with cyclic voltammetry (CV) and electrochemical impedance
spectroscopy (EIS). The preliminary studies showed that the proposed sensor have
a synergistic electrocatalytic activity for the oxidation of hydrazine in
phosphate buffer. The catalytic currents of square wave voltammetry had a linear
correlation with the hydrazine concentration in the range of 0.1 to 800MUM with a
low detection limit of 70nM. Moreover, the amperometric oxidation current
exhibited a linear correlation with hydrazine concentration in the concentration
range of 50-800MUM with the detection limit of 4.3MUM. The proposed electrode was
used for the determination of hydrazine in real samples and the results were
promising. Empirical results also indicated that the sensor had good
reproducibility, long-term stability, and the response of the sensor to hydrazine
was free from interferences. Moreover, the proposed sensor benefits from simple
preparation, low cost, outstanding sensitivity, selectivity, and reproducibility
for hydrazine determination.
PMID- 27207035
TI - Incorporation of mesoporous silica nanoparticles into random electrospun PLGA and
PLGA/gelatin nanofibrous scaffolds enhances mechanical and cell proliferation
properties.
AB - Poly(lactic-co-glycolic acid) (PLGA) and PLGA/gelatin random nanofibrous
scaffolds embedded with different amounts of mesoporous silica nanoparticles
(MSNPs) were fabricated using electrospinning method. To evaluate the effects of
nanoparticles on the scaffolds, physical, chemical, and mechanical properties as
well as in vitro degradation behavior of scaffolds were investigated. The mean
diameters of nanofibers were 974+/-68nm for the pure PLGA scaffolds vs 832+/-70,
764+/-80, and 486+/-64 for the PLGA/gelatin, PLGA/10wt% MSNPs, and the
PLGA/gelatin/10wt% MSNPs scaffolds, respectively. The results suggested that the
incorporation of gelatin and MSNPs into PLGA-based scaffolds enhances the
hydrophilicity of scaffolds due to an increase of hydrophilic functional groups
on the surface of nanofibers. With porosity examination, it was concluded that
the incorporation of MSNPs and gelatin decrease the porosity of scaffolds.
Nanoparticles also improved the tensile mechanical properties of scaffolds. Using
in vitro degradation analysis, it was shown that the addition of nanoparticles to
the nanofibers matrix increases the weight loss percentage of PLGA-based samples,
whereas it decreases the weight loss percentage in the PLGA/gelatin composites.
Cultivation of rat pheochromocytoma cell line (PC12), as precursor cells of
dopaminergic neural cells, on the scaffolds demonstrated that the introduction of
MSNPs into PLGA and PLGA/gelatin matrix leads to improved cell attachment and
proliferation and enhances cellular processes.
PMID- 27207036
TI - Photoresponsive surface molecularly imprinted polymer on ZnO nanorods for uric
acid detection in physiological fluids.
AB - A photoresponsive surface molecularly imprinted polymer for uric acid in
physiological fluids was fabricated through a facile and effective method using
bio-safe and biocompatible ZnO nanorods as a support. The strategy was carried
out by introducing double bonds on the surface of the ZnO nanorods with 3
methacryloxypropyltrimethoxysilane. The surface molecularly imprinted polymer on
ZnO nanorods was then prepared by surface polymerization using uric acid as
template, water-soluble 5-[(4-(methacryloyloxy)phenyl)diazenyl]isophthalic acid
as functional monomer, and triethanolamine trimethacryl ester as cross-linker.
The surface molecularly imprinted polymer on ZnO nanorods showed good
photoresponsive properties, high recognition ability, and fast binding kinetics
toward uric acid, with a dissociation constant of 3.22*10(-5)M in aqueous NaH2PO4
buffer at pH=7.0 and a maximal adsorption capacity of 1.45MUmolg(-1). Upon
alternate irradiation at 365 and 440nm, the surface molecularly imprinted polymer
on ZnO nanorods can quantitatively uptake and release uric acid.
PMID- 27207037
TI - Evaluation of in-vitro cytotoxicity and cellular uptake efficiency of zidovudine
loaded solid lipid nanoparticles modified with Aloe Vera in glioma cells.
AB - Zidovudine loaded solid lipid nanoparticles of stearic acid modified with Aloe
Vera (AV) have been prepared via simple emulsion solvent evaporation method which
showed excellent stability at room temperature and refrigerated condition. The
nanoparticles were examined by Fourier transform infrared spectroscopy (FT-IR),
which revealed the overlap of the AV absorption peak with the absorption peak of
modified stearic acid nanoparticles. The inclusion of AV to stearic acid
decreased the crystallinity and improved the hydrophilicity of lipid
nanoparticles and thereby improved the drug loading efficacy of lipid
nanoparticles. Dynamic light scattering (DLS) and transmission electron
microscopy (TEM) imaging revealed that, the average particle size of unmodified
(bare) nanoparticles was 45.66+/-12.22nm and modified solid lipid nanoparticles
showed an average size of 265.61+/-80.44nm. Solid lipid nanoparticles with well
defined morphology were tested in vitro for their possible application in drug
delivery. Cell culture studies using C6 glioma cells on the nanoparticles showed
enhanced growth and proliferation of cells without exhibiting any toxicity. In
addition, normal cell morphology and improved uptake were observed by
fluorescence microscopy images of rhodamine labeled modified solid lipid
nanoparticles compared with unmodified nanoparticles. The cellular uptake study
suggested that these nanoparticles could be a promising drug delivery system to
enhance the uptake of antiviral drug by brain cells and it could be a suitable
drug carrier system for the treatment of HIV.
PMID- 27207038
TI - PLGA-based microparticles loaded with bacterial-synthesized prodigiosin for
anticancer drug release: Effects of particle size on drug release kinetics and
cell viability.
AB - This paper presents the synthesis and physicochemical characterization of
biodegradable poly (d,l-lactide-co-glycolide) (PLGA)-based microparticles that
are loaded with bacterial-synthesized prodigiosin drug obtained from Serratia
marcescens subsp. Marcescens bacteria for controlled anticancer drug delivery.
The micron-sized particles were loaded with anticancer drugs [prodigiosin (PG)
and paclitaxel (PTX) control] using a single-emulsion solvent evaporation
technique. The encapsulation was done in the presence of PLGA (as a polymer
matrix) and poly-(vinyl alcohol) (PVA) (as an emulsifier). The effects of
processing conditions (on the particle size and morphology) are investigated
along with the drug release kinetics and drug-loaded microparticle degradation
kinetics. The localization and apoptosis induction by prodigiosin in breast
cancer cells is also elucidated along with the reduction in cell viability due to
prodigiosin release. The implication of this study is for the potential
application of prodigiosin PLGA-loaded microparticles for controlled delivery of
cancer drug and treatment to prevent the regrowth or locoregional recurrence,
following surgical resection of triple negative breast tumor.
PMID- 27207039
TI - Electrochemical deposition of mineralized BSA/collagen coating.
AB - In this work, mineralized collagen coatings with different loading quantity of
bovine serum albumin (BSA) were prepared via in situ electrochemical deposition
on titanium substrate. The microstructure and BSA loading quantity of the
coatings could be controlled by the electrochemical deposition parameters, such
as deposition potential, BSA concentration and its adding sequence in the
electrolyte. The BSA loading quantity in the coatings was obtained in the range
of 0.0170-0.173mg/cm(2), enhancing the cell adhesion and proliferation of the
coatings with the simultaneous release. The distinct release behaviors of BSA
were attributed to their gradient distribution with different mineralization
degrees, which could be adjusted by the deposition process. These results suggest
that in situ electrochemical deposition is a promising way to incorporate
functional molecules into the mineralized collagen coatings and the mineralized
BSA/collagen coatings are highly promising for improving the rhBMP-2 loading
capability (1.8-fold).
PMID- 27207040
TI - A novel way for detection of antiparkinsonism drug entacapone via
electrodeposition of silver nanoparticles/functionalized multi-walled carbon
nanotubes as an amperometric sensor.
AB - Silver (Ag) nanoparticles were electrochemically deposited on the film of a
metformin functionalized multi-walled carbon nanotube modified glassy carbon
electrode (Met-MWCNT/GCE), which fabricated an Ag@Met-MWCNT nanocomposite sensor
(Ag@Met-MWCNT/GCE) to detect entacapone (ENT). The Ag@Met-MWCNT nanocomposite was
characterized by field emission scanning electrochemical microscopy (FESEM), X
ray diffraction (XRD) analysis, FT-IR and electrochemical tests. The modified
electrode showed a large electrocatalytic activity for reduction of ENT. This
improved activity indicates that Met@MWCNT plays a crucial role in the dispersion
and stabilization of Ag nanoparticles on GCE. Under the optimized conditions the
linear range for the detection of the ENT was obtained to be 0.05 to 70.0MUM with
a low detection limit of 15.3nM. The proposed sensor can effectively analyse ENT
concentration in pharmaceutical formulations and human urine samples, avoiding
interference, and is a promising ENT sensor due to good sensitivity, stability
and low cost.
PMID- 27207041
TI - Novel bone substitute composed of chitosan and strontium-doped alpha-calcium
sulfate hemihydrate: Fabrication, characterisation and evaluation of
biocompatibility.
AB - Calcium sulfate is in routine clinical use as a bone substitute, offering the
benefits of biodegradability, biocompatibility and a long history of use in bone
repair. The osteoconductive properties of calcium sulfate may be further improved
by doping with strontium ions. Nevertheless, the high degradation rate of calcium
sulfate may impede bone healing as substantial material degradation may occur
before the healing process is complete. The purpose of this study is to develop a
novel composite bone substitute composed of chitosan and strontium-doped alpha
calcium sulfate hemihydrate in the form of microcapsules, which can promote
osteogenesis while matching the natural rate of bone healing. The developed
microcapsules exhibited controlled degradation that facilitated the sustained
release of strontium ions. In vitro testing showed that the microcapsules had
minimal cytotoxicity and ability to inhibit bacterial growth. In vivo testing in
a mouse model showed the absence of genetic toxicity and low inflammatory
potential of the microcapsules. The novel microcapsules developed in this study
demonstrated suitable degradation characteristics for bone repair as well as
favourable in vitro and in vivo behaviour, and hold promise for use as an
alternative bone substitute in orthopaedic surgery.
PMID- 27207043
TI - Primary role of electron work function for evaluation of nanostructured titania
implant surface against bacterial infection.
AB - The electron work function as an essential descriptor for the evaluation of metal
implant surfaces against bacterial infection is identified for the first time.
Its validity is demonstrated on Staphylococcus aureus adhesion to nanostructured
titania surfaces. The established correlation: work function-bacteria adhesion is
of general importance since it can be used for direct evaluation of any
electrically conductive implant surfaces.
PMID- 27207042
TI - Preparation, degradation and in vitro release of ciprofloxacin-eluting ureteral
stents for potential antibacterial application.
AB - Drug-eluting stents with biodegradable polymers as reservoirs have shown great
potential in the application of interventional therapy due to their capability of
local drug delivery. Herein, poly(l-lactide-co-epsilon-caprolactone) (PLCL) with
three different compositions as carriers for ciprofloxacin lactate (CIP) was
coated on ureteral stents by the dipping method. To simulate a body environment,
degradation behavior of PLCL as both the bulk film and the stent coating was
evaluated in artificial urine (AU, pH6.20) respectively at 37 degrees C for
120days by tracing their weight/Mn loss, water absorption and surface
morphologies. Furthermore, the release profile of the eluting drug CIP on each
stent exhibited a three-stage pattern, which was greatly affected by the
degradation behavior of PLCL except for the burst stage. Interestingly, the
degradation results on both macroscopic and molecular level indicated that the
release mechanism at stage I was mainly controlled by chain scission instead of
the weight loss or morphological changes of the coatings. While for stage II, the
release profile was dominated by erosion resulting from the hydrolysis reaction
autocatalyzed by acidic degradation residues. In addition, ciprofloxacin-loaded
coatings displayed a significant bacterial resistance against E. coli and S.
aureus without obvious cytotoxicity to Human foreskin fibroblasts (HFFs). Our
results suggested that PLCL copolymers with tunable degradation rate as carriers
for ciprofloxacin lactate could be used as a promising long-term antibacterial
coating for ureteral stents.
PMID- 27207044
TI - Biocompatible polyurethane/thiacalix[4]arenes functionalized Fe3O4 magnetic
nanocomposites: Synthesis and properties.
AB - In this study, a series of magnetic polyurethane/Fe3O4 elastomer nanocomposites
were prepared by covalently embedding novel thiacalix[4]arenes (TC4As)
functionalized Fe3O4 nanoparticles (TC4As-Fe3O4) which contain macrocycles with
reactive hydroxyl groups. Surface functionalization of Fe3O4 nanoparticles with
TC4As macrocycles as unique reactive surface modifier not only gives specific
characteristics to Fe3O4 nanoparticles but also improves the interphase
interaction between nanoparticles and the polyurethane matrices through covalent
attachment of polymer chains to nanoparticle surfaces. The novel synthesized
TC4As-Fe3O4 nanoparticles were characterized by FTIR, XRD, TGA, VSM and SEM
analysis. Furthermore, the effect of functionalization of Fe3O4 nanoparticles on
the various properties of resulting nanocomposites was studied by XRD, TGA, DMTA,
SEM, and a universal tensile tester. It was found that the functionalization of
nanoparticles with TC4As affords better mechanical and thermal properties to
polyurethane nanocomposites in comparison with unmodified nanoparticles. The SEM
analysis showed finer dispersion of TC4As-Fe3O4 nanoparticles than unmodified
Fe3O4 nanoparticles within the polyurethane matrices, which arising from
formation of covalent bonding between TC4As functionalized Fe3O4 nanoparticles
and polyurethane matrices. Moreover, the investigation of in vitro
biocompatibility of novel nanocomposites showed that these samples are excellent
candidate for biomedical use.
PMID- 27207045
TI - The effect of simulated inflammatory conditions on the surface properties of
titanium and stainless steel and their importance as biomaterials.
AB - This work compares the surface modifications induced by the immersion in
solutions that simulate inflammatory conditions of pure titanium (cpTi) and
medical grade stainless steel (SS). The inflammatory conditions were simulated
using a mixture of Hartman solution and 50mM of hydrogen peroxide (H2O2) at
pH=5.2. The samples were immersed by 7days refreshing the solution every day to
keep the reactivity of the H2O2. The surface characteristics that were
investigated were: elemental composition by X-ray photoelectron spectroscopy
(XPS); topography by atomic force microscopy (AFM) and profilometry; wettability
and surface energy by sessile drop contact angle and point of zero charge by
titration. Moreover, the variations in the electrochemical response were
evaluated by open circuit potential (OCP), electrochemical impedance spectroscopy
(EIS) and potentiodynamic polarization (PP) performed before and after the
treatment using the Hartman solution as the electrolyte. The XPS results
indicated that for both metallic samples, oxidation of the surface was promoted
and/or the oxide layer was thicker after the immersion. The roughness and the
solid-liquid surface energy were increased; the samples showed a more hydrophilic
character after the treatment. However, the surface energy of the solid estimated
using the Van Oss-Chaudhury-Good approach showed different trends between the
cpTi and the SS surfaces; the polar component decreased for cpTi, while it
increased for SS. Finally, the electrochemical results indicated that the
corrosion resistance (Rcor) and the pore resistance (Rpo) significantly decreased
for cpTi, while both resistances were not significantly different for the SS.
This is indicative of a higher dissolution of the cpTi compared to SS and the
lower Rpo means that the species are easily transported through the surface
layer, which can be explained in terms of the formation of a porous TiOx layer,
not observed on the SS. The cpTi surface suffered from a dissolution/oxidation
process that allows its integration with the surrounding media, while the SS
remained completely passive and this different response might be related to their
distinguished clinical outcome.
PMID- 27207046
TI - Surface modification of electrospun PLGA scaffold with collagen for bioengineered
skin substitutes.
AB - In skin tissue engineering, surface feature of the scaffolds plays an important
role in cell adhesion and proliferation. In this study, non-woven fibrous
substrate based on poly (lactic-co-glycolic acid) (PLGA) (75/25) were hydrolyzed
in various concentrations of NaOH (0.05N, 0.1N, 0.3N) to increase carboxyl and
hydroxyl groups on the fiber surfaces. These functional groups were activated by
EDC/NHS to create chemical bonding with collagen. To improve bioactivity, the
activated substrates were coated with a collagen solution (2mg/ml) and cross
linking was carried out using the EDC/NHS in MES buffer. The effectiveness of the
method was evaluated by contact angle measurements, porosimetry, scanning
electron microscopy (SEM), Fourier transform infrared spectroscopy (FTIR),
tensile and degradation tests as well as in vitro cell attachment and
cytotoxicity assays. Cell culture results of human dermal fibroblasts (HDF) and
keratinocytes cell line (HaCat) revealed that the cells could attach to the
scaffold. Further investigation with MTT assay showed that the cell proliferation
of HaCat significantly increases with collagen coating. It seems that sufficient
stability of collagen on the surface due to proper chemical bonding and cross
linking has increased the bioactivity of surface remarkably which can be
promising for bioengineered skin applications.
PMID- 27207047
TI - Electrosprayed nanoparticle delivery system for controlled release.
AB - This study utilises an electrohydrodynamic technique to prepare core-shell lipid
nanoparticles with a tunable size and high active ingredient loading capacity,
encapsulation efficiency and controlled release. Using stearic acid and
ethylvanillin as model shell and active ingredients respectively, we identify the
processing conditions and ratios of lipid:ethylvanillin required to form
nanoparticles. Nanoparticles with a mean size ranging from 60 to 70nm at the rate
of 1.37*10(9) nanoparticles per minute were prepared with different
lipid:ethylvanillin ratios. The polydispersity index was ~21% and the
encapsulation efficiency ~70%. It was found that the rate of ethylvanillin
release was a function of the nanoparticle size, and lipid:ethylvanillin ratio.
The internal structure of the lipid nanoparticles was studied by transmission
electron microscopy which confirmed that the ethylvanillin was encapsulated
within a stearic acid shell. Fourier transform infrared spectroscopy analysis
indicated that the ethylvanillin had not been affected. Extensive analysis of the
release of ethylvanillin was performed using several existing models and a new
diffusive release model incorporating a tanh function. The results were
consistent with a core-shell structure.
PMID- 27207048
TI - Simultaneous dual syringe electrospinning system using benign solvent to
fabricate nanofibrous P(3HB-co-4HB)/collagen peptides construct as potential
leave-on wound dressing.
AB - The main focus of this study is the incorporation of collagen peptides to
fabricate P(3-hydroxybutyrate-co-4-hydroxybutyrate) [P(3HB-co-4HB)] nano-fiber
construct to further enhance surface wettability and support cell growth while
harbouring desired properties for biodegradable wound dressing. Simultaneous
electrospinning of nanofiber P(3HB-co-4HB)/collagen peptides construct was
carried out using dual syringe system. The wettability of the constructs
increased with the increase in 4HB molar fraction from 20mol% 4HB [53.2 degrees
], P(3HB-co-35mol%4HB)[48.9 degrees ], P(3HB-co-50mol%4HB)[44.5 degrees ] and
P(3HB-co-82mol%4HB) [37.7 degrees ]. In vitro study carried out using mouse
fibroblast cells (L929) grown on nanofiber P(3HB-co-4HB)/collagen peptides
construct showed an increase in cell proliferation. In vivo study using animal
model (Sprague Dawley rats) showed that nanofibrous P(3HB-co-4HB)/collagen
peptides construct had a significant effect on wound contractions with the
highest percentage of wound closure of 79%. Hence, P(3HB-co-4HB)/collagen
peptides construct suitable for wound dressing have been developed using nano
fabrication technique.
PMID- 27207049
TI - A new synthetic methodology for the preparation of biocompatible and organo
soluble barbituric- and thiobarbituric acid based chitosan derivatives for
biomedical applications.
AB - Chitosan's poor solubility especially in organic solvents limits its use with
other organo-soluble polymers; however such combinations are highly required to
tailor their properties for specific biomedical applications. This paper
describes the development of a new synthetic methodology for the synthesis of
organo-soluble chitosan derivatives. These derivatives were synthesized from
chitosan (CS), triethyl orthoformate and barbituric or thiobarbituric acid in the
presence of 2-butannol. The chemical interactions and new functional motifs in
the synthesized CS derivatives were evaluated by FTIR, DSC/TGA, UV/VIS, XRD and
(1)H NMR spectroscopy. A cytotoxicity investigation for these materials was
performed by cell culture method using VERO cell line and all the synthesized
derivatives were found to be non-toxic. The solubility analysis showed that these
derivatives were readily soluble in organic solvents including DMSO and DMF.
Their potential to use with organo-soluble commercially available polymers was
exploited by electrospinning; the synthesized derivatives in combination with
polycaprolactone delivered nanofibrous membranes.
PMID- 27207050
TI - Synthesis of argentojarosite with simulated bioleaching solutions produced by
Acidithiobacillus ferrooxidans.
AB - Argentojarosite (AgFe3(SO4)2(OH)6) is formed as a secondary phase in Ag-catalyzed
bioleaching of chalcopyrite (CuFeS2), but to date very little is known about the
paragenesis or characteristics of this silver-containing compound. The purpose of
this study was to synthesize argentojarosite via biological oxidation of 120mM
ferrous sulfate by Acidithiobacillus ferrooxidans. Because of its toxicity to A.
ferrooxidans, Ag(+) (as AgNO3) was added to spent culture media (pH2) after
complete oxidation of ferrous sulfate. Schwertmannite (ideally Fe8O8(OH)6(SO4))
was precipitated during the iron oxidation phase, and subsequent Ag(+) addition
resulted in the formation of argentojarosite. Contact time (8h, 5d, and 14d) and
Ag(+) concentration (0, 5, 20, and 40mM) were used as variables in these
experiments. Synthesis of argentojarosite, schwertmannite and other mineral
phases was confirmed through X-ray diffraction analysis. Additional analyses of
solid-phase oxidation products included elemental composition, color and specific
surface area. The sample synthesized in the presence of 40mM Ag(+) and with 14d
contact time yielded an X-ray diffraction pattern of well crystallized
argentojarosite, and its elemental composition closely matched the calculated Ag,
Fe, and S contents of ideal argentojarosite. The color and surface area of the
remaining samples were influenced by the presence of residual schwertmannite.
This phase remained stable over the time course of 14d when no Ag(+) was present
in the system. When equilibrations were extended to 42d, partial conversion of
reference schwertmannite to goethite was noted in the absence of Ag. In the
presence of 20mM or 40mM Ag over the same time course, some formation of
argentojarosite was also noted. In this case, schwertmannite was the only source
of Fe and SO4 for argentojarosite formation.
PMID- 27207051
TI - Cell proliferation on PVA/sodium alginate and PVA/poly(gamma-glutamic acid)
electrospun fiber.
AB - To overcome the obstacles of easy dissolution of PVA nanofibers without
crosslinking treatment and the poor electrospinnability of the PVA cross-linked
nanofibers via electrospinning process, the PVA based electrospun hydrogel
nanofibers are prepared with post-crosslinking method. To expect the electrospun
hydrogel fibers might be a promising scaffold for cell culture and tissue
engineering applications, the evaluation of cell proliferation on the post
crosslinking electrospun fibers is conducted in this study. At beginning,
poly(vinyl alcohol) (PVA), PVA/sodium alginate (PVASA) and PVA/poly(gamma
glutamic acid) (PVAPGA) electrospun fibers were prepared by electrospinning
method. The electrospun PVA, PVASA and PVAPGA nanofibers were treated with post
cross-linking method with glutaraldehyde (Glu) as crosslinking agent. These
electrospun fibers were characterized with thermogravimetry analysis (TGA) and
their morphologies were observed with a scanning electron microscope (SEM). To
support the evaluation and explanation of cell growth on the fiber, the study of
3T3 mouse fibroblast cell growth on the surface of pure PVA, SA, and PGA thin
films is conducted. The proliferation of 3T3 on the electrospun fiber surface of
PVA, PVASA, and PVAPGA was evaluated by seeding 3T3 fibroblast cells on these
crosslinked electrospun fibers. The cell viability on electrospun fibers was
conducted with water-soluble tetrazolium salt-1 assay (Cell Proliferation Reagent
WST-1). The morphology of the cells on the fibers was also observed with SEM. The
results of WST-1 assay revealed that 3T3 cells cultured on different electrospun
fibers had similar viability, and the cell viability increased with time for all
electrospun fibers. From the morphology of the cells on electrospun fibers, it is
found that 3T3 cells attached on all electrospun fiber after 1day seeded. Cell
cell communication was noticed on day 3 for all electrospun fibers. Extracellular
matrix (ECM) productions were found and cell-ECM adhesion was shown on day 7. The
cell number was also increased on all of the crosslinked electrospun fibers. It
seems that the PVA based electrospun hydrogel nanofibers prepared with post
crosslinking method can be used as scaffold for tissue engineering.
PMID- 27207052
TI - Fabrication of keratin-silica hydrogel for biomedical applications.
AB - In the recent past, keratin has been fabricated into different forms of
biomaterials like scaffold, gel, sponge, film etc. In lieu of the myriad
advantages of the hydrogels for biomedical applications, a keratin-silica
hydrogel was fabricated using tetraethyl orthosilicate (TEOS). Textural analysis
shed light on the physical properties of the fabricated hydrogel, inturn enabling
the optimization of the hydrogel. The optimized keratin-silica hydrogel was found
to exhibit instant springiness, optimum hardness, with ease of spreadability.
Moreover, the hydrogel showed excellent swelling with highly porous
microarchitecture. MTT assay and DAPI staining revealed that keratin-silica
hydrogel was biocompatible with fibroblast cells. Collectively, these properties
make the fabricated keratin-silica hydrogel, a suitable dressing material for
biomedical applications.
PMID- 27207053
TI - In vitro study on the degradation of lithium-doped hydroxyapatite for bone tissue
engineering scaffold.
AB - Li-doped hydroxyapatite (LiHA) which is prepared through introducing low dose of
Li into hydroxyapatite (HA) has been increasingly studied as a bone tissue
engineered scaffold. The degradation properties play a crucial role in the
success of long-term implantation of a bone tissue-engineered construct. Herein,
the in vitro degradation behaviors of LiHA scaffolds via two approaches were
investigated in this study: solution-mediated degradation and osteoblast-mediated
degradation. In solution-mediated degradation, after being immersed in simulated
body fluid (SBF) for some time, some characteristics of these scaffolds (such as
release of ionized lithium and phosphate, pH change, mechanical properties,
cytocompatibility and SEM surface characterization) were systematically tested. A
similar procedure was also employed to research the degradation behaviors of LiHA
scaffolds in osteoblast-mediated degradation. The results suggested that the
degradation in SBF and degradation in culture medium with cell existed
distinguishing mechanisms. LiHA scaffolds were degraded via a hydrolytic
mechanism when they were soaked in SBF. Upon degradation, an apatite
precipitation (layer) was formed on the surfaces of scaffolds. While a biological
mechanism was presented for the degradation of scaffolds in cell-mediated
degradation. Compared with pure HA, LiHA scaffolds had a better effect on the
growth of osteoblast cells, meanwhile, the release amount of PO4(3-) in a
degradation medium indicated that osteoblasts could accelerate the degradation of
LiHA due to the more physiological activities of osteoblast. According to the
results from compressive strength test, doping Li into HA could enhance the
strength of HA. Moreover, the results from MTT assay and SEM observation showed
that the degradation products of LiHA scaffolds were beneficial to the
proliferation of osteoblasts. The results of this research can provide the
theoretical basis for the clinical application of LiHA scaffolds.
PMID- 27207054
TI - Polymer microfilters with nanostructured surfaces for the culture of circulating
cancer cells.
AB - There is a critical need to improve the accuracy of drug screening and testing
through the development of in vitro culture systems that more effectively mimic
the in vivo environment. Surface topographical features on the nanoscale level,
in short nanotopography, effect the cell growth patterns, and hence affect cell
function in culture. We report the preliminary results on the fabrication, and
subsequent cellular growth, of nanoscale surface topography on polymer
microfilters using cell lines as a precursor to circulating tumor cells (CTCs).
To create various nanoscale features on the microfilter surface, we used reactive
ion etching (RIE) with and without an etching mask. An anodized aluminum oxide
(AAO) membrane fabricated directly on the polymer surface served as an etching
mask. Polymer filters with a variety of modified surfaces were used to compare
the effects on the culture of cancer cell lines in blank culture wells, with
untreated microfilters or with RIE-treated microfilters. We then report the
differences of cell shape, phenotype and growth patterns of bladder and
glioblastoma cancer cell lines after isolation on the various types of material
modifications. Our data suggest that RIE modified polymer filters can isolate
model cell lines while retaining ell viability, and that the RIE filter
modification allows T24 monolayering cells to proliferate as a structured
cluster.
PMID- 27207055
TI - Performance of a universal adhesive on etched and non-etched surfaces: Do the
results match the expectations?
PMID- 27207056
TI - Development of a novel CsA-PLGA drug delivery system based on a glaucoma drainage
device for the prevention of postoperative fibrosis.
AB - The formation of a scar after glaucoma surgery often leads to unsuccessful
control of intraocular pressure, and should be prevented by using a variety of
methods. We designed and developed a novel drug delivery system (DDS) comprising
cyclosporine A (CsA) and poly(lactic-co-glycolic acid) (PLGA) based on a glaucoma
drainage device (GDD) that can continuously release CsA to prevent postoperative
fibrosis following glaucoma surgery. The CsA@PLGA@GDD DDS was observed by field
emission scanning electron microscopy and revealed an asymmetric pore structure.
Thermogravimetric analysis was performed to measure the weight loss and evaluate
the thermal stability of the CsA@PLGA@GDD DDS. The in vitro drug release profile
of the DDS was studied using high performance liquid chromatography, which
confirmed that the DDS released CsA at a stable rate and maintained adequate CsA
concentrations for a relatively long time. The biocompatibility of the DDS and
the inhibitory effects on the postoperative fibrosis were investigated in vitro
using rabbit Tenon's fibroblasts. The in vivo safety and efficacy of the DDS were
examined by implanting the DDS into Tenon's capsules in New Zealand rabbits. Bleb
morphology, intraocular pressure, anterior chamber reactions, and anterior
chamber angiography were studied at a series of set times. The DDS kept the
filtration pathway unblocked for a longer time compared with the control GDD. The
results indicate that the CsA@PLGA@GDD DDS represents a safe and effective
strategy for preventing scar formation after glaucoma surgery.
PMID- 27207057
TI - Facile synthesis of AIE-active amphiphilic polymers: Self-assembly and biological
imaging applications.
AB - In this work, we reported a rather facile method for fabrication of ultrabright,
well dispersible and biocompatible fluorescent organic nanoparticles (FONs) with
aggregation-induced emission (AIE) properties through combination of
esterification and ring-opening reaction. The hydroxyl groups of Pluronic F127
was first reacted with the chloride of trimellitic anhydride chloride (TMAC), and
its anhydride groups were further reacted with the amino groups of amino
terminated AIE dye (PhNH2) through ring-opening reaction. The optical properties,
biocompatibility as well as cell uptake behavior of these obtained AIE-active
nanoparticles (F127-TMAC-PhNH2 FONs) were examined by a series of
characterization techniques and assays. We demonstrated that uniform organic
nanoparticles with high water dispersibility, strong luminescence and desirable
biocompatibility can be facilely obtained, which are promising for biological
imaging applications. More importantly, a number of carboxyl groups were
introduced into these AIE-active nanoparticles, which can be further utilized for
further conjugation reaction and carrying anticancer drugs such as cisplatin.
Therefore, the strategy of described in this work should be a simple and useful
route for fabrication of multifunctional AIE-active luminescent nanotheranostic
systems.
PMID- 27207058
TI - The effect of calcium chloride concentration on alginate/Fmoc-diphenylalanine
hydrogel networks.
AB - Peptide based hydrogels gained a vast interest in the tissue engineering studies
thanks to great superiorities such as biocompatibility, supramolecular
organization without any need of additional crosslinker, injectability and
tunable nature. Fmoc-diphenylalanine (FmocFF) is one of the earliest and widely
used example of these small molecule gelators that have been utilized in
biomedical studies. However, Fmoc-peptides are not feasible for long term use due
to low stability and weak mechanical properties at neutral pH. In this study,
Fmoc-FF dipeptides were mechanically enhanced by incorporation of alginate, a
biocompatible and absorbable polysaccharide. The binary hydrogel is obtained via
molecular self-assembly of FmocFF dipeptide in alginate solution followed by
ionic crosslinking of alginate moieties with varying concentrations of calcium
chloride. Hydrogel characterization was evaluated in terms of morphology,
viscoelastic moduli and diffusional phenomena and the structures were tested as
3D scaffolds for bovine chondrocytes. In vitro evaluation of scaffolds lasted up
to 14days and cell viability, sulphated glycosaminoglycan (sGAG) levels, collagen
type II synthesis were determined. Our results showed that alginate incorporation
into FmocFF hydrogels leads to better mechanical properties and higher stability
with good biocompatibility.
PMID- 27207059
TI - Aripiprazole loaded poly(caprolactone) nanoparticles: Optimization and in vivo
pharmacokinetics.
AB - In the present investigation, a Quality by Design strategy was applied for
formulation and optimization of aripiprazole (APZ) loaded PCL nanoparticles
(APNPs) using nanoprecipitation method keeping entrapment efficiency (%EE) and
particle size (PS) as critical quality attributes. Establishment of design space
was done followed by analysis of its robustness and sensitivity. Characterization
of optimized APNPs was done using DSC, FT-IR, PXRD and TEM studies and was
evaluated for drug release, hemocompatibility and nasal toxicity. PS, zeta
potential and %EE of optimized APNPs were found to be 199.2+/-5.65nm, -21.4+/
4.6mV and 69.2+/-2.34% respectively. In vitro release study showed 90+/-2.69%
drug release after 8h. Nasal toxicity study indicated safety of developed
formulation for intranasal administration. APNPs administered via intranasal
route facilitated the brain distribution of APZ incorporated with the AUC0->8 in
rat brain approximately 2 times higher than that of APNPs administered via
intravenous route. Increase in Cmax was observed which might help in dose
reduction along with reduction in dose related side effects. The results of the
study indicate that intranasally administered APZ loaded PCL NPs can potentially
transport APZ via nose to brain and can serve as a non-invasive alternative for
the delivery of APZ to brain.
PMID- 27207060
TI - A comparative study of 5-Fluorouracil release from chitosan/silver and
chitosan/silver/MWCNT nanocomposites and their cytotoxicity towards MCF-7.
AB - 5-Fluorouracil encapsulated chitosan/silver and chitosan/silver/multiwalled
carbon nanotubes were synthesized to comparatively study the release profile and
cytotoxicity of the systems towards MCF-7 cell line. The triclinic structure of 5
Fluorouracil, face centered cubic structure of silver and the semi-crystalline
nature of chitosan were elucidated using the XRD pattern. The XRD pattern of
Chitosan/silver/multiwalled carbon nanotube consisted of (002) reflection of
graphitic carbon from carbon nanotube. The evident splitting of NH2 and NH3(+)
and a variation in the intensity of OH peaks in the FTIR pattern were indicative
of the binding of moieties like silver, carbon nanotube and 5-Fluorouracil to
chitosan. The encapsulation of 5-Fluorouracil was evident from elemental mapping
and from the presence of reflections corresponding to 5-Fluorouracil in the SAED
pattern. The release profile showed a prolonged release for 5-Fluorouracil
encapsulated Chitosan/silver/multiwalled carbon nanotube and a better
cytotoxicity with a IC50 of 50MUg/ml was observed for the same.
PMID- 27207061
TI - Synthesis and characterization of Zinc (II)-loaded Zeolite/Graphene oxide
nanocomposite as a new drug carrier.
AB - Current research has focused on the preparation of Zinc-clinoptilolite/Graphene
Oxide (Zn-Clin/GO) hybrid nanostructure and investigating its biocompatibility
for the first time. As prepared samples were characterized by X-ray diffraction
(XRD), Scanning electron microscopy (SEM), Thermo gravimetric analysis (TGA) and
Fourier transform infrared (FT-IR). In order to use it as a drug carrier two
important factors were investigated: cytocompatibility of nanocomposites and
their drug loading capacity. The results showed that the prepared nanocomposite
is cytocompatible and its high loading capacity and slow release performance for
Doxorubicin (DOX), as a cancer drug, proved that it can be used as a drug
carrier. At last in-vitro toxicity of DOX loaded nanocomposite was compared with
pure DOX.
PMID- 27207062
TI - Designing and preparation of novel alkaloid-imprinted membrane with grafting type
and its molecular recognition characteristic and permselectivity.
AB - A novel polysulfone-based molecularly imprinted membrane (MIM) with graft type
(designated as GMIM) was successfully prepared by a combination of film-forming
method of immersion-precipitation phase transformation with molecule surface
imprinting technique. The porous asymmetry membrane of chloromethylated
polysulfone (CMPSF) was first prepared by a phase inversion method, and then the
CMPSF membrane was amination-modified with ethanediamine as reagent, resulting
aminated polysulfone membrane AMPSF, on whose surface primary amino groups were
contained. Then the graft-polymerization of methacrylic acid (MAA) was realized
by initiating of the surface-initiating system of -NH2/S2O8(-), obtaining the
grafted membrane PSF-g-PMAA. After PSF-g-PMAA membrane adsorbed matrine, the
crosslinking reaction of the grafted PMAA was allowed to be carried out with
ethylene glycol diglycidyl ether (EGDE) as crosslinker, resulting in the matrine
imprinted membrane with graft type, GMIM. The binding characteristics of the
imprinted membrane GMIM, the permeability and separation property for matrine
were investigated in depth. The experimental results show that the imprinted
membrane consists of a thin imprinted layer, a thin skin layer containing
channels at nanoscale and a support layer with macroporous structure. The
imprinted membrane GMIM has specific recognition selectivity and excellent
binding affinity for matrine, and its selectivity coefficient for matrine
relative to cytisine is 4.85. More importantly, the imprinted membrane can
produce good "gate effect" because of its own structure characteristic, so that
it has fine permselectivity for the template, matrine molecule. The separation
coefficient of the imprinted membrane GMIM for matrine relative to cytisine as a
contrast reaches up to 5.9, displaying the excellent performance of a selectively
permeable membrane.
PMID- 27207063
TI - Tribological behavior of a Ni-free Zr-based bulk metallic glass with potential
for biomedical applications.
AB - In this study, the tribological behavior of a Ni-free Zr53Al16Co23.25Ag7.75 bulk
metallic glass (BMG) was investigated in dry-sliding and simulated physiological
media using ball-on-disk reciprocating friction. The effects of sliding load,
speed, media and counterpart materials on the wear resistance of the Zr-Al-Co-Ag
BMG were illustrated. Under dry-sliding in air, wear resistance of the Zr-based
BMG decreases with increasing sliding load, and wear deterioration is controlled
by oxidation and abrasive wear. With increasing sliding velocity, larger plastic
deformation occurs on the surface of BMG due to the frictional heat. The BMG
exhibits decreased wear resistance in 0.9% NaCl and phosphate buffer saline (PBS)
solutions in comparison with that in air and deionized water, which is probably
associated with tribocorrosion controlled by synergistic effects of abrasive and
corrosive wear. The wear resistance of the Zr-based BMG against Si3N4 counterpart
material is inferior to that against ZrO2, whereas the case is contrary to that
against Al2O3. The effect of ceramic counterpart materials on the wear resistance
of BMG is discussed based on their Young's modulus and fracture toughness.
PMID- 27207065
TI - Graphene nanosheet mediated MALDI-MS (GN-MALDI-MS) for rapid, in situ detection
of intact incipient biofilm on material surfaces.
AB - Detection is the first step to efficient treatment, therefore early detection of
biofilm gains paramount importance for the initiation of mitigation protocols. A
systematic study was conducted to detect the biofilm formation (1h to 2month
period) on aluminium, titanium surfaces and their corresponding oxide film
surfaces. The limit of detection (LOD) in case of traditional MALDI-MS was
limited to a 6h old biofilm. Whereas, in case of the Graphene nanosheet mediated
MALDI-MS (GN-MALDI-MS) approach, early detection of the biofilm was demonstrated
to be 1h on titanium surfaces and 3h for Al surfaces.
PMID- 27207064
TI - A composite material based on nanoparticles of yttrium (III) oxide for the
selective and sensitive electrochemical determination of acetaminophen.
AB - An electrochemical sensor was prepared by modifying a glassy carbon electrode
(GCE) with a composite of yttrium (III) oxide nanoparticles (Y2O3NPs) and carbon
nanotubes (CNTs) for the determination of acetaminophen (ACT). Compared with a
bare GCE and CNTs/GCE, the Y2O3NPs/CNTs/GCE exhibited a well-defined redox couple
for ACT and highly enhanced the current response. The separations in the anodic
and cathodic peak potentials (DeltaEp) for ACT were 552mV, 24mV and 10mV at ba4re
GCE, CNTs/GCE and Y2O3NPs/CNTs/GCE, respectively. The observation of only 10mV of
DeltaEp for ACT at Y2O3NPs/CNTs/GCE was a clear indication of a great
acceleration of the electrode process compared to bare GCE and GCE modified with
CNTs. Also, l-ascorbic acid (l-AA) and l-tyrosine (l-TRY) did not interfere with
the selective determination of ACT. Square wave voltammetry (SWV) was performed
for the quantification of ACT. A linear plot was obtained for current responses
versus the concentrations of ACT over the range from 1.0*10(-10) to 1.8*10(-8)M
with a detection limit of 3.0*10(-11)M (based on 3Sb/m). The proposed composite
material provided high electrocatalytic activity, improved voltammetric behavior,
good selectivity and good reproducibility. The accurate quantification of ACT
makes the proposed electrode of great interest for the public health.
PMID- 27207066
TI - Fabrication and apatite inducing ability of different porous titania structures
by PEO treatment.
AB - Plasma electrolytic oxidation (PEO) was employed to grow different porous titania
structures on Ti6Al4V alloy (TC4) substrate using various parameters. It was
found that the PEO voltage and working frequency could affect the morphology, the
pore size, the pore density, the thickness and the phase composition of titania
structures. Thereafter, three typical porous titania structures with nanosize
pores, microsize pores and microsize grooves were respectively selected to
estimate their bioactivity using SBF immersion test. After soaking at different
durations (3-28d), the surface morphology, the chemical composition as well as
the phase structure of deposited apatite layers on porous titania were evaluated
using SEM, EDS, and XRD. The formation of various biomimetic apatite layers
indicated the different influence due to the characteristics of porous titania
structures. The porous titania structure with nanosize pores could induce a fast
apatite growth at the early immersion stage (~7d), while the one with microsize
pores exhibited the best apatite inducing ability at long term immersion (~28d).
Based on the experimental results, the formation mechanism of biomimetic apatite
affected by the pore structure of titania was discussed as well.
PMID- 27207067
TI - Fabrication and characterization of SrAl2O4: Eu(2+)Dy(3+)/CS-PCL electrospun
nanocomposite scaffold for retinal tissue regeneration.
AB - Millions of people around the world become blind due to losing a part of the
retina cells. In tissue engineering field one way to address this issue is to
develop a retina tissue by scaffolds based on structure and signals received
These scaffolds can play an essential role in repair and reformation of the
damaged retina tissue. Here, SrAl2O4: Eu(2+), Dy(3+) nanophosphor were prepared
by sol-gel method and then coated with PEG to become biocompatible. Next 10%, 30%
and 50% concentration of the coated nanophosphors were dispersed in CS-PCL
copolymer and electrospuned to form SrAl2O4: Eu(2+), Dy(3+)/CS-PCL scaffolds. The
aforementioned photo -luminescence-scaffolds were studied for their optical,
mechanical and morphological characteristics finally the effect of these
scaffolds on the mice RPCs cells' proliferation and differentiation was observed.
The 30% nanophosphor dispersion scaffold while providing adequate mechanical
flexibility and integrity, and exhibiting superior proliferation rates and
acceptable differentiation into retinal neural cells (particularly photo
receptors retinal) is suggested as a promising choice in retinal tissue repair.
PMID- 27207068
TI - Materials and techniques used in cranioplasty fixation: A review.
AB - Cranioplasty is the surgical repair of a deficiency or deformity of the skull.
The purpose of cranioplasty is to provide protection for the brain following
cranial surgery, and to offer relief to psychological disadvantages while
increasing social performance. There are several materials that had been used for
cranioplasty but an ideal product has yet to be developed, hence the ongoing
research into biologic and non-biologic alternatives to the existing materials.
This article critiques the products currently used for cranioplasty in order to
facilitate the development of new materials, which can improve patient outcomes.
PMID- 27207069
TI - Linking N2O emission from biochar-amended composting process to the abundance of
denitrify (nirK and nosZ) bacteria community.
AB - Manure composting has been recognized as an important anthropogenic source of
nitrous oxide (N2O) contributing to global warming. However, biochar effect on
N2O emissions from manure composting is rarely evaluated, especially by linking
it to abundance of denitrifying bacteria community. Results of this study
indicated that biochar amendment significantly reduced N2O emissions from manure
composting, primarily due to suppression of the nirK gene abundance of
denitrifying bacteria. Pearson's correlation analysis showed a significant
positive correlation between nirK abundance and N2O fluxes, while a negative
correlation between nosZ density and N2O fluxes. Simultaneously, a linear
correlation between nirK gene abundance minus nosZ gene abundance with N2O fluxes
was also observed. In addition, a statistical model for estimating N2O emissions
based on the bacterial denitrifying functional genes was developed and verified
to adequately fit the observed emissions. Our results highlighted that biochar
amendment would be an alternative strategy for mitigating N2O emissions during
manure composting, and the information of related functional bacterial
communities could be helpful for understanding the mechanism of N2O emissions.
PMID- 27207070
TI - Clozapine's critical role in treatment resistant schizophrenia: ensuring both
safety and use.
AB - INTRODUCTION: Clozapine was first introduced as an antipsychotic in the 1970's
but a cluster of deaths, later linked to the drug's risk of agranulocytosis, led
to its withdrawal in most countries. However, work in the 1980's established its
unique efficacy in treatment resistant schizophrenia (TRS), which constitutes as
many as 30% of those with the illness. Clozapine was reintroduced with this
indication shortly thereafter, but because of this risk its use requires routine
hematologic monitoring. AREAS COVERED: An update is provided regarding
clozapine's risk of neutropenia, agranulocytosis, and associated mortality. In
addition, updates are provided on other side effects, specifically myocarditis
and bowel obstruction, as evidence suggests these are more common than
agranulocytosis and associated with higher mortality rates. EXPERT OPINION:
Clozapine remains the only treatment indicated in TRS, but it is dramatically
underutilized. Clearly there are serious side effects associated with its use,
and while the focus has historically been on hematologic concerns, we highlight
other side effects that also demand systematic monitoring. Because it is the only
effective treatment option we have for TRS, though, efforts must be implemented
that ensure its use in this population while maximizing safety.
PMID- 27207071
TI - Ulcerated giant pilomatricoma with appearance of cutaneous malignancy on positron
emission tomography/computed tomography.
PMID- 27207072
TI - Kinematic radiography of the hip joint after hip resurfacing arthroplasty.
AB - This study aimed to evaluate the usefulness of dynamic radiography using a
dynamic flat-panel detector (FPD) system after hip resurfacing arthroplasty
(HRA). A total of 32 hips of 26 patients who underwent HRA were included.
Sequential images of active abduction in the supine position and flexion in the
45 degrees semilateral position were obtained using the FPD system. We examined
the imaging findings of impingement between the acetabular component and femoral
neck with cooperative motion at maximal exercise. Moreover, the central component
coordinate of the acetabulum and femoral head sides was measured. For abduction
motion, impingement was detected in two (6.3 %) hips between the superior portion
of the femoral neck and acetabular component. For flexion motion, impingement was
detected in 19 (59.4 %) hips. There were no findings of subluxation between the
acetabular component and femoral neck after impingement, but cooperative motion
of lumbar and pelvic flexion was observed. There was no significant difference in
the center-to-center distance regardless of the presence or absence of
impingement. Detailed postoperative kinematics of the hips after HRA showed that
the proposed dynamic FPD system could reveal acquired impingement and cooperative
motion as dynamic images and possibly reveal findings that would be unobservable
using static images.
PMID- 27207073
TI - [The present and future in pediatric ophthalmology care].
PMID- 27207080
TI - Complete mitochondrial genome of Myospalax aspalax (Rodentia, Spalacidae).
AB - False zokor (Myospalax aspalax) is one of the subterranean rodents distributed in
East Asia. Molecular data and demography of M. aspalax are unknown, and the
population management is difficult, because it is specialized for underground
life. In this study, the complete mitochondrial DNA genome of M. aspalax was
determined. The results suggested that the mitogenome was 16,436 bp in length,
and consisted of 13 protein-coding genes, 22 transfer RNA genes, two ribosomal
RNA genes, and one displacement loop. The overall base composition of the heavy
strand was A 33.40%, T 29.34%, C 24.90%, and G 12.36%, with A + T > C + G.
Myospalax aspalax is a sister to the genus Myospalax, and have a most closely
relationship with M. psilurus. The mitogenome data are potentially important for
population management of M. aspalax and should contribute to the interspecies
comparative analyses of genus Myospalax.
PMID- 27207074
TI - Potential Reach of mHealth Versus Traditional Mass Media for Prevention of
Chronic Diseases: Evidence From a Nationally Representative Survey in a Middle
Income Country in Africa.
AB - BACKGROUND: Public radio and television announcements have a long tradition in
public health education. With the global rise of computer and mobile device
ownership, short message service (SMS) and email-based health services (mHealth)
are promising new tools for health promotion. OBJECTIVE: Our objectives were to
examine 1) self-reported exposure to programs related to noncommunicable diseases
(NCDs) on national public television and radio during the 12 months preceding the
survey (2013-2014), 2) current ownership of a mobile phone, smartphone, computer,
or tablet, and use of the Internet, and 3) willingness of individuals to receive
SMS or emails with information on health, with a focus on distribution of these
variables across different demographic, socioeconomic status (SES), and NCD risk
groups. METHODS: We obtained data in a population survey of 1240 participants
aged 25-64 years conducted in 2013-2014 in the Seychelles, a rapidly developing
small island state in the African region. We administered a structured
questionnaire and measured NCD risk factors. Univariate and multivariate analyses
explored the relationships between outcomes and sociodemographic variables.
RESULTS: Of 1240 participants, 1037 (83.62%) reported exposure to NCD-related
programs on public television, while a lower proportion of 740 adults (59.67%),
reported exposure via public radio (P<.001). Exposure to NCD-related programs on
public television was associated with older age (P<.001) and female sex (P<.001),
but not with SES, while exposure to NCD-related programs on public radio was
associated with older age (P<.001) and lower SES (P<.001). A total of 1156
(93.22%) owned a mobile phone and ownership was positively associated with female
sex (P<.001), younger age (P<.001), and higher SES (P<.001). Only 396 adults
(31.93%) owned a smartphone and 244 adults (19.67%) used their smartphone to
access the Internet. A total of 1048 adults (84.51%) reported willingness to
receive health-related SMS, which was positively associated with female sex
(P<.001), younger age (P<.001), and higher SES (P<.001). Controlling for SES,
exposure to NCD-related programs on public television or radio and willingness to
receive health-related SMS were not independently associated with a person's NCD
risk. CONCLUSIONS: Broadcasting health programs through traditional mass media
(national public radio and television) reached the majority of the population
under study, including older adults and those in lower socioeconomic groups. With
a high penetration of mobile phones and willingness to receive health-related
SMS, mHealth presents an opportunity for health programs, especially when
targeted SMS messages are intended for younger adults and those in higher
socioeconomic groups. By contrast, due to reduced Internet access, email-based
programs had a more limited reach for health promotion programs. These findings
emphasize the different reach of interventions using SMS or email versus
traditional mass media, according to demographic and socioeconomic categories,
for health education programs in a developing country.
PMID- 27207081
TI - The role of cost-effectiveness analysis in the development of indicators to
support incentive-based behaviour in primary care in England.
AB - In England, general practitioners are incentivized through a national pay-for
performance scheme to adopt evidence-based quality improvement initiatives using
a portfolio of Quality and Outcomes Framework (QOF) indicators. We describe the
development of the methods used to assess the cost-effectiveness of these pay-for
performance indicators and how they have contributed to the development of new
indicators. Prior to analysis of new potential indicators, an economic subgroup
of the National Institute for Health and Care Excellence (NICE) Indicator
Advisory Committee is formed to assess evidence on the cost-effectiveness of
potential indicators in terms of the health benefits gained, compared to the cost
of the intervention and the cost of the incentive. The expert subgroup is
convened to reach consensus on the amounts that could potentially be paid to
general practices for achieving new indicators. Indicators are also piloted in
selected general practices and evidence gathered about their practical
implementation. The methods used to assess economic viability of new pilot
indicators represent a pragmatic and effective way of providing information to
inform recommendations. Current policy to reduce QOF funding could shift the
focus from national (QOF) to local schemes, with economic appraisal remaining
central.
PMID- 27207082
TI - Cerebral cortex: a target and source of insulin?
AB - Recent results suggest that insulin is synthesised by a subpopulation of neurons
in the cerebral cortex and neural progenitor cells of the hippocampus.
Supplementing the slow supply of insulin to the brain by pancreatic beta cells,
the insulin locally released by neurons provides a rapid means of regulating
local microcircuits, effectively modulating synaptic transmission and on-demand
energy homeostasis of neural networks. Modulation of insulin production by brain
neurons via glucagon-like peptide 1 (GLP-1) agonists might be useful in
counteracting diabetes, obesity and neurodegenerative diseases. Replacement of
lost pancreatic beta cells by autologous transplantation of insulin-producing
neural progenitor cells could be a viable therapy for diabetes.
PMID- 27207084
TI - Reply to Anderson.
PMID- 27207083
TI - Angiopoietins and diabetic nephropathy.
AB - Diabetic nephropathy is the main cause of end-stage renal failure in the Western
world. In diabetes, metabolic and haemodynamic perturbations disrupt the
integrity of the glomerular filtration barrier, leading to ultrastructural
alterations of the glomeruli, including podocyte foot process fusion and
detachment, glomerular basement membrane thickening, reduced endothelial cell
glycocalyx, and mesangial extracellular matrix accumulation and
glomerulosclerosis, ultimately leading to albuminuria and end-stage renal
disease. Many vascular growth factors, such as angiopoietins, are implicated in
glomerular biology. In normal physiology angiopoietins regulate the function of
the glomerular filtration barrier. When they are dysregulated, however, as they
are in diabetes, they drive the cellular mechanisms that mediate diabetic
glomerular pathology. Modulation of angiopoietins expression and signalling has
been proposed as a tool to correct the cellular mechanisms involved in the
pathophysiology of diabetic microvascular disease, such as retinopathy in humans.
Future work might evaluate whether this novel therapeutic approach should be
extended to diabetic kidney disease.
PMID- 27207085
TI - Rotation of the ventricular outflow tracts.
PMID- 27207086
TI - Working conditions and tuberculosis mortality in England and Wales, 1890-1912: a
retrospective analysis of routinely collected data.
AB - BACKGROUND: Modelling studies suggest that workplaces may be important sites of
Mycobacterium tuberculosis transmission in high burden countries today.
Contemporary data on tuberculosis by occupation from these settings are scarce.
However, historical data on tuberculosis risk in different occupations are
available and may provide insight into workplace transmission. We aimed to
ascertain whether, in a high burden setting, individuals working in crowded
indoor environments (exposed) had greater tuberculosis mortality than individuals
employed elsewhere (unexposed). METHODS: The Registrar General's Decennial
Supplements from 1890-2, 1900-2 and 1910-2 contain data on mortality from
tuberculosis by occupation for men in England and Wales. In these data, the
association between occupational exposure to crowded indoor environments and
tuberculosis mortality was assessed using an overdispersed Poisson regression
model adjusting for socioeconomic position, age and decade. RESULTS: There were
23,962 deaths from tuberculosis during 14.8 million person-years of follow-up
among men working in exposed occupations and 28,483 during 19.9 million person
years of follow-up among men working in unexposed occupations. We were unable to
categorise a large number of occupations as exposed or unexposed. The adjusted
rate ratio for death from tuberculosis was 1.34 (95 % confidence interval 1.26
1.43) comparing men working in exposed occupations to those in unexposed
occupations. CONCLUSIONS: Tuberculosis mortality in England and Wales at the turn
of the 20th century was associated with occupational exposure to crowded indoor
environments. The association between working conditions and TB in contemporary
high burden settings requires further study.
PMID- 27207087
TI - A workflow task force affects emergency physician compliance for point-of-care
ultrasound documentation and billing.
AB - BACKGROUND: Emergency point-of-care ultrasound (POC u/s) is an example of a
health information technology that improves patient care and time to correct
diagnosis. POC u/s examinations should be documented, as they comprise an
integral component of physician decision making. Incomplete documentation
prevents coding, billing and physician group compensation for ultrasound-guided
procedures and patient care. We aimed to assess the effect of directed education
and personal feedback through a task force driven initiative to increase the
number of POC u/s examinations documented and transferred to medical coders by
emergency medicine physicians. METHODS: Three months before a chosen go-live
date, departmental leadership, the ultrasound division, and residents formed a
task force. Barriers to documentation were identified through brain storming and
email solicitation. The total number and application-specific POC u/s
examinations performed and transferred to the healthcare record and medical
coders were compared for the pre- and post-task force intervention periods. Chi
square analysis was used to determine the difference between the number of POC
u/s examinations reported before and after the intervention. RESULTS: A total of
1652 POC u/s examinations were reported during the study period. Successful
reporting to the patient care chart and medical coders increased from 41 % pre
task force intervention to 63 % post-intervention (p value 0.000). The number of
scans performed during the 3-month periods (pre-intervetion, post-intervention 0
3 months, post-intervention 3-6 months) was similar (521, 594 and 537). When
analyzed by specific application, the majority showed a statistically significant
increase in the percentage of examinations reported, including those most
critical for patient care decision making: (EFAST (41 vs. 64 %), vascular access
(26 vs. 61 %), and cardiac (43 vs. 72 %); and those most commonly performed:
biliary (44 vs. 61 %) and pelvic (60 vs. 66 %). Of the POC u/s studies coded and
reported for reimbursement, 15.9 % were billed before intervention and 32 % were
billed after intervention (p value: 0.000). CONCLUSIONS: The formation of a
workflow solution task force positively affected emergency physician compliance
with POC u/s documentation for coding and billing over a 6-month period. Further
investigation should assess the long-term effect of the intervention and whether
this translates into increased revenue to the department.
PMID- 27207088
TI - Pilot Studies in clinical research.
PMID- 27207089
TI - "Did I bring it on myself?" An exploratory study of the beliefs that adolescents
referred to mental health services have about the causes of their depression.
AB - The causal beliefs which adults have regarding their mental health difficulties
have been linked to help-seeking behaviour, treatment preferences, and the
outcome of therapy; yet, the topic remains a relatively unexplored one in the
adolescent literature. This exploratory study aims to explore the causal beliefs
regarding depression among a sample of clinically referred adolescents. Seventy
seven adolescents, aged between 11 and 17, all diagnosed with moderate to severe
depression, were interviewed using a semi-structured interview schedule, at the
beginning of their participation in a randomised controlled trial. Data were
analysed qualitatively using framework analysis. The study identified three
themes related to causal beliefs: (1) bewilderment about why they were depressed;
(2) depression as a result of rejection, victimisation, and stress; and (3)
something inside is to blame. Although some adolescents struggled to identify the
causes of their depression, many identified stressful life experiences as the
cause of their current depression. They also tended to emphasise their own
negative ways of interpreting those events, and some believed that their
depression was caused by something inside them. Adolescents' causal beliefs are
likely to have implications for the way they seek help and engage in treatment,
making it important to understand how adolescents understand their difficulties.
PMID- 27207090
TI - Widespread Disrupted White Matter Microstructure in Autism Spectrum Disorders.
AB - Autism Spectrum Disorders (ASDs) are characterised by impaired social
communication and restricted repetitive behaviours. Researchers posit that these
core features may be underpinned by disrupted structural connectivity. A tract
based spatial statistical analysis of diffusion MRI data was performed to
investigate white matter organisation (an indication of structural connectivity)
in a well-defined cohort of 45 ASD and 45 age and IQ matched control
participants. Aberrant structural connectivity characterised by reduced
fractional anisotropy was observed in several fiber pathways in ASD relative to
controls. Disrupted white matter organisation was associated with social deficits
and restricted repetitive behaviours in ASD. Abnormal structural connectivity is
apparent in ASD and may be linked to the core behavioural features of the
disorder.
PMID- 27207091
TI - Characterizing Objective Quality of Life and Normative Outcomes in Adults with
Autism Spectrum Disorder: An Exploratory Latent Class Analysis.
AB - This study aims to extend the definition of quality of life (QoL) for adults with
autism spectrum disorder (ASD, n = 180, ages 23-60) by: (1) characterizing the
heterogeneity of normative outcomes (employment, independent living, social
engagement) and objective QoL (physical health, neighborhood quality, family
contact, mental health issues); and (2) identifying predictors of positive
normative outcomes and good objective QoL. Findings of an exploratory latent
class analysis identified three groups of adults with ASD-Greater Dependence,
Good Physical and Mental Health, and Greater Independence. Findings indicate that
better daily living skills, better executive function, and more maternal warmth
are associated with assignment to better outcome groups. Findings have
implications for interventions designed to enhance achievement of normative
outcomes and objective QoL.
PMID- 27207094
TI - Is Extended Lymphadenectomy Needed for Elderly Patients with Gastric
Adenocarcinoma?
PMID- 27207095
TI - Feasibility and Outcomes of the Third or More Episodes of Sequential
Microvascular Reconstruction for Recurrent or Second Primary Oral Cancer.
AB - BACKGROUND: This study was a robust examination of the clinical outcomes and
technical feasibility of sequential microvascular reconstruction for recurrent or
second primary oral cancer. METHODS: A retrospective, cross-sectional analysis of
adult patients undergoing microvascular reconstruction of head and neck oncologic
defects was performed at Chang Gung Memorial Hospital, spanning 10 years. The
patients were divided into three groups as follows: first episode, second
episode, and third or more episodes of reconstruction. Demographics, operative
details, and clinical outcomes were compared. RESULTS: The study cohort included
3186, 319, and 62 patients who respectively received first, second, and third or
more episodes of reconstruction. The most common tumor sites were the tongue
(36.9 %) and the buccal region (36.8 %), with squamous cell carcinoma as the
prevalent histology. The anterior lateral thigh was the most popular donor site
used (76.1 %). The third or more episodes of microvascular reconstruction were
associated with an increased incidence of flap failure (8.1 %) relative to the
first (1.9 %; p = 0.003) and the second (1.6 %; p = 0.01) episodes. Re
exploration of venous occlusion (9.7 vs. 3.2 %), neck wound infections (53.2 vs.
35.5 %), fistula (17.7 vs. 8.1 %), and hospital stay (28.9 +/- 14.6 vs. 25.3 +/-
10.1) also showed significantly higher values for the third or more episodes
group than for the first episode group. CONCLUSIONS: Sequential microvascular
reconstruction for recurrent or second primary oral cancer is associated with an
increased incidence of postoperative complications. However, in appropriate
candidates for repeat ablation, microvascular reconstruction remains the gold
standard for attaining functional and cosmetic outcomes.
PMID- 27207092
TI - Autism Spectrum Disorder, Developmental and Psychiatric Features in 16p11.2
Duplication.
AB - The 16p11.2 duplication (BP4-BP5) is associated with Autism Spectrum Disorder
(ASD), although significant heterogeneity exists. Quantitative ASD, behavioral
and neuropsychological measures and DSM-IV diagnoses in child and adult carriers
were compared with familial non-carrier controls, and to published results from
deletion carriers. The 16p11.2 duplication phenotype ranges widely from
asymptomatic presentation to significant disability. The most common diagnoses
were intellectual disability, motor delays and Attention Deficit Hyperactivity
Disorder in children, and anxiety in adults. ASD occurred in nearly 20 % of child
cases, but a majority of carriers did not show the unique social features of ASD.
The 16p11.2 duplication phenotype is characterized by wider variability than the
reciprocal deletion, likely reflecting contributions from additional risk
factors.
PMID- 27207096
TI - Should New "No Ink On Tumor" Lumpectomy Margin Guidelines be Applied to Ductal
Carcinoma In Situ (DCIS)? A Retrospective Review Using Shaved Cavity Margins.
AB - BACKGROUND: No consensus exists for clear margins for breast-conserving surgery
for pure ductal carcinoma in situ (DCIS). We examined the implications of
applying a "no ink on tumor" standard for pure DCIS by correlating clear margin
width with rates of residual disease. METHODS: Lumpectomies with complete shaved
cavity margins (SCMs) for pure DCIS at our institution from 2004 to 2007 were
reviewed and patients with microinvasive cancer or multifocal disease requiring
multiple wires excluded. Rates of residual disease in shaved margins were
determined based on margin status of the main lumpectomy specimen using margin
widths of "ink on tumor," <=1, >1 to <2, and >=2 mm. RESULTS: Overall, 182 women
undergoing lumpectomy for pure DCIS met eligibility criteria. In patients with
"ink on tumor" in the main lumpectomy specimen, 88 % had residual disease in the
SCMs. Rates of residual disease in SCMs for lumpectomies with margins of <2 mm
(but not on ink) were 52 % compared with 13 % for lumpectomies with margins >=2
mm (p < 0.0005). Multivariate analyses confirmed the association of lumpectomy
margin width and residual tumor in shaved cavity margins. Odds of residual
disease in the SCM for postmenopausal patients were 74 % less than for
pre/perimenopausal women (odds ratio 0.26; confidence interval 0.08-0.82).
CONCLUSIONS: Application of a "no ink on tumor" lumpectomy margin standard to
patients with DCIS results in a significant increase in the rates of residual
disease in cavity margins compared with use of a >=2-mm margin standard. Use of
narrower margins may have important implications for use of adjuvant therapy.
PMID- 27207093
TI - Estrogen deprivation in primate pregnancy leads to insulin resistance in
offspring.
AB - This study tested the hypothesis that estrogen programs mechanisms within the
primate fetus that promote insulin sensitivity and glucose homeostasis in
offspring. Glucose tolerance tests were performed longitudinally in prepubertal
offspring of baboons untreated or treated on days 100 to 165/175 of gestation
(term is 184 days) with the aromatase inhibitor letrozole, which decreased fetal
estradiol levels by 95%. Basal plasma insulin levels were over two-fold greater
in offspring delivered to letrozole-treated than untreated animals. Moreover, the
peak 1min, average of the 1, 3, and 5min, and area under the curve blood glucose
and plasma insulin levels after an i.v. bolus of glucose were greater (P<0.05 and
P<0.01, respectively) in offspring deprived of estrogen in utero than in
untreated animals and partially or completely restored in letrozole plus
estradiol-treated baboons. The value for the homeostasis model assessment of
insulin resistance was 2.5-fold greater (P<0.02) and quantitative insulin
sensitivity check index lower (P<0.01) in offspring of letrozole-treated versus
untreated animals and returned to almost normal in letrozole plus estradiol
treated animals. The exaggerated rise in glucose and insulin levels after glucose
challenge in baboon offspring deprived of estrogen in utero indicates that
pancreatic beta cells had the capacity to secrete insulin, but that peripheral
glucose uptake and/or metabolism were impaired, indicative of insulin resistance
and glucose intolerance. We propose that estrogen normally programs mechanisms in
utero within the developing primate fetus that lead to insulin sensitivity,
normal glucose tolerance, and the capacity to metabolize glucose after birth.
PMID- 27207097
TI - Parenchymal-Sparing Hepatectomy Does Not Increase Intrahepatic Recurrence in
Patients with Advanced Colorectal Liver Metastases.
AB - BACKGROUND: Prophylactic impact of major hepatectomy (MH) on liver recurrence has
yet to be clarified in patients with advanced colorectal liver metastases (CLMs).
METHODS: In our institute, parenchymal-sparing hepatectomy (PSH) is a standard
procedure for CLMs consistently throughout initial and repeat resection, and MH
is selected only in cases in which CLMs are close to major Glisson's pedicles. We
reviewed 145 patients who underwent curative hepatectomy for advanced CLMs (>=4
nodules and <=50 mm in size) from 1999 to 2012. Surgical outcomes and survival
were compared between patients who underwent PSH and MH. RESULTS: PSH was
performed in 113 patients (77.9 %) and MH in 32 (22.1 %) patients with advanced
CLMs. Tumor characteristics and short-term outcomes did not differ between the 2
groups. Incidence of positive tumor margin (8.8 % in PSH vs 9.4 % in MH; p =
.927) and rates of liver-only recurrence (43.4 % in PSH and 50.0 % in MH; p =
.505) did not differ. No significant differences were found in 5-year overall
survival (37.0 % in PSH vs 29.4 % in MH, p = .473), recurrence-free survival (7.6
vs 6.8 %, p = .597), and liver recurrence-free survival (21.0 vs 21.3 %, p =
.691). A total of 65 patients had liver-only recurrence, for which repeat
hepatectomy was performed in 81.5 % (53 of 65) following our parenchymal-sparing
approach. CONCLUSIONS: In patients with advanced CLM, PSH does not increase
positive surgical margin or liver recurrence in comparison with MH. A parenchymal
sparing approach offers a high rate of repeat resection for liver recurrence
(salvageability).
PMID- 27207098
TI - Low Preoperative Prognostic Nutritional Index Predicts Poor Survival Post
gastrectomy in Elderly Patients with Gastric Cancer.
AB - BACKGROUND: Preoperative nutritional status may predict short- and long-term
outcomes of patients with cancer. OBJECTIVE: The aim of this study was to clarify
the impact of preoperative nutritional status on outcomes of elderly patients who
have undergone gastrectomy for gastric cancer (GC). METHODS: A review examining
147 patients treated for GC by gastrectomy at our institution between January
2004 and December 2011 was conducted. Onodera's prognostic nutritional index
(PNI) was invoked, using an optimal cutpoint to stratify patients by high (PNI >
43.8; n = 84) or low (PNI <= 43.8; n = 63) nutritional status. Clinicopathologic
features and short- and long-term outcomes, including the cause of death, were
compared. RESULTS: In multivariate analysis, low PNI was identified as an
independent correlate of poor 5-year overall survival (OS). In subgroup analysis,
5-year OS rates for patients with stage 1 GC were significantly worse in the low
PNI (vs. high PNI) patient subset, which also posed a significantly higher risk
of death from other disease; however, 5-year cancer-specific survival and PNI
were unrelated. Deaths from recurrence in both groups were statistically similar,
and morbidity rates did not differ significantly by group. CONCLUSIONS: PNI is
useful in predicting long-term outcomes of elderly patients surgically treated
for GC, helping to identify those at high risk of death from other disease. In an
effort to improve patient outcomes, nutritional status and oncologic staging
merit attention.
PMID- 27207099
TI - Babesia spp. in ticks and wildlife in different habitat types of Slovakia.
AB - BACKGROUND: Babesiosis is an emerging and potentially zoonotic disease caused by
tick-borne piroplasmids of the Babesia genus. New genetic variants of
piroplasmids with unknown associations to vectors and hosts are recognized. Data
on the occurrence of Babesia spp. in ticks and wildlife widen the knowledge on
the geographical distribution and circulation of piroplasmids in natural foci.
Questing and rodent-attached ticks, rodents, and birds were screened for the
presence of Babesia-specific DNA using molecular methods. Spatial and temporal
differences of Babesia spp. prevalence in ticks and rodents from two contrasting
habitats of Slovakia with sympatric occurrence of Ixodes ricinus and
Haemaphysalis concinna ticks and co-infections of Candidatus N. mikurensis and
Anaplasma phagocytophilum were investigated. RESULTS: Babesia spp. were detected
in 1.5 % and 6.6 % of questing I. ricinus and H. concinna, respectively.
Prevalence of Babesia-infected I. ricinus was higher in a natural than an
urban/suburban habitat. Phylogenetic analysis showed that Babesia spp. from I.
ricinus clustered with Babesia microti, Babesia venatorum, Babesia canis, Babesia
capreoli/Babesia divergens, and Babesia odocoilei. Babesia spp. amplified from H.
concinna segregated into two monophyletic clades, designated Babesia sp. 1
(Eurasia) and Babesia sp. 2 (Eurasia), each of which represents a yet undescribed
novel species. The prevalence of infection in rodents (with Apodemus flavicollis
and Myodes glareolus prevailing) with B. microti was 1.3 % in an urban/suburban
and 4.2 % in a natural habitat. The majority of infected rodents (81.3 %) were
positive for spleen and blood and the remaining for lungs and/or skin. Rodent
attached I. ricinus (accounting for 96.3 %) and H. concinna were infected with B.
microti, B. venatorum, B. capreoli/B. divergens, Babesia sp. 1 (Eurasia), and
Babesia sp. 2 (Eurasia). All B. microti and B. venatorum isolates were identical
to known zoonotic strains from Europe. Less than 1.0 % of Babesia-positive ticks
and rodents carried Candidatus N. mikurensis or A. phagocytophilum. CONCLUSION:
Our findings suggest that I. ricinus and rodents play important roles in the
epidemiology of zoonotic Babesia spp. in south-western Slovakia. Associations
with vertebrate hosts and the pathogenicity of Babesia spp. infecting H. concinna
ticks need to be further explored.
PMID- 27207100
TI - The host-pathogen interaction between wheat and yellow rust induces temporally
coordinated waves of gene expression.
AB - BACKGROUND: Understanding how plants and pathogens modulate gene expression
during the host-pathogen interaction is key to uncovering the molecular
mechanisms that regulate disease progression. Recent advances in sequencing
technologies have provided new opportunities to decode the complexity of such
interactions. In this study, we used an RNA-based sequencing approach (RNA-seq)
to assess the global expression profiles of the wheat yellow rust pathogen
Puccinia striiformis f. sp. tritici (PST) and its host during infection. RESULTS:
We performed a detailed RNA-seq time-course for a susceptible and a resistant
wheat host infected with PST. This study (i) defined the global gene expression
profiles for PST and its wheat host, (ii) substantially improved the gene models
for PST, (iii) evaluated the utility of several programmes for quantification of
global gene expression for PST and wheat, and (iv) identified clusters of
differentially expressed genes in the host and pathogen. By focusing on
components of the defence response in susceptible and resistant hosts, we were
able to visualise the effect of PST infection on the expression of various
defence components and host immune receptors. CONCLUSIONS: Our data showed
sequential, temporally coordinated activation and suppression of expression of a
suite of immune-response regulators that varied between compatible and
incompatible interactions. These findings provide the framework for a better
understanding of how PST causes disease and support the idea that PST can
suppress the expression of defence components in wheat to successfully colonize a
susceptible host.
PMID- 27207101
TI - An evolutionary approach to identify potentially protective B cell epitopes
involved in naturally acquired immunity to malaria and the role of EBA-175 in
protection amongst denizens of Bolifamba, Cameroon.
AB - BACKGROUND: The search for a vaccine against malaria caused by Plasmodium
falciparum has lasted for more than 100 years, with considerable progress in the
identification of a number of vaccine candidates. The post-genomic era offers new
opportunities for an expedited search using rational vaccine design and
prioritization of key B-cell epitopes involved in natural acquired immunity.
METHODS: Malaria vaccine candidate genes that have reached clinical trial were
searched on an evolutionary relationship tree, to determine their level of
lineage-specificity. Ten other genes with similar protein features and level of
lineage specificity to the vaccine candidates were randomly selected, and
computationally evaluated for the presence of B-cell epitopes. The protein
fragment with maximum probability of putative epitopes were synthesized and used
in an ELISA experiment to determine the presence of antibodies to these peptides,
in the serum of malaria patients and healthy malaria uninfected inhabitants from
a malaria endemic region (Bolifamba), alongside with a vaccine candidate EBA-175.
RESULTS: Two peptide fragments of 25 and 30 amino acid length from PF3D7_1233400
and PF3D7_1437500 respectively, coded as PF4-123 and PF4-143 were shown to
contain B-cell epitope(s). Total IgG antibodies to these peptides were not
significantly different between sick and healthy participants, but cytophilic
antibodies to these peptides were significantly higher in healthy participants (p
< 0.03). Total IgG to the vaccine candidate EBA-175 was significantly higher in
sick participants than in healthy participants, likewise cytophilic antibodies (p
< 0.04). Antibodies to the peptides PF4-123 and PF4-143 correlated negatively (p
= 0.025 and 0.008 and r = -0.291 and -0.345, respectively) to parasite load.
Total IgG antibodies to EBA-175 showed a negative correlation to parasite load (r
= -0.144), which was not significant (p = 0.276). Duration of stay in Bolifamba
also negatively correlated with parasite load (p = 0.026, r = -0.419) and total
IgG to PF4-143 was significantly associated with prolonged duration of stay in
the locality of Bolifamba, Cameroon (p = 0.006, r = 0.361). CONCLUSIONS: The
present study has identified two genes PF3D7_1233400 and PF3D7_1437500 containing
peptide fragment (PF4-123 and PF4-143) with B-cell epitopes that are correlated
with naturally acquired immunity to malaria. A pipeline has been developed for
rapid identification of other B-cell epitopes involved in naturally acquired
immunity.
PMID- 27207103
TI - The Porphobilinogen Conundrum in Prebiotic Routes to Tetrapyrrole Macrocycles.
AB - Attempts to develop a credible prebiotic route to tetrapyrroles have relied on
enzyme-free recapitulation of the extant biosynthesis, but this process has
foundered from the inability to form the pyrrole porphobilinogen (PBG) in good
yield by self-condensation of the precursor delta-aminolevulinic acid (ALA). PBG
undergoes robust oligomerization in aqueous solution to give uroporphyrinogen (4
isomers) in good yield. ALA, PBG, and uroporphyrinogen III are universal
precursors to all known tetrapyrrole macrocycles. The enzymic formation of PBG
entails carbon-carbon bond formation between the less stable enolate/enamine of
one ALA molecule (3-position) and the carbonyl/imine (4-position) of the second
ALA molecule; without enzymes, the first ALA reacts at the more stable
enolate/enamine (5-position) and gives the pyrrole pseudo-PBG. pseudo-PBG cannot
self-condense, yet has one open alpha-pyrrole position and is proposed to be a
terminator of oligopyrromethane chain-growth from PBG. Here, 23 analogues of ALA
have been subjected to density functional theoretical (DFT) calculations, but no
motif has been identified that directs reaction at the 3-position. Deuteriation
experiments suggested 5-(phosphonooxy)levulinic acid would react preferentially
at the 3- versus 5-position, but a hybrid condensation with ALA gave no
observable uroporphyrin. The results suggest efforts toward a biomimetic, enzyme
free route to tetrapyrroles from ALA should turn away from structure-directed
reactions and focus on catalysts that orient the two aminoketones to form PBG in
a kinetically controlled process, thereby avoiding formation of pseudo-PBG.
PMID- 27207104
TI - Implementation plans included in World Health Organisation guidelines.
AB - BACKGROUND: The implementation of high-quality guidelines is essential to improve
clinical practice and public health. The World Health Organisation (WHO) develops
evidence-based public health and other guidelines that are used or adapted by
countries around the world. Detailed implementation plans are often necessary for
local policymakers to properly use the guidelines developed by WHO. This paper
describes the plans for guideline implementation reported in WHO guidelines and
indicates which of these plans are evidence-based. METHODS: We conducted a
content analysis of the implementation sections of WHO guidelines approved by the
WHO guideline review committee between December 2007 and May 2015. The
implementation techniques reported in each guideline were coded according to the
Cochrane Collaboration's Effective Practice and Organisation of Care (EPOC)
taxonomy and classified as passive, active or policy strategies. The frequencies
of implementation techniques are reported. RESULTS: The WHO guidelines (n = 123)
analysed mentioned implementation techniques 800 times, although most mentioned
implementation techniques very briefly, if at all. Passive strategies (21 %,
167/800) and general policy strategies (62 %, 496/800) occurred most often.
Evidence-based active implementation methods were generally neglected with no
guideline mentioning reminders (computerised or paper) and only one mentioning a
multifaceted approach. Many guidelines contained implementation sections that
were identical to those used in older guidelines produced by the same WHO
technical unit. CONCLUSIONS: The prevalence of passive and policy-based
implementation techniques as opposed to evidence-based active techniques suggests
that WHO guidelines should contain stronger guidance for implementation. This
could include structured and increased detail on implementation considerations,
accompanying or linked documents that provide information on what is needed to
contextualise or adapt a guideline and specific options from among evidence-based
implementation strategies.
PMID- 27207102
TI - Increased hypothalamic serotonin turnover in inflammation-induced anorexia.
AB - BACKGROUND: Anorexia can occur as a serious complication of disease. Increasing
evidence suggests that inflammation plays a major role, along with a hypothalamic
dysregulation characterized by locally elevated serotonin levels. The present
study was undertaken to further explore the connections between peripheral
inflammation, anorexia and hypothalamic serotonin metabolism and signaling
pathways. First, we investigated the response of two hypothalamic neuronal cell
lines to TNFalpha, IL-6 and LPS. Next, we studied transcriptomic changes and
serotonergic activity in the hypothalamus of mice after intraperitoneal injection
with TNFalpha, IL-6 or a combination of TNFalpha and IL-6. RESULTS: In vitro, we
showed that hypothalamic neurons responded to inflammatory mediators by releasing
cytokines. This inflammatory response was associated with an increased serotonin
release. Mice injected with TNFalpha and IL-6 showed decreased food intake,
associated with altered expression of inflammation-related genes in the
hypothalamus. In addition, hypothalamic serotonin turnover showed to be elevated
in treated mice. CONCLUSIONS: Overall, our results underline that peripheral
inflammation reaches the hypothalamus where it affects hypothalamic
serotoninergic metabolism. These hypothalamic changes in serotonin pathways are
associated with decreased food intake, providing evidence for a role of serotonin
in inflammation-induced anorexia.
PMID- 27207105
TI - Effects of simvastatin, rosuvastatin and pravastatin on soluble fms-like tyrosine
kinase 1 (sFlt-1) and soluble endoglin (sENG) secretion from human umbilical vein
endothelial cells, primary trophoblast cells and placenta.
AB - BACKGROUND: Preeclampsia is associated with the placental release of soluble fms
like tyrosine kinase 1 (sFlt-1) and soluble endoglin (sENG). These anti
angiogenic factors cause hypertension and multi-organ injury. Pravastatin
decreases placental secretion of sFlt-1 in vitro and is currently being examined
in clinical trials as a potential treatment for preeclampsia. However, it is
possible that different classes of statins may be more potent at decreasing sFlt
1 secretion. We compared the relative potency of three different generations of
statins on sFlt-1 and sENG secretion from human endothelial cells, trophoblast
cells, and placenta explants. METHODS: We performed functional experiments using
primary human umbilical vein endothelial cells, trophoblast cells and preterm
preeclamptic placental explants to assess the affect of simvastatin, rosuvastatin
and pravastatin on sFlt-1 and sENG secretion and compared the relative potency of
each statin at reducing these factors (Inhibitory Concentration 50). Furthermore
we assessed the effect of each statin on the antioxidant and cytoprotective
enzyme, heme-oxygenase 1. RESULTS: All statins reduced sFlt-1 secretion from
endothelial cells, trophoblasts and preterm preeclamptic placental explants.
Simvastatin was the most potent inhibitor of sFlt-1 secretion from endothelial
cells (IC 50 3.2 MUM), trophoblast cells (IC 50 61.4 MUM) and placental explants.
Simvastatin was 28 times and 3 times more potent at reducing sFlt-1 secretion
from endothelial cells and 85 times and 33 times more potent at reducing sFlt-1
secretion from trophoblast cells than pravastatin or rosuvastatin respectively.
All statins increased sENG secretion from endothelial cells however did not
change secretion from placental explants. While all statins up-regulated heme
oxygenase 1 in endothelial cells, only simvastatin up-regulated its expression in
placenta from patients with preterm preeclampsia. CONCLUSION: Simvastatin may be
a more potent inhibitor of sFlt-1 secretion from endothelial cells, trophoblast
cells and placenta from women with preterm preeclampsia than either pravastatin
or rosuvastatin.
PMID- 27207106
TI - Interplay of enzymatic and structural functions of CaMKII in long-term
potentiation.
AB - Since the discovery of long-term potentiation (LTP) about a half-century ago,
Ca2+ /CaM-dependent protein kinase II (CaMKII) has been one of the most
extensively studied components of the molecular machinery that regulate
plasticity. This unique dodecameric kinase complex plays pivotal roles in LTP by
phosphorylating substrates through elaborate regulatory mechanisms, and is known
to be both necessary and sufficient for LTP. In addition to acting as a kinase,
CaMKII has been postulated to have structural roles because of its extraordinary
abundance and diverse interacting partners. It now is becoming clear that these
two functions of CaMKII cooperate closely for the induction of both functional
and structural synaptic plasticity of dendritic spines. Because of its
extraordinary abundance within neuronal cells, calmodulin kinase CaMKII has been
believed to act as a structural protein as well as an enzyme during synaptic
plasticity. In this review, we summarized studies in CaMKII field and provide an
insight into how enzymatic and structural functions of CaMKII cooperate with each
other for long-term potentiation (LTP) in neurons. This article is part of a mini
review series: "Synaptic Function and Dysfunction in Brain Diseases".
PMID- 27207108
TI - Immune escape to PD-L1/PD-1 blockade: seven steps to success (or failure).
AB - The emergence of programmed death-ligand 1 (PD-L1)/programmed death-1 (PD-1)
targeted therapy has demonstrated the importance of the PD-L1 : PD-1 interaction
in inhibiting anticancer T-cell immunity in multiple human cancers, generating
durable responses and extended overall survival. However, not all patients
treated with PD-L1/PD-1-targeted therapy experience tumor shrinkage, durable
responses, or prolonged survival. To extend such benefits to more cancer
patients, it is necessary to understand why some patients experience primary or
secondary immune escape, in which the immune response is incapable of eradicating
all cancer cells. Understanding immune escape from PD-L1/PD-1-targeted therapy
will be important to the development of rational immune-combination therapy and
predictive diagnostics and to the identification of novel immune targets. Factors
that likely relate to immune escape include the lack of strong cancer antigens or
epitopes recognized by T cells, minimal activation of cancer-specific T cells,
poor infiltration of T cells into tumors, downregulation of the major
histocompatibility complex on cancer cells, and immunosuppressive factors and
cells in the tumor microenvironment. Precisely identifying and understanding
these mechanisms of immune escape in individual cancer patients will allow for
personalized cancer immunotherapy, in which monotherapy and combination
immunotherapy are chosen based on the presence of specific immune biology. This
approach may enable treatment with immunotherapy without inducing immune escape,
resulting in a larger proportion of patients obtaining clinical benefit.
PMID- 27207107
TI - Correlation of EGFR-expression with safety and efficacy outcomes in SQUIRE: a
randomized, multicenter, open-label, phase III study of gemcitabine-cisplatin
plus necitumumab versus gemcitabine-cisplatin alone in the first-line treatment
of patients with stage IV squamous non-small-cell lung cancer.
AB - BACKGROUND: SQUIRE demonstrated addition of necitumumab to gemcitabine and
cisplatin significantly improved survival in patients with stage IV sq-NSCLC.
Here, we report additional outcomes for the subpopulation of patients with tumor
epidermal growth factor receptor (EGFR) protein expression. PATIENTS AND METHODS:
Patients with pathologically confirmed stage IV sq-NSCLC were randomized 1:1 to
receive a maximum of six 3-week cycles of gemcitabine (1250 mg/m(2) i.v., days 1
and 8) and cisplatin (75 mg/m(2) i.v., day 1) chemotherapy with or without
necitumumab (800 mg i.v., days 1 and 8). Patients in the chemotherapy plus
necitumumab group with no progression continued on necitumumab alone until
disease progression or intolerable toxicity. SQUIRE included mandatory tissue
collection. EGFR protein expression was detected by immunohistochemistry (IHC) in
a central laboratory. Exploratory analyses were pre-specified for patients with
EGFR protein expressing (EGFR > 0) and non-expressing (EGFR = 0) tumors. RESULTS:
A total of 982 patients [90% of intention-to-treat (ITT)] had evaluable IHC
results. The large majority of these patients (95%) had tumor samples expressing
EGFR protein; only 5% had tumors without detectable EGFR protein. Overall
survival (OS) for EGFR > 0 patients was significantly longer in the necitumumab
plus gemcitabine-cisplatin group than in the gemcitabine-cisplatin group
{stratified hazard ratio (HR) 0.79 [95% confidence interval (CI) 0.69, 0.92; P =
0.002]; median 11.7 months (95% CI 10.7, 12.9) versus 10.0 months (8.9, 11.4)}.
Additionally, an OS benefit was seen in all pre-specified subgroups in EGFR > 0
patients. However, OS HR for EGFR = 0 was 1.52. Adverse events of interest with
the largest difference between treatment groups in EGFR > 0 patients (Grade >=3)
were hypomagnesemia (10% versus <1%) and skin rash (6% versus <1%). CONCLUSIONS:
In line with SQUIRE ITT, addition of necitumumab to gemcitabine-cisplatin
significantly prolonged OS and was generally well tolerated in the subpopulation
of patients with EGFR-expressing advanced sq-NSCLC. The benefit from addition of
necitumumab to chemotherapy was not apparent in this analysis for the small
subgroup of patients with non-EGFR-expressing tumors. CLINICAL TRIAL:
NCT00981058.
PMID- 27207109
TI - Community survey on awareness and use of obstetric ultrasonography in rural
Sarlahi District, Nepal.
AB - OBJECTIVE: To assess levels of awareness and use of obstetric ultrasonography in
rural Nepal. METHODS: Between March 2014 and March 2015, a cross-sectional survey
was conducted among married women aged 15-40years residing in rural Sarlahi
District, Nepal, regarding their knowledge and use of obstetric ultrasonography
during their most recent pregnancy. Regression analyses were conducted to
identify reproductive health, socioeconomic, and other characteristics that
increased the likelihood of undergoing an obstetric ultrasonographic examination.
RESULTS: Among 6182 women, 1630 (26.4%) had undergone obstetric ultrasonography
during their most recent pregnancy, of whom 1011 (62.0%) received only one
examination. Odds of receiving an ultrasonographic examination were higher among
women with post-secondary education than among those with none (>=11years'
education: adjusted odds ratio [aOR] 10.28, 95% confidence interval [CI] 5.55
19.04), and among women whose husbands had post-secondary education than among
those with husbands with none (>=11years' education: aOR 1.99, 95% CI 1.47-2.69).
Odds were lower among women younger than 18years than among those aged 18-34years
(aOR 0.72, 95% confidence interval 0.59-0.90). CONCLUSION: Utilization of
obstetric ultrasonography in rural Nepal was very limited. Further research is
necessary to assess the potential health impact of obstetric ultrasonography in
low-resource settings, while addressing limitations such as cost and misuse.
PMID- 27207111
TI - Adenomas as a risk factor in familial colorectal cancer: implications for
screening and surveillance in the UK.
AB - Colorectal cancer (CRC) develops from normal epithelium, through dysplastic
adenoma to invasive carcinoma. In addition to familial adenomatous polyposis and
Lynch syndrome, approximately 10-35% of CRCs are familial in nature. CRC
screening and surveillance programmes are based on an understanding of the
natural history of polyps and rely on the ability to remove premalignant lesions
endoscopically before they are capable of developing invasion. There are,
however, significant differences in these guidelines between the UK and the USA
in relation to the weight attributed to a family history of polyps. Here, using
publicly available national data sets, we show that these differences in
guidelines unexpectedly generate inadequate screening recommendations for second
degree relatives of patients with CRC in the UK. We validate our simple
mathematical modelling of the clinical problem on a regional data set as well as
previously published study data to demonstrate the correct interpretation. We
further discuss the implications of a family history of adenoma formation in the
current climate of the Bowel Cancer Screening Programme and suggest a re
evaluation of the UK guidelines in the light of this developing issue.
PMID- 27207110
TI - Awareness and prevalence of cervical cancer screening among women in Nepal.
AB - OBJECTIVE: To estimate awareness and prevalence of cervical smear testing among
women in Nepal. METHODS: A secondary analysis of data obtained as part of a
nationwide household survey between May 25 and June 14, 2015, was undertaken.
Information obtained from women aged 21-65years was included. Multiple regression
analyses were performed to identify factors associated with having undergone
cervical smear testing. RESULTS: A total of 829 women were included. Among 816
women who answered the relevant survey question, 710 (87.0%) had no knowledge of
cervical smear tests. Only 39 (4.7%) of the 829 women had ever undergone a
cervical smear. In multivariate analysis, having undergone a cervical smear was
associated with literacy (adjusted odds ratio [aOR] 3.26, 95% confidence interval
[CI] 1.25-8.51; P=0.016) and living in rural areas (aOR 0.48, 95% CI 0.24-0.96;
P=0.038). CONCLUSION: Nepali women rarely undergo cervical smear screening, with
the lowest prevalence recorded among the illiterate and those living in rural
areas. To boost screening rates, educational campaigns and rural outreach are
needed.
PMID- 27207112
TI - Color structured light imaging of skin.
AB - We illustrate wide-field imaging of skin using a structured light (SL) approach
that highlights the contrast from superficial tissue scattering. Setting the
spatial frequency of the SL in a regime that limits the penetration depth
effectively gates the image for photons that originate from the skin surface.
Further, rendering the SL images in a color format provides an intuitive format
for viewing skin pathologies. We demonstrate this approach in skin pathologies
using a custom-built handheld SL imaging system.
PMID- 27207114
TI - Ex vivo laser lipolysis assisted with radially diffusing optical applicator.
AB - Laser-assisted lipolysis has been implemented to reduce body fat in light of
thermal interactions with adipose tissue. However, using a flat fiber with high
irradiance often needs rapid cannula movements and even undesirable thermal
injury due to direct tissue contact. The aim of the current study was to explore
the feasibility of a radially diffusing optical applicator to liquefy the adipose
tissue for effective laser lipolysis. The proposed diffuser was evaluated with a
flat fiber in terms of temperature elevation and tissue liquefaction after laser
lipolysis with a 980-nm wavelength. Given the same power (20 W), the diffusing
applicator generated a 30% slower temperature increase with a 25% lower maximum
temperature (84+/-3.2 degrees C in 1 min; p<0.001) in the tissue, compared with
the flat fiber. Under the equivalent temperature development, the diffuser
induced up to fivefold larger area of the adipose liquefaction due to radial
light emission than the flat fiber. Ex vivo tissue tests for 5-min irradiation
demonstrated that the diffuser (1.24+/-0.15 g) liquefied 66% more adipose tissue
than the flat fiber (0.75+/-0.05 g). The proposed diffusing applicator can be a
feasible therapeutic device for laser lipolysis due to low temperature
development and wide coverage of thermal treatment.
PMID- 27207113
TI - In vivo photoacoustic microscopy of human cuticle microvasculature with single
cell resolution.
AB - As a window on the microcirculation, human cuticle capillaries provide rich
information about the microvasculature, such as its morphology, density,
dimensions, or even blood flow speed. Many imaging technologies have been
employed to image human cuticle microvasculature. However, almost none of these
techniques can noninvasively observe the process of oxygen release from single
red blood cells (RBCs), an observation which can be used to study healthy tissue
functionalities or to diagnose, stage, or monitor diseases. For the first time,
we adapted single-cell resolution photoacoustic (PA) microscopy (PA
flowoxigraphy) to image cuticle capillaries and quantified multiple functional
parameters. Our results show more oxygen release in the curved cuticle tip region
than in other regions of a cuticle capillary loop, associated with a low of RBC
flow speed in the tip region. Further analysis suggests that in addition to the
RBC flow speed, other factors, such as the drop of the partial oxygen pressure in
the tip region, drive RBCs to release more oxygen in the tip region.
PMID- 27207115
TI - Pharmacological Treatment of Pain in Cancer Patients: The Role of Adjuvant
Analgesics, a Systematic Review.
AB - CONTEXT: In patients with cancer, pain is one of the most feared and burdensome
symptoms. Adjuvant analgesics are an important cornerstone on which treatment of
pain in patients with cancer is based. OBJECTIVES: To update our guidelines for
the treatment of pain in patients with cancer, we performed a systematic review
on the use of adjuvant analgesics in pain in cancer. METHODS: A systematic search
of the literature was performed searching for articles that studied the effect of
(1) antidepressants, (2) anti-epileptics, (3) N-methyl-d-aspartate (NMDA)
receptor antagonists, and (4) other adjuvant analgesics in patients with cancer
pain and described their effects on pain intensity and/or side effects. RESULTS:
Based on the keywords and after reading the full papers, we could include 12
papers on anticonvulsants, 10 papers on antidepressants, four on NMDA receptor
antagonists, and 10 papers on other adjuvant analgesics. The methodological
quality of the included papers was graded as low to very low. Overall, there was
a low quality of evidence that gabapentin, pregabalin, amitriptyline, and
venlafaxine were effective in reducing pain intensity in patients with cancer
pain. There was insufficient evidence on the effectiveness of lamotrigine,
levetiracetam, NMDA antagonists, cannabinoids, corticosteroids, and local
anesthetics on reducing pain intensity in patients with cancer pain. CONCLUSION:
The quality of currently available evidence on the effectiveness of adjuvant
analgesics in the treatment of cancer pain is low. The treatment of pain
associated with cancer should be tailored to the patient's personal preferences.
PMID- 27207116
TI - Achieving flow in surgery.
PMID- 27207117
TI - Historical perspectives of The American Association for Thoracic Surgery: Joel D.
Cooper.
PMID- 27207118
TI - Venovenous extracorporeal membrane oxygenation for patients with single-ventricle
anatomy: A registry report.
PMID- 27207119
TI - Expanding your practice goes beyond face-to-face.
PMID- 27207120
TI - Surgery for acquired cardiac disease: An evolving paradigm with a promising
future.
PMID- 27207121
TI - Propensity-score analysis in thoracic surgery: When, why, and an introduction to
how.
AB - Propensity score matching is a valuable tool for dealing with observational data
and nonrandom treatment assignment, which often results in groups that differ
systematically in numerous measured and unmeasured variables. When these
systematically different variables are associated with both group assignment and
the outcome(s) of interest, bias is introduced. Propensity score matching assigns
a propensity for group assignment, which is then used to create 2 groups that are
balanced across all possible variables that might influence exposure assignment.
When used in the proper conditions, these analytics allow for more accurate and
precise estimates of risk for a variety of outcomes.
PMID- 27207122
TI - Fibrosis in Fontan physiology.
PMID- 27207123
TI - Taming the Fontan with the Y-graft: A nod and a wink to the great Yu.
PMID- 27207125
TI - Discussion.
PMID- 27207126
TI - Appropriate lung cancer treatments: A missed opportunity . . . or an opportunity
to modify?
PMID- 27207124
TI - Patterns of care in hilar node-positive (N1) non-small cell lung cancer: A missed
treatment opportunity?
AB - BACKGROUND: For patients with non-small cell lung cancer (NSCLC) metastatic to
hilar lymph nodes (N1), guidelines recommend surgery and adjuvant chemotherapy in
operable patients and chemoradiation (CRT) for those deemed inoperable. It is
unclear how these recommendations are applied nationally, however. METHODS: The
National Cancer Database was queried to identify patients with a tumor <7 cm
(T1/T2) with clinically positive N1 nodes. Patients undergoing CRT (comprising
chemotherapy and radiation >45 Gy) or surgical resection were considered
adequately treated. Remaining patients were classified as receiving inadequate or
no treatment. RESULTS: Of the 20,366 patients who met the study criteria, 63%
underwent adequate treatment (48% surgical resection, 15% CRT). The remainder
received inadequate treatment (23%) or no treatment (14%). In univariate
analysis, the patients receiving inadequate or no treatment were older, tended to
be non-Caucasian, had a lower income, and had a higher comorbidity score.
Patients undergoing adequate treatment had improved overall survival (OS)
compared with those receiving inadequate or no treatment (median OS, 34.0 months
vs 11.7 months; P < .001). Of those receiving adequate treatment, logistic
regression identified several variables associated with surgical resection,
including treatment at an academic facility, Caucasian race, and annual income
>$35,000. Increasing age and T2 stage were associated with nonoperative
management. Following propensity score matching of 2308 patient pairs undergoing
surgery or CRT, resection was associated with longer median OS (34.1 months vs
22.0 months; P < .001). CONCLUSIONS: Despite the established guidelines, many
patients with T1-2N1 NSCLC do not receive adequate treatment. Surgery is
associated with prolonged survival in selected patients. Surgical input in the
multidisciplinary evaluation of these patients should be mandatory.
PMID- 27207127
TI - Sutureless technology for aortic valve replacement: Looking beyond crossclamp
time.
PMID- 27207128
TI - Knife saves life.
PMID- 27207129
TI - Venovenous extracorporeal membrane oxygenation and single-ventricle patients: A
good match?
PMID- 27207130
TI - Lower-extremity complications with femoral extracorporeal life support.
AB - OBJECTIVE: Patients undergoing emergency peripheral arteriovenous extracorporeal
life support were evaluated for lower-extremity complications on the basis of the
ipsilateral limb perfusion strategy. METHODS: In a retrospective review of
patients receiving extracorporeal life support (2008-2013), 105 of 250 underwent
femoral extracorporeal life support. For ipsilateral lower-extremity perfusion,
patients underwent no superficial femoral artery cannulation (n = 35),
percutaneous superficial femoral artery cannulation (n = 23), or open superficial
femoral artery cannulation (n = 47). RESULTS: Patients' mean age was 50 +/- 16
years, and 63% (n = 67) were male. A total of 92 patients (88%) had primary
cardiac emergency, and 13 patients (12%) had cardiopulmonary emergency. The 30
day in-hospital mortality was 65% (n = 68), with an overall lower-extremity
complication rate of 13% (n = 14). Lower-extremity complications were highest in
the percutaneous superficial femoral artery (n = 6, 26%) and no superficial
femoral artery (n = 7, 20%) groups (n = 1 [2%] in open superficial femoral artery
group). In 2 group comparisons, the open superficial femoral artery group had
significantly lower lower-extremity complications than the no superficial femoral
artery (P = .02) and percutaneous superficial femoral artery (P = .004) groups.
There was no difference between the no superficial femoral artery and
percutaneous superficial femoral artery groups (P = .7). In the no superficial
femoral artery group, emergency thromboembolectomy (n = 2), fasciotomy (n = 3),
and emergency superficial femoral artery cannula placement (n = 2) were required.
In the percutaneous superficial femoral artery group, thromboembolectomy with
superficial femoral artery repair (n = 2), fasciotomy (n = 1), below-knee
amputation (n = 1), open superficial femoral artery cannula revision (n = 1), and
loss of distal signals from multiorgan failure (n = 1) were noted. In the open
superficial femoral artery group, 1 patient had loss of signals from multiorgan
failure. CONCLUSIONS: No superficial femoral artery perfusion strategy is
associated with a high lower-extremity complication rate. If percutaneous
cannulation is performed, then angiographic confirmation of superficial femoral
artery run-off is highly recommended. The open superficial femoral artery
approach remains a safe alternative to the other strategies.
PMID- 27207131
TI - Discussion.
PMID- 27207132
TI - Are there gender differences in patients with acute type A aortic dissection?
PMID- 27207133
TI - Gender differences in acute type a aortic dissection.
PMID- 27207134
TI - Complete mitochondrial genome of Angiostrongylus malaysiensis lungworm and
molecular phylogeny of Metastrongyloid nematodes.
AB - Angiostrongylus malaysiensis is a nematode parasite of various rat species. When
first documented in Malaysia, it was referred to as A. cantonensis. Unlike A.
cantonensis, the complete mitochondrial genome of A. malaysiensis has not been
documented. We report here its complete mitogenome, its differentiation from A.
cantonensis, and the phylogenetic relationships with its congeners and other
Metastrongyloid taxa. The whole mitogenome of A. malaysiensis had a total length
of 13,516bp, comprising 36 genes (12 PCGs, 2 rRNA and 22 tRNA genes) and a
control region. It is longer than that of A. cantonensis (13,509bp). Its control
region had a long poly T-stretch of 12bp which was not present in A. cantonensis.
A. malaysiensis and A. cantonensis had identical start codon for the 12 PCGs, but
four PCGs (atp6, cob, nad2, nad6) had different stop codon. The cloverleaf
structure for the 22 tRNAs was similar in A. malaysiensis and A. cantonensis
except the TPsiC-arm was absent in trnV for A. malaysiensis but present in A.
cantonensis. The Angiostrongylus genus was monophyletic, with A. malaysiensis and
A. cantonensis forming a distinct lineage from that of A. costaricensis and A.
vasorum. The genetic distance between A. malaysiensis and A. cantonensis was
p=11.9% based on 12 PCGs, p=9.5% based on 2 rRNA genes, and p=11.6% based on 14
mt-genes. The mitogenome will prove useful for studies on phylogenetics and
systematics of Angiostrongylus lungworms and other Metastrongyloid nematodes.
PMID- 27207135
TI - Genetic variation between Schistosoma japonicum lineages from lake and
mountainous regions in China revealed by resequencing whole genomes.
AB - Schistosoma infection is a major cause of morbidity and mortality worldwide.
Schistosomiasis japonica is endemic in mainland China along the Yangtze River,
typically distributed in two geographical categories of lake and mountainous
regions. Study on schistosome genetic diversity is of interest in respect of
understanding parasite biology and transmission, and formulating control
strategy. Certain genetic variations may be associated with adaptations to
different ecological habitats. The aim of this study is to gain insight into
Schistosoma japonicum genetic variation, evolutionary origin and associated
causes of different geographic lineages through examining homozygous Single
Nucleotide Polymorphisms (SNPs) based on resequenced genome data. We collected S.
japonicum samples from four sites, three in the lake regions (LR) of mid-east
(Guichi and Tonglin in Anhui province, Laogang in Hunan province) and one in
mountainous region (MR) (Xichang in Sichuan province) of south-west of China,
resequenced their genomes using Next Generation Sequencing (NGS) technology, and
made use of the available database of S. japonicum draft genomic sequence as a
reference in genome mapping. A total of 14,575 SNPs from 2059 genes were
identified in the four lineages. Phylogenetic analysis confirmed significant
genetic variation exhibited between the different geographical lineages, and
further revealed that the MR Xichang lineage is phylogenetically closer to LR
Guich lineage than to other two LR lineages, and the MR lineage might be evolved
from LR lineages. More than two thirds of detected SNPs were nonsynonymous;
functional annotation of the SNP-containing genes showed that they are involved
mainly in biological processes such as signaling and response to stimuli.
Notably, unique nonsynonymous SNP variations were detected in 66 genes of MR
lineage, inferring possible genetic adaption to mountainous ecological condition.
PMID- 27207136
TI - Erratum to: Insights into bacterial protein glycosylation in human microbiota.
PMID- 27207137
TI - DNA-guided genome editing tool.
PMID- 27207138
TI - Understanding the pathogenic evolution of Zika virus.
PMID- 27207139
TI - Medial sural artery perforator flap in head and neck reconstruction.
AB - Medial sural artery perforator (MSAP) flap is a relatively new flap which is a
modification of medial gastrocnemius myocutaneous flap. Both radial forearm flap
and MSAP has common benefits, such as thinness, long pedicle and pliability;
however, MSAP has lower donor site morbidity when compared with radial forearm
flap. Because of this reason, the MSAP flap has gained popularity during the last
decade. The objective of this study was to determine clinical application results
of this flap in reconstruction of post-oncologic defects in the head and neck
region. 11 patients operated for head and neck post oncologic defects and
reconstructed with MSAP between June 2014 and Dec 2015 were included in the
study. Age, gender, histopathology, area of reconstruction, flap size, number of
perforators were reviewed. Postoperatively recipient and donor site
complications, hospital stay and additional surgical procedures were also
analyzed. We had seven uncomplicated cases; one total flap failure due to
arterial problem, in three cases due fistula formation and local wound healing
problems additional surgeries were performed. All venous anastomosis were
performed with 9/0 sutures, nine arterial anastomosis were performed with 9/0 and
two arterial anastomosis were performed with 10/0 nylon sutures. Medial sural
artery perforator flap is a good alternative in head and neck reconstruction,
with the advantages of thin and pliable skin, a reliable vascular pedicle,
straightforward intramuscular dissection. But there are certain drawbacks like
tedious pedicle and perforator dissection, small arterial pedicle size which
complicates anastomosis and obscurities of anatomy. Surgical team must always be
ready for a difficult micro anastomosis and an alternative flap choice must be
prepared and counseled with the patient in case of inadequate perforators.
PMID- 27207140
TI - Better consenting for thyroidectomy: who has an increased risk of postoperative
hypocalcaemia?
AB - Hypocalcaemia is the most common complication following thyroidectomy. This study
aimed to establish the factors associated with increased risk of hypocalcaemia on
day 1 following thyroidectomy. All patients who underwent thyroidectomy under a
single consultant during a 5-year period were included. A multivariate analysis
was undertaken to ascertain which variables had the most effect on the risk of
hypocalcaemia. A prognosis table was constructed to allow risk to be predicted
for individual patients based on these factors. Included in the analysis were 210
procedures and 194 patients. Eighty-two percent of patients had no calcium
derangement postoperatively. Fourteen point nine percent were categorised as
early hypocalcaemia, 1 % had protracted hypocalcaemia and 2.1 % had permanent
hypocalcaemia. For hemi-thyroidectomies 2.8 % had postoperative hypocalcaemia and
0.9 % had permanent hypocalcaemia. The multivariate analysis revealed total
thyroidectomy (risk ratio 26.5, p < 0.0001), diabetes (risk ratio 4.8, p = 0.07)
and thyrotoxicosis (risk ratio 3.1, p = 0.04) as statistically significant
variables for early postoperative hypocalcaemia. Gender as an isolated factor did
not reach significance but was included in the model. The p value for the model
was p < 1 * 10-12. Total thyroidectomy increases risk of early hypocalcaemia when
compared to hemithyroidectomy. Gender, diabetes and thyrotoxicosis were also been
found to influence the risk. All of these factors are available pre-operatively
and can therefore be used to predict a more specific risk for individual
patients. It is hoped that this can lead to better informed consent, prevention
and better resource allocation.
PMID- 27207141
TI - Is the remedy temporary surgical procedures in primer snoring?
PMID- 27207142
TI - Systemic inflammation in patients with compromised upper airway anatomy and
primary snoring or mild obstructive sleep apnea.
AB - Our aim was to study associations between serum fibrinogen and C-reactive protein
(CRP) levels and respiratory parameters on polysomnography (PSG) in patients with
snoring as their main complaint and compromised upper airway anatomy. In this
retrospective study, consecutive patients (43 female and 132 male; age range 11
82 years, respiratory distress index-RDI range 0.1-94.4/h) with snoring as their
main complaint and compromised upper airway anatomy who underwent PSG were
assessed. Spearman's Rho coefficients between RDI, AI (apnea index), hypopnea
index (HI), average and lowest SpO2 (in %) and CRP- and fibrinogen serum levels
were calculated. Comparisons between groups were made using Wilcoxon-W test.
Patients with CRP > 5 mg/dl (22 % of the cohort) had significantly increased RDI,
AI, average and lowest SpO2 than patients with CRP < 5 mg/dl. Increased
correlation coefficients were observed for average SpO2 (-0.386), RDI (0.355),
lowest SpO2 (-0.323) and AI (0.309). Patients with fibrinogen >350 mg/dl (in 33
%) had significantly increased RDI, HI, AI, average and lowest SpO2 than patients
with fibrinogen <350 mg/dl. Increased correlation coefficients were found for
average (-0.340) and lowest (-0.268) SpO2, RDI (0.236) and AI (0.229). Even
patients with RDI < 15/h had increased serum CRP-(in 11 %) and/or fibrinogen-(in
19 %) levels. Simultaneous elevation of both CRP and fibrinogen levels occurred
only in patients with RDI > 5/h. Systemic inflammation is strongly associated
with average and lowest SpO2, RDI and AI (and with HI) in snorers with
compromised upper airway anatomy and is present even in patients with primary
snoring and mild obstructive sleep apnea.
PMID- 27207144
TI - The transcriptional regulator c2h2 accelerates mushroom formation in Agaricus
bisporus.
AB - The Cys2His2 zinc finger protein gene c2h2 of Schizophyllum commune is involved
in mushroom formation. Its inactivation results in a strain that is arrested at
the stage of aggregate formation. In this study, the c2h2 orthologue of Agaricus
bisporus was over-expressed in this white button mushroom forming basidiomycete
using Agrobacterium-mediated transformation. Morphology, cap expansion rate, and
total number and biomass of mushrooms were not affected by over-expression of
c2h2. However, yield per day of the c2h2 over-expression strains peaked 1 day
earlier. These data and expression analysis indicate that C2H2 impacts timing of
mushroom formation at an early stage of development, making its encoding gene a
target for breeding of commercial mushroom strains.
PMID- 27207145
TI - Insights into the functionality and stability of designer cellulosomes at
elevated temperatures.
AB - Enzymatic breakdown of lignocellulose is a major limiting step in second
generation biorefineries. Assembly of the necessary activities into designer
cellulosomes increases the productivity of this step by enhancing enzyme synergy
through the proximity effect. However, most cellulosomal components are obtained
from mesophilic microorganisms, limiting the applications to temperatures up to
50 degrees C. We hypothesized that a scaffoldin, comprising modular components
of mainly mesophilic origin, can function at higher temperatures when combined
with thermophilic enzymes, and the resulting designer cellulosomes could be
employed in higher temperature reactions. For this purpose, we used a tetravalent
scaffoldin constituted of three cohesins of mesophilic origin as well as a
cohesin and cellulose-binding module derived from the thermophilic bacterium
Clostridium thermocellum. The scaffoldin was combined with four thermophilic
enzymes from Geobacillus and Caldicellulosiruptor species, each fused with a
dockerin whose specificity matched one of the cohesins. We initially verified
that the biochemical properties and thermal stability of the resulting chimeric
enzymes were not affected by the presence of the mesophilic dockerins. Then we
examined the stability of the individual single-enzyme-scaffoldin complexes and
the full tetravalent cellulosome showing that all complexes are stable and
functional for at least 6 h at 60 degrees C. Finally, within this time frame and
conditions, the full complex appeared over 50 % more efficient in the hydrolysis
of corn stover compared to the free enzymes. Overall, the results support the
utilization of scaffoldin components of mesophilic origin at relatively high
temperatures and provide a framework for the production of designer cellulosomes
suitable for high temperature biorefinery applications.
PMID- 27207143
TI - Genome-wide analysis of the transcriptional response to porcine reproductive and
respiratory syndrome virus infection at the maternal/fetal interface and in the
fetus.
AB - BACKGROUND: Porcine Reproductive and Respiratory Syndrome Virus (PRRSV) infection
of pregnant pigs can result in congenital infection and ultimately fetal death.
Little is known about immune responses to infection at the maternal-fetal
interface and in the fetus itself, or the molecular events behind virus
transmission and disease progression in the fetus. To investigate these
processes, RNA-sequencing of two sites, uterine endothelium with adherent
placental tissue and fetal thymus, was performed 21 days post-challenge on four
groups of fetuses selected from a large PRRSV challenge experiment of pregnant
gilts: control (CON), uninfected (UNINF), infected (INF), and meconium-stained
(MEC) (n = 12/group). Transcriptional analyses consisted of multiple contrasts
between groups using two approaches: differential gene expression analysis and
weighted gene co-expression network analysis (WGCNA). Biological functions,
pathways, and regulators enriched for differentially expressed genes or module
members were identified through functional annotation analyses. Expression data
were validated by reverse transcription quantitative polymerase chain reaction
(RTqPCR) carried out for 16 genes of interest. RESULTS: The immune response to
infection in endometrium was mainly adaptive in nature, with the most upregulated
genes functioning in either humoral or cell-mediated immunity. In contrast, the
expression profile of infected fetal thymus revealed a predominantly innate
immune response to infection, featuring the upregulation of genes regulated by
type I interferon and pro-inflammatory cytokines. Fetal infection was associated
with an increase in viral load coupled with a reduction in T cell signaling in
the endometrium that could be due to PRRSV-controlled apoptosis of uninfected
bystander cells. There was also evidence for a reduction in TWIST1 activity, a
transcription factor involved in placental implantation and maturation, which
could facilitate virus transmission or fetal pathology through dysregulation of
placental function. Finally, results suggested that events within the fetus could
also drive fetal pathology. Thymus samples of meconium-stained fetuses exhibited
an increase in the expression of pro-inflammatory cytokine and granulocyte genes
previously implicated in swine infectious disease pathology. CONCLUSIONS: This
study identified major differences in the response to PRRSV infection in the
uterine endometrium and fetus at the gene expression level, and provides insight
into the molecular basis of virus transmission and disease progression.
PMID- 27207146
TI - Editorial Comment.
PMID- 27207147
TI - Suppression of neuropeptide production by quercetin in allergic rhinitis model
rats.
AB - BACKGROUND: Quercetin, a dietary flavonoid found in many fruits, red wine and
onion, among others, has been reported to have potent anti-oxidant, anti-viral
and anti-cancer effects. Although quercetin is also reported to have anti
inflammatory and anti-allergic effects, the precise mechanisms by which quercetin
favorably modify the clinical conditions of allergic diseases such as allergic
rhinitis (AR). The present study was designed to examine the influence of
quercetin on the development of AR by using AR model rats. METHODS: Sprague
Dawley (SD) rats were sensitized with toluene 2,4-diisocyanate (TDI) by
intranasal instillation of a 10 % TDI in ethyl acetate in a volume of 5 MUl once
a day for 5 consecutive days. This sensitization procedure was repeated after a 2
day interval. After 5 days of the second sensitization, rats were treated with
various doses of quercetin once a day for 2 to 7 days. Nasal allergy-like
symptoms, which were induced by bilateral application of 5 MUl of 10 % TDI in
ethyl acetate, were assessed by counting sneezing and nasal rubbing behaviors for
10 min just after TDI nasal challenge. The levels of substance P (SP), calcitonin
gene-related peptide (CGRP) and nerve growth factor (NGF) in nasal lavage fluids
obtained 6 h after TDI nasal challenge was examined by ELISA. RESULTS: Oral
administration of quercetin for 5 and 7 days, but not 2 and 3 days, could inhibit
sneezing and nasal rubbing movements, which were increased by TDI nasal
challenge. The minimum dose that caused significant inhibition was 25 mg/kg. Oral
administration of quercetin at more than 25 mg/kg for 5 days significantly
inhibited the increase in SP, CGRP and NGF contents in nasal lavage fluids
induced by TDI nasal challenge. CONCLUSION: The present results strongly
suggested that quercetin will be a good candidate for the supplement on the
management and treatment of allergic diseases, especially AR.
PMID- 27207148
TI - Critical illness polyneuropathy in ICU patients is related to reduced motor nerve
excitability caused by reduced sodium permeability.
AB - BACKGROUND: Reduced motor and sensory nerve amplitudes in critical illness
polyneuropathy (CIP) are characteristic features described in
electrophysiological studies and due to dysfunction of voltage-gated sodium
channels. Yet, faulty membrane depolarization as reported in various tissues of
critically ill patients may cause reduced membrane excitability as well. The aim
of this study was to compare the pathophysiological differences in motor nerve
membrane polarization and voltage-gated sodium channel function between CIP
patients and critically ill patients not developing CIP during their ICU stay
(ICU controls). METHODS: ICU patients underwent electrophysiological nerve
conduction studies and were categorized as either ICU controls or CIP patients.
Subsequently, excitability parameters were recorded as current-threshold
relationship, stimulus-response behavior, threshold electrotonus, and recovery of
excitability from the abductor pollicis brevis following median nerve
stimulation. RESULTS: Twenty-six critically ill patients were enrolled and
categorized as 12 ICU controls and 14 CIP patients. When compared to 31 healthy
subjects, the ICU controls exhibited signs of membrane depolarization as shown by
reduced superexcitability (p = 0.003), depolarized threshold electrotonus (p =
0.007), increased current-threshold relationship (p = 0.03), and slightly
prolonged strength-duration time constant. In contrast, the CIP patients
displayed a significantly reduced strength-duration time constant (p < 0.0001),
which indicates an increased inactivation of voltage-gated sodium channels.
CONCLUSIONS: Abnormal motor nerve membrane depolarization is a general finding in
critically ill patients whereas voltage-gated sodium channel dysfunction is a
characteristic of CIP patients.
PMID- 27207149
TI - The 30-year evolution of airway pressure release ventilation (APRV).
AB - Airway pressure release ventilation (APRV) was first described in 1987 and
defined as continuous positive airway pressure (CPAP) with a brief release while
allowing the patient to spontaneously breathe throughout the respiratory cycle.
The current understanding of the optimal strategy to minimize ventilator-induced
lung injury is to "open the lung and keep it open". APRV should be ideal for this
strategy with the prolonged CPAP duration recruiting the lung and the minimal
release duration preventing lung collapse. However, APRV is inconsistently
defined with significant variation in the settings used in experimental studies
and in clinical practice. The goal of this review was to analyze the published
literature and determine APRV efficacy as a lung-protective strategy. We reviewed
all original articles in which the authors stated that APRV was used. The primary
analysis was to correlate APRV settings with physiologic and clinical outcomes.
Results showed that there was tremendous variation in settings that were all
defined as APRV, particularly CPAP and release phase duration and the parameters
used to guide these settings. Thus, it was impossible to assess efficacy of a
single strategy since almost none of the APRV settings were identical. Therefore,
we divided all APRV studies divided into two basic categories: (1) fixed-setting
APRV (F-APRV) in which the release phase is set and left constant; and (2)
personalized-APRV (P-APRV) in which the release phase is set based on changes in
lung mechanics using the slope of the expiratory flow curve. Results showed that
in no study was there a statistically significant worse outcome with APRV,
regardless of the settings (F-ARPV or P-APRV). Multiple studies demonstrated that
P-APRV stabilizes alveoli and reduces the incidence of acute respiratory distress
syndrome (ARDS) in clinically relevant animal models and in trauma patients. In
conclusion, over the 30 years since the mode's inception there have been no
strict criteria in defining a mechanical breath as being APRV. P-APRV has shown
great promise as a highly lung-protective ventilation strategy.
PMID- 27207150
TI - Environmental factors associated with baseline and serial changes in fractional
exhaled nitric oxide (FeNO) in spice mill workers.
AB - BACKGROUND: This study evaluated the determinants of high fractional exhaled
nitric oxide (FeNO; >50 ppb) and serial changes in FeNO over a 24-hour period in
spice mill workers at risk of work-related allergic respiratory disease and
asthma. METHODS: A cross-sectional study of 150 workers used European Community
Respiratory Health Survey (ECRHS) questionnaires, Phadiatop, serum-specific IgE
(garlic, chilli pepper, wheat; Phadia, ImmunoCAP), spirometry and FeNO. A hand
held portable nitric oxide sampling device (NIOX MINO, Aerocrine AB) measured
FeNO before and after the 8-hour shift and after 24 hours from baseline. RESULTS:
The mean age of workers was 33 years; 71% were male, 46% current smokers and 45%
atopic. Among workers with garlic sensitisation, 13% were monosensitised and 6%
were co-sensitised to chilli pepper. Baseline preshift FeNO geometric mean
(GM=14.9 ppb) was similar to the mean change across shift (GM=15.4 ppb) and
across the 24-hour period (GM=15.8 ppb). In multivariate linear models, smoking
(beta=-0.507) and atopy (beta=0.433) were strongly associated with FeNO. High
FeNO (>50 ppb) was significantly associated with asthma-like symptoms due to
spice dust (OR=5.38, CI 1.01 to 28.95). Sensitisation to chilli pepper was more
strongly correlated with FeNO (r=0.32) and FeNO>50 ppb (OR=17.04, p=0.005) than
garlic. FeNO increase (>12%) across 24 hours demonstrated a strong association
with elevated exposures to spice dust particulate (OR=3.77, CI 1.01 to 14.24).
CONCLUSIONS: This study suggests that chilli pepper sensitisation is associated
with high FeNO (>50 ppb), more strongly compared with garlic, despite the low
prevalence of sensitisation to chilli. Elevated inhalant spice dust particulate
is associated with a delayed elevation of FeNO across the 24-hour period.
PMID- 27207152
TI - Methionine metabolism in Yucatan miniature swine.
AB - Methionine is an essential amino acid which when not incorporated into protein,
can be converted to S-adenosylmethionine, the universal methyl donor in over 200
transmethylation reactions, which include creatine and phosphatidylcholine (PC)
synthesis, as well as deoxyribonucleic acid (DNA) methylation. Following
transmethylation, homocysteine is formed, which can be converted to cysteine via
transsulfuration or remethylated to methionine by receiving a methyl group from
folate or betaine. Changes to methyl group availability in utero can lead to
permanent changes in epigenetic patterns of DNA methylation, which has been
implicated in "fetal programming", a phenomenon associated with poor nutrition
during fetal development that results in low birth weight and disease in later
life. It has been shown that programming can also occur in the neonate. Our
global objective was to understand how the variability of nutrients involved in
methionine metabolism can affect methionine and methyl group availability. We
hypothesize that nutrients that converge on methionine metabolism can affect
methionine availability for its various functions. In this thesis, we used
intrauterine growth restricted (IUGR) piglets to investigate whether a global
nutritional insult in utero can lead to a perturbed methionine metabolism. Our
results demonstrate that IUGR piglets have a lower capacity to dispose of
homocysteine via both transsulfuration and remethylation pathways, as well as a
lower incorporation of methyl groups into PC. The second objective of this thesis
was to determine whether variation in methionine supply and demand can affect
methionine availability. We demonstrated that stimulating either acute or chronic
creatine synthesis leads to lower methyl incorporation into protein and PC in
pigs. Furthermore, when methionine is limiting, supplementation with either
folate or betaine leads to higher methionine availability for protein synthesis.
Finally, because creatine is increasingly being utilized as an ergogenic and
neuroprotective supplement, we wanted to determine whether provision of the
creatine precursor, guanidinoacetate (GAA), could effectively increase tissue
creatine stores. We showed that 2.5 weeks of supplementation with GAA is more
effective than creatine at increasing hepatic and muscle creatine stores. The
results of this thesis demonstrate that the presence of IUGR, an increased demand
for creatine synthesis, or the supplementation with remethylation nutrients can
each affect methionine availability; all are important when considering neonatal
nutrient requirements. Furthermore, although GAA is effective at increasing
levels of tissue creatine, higher GAA methylation can limit methionine
availability for growth and synthesis of PC.
PMID- 27207151
TI - How equitable are community health worker programmes and which programme features
influence equity of community health worker services? A systematic review.
AB - BACKGROUND: Community health workers (CHWs) are uniquely placed to link
communities with the health system, playing a role in improving the reach of
health systems and bringing health services closer to hard-to-reach and
marginalised groups. A systematic review was conducted to determine the extent of
equity of CHW programmes and to identify intervention design factors which
influence equity of health outcomes. METHODS: In accordance with our published
protocol, we systematically searched eight databases from 2004 to 2014 for
quantitative and qualitative studies which assessed access, utilisation, quality
or community empowerment following introduction of a CHW programme according to
equity stratifiers (place of residence, gender, socio-economic position and
disability). Thirty four papers met inclusion criteria. A thematic framework was
applied and data extracted and managed, prior to charting and thematic analysis.
RESULTS: To our knowledge this is the first systematic review that describes the
extent of equity within CHW programmes and identifies CHW intervention design
features which influence equity. CHW programmes were found to promote equity of
access and utilisation for community health by reducing inequities relating to
place of residence, gender, education and socio-economic position. CHWs can also
contribute towards more equitable uptake of referrals at health facility level.
There was no clear evidence for equitable quality of services provided by CHWs
and limited information regarding the role of the CHW in generating community
empowerment to respond to social determinants of health. Factors promoting
greater equity of CHW services include recruitment of most poor community members
as CHWs, close proximity of services to households, pre-existing social
relationship with CHW, provision of home-based services, free service delivery,
targeting of poor households, strengthened referral to facility, sensitisation
and mobilisation of community. However, if CHW programmes are not well planned
some of the barriers faced by clients at health facility level can replicate at
community level. CONCLUSIONS: CHWs promote equitable access to health promotion,
disease prevention and use of curative services at household level. However, care
must be taken by policymakers and implementers to take into account factors which
can influence the equity of services during planning and implementation of CHW
programmes.
PMID- 27207153
TI - Anthony Michael Kent Rickwood.
PMID- 27207154
TI - Benzylpiperazine: "A messy drug".
AB - Designer drugs are synthetic structural analogues/congeners of controlled
substances with slightly modified chemical structures intended to mimic the
pharmacological effects of known drugs of abuse so as to evade drug
classification. Benzylpiperazine (BZP), a piperazine derivative, elevates
synaptic dopamine and serotonin levels producing stimulatory and hallucinogenic
effects, respectively, similar to the well-known drug of abuse,
methylenedioxymethamphetamine (MDMA). Furthermore, BZP augments the release of
norepinephrine by inhibiting presynaptic autoreceptors, therefore, BZP is a
"messy drug" due to its multifaceted regulation of synaptic monoamine
neurotransmitters. Initially, pharmaceutical companies used BZP as a therapeutic
drug for the treatment of various disease states, but due to its
contraindications and abuse potential it was withdrawn from the market. BZP
imparts predominately sympathomimetic effects accompanied by serious
cardiovascular implications. Addictive properties of BZP include behavioral
sensitization, cross sensitization, conditioned place preference and repeated
self-administration. Additional testing of piperazine derived drugs is needed due
to a scarcity of toxicological data and widely abuse worldwide.
PMID- 27207155
TI - Factors associated with interest in receiving prison-based methadone maintenance
therapy in Malaysia.
AB - INTRODUCTION: Methadone maintenance therapy (MMT) is crucial for HIV prevention
and treatment in people who inject opioids. In Malaysia, a large proportion of
the prison population is affected by both HIV and opioid use disorders. This
study assessed individual preferences and factors associated with interest in
receiving MMT among male prisoners meeting criteria for opioid dependence in
Malaysia. METHODS: A convenience sample of 96 HIV-positive and 104 HIV-negative
incarcerated men who met pre-incarceration criteria for opioid dependence was
interviewed using a structured questionnaire to examine participant
characteristics and attitudes toward MMT. Factors associated with interest in
prison-based MMT initiation were identified using logistic regression analysis.
RESULTS: Among all participants, 85 (42.5%) were interested in receiving MMT
within prison. Independent correlates of interest in prison-based MMT were being
previously married (AOR=4.15, 95% CI: 1.15, 15.02), previously incarcerated
(AOR=5.68, 95% CI: 1.54, 21.02), depression (AOR=3.66, 95% CI: 1.68, 7.98), daily
heroin use in the 30days prior to incarceration (AOR=5.53, 95% CI: 1.65, 18.58),
and more favorable attitudes toward MMT (AOR=19.82, 95% CI: 6.07, 64.74).
CONCLUSIONS: Overall, interest in receiving prison-based MMT was low, and was
associated with adverse social, mental health, and drug use consequences.
Incarceration provides a unique opportunity to initiate MMT for those who need
it, however, optimal scale-up efforts must be systemic and address modifiable
factors like improving attitudes toward and motivation for MMT. Informed or
shared decision-making tools may be useful in improving expectations and
acceptability of MMT.
PMID- 27207156
TI - Serosal involvement in IgG4-related disease: report of two cases and review of
the literature.
AB - IgG4-related disease (IgG4-RD) is a recently described entity characterized by
lymphoplasmacytic infiltrates, usually mimicking tumors, affecting almost every
organ or system. Nevertheless, serosal involvement has been rarely reported. In
this article, we report two cases of IgG4-RD with serosal involvement and review
the literature. Because of the varied clinical pictures found in our review, we
suggest a new terminology for the description of IgG4-RD with serosal
involvement.
PMID- 27207157
TI - Trends in mortality, length of stay, and hospital charges associated with health
care-associated infections, 2006-2012.
AB - BACKGROUND: Many factors associated with hospital-acquired infections (HAIs),
including reimbursement policies, drug prices, practice patterns, and the
distribution of organisms causing infections, change over time. We examined
whether outcomes, including mortality, length of stay (LOS), daily charges, and
total charges associated with HAIs, changed during 2006-2012. METHODS: Electronic
data on adults discharged from 2 tertiary-quaternary hospitals and 1 community
hospital during 2006-2012 were collected retrospectively. Computerized algorithms
identified infections using laboratory and administrative codes. Propensity
scores were used to match cases with uninfected controls. Differences in
mortality, LOS, daily charges, and total charges were modeled against infection
status and time period (2006-2008 vs 2009-2012), including interaction for
infection status by time period. RESULTS: Among 352,077 discharges, 24,466 HAIs
were detected. There was no significant change in mortality. LOS declined only
for bloodstream infections (3-day reduction; P < 0.01). Daily charges rose 4% for
urinary tract infections but did not change significantly for other HAIs. Total
charges declined by 11% for bloodstream infections and 13% for pneumonia.
CONCLUSIONS: We found no appreciable or consistent improvement in HAI mortality
or LOS during 2006-2012. Costs of bloodstream infections and pneumonia have
declined, with most of the change occurring before 2008.
PMID- 27207158
TI - Introducing an antibiotic stewardship program in a humanitarian surgical
hospital.
AB - Antibiotic stewardship program (ASP) implementation in humanitarian settings is a
new endeavor. Doctors Without Borders/Medecins Sans Frontieres introduced an ASP
within a hospital in Amman, Jordan, where patients from Iraq, Syria, and Yemen
with chronic, often multidrug-resistant, infections related to war are managed.
Antibiotics were reviewed, and real-time recommendations were made to optimize
choice, dose, duration, and route by a small team. Over the first year of
implementation, acceptance of the ASP's recommendations improved. When compared
with the year prior to implementation, antibiotic cost in 2014 declined
considerably from approximately $252,077 (average, $21,006/month) to <$159,948
($13,329/month), and a reduction in use of broad-spectrum agents was observed. An
ASP in a humanitarian surgical hospital proved acceptable and effective, reducing
antibiotic expenditures and use of broad-spectrum agents.
PMID- 27207159
TI - Hand hygiene using a new hand-cleansing formulation without sanitizers: Effect on
Staphylococcus aureus removal and recovery of properties against skin damage.
AB - BACKGROUND: Staphylococcus aureus is known to form a biofilm and colonize on
damaged skin of the hands. We investigated changes in the quantity of S aureus on
the hands and changes in skin damage when using a hand-cleansing formulation with
potassium oleate but without a sanitizer (formulation A), which is highly
effective in removing S aureus biofilm and causes minimal skin damage. MATERIAL
AND METHODS: The participants (14 medical staff members) used 2 types of hand
cleansing formulations (formulations A and B), each for 4 weeks. S aureus of the
hands was cultured from swab samples on agar plates. Surface of hands was
measured using an ultraviolet light microscope. RESULTS AND DISCUSSION: The
quantity of S aureus after using formulation A for 4 weeks was 10(1.08 +/- 0.05)
CFU/mL, a statistically significant decrease from the quantity of S aureus
(10(1.59 +/- 0.19) CFU/mL) just before use (P = .029). Also, dryness of hand
surfaces decreased. With formulation B, the quantity of S aureus did not
significantly change from before to after use (P > .05). This presumably occurs
because formulation A gently removes S aureus biofilm. CONCLUSIONS: Formulation A
removed S aureus from the hands of participants, and skin damage on the hands
improved.
PMID- 27207160
TI - First report of macroscopic biofilm formation caused by Candida albicans on
silver hydrogel-coated urinary catheters.
AB - We report macroscopic biofilms on silver hydrogel-coated urinary catheters in 2
patients from 2 different intensive care units. The catheters were removed on
observation of a white, jelly layer on the catheters, respectively, 9 and 21 days
after insertion. Yeast cells and pseudohyphal structures were observed with
microscopy. Both isolates were identified as Candida albicans. To our knowledge,
these are the first cases demonstrating the formation of macroscopic biofilm
layers on silver nitrate-coated catheters in the literature.
PMID- 27207161
TI - Risk factors associated with interfacility transfers among patients with
Clostridium difficile infection.
AB - BACKGROUND: Preventing the transmission of Clostridium difficile infection (CDI)
over the continuum of care presents an important challenge for infection control.
METHODS: A prospective case-control study was conducted on patients admitted with
CDI to a tertiary care hospital in Detroit between August 2012 and September
2013. Patients were then followed for 1 year by telephone interviews and the
hospital administrative database. Cases, patients with interfacility transfers
(IFTs), were patients admitted to our facility from another health care facility
and discharged to long-term care (LTC) facilities. Controls were patients
admitted from and discharged to home. RESULTS: There were 143 patients included
in the study. Thirty-six (30%) cases were compared with 84 (70%) controls.
Independent risk factors of CDI patients with IFTs (compared with CDI patients
without IFTs) included Charlson Comorbidity Index score >=6 (odds ratio [OR],
5.30; P = .016) and hospital-acquired CDI (OR, 4.92; P = .023). Patients with
IFTs were more likely to be readmitted within 90 days of discharge than patients
without IFTs (OR, 2.24; P = .046). One-year mortality rate was significantly
higher among patients with IFTs than among patients without IFTs (OR, 4.33; P =
.01). CONCLUSIONS: With the growing number of alternate health care centers, it
is highly critical to establish better collaboration between acute care and LTC
facilities to tackle the increasing burden of CDI across the health care system.
PMID- 27207162
TI - Risk factors for hospital-acquired infection in cancer patients in a central
Chinese hospital.
AB - The present study assessed the risk factors associated with hospital-acquired
infection (HAI) in a large Chinese cancer hospital. A total of 192,317 cancer
inpatients were included in this study. Multivariate analysis of the associated
risk factors indicated that older age, divorced and widowed status, surgical
intervention, low rank surgical incision, and prolonged hospital stay were
independent risk factors for HAIs in the cancer hospital.
PMID- 27207163
TI - Paraspinous muscle flap for the treatment of an empyema cavity: three case
reports.
AB - A surgical option is commonly chosen when conservative medical therapy for
empyema is impossible. The muscles used include the latissimus dorsi, trapezius,
and pectoris major, based on the size and location of the empyema cavity.
However, these volumes are decreased in patients suffering from malnutrition, and
flap dissection and elevation are sometimes invasive. Therefore, we developed an
alternative method, and present three successful cases in which we used a
pedicled paraspinous muscle flap to fill the dead space caused by empyema
fenestration in the medial region of the back. The paraspinous muscle flap
remains an important tool in reconstruction. However, such flaps should be
created only in selected cases, such as those with empyema in the posterior
region. Also, if the pleural space is large, additional muscle flaps will be
required.
PMID- 27207164
TI - Out-of-pocket expenditure on prenatal and natal care post Janani Suraksha Yojana:
a case from Rajasthan, India.
AB - BACKGROUND: Though Janani Suraksha Yojana (JSY) under National Rural Health
Mission (NRHM) is successful in increasing antenatal and natal care services,
little is known on the cost coverage of out-of-pocket expenditure (OOPE) on
maternal care services post-NRHM period. METHODS: Using data from a community
based study of 424 recently delivered women in Rajasthan, this paper examined the
variation in OOPE in accessing maternal health services and the extent to which
JSY incentives covered the burden of cost incurred. Descriptive statistics and
logistic regression analyses are used to understand the differential and
determinants of OOPE. RESULTS: The mean OOPE for antenatal care was US$26 at
public health centres and US$64 at private health centres. The OOPE (antenatal
and natal) per delivery was US$32 if delivery was conducted at home, US$78 at
public facility and US$154 at private facility. The OOPE varied by the type of
delivery, delivery with complications and place of ANC. The OOPE in public health
centre was US$44 and US$145 for normal and complicated delivery, respectively.
The share of JSY was 44 % of the total cost per delivery, 77 % in case of normal
delivery and 23 % for complicated delivery. Results from the log linear model
suggest that economic status, educational level and pregnancy complications are
significant predictors of OOPE. CONCLUSIONS: Our results suggest that JSY has
increased the coverage of institutional delivery and reduced financial stress to
household and families but not sufficient for complicated delivery. Provisioning
of providing sonography/other test and treating complicated cases in public
health centres need to be strengthened.
PMID- 27207165
TI - Regulatory approval of new medical devices: cross sectional study.
AB - OBJECTIVE: To investigate the regulatory approval of new medical devices.
DESIGN: Cross sectional study of new medical devices reported in the biomedical
literature. DATA SOURCES: PubMed was searched between 1 January 2000 and 31
December 2004 to identify clinical studies of new medical devices. The search was
carried out during this period to allow time for regulatory approval. ELIGIBILITY
CRITERIA FOR STUDY SELECTION: Articles were included if they reported a clinical
study of a new medical device and there was no evidence of a previous clinical
study in the literature. We defined a medical device according to the US Food and
Drug Administration as an "instrument, apparatus, implement, machine,
contrivance, implant, in vitro reagent, or other similar or related article."
MAIN OUTCOME MEASURES: Type of device, target specialty, and involvement of
academia or of industry for each clinical study. The FDA medical databases were
then searched for clearance or approval relevant to the device. RESULTS: 5574
titles and abstracts were screened, 493 full text articles assessed for
eligibility, and 218 clinical studies of new medical devices included. In all,
99/218 (45%) of the devices described in clinical studies ultimately received
regulatory clearance or approval. These included 510(k) clearance for devices
determined to be "substantially equivalent" to another legally marketed device
(78/99; 79%), premarket approval for high risk devices (17/99; 17%), and others
(4/99; 4%). Of these, 43 devices (43/99; 43%) were actually cleared or approved
before a clinical study was published. CONCLUSIONS: We identified a multitude of
new medical devices in clinical studies, almost half of which received regulatory
clearance or approval. The 510(k) pathway was most commonly used, and clearance
often preceded the first published clinical study.
PMID- 27207167
TI - Partial white and grey matter protection with prolonged infusion of recombinant
human erythropoietin after asphyxia in preterm fetal sheep.
AB - Perinatal asphyxia in preterm infants remains a significant contributor to
abnormal long-term neurodevelopmental outcomes. Recombinant human erythropoietin
has potent non-haematopoietic neuroprotective properties, but there is limited
evidence for protection in the preterm brain. Preterm (0.7 gestation) fetal sheep
received sham asphyxia (sham occlusion) or asphyxia induced by umbilical cord
occlusion for 25 min, followed by an intravenous infusion of vehicle (occlusion
vehicle) or recombinant human erythropoietin (occlusion-Epo, 5000 international
units by slow push, then 832.5 IU/h), starting 30 min after asphyxia and
continued until 72 h. Recombinant human erythropoietin reduced neuronal loss and
numbers of caspase-3-positive cells in the striatal caudate nucleus, CA3 and
dentate gyrus of the hippocampus, and thalamic medial nucleus ( P < 0.05 vs.
occlusion-vehicle). In the white matter tracts, recombinant human erythropoietin
increased total, but not immature/mature oligodendrocytes ( P < 0.05 vs.
occlusion-vehicle), with increased cell proliferation and reduced induction of
activated caspase-3, microglia and astrocytes ( P < 0.05). Finally, occlusion-Epo
reduced seizure burden, with more rapid recovery of electroencephalogram power,
spectral edge frequency, and carotid blood flow. In summary, prolonged infusion
of recombinant human erythropoietin after severe asphyxia in preterm fetal sheep
was partially neuroprotective and improved electrophysiological and
cerebrovascular recovery, in association with reduced apoptosis and inflammation.
PMID- 27207166
TI - Purinergic signaling triggers endfoot high-amplitude Ca2+ signals and causes
inversion of neurovascular coupling after subarachnoid hemorrhage.
AB - Neurovascular coupling supports brain metabolism by matching focal increases in
neuronal activity with local arteriolar dilation. Previously, we demonstrated
that an emergence of spontaneous endfoot high-amplitude Ca2+ signals (eHACSs)
caused a pathologic shift in neurovascular coupling from vasodilation to
vasoconstriction in brain slices obtained from subarachnoid hemorrhage model
animals. Extracellular purine nucleotides (e.g., ATP) can trigger astrocyte Ca2+
oscillations and may be elevated following subarachnoid hemorrhage. Here, the
role of purinergic signaling in subarachnoid hemorrhage-induced eHACSs and
inversion of neurovascular coupling was examined by imaging parenchymal
arteriolar diameter and astrocyte Ca2+ signals in rat brain slices using two
photon fluorescent and infrared-differential interference contrast microscopy. We
report that broad-spectrum inhibition of purinergic (P2) receptors using suramin
blocked eHACSs and restored vasodilatory neurovascular coupling after
subarachnoid hemorrhage. Importantly, eHACSs were also abolished using a cocktail
of inhibitors targeting Gq-coupled P2Y receptors. Further, activation of P2Y
receptors in brain slices from un-operated animals triggered high-amplitude Ca2+
events resembling eHACSs and disrupted neurovascular coupling. Neither
tetrodotoxin nor bafilomycin A1 affected eHACSs suggesting that purine
nucleotides are not released by ongoing neurotransmission and/or vesicular
release after subarachnoid hemorrhage. These results indicate that purinergic
signaling via P2Y receptors contributes to subarachnoid hemorrhage-induced eHACSs
and inversion of neurovascular coupling.
PMID- 27207169
TI - Imaging of cerebrovascular reserve and oxygenation in Moyamoya disease.
AB - This study aimed to determine whether measurements of cerebrovascular reserve and
oxygenation, assessed with spin relaxation rate R2', yield similar information
about pathology in pre-operative Moyamoya disease patients, and to assess whether
R2' is a better measure of oxygenation than other proposed markers, such as R2*
and R2. Twenty-five pre-operative Moyamoya disease patients were scanned at 3.0T
with acetazolamide challenge. Cerebral blood flow mapping with multi-delay
arterial spin labeling, and R2*, R2, and R2' mapping with Gradient-Echo Sampling
of Free Induction Decay and Echo were performed. No baseline cerebral blood flow
difference was found between angiographically abnormal and normal regions (49 +/-
12 vs. 48 +/- 11 mL/100 g/min, p = 0.44). However, baseline R2' differed between
these regions (3.2 +/- 0.7 vs. 2.9 +/- 0.6 s-1, p < 0.001), indicating reduced
oxygenation in abnormal regions. Cerebrovascular reserve was lower in
angiographically abnormal regions (21 +/- 38 vs. 41 +/- 26%, p = 0.001). All
regions showed trend toward significantly improved oxygenation post
acetazolamide. Regions with poorer cerebrovascular reserve had lower baseline
oxygenation (Kendall's tau = -0.24, p = 0.003). A number of angiographically
abnormal regions demonstrated preserved cerebrovascular reserve, likely due to
the presence of collaterals. Finally, of the concurrently measured relaxation
rates, R2' was superior for oxygenation assessment.
PMID- 27207168
TI - Spreading depolarizations in patients with spontaneous intracerebral hemorrhage:
Association with perihematomal edema progression.
AB - Pathophysiologic mechanisms of secondary brain injury after intracerebral
hemorrhage and in particular mechanisms of perihematomal-edema progression remain
incompletely understood. Recently, the role of spreading depolarizations in
secondary brain injury was established in ischemic stroke, subarachnoid
hemorrhage and traumatic brain injury patients. Its role in intracerebral
hemorrhage patients and in particular the association with perihematomal-edema is
not known. A total of 27 comatose intracerebral hemorrhage patients in whom
hematoma evacuation and subdural electrocorticography was performed were studied
prospectively. Hematoma evacuation and subdural strip electrode placement was
performed within the first 24 h in 18 patients (67%). Electrocorticography
recordings started 3 h after surgery (IQR, 3-5 h) and lasted 157 h (median) per
patient and 4876 h in all 27 patients. In 18 patients (67%), a total of 650
spreading depolarizations were observed. Spreading depolarizations were more
common in the initial days with a peak incidence on day 2. Median
electrocorticography depression time was longer than previously reported (14.7
min, IQR, 9-22 min). Postoperative perihematomal-edema progression (85% of
patients) was significantly associated with occurrence of isolated and clustered
spreading depolarizations. Monitoring of spreading depolarizations may help to
better understand pathophysiologic mechanisms of secondary insults after
intracerebral hemorrhage. Whether they may serve as target in the treatment of
intracerebral hemorrhage deserves further research.
PMID- 27207170
TI - Determination of receptor occupancy in the presence of mass dose: [11C]GSK189254
PET imaging of histamine H3 receptor occupancy by PF-03654746.
AB - Measurements of drug occupancies using positron emission tomography (PET) can be
biased if the radioligand concentration exceeds "tracer" levels. Negative bias
would also arise in successive PET scans if clearance of the radioligand is slow,
resulting in a carryover effect. We developed a method to (1) estimate the in
vivo dissociation constant Kd of a radioligand from PET studies displaying a non
tracer carryover (NTCO) effect and (2) correct the NTCO bias in occupancy studies
taking into account the plasma concentration of the radioligand and its in vivo
Kd. This method was applied in a study of healthy human subjects with the
histamine H3 receptor radioligand [11C]GSK189254 to measure the PK-occupancy
relationship of the H3 antagonist PF-03654746. From three test/retest studies,
[11C]GSK189254 Kd was estimated to be 9.5 +/- 5.9 pM. Oral administration of 0.1
to 4 mg of PF-03654746 resulted in occupancy estimates of 71%-97% and 30%-93% at
3 and 24 h post-drug, respectively. NTCO correction adjusted the occupancy
estimates by 0%-15%. Analysis of the relationship between corrected occupancies
and PF-03654746 plasma levels indicated that PF-03654746 can fully occupy H3
binding sites ( ROmax = 100%), and its IC50 was estimated to be 0.144 +/- 0.010
ng/mL. The uncorrected IC50 was 26% higher.
PMID- 27207171
TI - The Apoe(-/-) mouse model: a suitable model to study cardiovascular and
respiratory diseases in the context of cigarette smoke exposure and harm
reduction.
AB - Atherosclerosis-prone apolipoprotein E-deficient (Apoe(-/-)) mice display poor
lipoprotein clearance with subsequent accumulation of cholesterol ester-enriched
particles in the blood, which promote the development of atherosclerotic plaques.
Therefore, the Apoe(-/-) mouse model is well established for the study of human
atherosclerosis. The systemic proinflammatory status of Apoe(-/-) mice also makes
them good candidates for studying chronic obstructive pulmonary disease,
characterized by pulmonary inflammation, airway obstruction, and emphysema, and
which shares several risk factors with cardiovascular diseases, including
smoking. Herein, we review the results from published studies using Apoe(-/-)
mice, with a particular focus on work conducted in the context of cigarette smoke
inhalation studies. The findings from these studies highlight the suitability of
this animal model for researching the effects of cigarette smoking on
atherosclerosis and emphysema.
PMID- 27207174
TI - A Decade of Change: Recent Developments in Pharmacotherapy of Hereditary
Angioedema (HAE).
AB - Hereditary angioedema (HAE) due to C1 esterase inhibitor (C1-INH) deficiency (HAE
C1-INH) is a rare but medically significant disease that can be associated with
considerable morbidity and mortality. Research into the pathogenesis of HAE-C1
INH has expanded greatly in the last six decades and has led to new clinical
trials with novel therapeutic agents and treatment strategies. Mechanisms of
pharmacotherapy include (a) supplementing C1-INH, the missing serine-protease
inhibitor in HAE; (b) inhibiting the activation of the contact system and the
uncontrolled release of proteases in the kallikrein-kinin system, by blocking the
production/function of its components; (c) inhibiting the fibrinolytic system by
blocking the production/function of its components; and (d) inhibiting the
function of bradykinin at the endothelial level. Strategies for managing HAE-C1
INH are aimed at treating acute attacks, or preventing attacks, through the use
of prophylactic treatment. Available agents for treating acute attacks include
plasma-derived C1-INH concentrates, a recombinant C1-INH, a bradykinin B2
receptor antagonist, and a plasma kallikrein inhibitor. Long-term prophylactic
treatments include attenuated androgens, plasma-derived C1-INH concentrates, and
anti-fibrinolytics. Plasma-derived C1-INH and a bradykinin B2 receptor antagonist
are already approved for self-administration at home. The number of management
options for HAE-C1-INH has increased considerably within the past decade, thus
helping to alleviate the burden of this rare disease.
PMID- 27207173
TI - Review on Toll-Like Receptor Activation in Myasthenia Gravis: Application to the
Development of New Experimental Models.
AB - Abnormal toll-like receptor (TLR) activation and uncontrolled resolution of
inflammation are suspected to play a key role in the development of autoimmune
diseases. Acquired myasthenia gravis (MG) is an invalidating neuromuscular
disease leading to muscle weaknesses. MG is mainly mediated by anti-acetylcholine
receptor (AChR) autoantibodies, and thymic hyperplasia characterized by ectopic
germinal centers is a common feature in MG. An abnormal expression of certain
TLRs is observed in the thymus of MG patients associated with the overexpression
of interferon (IFN)-beta, the orchestrator of thymic changes in MG. Experimental
models have been developed for numerous autoimmune diseases. These models are
induced by animal immunization with a purified antigen solubilized in complete
Freund's adjuvant (CFA) containing heat-inactivated mycobacterium tuberculosis
(MTB). Sensitization against the antigen is mainly due to the activation of TLR
signaling pathways by the pathogen motifs displayed by MTB, and attempts have
been made to substitute the use of CFA by TLR agonists. AChR emulsified in CFA is
used to induce the classical experimental autoimmune MG model (EAMG). However,
the TLR4 activator lipopolysaccharide (LPS) has proved to be efficient to replace
MTB and induce a sensitization against purified AChR. Poly(I:C), the well-known
TLR3 agonist, is also able by itself to induce MG symptoms in mice associated
with early thymic changes as observed in human MG. In this review, we discuss the
abnormal expression of TLRs in MG patients and we describe the use of TLR
agonists to induce EAMG in comparison with other autoimmune experimental models.
PMID- 27207175
TI - International Committee for Monitoring Assisted Reproductive Technologies world
report: Assisted Reproductive Technology 2008, 2009 and 2010.
AB - STUDY QUESTION: What were utilization, outcomes and practices in assisted
reproductive technology (ART) globally in 2008, 2009 and 2010? SUMMARY ANSWER:
Global utilization and effectiveness remained relatively constant despite marked
variations among countries, while the rate of single and frozen embryo transfers
(FETs) increased with a concomitant slight reduction in multiple birth rates.
WHAT IS KNOWN ALREADY: ART is widely practised in all regions of the world.
Monitoring utilization, an approximation of availability and access, as well as
effectiveness and safety is an important component of universal access to
reproductive health. STUDY DESIGN, SIZE, DURATION: This is a retrospective, cross
sectional survey on utilization, effectiveness and safety of ART procedures
performed globally from 2008 to 2010. PARTICIPANTS, SETTING, METHODS: Between 58
and 61 countries submitted data from a total of nearly 2500 ART clinics each
year. Aggregate country data were processed and analyzed based on forms and
methods developed by the International Committee for Monitoring Assisted
Reproductive Technologies (ICMART). Results are presented at country, regional
and global level. MAIN RESULTS AND THE ROLE OF CHANCE: For the years 2008, 2009
and 2010, >4 461 309 ART cycles were initiated, resulting in an estimated 1 144
858 babies born. The number of aspirations increased by 6.4% between 2008 and
2010, while FET cycles increased by 27.6%. Globally, ART utilization remained
relatively constant at 436 cycles/million in 2008 and 474 cycles/million
population in 2010, but with a wide country range of 8-4775 cycles/million
population. ICSI remained constant at around 66% of non-donor aspiration cycles.
The IVF/ICSI combined delivery rate (DR) per fresh aspiration was 19.8% in 2008;
19.7% in 2009 and 20.0% in 2010, with corresponding DRs for FET of 18.8, 19.7 and
20.7%. In fresh non-donor cycles, single embryo transfer increased from 25.7% in
2008 to 30.0% in 2010, while the average number of embryos transferred fell from
2.1 to 1.9, again with wide regional variation. The rates of twin deliveries
following fresh non-donor transfers were, in 2008, 2009 and 2010, 21.8, 20.5 and
20.4%, respectively, with a corresponding triplet rate of 1.3, 1.0 and 1.1%.
Fresh IVF and ICSI carried a perinatal mortality rate per 1000 births of 22.8
(2008), 19.2 (2009) and 21.0 (2010), compared with 15.1, 12.8 and 14.6/1000
births following FET in the same periods of observation. The proportion of women
aged 40 years or older undergoing non-donor ART increased from 20.8 to 23.2% from
2008 to 2010. LIMITATIONS, REASON FOR CAUTION: The data presented are reliant on
the quality and completeness of data submitted by individual countries. This
report covers approximately two-thirds of the world ART activity. WIDER
IMPLICATIONS OF FINDINGS: The ICMART World Reports provide the most comprehensive
global statistical census and review of ART utilization, effectiveness, safety
and quality. While ART treatment continues to increase globally, the wide
disparities in access to treatment and embryo transfer practices warrant
attention by clinicians and policy makers. STUDY FUNDING/COMPETING INTERESTS: The
authors declare no conflict of interest and no specific support from any
organizations in relation to this manuscript. ICMART acknowledges financial
support from the following organizations: American Society for Reproductive
Medicine; European Society for Human Reproduction and Embryology; Fertility
Society of Australia; Japan Society for Reproductive Medicine; Japan Society of
Fertilization and Implantation; Red Latinoamericana de Reproduccion Asistida;
Society for Assisted Reproductive Technology; Government of Canada (Research
grant), Ferring Pharmaceuticals (Grant unrelated to World Reports). TRIAL
REGISTRATION: not applicable.
PMID- 27207176
TI - Santa Claus in the fertility clinic.
PMID- 27207172
TI - Adipose-Derived Mesenchymal Stem Cells in Autoimmune Disorders: State of the Art
and Perspectives for Systemic Sclerosis.
AB - Mesenchymal stromal/stem cells (MSC) are non-hematopoietic multipotent progenitor
cells, first described in bone marrow in the middle of last century. Since then,
MSC have been the objects of a myriad of publications, progressively increasing
our knowledge on their potentialities and bringing high expectancies for their
regenerative properties. During the same period, numerous tissues, such as
adipose tissue, placenta, or umbilical cord, have been used as alternative
sources of MSC in comparison with bone marrow. In particular, considering the
accessibility and ease to harvest fat tissue, adipose-derived MSC have gained
interest above bone marrow-derived MSC. More recently, the discovery of MSC
immunomodulatory properties made MSC-based therapy progressively slip from the
field of regenerative medicine to the one of autoimmunity. Indeed, in this group
of disorders caused by aberrant activation of the immune system resulting in loss
of self-tolerance and auto-reactivity, conventional immunosuppressant may be
harmful. One advantage of MSC-based therapy would lie in their immune plasticity,
resulting in space and time-limited immunosuppression. More specifically, among
autoimmune disorders, systemic sclerosis appears as a peculiar multifaceted
disease, in which autoimmune phenomena coexist with vascular abnormalities and
multi-visceral fibrosis. Considering the pleiotropic effects of MSC, displaying
immunomodulatory, angiogenic and antifibrotic capabilities, MSC-based therapy
could counteract the three main pathogenic axes of systemic sclerosis and might
thus represent a complete breakthrough in this intractable disease with unmet
medical need. In this article, while reviewing most recent literature on MSC
biology, we itemize their current applications in the field of autoimmunity and
shed light onto the potential use of adipose-derived MSC as an innovative
strategy to cure systemic sclerosis.
PMID- 27207177
TI - High-flow nasal cannula oxygen therapy versus noninvasive ventilation in
immunocompromised patients with acute respiratory failure: an observational
cohort study.
AB - BACKGROUND: Acute respiratory failure is the main cause of admission to intensive
care unit in immunocompromised patients. In this subset of patients, the
beneficial effects of noninvasive ventilation (NIV) as compared to standard
oxygen remain debated. High-flow nasal cannula oxygen therapy (HFNC) is an
alternative to standard oxygen or NIV, and its use in hypoxemic patients has been
growing. Therefore, we aimed to compare outcomes of immunocompromised patients
treated using HFNC alone or NIV as a first-line therapy for acute respiratory
failure in an observational cohort study over an 8-year period. Patients with
acute-on-chronic respiratory failure, those treated with standard oxygen alone or
needing immediate intubation, and those with a do-not-intubate order were
excluded. RESULTS: Among the 115 patients analyzed, 60 (52 %) were treated with
HFNC alone and 55 (48 %) with NIV as first-line therapy with 30 patients (55 %)
receiving HFNC and 25 patients (45 %) standard oxygen between NIV sessions. The
rates of intubation and 28-day mortality were higher in patients treated with NIV
than with HFNC (55 vs. 35 %, p = 0.04, and 40 vs. 20 %, p = 0.02 log-rank test,
respectively). Using propensity score-matched analysis, NIV was associated with
mortality. Using multivariate analysis, NIV was independently associated with
intubation and mortality. CONCLUSIONS: Based on this observational cohort study
including immunocompromised patients admitted to intensive care unit for acute
respiratory failure, intubation and mortality rates could be lower in patients
treated with HFNC alone than with NIV. The use of NIV remained independently
associated with poor outcomes.
PMID- 27207178
TI - The passive leg raising test to guide fluid removal in critically ill patients.
AB - BACKGROUND: To investigate whether haemodynamic intolerance to fluid removal
during intermittent renal replacement therapy (RRT) in critically ill patients
can be predicted by a passive leg raising (PLR) test performed before RRT.
METHODS: We included 39 patients where intermittent RRT with weight loss was
decided. Intradialytic hypotension was defined as hypotension requiring a
therapeutic intervention, as decided by the physicians in charge. Before RRT, the
maximal increase in cardiac index (CI, pulse contour analysis) induced by a PLR
test was recorded. RRT was then started. RESULTS: Ultrafiltration rate was
similar in patients with and without intradialytic hypotension. Thirteen patients
presented intradialytic hypotension, while 26 did not. In patients with
intradialytic hypotension, it occurred 120 min [interquartile range 60-180 min]
after onset of RRT. In the 26 patients without intradialytic hypotension, the PLR
test induced no significant change in CI. Conversely, in patients with
intradialytic hypotension, PLR significantly increased CI by 15 % [interquartile
range 11-36 %]. The PLR-induced increase in CI predicted intradialytic
hypotension with an area under the ROC curve of 0.89 (95 % interval confidence
0.75-0.97) (p < 0.05 from 0.50). The best diagnostic threshold was 9 %. The
sensitivity was 77 % (95 % confidence interval 46-95 %), the specificity was 96 %
(80-100 %), the positive predictive value was 91 % (57-100 %), and the negative
predictive value was 89 % (72-98 %). Compared to patients without intolerance to
RRT, CI decreased significantly faster in patients with intradialytic
hypotension, with a slope difference of -0.17 L/min/m(2)/h. CONCLUSION: The
presence of preload dependence, as assessed by a positive PLR test before
starting RRT with fluid removal, predicts that RRT will induce haemodynamic
intolerance.
PMID- 27207179
TI - Prognostic value of PCT in septic emergency patients.
AB - BACKGROUND: An accurate assessment of septic patients at risk for poor clinical
outcomes is challenging for clinicians in the emergency department (ED).
OBJECTIVES: We aimed to evaluate the prognostic value of procalcitonin (PCT) in
septic patients in the ED for predicting death. RESULTS: In a retrospective
study, 188 septic patients (median age 63 [IQR 51-80]) of two French university
hospitals were included. Patients who deceased within 30 days (20 %, n = 37)
presented higher PCT value at admission (median 34.0 ug/L [5.0-71.9]) in
comparison with the survivals (median 6.4 ug/L [4.1-13.1], p = 0.0005). ROC curve
analysis indicated a moderate AUC of 0.686 [95 % CI 0.613-0.752] and an optimal
PCT threshold value at 32.5 [95 % CI 21.8-43.3] ug/L that was associated with a
51 % [34-67] sensitivity, a 96 % [90-98] specificity, a 73 % [52-88] positive
predictive value, and a 89 % [83-93] negative predictive value for death. Only 26
patients (14 %) had PCT values above this threshold (19 in the deceased group vs
7 in survival group, p < 0.0001). By multivariate analysis, only three variables
remained significantly predictive of the death: personal history of
cardiovascular disease (OR 3.1 [1.0-9.4], p = 0.046), the presence of severe
sepsis/septic shock in the ER (OR 4.4 [1.3-12.3], p = 0.013), and a PCT level
>32.5 ug/L (OR 36.0 [10.0-128.4], p < 0.0001). Similar results were obtained when
considering the combined outcome death and/or admission in ICU. CONCLUSION:
Elevated value of PCT at admission has moderate accuracy to identify poor outcome
in ED septic patients in daily practice.
PMID- 27207180
TI - Diagnostic Delay Is Associated with a Greater Risk of Early Surgery in a French
Cohort of Crohn's Disease Patients.
AB - AIM: To investigate whether a diagnostic delay is associated with a poor outcome
in Crohn's disease (CD). METHODS: Medical and socioeconomic characteristics as
well as medications and need for surgery of consecutive CD adults patients
followed in three referral centers were prospectively recorded using an
electronic database (Focus_MICI(r)). A long diagnostic delay was defined by the
upper quartile. We compared patients with long diagnostic delay to those with
earlier diagnosis regarding the time to: (1) first intestinal surgery, (2) first
use of immunosuppressants (IMSs), and (3) first use of anti-tumor necrosis factor
(anti-TNF) therapy using the Kaplan-Meier test and the log-rank test. RESULTS: A
total of 497 patients with CD (53.6 % women) were analyzed. Median diagnostic
delay was 5 months (IQR 25-75 %: 2-13 months). Median follow-up was 9 years (IQR
4-16.2), and 148 (29.8 %) patients had major surgery. There were no significant
differences between patients with late and early diagnosis regarding age at
diagnosis, disease phenotype, need for IMS therapy, and need for anti-TNF
therapy. Time to first major surgery was shorter in patients with late diagnosis
(p = 0.05). CONCLUSION: In this large multicenter prospective cohort of French CD
patients, a long diagnostic delay (>13 months) increased the risk of early
surgery. No associated factors could be identified in this study.
PMID- 27207182
TI - Getting the Patient Out of the Office.
PMID- 27207181
TI - Effect of Intragastric Balloons on Liver Enzymes: A Systematic Review and Meta
Analysis.
AB - BACKGROUND: Intragastric balloons (IGBs) are safe and effective in inducing
weight loss in obese patients. The objective of this study was to review and
analyze the available data of the effect of IGB on markers of nonalcoholic fatty
liver disease (NAFLD) and liver enzymes. METHODS: Searches were performed of
MEDLINE and Embase databases from inception through January 2016. Study inclusion
criteria were the following: >=5 overweight or obese adult patients undergoing
intragastric balloon placement, with liver tests [alanine aminotransferase (ALT)
or gamma-glutamyl transpeptidase (GGT)] or markers of NAFLD (e.g., imaging,
biopsy) reported before balloon insertion and after balloon removal at 6 months.
RESULTS: Nine observational studies and one randomized trial were identified. ALT
decreased by -10.02 U/l (95 % CI, -13.2, -6.8), GGT decreased by -9.82 U/l (95 %
CI, -12.9, -6.8), and BMI decreased by -4.98 kg/m(2) (-5.6, -4.4) with IGB
therapy. Hepatic steatosis improved from baseline after 6 months of balloon
therapy by magnetic resonance imaging (fat fraction, 16.7 +/- 10.9-7.6 +/- 9.8, p
= 0.003), ultrasound (severe liver steatosis, 52-4 %, p < 0.0001). Histological
NAFLD activity score was lower after 6 months of IGB versus control with sham
endoscopy and diet (2 +/- 0.75 vs. 4 +/- 2.25, p = 0.03). CONCLUSION: The use of
intragastric balloon decreases liver enzymes and is potentially an effective
short-term treatment for NAFLD as part of a multidisciplinary approach. Larger,
more rigorous trials are needed to confirm the effect of IGBs on NAFLD.
PMID- 27207183
TI - Antidepressant-like Effects of ZBH2012001, a Novel Potent Serotonin and
Norepinephrine Reuptake Inhibitor.
AB - AIMS: The present study was conducted to evaluate the antidepressant-like effects
of ZBH2012001, a novel potential serotonin and norepinephrine reuptake inhibitor
(SNRI). METHODS: Competitive binding assays, calcium flow, and cAMP detection
methods were used to determine the affinity of ZBH2012001 for serotonin
transporters (SERTs) and norepinephrine transporters (NETs), as well as its
selectivity over dopamine transporters (DATs) and 16 other G-protein-coupled
receptors (GPCRs) or iron channels. The antidepressant-like effects of ZBH2012001
were determined using the tail suspension test, forced swim test, and learned
helplessness paradigm. The pharmacokinetics and acute toxicity of ZBH2012001 were
also assessed. RESULTS: ZBH2012001 exhibited a moderate affinity to SERTs and
NETs (Ki values were 35.3 +/- 2.86 and 225 +/- 26.0 nM, respectively); it had no
effects on the DATs or the 16 other GPCRs or iron channels. Data from behavioral
tests indicated that ZBH2012001 exhibited superior antidepressant-like effects
compared with duloxetine (one of the most used SNRIs) in the three depression
models. The pharmacokinetic evaluation of ZBH2012001 indicated that the absolute
bioavailability value was 60.5%, and the acute toxicity test indicated that LD50
of ZBH2012001 was 346 mg/kg. CONCLUSION: These findings suggest that ZBH2012001
is a novel SNRI with superior antidepressant-like effects, lower acute toxicity
and a better pharmacokinetic profile compared with duloxetine. Thus, ZBH2012001
may have potential therapeutic effects in depression disorders.
PMID- 27207184
TI - Quetiapine Nanoemulsion for Intranasal Drug Delivery: Evaluation of Brain
Targeting Efficiency.
AB - To evaluate the possibility of improved drug delivery of quetiapine fumarate
(QTP), a nanoemulsion system was developed for intranasal delivery. Effects of
different HLBs of Emalex LWIS 10, PEG 400 and Transcutol P, as co-surfactants,
were studied on isotropic region of pseudoternary-phase diagrams of nanoemulsion
system composed of capmul MCM (CPM) as oil phase, Tween 80 as surfactant and
water. Phase behaviour, globule size, transmission electron microscope (TEM)
photographs and brain-targeting efficiency of quetiapine nanoemulsion were
investigated. In vitro dissolution study of optimised nanoemulsion formulation,
with mean diameter 144 +/- 0.5 nm, showed more than twofold increase in drug
release as compared with pure drug. According to results of in vivo tissue
distribution study in Wistar rats, intranasal administration of QTP-loaded
nanoemulsion had shorter T max compared with that of intravenous administration.
Higher drug transport efficiency (DTE%) and direct nose-to-brain drug transport
(DTP%) was achieved by nanoemulsion. The nanoemulsion system may be a promising
strategy for brain-targeted delivery of QTP.
PMID- 27207185
TI - Treatment of axillary bromhidrosis through a mini-incision with subdermal
vascular preservation: a retrospective study in 396 patients.
AB - BACKGROUND: Axillary bromhidrosis is a condition presenting as malodor caused by
an interaction between the discharge of apocrine glands and bacteria. Topical
agents, liposuction, and elective surgery are currently the main therapeutic
modalities. However, the efficiency of these treatments and incidences of side
effects are various and frequent, and depend on patient characteristics, surgical
technique, and other unknown factors. METHODS: We report a retrospective study of
outcomes in 396 patients treated by mini-incision with subdermal vascular
preservation. RESULTS: At 2 years postoperatively, 87.1% of patients had achieved
very satisfactory results. Short-term side effects included hematomas, epidermal
erosions, infections, necrosis, incision dehiscence, and skin ripples. Long-term
side effects comprised comedones, epidermoid cysts, relapsing cyst infections,
skin gauffers, scars, keloids, and persistent malodor. Some patients reported
unsatisfactory cosmetic results, including skin gauffers, scars, or epidermoid
cyst formations. CONCLUSIONS: Two discrete aspects of the procedure that must be
considered are the extent of apocrine gland clearance, which must be thorough in
order to achieve the resolution of malodor, and the maintenance of an intact
subdermal vascular plexus to support the regrowth of skin flaps after surgery and
to avoid the occurrence of severe side effects.
PMID- 27207186
TI - Empirical use of beta-lactam-beta-lactamase inhibitors.
PMID- 27207187
TI - Life stage sensitivity of the marine mussel Mytilus edulis to ammonia.
AB - Ammonia is an important contaminant to consider in all toxicity tests. It is
especially important to consider the impacts of ammonia in test methods that use
sensitive water column organisms exposed to sediments or sediment extracts, such
as porewater and elutriate toxicity tests. Embryo-larval development toxicity
tests, such as the 48-h method using Mytilus mussel species, are particularly
sensitive to ammonia. To better understand the effect thresholds across different
life stages of these mussels, 6 short-term (48-h) development toxicity tests and
3 21-d toxicity tests with different-sized juvenile mussels were conducted. Two
of the juvenile mussel tests involved 21-d continuous chronic exposure to
ammonia, whereas the third involved an acute 2-d ammonia exposure, followed by a
19-d recovery period. The embryo-larval development test method (50% effect
concentration [EC50] = 0.14-0.18 mg/L un-ionized ammonia) was 2.5 times more
sensitive than the juvenile mussel 21-d survival endpoint (50% lethal
concentration = 0.39 mg/L un-ionized ammonia) and 2 times more sensitive than the
most sensitive sublethal juvenile mussel endpoint (EC50 = 0.26 mg/L un-ionized
ammonia). Further, it was found that the juveniles recovered from a 48-h exposure
to un-ionized ammonia of up to 1.1 mg/L. The data generated suggest that the
embryo development endpoint was sufficiently sensitive to un-ionized ammonia to
protect the chronically exposed (21 d) juvenile mussels. Environ Toxicol Chem
2017;36:89-95. Published 2016 Wiley Periodicals Inc. on behalf of SETAC. This
article is a US government work and, as such, is in the public domain in the
United States of America.
PMID- 27207188
TI - Healthcare burden and reimbursement of hospitalization during chemotherapy for
adults with Ph-negative relapsed or refractory B-cell precursor acute
lymphoblastic leukemia in France: a retrospective chart review.
AB - OBJECTIVE: Philadelphia chromosome negative [Ph(-)] relapsed or refractory (R/R)
B-precursor acute lymphoblastic leukemia (ALL) is an extremely rare condition
requiring intensive treatment. This retrospective chart review aimed to quantify
hospitalizations and reimbursement in this patient population in France. METHODS:
Patients aged >=18 years and with at least one hospitalization for Ph(-) R/R B
precursor ALL were included in the study. They were relapsed with first remission
lasting <12 months, relapsed after first salvage therapy, relapsed any time after
hematopoietic stem cell transplant (HSCT), or were refractory to initial or
salvage therapy. Data were collected from the index date (first diagnosis of R/R
ALL) until death or loss to follow-up. The chemotherapy period was defined as the
first chemotherapy date after the index date to the earliest of death, loss to
follow-up, last chemotherapy dose plus 30 days, or initiation of HSCT. The
primary outcome was the percentage of time hospitalized during the chemotherapy
period. RESULTS: Thirty-three patients were included, with a mean age of 49
years. The mean proportion of time spent in the hospital during the chemotherapy
period was 46% (95% CI =34-57%). Patients had a mean of 2.2 (SD =1.5) inpatient
hospitalizations and the mean length of stay per hospitalization was 16.8 (SD
=14.8) days. During the chemotherapy period, the mean amount reimbursed per
hospitalization was ?31 067 (SD = ?4850) and the total hospitalization
reimbursement per patient was ?68 344. From the index date to death, excluding
HSCT, the total reimbursement per patient was ?108 873. LIMITATIONS: The sample
size was small, although this was expected given the rarity of the patient
population. CONCLUSIONS: Adults with Ph(-) R/R B-precursor ALL had repeated and
prolonged hospitalizations during salvage chemotherapy. Approximately half the
follow-up period was spent in the hospital, and this time was associated with
high economic burden in France.
PMID- 27207189
TI - Placenta, Zika Virus Infection and Fetal Brain Abnormality.
PMID- 27207190
TI - Automatic attentional orienting to other people's gaze in schizophrenia.
AB - Explicit tests of social cognition have revealed pervasive deficits in
schizophrenia. Less is known of automatic social cognition in schizophrenia. We
used a spatial orienting task to investigate automatic shifts of attention cued
by another person's eye gaze in 29 patients and 28 controls. Central photographic
images of a face with eyes shifted left or right, or looking straight ahead,
preceded targets that appeared left or right of the cue. To examine automatic
effects, cue direction was non-predictive of target location. Cue-target
intervals were 100, 300, and 800 ms. In non-social control trials, arrows
replaced eye-gaze cues. Both groups showed automatic attentional orienting
indexed by faster reaction times (RTs) when arrows were congruent with target
location across all cue-target intervals. Similar congruency effects were seen
for eye-shift cues at 300 and 800 ms intervals, but patients showed significantly
larger congruency effects at 800 ms, which were driven by delayed responses to
incongruent target locations. At short 100-ms cue-target intervals, neither group
showed faster RTs for congruent than for incongruent eye-shift cues, but patients
were significantly slower to detect targets after direct-gaze cues. These
findings conflict with previous studies using schematic line drawings of eye
shifts that have found automatic attentional orienting to be reduced in
schizophrenia. Instead, our data indicate that patients display abnormalities in
responding to gaze direction at various stages of gaze processing-reflected by a
stronger preferential capture of attention by another person's direct eye contact
at initial stages of gaze processing and difficulties disengaging from a gazed-at
location once shared attention is established.
PMID- 27207192
TI - Direct current stimulation of prefrontal cortex modulates error-induced
behavioral adjustments.
AB - Commission of errors and conflict between choices might induce behavioral
modulations through adjustments in the executive control of behavior and altered
patterns of these modulations are detected in neuropsychiatric disorders. We
examined the effects of transcranial Direct Current Stimulation (tDCS) applied
over the dorsolateral prefrontal cortex (DLPFC) on error- and conflict-induced
behavioral modulations. Two separate cohorts of participants performed two
clinically relevant tests of executive control, respectively. In the Wisconsin
Card Sorting Test (WCST), the relevant rule for matching items frequently changed
and therefore participants had to detect these unannounced changes by trial and
error and alter their rule-based behavior. In the Stop task, participants had to
rapidly respond to a directional go-signal but inhibit their responses when a
stop signal appeared after the go-signal. Each participant received tDCS (sham,
cathodal or anodal) in three separate sessions. Errors led to a slower response
in the next trial (post-error slowing) in both tasks. The tDCS significantly
modulated the post-error slowing in both tasks but did not affect the behavioral
adjustments induced by the conflict. The modulation of post-error slowing by tDCS
were polarity-dependent and also trial specific appearing immediately after
errors. In the WCST and Stop task, the post-error slowing may reflect different
processes involved in shifting the behavior-guiding rule and adjustments in
inhibitory control of responses, respectively, and we found that the effective
tDCS polarity differed between the two tasks. Here, we show that in two separate
cognitive tasks direct current stimulation of DLPFC significantly modulated error
induced behavioral modulations.
PMID- 27207193
TI - Case of a penile atypical Spitz tumor with an agminated appearance.
PMID- 27207191
TI - 2016 ESC Guidelines for the diagnosis and treatment of acute and chronic heart
failure: The Task Force for the diagnosis and treatment of acute and chronic
heart failure of the European Society of Cardiology (ESC). Developed with the
special contribution of the Heart Failure Association (HFA) of the ESC.
PMID- 27207194
TI - Junk DNA: Prospects for Oral Cancer Research.
AB - About 98% of human genes are transcribed into noncoding ribonucleic acid (RNA),
which is known by the name of "junk DNA." Unlike its name, it has been proved by
now that junk deoxyribonucleic acid (DNA) can have some functional activities.
PMID- 27207195
TI - Oral Hygiene and Dietary Habits in Adolescents with Fixed Orthodontic Appliances:
A Cross-sectional Study.
AB - OBJECTIVES: Fixed orthodontic appliances (FOAs) may cause a temporary
deterioration of oral hygiene with corresponding gingival inflammatory changes.
Optimal oral hygiene and dietary changes are essential in order to avoid
periodontal inflammation. The present study investigates to what extent the
recommendations made by the orthodontist are followed by young patients.
MATERIALS AND METHODS: A total of 67 adolescent patients with FOA were examined
and interviewed. The control group consisted of 70 patients of the same age who
had not undergone any orthodontic treatment. A specific questionnaire (assessing
dietary habits, oral hygiene measures) was used and comprehensive oral findings
along with oral hygiene status were evaluated. RESULTS: The analysis of the data
showed that despite intensive oral hygiene and dietary advice in the patients
with FOA, there was no change in diet or oral hygiene habits. There were
significantly higher signs of gingival inflammation and amount of dental plaque
in FOA patients. Nutritional recommendations and oral hygiene measures for young
people with FOA were not adequately enforced. CONCLUSION: The findings indicate
that frequent recalls should be scheduled with repeated reinforcement of oral
hygiene and dietary modifications in FOA patients.
PMID- 27207196
TI - Evaluation of Antimicrobial Photodynamic Therapy against Streptococcus mutans
Biofilm in situ.
AB - AIM: This study investigated the effect of antimicrobial photo-dynamic therapy
(aPDT) over Streptococcus mutans biofilm. MATERIALS AND METHODS: Eighteen (n =
18) patients were selected and one palatine device with dental blocks was used.
The biofilm was treated by curcumin and Photogem(r) with a LED and the effect was
analyzed by CFU/ml. RESULTS: Although, statistical analysis showed significant
reductions for aPDT mainly with Photogem(r) (p = 0.02), these were low.
CONCLUSION: The results suggest a low antimicrobial effect of aPDT over S. mutans
biofilm. Some parameters used need to be improved. CLINICAL SIGNIFICANCE: This
technique can be a promising in Dentistry.
PMID- 27207197
TI - An in situ Evaluation of Bioactives on the Morphology of Bleached Enamel.
AB - AIM: The aim of this study was to use surface rugosity analysis (Ra) and scanning
electron microscopy (SEM) comparing effects of nano-hydroxyapatite (NANO), casein
phosphopeptide-amorphous calcium phosphate (CPP-ACP), and NovaMin (NOVA) on
enamel's human morphology bleached with 37.5% hydrogen peroxide. MATERIALS AND
METHODS: Forty specimens (3 * 3 * 3 mm) were obtained from fully included third
molars and four specimens were attached in the first molars of the volunteers.
The POLA-positive control has only been bleached. Three experimental groups were
bleached and treated with respective bioactive: NANO, CPP-ACP, and NovaMin. The
Ra analyses were performed before and after the treatment using a rugosimeter.
The obtained photomicrographs were analyzed using SEM (n = 3) by three examiners,
and the study was double blind. RESULTS: The Ra results were analyzed by one-way
analysis of variance and Tukey's post hoc test (p < 0.05). All experimental
groups showed significant differences of the others; however, the experimental
groups were not significantly different from each other. CONCLUSION: The enamel
morphology of the bioactive-treated groups had more regular surfaces, than the
others.
PMID- 27207198
TI - Antibiotic Prescription Knowledge of Dentists in Kingdom of Saudi Arabia: An
Online, Country-wide Survey.
AB - AIM: Dentists are probably contributing to the development of bacterial
resistance to certain antibiotics. Campaigns to promote prudent use of
antibiotics in dentistry are, thus, needed but require proper identification of
dentists' knowledge gaps. The objective here was to comprehensively evaluate
antibiotic prescription knowledge of dentists in Saudi Arabia. MATERIAL AND
METHODS: A link to an online, previously validated questionnaire was emailed to
5199 dentists registered with the Saudi Dental Society. The questionnaire
comprised 42 scorable items measuring antibiotics prescription knowledge in five
different domains in addition to nonscorable questions regarding first-choice
antibiotics and previous attendance of a course/workshop about antibiotic
prescription. Each correct answer was given one mark. Mean scores were calculated
as percentages and categorized as good (> 80%), intermediate (60-80%), or poor (<
60%). RESULTS: The response rate was 9.4%; however, only 373 (7.2%) fully
completed the questionnaire. Around half of the participants (52%) reported
prescribing amoxicillin/clavulanate as the first-choice antibiotic; 62% reported
attending a course/workshop in the last 5 years. The average knowledge score was
69%, being highest for nonclinical indications (79%) and lowest for prophylactic
use (56%). The worst per-item scores were noted for rheumatic heart disease
(19%), trismus (28%), surgical extraction (30%), apicectomy (31%), and
periodontal abscess (33%). Female dentists, dentists in governmental sector, and
those with higher qualifications had significantly better knowledge. CONCLUSION:
The level of knowledge was hardly intermediate and several deficits were
identified, indicating an urgent need for educational campaigns and provision of
guidelines promoting rational use of antibiotics by dentists. CLINICAL
SIGNIFICANCE: Irrational use of antibiotics by dentists can contribute to the
problem of antibacterial resistance.
PMID- 27207199
TI - An Accurate Methodology to detect Leaching of Nickel and Chromium Ions in the
Initial Phase of Orthodontic Treatment: An in vivo Study.
AB - AIM: The aim of this study was to evaluate the release of nickel and chromium
ions in human saliva during fixed orthodontic therapy. MATERIALS AND METHODS: Ten
patients with Angle's Class-I malocclusion with bimaxillary protrusion without
any metal restorations or crowns and with all the permanent teeth were selected.
Five male patients and five female patients in the age group range of 14 to 23
years were scheduled for orthodontic treatment with first premolar extraction.
Saliva samples were collected in three stages: sample 1, before orthodontic
treatment; sample 2, after 10 days of bonding sample; and sample 3, after 1 month
of bonding. The samples were analyzed for the following metals nickel and
chromium using inductively coupled plasma optical emission spectrometry (ICP
OES). RESULTS: The levels of nickel and chromium were statistically significant,
while nickel showed a gradual increase in the first 10 days and a decline
thereafter. Chromium showed a gradual increase and was statistically significant
on the 30th day. CONCLUSION: There was greatest release of ions during the first
10 days and a gradual decline thereafter. Control group had traces of nickel and
chromium. While comparing levels of nickel in saliva, there was a significant
rise from baseline to 10th and 30th-day sample, which was statistically
significant. While comparing 10th day to that of 30th day, there was no
statistical significance. The levels of chromium ion in the saliva were more in
30th day, and when comparing 10th-day sample with 30th day, there was statistical
significance. CLINICAL SIGNIFICANCE: Nickel and chromium levels were well within
the permissible levels. However, some hypersensitive individuals may be allergic
to this minimal permissible level.
PMID- 27207200
TI - Higher Dental Caries Prevalence and Its Association with Dietary Habits and
Physical Limitation in Epidermolysis Bullosa Patients: A Case Control Study.
AB - AIM: Epidermolysis bullosa (EB) represents a highly rare subgroup of skin
diseases that affects skin and mucous membrane. The aim of the present study was
to assess caries prevalence and its associated factors in EB subjects. Salivary
status was also assessed. MATERIALS AND METHODS: Ten subjects with EB who were
under supervision were selected (cases) and matched by age and gender with
unaffected individuals (controls). Dental caries were recorded using the World
Health Organization (WHO) criteria. Oral hygiene and dietary habits were
investigated by clinical examination and questionnaires. Both nonstimulated and
stimulated saliva were collected and salivary pH, buffering capacity and mouth
opening were evaluated. RESULTS: The results showed that the median decay-missing
filled teeth was significantly higher (p = 0.0094) in EB cases 5 (3.9-20.3) than
in controls 3 (2-3.25). The groups also differed when food consistency was
analyzed. Individuals with EB have a higher intake of soft food. In addition, the
median mouth-opening values from cases (0.84-2.84 cm) and controls (4.3-4.9 cm)
have shown to be statistically different (p = 0.007). Considering the salivary
parameters, none of them showed significant differences among groups. CONCLUSION:
Epidermolysis bullosa subjects present higher caries scores and might be related
to their physical condition and dietary habits. CLINICAL SIGNIFICANCE: There is a
lack of information about oral status in EB subjects. Hence, our findings add
useful information regarding the relationship between caries prevalence and
associated risk factors in EB subjects.
PMID- 27207201
TI - Effects of Different Temperatures and Storage Time on the Degree of Conversion
and Microhardness of Resin-based Composites.
AB - OBJECTIVE: Dental materials are often made at room temperature, whereas
clinically they are made in the mouth. This study evaluated the effects of
temperature on the degree of conversion (DC) and Knoop microhardness (KHN).
MATERIALS AND METHODS: Two types of resin-based composites (RBCs) were light
cured using a light-emitting diode (LED) light-curing unit. The resin specimens
were centered on an Attenuated Total Reflectance Fourier transform infrared (FT
IR) plate heated to 23 degrees C or 33 degrees C. The DC of the resin was
calculated after 120 seconds, the specimens were removed, and the KHN was tested
at the bottom of the specimens both immediately, after 24 hours, and after 7 days
storage in distilled water in complete darkness at 37 degrees C. The effects of
different temperatures on the DC and KHN with their storage time were compared by
analysis of variance and Fisher's protected least significant difference post hoc
multiple comparison tests (p < 0.05). RESULTS: Increasing the temperature had a
significant and positive effect on the DC and KHN for immediate values of the
RBCs. Greater conversion and hardness occurred when the curing temperature was
increased from 23 degrees C to 33 degrees C. The KHN increased significantly
after 24 hours of storage. There was a linear relationship between DC and KHN
(R(2) = 0.86) within the range of DC and KHN studied. CONCLUSION: The physical
properties of dental materials can be expected to be better when made in the
mouth than when they are made in a laboratory at room temperature.
PMID- 27207202
TI - Comparative Sensitivity Assessment of Cone Beam Computed Tomography and Digital
Radiography for detecting Foreign Bodies.
AB - AIM: Foreign body detection and determining whether it is adjacent to critical
organs has a significant role in its removal. Various imaging techniques have
been used to locate foreign bodies. This study aimed to compare cone beam
computed tomography (CBCT) and digital radiography for detecting foreign bodies
in an in vitro model. MATERIALS AND METHODS: Foreign bodies composed of normal
glass, barium glass, wood, and stone with two sizes were placed into three
different locations of two sheep heads. Digital radiography [lateral
cephalometric, submentovertex (SMV)] and CBCT were compared to investigate their
sensitivity for detecting foreign bodies. RESULTS: Diagnostic sensitivity of
digital radiography in lateral cephalometric view, SMV view, and CBCT for
detecting all types of foreign bodies was 67.2, 32.3, and 76.6% respectively.
None of these techniques were successful in detecting wood satisfactory. Stone
was detected relatively higher than other foreign bodies (82.6%). Diagnostic
sensitivity of CBCT in detecting foreign bodies was 100%, except for wood.
Accuracy of imaging techniques in detecting foreign bodies according to locations
in descending order was lip, mandibular angle, and maxillary sinus. CONCLUSION:
It can be concluded that appropriate amount of radiopacity is enough for CBCT to
exactly detect foreign body, regardless of its location or size. CLINICAL
SIGNIFICANCE: In maxillofacial traumatic patients, CBCT seems to be a better and
cost-effective technique for detecting hidden foreign bodies than other routine
techniques.
PMID- 27207203
TI - Effect of Addition of Platelet-rich Plasma to Calcium Phosphosilicate Putty on
Healing at 9 Months in Periodontal Intrabony Defects.
AB - BACKGROUND AND OBJECTIVE: Combination of platelet-rich plasma (PRP) and bone
substitutes for the surgical treatment of periodontal intrabony defects is based
on a sound biologic rationale; however, the clinical results indicative of the
synergistic effect of PRP remain ambiguous. The objective of the present study
was to clinically and radiographically evaluate the use of calcium
phosphosilicate (CPS) putty alone and in combination with PRP in the treatment of
periodontal intrabony defects. MATERIALS AND METHODS: The study was performed at
an outpatient facility at a teaching dental institute in north India. A split
mouth design was employed to assess the clinical parameters and radiographic bone
fill following the use of CPS putty with and without PRP in patients scheduled
for surgical periodontal treatment of intrabony osseous defects. Each defect was
randomized to receive treatment with open flap debridement, with CPS putty alone
(Group PUT), or open flap debridement with CPS putty and PRP (Group PRp). Probing
pocket depth (PPD), plaque index (PI), gingival index (GI), and clinical
attachment levels (CALs) were recorded at the investigated sites utilizing custom
made reference guides for measurement reproducibility. Standardized periapical
radiographs were also obtained to evaluate defect fill at the surgical sites.
RESULTS: Twenty patients each with at least two defects located in different
quadrants were enrolled. The reduction in PPD from baseline to 1st, 3rd, 6th, and
9th month was found to be significant (p < 0.05). The percent reduction in PPD
among PUT group was 57.18 +/- 10.71% and among PRP group was 51.39 +/- 12.60%. No
statistically significant difference was observed in the percent reduction in PPD
among two groups at 9 months (p = 0.48). Sites in both groups exhibited
statistically significant reductions in PI and GI that were maintained throughout
the study period. Similar results were seen while measuring CAL. CONCLUSION:
Calcium phosphosilicate Putty alone provides significant improvement in outcomes
for the treatment of periodontal intraosseous defects. The addition of PRP to CPS
putty does not seem to provide any additive benefit to treatment and the
additional surgical time and trauma can be avoided.
PMID- 27207205
TI - Role of TiF4 in Microleakage of Silorane and Methacrylate-based Composite Resins
in Class V Cavities.
AB - AIM: This study investigated the effect of TiF4 solution pretreat-ment on
microleakage of silorane and nanofilled methacrylate-based composites in class V
cavities. MATERIALS AND METHODS: Forty-eight intact premolar teeth were randomly
allocated to four groups of 12 teeth. Restorative techniques after standard class
V tooth preparations were as follows: Group 1, Filtek P90 composite; group 2,
Filtek Z350 XT; group 3, TiF4 solution pretreatment and Filtek P90 composite;
group 4, TiF4 solution pretreatment and Filtek Z350 XT. After storing the
specimens in distilled water at 37 degrees C for 24 hours and followed by
immersion of the specimens in a 0.5% basic-fuchsin solution for 24 hours, they
were sectioned buccolingually to obtain four surfaces for each specimen for
analysis of microleakage using a stereomicroscope. Data analysis was performed
using Kruskal-Wallis test to compare the four groups and the Mann-Whitney test
for paired comparisons with Statistical Package for the Social Sciences (SPSS)
version 17 software. RESULTS: At the enamel margins, microleakage score of the
Filtek Z350 XT group was lower than those of the Filtek P90 with and without the
application of the TiF4 (p = 0.009 and p = 0.031 respectively). At the dentin
margins, groups 3 and 4 (TiF4+Filtek P90 and TiF4+Filtek z350 XT respectively)
showed significantly lower microleakage than group 1 (Filtek P90). However, there
was no significant difference between other groups (p > 0.05). CONCLUSION: At the
enamel margins, microleakage score of the silorane-based composite was more than
that of the nanofilled composite. No significant differences were observed
between the other groups. At the dentin margins, for the silorane-based composite
restorations, TiF4 solution pretreatment resulted in significantly lower
microleakage. However, the similar result was not observed for Filtek Z350 XT.
Also, no significant difference was observed between microleakage scores of
Filtek P90 and Filtek Z350 XT with or without TiF4 pretreatment. CLINICAL
SIGNIFICANCE: In spite of better mechanical and physical properties of modern
composites than earlier methacrylate-based composites, polymerization shrinkage
has been remaining as one of the main shortcomings of them. Different methods,
such as using new low shrinkage resin composites and different dentin
pretreatments, have been suggested to overcome this problem. This study evaluated
the effect of TiF4 as pretreatment on microleakage of class V tooth preparations
restored with a nanocomposite and a silorane-based resin composite.
PMID- 27207204
TI - Association between Tooth Loss and Degree of Temporomandibular Disorders: A
Comparative Study.
AB - OBJECTIVE: The aim of this study was to evaluate the association between tooth
loss and the signs and symptoms of temporomandibular disorders (TMDs). MATERIALS
AND METHODS: One hundred fifty patients with an average age of 49.2 (+/-14.06)
years were divided into three groups (n = 50/group) according to the degree of
tooth loss: GI (dentate -control), GII (edentulous), GIII (partially dentate).
After performing anamnesis and clinical examination, a questionnaire was used, so
that the patients could fill in information on signs and symptoms of TMD. After
analyzing this information, it was possible to classify the degree of severity of
TMD. As age is a confounding variable to the level of TMD, an analysis of
covariance (ANCOVA) was used to check for differences in the degree of TMD
between groups (covariate = age). A post hoc test (Bonferroni) was performed to
compare the groups two by two (5% significance level). RESULTS: The mean level of
TMD according to the groups was GI - 1.95; GII - 2.15; GIII - 2.55. There were
significant differences between the study groups (p > 0.05). A post hoc test
(Bonferroni) confirmed the difference between edentulous patients and the other
groups. CONCLUSION: The tooth loss is directly related to the signs and symptoms
of TMD. The degree of TMD was significantly higher in edentulous patients.
PMID- 27207206
TI - Noninvasive Technique for Estimating Blood Glucose Levels among Diabetic
Patients.
AB - AIM: The present study was aimed to assess the fasting and postprandial gingival
crevicular blood (GCB) glucose and finger stick blood glucose measurements using
a glucometer. MATERIALS AND METHODS: A total of 30 subjects with periodontitis
and positive bleeding on probing were considered. Subjects were instructed to
report to the department after overnight fasting. Gingival crevicular blood
samples were collected from anterior region showing bleeding on probing followed
by finger stick blood sample collection. Then, the patients were instructed to
take 75 gm of glucose and after 2 hours blood samples from two sites were
collected similarly. Results were analyzed using unpaired t test and Pearson's
correlation. RESULTS: Mean glucose levels form GCB and finger stick blood did not
differ either during fasting or postprandial (p > 0.05). Significant correlation
was found between GCB glucose levels and capillary finger stick blood (CFB)
glucose levels during fasting (r = 0.946, p < 0.001) and postprandial (r=0.930, p
< 0.001) blood estimation. CONCLUSION: Periodontal probing can be considered as
an alternate noninvasive method of blood glucose estimation for screening of
diabetes mellitus (DM). The technique described is safe, easy to perform, and
helps to increase the frequency of diabetes screening in dental office. CLINICAL
SIGNIFICANCE: The GCB from probing can be a good source of blood for estimating
blood glucose levels and screening for diabetes using portable glucose monitors.
Also, it will be a simple and relatively inexpensive in office screening
procedure for any patient suspected to have diabetes.
PMID- 27207207
TI - In vitro Assessment of Clasps of Cobalt-Chromium and Nickel-titanium Alloys in
Removable Prosthesis.
AB - BACKGROUND: It has been since a long time that the use of base-metal alloys has
significantly increased in the area of prosthetic science. One of the factors
contributing for the increasing use of base-metal alloys is the increasing and
high cost factor of noble metals. Although numerous materials have been tried
since the recent past, Cobalt-Chromium (CoCr) alloys still form the most commonly
used ones because of the numerous advantages they offer. Titanium alloys are also
significantly being used in this field because of their high resistance strength
and high corrosion resistance. Therefore, we aimed to evaluate the flexure
strength and fatigue resistance of clasps made up of CoCr alloys and Nickel
titanium (NiTi) alloys. MATERIALS AND METHODS: We evaluated the retentive forces
of CoCr and NiTi clasps two different sizes engaging retentive undercuts of
different depths (0.25 and 0.50 mm). Mandibluar second premolar was prepared to
frame the prosthesis for missing mandibular first and second molar. A total of 50
clasps were included in the study out of which 30 were NiTi clasps and 30 CoCr
clasps. We evaluated the loading of the force at the rate of half
Newton(N)/second at the central part of the specimen until fracturing of the
specimen occurred and finally measurement of the modulus of elasticity was also
done. Statistical analysis was carried out; unpaired "t" test was used for
evaluating the level of significance. RESULTS: The mean load needed for CoCr
clasps was 0.7450 and for NiTi clasp was 0.6140 Kgf for producing a deflection of
0.25 mm. As far as flexibility is concerned, more value was seen in NiTi group
than CoCr group. For deflecting the clasp up to 0.50 mm, the mean load needed for
CoCr clasps was 1.4102 and for NiTi clasp was 0.8260 Kgf. The results were
statistically significant. While measuring the flexibility, more value (p < 0.05)
was seen in NiTi group than in CoCr group. NiTi alloy clasps had mean flexural
strength of 1640 MPa and modulus of elasticity of 32 GPa. CONCLUSION: For
producing deflection of 0.25 and 0.50 mm, the mean loading force was higher for
NiTi alloys. Although being increasingly used these days, NiTi alloys have
limited use as compared with CoCr alloys due to high cost of titanium and certain
other limitations.
PMID- 27207208
TI - In vitro Comparison of Impact of Different Bleaching Agents on the Microhardness
of Enamel.
AB - BACKGROUND: Various agents are used these days for increasing the esthetics. One
such procedure is bleaching that offers various advantages, as it is minimal
invasive and cheap option to color the teeth and remove stain. The altered enamel
after the bleaching process shows surface demineralization and porosities. The
present study aimed to evaluate the effect of different bleaching agents on the
microhardness of enamel. MATERIALS AND METHODS: A total of 100 freshly human
extracted maxillary premolar teeth were selected for the study. Teeth with sound
tooth structure were included for the study. All the specimens were randomly
divided into four groups with 25 specimens in each group depending upon the type
of bleaching agent used: Group A, artificial saliva (Control group); Group B, 35%
hydrogen peroxide (HP); Group C, 25% HP; Group D, 10% carbamide peroxide (CP).
Knoop Hardness Number (KHN) was calculated at 24, 48-hour, and 7-week interval.
RESULTS: Results showed no statistical significant differences between the
microhardness of enamel of different groups (p < 0.005). A slight fall in the
value of KHN was seen in all the groups, except for the control group, although
the results were statistically nonsignificant (p > 0.005). CONCLUSION: Although
nonsignificantly, all the bleaching solutions produced some amount of alterations
in the microstructure of enamel. More studies with higher study groups and more
advanced estimation technologies are required to minimize microstructure
alterations and promote for better outcome of bleaching procedures.
PMID- 27207209
TI - Long-term Follow-up of Trigeminal Neuralgia Patients treated with Percutaneous
Balloon Compression Technique: A Retrospective Analysis.
AB - BACKGROUND: Trigeminal neuralgia (TN) refers to sharp, lancinating pain in the
areas supplied by trigeminal nerve. Both pharmacological and surgical lines of
treatments are available for the treatment of TN. Percutaneous balloon
compression (PBC) is one such surgical technique that is usually advocated for
the treatment of TN occurring in elderly patients. Hence, we aim to evaluate the
follow-up results of the TN patients treated by the PBC technique. MATERIALS AND
METHODS: A total of 400 patients were selected for the study who had undergone
surgical treatment of TN by percutaneous balloon decompression technique. All the
postoperative follow-up records of the patients, clinical history, and
complication records of the patients were studied and evaluated. RESULTS: Of all
the patients included in the study, 353 patients showed improvement clinically
after PBC therapy. Out 400, 180 were males and 220 were females. Postoperative
complications of the patients during their follow-up were also recorded and it
was observed that the most common complication arising after treatment with this
technique included facial numbness, masseter muscle weakness, paresthesia,
diplopia, and corneal anesthesia. CONCLUSION: One of the most common neuralgic
pains affecting the face is the pain of TN. Although numerous lines of treatment
options are available for its treatment, all these have one or the other
drawbacks. From our results, we can conclude that PBC technique offers more
advantages than other surgical modalities and, therefore, should be preferred
over other techniques of treatment.
PMID- 27207210
TI - Corrigendum to "Examining the construction and representation of drugs as a
policy problem in Australia's National Drug Strategy documents 1985-2010" [Int.
J. Drug Policy 25 (2014) 81-87].
PMID- 27207224
TI - Insight into the interaction of antitubercular and anticancer compound
clofazimine with human serum albumin: spectroscopy and molecular modelling.
AB - The binding of clofazimine to human serum albumin (HSA) was investigated by
applying optical spectroscopy and molecular docking methods. Fluorescence
quenching data revealed that clofazimine binds to protein with binding constant
in the order of 104 M-1, and with the increase in temperature, Stern-Volmer
quenching constants gradually decreased indicating quenching mode to be static.
The UV-visible spectra showed increase in absorbance upon interaction of HSA with
clofazimine which further reveals formation of the drug-albumin complex.
Thermodynamic parameters obtained from fluorescence data indicate that the
process is exothermic and spontaneous. Forster distance (Ro) obtained from
fluorescence resonance energy transfer is found to be 2.05 nm. Clofazimine
impelled rise in alpha-helical structure in HSA as observed from far-UV CD
spectra while there are minor alterations in tertiary structure of the protein.
Clofazimine interacts strongly with HSA inducing secondary structure in the
protein and slight alterations in protein topology as suggested by dynamic light
scattering results. Moreover, docking results indicate that clofazimine binds to
hydrophobic pocket near to the drug site II in HSA.
PMID- 27207211
TI - Text Messaging-Based Interventions for Smoking Cessation: A Systematic Review and
Meta-Analysis.
AB - BACKGROUND: Tobacco use is one of the leading preventable global health problems
producing nearly 6 million smoking-related deaths per year. Interventions
delivered via text messaging (short message service, SMS) may increase access to
educational and support services that promote smoking cessation across diverse
populations. OBJECTIVE: The purpose of this meta-analysis is to (1) evaluate the
efficacy of text messaging interventions on smoking outcomes, (2) determine the
robustness of the evidence, and (3) identify moderators of intervention efficacy.
METHODS: Electronic bibliographic databases were searched for records with
relevant key terms. Studies were included if they used a randomized controlled
trial (RCT) to examine a text messaging intervention focusing on smoking
cessation. Raters coded sample and design characteristics, and intervention
content. Summary effect sizes, using random-effects models, were calculated and
potential moderators were examined. RESULTS: The meta-analysis included 20
manuscripts with 22 interventions (N=15,593; 8128 (54%) women; mean age=29) from
10 countries. Smokers who received a text messaging intervention were more likely
to abstain from smoking relative to controls across a number of measures of
smoking abstinence including 7-day point prevalence (odds ratio (OR)=1.38, 95%
confidence interval (CI)=1.22, 1.55, k=16) and continuous abstinence (OR=1.63,
95% CI=1.19, 2.24, k=7). Text messaging interventions were also more successful
in reducing cigarette consumption relative to controls (d+=0.14, 95% CI=0.05,
0.23, k=9). The effect size estimates were biased when participants who were lost
to follow-up were excluded from the analyses. Cumulative meta-analysis using the
18 studies (k=19) measuring abstinence revealed that the benefits of using text
message interventions were established only after only five RCTs (k=5) involving
8383 smokers (OR=1.39, 95% CI=1.15, 1.67, P<.001). The inclusion of the
subsequent 13 RCTs (k=14) with 6870 smokers did not change the established
efficacy of text message interventions for smoking abstinence (OR=1.37, 95%
CI=1.25, 1.51, P<.001). Smoking abstinence rates were stronger when text
messaging interventions (1) were conducted in Asia, North America, or Europe, (2)
sampled fewer women, and (3) recruited participants via the Internet.
CONCLUSIONS: The evidence for the efficacy of text messaging interventions to
reduce smoking behavior is well-established. Using text messaging to support
quitting behavior, and ultimately long-term smoking abstinence, should be a
public health priority.
PMID- 27207225
TI - Metabolic Engineering for Expanding the Substrate Range of Yarrowia lipolytica.
AB - Economically viable biotechnology processes must be characterized by a favorable
ratio between the production costs and the product market price. In the
bioproduction of bulk chemicals, costs must be minimized so that the process is
competitive relative to petroleum-based production. The substrate costs must thus
be reduced by employing inexpensive carbon sources, such as industrial wastes.
Unfortunately, the most convenient microorganisms for a bioconversion are
typically unable to degrade such substrates. Fortunately, the discovery of new
enzymes together with advances in synthetic biology has moved metabolic
engineering forward, expanding substrate ranges. Here we review the latest
advances made using the industrial yeast Yarrowia lipolytica, which can exploit
various carbon sources to produce biofuels and chemicals.
PMID- 27207226
TI - Biomedical Applications of Terahertz Spectroscopy and Imaging.
AB - Terahertz (THz=10(12)Hz) radiation has attracted wide attention for its
unprecedented sensing ability and its noninvasive and nonionizing properties.
Tremendous strides in THz instrumentation have prompted impressive breakthroughs
in THz biomedical research. Here, we review the current state of THz spectroscopy
and imaging in various biomedical applications ranging from biomolecules,
including DNA/RNA, amino acids/peptides, proteins, and carbohydrates, to cells
and tissues. We also address the potential biological effects of THz radiation
during its biological applications and propose future prospects for this cutting
edge technology.
PMID- 27207227
TI - The complete mitochondrial genome of the white-tailed tropicbird, Phaethon
lepturus.
AB - The white-tailed tropicbird, Phaethon lepturus (Pelecaniformes, Phaethontidae) is
a tropicbird, smallest of three closely related seabirds of the tropical oceans
and smallest member of the order Pelecaniformes. Here, we first determined the
complete mitochondrial genome of while-tailed tropicbird. The mitogenome (17,773
bp) was composed of 13 protein-coding genes, 22 tRNA genes, 2 rRNA genes, and 1
putative control region. Most protein-coding genes started with a traditional ATG
codon except for COX1, COX2, and ND3, which initiated with non-typical start
codon GTG, GTG, and ATA instead, respectively, and terminated with the
mitochondria stop codon (TAA/TCC/AGG/AGA). The mitogenome structural organization
was identical to the same genus species Phaethon rubricauda. The overall AT
content was 52.04%, which was higher than GC. To obtain the phylogenetic status
of Phaethon lepturus, we constructed the species phylogenetic tree together with
the 12 protein-coding genes of nine other closely species. We expected that the
complete mitogenome of while-tailed tropicbird would contribute to address
taxonomic issues and study the related evolution events.
PMID- 27207228
TI - Rare autoimmune disorders with Mendelian inheritance.
AB - Autoimmune diseases represent a heterogeneous group of common disorders defined
by complex trait genetics and environmental effects. The genetic variants usually
align in immune and metabolic pathways that affect cell survival or apoptosis and
modulate leukocyte function. Nevertheless, the exact triggers of disease
development remain poorly understood and the current therapeutic interventions
only modify the disease course. Both the prevention and the cure of autoimmune
disorders are beyond our present medical capabilities. In contrast, a growing
number of single gene autoimmune disorders have also been identified and
characterized in the last few decades. Mutations and other gene alterations exert
significant effects in these conditions, and often affect genes involved in
central or peripheral immunologic tolerance induction. Even though a single
genetic abnormality may be the disease trigger, it usually upsets a number of
interactions among immune cells, and the biological developments of these
monogenic disorders are also complex. Nevertheless, identification of the
triggering molecular abnormalities greatly contributes to our understanding of
the pathogenesis of autoimmunity and facilitates the development of newer and
more effective treatment strategies.
PMID- 27207229
TI - Assessment of Trace Metals in Soil, Vegetation and Rodents in Relation to Metal
Mining Activities in an Arid Environment.
AB - Areas where abandoned metal-extraction mines are located contain large quantities
of mineral wastes derived from environmentally unsafe mining practices. These
wastes contain many pollutants, such as heavy metals, which could be released to
the environment through weathering and leaching, hence becoming an important
source of environmental metal pollution. This study evaluates differences in the
levels of lead, iron, nickel, manganese, copper and cadmium in rodents sharing
the same type of diet under different microhabitat use in arid areas with past
mining activities. Samples of soil, roots, branches and seeds of Palo Adan
(Fouquieria diguetii) and specimens of two rodent species (Chaetodipus arenarius
and C. spinatus) were collected in areas with impact from past metal mining
activities as well as from areas with no mining impact. Both rodent species
mirrored nickel and iron levels in soil and seeds, as well as lead levels in
soil; however, C. arenarius accumulated higher levels of manganese, copper and
cadmium.
PMID- 27207230
TI - The Protective Role of Thiourea on Leuciscus cephalus Exposed to Sublethal Doses
of Pendigan 330EC (Pendimethalin) Herbicide.
AB - The aim of the study was to investigate the protective role of thiourea on the
physiological, hematological, biochemical and histopathological parameters of
Leuciscus cephalus exposed to sublethal concentration of Pendigan 330 EC
herbicide. The animals were divided in four experimental groups (control, animals
subjected to 1 0/00 thiourea, animals subjected to 4 * 10(-4) mL/L herbicide and,
respectively, animals subjected to 4 * 10(-4) mL/L herbicide and 1 0/00
thiourea). Exposure of European chub to herbicide administered in water for 2
weeks determined installation of pathological changes in the liver and gills
tissues. Also, were observed a decrease in the number of white blood cells and
oxygen consumption, breathing frequency, and an increase in the number of red
blood cells and glycaemia values. Thiourea counteracts the toxic action,
describing itself as normal liver parenchyma and normal gills in animals
intoxicated with herbicide, without lesion, and a return to normal values of the
studied markers.
PMID- 27207231
TI - Neonatal screening for severe combined immunodeficiency in Brazil.
AB - OBJECTIVE: To apply, in Brazil, the T-cell receptor excision circles (TRECs)
quantification technique using real-time polymerase chain reaction in newborn
screening for severe combined immunodeficiency and assess the feasibility of
implementing it on a large scale in Brazil. METHODS: 8715 newborn blood samples
were collected on filter paper and, after DNA elution, TRECs were quantified by
real-time polymerase chain reaction. The cutoff value to determine whether a
sample was abnormal was determined by ROC curve analysis, using SSPS. RESULTS:
The concentration of TRECs in 8,682 samples ranged from 2 to 2,181TRECs/MUL of
blood, with mean and median of 324 and 259TRECs/MUL, respectively. Forty-nine
(0.56%) samples were below the cutoff (30TRECs/MUL) and were reanalyzed. Four
(0.05%) samples had abnormal results (between 16 and 29TRECs/MUL). Samples from
patients previously identified as having severe combined immunodeficiency or
DiGeorge syndrome were used to validate the assay and all of them showed TRECs
below the cutoff. Preterm infants had lower levels of TRECs than full-term
neonates. The ROC curve showed a cutoff of 26TRECs/MUL, with 100% sensitivity for
detecting severe combined immunodeficiency. Using this value, retest and referral
rates were 0.43% (37 samples) and 0.03% (3 samples), respectively. CONCLUSION:
The technique is reliable and can be applied on a large scale after the training
of technical teams throughout Brazil.
PMID- 27207232
TI - Metabolic risk in schoolchildren is associated with low levels of
cardiorespiratory fitness, obesity, and parents' nutritional profile.
AB - OBJECTIVE: Verify the association between metabolic risk profile in students with
different levels of cardiorespiratory fitness and body mass index, as well as the
nutritional status of their parents. METHODS: A cross-sectional study comprising
1.254 schoolchildren aged between seven and 17 years. The metabolic risk profile
was calculated by summing the standardized values of high density lipoproteins
and low density lipoproteins, triglycerides, glucose and systolic blood pressure.
The parents' nutritional status was evaluated by self-reported weight and height
data, for body mass index calculating. The body mass index of schoolchildren was
classified as underweight/normal weight and overweight/obesity. The
cardiorespiratory fitness was assessed by 9-minute running/walk test, being
categorized as fit (good levels) and unfit (low levels). Data were analyzed using
prevalence ratio values (PR). RESULTS: The data indicates a higher occurrence of
developing metabolic risk in schoolchildren whose mother is obese (PR: 1.50; 95%
CI: 1.01, 2.23), and even higher for those whose father and mother are obese (PR:
2, 79, 95% CI: 1.41; 5.51). Students who have low levels of cardiorespiratory
fitness and overweight/obesity have higher occurrence of presenting metabolic
risk profile (PR: 5.25; 95% CI: 3.31; 8.16). CONCLUSION: the occurrence of
developing metabolic risk in schoolchildren increase when they have low levels of
cardiorespiratory fitness and overweight/obesity, and the presence of parental
obesity.
PMID- 27207234
TI - Coagulation, Fibrinolysis, and Platelet Activation Following Open Surgical or
Percutaneous Angioplasty Revascularization for Symptomatic Lower Limb Chronic
Ischemia.
AB - INTRODUCTION: Critical limb ischemia (CLI) is associated with a prothrombotic
diathesis that involves a complex balance between the coagulation and
fibrinolytic systems. Knowledge of this is essential when considering
revascularization procedures but is often overlooked. The aim of this review is
to summarize the available literature and provide an overview of the effects of
lower limb angioplasty and open surgical revascularization on coagulation,
fibrinolysis, and platelet activation. METHODS: A MEDLINE and EMBASE search was
conducted between 1973 and 2014 for articles relating to the effects of
revascularization for patients with CLI on the fibrinolytic and coagulation
pathways. Studies with a small cohort of patients (<5) were rejected. RESULTS:
Many of the studies included in this analysis had small cohorts. Multiple markers
were assessed across the published literature including von Willebrand factor,
tissue factor, prothrombin fragments 1 and 2, platelets, soluble platelet
selectin, plasminogen activator inhibitor 1, tissue plasminogen activator, and
thrombin-antithrombin complex. Percutaneous intervention causes an exaggerated
prothrombotic and a disturbed fibrinolytic effect. Surgery seems to cause a
similar prothrombotic derangement with reduced fibrinolysis and platelet
hyperactivity, but this appears to be maintained for a considerable amount of
time postoperatively. CONCLUSION: There is a sparse amount published on the
effects of the coagulation and fibrinolytic systems in patients undergoing
intervention for CLI. Much of these studies are small, historical, and completely
heterogeneous, making it difficult to draw meaningful conclusions. The literature
does identify a prothrombotic state in patients with CLI, which appears to be
exacerbated by any form of intervention and prolonged in those having surgery.
Understanding this may allow us to tailor the intervention offered to patients
and prevent limb loss.
PMID- 27207233
TI - Coley's Lessons Remembered: Augmenting Mistletoe Therapy.
AB - The following four observations point in the same direction, namely that there is
an unleveraged potential for stimulating the innate immune system against cancer:
(1) experimental treatments with bacterial extracts more than 100 years ago by
Coley and contemporaries, (2) a positive correlation between spontaneous
regressions and febrile infection, (3) epidemiological data suggesting an inverse
correlation between a history of infection and the likelihood of developing
cancer, and (4) our recent finding that a cocktail of pattern recognition
receptor ligands (PRRLs) can eradicate solid tumors in cancer mice if applied
metronomically. Because the main immunostimulating component of mistletoe extract
(ME), mistletoe lectin, has been shown to be a PRRL as well, we suggest to apply
ME in combination with additional PRRLs. Additional PRRLs can be found in
approved drugs already on the market. Therefore, augmentation of ME might be
feasible, with the aim of reattaining the old successes using approved drugs
rather than bacterial extracts.
PMID- 27207235
TI - [Takotsubo, thinking outside the heart].
PMID- 27207236
TI - [Diagnostic and prognostic efficiency of 24-hour Holter monitoring in patients
with syncope].
AB - BACKGROUND AND OBJECTIVE: Syncope is a common condition and complex to diagnose.
The yield of the 24h-Holter ECG in this context has not been clearly defined. The
aim of this study was to evaluate its diagnostic and prognostic capacity in these
patients. PATIENTS AND METHOD: Retrospective study of 6,006 consecutive patients
sent to our unit for 24h-Holter ECG monitoring for syncope. We registered the
diagnostic findings and abnormal findings potentially related to an arrhythmic
cause of syncope. The prognostic endpoint was a combination of death or the need
for device implantation (pacemaker or defibrillator) within one year. RESULTS:
242 patients (4%) presented diagnostic findings and 472 (7.9%) had some abnormal
findings. In 328 cases device implantation was necessary within one year, but up
to 66% of these patients did not have any relevant findings on the Holter
monitoring. A total of 564 patients presented the combined event, including 36.8%
of patients with diagnostic findings and 8.2% without them. CONCLUSIONS: 24h
Holter ECG monitoring presents a limited diagnostic and prognostic yield in
unselected patients with syncope.
PMID- 27207237
TI - [Bilateral osteonecrosis of the hip secondary to endogenous Cushing's syndrome
due to a recently-diagnosed carcinoid tumour of the lung].
PMID- 27207238
TI - [Cryofibrinogenemia. An uncommon cause of cold induced cutaneous lesions].
PMID- 27207239
TI - [Adult-onset Still's disease].
PMID- 27207240
TI - [Chronic cough. The need to optimize its management].
PMID- 27207241
TI - [Septic arthritis of the knee by Neisseria meningitidis serogroup W-135: First
case reported in adults].
PMID- 27207242
TI - [Severe sepsis caused by infected prosthesis joint due to Myroides odoratimimus].
PMID- 27207243
TI - [Identification of the drug of abuse flakka (alpha-PVP) as suspicion of chemical
submission in the Emergency Department of a tertiary hospital].
PMID- 27207248
TI - Erratum to: Internet-based incentives increase blood glucose testing with a non
adherent, diverse sample of teens with type 1 diabetes mellitus: a randomized
controlled trial.
PMID- 27207245
TI - THERAPY OF ENDOCRINE DISEASE: Surgery in microprolactinomas: effectiveness and
risks based on contemporary literature.
AB - Microprolactinomas are the most common pituitary adenomas. In symptomatic
patients, dopamine agonists are the first-line treatment of choice; when
cabergoline is used, biochemical control rates between 85 and 93% have been
reported. Long-term treatment is needed in most of the cases with compliance,
patient convenience, and potential adverse effects representing areas requiring
attention. Based on the literature published in the past 15 years,
transsphenoidal surgery can lead to normal prolactin in the postoperative period
in usually 71-100% of the cases with very low postoperative complication rates.
Surgical expertise is the major determinant of the outcomes, and it may be a cost
effective option in young patients with life expectancy greater than 10 years
(provided it is performed by experienced surgeons at high volume centers with
confirmed optimal outcomes). Larger series of patients with adequate follow-up
could further validate the place of transsphenoidal surgery (particularly through
the endoscopic approach for which long-term results are currently limited) in the
management algorithm of patients with microprolactinoma.
PMID- 27207244
TI - Severe maternal morbidity due to respiratory disease and impact of 2009 H1N1
influenza A pandemic in Brazil: results from a national multicenter cross
sectional study.
AB - BACKGROUND: The aim of this study was to assess the burden of respiratory
disease, considering the influenza A pandemic season (H1N1pdm09), within the
Brazilian Network for Surveillance of Severe Maternal Morbidity, and factors
associated with worse maternal outcome. METHODS: A multicenter cross-sectional
study, involving 27 referral maternity hospitals in five Brazilian regions. Cases
were identified in a prospective surveillance by using the WHO standardized
criteria for potentially life-threatening conditions (PLTC) and maternal near
miss (MNM). Women with severe complications from respiratory disease identified
as suspected or confirmed cases of H1N1 influenza or respiratory failure were
compared to those with other causes of severe morbidity. A review of suspected
H1N1 influenza cases classified women as non-tested, tested positive and tested
negative, comparing their outcomes. Factors associated with severe maternal
outcome (SMO = MNM + MD) were assessed in both groups, in comparison to PLTC,
using PR and 95 % CI adjusted for design effect of cluster sampling. RESULTS:
Among 9555 cases of severe maternal morbidity, 485 (5 %) had respiratory disease.
Respiratory disease occurred in one-quarter of MNM cases and two-thirds of MD.
H1N1 virus was suspected in 206 cases with respiratory illness. Around 60 % of
these women were tested, yielding 49 confirmed cases. Confirmed H1N1 influenza
cases had worse adverse outcomes (MNM:MD ratio < 1 (0.9:1), compared to 12:1 in
cases due to other causes), and a mortality index > 50 %, in comparison to 7.4 %
in other causes of severe maternal morbidity. Delay in medical care was
associated with SMO in all cases considered, with a two-fold increased risk among
respiratory disease patients. Perinatal outcome was worse in cases complicated by
respiratory disease, with increased prematurity, stillbirth, low birth weight and
Apgar score < 7. CONCLUSIONS: Respiratory disease, especially considering the
influenza season, is a very severe cause of maternal near miss and death.
Increased awareness about this condition, preventive vaccination during
pregnancy, early diagnosis and treatment are required to improve maternal health.
PMID- 27207249
TI - Air-dried cells from the anhydrobiotic insect, Polypedilum vanderplanki, can
survive long term preservation at room temperature and retain proliferation
potential after rehydration.
AB - Pv11, a cell line derived from the anhydrobiotic insect, Polypedilum
vanderplanki, was preserved in a dry form (only 6% residual moisture) at room
temperature for up to 251 days and restarted proliferating after rehydration. A
previous study already reported survival of Pv11 cells after desiccation, but
without subsequent proliferation. Here, the protocol was improved to increase
survival and achieve proliferation of Pv11 cells after dry storage. The method
basically included preincubation, desiccation and rehydration processes and each
step was investigated. So far, preincubation in a 600 mM trehalose solution for
48 h before dehydration was the most favourable preconditioning to achieve
successful dry preservation of Pv11 cells, allowing about 16% of survival after
rehydration and subsequent cell proliferation. Although the simple air-dry method
established for Pv11 cells here was not applicable for successful dry
preservation of other insect cell lines, Pv11 is the first dry-preservable animal
cell line and will surely contribute not only to basic but also applied sciences.
PMID- 27207250
TI - The segmental diffusivity profile of amyotrophic lateral sclerosis associated
white matter degeneration.
AB - BACKGROUND AND PURPOSE: Magnetic resonance diffusivity indices have been
repeatedly proposed as biomarkers of neurodegeneration in amyotrophic lateral
sclerosis (ALS), but no consensus exists as to which diffusivity parameter is the
most sensitive to identify early degenerative changes. Despite numerous studies,
surprisingly little is known of the segmental vulnerability of the corticospinal
tracts and corpus callosum. Our objective was to characterize the core three
dimensional white matter signature of ALS, to describe phenotype-specific
patterns of white matter degeneration and to evaluate the diffusivity profile of
individual patients and controls in specific white matter segments. METHODS: A
large neuroimaging study was undertaken with 62 patients and 55 age-matched
healthy controls. White matter alterations were explored based on fractional
anisotropy and radial, mean and axial diffusivity indices. Atlas-based region of
interest analyses were carried out in the corona radiata, internal capsules,
cerebral peduncles, and in the splenium, body and genu of the corpus callosum.
Percentage change and receiver operating characteristic (ROC) curves were used to
characterize disease-state discriminating diffusivity measures and white matter
regions. RESULTS: Bulbar onset patients exhibit extensive corticobulbar tract
involvement in the genu of the internal capsule and in the lateral fibres of the
corona radiata subjacent to the bulbar representation of the motor homunculus.
Spinal onset patients show predominantly posterior internal capsule involvement
and medial corona radiata pathology. ROC curve analyses revealed that diffusivity
measures of the cerebral crura best discriminate patients and controls (area
under the curve 80.1%). CONCLUSIONS: Amyotrophic lateral sclerosis is associated
with a core, disease-specific three-dimensional white matter signature which is
best demonstrated by radial diffusivity measurements. The main ALS motor
phenotypes are manifestations of the relatively selective involvement of
corticospinal and corticobulbar fibres.
PMID- 27207251
TI - It May Seem Inflammatory, but Some T Cells Are Innately Healing to the Bone.
AB - Among the most significant developments to have taken place in osteology over the
last few decades is an evolution from treating and viewing bone disorders
primarily through an endocrine lens to instead seeing them as metabolic disorders
that interface at the molecular and cellular level with the immune system.
Osteoimmunology was officially born in response to accumulating evidence that the
immune system is integrally involved in bone remodeling, but much of the early
work focused on the role of conventional alphabeta T cells in driving bone loss.
There is, however, emerging data indicating that innate lymphocytes, in
particular gammadelta T cells, may in fact be important for bone regeneration. We
first observed that bisphosphonate-associated osteonecrosis of the jaw (ONJ), a
rare but serious adverse drug effect characterized by nonhealing necrotic bone
tissue of the mandible or maxilla, was linked to a deficiency in a subset of
gammadelta T cells found in human peripheral blood. Patients who developed ONJ
while on bisphosphonate therapy not only lacked the main subset of circulating
gammadelta T cells, but they also all had underlying conditions that compromised
their immune integrity. A number of recent studies have unraveled the role of
gammadelta T cells (and lymphocytes sharing their characteristics) in bone
regeneration-particularly for fracture healing. These findings seem to contradict
the prevailing view of such "inflammatory" T cells as being bone degenerative
rather than restorative. This viewpoint melds together the emerging evidence of
these so-called inflammatory T cells in bone remodeling and healing-showing that
they are not in fact "all bad to the bone." (c) 2016 American Society for Bone
and Mineral Research.
PMID- 27207252
TI - Real-World Evidence: A Comparison of the Australian Herceptin Program and
Clinical Trials of Trastuzumab for HER2-Positive Metastatic Breast Cancer.
AB - INTRODUCTION: Estimating the real-world cost-effectiveness of a new drug relies
on understanding the differences between clinical trial data (pre-reimbursement)
and clinical practice (post-reimbursement). This is important for decision makers
when reviewing reimbursement decisions, prices, and considering other drugs for
the same condition. Differences can arise from differences in patient
characteristics, but also from the availability of new evidence and evolving
treatment practices. This paper examines these issues using a case study.
METHODS: In 2001, the Australian Government funded trastuzumab for the treatment
of HER2+ metastatic breast cancer through the Herceptin Program. The
administrative arrangements of the Program resulted in rich observational data
that captured information about patients treated with trastuzumab between 2001
and 2010 (n = 3830). The dataset included patient characteristics, dispensed
medicines, medical service use and date of death. RESULTS: Compared to
participants in the clinical trials, patients were older, received more prior
chemotherapies and a broader range of co-administered chemotherapies. Treatment
practices differed from the clinical trials, but also changed over time. For
example, in situ hybridization testing, rather than immunohistochemistry testing,
and a three weekly administration schedule, rather than one weekly, were
increasingly used. Compared to the clinical trials, patients administered
trastuzumab with a concomitant chemotherapy generally experienced longer overall
survival (151.3 weeks, 95 % CI: 142.6, 163.4), while those who received
trastuzumab as a monotherapy experienced shorter overall survival (94.4 weeks,
95%CI: 86.4, 102.9). These findings may be due to a differing relative treatment
effect in clinical practice, but may also be due to a range of other factors.
CONCLUSION: This analysis demonstrates the challenges for decision makers that
arise because new evidence and evolving treatment practices create a gap between
clinical trial data and real-world clinical practice and outcomes.
PMID- 27207253
TI - General practitioners' barriers and facilitators towards new provider-initiated
HIV testing strategies: a qualitative study.
AB - European guidelines recommend offering an HIV test to individuals who display HIV
indicator conditions (ICs). UK guidelines recommend performing a 'routine offer
of HIV testing' in primary care where HIV prevalence exceeds 2 in 1000.
Implementation of new provider-initiated HIV testing strategies in general
practice is limited, while the numbers of undiagnosed and late for care HIV
patients remain high. We have explored Dutch general practitioners' barriers to
and facilitators of both strategies. We combined semi-structured in-depth
interviews with focus groups. Nine general practitioners - key informants of
sexually transmitted infection/HIV prevention and control - were selected for the
interviews. Additionally, we organised focus groups with a broad sample of
general practitioners (n = 81). Framework analysis was used to analyse the data.
Various barriers were found, related to (1) the content of the guidelines
(testing the right group and competing priorities in general practice), (2) their
organisational implementation (lack of time, unclear when to repeat the HIV test
and overlong list of ICs) and (3) the patient population (creating fear among
patients, stigmatising them and fear regarding financial costs). Multiple general
practitioners stated that performing a sexual risk assessment of patients is
important before applying either strategy. Also, they recommended implementing
the IC-guided approach only in high-prevalence areas and combining HIV tests with
other laboratory blood tests. General practitioners tend to cling to old patterns
of risk-based testing. Promoting awareness of HIV testing and educating general
practitioners about the benefits of new provider-initiated HIV testing strategies
is important for the actual uptake of HIV testing.
PMID- 27207254
TI - Computational investigation of stoichiometric effects, binding site
heterogeneities, and selectivities of molecularly imprinted polymers.
AB - A series of quantum mechanical (QM) computational optimizations of molecularly
imprinted polymer (MIP) systems were used to determine optimal monomer-to-target
ratios. Imidazole- and xanthine-derived target molecules were studied. The
investigation included both small-scale models (3-7 molecules) and larger-scale
models (15-35 molecules). The optimal ratios differed between the small and
larger scales. For the larger models containing multiple targets, binding-site
surface area analysis was used to quantify the heterogeneity of these sites. The
more fully surrounded sites had greater binding energies. No discretization of
binding modes was seen, furthering arguments for continuous affinity distribution
models. Molecular mechanical (MM) docking was then used to measure the
selectivities of the QM-optimized binding sites. Selectivity was also shown to
improve as binding sites become more fully encased by the monomers. For internal
sites, docking consistently showed selectivity favoring the molecules that had
been imprinted via QM geometry optimizations. The computationally imprinted sites
were shown to exhibit size-, shape-, and polarity-based selectivity. Here we
present a novel approach to investigate the selectivity and heterogeneity of
imprinted polymer binding sites, by applying the rapid orientation screening of
MM docking to the highly accurate QM-optimized geometries. Modeling schemes were
designed such that no computing clusters or other specialized modeling equipment
would be required. Improving the in silico analysis of MIP system properties will
ultimately allow for the production of more sensitive and selective polymers.
PMID- 27207255
TI - Quantum chemical study on the stability of honeybee queen pheromone against
atmospheric factors.
AB - The managed honeybee, Apis mellifera, has been experienced a puzzling event,
termed as colony collapse disorder (CCD), in which worker bees abruptly disappear
from their hives. Potential factors include parasites, pesticides, malnutrition,
and environmental stresses. However, so far, no definitive relationship has been
established between specific causal factors and CCD events. Here we theoretically
test whether atmospheric environment could disturb the chemical communication
between the queen and their workers in a colony. A quantum chemistry method has
been used to investigate for the stability of the component of A. mellifera queen
mandibular pheromone (QMP), (E)-9-keto-2-decenoic acid (9-ODA), against
atmospheric water and free radicals. The results show that 9-ODA is less likely
to react with water due to the high barrier heights (~36.5 kcal . mol(-1)) and
very low reaction rates. However, it can easily react with triplet oxygen and
hydroxyl radicals because of low or negative energy barriers. Thus, the
atmospheric free radicals may disturb the chemical communication between the
queen and their daughters in a colony. Our pilot study provides new insight for
the cause of CCD, which has been reported throughout the world.
PMID- 27207256
TI - Long-term influence of body mass index on cardiovascular events after atrial
fibrillation ablation.
AB - BACKGROUND: Catheter ablation of atrial fibrillation (AF) is an established
therapeutic rhythm approach in symptomatic patients. Obesity is a dominant driver
of AF recurrence after ablation. However, being both overweight and underweight
drives long-term cardiac and general health risks. Long-term data are needed to
understand the influence of body mass index (BMI) on outcomes after ablation in
regard to arrhythmia recurrence and cardiovascular outcomes. METHODS: All
patients who underwent an index ablation with a BMI recorded and at least 3 years
of follow-up were included (n = 1558). The group was separated and compared by
index ablation BMI status (<=20, 21-25, 26-30, >30 kg/m(2)). Long-term outcomes
included AF recurrence, stroke/TIA, heart failure (HF) hospitalization, and
death. RESULTS: Patients with advancing BMI status were more likely to be male
and have hypertension, a smoking history, diabetes, HF, and a prior
cardioversion. Patients with a BMI <=20 were more likely to have a moderate-high
congestive heart failure, hypertension, age >75, diabetes, stroke (CHADS2) score.
At 3 years, recurrence rates of AF increased significantly with increasing BMI
status (p = 0.02); paradoxically, there was a trend for increased stroke risk
with decreasing BMI (p = 0.06). Long-term death rates tended to increase
inversely with BMI status, and HF rates were greatest in the highest and lowest
BMI groups. CONCLUSIONS: Lower weight at AF ablation lowers arrhythmia recurrence
risk. However, AF ablation patients who are normal or underweight remain at high
risk of other cardiovascular outcomes including increased stroke risk with less
AF burden.
PMID- 27207257
TI - Functional Somatic Syndromes: Skin Temperatures and Activity Measurements Under
Ambulatory Conditions.
AB - Functional somatic syndromes are mostly associated with pain and emotional
distress. As one marker for the autonomic stress response, the distal skin
temperature decreases during psychological stress. In patients with functional
somatic syndromes, the distal skin temperature under baseline conditions (without
stress induction) is usually lower than in healthy subjects, which could be due
to the sustained presence of pain-related stress in such patients. The aim of our
study was to investigate whether patients with functional somatic syndromes show
altered skin temperatures also under everyday life conditions. 14 patients with
functional somatic syndromes and 14 matched healthy control subjects were
investigated under ambulatory conditions over six consecutive days. During this
time, distal and proximal skin temperatures were continuously recorded and sleep
wake cycles were monitored by actimetry and sleep-wake diaries. Unexpectedly, the
patients showed higher distal skin temperatures than control subjects in the
afternoon. The objective temperature data did not match the patients' subjective
experience: ratings of thermal comfort did not vary between the two groups.
Moreover, similar levels of daytime activity were recorded in the two samples,
even though patients reported more tiredness and more body tension than controls.
We interpret the observed dissociation between objective skin temperature
measurements and subjective ratings of the bodily thermal comfort as support for
the notion of an alexisomia account (reduced bodily awareness) for functional
somatic syndromes. Moreover, findings indicate that subjective complaints of
tiredness and tension do not necessarily result in physical avoidance behaviour.
PMID- 27207259
TI - Paper points revisited: risk of cellulose fibre shedding during canal length
confirmation.
AB - AIM: To compare the degree of fibre shedding by six brands of endodontic paper
point when used for the paper point technique (PPT) of working length
confirmation. METHODOLOGY: An in vitro model simulating the clinical use of paper
points in PPT was used to assess the degree of fibre shedding of six brands of
size 20, .02 taper paper point. Whilst observing the artificial terminal foramen
with polarized light microscopy, the number of fibres shed directly (point
inserted 1 mm beyond the terminal foramen) and indirectly (point inserted to
length then a gutta-percha point inserted) was assessed. The degree of direct
fibre shedding as a function of brand was analysed with Fisher's exact test and
multiple pairwise comparisons. Indirect fibre shedding was analysed with Kruskal
Wallis and Wilcoxon rank-sum tests. RESULTS: All brands shed fibres both directly
and indirectly, with a significant influence of brand on the proportion of fibres
shed directly (P < 0.0306) and on the mean number of fibres shed indirectly (P <
0.0001). Post hoc analysis demonstrated a similar hierarchy of point integrity
for both tests with Classic (UnoDent, Witham, UK) shedding significantly more
than other brands and SS White (Gloucester, UK) and Antaeos(r) (VDW, Munich,
Germany) shedding the least. CONCLUSION: All brands of paper point may shed
cellulose fibres both directly and indirectly with a significant influence of
brand on the degree of fibre shedding. Further work should be undertaken to
develop biocompatible absorbent dental points.
PMID- 27207258
TI - Spotted fever group rickettsiae in ticks of migratory birds in Romania.
AB - BACKGROUND: Birds are important hosts and dispersers of parasitic arthropods and
vector-borne zoonotic pathogens. Particularly migratory species may carry these
parasites over long distances in short time periods. Migratory hotspots present
ideal conditions to get a snapshot of parasite and pathogen diversity of birds
migrating between continents. The aim of this study was to investigate the
presence and diversity of Rickettsia spp. in ticks collected from birds at a
migratory hot-spot in the Danube Delta, Romania, eastern Europe. METHODS: DNA was
extracted from ticks that were collected from migratory birds in the Danube Delta
during migratory seasons in 2011-2012. Two 360 bp fragments of the 16S ribosomal
RNA gene and a 381 bp fragment Gene gltA were PCR amplified and analyzed by
sequence analysis (performed at Macrogen Europe, Amsterdam, The Netherlands).
Nucleotide sequences were compared to reference sequences available in the
GenBank database, using Basic Local Alignment Search Tool. RESULTS: Four hundred
ticks of four different species were found on 11 bird species. The prevalence of
Rickettsia spp. infection was 14 % (56/400, CI: 11.7-29.1), with significantly
more nymphs hosting rickettsial infection compared to larvae (48 vs 7; P <
0.001). Significantly more ticks in nymphal stage were hosting Rickettsia spp.
infection in spring, than in autumn. Four different genospecies were found: R.
monacensis (29 ticks), R. helvetica (13), R. massiliae (3) and R. slovaca (2).
The seasonal distribution of different Rickettsia spp. was heterogeneous; with
most of the R. monacensis-infected ticks were found in spring, while more R.
helvetica were found in autumn than spring. R. massiliae was found only in autumn
and R. slovaca was found only in spring. CONCLUSION: This study has shown that
birds migrating through eastern Europe may carry ticks infected with a high
diversity of rickettsial pathogens, with four Rickettsia spp. recorded. Migratory
direction was important for pathogen burden, with seasonal differences in the
occurrence of individual Rickettsia species. Here we report the first individual
records of different Rickettsia spp. in H. concinna (R. monacensis), I.
arboricola (R. helvetica, R. massiliae) and I. redikorzevi (R. helvetica) and
also the first geographical record of occurrence of R. massiliae in Romania,
representing the easternmost observation on the continent.
PMID- 27207261
TI - Haematopoietic-expressed C/EBPbeta: A novel transcriptional regulator of hepatic
liver metabolism and macrophage foam cells during atherosclerosis?
PMID- 27207262
TI - A framework for assessing the ethics of doctors' strikes.
AB - The first aim of this article is to offer a framework for constructive and
rigorous discussions of the ethics of doctors' strikes, beginning with an in
principle distinction between the questions of how one should conduct oneself
while working as a doctor and when and how one can suspend that work. The second
is to explore how that framework applies to the contemporary British case of
strikes by English junior doctors, with my suggestion being that those strikes do
meet all of the criteria proposed. In closing, I gesture towards a further
ethical dimension to strikes which is too often overlooked: namely, the
responsibilities of employers and others not to misrepresent or demonise those
doctors who are engaged in or considering taking industrial action.
PMID- 27207260
TI - Transcriptome analysis revealed the drought-responsive genes in Tibetan hulless
barley.
AB - BACKGROUND: Hulless barley, also called naked barley, is an important cereal crop
worldwide, serving as a healthy food both for human consumption and animal feed.
Nevertheless, it often suffered from drought stress during its growth and
development, resulting in a drastic reduction in barley yields. Therefore, study
on molecular mechanism of hulless barley drought-tolerance is very important for
increasing barley production. To investigate molecular mechanism of barley
drought-resistance, this study examined co-regulated mRNAs that show a change in
expression pattern under early well water, later water deficit and finally water
recovery treatments, and to identify mRNAs specific to water limiting conditions.
RESULTS: Total of 853 differentially expressed genes (DEGs) were detected and
categorized into nine clusters, in which VI and VIII were apparently up-regulated
under low relative soil moisture content (RSMC) level. The majority of genes in
these two clusters was relevant to abiotic stress responses in abscisic acid
(ABA) dependent and independent signaling pathway, including NCED, PYR/PYL/RCAR,
SnRK2, ABF, MYB/MYC, AP2/ERF family, LEA and DHN. In contrast, genes within
clusters II and IV were generally down-regulated under water stress; cluster IX
genes were up-regulated during water recovery response to both low and high RSMC
levels. Genes in implicated in tetrapyrrole binding, photosystem and
photosynthetic membrane were the most affected in cluster IX. CONCLUSION: Taken
together, our findings indicate that the responses of hulless barley to drought
stress shows differences in the pathways and genes activated. Furthermore, all
these genes displayed different sensitivities to soil water deficit and might be
profitable for future drought tolerance improvement in barley and other crops.
PMID- 27207263
TI - Horizontal Transfer of Spinosad in Coptotermes formosanus (Isoptera:
Rhinotermitidae).
AB - Slow-acting and nonrepellent termiticides are possible candidates for nestmate to
nestmate transfer called horizontal transfer. For the horizontal transfer study
of spinosad, Coptotermes formosanus Shiraki was released in sand and soil at 1,
25, and 50 ppm Entrust((r)) for 1 h and then mixed with healthy untreated
termites for 21 d at the ratio of 1:1. Donor and recipient termites began to
contact and groom each other immediately after release. Mortality of termites was
recorded at 1, 3, 7, and 14 d after treatment. Spinosad was more effectively
transferred in sand than in soil. In sand at 25 and 50 ppm, significantly high
mortality of donors and recipients was observed after 7 d. When termites were
exposed to treated soil at day 21, all three concentrations resulted in
significantly higher mortality compared to the control. In our laboratory study,
spinosad was effectively transferred by donor termites. Transfer of spinosad
depended on its bioavailability and concentration. Further study is needed to
address its effects against C. formosanus under field conditions.
PMID- 27207265
TI - Erratum.
PMID- 27207264
TI - Intra-Annual Variation in Responses by Flying Southern Pine Beetles (Coleoptera:
Curculionidae: Scolytinae) to Pheromone Component endo-Brevicomin.
AB - The southern pine beetle Dendroctonus frontalis Zimmermann (Coleoptera:
Curculionidae: Scolytinae) is attracted to an aggregation pheromone that includes
the multifunctional pheromone component endo-brevicomin. The effect of endo
brevicomin on attractive lures varies from strong enhancement to reduction of
beetle attraction depending upon release rate, lure component spacing, and
proximity of beetle infestations. Anecdotal observations have further suggested
that the effects of endo-brevicomin vary during the year. We investigated this
possibility under nonoutbreak conditions in southwestern Mississippi where for
two-and-a-half years we monitored traps baited with frontalin and the host odor
alpha-pinene either (a) alone, or with an endo-brevicomin release device either
(b) located directly on the trap, or (c) displaced 6 m away. The endo-brevicomin
devices in our tests increased D. frontalis catches during all times of year, and
6 m displacement of the endo-brevicomin release device from the trap did not
significantly alter responses except during the spring flight peak when
displacement increased catches. Our data suggest that flying D. frontalis have a
stronger tendency to avoid the immediate proximity of a release point of endo
brevicomin during their springtime dispersal flight when catches are greatest.
Catches of Thanasimus dubius (F.) (Coleoptera: Cleridae), a major predator of D.
frontalis, were not altered by endo-brevicomin, and ratios of D. frontalis to T.
dubius changed over the course of the year. We discuss the possible effects of
intra-annual variation in D. frontalis response to endo-brevicomin both on beetle
attack behavior and use of endo-brevicomin as a lure adjuvant in D. frontalis
population monitoring.
PMID- 27207266
TI - [Precariousness, cardiovascular risk in the afro-Caribbean population of Saint
Martin. Data from the study CONSANT-SM].
AB - OBJECTIVE: The objective is to describe the prevalence of arterial hypertension
(hypertension) and cardiovascular risk factors (FDR) and specify their
association with the socio-economic factors in a collectivity of Saint-Martin.
MATERIAL AND METHODS: CONSANT-SM is a cross-sectional descriptive study carried
out on a representative sample of 400 people. The data collection was conducted
by investigators at home. RESULTS: The low income level is significantly
associated with abdominal obesity (OR=2.3), with cardiovascular FDR accumulated
(OR=3.58). Low level of education (OR=2.86) and abdominal obesity (OR=3.73) are
significantly associated with hypertension. DISCUSSION: The link between
cardiovascular FDR and precariousness (in our study represented by the variable
low levels of income and education) is found in this study carried out on a
representative sample of the population of the community of Saint-Martin.
CONCLUSION: The strong link between hypertension, obesity and others
cardiovascular risk factors (FDR) with precariousness (in our study represented
by the variable low levels of income and education) is found in this afro
Caribbean population. This data is an important information for the health
program developed by the healthy system.
PMID- 27207267
TI - [The characteristics of arterial hypertension in postmenopausal women in the area
of Blida (Algeria)].
AB - OBJECTIVE: Assess the prevalence, the degree of control, and the comorbidities of
hypertension in postmenopausal women. DESIGNS AND METHODS: This is a cohort
descriptive and prospective study conducted in 2years in a population with
hypertension treated for arterial hypertension in specialized university hospital
in Blida, which included one thousand seven hundred and twenty-one postmenopausal
women with amenorrhea fore more than 12 consecutive months. All anthropometric
data were recorded. All cardiovascular complications were sought. All
calculations and statistical analysis are processed by the SPSS 20.0. RESULTS:
The mean age of onset menopause is 50.7+/-6.2yearsold. The prevalence of
hypertension is 71%, significantly higher in postmenopausal women aged 65 and
over. Among women, 82.3% had a systolic arterial pressure>150mmHg and 42.2% had a
diastolic arterial pressure>90mmHg. The prevalence of diabetes was 34.8%, and
88.4% presented type 2 diabetes. Tobacco in 1.3%, hypoHDLmia in 52%, the
hypertriglyceridemia in 43.5%, obesity in 31.7%. The metabolic syndrome in 57.8%.
The major cardiovascular complications observed are: stroke in 26.4%, heart
failure in 14.8%, myocardial infarction in 13.2%, renal failure in 10.1%. The
control of high blood pressure is achieved only in 31.7%. CONCLUSIONS: The
systolic blood pressure is more important than the diastolic blood pressure in
postmenopausal women. The cerebral vascular accident remains the most feared
complication. The control of hypertension remains not enough and the balance of
arterial pressure figures is highly recommended to avoid morbidity and fatal
complications.
PMID- 27207268
TI - PCR detection of malaria parasites and related haemosporidians: the sensitive
methodology in determining bird-biting insects.
AB - BACKGROUND: Knowledge about feeding preference of blood-sucking insects is
important for the better understanding epidemiology of vector-borne parasitic
diseases. Extraction of DNA from blood present in abdomens of engorged insects
provides opportunities to identify species of their vertebrate hosts. However,
this approach often is insufficiently sensitive due to rapid degeneration of host
DNA in midguts. Recent studies indicate that avian malaria parasites (Plasmodium
spp.) and related haemosporidians (Haemosporida) belonging to Haemoproteus can
persist both in vectors and resistant blood-sucking insects for several weeks
after initial blood meals, and these parasites can be readily detected by
polymerase chain reaction (PCR)-based methods. Because avian haemosporidians are
cosmopolitan, prevalent and strictly specific to birds, the determination of
haemosporidian DNA in blood-sucking dipterans can be used as molecular tags in
determining bird-biting insects. This hypothesis was tested by investigation of
prevalence of natural haemosporidian infections in wild-caught mosquitoes
(Culicidae) and biting midges (Ceratopogonidae: Culicoides). RESULTS: Females of
mosquitoes (1072 individuals of three species) and biting midges (300 individuals
of three species) were collected in wildlife using simple netting. They were
identified and tested individually for the presence of both the haemosporidian
parasites and the bird blood using PCR-based methods. Seven different
Haemoproteus and two Plasmodium lineages were detected, with overall infection
prevalence of 1.12 and 1.67 % in mosquitoes and biting midges, respectively. In
all, the detection rate of avian haemosporidian parasites was three fold higher
compared with the detection of avian blood. CONCLUSIONS: Molecular markers of
avian malaria parasites and other haemosporidians are recommended for getting
additional knowledge about blood-sucking dipterans feeding on bird blood. Many
genetic lineages of avian haemosporidians are specific to avian hosts, therefore,
the detection of these parasite lineages in blood-sucking insects can indicate
their feeding preferences on the level of species or groups of related bird
species.
PMID- 27207269
TI - Robot-assisted partial cystectomy: perioperative outcomes and early oncological
efficacy.
AB - OBJECTIVE: To report on patients undergoing robot-assisted partial cystectomy
(RAPC), focusing on perioperative outcomes over a range of clinical, anatomical
and pathological variables, as well as the overall oncological efficacy of this
approach. PATIENTS AND METHODS: We retrospectively reviewed all patients who
underwent RAPC by a single surgeon between 2005 and 2015. We identified 29
patients who underwent surgery for definitive management of a primary bladder
tumour. Clinicopathological data and perioperative variables were recorded.
Continuous variables were compared using the Student's t-test. Prediction of
perioperative outcomes for those undergoing RAPC for intra-diverticular neoplasms
was done using univariate logistic regression. Survival was estimated using the
Kaplan-Meier method. RESULTS: The median (interquartile range) patient age was 75
(65-81) years, 18 patients (62.1%) had an American Society of Anesthesiologists
classification of >=3, and 10 patients (34.5%) had a history of prior abdominal
surgery. The median estimated blood loss (EBL) was 50 mL and the median length of
stay (LOS) was 1 day. Two patients (6.9%) had a perioperative complication and
five (17.9%) a post-discharge complication at <=90 days, all of which were minor.
The positive surgical margin rate was 3.6% and in those with muscle-invasive
disease a median of 12 lymph nodes were removed. Neither the size of diverticulum
nor the need for ureteric re-implantation was predictive of LOS, EBL, or
complication (P > 0.05). We did not encounter any wound, port site, or unusual
recurrence patterns to suggest the technical factors of a robotic approach
influenced oncological outcomes. The 5-year overall and recurrence-free survival
rates were 79% and 68%, respectively. CONCLUSION: RAPC confers the ability to
achieve favourable outcomes with low morbidity and reduced hospital stays.
Oncological efficacy compares favourably with the published literature. For
experienced surgeons, this may represent the optimal surgical approach for organ
preserving bladder surgery.
PMID- 27207270
TI - LATE ELONGATED HYPOCOTYL regulates photoperiodic flowering via the circadian
clock in Arabidopsis.
AB - BACKGROUND: Plants constantly monitor changes in photoperiod or day length to
trigger the flowering cycle at the most appropriate time of the year. It is well
established that photoperiodic flowering is intimately associated with the
circadian clock in Arabidopsis. In support of this notion, many clock-defective
mutants exhibit altered photoperiodic sensitivity in inducing flowering. LATE
ELONGATED HYPOCOTYL (LHY) and its functional paralogue CIRCADIAN CLOCK ASSOCIATED
1 (CCA1) constitute the core of the circadian clock together with TIMING OF CAB
EXPRSSION 1 (TOC1). While it is known that TOC1 contributes to the timing of
flowering entirely by modulating the clock function, molecular mechanisms by
which LHY and CCA1 regulate flowering time have not been explored. RESULTS: We
investigated how LHY and CCA1 regulate photoperiodic flowering through molecular
genetic and biochemical studies. It was found that LHY-defective mutants (lhy-7
and lhy-20) exhibit accelerated flowering under both long days (LDs) and short
days (SDs). Consistent with the accelerated flowering phenotypes, gene expression
analysis revealed that expression of the floral integrator FLOWERING LOCUS T (FT)
is up-regulated in the lhy mutants. In addition, the expression peaks of GIGANTEA
(GI) and FLAVIN-BINDING, KELCH REPEAT, F-BOX PROTEIN 1 (FKF1) genes, which
constitute the clock output pathway that is linked with photoperiodic flowering,
were advanced by approximately 4 h in the mutants. Furthermore, the up-regulation
of FT disappeared when the endogenous circadian period is matched to the external
light/dark cycles in the lhy-7 mutant. Notably, whereas CCA1 binds strongly to FT
gene promoter, LHY does not show such DNA-binding activity. CONCLUSIONS: Our data
indicate that the advanced expression phases of photoperiodic flowering genes are
associated with the clock defects in the lhy mutants and responsible for the
reduced photoperiodic sensitivity of the mutant flowering, demonstrating that LHY
regulates photoperiodic flowering via the circadian clock, similar to what has
been shown with TOC1. It is notable that while LHY regulates photoperiodic
flowering in a similar manner as with TOC1, the underlying molecular mechanism
would be somewhat distinct from that exerted by CCA1 in Arabidopsis.
PMID- 27207271
TI - Pharmaceutical expenditure on drugs for rare diseases in Canada: a historical
(2007-13) and prospective (2014-18) MIDAS sales data analysis.
AB - BACKGROUND: Health Canada has defined rare diseases as life-threatening,
seriously debilitating, or serious chronic conditions affecting a very small
number of patients (~1 in 2,000 persons). An estimated 9 % of Canadians suffer
from a rare disease. Drugs treating rare diseases (DRDs) are also known as orphan
drugs. While Canada is currently developing an orphan drug framework, in the
United States (US), the Orphan Drug Act (ODA) of 1983 established incentives for
the development of orphan drugs. This study measured total annual expenditure of
orphan drugs in Canada (2007-13) and estimated future (2014-18) orphan drug
expenditure. METHODS: Orphan drugs approved by the US Food and Drug
Administration (FDA) in the US were used as a proxy for the orphan drug landscape
in Canada. Branded, orphan drugs approved by the FDA between 1983 through 2013
were identified (N = 356 unique products). Only US orphan drugs with the same
orphan indication(s) approved in Canada were included in the analysis. Adjustment
via an indication factoring was applied to products with both orphan and non
orphan indications using available data sources to isolate orphan-indication
sales. The IMS Health MIDAS database of audited biopharmaceutical sales was
utilized to measure total orphan drug expenditure, calculated annually from 2007
2013 and evaluated as a proportion of total annual pharmaceutical drug
expenditure (adjusted to 2014 CAD). RESULTS: Between 2007 and 2013, expenditure
was measured for a final N = 147 orphan drugs. Orphan drug expenditure totaled
$610.2 million (M) in 2007 and $1,100.0 M in 2013, representing 3.3- 5.6 % of
total Canadian pharmaceutical drug expenditure in 2007-2013, respectively. Future
trend analysis suggests orphan drug expenditure will remain under 6 % of total
expenditure in 2014-18. CONCLUSIONS: While the number of available orphan drugs
and associated expenditure increased over time, access remains an issue, and from
the perspectives of society and equity, overall spending on orphan drugs is lower
relative to the number of patients affected with an orphan disease in Canada. The
overall budget impact of orphan drugs is small and fairly stable relative to
total pharmaceutical expenditure. Concerns that growth in orphan drug expenditure
may lead to unsustainable drug expenditure do not appear to be justified.
PMID- 27207272
TI - Graphene Quantum Dots for Theranostics and Bioimaging.
AB - Since their advent in the early 1990s, nanomaterials hold promise to constitute
improved technologies in the biomedical area. In particular, graphene quantum
dots (GQDs) were conjectured to produce new or improve current methods used for
bioimaging, drug delivery, and biomarker sensors for early detection of diseases.
This review article critically compares and discusses current state-of-the-art
use of GQDs in biology and health sciences. It shows the ability of GQDs to be
easily functionalised for use as a targeted multimodal treatment and imaging
platform. The in vitro and in vivo toxicity of GQDs are explored showing low
toxicity for many types of GQDs.
PMID- 27207273
TI - Use of Nonsteroidal Anti-Inflammatory Drugs for Symptomatic Treatment of Episodic
Headache.
AB - INTRODUCTION: Primary headaches have high epidemiologic impact but their
symptomatic treatment often remains problematic. Nonsteroidal anti-inflammatory
drugs (NSAIDs) are frequently used, but their modality of employment and
efficacy/differential efficacy are highly variable. This study investigated
current NSAID use for episodic headache at an Italian headache center (January
2000 to February 2013). METHODS: A retrospective evaluation was performed on
6,443 patient records: migraine (n = 2,330), tension-type headache (TTH; n =
807), and migraine plus TTH (n = 3,306). RESULTS: Among migraine patients, 80%
had used NSAIDs in the past year. Preferences were: nimesulide (57%), ketoprofen
(25%), and ibuprofen (24%); complete efficacy was significantly higher than
incomplete/absent efficacy (P < 0.0001). NSAIDs were replaced with triptans in
53% of patients at first visit; after 1 year there was a spontaneous significant
return to NSAIDs (56%; P < 0.0005). Among TTH patients, 90% were NSAID users;
preferences were: nimesulide (48%), ketoprofen (47%), and diclofenac (19%), with
significantly higher complete vs. incomplete/absent efficacy (nimesulide and
ketoprofen, P < 0.02). Replacement with analgesics was performed in 24% of
patients; after 1 year, there was a 29% return to NSAIDs. Among migraine plus TTH
patients, 89% were NSAID users. Preferences were: nimesulide (44%), ibuprofen
(42%), and ketoprofen (38%), with significantly higher complete vs.
incomplete/absent efficacy (0.001 < P < 0.0001). Replacement with analgesics was
performed in 31% of patients; after 1 year, there was a 37% return to NSAIDs.
CONCLUSIONS: Nonsteroidal anti-inflammatory drug use in headache was higher than
could be hypothesized based on guidelines, with NSAID preferences not entirely
coinciding with international recommendations. This outcome suggests the need for
greater awareness of all treatment options in headache by both patients and
physicians.
PMID- 27207274
TI - Inaccurate dissemination of the MAVIDOS trial results - Authors' reply.
PMID- 27207275
TI - Inaccurate dissemination of the MAVIDOS trial results.
PMID- 27207276
TI - Liberating data to combat NCDs.
PMID- 27207278
TI - Diurnal dynamics of oxygen and carbon dioxide concentrations in shoots and
rhizomes of a perennial in a constructed wetland indicate down-regulation of
below ground oxygen consumption.
AB - Wetland plants actively provide oxygen for aerobic processes in submerged tissues
and the rhizosphere. The novel concomitant assessment of diurnal dynamics of
oxygen and carbon dioxide concentrations under field conditions tests the whole
system interactions in plant-internal gas exchange and regulation. Oxygen
concentrations ([O2]) were monitored in-situ in central culm and rhizome pith
cavities of common reed (Phragmites australis) using optical oxygen sensors. The
corresponding carbon dioxide concentrations ([CO2]) were assessed via gas samples
from the culms. Highly dynamic diurnal courses of [O2] were recorded, which
started at 6.5-13 % in the morning, increased rapidly up to 22 % during midday
and declined exponentially during the night. Internal [CO2] were high in the
morning (1.55-17.5 %) and decreased (0.04-0.94 %) during the rapid increase of
[O2] in the culms. The observed negative correlations between [O2] and [CO2]
particularly describe the below ground relationship between plant-mediated oxygen
supply and oxygen use by respiration and biogeochemical processes in the
rhizosphere. Furthermore, the nocturnal declining slopes of [O2] in culms and
rhizomes indicated a down-regulation of the demand for oxygen in the complete
below ground plant-associated system. These findings emphasize the need for
measurements of plant-internal gas exchange processes under field conditions
because it considers the complex interactions in the oxic-anoxic interface.
PMID- 27207277
TI - Serial cervical length determination in twin pregnancies reveals 4 distinct
patterns with prognostic significance for preterm birth.
AB - BACKGROUND: Women with a twin gestation are at increased risk for preterm birth
(PTB), and sonographic cervical length (CL) is a powerful predictor for
spontaneous PTB. Obstetricians frequently monitor CL in multiple gestations; yet,
the optimal method to integrate and interpret the results of serial sonographic
CL has not been determined. OBJECTIVE: We sought to determine whether there are
different patterns of cervical shortening in twin gestations, and whether such
patterns are related to the risk of PTB. STUDY DESIGN: We conducted a
retrospective study of all women with twins followed up in a single tertiary
referral center during 2012 through 2014. All women underwent serial measurements
of CL every 2-3 weeks starting from 14-18 weeks and until 28-32 weeks of
gestation. Changes in CL were analyzed and classified into distinct patterns that
were initially identified by visual inspection of all individual cases. Each
pattern was then characterized by several parameters including information about
when cervical shortening began, the rate of shortening, and whether a plateau was
observed. Locally weighted regression mean profiles were generated to describe
each pattern of CL over time. The association of these patterns with spontaneous
PTB was determined. The specific characteristics of each pattern that further
determined the risk of PTB were identified using multivariable logistic
regression analysis. RESULTS: We studied 441 women who had a total of 2826
measurements of CL done. Overall, 4 main patterns of change in CL were
identified: pattern I, stable cervix (n = 196); pattern II, early and rapid
shortening (n = 18); pattern III, late shortening (n = 109); and pattern IV,
early shortening with a plateau (n = 118). The rate of PTB at <34 weeks was
lowest in cases of pattern I (11.7%), followed by pattern IV (14.4%) and pattern
III (20.2%), and was highest for women with pattern II (44.4%) (P < .001). In
cases with pattern III (late shortening), the most important factors affecting
the risk of PTB were the shortening rate, the gestational age at the onset of
cervical shortening, and the initial plateau of CL. In the case of pattern IV
(early shortening with a plateau), it was only the new plateau at which cervical
shortening stopped that was associated with the risk of PTB. CONCLUSION: Changes
in sonographic CL over time in twin gestations can be classified into 4 patterns,
each associated with a different risk of PTB.
PMID- 27207279
TI - Up-regulation of Wip1 involves in neuroinflammation of retinal astrocytes after
optic nerve crush via NF-kappaB signaling pathway.
AB - OBJECTIVE: To evaluate the expression and possible roles of Wip1 in retinal
astrocytes after optic nerve crush (ONC). METHODS: Expressions of Wip1, GFAP, and
p-p65 in ONC model were analyzed by Western blot and immunofluorescence. The mRNA
expressions of the pro-inflammatory cytokines (IL-8, TNF-alpha, IL-6 and IL
1beta) were analyzed by RT-PCR. RESULTS: Wip1 was up-regulated at 14 days after
ONC by Western blot and immunofluorescence. The changes of Wip1 were striking in
astrocytes. Furthermore, the protein expression level of p-p65 was paralleled
with Wip1 in a time-dependent manner by ONC. In addition, co-localization of Wip1
with Phospho-NF-kappaB-p65 (p-p65) was detected. Finally, the mRNA expressions of
the pro-inflammatory cytokines (IL-8, TNF-alpha, IL-6 and IL-1beta) were
significantly increased in retina after ONC. CONCLUSIONS: These data were
consistent with the hypothesis that Wip1 was implicated in neuroinflammation of
retinal astrocytes after ONC via NF-kappaB signaling pathway.
PMID- 27207281
TI - Biodistribution and radiation dosimetry of (68)Ga-PSMA HBED CC-a PSMA specific
probe for PET imaging of prostate cancer.
AB - PURPOSE: Positron emission tomography (PET) agents targeting the prostate
specific membrane antigen (PSMA) are currently under broad clinical and
scientific investigation. (68)Ga-PSMA HBED-CC constitutes the first (68)Ga
labelled PSMA-inhibitor and has evolved as a promising agent for imaging PSMA
expression in vivo. The aim of this study was to evaluate the whole-body
distribution and radiation dosimetry of this new probe. METHODS: Five patients
with a history or high suspicion of prostate cancer were injected intravenously
with a mean of 139.8 +/- 13.7 MBq of (68)Ga-PSMA HBED-CC (range 120-158 MBq).
Four static skull to mid-thigh scans using a whole-body fully integrated PET/MR
system were performed 10 min, 60 min, 130 min, and 175 min after the tracer
injection. Time-dependent changes of the injected activity per organ were
determined. Mean organ-absorbed doses and effective doses (ED) were calculated
using OLINDA/EXM. RESULTS: Injection of a standard activity of 150 MBq (68)Ga
PSMA HBED-CC resulted in a median effective dose of 2.37 mSv (Range 1.08E-02 -
2.46E-02 mSv/MBq). The urinary bladder wall (median absorbed dose 1.64E-01
mGv/MBq; range 8.76E-02 - 2.91E-01 mGv/MBq) was the critical organ, followed by
the kidneys (median absorbed dose 1.21E-01 mGv/MBq; range 7.16E-02 - 1.75E-01),
spleen (median absorbed dose 4.13E-02 mGv/MBq; range 1.57E-02 - 7.32E-02 mGv/MBq)
and liver (median absorbed dose 2.07E-02 mGv/MBq; range 1.80E-02 - 2.57E-02
mGv/MBq). No drug-related pharmacological effects occurred. CONCLUSION: The use
of (68)Ga-PSMA HBED-CC results in a relatively low radiation exposure, delivering
organ doses that are comparable to those of other (68)Ga-labelled PSMA-inhibitors
used for PET-imaging. Total effective dose is lower than for other PET-agents
used for prostate cancer imaging (e.g. (11)C- and (18)F-Choline).
PMID- 27207282
TI - Allicin prevents oxidized low-density lipoprotein-induced endothelial cell injury
by inhibiting apoptosis and oxidative stress pathway.
AB - BACKGROUND: Vascular endothelial apoptosis is significantly associated with
atherosclerosis and cardiovascular diseases, for which oxidized low-density
lipoprotein (ox-LDL) is a major risk factor. Allicin, the primary active
ingredient of garlic, has been found to have cardiovascular protective effect by
changing the fatty-acid composition, but its effect on ox-LDL-induced vascular
endothelial injury remains unclear. We investigated the protective effect of
allicin on cell viability, LDH release, apoptosis and apoptotic signaling in
human umbilical vein endothelial cells (HUVECs). METHODS: In cultured HUVEC cell
line, ox-LDL induced injury was investigated. The cell viability and injury were
evaluated by using cell proliferation Assay kit and LDH release assay. The
apoptosis was evaluated by the Annexin V-FITC kit. The activity of caspase-3 was
assessed using a colorimetric caspase-3 assay kit. The ROS production was
evaluated by fluorometric assay and NADPH oxidase activity was assessed with a
GENMED kit. RESULTS: Exposure of HUVECs to ox-LDL (150 MUg/ml) reduced cell
viability, induced apoptosis and increased activity of caspase-3, NADPH oxidase,
and reactive oxygen species (ROS) production. The pretreatment with allicin (30
and 100 MUM) significantly rescued the cell viability, inhibited ox-LDL-induced
apoptosis and activity of caspase-3, NADPH oxidase and ROS production in HUVECs,
and the protective effect is concentration-dependent. The allicin (100 MUM) alone
did not show significant difference from control. Our study demonstrated that
allicin protected HUVECs from ox-LDL-induced endothelial injury by reducing the
apoptosis, mediated by inhibition of caspase-3 and NADPH oxidase related
apoptotic signaling. CONCLUSIONS: Allicin prevents ox-LDL-induced endothelial
cell injury by inhibiting apoptosis and oxidative stress pathway.
PMID- 27207280
TI - Genome-wide characterization of soybean P 1B -ATPases gene family provides
functional implications in cadmium responses.
AB - BACKGROUND: The P1B-ATPase subfamily is an important group involved in
transporting heavy metals and has been extensively studied in model plants, such
as Arabidopsis thaliana and Oryza sativa. Emerging evidence indicates that one
homolog in Glycine max is also involved in cadmium (Cd) stress, but the gene
family has not been fully investigated in soybean. RESULTS: Here, we identified
20 heavy metal ATPase (HMA) family members in the soybean genome, presented as 10
paralogous pairs, which is significantly greater than the number in Arabidopsis
or rice, and was likely caused by the latest whole genome duplication event in
soybean. A phylogenetic analysis divided the 20 members into six groups, each
having conserved or divergent gene structures and protein motif patterns. The
integration of RNA-sequencing and qRT-PCR data from multiple tissues provided an
overall expression pattern for the HMA family in soybean. Further comparisons of
expression patterns and the single nucleotide polymorphism distribution between
paralogous pairs suggested functional conservation and the divergence of HMA
genes during soybean evolution. Finally, analyses of the HMAs expressed in
response to Cd stress provided evidence on how plants manage Cd tolerance, at
least in the two contrasting soybean genotypes examined. CONCLUSIONS: The genome
wide identification, chromosomal distribution, gene structures, and evolutionary
and expression analyses of the 20 HMA genes in soybean provide an overall insight
into their potential involvement in Cd responses. These results will facilitate
further research on the HMA gene family, and their conserved and divergent
biological functions in soybean.
PMID- 27207284
TI - DNA Methylation Analysis of Human Tissue-Specific Connexin Genes.
AB - Connexins are the structural proteins of gap junctions and their functioning as
tumor suppressors is well known. Epigenetic modifications, such as methylation of
connexin genes, play important roles in regulating gene expression. Over the past
decade, several methods have been applied to characterize DNA methylation
specific loci of connexin genes. This chapter describes analysis of selective
connexin32 and connexin43 gene DNA methylation in human gastric tissues using
methylation-specific PCR, bisulfite-specific PCR sequencing as well as MassArray
techniques.
PMID- 27207283
TI - Analysis of Liver Connexin Expression Using Reverse Transcription Quantitative
Real-Time Polymerase Chain Reaction.
AB - Although connexin production is mainly regulated at the protein level, altered
connexin gene expression has been identified as the underlying mechanism of
several pathologies. When studying the latter, appropriate methods to quantify
connexin RNA levels are required. The present chapter describes a well
established reverse transcription quantitative real-time polymerase chain
reaction procedure optimized for analysis of hepatic connexins. The method
includes RNA extraction and subsequent quantification, generation of
complementary DNA, quantitative real-time polymerase chain reaction, and data
analysis.
PMID- 27207285
TI - Detection of Connexins in Liver Cells Using Sodium Dodecyl Sulfate Polyacrylamide
Gel Electrophoresis and Immunoblot Analysis.
AB - Since connexin expression is partly regulated at the protein level, immunoblot
analysis represents a frequently addressed technique in the connexin research
field. The present chapter describes the setup of an immunoblot procedure,
including protein extraction and quantification from biological samples, gel
electrophoresis, protein transfer, and immunoblotting, which is optimized for
analysis of connexins in liver tissue. In essence, proteins are separated on a
polyacrylamide gel using sodium dodecyl sulfate followed by transfer of proteins
on a nitrocellulose membrane. The latter allows specific detection of connexins
with antibodies combined with revelation through enhanced chemiluminescence.
PMID- 27207286
TI - Immunohisto- and Cytochemistry Analysis of Connexins.
AB - Immunohistochemistry (IHC) is a ubiquitous used technique to identify and analyze
protein expression in the context of tissue and cell morphology. In the connexin
research field, IHC is applied to identify the subcellular location of connexin
proteins, as this can be directly linked to their functionality. The present
chapter describes a protocol for fluorescent IHC to detect connexin proteins in
tissues slices and cells, with slight modifications depending on the nature of
biological sample, histological processing, and/or protein expression level.
Basically, fluorescent IHC is a short, simple, and cost-effective technique,
which allows the visualization of proteins based on fluorescent-labeled antibody
antigen recognition.
PMID- 27207287
TI - Small Interfering RNA-Mediated Connexin Gene Knockdown in Vascular Endothelial
and Smooth Muscle Cells.
AB - Global knockout of vascular connexins can result in premature/neonatal death,
severe developmental complications, or compensatory up-regulation of different
connexin isoforms. Thus, specific connexin gene knockdown using RNAi-mediated
technologies is a technique that allows investigators to efficiently monitor
silencing effects of single or multiple connexin gene products. The present
chapter describes the transient knockdown of connexins in vitro and ex vivo for
cells of the blood vessel wall. In detail, different transfection methods for
primary endothelial cells and ex vivo thoracodorsal arteries are described.
Essential controls for validating transfection efficiency as well as targeted
gene knockdown are explained. These protocols provide researchers with the
ability to modify connexin gene expression levels in a multitude of experimental
setups.
PMID- 27207288
TI - Generation and Use of Trophoblast Stem Cells and Uterine Myocytes to Study the
Role of Connexins for Pregnancy and Labor.
AB - Transgenic mouse models have demonstrated critical roles for gap junctions in
establishing a successful pregnancy. To study the cellular and molecular
mechanisms, the use of cell culture systems is essential to discriminate between
the effects of different connexin isoforms expressed in individual cells or
tissues of the developing conceptus or in maternal reproductive tissues. The
generation and analysis of gene-deficient trophoblast stem cell lines from mice
clearly revealed the functions of connexins in regulating placental development.
This chapter focuses on the use of connexin gene-deficient trophoblast stem cell
cultures to reveal the individual role of gap junctions in regulating trophoblast
differentiation and proliferation in vitro under controlled conditions. In
addition, cultures of primary uterine myocytes, isolated from mice or rats, allow
studying the effects of mechanical stretch or ovarian hormones on regulating
connexin expression, and thus, to model the molecular mechanisms of uterine
growth and development during pregnancy. Here, we describe the derivation of
primary uterine myocyte cultures and their use in in vitro stretch experiments to
study the mechanisms of myometrial remodeling essential to accommodate the
growing fetus throughout gestation.
PMID- 27207289
TI - Identification of Connexin43 Phosphorylation and S-Nitrosylation in Cultured
Primary Vascular Cells.
AB - All connexins (Cx) proteins contain both highly ordered domains (i.e., 4
transmembrane domains) and primarily unstructured regions (i.e., n- and c
terminal domains). The c-terminal domains vary in length and amino acid
composition from the shortest on Cx26 to the longest on Cx43. With the exception
of Cx26, the c-terminal domains contain multiple sites for posttranslational
modification (PTM) including serines (S), threonines (T), and tyrosines (Y) for
phosphorylation or cysteines (C) for S-nitrosylation. These PTMs are critical for
regulating cellular localization, protein-protein interactions, and channel
functionality. There are several biochemical techniques that allow for the
identification of these PTM including Western blotting and the "Biotin Switch"
assay for nitrosylation. Quantitative analysis of Western blots can be achieved
through use of secondary antibodies in the near infrared scale and high
resolution scanning on a fluorescent scanner.
PMID- 27207290
TI - Preparation of Gap Junctions in Membrane Microdomains for Immunoprecipitation and
Mass Spectrometry Interactome Analysis.
AB - Protein interaction networks at gap junction plaques are increasingly implicated
in a variety of intracellular signaling cascades. Identifying protein
interactions of integral membrane proteins is a valuable tool for determining
channel function. However, several technical challenges exist. Subcellular
fractionation of the bait protein matrix is usually required to identify less
abundant proteins in complex homogenates. Sufficient solvation of the lipid
environment without perturbation of the protein interactome must also be
achieved. The present chapter describes the flotation of light and heavy liver
tissue membrane microdomains to facilitate the identification and analysis of
endogenous gap junction proteins and includes technical notes for translation to
other integral membrane proteins, tissues, or cell culture models. These
procedures are valuable tools for the enrichment of gap junction membrane
compartments and for the identification of gap junction signaling interactomes.
PMID- 27207291
TI - Scrape Loading/Dye Transfer Assay.
AB - The scrape loading/dye transfer (SL/DT) technique is a simple functional assay
for the simultaneous assessment of gap junctional intercellular communication
(GJIC) in a large population of cells. The equipment needs are minimal and are
typically met in standard cell biology labs, and SL/DT is the simplest and
quickest of all the assays that measure GJIC. This assay has also been adapted
for in vivo studies. The SL/DT assay is also conducive to a high-throughput setup
with automated fluorescence microscopy imaging and analysis to elucidate more
samples in shorter time, and hence can serve a broad range of in vitro
pharmacological and toxicological needs.
PMID- 27207292
TI - Microinjection Technique for Assessment of Gap Junction Function.
AB - Gap junctions are essential for the proper function of many native mammalian
tissues including neurons, cardiomyocytes, embryonic tissues, and muscle.
Assessing these channels is therefore fundamental to understanding disease
pathophysiology, developing therapies for a multitude of acquired and genetic
conditions, and providing novel approaches to drug delivery and cellular
communication. Microinjection is a robust, albeit difficult, technique, which
provides considerable information that is superior to many of the simpler
techniques due to its ability to isolate cells, quantify kinetics, and allow
cross-comparison of multiple cell lines. Despite its user-dependent nature, the
strengths of the technique are considerable and with the advent of new,
automation technologies may improve further. This text describes the basic
technique of microinjection and briefly discusses modern automation advances that
can improve the success rates of this technique.
PMID- 27207294
TI - Using Fluorescence Recovery After Photobleaching to Study Gap Junctional
Communication In Vitro.
AB - Fluorescence recovery after photobleaching (FRAP) is a microscopy-based technique
to study the movement of fluorescent molecules inside a cell. Although initially
developed to investigate intracellular mobility, FRAP can be also used to measure
intercellular dynamics. This chapter describes how to perform FRAP experiment to
study gap junctional communication in living cells. The procedures described here
can be carried out with a laser-scanning confocal microscope and any in vitro
cultured cells known to communicate via gap junctions. In addition, the method
can be easily adjusted to measure gap junction function in 3D cell cultures as
well as ex vivo tissue.
PMID- 27207293
TI - Electroporation Loading and Dye Transfer: A Safe and Robust Method to Probe Gap
Junctional Coupling.
AB - Intercellular communication occurring via gap junction channels is considered a
key mechanism for synchronizing physiological functions of cells and for the
maintenance of tissue homeostasis. Gap junction channels are protein channels
that are situated between neighboring cells and that provide a direct, yet
selective route for the passage of small hydrophilic biomolecules and ions. Here,
an electroporation method is described to load a localized area within an
adherent cell monolayer with a gap junction-permeable fluorescent reporter dye.
The technique results in a rapid and efficient labeling of a small patch of cells
within the cell culture, without affecting cellular viability. Dynamic and
quantitative information on gap junctional communication can subsequently be
extracted by tracing the intercellular movement of the dye via time-lapse
microscopy.
PMID- 27207295
TI - Tracking Dynamic Gap Junctional Coupling in Live Cells by Local Photoactivation
and Fluorescence Imaging.
AB - Intercellular communication through gap junction channels is crucial for
maintaining cell homeostasis and synchronizing physiological functions of tissues
and organs. In this chapter, we present a noninvasive fluorescence imaging assay
termed LAMP (local activation of a molecular fluorescent probe) that consists of
the following steps: loading cells with a caged and cell permeable coumarin probe
(NPE-HCCC2/AM), locally photolyzing the caged coumarin in one or a subpopulation
of coupled cells, monitoring cell-cell dye transfer by digital fluorescence
microscopy, and post-acquisition analysis to quantify the rate of junction dye
transfer using Fick's equation. The LAMP assay can be conveniently carried out in
fully intact cells to assess the extent and degree of cell coupling, and is
compatible with other fluorophores emitting at different wavelengths to allow
multicolor imaging. Moreover, by carrying out multiple photo-activations in a
coupled cell pair, LAMP assay can track changes in cell coupling strength between
coupled cells, hence providing a powerful method for investigating the regulation
of junctional coupling by cellular biochemical changes.
PMID- 27207296
TI - A Functional Assay to Assess Connexin 43-Mediated Cell-to-Cell Communication of
Second Messengers in Cultured Bone Cells.
AB - Cell-to-cell transfer of small molecules is a fundamental way by which
multicellular organisms coordinate function. Recent work has highlighted the
complexity of biologic responses downstream of gap junctions. As the connexin
regulated effectors are coming into focus, there is a need to develop functional
assays that allow specific testing of biologically relevant second messengers.
Here, we describe a modification of the classic gap junction parachute assay to
assess biologically relevant molecules passed through gap junctions.
PMID- 27207297
TI - Calcium Wave Propagation Triggered by Local Mechanical Stimulation as a Method
for Studying Gap Junctions and Hemichannels.
AB - Intercellular communication is essential for the coordination and synchronization
of cellular processes. Gap junction channels play an important role to
communicate between cells and organs, including the brain, lung, liver, lens,
retina, and heart. Gap junctions enable a direct route for ions like calcium and
potassium, and low molecular weight compounds, such as inositol 1,4,5
trisphosphate, cyclic adenosine monophosphate, and various kinds of metabolites
to pass between cells. Intercellular calcium wave propagation evoked by a local
mechanical stimulus is one of the gap junction assays to study intercellular
communication. In experimental settings, an intercellular calcium wave can be
elicited by applying a mechanical stimulus to a single cell. Here, we describe
the use of monolayers of primary bovine corneal endothelial cells as a model to
study intercellular communication. Calcium wave propagation was assayed by
imaging fluorescent calcium in bovine corneal endothelial cells loaded with a
fluorescent calcium dye using a confocal microscope. Spatial changes in
intercellular calcium concentration following mechanical stimulation were
measured in the mechanical stimulated cell and in the neighboring cells. The
active area (i.e., total surface area of responsive cells) of a calcium wave can
be measured and used for studying the function and regulation of gap junction
channels as well as hemichannels in a variety of cell systems.
PMID- 27207298
TI - Establishment of the Dual Whole Cell Recording Patch Clamp Configuration for the
Measurement of Gap Junction Conductance.
AB - The development of the patch clamp technique has enabled investigators to
directly measure gap junction conductance between isolated pairs of small cells
with resolution to the single channel level. The dual patch clamp recording
technique requires specialized equipment and the acquired skill to reliably
establish gigaohm seals and the whole cell recording configuration with high
efficiency. This chapter describes the equipment needed and methods required to
achieve accurate measurement of macroscopic and single gap junction channel
conductances. Inherent limitations with the dual whole cell recording technique
and methods to correct for series access resistance errors are defined as well as
basic procedures to determine the essential electrical parameters necessary to
evaluate the accuracy of gap junction conductance measurements using this
approach.
PMID- 27207299
TI - An in-vitro investigation into the efficacy of disinfectants used in the duck
industry against Salmonella.
AB - In 2010, 81 confirmed cases of Salmonella Typhimurium DT8 were reported across
England and Northern Ireland - an increase of 26% from 2009 and 41% since 2008.
Five cases were hospitalized and one death reported, with a strong association
found between cases and the consumption of duck eggs. Once present on farms,
Salmonella may become persistent and can survive for long periods of time in
residual organic matter, increasing risk of infection for follow-on flocks if
cleaning and disinfection is not carried out effectively. The aim of this study
was to investigate the efficacy of a range of disinfectants used by the duck
industry against Salmonella using laboratory models. Sixteen products were
selected from seven chemical groups and the Minimum Inhibitory Concentration and
Minimum Bactericidal Concentrations determined. Each product was also tested at
the recommended general orders (GO) concentration using a faecal suspension model
to mimic boot dips and a surface contamination model to simulate contaminated
building fabric and equipment. In the faecal suspension model, all products were
effective at 2 * GO concentration, and activity was more inconsistent at GO
concentration. At 0.5 * GO concentration, iodine-based and quaternary-ammonium
compound-based products were significantly less effective than products within
other chemical groups (P < 0.001). Glutaraldehyde-based products were
significantly more effective than the other products in the surface contamination
tests (P < 0.001). Chlorocresol-based products were found to be most effective
for use in boot dips and aldehyde-based products for surface disinfection,
although there was variability between products within a chemical group.
PMID- 27207300
TI - A cross-sectional study examining predictors of visit frequency to local green
space and the impact this has on physical activity levels.
AB - BACKGROUND: Lack of physical activity (PA) is a growing public health concern.
There is a growing body of literature that suggests a positive relationship may
exist between the amount of local green space near one's home and PA levels. For
instance, park proximity has been shown to predict PA levels amongst certain
populations. However, there is little evidence for the role of relatedness
towards nature and perceptions of local green space on this relationship. The aim
of this study was to examine, in a National UK sample, whether subjective indices
associated with local green space were better predictors of visit frequency to
local green space and PA levels compared to objectively measured quantity of
local green space. METHODS: A cross-sectional survey was designed. From a random
sample, 2079 working age adults responded to an online survey in September 2011.
Demographics, self-reported PA, objective measures of the local environment
(including local green space, road coverage, and environmental deprivation), were
assessed in conjunction with perceptions of local green space and nature
relatedness. Quantity of local green space was assessed by cross-referencing
respondents' home postcodes with general land use databases. Regression models
were conducted to assess which of our independent variables best predicted visit
frequency to local green space and/or meeting PA guidelines. In addition, an
ordinal regression was run to examine the relationship between visit frequency to
local green space and the likelihood of meeting national PA guidelines. RESULTS:
Nature relatedness was the strongest predictor for both visit frequency to local
green space and meeting PA guidelines. Results show that perceived quality is a
better predictor of visit frequency to local green space than objective quantity
of local green space. The odds of achieving the recommended amount of PA was over
four times greater for people who visited local green space once per week
compared to never going (OR 4.151; 95 % CI, 2.40 to 7.17). CONCLUSIONS: These
results suggest that perceptions of local green space and nature relatedness play
an important role in the relationship between local green space and PA.
Considering the known health benefits of PA, our results are potentially
important for public health interventions, policy making and environmental
planning.
PMID- 27207301
TI - Patients' Perspectives on Tapering of Chronic Opioid Therapy: A Qualitative
Study.
AB - OBJECTIVE : There is inadequate evidence of long-term benefit and growing
evidence of the risks of chronic opioid therapy (COT). Opioid dose reduction, or
opioid tapering, may reduce these risks but may also worsen pain and quality of
life. Our objective was to explore patients' perspectives on opioid tapering.
DESIGN : Qualitative study using in-person, semistructured interviews. SETTING
AND PATIENTS : English-speaking, adult primary care patients (N = 24) in three
Colorado health care systems. METHODS : Interviews were audio recorded,
transcribed, and analyzed in ATLAS.ti. We used a team-based, mixed inductive and
deductive approach guided by the Health Belief Model. We iteratively refined
emergent themes with input from a multidisciplinary team. RESULTS : Participants
had a mean age of 52 years old, were 46% male and 79% white. Six participants
(25%) were on COT and not tapering, 12 (50%) were currently tapering COT, and 6
(25%) had discontinued COT. Emergent themes were organized in four domains:
risks, barriers, facilitators, and benefits. Patients perceived a low risk of
overdose and prioritized the more immediate risk of increased pain with opioid
tapering. Barriers included a perceived lack of effectiveness of nonopioid
options and fear of opioid withdrawal. Among patients with opioid tapering
experience, social support and a trusted health care provider facilitated opioid
tapering. These patients endorsed improved quality of life following tapering.
CONCLUSIONS : Efforts to support opioid tapering should elicit patients'
perceived barriers and seek to build on relationships with family, peers, and
providers to facilitate tapering. Future work should identify patient-centered,
feasible strategies to support tapering of COT.
PMID- 27207302
TI - Doctors should champion digital technology, says government minister.
PMID- 27207304
TI - Isolation of a sp. nov. Ljungan virus from wild birds in Japan.
AB - Ljungan virus (LV) has been isolated/detected from rodents in a limited area
including European countries and the USA. In this study, we isolated an LV strain
from faecal samples of wild birds that had been collected in Japan, and
determined the nearly complete sequence of the genome. Sequence analyses showed
that the isolate possesses an LV-like genomic organization: 5UTR-VP0-VP3-VP1-2A1
2A2-2B-2C-3A-3B-3C-3D-3UTR. Phylogenetic and similarity analyses based on the VP1
region indicated that the strain constitutes a novel genotype within LV. In
addition, we identified species origin of the faeces as gull species by using the
DNA barcoding technique. These data suggested that the novel LV strain infected a
gull species, in which the virus had not been identified. Taken together, this
study has provided the first evidence of the presence of a novel LV in Japan,
highlighting the possibility of LV infection in birds.
PMID- 27207305
TI - Malunion of the distal radius in children: accurate prediction of the expected
remodeling.
AB - PURPOSE: Malunions of fractures in children have a natural tendency to remodel.
However, quantitative data of this well-known process are scarce. The extent of
the correction depends inter alia on the type of bone and the location of the
deformity and growth remaining. The aim of this study was to quantify the
remodeling process of distal radius malunions in children to allow better future
prediction. METHODS: Data were derived from two published patient series.
Analysis included 63 malunions of distal radius fractures in 62 children (38
boys), with a mean age of 8.5 years (range 2-14.5 years). RESULTS: The mean
initial dorsovolar angulation was 25o [standard deviation (SD) 7.8 degrees ],
remodeling time 22 (SD 18) months, and angulation at follow-up 6.7 degrees (SD
5.8 degrees ). Based on these findings, the remodeling process can be described
as an exponential function with angulation (A 0) as a factor and the remodeling
time (RT) as a negative exponent of e (R (2) = 0.47). The function allows
accurate prediction of the expected correction in over 76 % of the malunions.
From this model, a formula was derived for calculation of the time needed for
complete remodeling, but this formula lacked precision when compared to findings
in the literature and needs to be validated. CONCLUSIONS: The remodeling of
distal radius malunions can be described as an exponential function with starting
speed dependent on the initial angulation. The current model proves to be more
accurate than models described previously in the literature. These findings allow
for better patient information and optimal planning of eventual surgical
intervention. The postulated model could serve as a basis for the description of
correction of other malunions by adaptation of the coefficients in this model.
PMID- 27207303
TI - Transitional care of older adults in skilled nursing facilities: A systematic
review.
AB - Transitional care may be an effective strategy for preparing older adults for
transitions from skilled nursing facilities (SNF) to home. In this systematic
review, studies of patients discharged from SNFs to home were reviewed. Study
findings were assessed (1) to identify whether transitional care interventions,
as compared to usual care, improved clinical outcomes such as mortality,
readmission rates, quality of life or functional status; and (2) to describe
intervention characteristics, resources needed for implementation, and
methodologic challenges. Of 1082 unique studies identified in a systematic
search, the full texts of six studies meeting criteria for inclusion were
reviewed. Although the risk for bias was high across studies, the findings
suggest that there is promising but limited evidence that transitional care
improves clinical outcomes for SNF patients. Evidence in the review identifies
needs for further study, such as the need for randomized studies of transitional
care in SNFs, and methodological challenges to studying transitional care for SNF
patients.
PMID- 27207306
TI - Case of whistleblower whose career was "destroyed" prompts review of law.
PMID- 27207307
TI - Corrigendum.
AB - Alikhani M, Lopez JA, Alabdullah H, Vongthongleur T, Sangsuwon C, Alikhani M,
Alansari S, Oliveira SM, Nervina JM, Teixeira CC. 2016. High-frequency
acceleration: therapeutic tool to preserve bone following tooth extractions. J
Dent Res. 95(3):311-318. (Original DOI: 10.1177/0022034515621495).
PMID- 27207308
TI - Glial cell activation, recruitment, and survival of B-lineage cells following
MCMV brain infection.
AB - BACKGROUND: Chemokines produced by reactive glia drive migration of immune cells
and previous studies from our laboratory have demonstrated that CD19(+) B cells
infiltrate the brain. In this study, in vivo and in vitro experiments
investigated the role of reactive glial cells in recruitment and survival of B
lineage cells in response to (murine cytomegalovirus) MCMV infection. METHODS:
Flow cytometric analysis was used to assess chemokine receptor expression on
brain-infiltrating B cells. Real-time RT-PCR and ELISA were used to measure
chemokine levels. Dual-immunohistochemical staining was used to co-localize
chemokine production by reactive glia. Primary glial cell cultures and migration
assays were used to examine chemokine-mediated recruitment. Astrocyte: B cell co
cultures were used to investigate survival and proliferation. RESULTS: The
chemokine receptors CXCR3, CXCR5, CCR5, and CCR7 were detected on CD19(+) cells
isolated from the brain during MCMV infection. In particular, CXCR3 was found to
be elevated on an increasing number of cells over the time course of infection,
and it was the primary chemokine receptor expressed at 60 days post infection
Quite different expression kinetics were observed for CXCR5, CCR5, and CCR7,
which were elevated on the highest number of cells early during infection and
decreased by 14, 30, and 60 days post infection Correspondingly, elevated levels
of CXCL9, CXCL10, and CXCL13, as well as CCL5, were found within the brains of
infected animals, and only low levels of CCL3 and CCL19 were detected.
Differential expression of CXCL9/CXCL10 and CXCL13 between microglia and
astrocytes was apparent, and B cells moved towards supernatants from MCMV
infected microglia, but not astrocytes. Pretreatment with neutralizing Abs to
CXCL9 and CXCL10 inhibited this migration. In contrast, neutralizing Abs to the
ligand of CXCR5 (i.e., CXCL13) did not significantly block chemotaxis.
Proliferation of brain-infiltrating B cells was detected at 7 days post infection
and persisted through the latest time tested (60 days post infection). Finally,
astrocytes produce BAFF (B cell activating factor of the TNF family) and promote
proliferation of B cells via cell-to-cell contact. CONCLUSIONS: CXCR3 is the
primary chemokine receptor on CD19(+) B cells persisting within the brain, and
migration to microglial cell supernatants is mediated through this receptor.
Correspondingly, microglial cells produce CXCL9 and CXCL10, but not CXCL13.
Reactive astrocytes promote B cell proliferation.
PMID- 27207309
TI - Caerulein-induced pancreatitis augments the expression and phosphorylation of
collapsin response mediator protein 4.
AB - BACKGROUND: Chronic pancreatitis is a significant risk factor for pancreatic
cancer. Previously, we demonstrated that the pancreatic cancer cells show
enhanced expression of collapsin response mediator protein 4 (CRMP4) that
strongly correlates with severe venous invasion, liver metastasis, and poor
prognosis. However, involvement of CRMP4 in acute or chronic pancreatitis remains
unknown. METHODS: Acute and chronic pancreatitis mice models were developed by
periodic injection of caerulein. The expression levels of CRMP4 and its
phosphorylation were examined. RESULTS: Elevated CRMP4 levels were observed in
the infiltrated lymphocytes as well as in the pancreas parenchyma of both acute
and chronic pancreatitis. The expression pattern of phosphorylated CRMP4 was
similar to that of CRMP4. Cdk5 partially co-localized with the phosphorylated
CRMP4. CONCLUSIONS: Pancreatitis induces CRMP4 expression in the pancreas
parenchyma and in the infiltrated lymphocytes. Overlapping expression of CRMP4
and Cdk5 may suggest that the Cdk5 is at least, in part, responsible for the
phosphorylation of CRMP4. The results suggest that CRMP4 is involved in the
inflammatory response in pancreatitis. Understanding the mechanisms of CRMP4
would help us to develop novel therapeutic strategies against acute or chronic
pancreatitis, and pancreatic cancer.
PMID- 27207310
TI - Validation of White-Matter Lesion Change Detection Methods on a Novel Publicly
Available MRI Image Database.
AB - Changes of white-matter lesions (WMLs) are good predictors of the progression of
neurodegenerative diseases like multiple sclerosis (MS). Based on longitudinal
magnetic resonance (MR) imaging the changes can be monitored, while the need for
their accurate and reliable quantification led to the development of several
automated MR image analysis methods. However, an objective comparison of the
methods is difficult, because publicly unavailable validation datasets with
ground truth and different sets of performance metrics were used. In this study,
we acquired longitudinal MR datasets of 20 MS patients, in which brain regions
were extracted, spatially aligned and intensity normalized. Two expert raters
then delineated and jointly revised the WML changes on subtracted baseline and
follow-up MR images to obtain ground truth WML segmentations. The main
contribution of this paper is an objective, quantitative and systematic
evaluation of two unsupervised and one supervised intensity based change
detection method on the publicly available datasets with ground truth
segmentations, using common pre- and post-processing steps and common evaluation
metrics. Besides, different combinations of the two main steps of the studied
change detection methods, i.e. dissimilarity map construction and its
segmentation, were tested to identify the best performing combination.
PMID- 27207311
TI - Kikuchi-Fujimoto disease: a diagnostic dilemma.
AB - Kikuchi-Fujimoto disease is a benign, self-limiting condition characterized by
lymphadenopathy and fever. Its diagnosis can be challenging, as the disease must
be differentiated from lymphoma and systemic lupus erythematosus. Patients can
present with nonspecific cutaneous lesions. Adequate clinical and histopathologic
correlation can aid in the timely diagnosis of Kikuchi's disease, thus preventing
the use of unnecessary diagnostic procedures and inappropriate treatments.
PMID- 27207312
TI - Epidemiological and clinical aspects on West Nile virus, a globally emerging
pathogen.
AB - BACKGROUND: Since the isolation of West Nile virus (WNV) in 1937, in Uganda, it
has spread globally, causing significant morbidity and mortality. While birds
serve as amplifier hosts, mosquitoes of the Culex genus function as vectors.
Humans and horses are dead end hosts. The clinical manifestations of West Nile
infection in humans range from asymptomatic illness to West Nile encephalitis.
METHODS: The laboratory offers an array of tests, the preferred method being
detection of RNA and serum IgM for WNV, which, if detected, confirms the clinical
diagnosis. Although no definitive antiviral therapy and vaccine are available for
humans, many approaches are being studied. STUDY: This article will review the
current literature of the natural cycle, geographical distribution, virology,
replication cycle, molecular epidemiology, pathogenesis, laboratory diagnosis,
clinical manifestations, blood donor screening for WNV, treatment, prevention and
vaccines.
PMID- 27207313
TI - Polycarbonate and polystyrene nanoplastic particles act as stressors to the
innate immune system of fathead minnow (Pimephales promelas).
AB - Water pollution with large-scale and small-scale plastic litter is an area of
growing concern. Macro-plastic litter is a well-known threat to aquatic wildlife;
however, the effects of micro-sized and nano-sized plastic particles on the
health of organisms are not well understood. Small-scale plastic particles can
easily be ingested by various aquatic organisms and potentially interfere with
their immune system; therefore, the authors used a freshwater fish species as a
model organism for nanoplastic exposure. Characterization of polystyrene (41.0
nm) and polycarbonate (158.7 nm) nanoplastic particles (PSNPs and PCNPs,
respectively) in plasma was performed, and the effects of PSNPs and PCNPs on the
innate immune system of fathead minnow were investigated. In vitro effects of
PSNPs and PCNPs on neutrophil function were determined using a battery of
neutrophil function assays. Exposure of neutrophils to PSNPs or PCNPs caused
significant increases in degranulation of primary granules and neutrophil
extracellular trap release compared to a nontreated control, whereas oxidative
burst was less affected. The present study outlines the stress response of the
cellular component of fish innate immune system to polystyrene and polycarbonate
nanoparticles/aggregates and indicates their potential to interfere with disease
resistance in fish populations. Environ Toxicol Chem 2016;35:3093-3100. (c) 2016
SETAC.
PMID- 27207314
TI - Six New 9,19-Cycloartane Triterpenoids from Cimicifuga foetida L.
AB - Six new 9,19-cycloartane triterpene derivatives, as well as 3 known analogues (7
9), were isolated from the roots of Cimicifuga foetida L. Their structures were
established on the basis of extensive spectroscopic analyses (IR, UV, ORD,
HRESIMS, 1D and 2D NMR).
PMID- 27207315
TI - Handheld single photon emission computed tomography (handheld SPECT) navigated
video-assisted thoracoscopic surgery of computer tomography-guided radioactively
marked pulmonary lesions.
AB - OBJECTIVES: Radioactive marking can be a valuable extension to minimally invasive
surgery. The technique has been clinically applied in procedures involving
sentinel lymph nodes, parathyroidectomy as well as interventions in thoracic
surgery. Improvements in equipment and techniques allow one to improve the
limits. Pulmonary nodules are frequently surgically removed for diagnostic or
therapeutic reasons; here video-assisted thoracoscopic surgery (VATS) is the
preferred technique. VATS might be impossible with nodules that are small or
located deep in the lung. In this study, we examined the clinical application and
safety of employing the newly developed handheld single photon emission
tomography (handheld SPECT) device in combination with CT-guided radioactive
marking of pulmonary nodules. METHODS: In this pilot study, 10 subjects requiring
surgical resection of a pulmonary nodule were included. The technique involved CT
guided marking of the target nodule with a 20-G needle, with subsequent injection
of 25-30 MBq (effective: 7-14 MBq) Tc-99m MAA (Macro Albumin Aggregate). Quality
control was made with conventional SPECT-CT to confirm the correct localization
and exclude possible complications related to the puncture procedure. VATS was
subsequently carried out using the handheld SPECT to localize the radioactivity
intraoperatively and therefore the target nodule. A 3D virtual image was
superimposed on the intraoperative visual image for surgical guidance. RESULTS:
In 9 of the 10 subjects, the radioactive application was successfully placed
directly in or in the immediate vicinity of the target nodule. The average size
of the involved nodules was 9 mm (range 4-15). All successfully marked nodules
were subsequently completely excised (R0) using VATS. The procedure was well
tolerated. An asymptomatic clinically insignificant pneumothorax occurred in 5
subjects. Two subjects were found to have non-significant discrete haemorrhage in
the infiltration canal of the needle. In a single subject, the radioactive
marking was unsuccessful because the radioactivity spread into the pleural space.
CONCLUSIONS: In our series of 10 patients, it was demonstrated that using
handheld SPECT in conjunction with VATS to remove radioactively marked pulmonary
nodules is feasible. The combination of proven surgical techniques with a novel
localization device (handheld SPECT) allowed successful VATS excision of
pulmonary nodules which, due to their localization and small size, would
typically have required thoracotomy. REGISTRATION: ClinicalTrials.gov,
NCT02050724, Public 01/29/214, Joachim Muller.
PMID- 27207316
TI - Medical cost, incidence rate, and treatment status of gastroesophageal reflux
disease in Japan: analysis of claims data.
AB - OBJECTIVES: Published reports have shown the prevalence and incidence of
gastroesophageal reflux disease (GERD) is increasing in Japan. The objective of
this study is to examine change in GERD incidence, and to understand current
patient demographics, medical costs, treatment status, and the suitability of
current treatment based on analysis of an insurance claims database. METHODS: An
insurance claims database with data on ~1.9 million company employees from
January 2005 to May 2015 was used. Prevalence, demographics, and medical costs
were analyzed by cross-sectional analysis, and incidence and treatment status
were analyzed by longitudinal analysis among newly-diagnosed GERD patients.
RESULTS: GERD prevalence in 2014 was 3.3% among 20-59 year-olds, accounting for
40,134 people in the database, and GERD incidence increased from 0.63% in 2009 to
0.98% in 2014. In 2014, mean medical cost per patient per month for GERD patients
aged 20-59 was JPY 31,900 (USD 266 as of January 2016), which was ~2.4-times the
mean national healthcare cost. The most frequently prescribed drugs for newly
diagnosed GERD patients were proton pump inhibitors (PPIs). Although PPIs were
prescribed more often in patients with more doctor visit months, over 20% of
patients that made frequent doctor visits (19 or more visits during a 24 calendar
months period) were prescribed PPIs during only 1 calendar month or not at all.
LIMITATIONS: The database included only reimbursable claims data and, therefore,
did not cover over-the-counter drugs. The database also consisted of employee
based claims data, so included little data on people aged 60 years and older.
CONCLUSIONS: Given the increasing incidence of GERD in Japan there is a need for
up-to-date information on GERD incidence. This study suggests that some GERD
patients may not be receiving appropriate treatment according to Japanese
guidelines, which is needed to improve symptom control.
PMID- 27207317
TI - Preparation of a novel silk microfiber covered by AgCl nanoparticles with
antimicrobial activity.
AB - We prepared silk fibroin microfibers in which silver chloride (AgCl)
nanoparticles were dispersed, by sequential dipping of microfibers obtained using
alkaline hydrolysis in alternating solutions of silver nitrate and potassium
chloride. Scanning and transmission electron microscopy showed an increase in
nanoparticle size and quantity with increase in dipping cycles and solution
concentration, but ultrasound irradiation did not affect nanoparticle formation.
The presence of cubic AgCl crystals was confirmed by energy dispersive X-ray
spectroscopy and X-ray diffractometry. Differential scanning calorimetry and
Fourier transform infrared spectroscopy revealed that the nanoparticles do not
affect the microfiber properties. The growth of Gram-negative (Escherichia coli)
and Gram-positive (Staphylococcus aureus) bacteria was inhibited by microfiber
covered with AgCl nanoparticles. This antimicrobial activity allows to use
microfiber as a reinforced or surface additive biomaterial. Microsc. Res. Tech.
80:272-279, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27207318
TI - Raab SO, Haut A, Cartwright GE, Wintrobe MM. Pyridoxine-responsive anemia. Blood.
1961;18(3):285-302.
PMID- 27207319
TI - What appears safe is sometimes not: a reason for caution.
PMID- 27207320
TI - CMV: persistent nemesis for SCT.
PMID- 27207321
TI - Beyond KIT in CBF-AML: chromatin and cohesin.
PMID- 27207322
TI - VWD diagnosis: improved.
PMID- 27207324
TI - Diagnosing variant VWD.
PMID- 27207323
TI - Defining von Willebrand disease.
PMID- 27207325
TI - Is Chronic Obstructive Pulmonary Disease Caused by Wood Smoke a Different
Phenotype or a Different Entity?
AB - Around 40% of the world's population continue using solid fuel, including wood,
for cooking or heating their homes. Chronic exposure to wood smoke is a risk
factor for developing chronic obstructive pulmonary disease (COPD). In some
regions of the world, this can be a more important cause of COPD than exposure to
tobacco smoke from cigarettes. Significant differences between COPD associated
with wood smoke (W-COPD) and that caused by smoking (S-COPD) have led some
authors to suggest that W-COPD should be considered a new COPD phenotype. We
present a review of the differences between W-COPD and S-COPD. On the premise
that wood smoke and tobacco smoke are not the same and the physiopathological
mechanisms they induce may differ, we have analyzed whether W-COPD can be
considered as another COPD phenotype or a distinct nosological entity.
PMID- 27207327
TI - Field-based evidence for copper contamination induced changes of antibiotic
resistance in agricultural soils.
AB - Bacterial resistance to antibiotics and heavy metals are frequently linked,
suggesting that exposure to heavy metals might select for bacterial assemblages
conferring resistance to antibiotics. However, there is a lack of clear evidence
for the heavy metal-induced changes of antibiotic resistance in a long-term
basis. Here, we used high-capacity quantitative PCR array to investigate the
responses of a broad spectrum of antibiotic resistance genes (ARGs) to 4-5 year
copper contamination (0-800 mg kg-1 ) in two contrasting agricultural soils. In
total, 157 and 149 unique ARGs were detected in the red and fluvo-aquic soil,
respectively, with multidrug and beta-lactam as the most dominant ARG types. The
highest diversity and abundance of ARGs were observed in medium copper
concentrations (100-200 mg kg-1 ) of the red soil and in high copper
concentrations (400-800 mg kg-1 ) of the fluvo-aquic soil. The abundances of
total ARGs and several ARG types had significantly positive correlations with
mobile genetic elements (MGEs), suggesting mobility potential of ARGs in copper
contaminated soils. Network analysis revealed significant co-occurrence patterns
between ARGs and microbial taxa, indicating strong associations between ARGs and
bacterial communities. Structural equation models showed that the significant
impacts of copper contamination on ARG patterns were mainly driven by changes in
bacterial community compositions and MGEs. Our results provide field-based
evidence that long-term Cu contamination significantly changed the diversity,
abundance and mobility potential of environmental antibiotic resistance, and
caution the un-perceived risk of the ARG dissemination in heavy metal polluted
environments.
PMID- 27207326
TI - Abeta-Induced Insulin Resistance and the Effects of Insulin on the Cholesterol
Synthesis Pathway and Abeta Secretion in Neural Cells.
AB - Alzheimer's disease (AD) is characterized by amyloid-beta (Abeta) toxicity, tau
pathology, insulin resistance, neuroinflammation, and dysregulation of
cholesterol homeostasis, all of which play roles in neurodegeneration. Insulin
has polytrophic effects on neurons and may be at the center of these
pathophysiological changes. In this study, we investigated possible relationships
among insulin signaling and cholesterol biosynthesis, along with the effects of
Abeta42 on these pathways in vitro. We found that neuroblastoma 2a (N2a) cells
transfected with the human gene encoding amyloid-beta protein precursor (AbetaPP)
(N2a-AbetaPP) produced Abeta and exhibited insulin resistance by reduced p-Akt
and a suppressed cholesterol-synthesis pathway following insulin treatment, and
by increased phosphorylation of insulin receptor subunit-1 at serine 612 (p-IRS
S612) as compared to parental N2a cells. Treatment of human neuroblastoma SH-SY5Y
cells with Abeta42 also increased p-IRS-S612, suggesting that Abeta42 is
responsible for insulin resistance. The insulin resistance was alleviated when
N2a-AbetaPP cells were treated with higher insulin concentrations. Insulin
increased Abeta release from N2a-AbetaPP cells, by which it may promote Abeta
clearance. Insulin increased cholesterol-synthesis gene expression in SH-SY5Y and
N2a cells, including 24-dehydrocholesterol reductase (DHCR24) and 3-hydroxy-3
methyl-glutaryl-CoA reductase (HMGCR) through sterol-regulatory element-binding
protein-2 (SREBP2). While Abeta42-treated SH-SY5Y cells exhibited increased HMGCR
expression and c-Jun phosphorylation as pro-inflammatory responses, they also
showed down-regulation of neuro-protective/anti-inflammatory DHCR24. These
results suggest that Abeta42 may cause insulin resistance, activate JNK for c-Jun
phosphorylation, and lead to dysregulation of cholesterol homeostasis, and that
enhancing insulin signaling may relieve the insulin-resistant phenotype and the
dysregulated cholesterol-synthesis pathway to promote Abeta release for clearance
from neural cells.
PMID- 27207329
TI - Case of cutaneous polyarteritis nodosa with clinical and histopathological
features similar to those of livedo vasculopathy.
PMID- 27207328
TI - Differential expression of axon-sorting molecules in mouse olfactory sensory
neurons.
AB - In the mouse olfactory system, the axons of olfactory sensory neurons that
express the same type of odorant receptor (OR) converge to a specific set of
glomeruli in the olfactory bulb (OB). It is widely accepted that expressed OR
molecules instruct glomerular segregation by regulating the expression of axon
sorting molecules. Although the relationship between the expression of axon
sorting molecules and OR types has been analyzed in detail, those between the
expressions of axon-sorting molecules remain to be elucidated. Here we collected
the expression profiles of four axon-sorting molecules from a large number of
glomeruli in the OB. These molecules demonstrated position-independent mosaic
expressions, but their patterns were not identical in the OB. Comparing their
expressions identified positive and negative correlations between several pairs
of genes even though they showed various expressions. Furthermore, the principal
component analysis revealed that the factor loadings in the principal component
1, which explain the largest amount of variation, were most likely to reflect the
degree of the cyclic nucleotide-gated (CNG) channel dependence on the expression
of axon-sorting molecules. Thus, neural activity generated through the CNG
channel is a major component in the generation of a wide variety of expressions
of axon-sorting molecules in glomerular segregation.
PMID- 27207330
TI - Adalimumab trough serum levels and anti-adalimumab antibodies in the long-term
clinical outcome of patients with Crohn's disease.
AB - OBJECTIVE: Few data are available on the relevance of adalimumab (ADA) trough
serum levels and anti-ADA antibodies (AAA) during long-term follow-up of patients
with Crohn's Disease (CD), and their association with disease outcome. In this
study, our aim was to assess ADA trough serum levels and the presence of AAA
according to disease activity and clinical response during long-term follow-up in
a series of patients with CD treated with ADA monotherapy. MATERIAL AND METHODS:
We prospectively evaluated 23 consecutive, infliximab-naive CD patients who
achieved clinical remission/response after induction and were in maintenance
treatment with ADA, and who were followed-up for at least 72 weeks. Blood samples
were drawn at standardized time points to assess ADA through levels, AAA.
RESULTS: At week 48, we found significantly (p = 0.027) different ADA trough
serum levels in patients in remission (10.1 mcg/mL), mild (7.4 mcg/mL), and
moderate/severe disease (4.5 mcg/mL). Median ADA trough levels were significantly
lower in patients with AAA (3.7 mcg/mL versus 9.3 mcg/mL, p = 0.006). At the end
of follow-up (median 102 weeks, range 73-112 weeks), ADA trough serum
concentrations were significantly higher (11.9 mcg/mL) as compared to patients
with mild and moderate/severe disease (5.5 mcg/mL, p = 0.0002). Furthermore,
median ADA trough concentrations showed a trend towards lower levels in AAA
positive patients (5.2 mcg/mL versus 7.2 mcg/mL, p = 0.371). CONCLUSIONS: Our
results emphasize the relevance of therapeutic drug monitoring in CD patients on
biologic treatment. ADA trough serum levels and the presence of AAA are important
features in the management of patients on ADA treatment.
PMID- 27207339
TI - Vital signs: the first step in prevention and management of critical illness in
resource-limited settings.
PMID- 27207340
TI - Into the out: safety issues in interhospital transport of the critically ill.
PMID- 27207341
TI - Four situations in which ECMO might have a chance.
PMID- 27207342
TI - Evaluation of case definitions to detect respiratory syncytial virus infection in
hospitalized children below 5 years in Rural Western Kenya, 2009-2013.
AB - BACKGROUND: In order to better understand respiratory syncytial virus (RSV)
epidemiology and burden in tropical Africa, optimal case definitions for
detection of RSV cases need to be identified. METHODS: We used data collected
between September 2009 - August 2013 from children aged <5 years hospitalized
with acute respiratory Illness at Siaya County Referral Hospital. We evaluated
the sensitivity, specificity, positive predictive value (PPV) and negative
predictive value (NPV) of individual signs, symptoms and standard respiratory
disease case definitions (severe acute respiratory illness [SARI]; hospitalized
influenza-like illness [hILI]; integrated management of childhood illness [IMCI]
pneumonia) to detect laboratory-confirmed RSV infection. We also evaluated an
alternative case definition of cough or difficulty breathing plus hypoxia, in
drawing, or wheeze. RESULTS: Among 4714 children hospitalized with ARI, 3810 (81
%) were tested for RSV; and 470 (12 %) were positive. Among individual signs and
symptoms, cough alone had the highest sensitivity to detect laboratory-confirmed
RSV [96 %, 95 % CI (95-98)]. Hypoxia, wheezing, stridor, nasal flaring and chest
wall in-drawing had sensitivities ranging from 8 to 31 %, but had specificities
>75 %. Of the standard respiratory case definitions, SARI had the highest
sensitivity [83 %, 95 % CI (79-86)] whereas IMCI severe pneumonia had the highest
specificity [91 %, 95 % CI (90-92)]. The alternative case definition (cough or
difficulty breathing plus hypoxia, in-drawing, or wheeze) had a sensitivity of
[55 %, 95 % CI (50-59)] and a specificity of [60 %, 95 % CI (59-62)]. The PPV for
all case definitions and individual signs/symptoms ranged from 11 to 20 % while
the negative predictive values were >87 %. When we stratified by age <1 year and
1- < 5 years, difficulty breathing, severe pneumonia and the alternative case
definition were more sensitive in children aged <1 year [70 % vs. 54 %, p <
0.01], [19 % vs. 11 %, p = 0.01] and [66 % vs. 43 %, p < 0.01] respectively,
while non-severe pneumonia was more sensitive [14 % vs. 26 %, p < 0.01] among
children aged 1- < 5 years. CONCLUSION: The sensitivity and specificity of
different commonly used case definitions for detecting laboratory-confirmed RSV
cases varied widely, while the positive predictive value was consistently low.
Optimal choice of case definition will depend upon study context and research
objectives.
PMID- 27207343
TI - The clinical significance of changes in ezrin expression in osteosarcoma of
children and young adults.
AB - Ezrin is a protein that functions as a cross-linker between actin cytoskeleton
and plasma membrane. Its clinical role in osteosarcoma is unclear. The aim of
this study was to investigate, in osteosarcoma, the prognostic value of ezrin
expression at biopsy and changes in expression levels after preoperative
chemotherapy. Thirty-eight newly diagnosed osteosarcoma patients aged 6-23 years
were included. At diagnosis, 20 patients had localized disease, the others had
distant metastases. Median follow-up was 75 months (range 13-135). Ezrin
expression was assessed immunohistochemically in biopsy tissue and primary tumour
specimens resected after chemotherapy. The influence on survival of changes in
ezrin expression after chemotherapy was analysed. Ezrin expression was
significantly higher after preoperative chemotherapy and changes compared to
biopsy tissue were significantly lower in patients with early progression than in
patients with relapse or no further evidence of disease (p = 0.006 and p = 0.002,
respectively). Similarly, ezrin expression was higher after preoperative
chemotherapy and exhibited less change in expression in deceased patients
compared to patients surviving more than 5 years (both p = 0.001). Ezrin
expression at biopsy was significantly associated with both histopathological
aggressiveness (p < 0.001) and tumour size (p = 0.037). The results of this study
provide evidence that changes in overexpression of ezrin due to preoperative
chemotherapy could be a useful predictive and prognostic marker in patients with
osteosarcoma.
PMID- 27207344
TI - Usefulness of the preoperative platelet count in the diagnosis of adnexal tumors.
AB - Platelets seem to play a role in the development of ovarian cancer. Platelet
count (PLT) is an ubiquitous available parameter. We analyzed retrospectively
data of 756 patients with primary adnexal tumors: 584 benign and 172 malignant
(148 invasive and 24 borderline) cases. We compared the diagnostic accuracy of
CA125, PLT, and a combination of CA125 and PLT. The cutoff values for CA125 and
PLT were 35 U/ml and 350/nl, respectively. The median age of patients with benign
and malignant tumors was 45 and 64 years, respectively. A total of 77/172 (44.8
%) malignant and 50/584 (8.6 %) benign cases presented with thrombocytosis (PLT
>=350/nl). The median PLT differed between benign and malignant cases (257/nl vs.
330/nl; p < 0.001), similarly as CA125 did (17 vs. 371 U/ml; p < 0.001). In the
multivariate analysis, age, CA125, and thrombocytosis predicted independently the
presence of malignancy. The results of CA125 were false positive in 21 % and
false negative in 13 %. If considered together, thrombocytosis + CA125 were false
positive only in 9 %, whereas the false negative rate was 12 %. The sensitivity
and specificity of CA125, thrombocytosis, and thrombocytosis + CA125 for
detecting adnexal malignancy were 0.88/0.78, 0.45/0.91, and 0.81/0.94,
respectively. The positive predictive value (PPV) of CA125, thrombocytosis, and
thrombocytosis + CA125 was 0.79, 0.61, and 0.91, respectively. In conclusion, PLT
is an ubiquitously available parameter that could be useful in the diagnostic
evaluation of pelvic mass. Considering thrombocytosis additionally to CA125
improves the specificity and PPV and reduces the false positive rate in detecting
adnexal malignancy.
PMID- 27207346
TI - Squamous Cell Carcinoma In Situ Overlying Merkel Cell Carcinoma.
AB - BACKGROUND: Merkel cell carcinoma (MCC) is a rare and aggressive cutaneous
neoplasm that has exhibited an exponential increase in incidence in the past 3
decades. Combined MCC and cutaneous squamous cell carcinoma (SCC/MCC) is an
uncommon variant of MCC that exhibits worse prognosis than pure MCC. OBJECTIVE:
To describe the clinical presentation, dermoscopy, and histology of an unusual
subtype of combined SCC/MCC. METHODS AND RESULTS: A 73-year-old white woman
presented with an ulcerated and violaceous 10-mm plaque on her right jawline that
had been present for 2 to 3 months. On dermoscopy, the lesion was predominantly
milky pink to red with peripheral crusting and large-caliber polymorphous
vessels. Histology revealed SCC in situ above and adjacent to MCC. The tumor was
excised with clear margins, and sentinel lymph node scintography was negative for
nodal involvement.
PMID- 27207345
TI - Regional scale-up of an Emergency Triage Assessment and Treatment (ETAT) training
programme from a referral hospital to primary care health centres in Guatemala.
AB - OBJECTIVE: Emergency Triage Assessment and Treatment (ETAT) was developed by the
WHO to teach paediatric assessment, triage and initial management to healthcare
workers (HCWs) in resource-limited hospital-based settings. This study sought to
evaluate the extension of ETAT training from a regional hospital to paediatric
HCWs at local primary care health centres (PHCs) in Guatemala. METHODS: Prior to
providing a 16 h ETAT training module, immediately after, and at 3, 6 and 12
months, we used written pre-tests and post-tests and five-point Likert surveys to
evaluate, respectively, clinical knowledge and provider confidence in providing
acute care paediatrics; hands-on clinical skills were tested at 3, 6 and 12
months. RESULTS: Fifty-two HCWs (14 general physicians, 38 nurses) from four
regional PHCs participated; 65%, 60% and 46% completed 3-month, 6-month and 12
month follow-ups, respectively. Test scores show significant acquisition of
clinical knowledge initially, which was retained over time when tested at 3, 6
and 12 months (46 vs 70, p<0.001). Hands-on clinical skills scores demonstrated
retention at 3, 6 and 12 months. Although participants were more confident about
acute care paediatrics immediately after training (66 vs 104, p<0.001), this
decreased with time, though not to pre-intervention levels. CONCLUSIONS: ETAT
trainings were successfully extended to PHCs in a resource-limited setting with
significant knowledge acquisition and retention over time and improved HCW
confidence with acute care paediatrics. This process could serve as a successful
model for in-country and international scale-up of ETAT.
PMID- 27207347
TI - Do Biologics Protect Patients With Psoriasis From Myocardial Infarction? A
Retrospective Cohort.
AB - BACKGROUND: Psoriasis is a chronic immune-mediated inflammatory disorder that
affects approximately 2% to 3% of the population, which translates to 17 million
in North America and Europe and approximately 170 million people worldwide.
Although psoriasis can occur at any age, most cases develop before age 40 years.
Some larger studies have noted bimodal age at onset with the first peak occurring
at approximately age 30 years and the second peak at around 55 to 60 years, but
most patients have a younger age of onset (15-30 years). Psoriasis is associated
with multiple comorbidities, decreased quality of life, and decreased longevity
of life. Two recent systematic reviews and a meta-analysis concluded that
psoriasis patients are at increased risk of major adverse cardiovascular events.
Multiple studies confirm that many of the comorbidities found in patients with
psoriasis are also important risk factors for cardiovascular disease, stroke,
diabetes mellitus, hypertension, hyperlipidemia, obesity, and metabolic syndrome.
METHODS: We conducted a retrospective cohort study using charts from a
dermatology clinic combined with an administrative database of patients with
moderate to severe psoriasis in Newfoundland and Labrador, Canada. We examined
the role of clinical predictors (age of onset of psoriasis, age, sex, biologic
use) in predicting incident myocardial infarction (MI). RESULTS: Logistic
regression revealed that age of onset (odds ratio [OR], 8.85; P = .005),
advancing age (OR, 1.07; P < .0001), and being male (OR, 3.64; P = .018) were
significant risk factors for the development of MI. Neither biologic therapy nor
duration of biologic therapy were statistically significant risk factors for the
development of MI. Our study found that in patients with psoriasis treated with
biologics, there was a nonsignificant trend in reduced MI by 78% (relative risk,
0.18; 95% confidence interval, 0.24-1.34; P = .056). CONCLUSION: Our study
demonstrated a trend toward decreased MI in patients with moderate to severe
psoriasis on biologics. Patients with an early age of onset of psoriasis (<25
years) were nearly 9 times more likely to have an MI. Clinicians should consider
appropriate cardiovascular risk reduction strategies in patients with psoriasis.
PMID- 27207348
TI - A Review of Psoriasis, Therapies, and Suicide.
AB - Many chronic medical disorders are associated with psychiatric morbidity. Yet the
psychological burden of these disorders often goes unnoticed. In dermatology,
psoriasis has a higher association with psychiatric illness, including depression
and suicide risk, compared with many other conditions. Studies suggest that
effective treatment of psoriasis results in the improvement of psychiatric
morbidity, particularly depression and anxiety. New biologic treatments for
psoriasis may offer help beyond clearing of the skin in these patients and may
lead to a reduction of psychiatric morbidity. Although concerns have been raised
regarding the potential link between interleukin-17R blockade in the treatment of
psoriasis and suicide, current literature provides no evidence to support this
association.
PMID- 27207350
TI - The Role of IL-17 in the Pathogenesis of Psoriasis and Update on IL-17 Inhibitors
for the Treatment of Plaque Psoriasis.
AB - BACKGROUND: Major advances have been made in the understanding of the
pathophysiology of psoriasis. OBJECTIVES: The authors review the role of
interleukin (IL) 17 in the pathogenesis of psoriasis and provide updates on
approved and investigational therapies targeting IL-17 and the IL-17 receptor.
METHODS: A PubMed search was performed for relevant literature. CONCLUSION: The
IL-23/Th17 signaling pathway (including IL-17) plays a central role in the
pathogenesis of psoriasis. Biologic agents that block IL-17 (secukinumab and
ixekizumab) or its receptor (brodalumab) are effective and safe for the treatment
of psoriasis.
PMID- 27207349
TI - Validation of Photograph-Based Toxicity Score for Topical 5-Fluorouracil Cream
Application.
AB - BACKGROUND: An objective tool quantifying the toxicity of 5-fluorouracil (5-FU)
from photographs was recently reported, and its reliability was confirmed.
OBJECTIVE: The aim of this study was to validate the photograph-based toxicity
score. METHODS: Photograph-based toxicity scores of participants assigned to the
5-FU arm of a randomized placebo-controlled trial were tested for correlations
with their patient-reported symptom scores and baseline characteristics. RESULTS:
Each pair of individual and overall scores of patient-reported symptoms and
photograph-based toxicity was correlated at 2 and 4 weeks (correlation
coefficient range, 0.34-0.95; P < .001 for all). Older age, more actinic
keratoses, previous topical 5-FU use, and more keratinocyte carcinomas on the
face and ears in the previous 5 years were correlated with increased 5-FU
toxicity at 2 weeks (P < .05). An increase in the total number of 5-FU
applications during the trial was correlated with less severe toxicity at 2 weeks
(P < .001), but with increased toxicity at 4 weeks (P < .001). CONCLUSION: This
study provides evidence for construct validity of the photograph-based 5-FU
toxicity score. The tool can be used to objectively measure 5-FU toxicity in
clinical or research setting, and it can be a prototype for toxicity measurements
of other topical medications.
PMID- 27207351
TI - Nodular Subtype of Bullous Pemphigoid.
AB - INTRODUCTION: Itching nodules and papules are common findings. A rare but
important differential diagnosis is the nodular subtype of bullous pemphigoid.
METHODS AND RESULTS: The investigators report a female patient presenting with
strongly itching papules disseminated over her extremities and trunk. Physical
examination revealed multiple erythematous, mostly excoriated papules and nodules
on her back, abdomen, and extremities. Histology showed changes compatible with
prurigo lesion, and immunofluorescence showed positive results for BP180 and
BP230. Considering these clinical, histologic, and immunofluorescence findings,
the diagnosis of a nodular subtype of bullous pemphigoid was made. The patient
showed healing of lesions under a combination therapy with systemic psoralen and
ultraviolet A, topical application of corticosteroids, and systemic therapy with
azathioprine and prednisolone. DISCUSSION: Pemphigoid nodularis represents the
rare prurigo variant of bullous pemphigoid. Typically, lesions show the same
immunopathologic and histologic features as in common bullous pemphigoid but
mostly without the characteristic clinical finding of bullae.
PMID- 27207353
TI - Red-Violaceous Lacunae With a Yellowish Ecchymotic Halo.
PMID- 27207352
TI - Experiences From a Combined Dermatology and Rheumatology Clinic: A Retrospective
Review.
AB - BACKGROUND: The Dermatology and Rheumatology Treatment Clinic is a novel
multidisciplinary clinic where patients are concomitantly assessed by a
rheumatologist and dermatologist. OBJECTIVES: To determine the number of patients
seen in clinic, patient demographics, and most common diagnoses. METHOD: A
retrospective review was performed over a 2-year period. Data collected included
patient age, sex, dermatologic diagnosis, rheumatologic diagnosis, biopsies
performed, and number of follow-up visits. RESULTS: A total of 320 patients were
seen (78% female, 22% male). The most common rheumatologic diagnoses were
systemic lupus erythematosus (18%), rheumatoid arthritis (15%), psoriatic
arthritis (13%), and undifferentiated connective tissue disease (8%). The most
common dermatologic diagnoses were dermatitis (17%), psoriasis (11%), cutaneous
lupus (7%), various types of alopecia (6%), and infections (5%). CONCLUSIONS:
Skin diagnoses were often unrelated to the underlying rheumatologic diagnosis.
Rheumatologists and dermatologists can both benefit from being aware of the
dermatologic conditions that rheumatologic patients are experiencing.
PMID- 27207354
TI - Local Anesthesia Techniques in Hair Restoration Surgery.
AB - Pain during hair restoration surgery and other dermatologic surgery procedures is
one of patients' main fears. The authors briefly describe local anesthesia
techniques they use in their surgical practice that make these procedures more
pleasant for patients. The ability to provide a pain-free experience during hair
restoration surgery will increase patients' satisfaction and allow patients to
return for subsequent procedures.
PMID- 27207356
TI - Cytomegalovirus Scrotal Ulcer in a Renal Transplant Patient.
AB - BACKGROUND: Cytomegalovirus (CMV) is a highly prevalent herpesvirus that can
present with cutaneous disease in immunocompromised individuals. This may reflect
systemic involvement, which is associated with significant morbidity and
mortality. OBJECTIVE: To report a case of cutaneous CMV in an immunocompromised
patient and to discuss the differential diagnosis of genital ulcers. METHODS: A
medical chart review was conducted on a patient who presented with a scrotal
ulcer after renal transplantation. A review of the literature on cutaneous CMV
disease was also completed. RESULTS: Biopsy of the scrotal ulcer revealed classic
findings of CMV disease. The patient also developed CMV viremia. Treatment with
valganciclovir resolved his scrotal ulcer and viremia. CONCLUSION: The
differential diagnosis for genital ulcers is broad, especially in the
immunocompromised patient. Cutaneous CMV disease should be ruled out with biopsy
and immunohistochemical examination in immunocompromised patients, as it may
reflect systemic involvement and significantly affect patient care.
PMID- 27207355
TI - Canadian Clinical Practice Guidelines for Rosacea.
AB - Rosacea is a chronic facial inflammatory dermatosis characterized by background
facial erythema and flushing and may be accompanied by inflammatory papules and
pustules, cutaneous fibrosis and hyperplasia known as phyma, and ocular
involvement. These features can have adverse impact on quality of life, and
ocular involvement can lead to visual dysfunction. The past decade has witnessed
increased research into pathogenic pathways involved in rosacea and the
introduction of novel treatment innovations. The objective of these guidelines is
to offer evidence-based recommendations to assist Canadian health care providers
in the diagnosis and management of rosacea. These guidelines were developed by an
expert panel of Canadian dermatologists taking into consideration the balance of
desirable and undesirable outcomes, the quality of supporting evidence, the
values and preferences of patients, and the costs of treatment. The 2015 Cochrane
review "Interventions in Rosacea" was used as a source of clinical trial evidence
on which to base the recommendations.
PMID- 27207357
TI - Topical Dapsone 5% Gel as an Effective Therapy in Dermatitis Herpetiformis.
PMID- 27207358
TI - Does higher radiation dose lead to better outcome for non-operated localized
esophageal squamous cell carcinoma patients who received concurrent
chemoradiotherapy? A population based propensity-score matched analysis.
AB - BACKGROUND: The optimal radiotherapy dose for non-operated localized esophageal
squamous cell carcinoma (NOL-ESCC) patients undergoing concurrent
chemoradiotherapy (CCRT) is hotly debated. METHODS: We identified eligible
patients diagnosed within 2008-2013 from Taiwan Cancer Registry and constructed a
propensity score matched cohort (1:1 for high dose (?60Gy) vs standard dose (50
50.4Gy)) to balance observable potential confounders. We compared the hazard
ratio (HR) of death between standard and high radiotherapy dose groups during the
entire follow-up period. We performed sensitivity analysis (SA) to evaluate the
robustness of our finding regarding potential unobserved confounders & index date
definition. RESULTS: Our study population constituted 648 patients with well
balance in observed co-variables. The HR of death when high dose was compared to
standard dose was 0.75 (95% confidence interval 0.64-0.88). Our result was
sensitive to potential unobserved confounders but robust to alternative index
date definition in SA. CONCLUSIONS: We found that higher than standard
radiotherapy dose may lead to better survival for NOL-ESCC patients undergoing
CCRT.
PMID- 27207359
TI - Time interval between surgery and start of adjuvant radiotherapy in patients with
soft tissue sarcoma: A retrospective analysis of 1131 cases from the French
Sarcoma Group.
AB - PURPOSE: The aim of this study was to evaluate the impact of the time interval
(TI) between surgery and adjuvant radiotherapy (RT) in soft tissue sarcoma (STS).
METHODS AND MATERIALS: Data from 1131 patients treated between 1990 and 2014 were
retrospectively reviewed. Inclusion criteria were: limb or superficial trunk wall
STS (R0 or R1 resection) and adjuvant RT. The impact of TI on 10-year local
relapse-free survival (LRFS) and 10-year overall survival (OS) was analyzed using
a Log-rank test and then Cox Model. RESULTS: The median TI was 82days (range, 18
346). With a median follow-up of 235months (range, 2-296months), the 10-year LRFS
was 57.5% (+/-2%) and the 10-year OS was 64.2% (+/-2%). With a TI of 19-39days,
40-79days, 80-119days, and ?120days, 10-year LRFSs were 65.3%, 55.5%, 56.9% and
61.2% (p=0.465), and 10-year OSs were 72.8%, 60.7%, 66.4% and 62.1% (p=0.347),
respectively. After adjustment for the factors significantly (p?0.05) associated
with LRFS and OS, TI did not alter LRFS (p=0.182) either OS (p=0.335).
CONCLUSIONS: In this retrospective STS database study, the TI between surgery and
start of adjuvant RT did not seem to affect outcomes.
PMID- 27207361
TI - Seeing Is Believing: Quantifying Is Convincing: Computational Image Analysis in
Biology.
AB - Imaging is center stage in biology. Advances in microscopy and labeling
techniques have enabled unprecedented observations and continue to inspire new
developments. Efficient and accurate quantification and computational analysis of
the acquired images, however, are becoming the bottleneck. We review different
paradigms of computational image analysis for intracellular, single-cell, and
tissue-level imaging, providing pointers to the specialized literature and
listing available software tools. We place particular emphasis on clear
categorization of image-analysis frameworks and on identifying current trends and
challenges in the field. We further outline some of the methodological advances
that are required in order to use images as quantitative scientific measurements.
PMID- 27207360
TI - Real Data on Effectiveness, Tolerability and Safety of New Oral Anticoagulant
Agents: Focus on Dabigatran.
AB - Vitamin K-dependent antagonists (VKAs) are the most commonly used oral
anticoagulants. Non-VKA oral anticoagulants (NOACs), directly target factor IIa
(dabigatran) or Xa (rivaroxaban, apixaban, and edoxaban) have predictable
pharmacological effects and relatively few drug and food interactions compared
with VKA. Among NOACs, dabigatran has been extensively tested for stroke
prevention in patients with non-valvular atrial fibrillation eligible for oral
anticoagulation with VKA. Dabigatran is at least as effective as warfarin at
preventing stroke with advantages of less serious bleeding except for
gastrointestinal bleeding, which occurs more often than with warfarin. The
findings of dabigatran use in randomized trials, post market registries and
specific clinical settings are discussed in this article.
PMID- 27207362
TI - Image Degradation in Microscopic Images: Avoidance, Artifacts, and Solutions.
AB - The goal of modern microscopy is to acquire high-quality image based data sets. A
typical microscopy workflow is set up in order to address a specific biological
question and involves different steps. The first step is to precisely define the
biological question, in order to properly come to an experimental design for
sample preparation and image acquisition. A better object representation allows
biological users to draw more reliable scientific conclusions. Image restoration
can manipulate the acquired data in an effort to reduce the impact of artifacts
(spurious results) due to physical and technical limitations, resulting in a
better representation of the object of interest. However, precise usage of these
algorithms is necessary so as to avoid further artifacts that might influence the
data analysis and bias the conclusions. It is essential to understand image
acquisition, and how it introduces artifacts and degradations in the acquired
data, so that their effects on subsequent analysis can be minimized. This paper
provides an overview of the fundamental artifacts and degradations that affect
many micrographs. We describe why artifacts appear, in what sense they impact
overall image quality, and how to mitigate them by first improving the
acquisition parameters and then applying proper image restoration techniques.
PMID- 27207363
TI - Transforms and Operators for Directional Bioimage Analysis: A Survey.
AB - We give a methodology-oriented perspective on directional image analysis and
rotation-invariant processing. We review the state of the art in the field and
make connections with recent mathematical developments in functional analysis and
wavelet theory. We unify our perspective within a common framework using
operators. The intent is to provide image-processing methods that can be deployed
in algorithms that analyze biomedical images with improved rotation invariance
and high directional sensitivity. We start our survey with classical methods such
as directional-gradient and the structure tensor. Then, we discuss how these
methods can be improved with respect to robustness, invariance to geometric
transformations (with a particular interest in scaling), and computation cost. To
address robustness against noise, we move forward to higher degrees of
directional selectivity and discuss Hessian-based detection schemes. To present
multiscale approaches, we explain the differences between Fourier filters,
directional wavelets, curvelets, and shearlets. To reduce the computational cost,
we address the problem of matching directional patterns by proposing steerable
filters, where one might perform arbitrary rotations and optimizations without
discretizing the orientation. We define the property of steerability and give an
introduction to the design of steerable filters. We cover the spectrum from
simple steerable filters through pyramid schemes up to steerable wavelets. We
also present illustrations on the design of steerable wavelets and their
application to pattern recognition.
PMID- 27207364
TI - Analyzing Protein Clusters on the Plasma Membrane: Application of Spatial
Statistical Analysis Methods on Super-Resolution Microscopy Images.
AB - The spatial distribution of proteins within the cell affects their capability to
interact with other molecules and directly influences cellular processes and
signaling. At the plasma membrane, multiple factors drive protein
compartmentalization into specialized functional domains, leading to the
formation of clusters in which intermolecule interactions are facilitated.
Therefore, quantifying protein distributions is a necessity for understanding
their regulation and function. The recent advent of super-resolution microscopy
has opened up the possibility of imaging protein distributions at the nanometer
scale. In parallel, new spatial analysis methods have been developed to quantify
distribution patterns in super-resolution images. In this chapter, we provide an
overview of super-resolution microscopy and summarize the factors influencing
protein arrangements on the plasma membrane. Finally, we highlight methods for
analyzing clusterization of plasma membrane proteins, including examples of their
applications.
PMID- 27207366
TI - Integrated High-Content Quantification of Intracellular ROS Levels and
Mitochondrial Morphofunction.
AB - Oxidative stress arises from an imbalance between the production of reactive
oxygen species (ROS) and their removal by cellular antioxidant systems.
Especially under pathological conditions, mitochondria constitute a relevant
source of cellular ROS. These organelles harbor the electron transport chain,
bringing electrons in close vicinity to molecular oxygen. Although a full
understanding is still lacking, intracellular ROS generation and mitochondrial
function are also linked to changes in mitochondrial morphology. To study the
intricate relationships between the different factors that govern cellular redox
balance in living cells, we have developed a high-content microscopy-based
strategy for simultaneous quantification of intracellular ROS levels and
mitochondrial morphofunction. Here, we summarize the principles of intracellular
ROS generation and removal, and we explain the major considerations for
performing quantitative microscopy analyses of ROS and mitochondrial
morphofunction in living cells. Next, we describe our workflow, and finally, we
illustrate that a multiparametric readout enables the unambiguous classification
of chemically perturbed cells as well as laminopathy patient cells.
PMID- 27207367
TI - KNIME for Open-Source Bioimage Analysis: A Tutorial.
AB - The open analytics platform KNIME is a modular environment that enables easy
visual assembly and interactive execution of workflows. KNIME is already widely
used in various areas of research, for instance in cheminformatics or classical
data analysis. In this tutorial the KNIME Image Processing Extension is
introduced, which adds the capabilities to process and analyse huge amounts of
images. In combination with other KNIME extensions, KNIME Image Processing opens
up new possibilities for inter-domain analysis of image data in an understandable
and reproducible way.
PMID- 27207365
TI - Image Informatics Strategies for Deciphering Neuronal Network Connectivity.
AB - Brain function relies on an intricate network of highly dynamic neuronal
connections that rewires dramatically under the impulse of various external cues
and pathological conditions. Amongst the neuronal structures that show
morphological plasticity are neurites, synapses, dendritic spines and even
nuclei. This structural remodelling is directly connected with functional changes
such as intercellular communication and the associated calcium bursting
behaviour. In vitro cultured neuronal networks are valuable models for studying
these morpho-functional changes. Owing to the automation and standardization of
both image acquisition and image analysis, it has become possible to extract
statistically relevant readouts from such networks. Here, we focus on the current
state-of-the-art in image informatics that enables quantitative microscopic
interrogation of neuronal networks. We describe the major correlates of neuronal
connectivity and present workflows for analysing them. Finally, we provide an
outlook on the challenges that remain to be addressed, and discuss how imaging
algorithms can be extended beyond in vitro imaging studies.
PMID- 27207368
TI - Segmenting and Tracking Multiple Dividing Targets Using ilastik.
AB - Tracking crowded cells or other targets in biology is often a challenging task
due to poor signal-to-noise ratio, mutual occlusion, large displacements, little
discernibility, and the ability of cells to divide. We here present an open
source implementation of conservation tracking (Schiegg et al., IEEE
international conference on computer vision (ICCV). IEEE, New York, pp 2928-2935,
2013) in the ilastik software framework. This robust tracking-by-assignment
algorithm explicitly makes allowance for false positive detections,
undersegmentation, and cell division. We give an overview over the underlying
algorithm and parameters, and explain the use for a light sheet microscopy
sequence of a Drosophila embryo. Equipped with this knowledge, users will be able
to track targets of interest in their own data.
PMID- 27207369
TI - Challenges and Benchmarks in Bioimage Analysis.
AB - Similar to the medical imaging community, the bioimaging community has recently
realized the need to benchmark various image analysis methods to compare their
performance and assess their suitability for specific applications. Challenges
sponsored by prestigious conferences have proven to be an effective means of
encouraging benchmarking and new algorithm development for a particular type of
image data. Bioimage analysis challenges have recently complemented medical image
analysis challenges, especially in the case of the International Symposium on
Biomedical Imaging (ISBI). This review summarizes recent progress in this respect
and describes the general process of designing a bioimage analysis benchmark or
challenge, including the proper selection of datasets and evaluation metrics. It
also presents examples of specific target applications and biological research
tasks that have benefited from these challenges with respect to the performance
of automatic image analysis methods that are crucial for the given task. Finally,
available benchmarks and challenges in terms of common features, possible
classification and implications drawn from the results are analysed.
PMID- 27207370
TI - Bioimage Informatics for Big Data.
AB - Bioimage informatics is a field wherein high-throughput image informatics methods
are used to solve challenging scientific problems related to biology and
medicine. When the image datasets become larger and more complicated, many
conventional image analysis approaches are no longer applicable. Here, we discuss
two critical challenges of large-scale bioimage informatics applications, namely,
data accessibility and adaptive data analysis. We highlight case studies to show
that these challenges can be tackled based on distributed image computing as well
as machine learning of image examples in a multidimensional environment.
PMID- 27207371
TI - Identification of sequence polymorphisms in the D-Loop region of mitochondrial
DNA as a risk factor for colon cancer.
AB - The accumulation of single nucleotide polymorphisms (SNPs) in the displacement
loop (D-Loop) of mitochondrial DNA (mtDNA) has been identified for their
association with cancer risk in a number of cancers. We investigated the colon
cancer risk profile of D-Loop SNPs in a case-control study. The frequent alleles
of nucleotides 73G/A, 146T/C, 195T/C, 324C/G, 16261C/T, and 16304T/C as well as
the minor allele of 309C/C insert were significantly associated with an increased
risk for colon cancer. In conclusion, SNPs in the mtDNA D-Loop were found to be
valuable markers for colon cancer risk evaluation.
PMID- 27207372
TI - Using Complier Average Causal Effect Estimation to Determine the Impacts of the
Good Behavior Game Preventive Intervention on Teacher Implementers.
AB - Complier average causal effect (CACE) analysis is a causal inference approach
that accounts for levels of teacher implementation compliance. In the current
study, CACE was used to examine one-year impacts of PAX good behavior game (PAX
GBG) and promoting alternative thinking strategies (PATHS) on teacher efficacy
and burnout. Teachers in 27 elementary schools were randomized to PAX GBG, an
integration of PAX GBG and PATHS, or a control condition. There were positive
overall effects on teachers' efficacy beliefs, but high implementing teachers
also reported increases in burnout across the school year. The CACE approach may
offer new information not captured using a traditional intent-to-treat approach.
PMID- 27207373
TI - Recent Advances in DOCK8 Immunodeficiency Syndrome.
AB - Since the discovery of the genetic basis of DOCK8 immunodeficiency syndrome
(DIDS) in 2009, several hundred patients worldwide have been reported, validating
and extending the initial clinical descriptions. Importantly, the beneficial role
of hematopoietic stem cell transplantation for this disease has emerged,
providing impetus for improved diagnosis. Additionally, several groups have
further elucidated the biological functions of DOCK8 in the immune system that
help explain disease pathogenesis. Here, we summarize these recent developments.
PMID- 27207374
TI - Are Text Messages a Feasible and Acceptable Way to Reach Female Entertainment
Workers in Cambodia with Health Messages? A Cross-Sectional Phone Survey.
AB - BACKGROUND: Despite great achievements in reducing the prevalence of HIV,
eliminating new HIV infections remains a challenge in Cambodia. Entertainment
venues such as restaurants, karaoke bars, beer gardens, cafes, pubs, and massage
parlors are now considered important venues for HIV prevention efforts and other
health outreach interventions. OBJECTIVE: The purpose of this study was to
explore phone use and texting practices of female entertainment workers (FEWs) in
order to determine if text messaging is a feasible and acceptable way to link
FEWs to health services. METHODS: This cross-sectional phone survey was conducted
in May 2015 with 97 FEWs aged 18-35 years and currently working at an
entertainment venue in Phnom Penh. RESULTS: Of the 96 respondents, 51% reported
sending text messages daily; of them, 47% used Khmer script and 45% used
Romanized Khmer. Younger FEWs were more likely to report daily texting (P<.001).
Most FEWs (98%) in this study reported feeling comfortable receiving private
health messages despite the fact that 39% were sharing their phone with others.
Younger FEWs were less likely to share their phone with others (P=.02). Of all of
the FEWs, 47% reported owning a smartphone, and younger women were more likely to
own a smartphone than were older women (P=.08). CONCLUSIONS: The findings from
this study support the development of mHealth interventions targeting high-risk
groups in urban areas of Cambodia. Our data suggest that mHealth interventions
using texting may be a feasible way of reaching FEWs in Phnom Penh.
PMID- 27207375
TI - The safe practice of CT coronary angiography in adult patients in UK imaging
departments.
AB - Computed tomography coronary angiography is increasingly used in imaging
departments in the investigation of patients with chest pain and suspected
coronary artery disease. Due to the routine use of heart rate controlling
medication and the potential for very high radiation doses during these scans,
there is a need for guidance on best practice for departments performing this
examination, so the patient can be assured of a good quality scan and outcome in
a safe environment. This article is a summary of the document on 'Standards of
practice of computed tomography coronary angiography (CTCA) in adult patients'
published by the Royal College of Radiologists (RCR) in December 2014.
PMID- 27207376
TI - Evidence-based indications for the use of PET-CT in the United Kingdom 2016.
PMID- 27207377
TI - Editorial Comment.
PMID- 27207378
TI - Editorial Comment.
PMID- 27207379
TI - Comparative meta-analysis and experimental kinetic investigation of column and
batch bottle microcosm treatability studies informing in situ groundwater
remedial design.
AB - A systematic comparison was performed between batch bottle and continuous-flow
column microcosms (BMs and CMs, respectively) commonly used for in situ
groundwater remedial design. Review of recent literature (2000-2014) showed a
preference for reporting batch kinetics, even when corresponding column data were
available. Additionally, CMs produced higher observed rate constants, exceeding
those of BMs by a factor of 6.1+/-1.1 standard error. In a subsequent laboratory
investigation, 12 equivalent microcosm pairs were constructed from fractured
bedrock and perchloroethylene (PCE) impacted groundwater. First-order PCE
transformation kinetics of CMs were 8.0+/-4.8 times faster than BMs (rates:
1.23+/-0.87 vs. 0.16+/-0.05d-1, respectively). Additionally, CMs transformed
16.1+/-8.0-times more mass than BMs owing to continuous-feed operation. CMs are
concluded to yield more reliable kinetic estimates because of much higher data
density stemming from long-term, steady-state conditions. Since information from
BMs and CMs is valuable and complementary, treatability studies should report
kinetic data from both when available. This first systematic investigation of BMs
and CMs highlights the need for a more unified framework for data use and
reporting in treatability studies informing decision-making for field-scale
groundwater remediation.
PMID- 27207380
TI - Cost-Effective In-House Neutralization Assay for the Confirmation of HBeAg.
AB - BACKGROUND & AIM: Hepatitis B virus-e-antigen (HBeAg) is an affordable viral
marker to assess viral replication kinetics and response to antiviral therapy. In
the absence of confirmatory assays, discrepant or false-positive HBeAg results
are resolved by screening for other HBV markers. We standardized an in-house
HBeAg neutralization assay (HBeAg-NT) to confirm HBeAg in clinical samples.
METHODS: The performance and reliability of this assay were evaluated by first
WHO International Standard for HBeAg (first WHO-IS HBeAg) from Paul Ehrlich
Institute and clinical samples (n = 150) from chronic HBV carriers. Of these, 71
HBeAg-positive sera were used for HBeAg-NT. RESULTS: Concentrations spanning 0.25
10 U of first WHO-IS HBeAg and clinical samples (S/Co ranges from 1.00 to 10.00)
were neutralized completely in the HBeAg-NT. CONCLUSIONS: HBeAg-NT is a simple,
cost-effective, and reliable direct approach to confirm HBeAg in clinical samples
which precludes the need for screening additional HBV markers in low resource
settings.
PMID- 27207381
TI - Fitness-to-drive agreements after stroke: medical versus practical
recommendations.
AB - BACKGROUND AND PURPOSE: Physicians often struggle to screen out patients who are
no longer fit to drive after stroke. The agreement between the recommendations of
physicians and on-road assessors with regard to fitness to drive after stroke was
investigated. METHODS: In this retrospective study, 735 patients with stroke
underwent medical, visual and road tests at an official fitness-to-drive center
of the Belgian Road Safety Institute. Physicians provided medical fitness-to
drive recommendations using one of three categories (favorable, reserved or
unfavorable). On-road assessors used the same three categories to make practical
fitness-to-drive recommendations. Agreement between the medical and practical
fitness-to-drive recommendations was calculated using the percentage of agreement
and prevalence and bias adjusted kappa (PABAK). Area under the curve (AUC) was
used to predict the medical and practical recommendations after stepwise logistic
regression analyses. RESULTS: The percentage of agreement was 73% and the PABAK
was 0.60 (P < 0.0001). Physicians disagreed on 92% of patients classified as
unfavorable and 80% of those classified as reserved by the on-road assessor.
Previous visits to the driving center and number of comorbidities predicted
medical fitness-to-drive recommendations (AUC = 0.68). Age, previous visits to
the center, binocular acuity and driving experience constituted the best model to
predict practical fitness-to-drive recommendations (AUC = 0.70). CONCLUSIONS:
Although there was a moderate agreement between the medical and practical fitness
to-drive recommendations, physicians were less likely to screen out those
patients who may pose an actual risk on the road. Demographic, clinical and
driving factors differently affected the medical and practical fitness-to-drive
recommendations.
PMID- 27207382
TI - Parent-child interaction: Does parental language matter?
AB - Although parental language and behaviour have been widely investigated, few
studies have examined their unique and interactive contribution to the parent
child relationship. The current study explores how parental behaviour
(sensitivity and non-intrusiveness) and the use of parental language (exploring
and control languages) correlate with parent-child dyadic mutuality.
Specifically, we investigated the following questions: (1) 'Is parental language
associated with parent-child dyadic mutuality above and beyond parental
behaviour?' (2) 'Does parental language moderate the links between parental
behaviour and the parent-child dyadic mutuality?' (3) 'Do these differences vary
between mothers and fathers?' The sample included 65 children (Mage = 1.97
years, SD = 0.86) and their parents. We observed parental behaviour, parent-child
dyadic mutuality, and the type of parental language used during videotaped in
home observations. The results indicated that parental language and behaviours
are distinct components of the parent-child interaction. Parents who used higher
levels of exploring language showed higher levels of parent-child dyadic
mutuality, even when accounting for parental behaviour. Use of controlling
language, however, was not found to be related to the parent-child dyadic
mutuality. Different moderation models were found for mothers and fathers. These
results highlight the need to distinguish parental language and behaviour when
assessing their contribution to the parent-child relationship.
PMID- 27207384
TI - Performance of a lateral pelvic cluster technical system in evaluating running
kinematics.
AB - Valid measurement of pelvic and hip angles during posterior load carriage gait
task requires placement of pelvic markers which will not be occluded or
physically displaced by the load. One solution is the use of pure lateral pelvic
clusters to track the pelvis segment. However, the validity of this method has
not been compared against pelvic marker systems recommended by the International
Society of Biomechanics (ISB) during high impact tasks, such as running. The
purpose of this study was to validate the lateral tracking pelvic clusters
against the ISB pelvis during running. Six participants performed overground
running at a self-selected running speed with shoes. Three dimensional motion
capture and synchronised in-ground force plates were used to determine lower limb
joint angles and gait events respectively. Two biomechanical models were used to
derive pelvic segment and hip joint angles. The ISB pelvis used the anterior and
posterior iliac spines as anatomical and tracking markers, whilst the other model
used lateral pelvic clusters as tracking markers. The between participant
averaged coefficient of multiple correlation suggested good to excellent
agreement between the angle waveforms generated from the two marker protocols. In
addition, both marker protocols had similar sensitivity in detecting three
dimensional pelvic and hip joint angles during the stance phase. This study
suggests that in the event posterior load carriage is involved in running gait,
pelvic and hip kinematics can be measured by the use of lateral pelvic clusters.
PMID- 27207383
TI - ABO allele-level frequency estimation based on population-scale genotyping by
next generation sequencing.
AB - BACKGROUND: The characterization of the ABO blood group status is vital for blood
transfusion and solid organ transplantation. Several methods for the molecular
characterization of the ABO gene, which encodes the alleles that give rise to the
different ABO blood groups, have been described. However, the application of
those methods has so far been restricted to selected samples and not been applied
to population-scale analysis. RESULTS: We describe a cost-effective method for
high-throughput genotyping of the ABO system by next generation sequencing.
Sample specific barcodes and sequencing adaptors are introduced during PCR,
rendering the products suitable for direct sequencing on Illumina MiSeq or HiSeq
instruments. Complete sequence coverage of exons 6 and 7 enables molecular
discrimination of the ABO subgroups and many alleles. The workflow was applied to
ABO genotype more than a million samples. We report the allele group frequencies
calculated on a subset of more than 110,000 sampled individuals of German origin.
Further we discuss the potential of the workflow for high resolution genotyping
taking the observed allele group frequencies into account. Finally, sequence
analysis revealed 287 distinct so far not described alleles of which the most
abundant one was identified in 174 samples. CONCLUSIONS: The described workflow
delivers high resolution ABO genotyping at low cost enabling population-scale
molecular ABO characterization.
PMID- 27207386
TI - A preliminary study of longitudinal differences in local dynamic stability
between recently concussed and healthy athletes during single and dual-task gait.
AB - Concussed individuals commonly exhibit locomotor deficits during dual-task gait
that can last substantially longer than clinical signs and symptoms. Previous
studies have examined traditional stability measures, but nonlinear stability may
offer further information about the health of the motor control system post
concussion. For up to one year post-concussion, this study longitudinally
examined the local dynamic stability of five concussed athletes and four matched
healthy controls during single- and dual-task gait. Local dynamic stability (LDS)
was estimated using short-term, finite-time maximum Lyapunov exponents calculated
from tri-axial accelerometers placed on the trunk and head. No main effects of
group or task were found for LDS or stride time variability, but significant
group*task interactions were apparent for trunk stability and stride time
variability. Concussed individuals exhibited decreased trunk LDS and increased
stride time variability during dual-task walking compared to matched controls
despite similar single-task stability and variability. These preliminary results
reinforce previous reports that concussions persistently affect dual-task
processes even when single-tasks may be unaffected. Furthermore, the decreased
local dynamic stability during dual-task gait indicates the concussed group
attenuated local disturbances less than their healthy teammates. The decreased
dynamic stability during dual-task activities was present after the athletes were
cleared for competition and may be a contributing factor in the higher rates of
musculoskeletal injuries in athletes post-concussion.
PMID- 27207385
TI - In-vivo heterogeneous functional and residual strains in human aortic valve
leaflets.
AB - Residual and physiological functional strains in soft tissues are known to play
an important role in modulating organ stress distributions. Yet, no known
comprehensive information on residual strains exist, or non-invasive techniques
to quantify in-vivo deformations for the aortic valve (AV) leaflets. Herein we
present a completely non-invasive approach for determining heterogeneous strains
both functional and residual - in semilunar valves and apply it to normal human
AV leaflets. Transesophageal 3D echocardiographic (3DE) images of the AV were
acquired from open-heart transplant patients, with each AV leaflet excised after
heart explant and then imaged in a flattened configuration ex-vivo. Using an
established spline parameterization of both 3DE segmentations and digitized ex
vivo images (Aggarwal et al., 2014), surface strains were calculated for
deformation between the ex-vivo and three in-vivo configurations: fully open,
just-coapted, and fully-loaded. Results indicated that leaflet area increased by
an average of 20% from the ex-vivo to in-vivo open states, with a highly
heterogeneous strain field. The increase in area from open to just-coapted state
was the highest at an average of 25%, while that from just-coapted to fully
loaded remained almost unaltered. Going from the ex-vivo to in-vivo mid-systole
configurations, the leaflet area near the basal attachment shrank slightly,
whereas the free edge expanded by ~10%. This was accompanied by a 10 degrees -20
degrees shear along the circumferential-radial direction. Moreover, the
principal stretches aligned approximately with the circumferential and radial
directions for all cases, with the highest stretch being along the radial
direction. Collectively, these results indicated that even though the AV did not
support any measurable pressure gradient in the just-coapted state, the leaflets
were significantly pre-strained with respect to the excised state. Furthermore,
the collagen fibers of the leaflet were almost fully recruited in the just
coapted state, making the leaflet very stiff with marginal deformation under full
pressure. Lastly, the deformation was always higher in the radial direction and
lower along the circumferential one, the latter direction made stiffer by the
preferential alignment of collagen fibers. These results provide significant
insight into the distribution of residual strains and the in-vivo strains
encountered during valve opening and closing in AV leaflets, and will form an
important component of the tool that can evaluate valve's functional properties
in a non-invasive manner.
PMID- 27207387
TI - Active surveillance for low-risk non-muscle-invasive bladder cancer: mid-term
results from the Bladder cancer Italian Active Surveillance (BIAS) project.
AB - OBJECTIVE: To report the oncological safety and the risk of progression for
patients with non-muscle-invasive bladder cancer (NMIBC) included in an active
surveillance (AS) programme after the diagnosis of recurrence. PATIENTS AND
METHODS: This is a prospective study enrolling patients with history of
pathologically confirmed low grade pTa-pT1a NMIBC and diagnosed with a tumour
recurrence. Inclusion criteria consisted of negative urine cytology, presence of
<=5 lesions with a diameter of <=10 mm, absence of carcinoma in situ (CIS) or
persistent gross haematuria. The primary outcome of interest was adherence to AS.
Need to proceed with treatment was defined as progression in
number/dimension/positive cytology/symptoms (gross haematuria persistent) or any
further intervention (resection or electro-fulguration). Finally, we assessed the
up-grading and up-staging when transurethral resection of bladder tumour was
performed. RESULTS: The study population consisted of 55 patients with a previous
diagnosis of NMIBC (70 AS events) prospectively recruited since 2008. The mean
patient age was 69.8 years. The median follow-up was 53 months. The median time
patients remained under AS was 12.5 months. There was disease progression in 28
patients (51%). No patient progressed to muscle-invasive disease. In all, 15
patients (27.3%) had an increase in the number and/or size of the tumour, nine
(16.4%) had haematuria, and four (7.3%) had a positive cytology. Only five (9%)
patients in the whole series progressed to a high-grade tumour (Grade 3) or
presented with associated CIS. The overall adherence to the follow-up schedule
was 95%. CONCLUSION: Our data show that an AS protocol for NMIBC could be a
reasonable option in a select group of patients with small, recurrent cancers.
PMID- 27207388
TI - Going mainstream: How is the body axis of plants first initiated in the embryo?
AB - Vascular plants have an open body plan and continuously generate new axes of
growth, such as shoot or root branches. Apical-to-basal transport of the hormone
auxin is a hallmark of every axis, and the resulting pattern of auxin
distribution affects plant development across scales, from overall architecture
to cellular differentiation. How the first axis is initiated in the early embryo
is a long-standing question. While our knowledge is still sparse, some of the key
players of axialization have emerged, and recent work points to specific models
for connecting cellular polarity to the asymmetric division of the zygote and
domain specific gene expression to the organization of basipetal auxin flux.
PMID- 27207389
TI - The SWI/SNF chromatin remodeling complex exerts both negative and positive
control over LET-23/EGFR-dependent vulval induction in Caenorhabditis elegans.
AB - Signaling by the epidermal growth factor receptor (EGFR) generates diverse
developmental patterns. This requires precise control over the location and
intensity of signaling. Elucidation of these regulatory mechanisms is important
for understanding development and disease pathogenesis. In Caenorhabditis
elegans, LIN-3/EGF induces vulval formation in the mid-body, which requires LET
23/EGFR activation only in P6.p, the vulval progenitor nearest the LIN-3 source.
To identify mechanisms regulating this signaling pattern, we screened for
mutations that cooperate with a let-23 gain-of-function allele to cause ectopic
vulval induction. Here, we describe a dominant gain-of-function mutation in swsn
4, a component of SWI/SNF chromatin remodeling complexes. Loss-of-function
mutations in multiple SWI/SNF components reveal that weak reduction in SWI/SNF
activity causes ectopic vulval induction, while stronger reduction prevents
adoption of vulval fates, a phenomenon also observed with increasing loss of LET
23 activity. High levels of LET-23 expression in P6.p are thought to locally
sequester LIN-3, thereby preventing ectopic vulval induction, with slight
reductions in its expression interfering with LIN-3 sequestration, but not vulval
fate signaling. We find that SWI/SNF positively regulates LET-23 expression in
P6.p descendants, providing an explanation for the similarities between let-23
and SWI/SNF mutant phenotypes. However, SWI/SNF regulation of LET-23 expression
is cell-specific, with SWI/SNF repressing its expression in the ALA neuron. The
swsn-4 gain-of-function mutation affects the PTH domain, and provides the first
evidence that its auto-inhibitory function in yeast Sth1p is conserved in
metazoan chromatin remodelers. Finally, our work supports broad use of SWI/SNF in
regulating EGFR signaling during development, and suggests that dominant SWI/SNF
mutations in certain human congenital anomaly syndromes may be gain-of-functions.
PMID- 27207390
TI - Sequential Bilateral Bronchoscopic Lung Volume Reduction With One-Way Valves for
Heterogeneous Emphysema.
AB - BACKGROUND: Clinical benefits of bronchoscopic lung volume reduction with one-way
endobronchial valves have been reported for heterogeneous emphysema after
unilateral treatment. We assessed the potential role of contralateral treatment
to prolong the benefits obtained with the first procedure. METHODS: This was a
retrospective multicenter study including consecutive patients with heterogeneous
emphysema undergoing bronchoscopic valves deployment during the last 4 years.
Patients were split into two groups depending on the procedure (unilateral versus
bilateral). The intergroup differences were evaluated to assess the viability,
effectiveness, and safety of the bilateral procedure. RESULTS: Forty-nine
patients were enrolled. Of these, 14 (28%) had a sequential bilateral procedure
mainly due to loss of the clinical benefits obtained with the first treatment. A
significant improvement of forced expiratory volume in 1 second (p < 0.05),
forced vital capacity (p < 0.05), residual volume (p < 0.05), 6-minute walking
test (p < 0.05), and St. George respiratory questionnaire (p < 0.02) was achieved
after the second procedure. These results were maintained during follow-up. There
was no significant difference regarding the changes of forced expiratory volume
in 1 second (p = 0.4), forced vital capacity (p = 0.08), residual volume (p =
0.9), 6-minute walking test (p = 0.3), and St. George respiratory questionnaire
(p = 0.1) between the bilateral and unilateral groups. CONCLUSIONS: A sequential
bilateral approach seems to be a valid strategy to improve respiratory function
in patients with bilateral heterogeneous emphysema who have lost the benefits
obtained with the first procedure.
PMID- 27207391
TI - New Technique for Surgical Epicardial Implantation of a Cardioverter
Defibrillator in Children and Adults With Congenital Heart Disease.
AB - BACKGROUND: We assessed a surgical technique for implanting a cardioverter
defibrillator. The indications for cardioverter-defibrillator implantation in
pediatric patients and adults with congenital heart disease are relatively
specific and require multidisciplinary discussion regarding implantation
modalities. We coupled the positioning of two coils sutured to the pericardium
with an implantable cardioverter-defibrillator device inserted within a
supradiaphragmatic pocket in a population of children and adults with congenital
heart disease. METHODS: Thirteen consecutive patients, either children or adults
with congenital heart disease, underwent the implantation of a single-chamber
implantable cardioverter-defibrillator in our center. All patients were
systematically followed at 3, 6, and 12 months after implantation. RESULTS:
Patients were mainly male (n = 9, 69%), and mean age was 21 +/- 12 years. Median
follow-up was 13 months. All patients underwent surgery without acute
complication. One patient needed a second surgery because of defibrillation coils
fracture. Neither infectious complication nor inappropriate shock was noted.
There were two appropriate shocks in 1 patient. CONCLUSIONS: This new technique
for surgical epicardial implantation of a cardioverter-defibrillator in children
and adults with congenital heart disease is safe and feasible. These results
should be confirmed by prospective studies with long-term follow-up.
PMID- 27207392
TI - Current Outcomes of Surgical Management of Aortopulmonary Window and Associated
Cardiac Lesions.
AB - BACKGROUND: Aortopulmonary window (APW) is a rare congenital defect that is often
associated with other cardiac lesions. We analyzed our operative strategy to
determine whether this had any relationship with outcomes. METHODS: Early and
late outcomes of 40 children who underwent APW repair at our institution during a
20-year period (1994 to 2013) were analyzed. RESULTS: Median age at time of the
operation was 22 days (interquartile range, 9 to 63 days), and median weight was
3.2 kg (interquartile range, 2.5 to 3.8 kg). Eleven patients (28%) were born
prematurely at or before 36 weeks' gestation, and 10 (25%) had
genetic/extracardiac malformations. Mean APW size was 0.84 +/- 0.28 cm, and by
the Mori classification was type I in 17 patients (43%), type II in 18 (45%), and
type III in 5 (13%). Twenty-five patients (63%) had simple APW, with no
associated cardiac lesions other than atrial septal defect or patent ductus
arteriosus, whereas 15 (38%) had complex APW with one or more associated lesions,
including interrupted aortic arch (n = 6), ventricular septal defect (n = 6), or
other (n = 5). There were no hospital or late deaths. Four patients required
cardiac reoperations, 3 of whom had interrupted aortic arch. The 10-year freedom
from cardiac reoperation was 100% for simple APW vs 73% for complex APW (p =
0.008), with 75% of reoperations related to aortic obstruction. Age, weight,
prematurity, extracardiac anomalies, APW size and type, and APW repair technique
were not associated with reoperation risk. CONCLUSIONS: Current outcomes of early
repair of APW are excellent, including infants with complex associated cardiac
lesions. Compared with historic results, contemporary outcomes are favorable,
supporting early and complete repair of APW and associated lesions. Cardiac
reoperation can be required in complex APW, mainly with concomitant arch repair,
and is usually related to aortic obstruction.
PMID- 27207393
TI - Neoaortic Valve Regurgitation After Arterial Switch: Ten Years Outcomes From A
Single Center.
AB - BACKGROUND: Report results of neoaortic regurgitation (NAR) after arterial switch
for patients with d-transposition of the great arteries (d-TGA) and corrected
transposition of the great arteries. METHODS: From 2003 to 2013, 583 patients who
underwent arterial switch operation for d-TGA and 31 patients who underwent
double switch (DS) for congenitally corrected transposition of the great arteries
(cc-TGA) were included in this retrospective study. Since 2011, concomitant
neoaortic sinotubular junction reconstruction was performed if aorta and
pulmonary artery discrepancy was present in patients with d-TGA. RESULTS: The
long-term survival rate was 92.5% (544/583) in patients with d-TGA and 74.2%
(23/31) in patients with cc-TGA. More NAR developed in patients with cc-TGA than
with d-TGA. Moreover, significant NAR (7.1% [38/539] versus 26.1% [(6/23], p =
0.010) and the aortic valve replacement (0.6% [3/539] versus 8.7% [2/23], p =
0.003) were less in the d-TGA group. Previous pulmonary artery banding and aortic
and pulmonary artery diameter discrepancy were identified as risk factors for
significant NAR in patients with d-TGA. However, no specific risk factors were
identified in patients with cc-TGA. With the application of neoaortic sinotubular
junction reconstruction, no significant NAR was recorded in patients with aortic
and pulmonary artery discrepancy. CONCLUSIONS: After an arterial switch
operation, we report a favorable incidence of NAR and rare neoaortic valve
replacement. Significant NAR was associated with aorta-pulmonary discrepancy and
previous pulmonary artery banding. Patients with cc-TGA may require close
monitoring. Patients with aortic and pulmonary artery diameter discrepancy may
benefit from sinotubular junction reconstruction.
PMID- 27207394
TI - Infective Endocarditis With Paravalvular Extension: 35-Year Experience.
AB - BACKGROUND: We investigated our surgical strategy and clinical results in
patients from active infective endocarditis (AIE) complicated by paravalvular
involvement to determine the risk factors of early and late death and
reoperation. METHODS: From October 1979 to December 2014, 955 patients underwent
operations for AIE; among them 207 had AIE with paravalvular extension. The
patients were a mean age of 59.9 +/- 15.4 years, and 162 (78%) were male. Of
these patients, 137 (66%) had isolated aortic valve endocarditis, and 138 (67%)
had native valve endocarditis. Follow-up was 99% complete. RESULTS: The operative
mortality of the cohort was 16% (n = 34). Abnormal communication, mechanical
valve implantation, and renal failure were independent predictors of 30-day
death. Survival at 1, 5, 10, and 15 years was 90.3% +/- 2.3%, 62.4% +/- 3.7%,
49.3% +/- 4.1%, and 37.9% +/- 4.4%, respectively. Streptococcus endocarditis (all
species), complex annular repair, and preoperative heart failure were independent
predictors of long-term death. A reoperation was required in 29 patients (14%).
Streptococcus pneumoniae endocarditis was the only independent predictor of early
reoperation (within 30 days after the operation or during the same
hospitalization). Freedom from reoperation at 1, 5, 10, and 15 years was 91.9% +/
2.2%, 89.6% +/- 2.6%, 89.6% +/- 2.6%, and 87.0% +/- 3.5%, respectively.
Independent predictors of late reoperation were urgent/emergency operation,
prosthetic valve endocarditis, and complex annular repair. CONCLUSIONS: AIE
complicated by paravalvular involvement remains a surgical challenge. Valve
replacement (particularly using bioprosthesis) associated with ad hoc
reconstruction seems to be a reliable option and showed very encouraging results
in this context.
PMID- 27207395
TI - Superolateral dislocation of an intact mandibular condyle into the temporal
fossa: case report and literature review.
AB - Temporomandibular joint dislocation refers to the dislodgement of mandibular
condyle from the glenoid fossa. Anterior and anteromedial dislocations of the
mandibular condyle are frequently reported in the literature, but superolateral
dislocation is a rare presentation. This report outlines a case of superolateral
dislocation of an intact mandibular condyle that occurred in conjunction with an
ipsilateral mandibular parasymphysis fracture. A review of the clinical features
of superolateral dislocation of the mandibular condyle and the possible
techniques of its reduction ranging from the most conservative means to extensive
surgical interventions is presented.
PMID- 27207396
TI - The Potential (F)utility of a Passive Organ Donor Registration Opportunity: A
Conceptual Replication.
AB - CONTEXT: Approximately 22 people die each day in the United States as a result of
the shortage of transplantable organs. This is particularly problematic among
Spanish-dominant Hispanics. Increasing the number of registered organ donors can
reduce this deficit. OBJECTIVE: The goal of the current set of studies was to
conceptually replicate a prior study indicating the lack of utility of a lone,
immediate and complete registration opportunity (ICRO). DESIGN AND SETTING: The
study, a quasi-experimental design involving a total of 4 waves of data
collection, was conducted in 2 different Mexican consulates in the United States.
Guided by the IIFF Model (ie, an ICRO, information, focused engagement, and
favorable activation), each wave compared a lone ICRO to a condition that
likewise included an ICRO but also included the 3 additional intervention
components recommended by the model (ie, information, focused engagement, and
favorable activation). PARTICIPANTS: Visitors to the Mexican consulates in
Tucson, Arizona, and Albuquerque, New Mexico, constituted the participant pool.
MAIN OUTCOME MEASURE: New organ donor registrations represented the dependent
variable. RESULTS: When all 4 components of the IIFF Model were present,
approximately 4 registrations per day were recorded; the lone ICRO resulted in
approximately 1 registration every 15 days. CONCLUSION: An ICRO, without the
other components of the IIFF Model, is of minimal use in regard to garnering
organ donor registrations. Future studies should use the IIFF Model to consider
how the utility of ICROs can be maximized.
PMID- 27207397
TI - Recurrent Psoriasis After Introduction of Belatacept in 2 Kidney Transplant
Recipients.
AB - Organ transplant recipients may have skin diseases as a result of
immunosuppression, but psoriasis is reported infrequently. This skin condition
may be induced by immunosuppression imbalance. We present 2 cases of recurrent
psoriasis in 2 kidney transplant patients with belatacept-based immunosuppressive
regimens. Two years after transplant, upon suspicion of calcineurin inhibitor
neurotoxicity in the first patient, tacrolimus was replaced with belatacept. The
patient's neurological signs resolved but the patient presented with skin lesions
compatible with psoriatic plaques, successfully treated with betamethasone
dipropionate and hydrocortisone. The second patient had a history of obesity and
dyslipidemia, left foot amputation, and psoriasis. He received a kidney
transplant, and maintenance immunosuppression included prednisone, mycophenolate
mofetil, and belatacept. At posttransplant month 15, the patient presented with
cutaneous erythematosus, maculopapular, and desquamative lesions compatible with
psoriasis, treated with betamethasone dipropionate. The belatacept-based
immunosuppressive regimens were maintained and psoriasis resolved. Psoriasis is a
potential complication in kidney recipients that may recur when belatacept is
used and/or tacrolimus is withdrawn as it could have happened in the first
patient. The characteristics of the second case may suggest that belatacept might
not have been the inciting agent. Good results were obtained with topical
treatment.
PMID- 27207399
TI - Smoking Status at Time of Listing for a Heart Transplant Predicts Mortality on
the Waiting List: A Multicenter Prospective Observational Study.
AB - OBJECTIVE: We examined the association of smoking status at time of listing with
waitlist mortality among heart transplant (HTx) candidates. PARTICIPANTS AND
DESIGN: Data were analyzed from 316 participants (aged 53 +/- 11; 18% female) of
the Waiting for a New Heart Study, a prospective observational study of patients
newly listed for HTx at 17 hospitals. RESULTS: During the study period (April
2005 to March 2010), 14% of those who never smoked died, 18% among former smokers
died, and almost half (42%) died among those who reported smoking at time of wait
listing. Multivariate Cox regression models controlling for age, sex, and disease
severity revealed smoking at time of listing was associated with significantly
higher risk of mortality compared to never smoking (hazard ratio [HR] = 3.43; P =
.03). The relationship between smoking and mortality risk appeared to follow a
dose-dependent pattern: adjusted HRs were 1.80 for those who quit <=1 year ago,
1.25 for those who quit >1 to 10 years ago, and 0.90 for those quit >10 years
ago, compared to never smokers. Smoking at time of listing may increase risk of
mortality during the waiting period, indicating the need for improved strategies
to achieve smoking cessation as early as possible in the course of HTx.
PMID- 27207398
TI - Safety of Nurse-Led Ambulation for Patients on Venovenous Extracorporeal Membrane
Oxygenation.
AB - PURPOSE: Venovenous extracorporeal membrane oxygenation (VV ECMO) is an effective
therapy in patients with acute lung injury and end-stage lung disease. Although
immobility increases the risk of complications, ambulation of patients on VV ECMO
is not the standard of care in many institutions. Staff concerns for patient
safety remain a barrier to ambulation. In this case series, we present our
experience utilizing a nurse-driven ambulatory VV ECMO process to safely
rehabilitate patients. METHODS: We retrospectively reviewed all VV ECMO cases at
our institution between January 1, 2011, and November 1, 2013. Inclusion criteria
for this study required patients to be cannulated in the right internal jugular
vein and ambulated while on VV ECMO. RESULTS: During the period from January 1,
2011, to November 1, 2013, 18 patients (mean age 49 +/- 15 years, 12 male) were
ambulated while on ECMO. Eight received a transplant and survived to discharge.
Of the remaining patients, 4 were successfully weaned from VV ECMO and 6 died
following decisions by the family to withdraw care. The mean duration of VV ECMO
support was 18 +/- 16 days with the maximum duration being 61 days. All patients
received physical therapy, range of motion at the bedside, and ambulated in the
hospital. There were no patient falls, decannulations, or any other complications
related to ambulation. CONCLUSION: The adoption of a nurse-driven program to
ambulate patients on VV ECMO is safe and may reduce other complications
associated with immobility.
PMID- 27207400
TI - Utility of the Surgical Apgar Score in Kidney Transplantation: Is it Feasible to
Predict ICU Admission, Hospital Readmission, Length of Stay, and Cost in This
Patient Population?
AB - BACKGROUND: This study analyzed the utility of the Surgical Apgar Scoring (SAS)
system in predicting morbidity in kidney transplantation. Recipient comorbidities
were evaluated for any effect on the SAS and then globally assessed for any
relationship with intensive care unit (ICU) admission, need for dialysis,
creatinine at discharge, length of stay, incremental, and total cost of
transplantation. The hypothesis for this study is that a low SAS will be a
statistically significant predictor of postoperative morbidity and associated
costs. METHODS: This was an institutional review board (IRB)-approved
retrospective longitudinal cohort study on 204 solitary kidney transplant
recipients (2009-2011). Patients were divided into 2 groups: low to moderate =
SAS <= 7 and high = SAS >= 8. These groups were then analyzed against a host of
variables. RESULTS: Sixty-five percent of patients had an SAS of 7 or lower,
while 35% had an SAS of 8 and higher. Recipients with a history of stroke were
88% more likely to be in the low-moderate SAS group (P = .017). Patients with
lower SASs trended toward having less extended criteria donors (0.097) but were
more likely to be admitted to the ICU (P = .043), leading to significantly higher
transplant event hospitalization costs. Higher SASs were more likely to be
readmitted to the hospital within 30 days of discharge (P = .027), leading to
higher 30-day postdischarge costs (P = .014). Readmission rates, however, and 30
day follow-up costs were similar between SAS groups after controlling for donor
characteristics, specifically donor marginality and recipient estimated
glomerular filtration rate (eGFR). CONCLUSION: The findings of this study suggest
that a history of stroke in the recipient may lend to a lower SAS and that a low
SAS is associated with ICU admission following transplant, leading to higher
hospital costs.
PMID- 27207401
TI - Identifying Potential Ventilator Auto-Triggering Among Organ Procurement
Organization Referrals.
AB - CONTEXT: Ventilator auto-trigger is the delivery of an assisted mechanical
ventilated breath over the set ventilator frequency in the absence of a
spontaneous inspiratory effort and can be caused by inappropriate ventilator
trigger sensitivity. Ventilator auto-trigger can be misinterpreted as a
spontaneous breath and has the potential to delay or prevent brain death testing
and confuse health-care professionals and/or patient families. OBJECTIVE: To
determine the frequency of organ donor referrals from 1 Organ Procurement
Organization (OPO) that could benefit from an algorithm designed to assist organ
recovery coordinators to identify and correct ventilator auto-triggering. DESIGN:
This retrospective analysis evaluated documentation of organ donor referrals from
1 OPO in central Texas during the 2013 calendar year that resulted in the
withdrawal of care by the patient's family and the recovery of organs. MAIN
OUTCOME MEASURES: The frequency of referrals that presented with absent brain
stem reflexes except for additional respirations over the set ventilator rate was
determined to assess for the need of the proposed algorithm. RESULTS:
Documentation of 672 organ procurement organization referrals was evaluated.
Documentation from 42 referrals that resulted in the withdrawal of care and 21
referrals that resulted in the recovery of organs were identified with absent
brain stem reflexes except for spontaneous respirations on the mechanical
ventilator. As a result, an algorithm designed to identify and correct ventilator
auto-trigger could have been used 63 times during the 2013 calendar year.
PMID- 27207402
TI - The Experience of Family Caregivers of Patients With a Left Ventricular Assist
Device: An Integrative Review.
AB - OBJECTIVE: The purpose of this review is to understand the experience of
caregivers of patients with left ventricular assist device (LVAD) and to evaluate
how health professionals can support them properly. BACKGROUND: Left ventricular
assist device can improve quality of life, enhance functional status, and prolong
survival in patients with advanced heart failure. Nonetheless, LVAD can adversely
influence quality of life for their family caregivers. METHODS: An integrative
literature review was conducted using scientific databases between January to
March 2015. RESULTS: A total of 15 studies are included in the final review.
Three major themes emerged "emotional distress," "responsibility," and "coping
strategies" that characterize family caregivers' experiences with care of
patients with LVAD. CONCLUSION: Health care providers should understand the
pivotal role of caregivers in promoting and maintaining patients' well-being and
be able to help the caregiver to moderate the impact being overloaded. Research
should be addressed to create interventions that motivate the caregivers to
engage in activities that promote their health.
PMID- 27207403
TI - Restless Legs Syndrome Following Lung Transplantation: Prevalence and
Relationship With Tacrolimus Exposure.
AB - CONTEXT: Complications following lung transplantation are common and
significantly reduce quality of life, and increase morbidity and mortality.
Increasing evidence suggests sleep disorders are prevalent following lung
transplantation, but factors associated with their development are not known.
OBJECTIVES: We sought to evaluate the prevalence of restless legs syndrome (RLS)
in a lung transplant population and determine if a relationship exists between
RLS and exposure to immunosuppressant medications. DESIGN, SETTING, AND
PARTICIPANTS: Subjects were recruited through the University of Wisconsin
Hospital and Clinics Lung Transplant Clinic (N = 125). Participants (N = 81)
completed sleep questionnaires, including the four RLS diagnostic criteria,
insomnia severity index, and Sheehan disability scale. Cumulative tacrolimus
exposure was determined in 62 subjects by calculating an area under the curve
(AUC) to assess for a relationship with restless legs syndrome. RESULTS:
Prevalence of RLS was 35 percent. Cumulative mean +/- SEM tacrolimus exposure was
similar in patients with RLS versus those without RLS (17446 +/- 1855 ng days/mL
vs. 15303 +/- 1643 ng days/mL, respectively; p = 0.42). Insomnia severity index
scores (12.5 +/- 1.0 vs 6.8 +/- 0.7, p < 0.0001) and Sheehan disability scores
(7.8 +/- 1.3 vs 3.6 +/- 0.6, p = 0.003) were significantly higher in those with
vs those without RLS symptoms, respectively. CONCLUSIONS: Our data confirms
increased prevalence of RLS following lung transplantation reported by previous
studies. RLS symptoms were not related to estimated tacrolimus exposure.
Predictors of RLS following lung transplantation need to be further investigated
to better identify and control RLS symptoms and reduce associated insomnia and
disability.
PMID- 27207404
TI - Perioperative Desensitization Improves Outcomes Among Crossmatch Positive
Recipients of Deceased Donor Renal Transplants.
AB - CONTEXT: Graft failure due to chronic rejection is greater among renal transplant
patients with donor-specific antibody (DSA) than among DSA-free patients. For
patients dependent on deceased donor transplantation, preoperative
desensitization to eliminate DSAs may be impractical. We speculated that
perioperative desensitization might eliminate preexisting DSAs and prevent de
novo DSAs and improve graft outcomes. We report that brief perioperative
desensitization using either intravenous immunoglobulin (IVIG) or
plasmapheresis/IVIG (PP/IVIG) treatment improves clinical outcomes among patients
with positive crossmatches. DESIGN: Immediately following deceased donor
transplantation, 235 renal recipients were assigned points for PRA and flow
crossmatches (FCXM): delayed graft function (DGF) <= 1 point received standard
therapy; 2 points received high-dose IVIG; and >=3 points received PP/IVIG. The
DSAs were serially monitored by single antigen bead luminex for 1 year. Five-year
clinical outcomes were determined from the chart review. RESULTS: All
desensitized patients had preoperatively positive FCXM with DSA. Rejection was
more common (P < .05) among desensitized than nonsensitized groups. However,
overall graft survivals were similar between the groups (P = not significant) and
superior to historic untreated patients (P < .05). Treatment with PP/IVIG more
effectively eliminated preexisting DSAs (67% vs 33%, P < 0.05) than IVIG, but
neither regimen prevented de novo formation of DSA (20%, P = not significant).
Graft survival was >90% in all desensitizated patients with DSA elimination as
well as PP/IVIG patients with residual DSA. In contrast, IVIG patients with
persistent DSA had poorer graft survival (45%, P < .05). CONCLUSION: Preemptive
perioperative desensitization improved overall graft survival of sensitized
patients compared to historic untreated patients. Plasmapheresis/IVIG had greater
impact on DSA eradication and graft survival than IVIG alone.
PMID- 27207405
TI - Attainment of the Elusive: Attributions for Long-term Success in Kidney
Transplantation.
AB - Survival of a kidney transplant recipient beyond 2 decades is a relatively rare
event. No studies have been conducted to describe individuals' longevity
attributions, who have had their kidney transplant for many years. The purpose of
this qualitative analysis was to examine longevity attributions of kidney
transplant recipients who have had a kidney transplant for 25 years or longer.
The initial sample was obtained from an informal support group that includes only
those who have had their kidney transplant >25 years. A semistructured 1-hour
interview was conducted over the phone, audio-taped, and transcribed. Data were
examined using thematic content analyses. The sample consisted of 19 participants
(7 males and 12 females) ranging in age from 43 to 67 years, with a mean age of
52.8 years (standard deviation [SD] = 6.82). Transplants were performed between
26 and 36 years prior to the interviews, with a mean of 30.7 years (SD = 3.2).
Emerging attributions included maintaining a healthy lifestyle, social support,
positive attitude, faith, normalcy, participation in decision making, and luck.
Prior to transplantation, patients were engaging in self-management behaviors,
which many attributed to their success posttransplant. The findings of this study
may provide insight and understanding for health-care providers and other
transplant recipients regarding longevity attributions of those who have had
their kidney transplants for over a quarter century. Future research should
explore the impact of supporting kidney transplant recipients in self-management
prior to and after transplantation.
PMID- 27207406
TI - Delayed Graft Function in Kidney Transplantation: Risk Factors and Impact on
Early Graft Function.
AB - CONTEXT: Although kidney transplantations are routinely performed at many centers
in Turkey, the incidence and risk factors associated with delayed graft function
(DGF) here have not yet been well defined. OBJECTIVE: The aim of this study is to
evaluate the incidence and risk factors of DGF and its impact on early graft
function. DESIGN: The medical charts of 154 adult patients who underwent deceased
donor kidney transplantation between 2000 and 2014 in a single center were
reviewed retrospectively. SETTING: Delayed graft function-related risk factors
for donors, recipients, and the transplant surgery itself were analyzed, and
their relation with graft function was evaluated. MAIN OUTCOMES MEASURES: The
median recipient age was 39 years. The median cold ischemia time (CIT) was 840
minutes (14 hours). The incidence of DGF and acute rejection were 57.8% and 8.4%,
respectively. Higher serum creatinine levels at 3, 6, and 12 months were observed
in patients with DGF compared to other patients without DGF (P < .05). Patients
with DGF had poor graft function (glomerular filtration rate <= 50) at 3 and 6
months (P < .05), but these correlations were not seen at 12 months (P = not
significant). RESULTS: This study showed that DGF was a common and serious
problem associated with poor graft functions at 3, 6, and 12 months after
transplantation. Extra effort to shorten CIT as an independent risk factor for
DGF could have protective effect on graft functions.
PMID- 27207407
TI - Childhood Abuse Is Associated With Worse Survival Following Lung Transplantation.
AB - CONTEXT: Psychosocial factors can impact lung transplant outcomes. However, it is
currently unknown whether abuse survivorship influences lung transplant survival.
OBJECTIVE: To characterize the abuse history of adult lung transplant patients
and determine whether such history is associated with mortality. PATIENTS AND
OTHER PARTICIPANTS: Adult lung transplant recipients evaluated from 2000 to 2004.
MAIN OUTCOME MEASURES: The main outcome was post-lung transplantation survival.
The secondary outcomes included demographic, transplantation, or psychological
assessment differences between those with a history of abuse survivorship and
those without. RESULTS: Thirty-three lung transplant recipients (35.5% male,
median age: 55 years) were included. A history of abuse survivorship was common
(24.2%) and was associated with decreased survival following lung transplantation
(P = .003). There was no difference in sex, marital status, or smoking history
between abuse survivors and those who denied being the victim of abuse. Abuse
survivors had a higher Personality Assessment Screener total score, a measure of
maladaptive personality traits (P = .02). CONCLUSION: Abuse survivorship is
common in lung transplant patients and associated with increased posttransplant
mortality and increased maladaptive personality traits. This preliminary evidence
suggests that lung transplant patients should be screened for abuse history and
provided with appropriate treatment of survivorship issues to potentially improve
their health outcomes from transplantation.
PMID- 27207408
TI - Absence of the Effect of Pretransplant Body Mass Index on Post Kidney Transplant
Outcomes.
AB - CONTEXT: Obesity has been reported as risk factor for reduced posttransplant
graft and patient survival and increased delayed graft function (DGF). OBJECTIVE:
The purpose of this work is to analyze the effect of body mass index (BMI) on
defined transplant outcomes in patients transplanted under defined guidelines in
a kidney transplant program. DESIGN: Review of a prospectively collected database
in renal transplant recipients receiving rabbit antithymocyte globulin induction,
mycophenolate mofetil, tacrolimus, and early corticosteroid withdrawal between
2001 and 2011. SETTING: This review was conducted in a single abdominal
transplant program in the United States. MAIN OUTCOME MEASURES: Primary outcome
was death-censored graft survival categorized by posttransplant body mass groups.
Secondary outcomes included DGF as well as patient survival. RESULTS: Four
hundred sixty seven patients were identified. No difference was observed in graft
survival or DGF between BMI groups. One-year, death-censored graft survival and
patient survival rates ranged from 97.5% to 100% and 96.6% to 100%, respectively.
Delayed graft function was uncommon across all BMI groups, ranging from 5.3% to
9.1%, with the lowest incidence in patients with a BMI >= 35 kg/m(2). Biopsy
proven acute rejection rates at 1 year were similar across all groups (10.1%-14%)
as were estimated glomerular filtration rates were at 1, 3, and 5 years.
CONCLUSION: Our results do not show an effect of BMI on posttransplant outcomes,
suggesting that relaxation of BMI criteria may be warranted for recipient
selection.
PMID- 27207409
TI - Deep Demographics: Understanding Local Variation in Donor Registration.
AB - This is the first published study to examine in detail the demographic factors
associated with willingness to register as an organ donor at a state motor
vehicles bureau. It uses registration counts controlling for the size of the
general population as the measure of willingness. Local variation in registration
levels is modeled as a function of a set of local socioeconomic, racial/ethnic,
and immigration characteristics; cluster analysis of social areas is used to
probe the effects of nonlinear combinations of local social environment factors.
Results show that residence in high minority areas, lower-income areas, and
immigrant-heavy areas depresses registration levels but also that significant
nonlinear combinations of factors are at work. Specifically, minority/immigrant
areas tend strongly to have lower registration counts controlling for population
regardless of socioeconomic status (SES), whereas registration in less prosperous
areas depends largely on the racial, ethnic, and immigrant proportions in those
areas. Moreover, the very highest SES neighborhoods in the study area (northern
California minus greater Sacramento) have very high levels of donor registration
despite high racial, ethnic, and national origin diversity.
PMID- 27207410
TI - Is it "Dietician" or "Dietitian"? How You Spell it May Mean More Than You Think!
PMID- 27207412
TI - Association of itraconazole and potassium iodide in the treatment of feline
sporotrichosis: a prospective study.
AB - Feline sporotrichosis is an endemic disease in Rio de Janeiro, Brazil, where
zoonotic transmission of Sporothrix spp. has been reported since 1998.
Itraconazole (ITZ) remains the first choice for treating this disease in cats.
However, there have been reports of therapeutic failure and a long-term endeavor.
Potassium iodide (KI), considered in the past as a drug with variable
effectiveness in cats with sporotrichosis, arises as an important option in the
treatment of cats from the endemic area of Rio de Janeiro. In order to evaluate
the effectiveness of the association of ITZ and KI in naive cats with
sporotrichosis, a prospective cohort study was conducted on 30 cats receiving ITZ
100 mg/day and KI 2.5 mg-20 mg/kg/day. Clinical and laboratory adverse effects
were assessed once a month according to the standard care protocol. The cure rate
was 96.15% within a median of 14 weeks of treatment. Adverse effects were
observed in 50% of cats and were managed with a temporary drug suspension and/or
a hepatoprotective therapy. The association of ITZ and KI emerges as an effective
option for the treatment of feline sporotrichosis.
PMID- 27207411
TI - The effects of the DDS-1 strain of lactobacillus on symptomatic relief for
lactose intolerance - a randomized, double-blind, placebo-controlled, crossover
clinical trial.
AB - BACKGROUND: Lactose intolerance is a form of lactose maldigestion where
individuals experience symptoms such as diarrhea, abdominal cramping, flatulence,
vomiting and bowel sounds following lactose consumption. Lactobacillus
acidophilus is a species of bacteria known for its sugar fermenting properties.
Preclinical studies have found that Lactobacillus acidophilus supplementation may
assist in breaking down lactose; however, no human clinical trials exist
evaluating its efficacy in alleviating symptoms related to lactose intolerance.
OBJECTIVE: The aim of this randomized, double-blind, placebo-controlled,
crossover study was to evaluate the effect of a proprietary strain of
Lactobacillus acidophilus on relieving discomfort related to lactose intolerance.
METHODS: The study enrolled healthy volunteers between 18 and 75 years of age who
complained of lactose intolerance. Screening visits included a lactose challenge
visit to confirm eligibility based on a score of 10 or higher on subjective
assessment of the following symptoms after lactose challenge: diarrhea, abdominal
cramping, vomiting, audible bowel sounds, flatulence, and overall symptoms.
Qualified subjects participated in a 2-arm crossover design, with each arm
consisting of 4 weeks of intervention of either active or placebo product, with a
2-week washout period during crossover. The study product consisted of the DDS-1
strain of Lactobacillus acidophilus (Nebraska Cultures, Walnut Creek,
California). The placebo was formulated from maltodextrin. Study participants
were instructed to take the product once daily for 4 weeks. Data collected
included subjective symptom scores related to lactose intolerance. RESULTS:
Longitudinal comparison between the DDS-1 group and placebo group demonstrated
statistically significant reductions in abdominal symptom scores during the 6-h
Lactose Challenge at week 4 for diarrhea (p = 0.033), abdominal cramping (p =
0.012), vomiting (p = 0.0002), and overall symptom score (p = 0.037). No adverse
events were reported. CONCLUSIONS: The present study has found that this unique
DDS-1 strain of Lactobacillus acidophilus, manufactured by Nebraska Cultures, is
safe to consume and improves abdominal symptom scores compared to placebo with
respect to diarrhea, cramping, and vomiting during an acute lactose challenge.
PMID- 27207413
TI - 8(th) Symposium on Hemostasis: Translational and Basic Science Discoveries.
AB - It has been 14 years since the first symposium on hemostasis at UNC Chapel Hill
that focused primarily on the tissue factor (TF) and Factor VIIa (FVIIa) biology,
biochemistry and translational work for the treatment of bleeding. Concepts,
mechanistic data and therapeutic agents have since emerged that permeate not only
aspects of the TF and FVIIa functions, but also broader processes in hemostasis
and thrombosis. These processes involve circulating proteins, receptors, cells
and cellular components that interact within the coagulation system as well as
with additional systems that are dysregulated in disorders seemingly unrelated to
bleeding/thrombosis. The reviews in this symposium provide the research
background to understand such interactions and integrations.
PMID- 27207414
TI - Platelets and coagulation in thrombus formation: aberrations in the Scott
syndrome.
AB - Platelets play key roles in thrombosis and hemostasis by forming aggregates and
providing a procoagulant surface, at which thrombin is generated and fibrin
fibers are formed. Here we present an overview of the different mechanisms how
platelets orchestrate coagulation processes in thrombus formation in thrombosis
and hemostasis. Parts of these are via Ca(2+)-dependent activation responses,
leading to phosphatidylserine exposure; swelling to form balloons with increased
binding of coagulation factors; and calpain-mediated integrin alphaIIbbeta3
cleavage and inactivation. Other mechanisms are secretion of (anti) coagulation
factors, and alphaIIbbeta3-mediated thrombus retraction, and clot retraction. In
a thrombus, coagulation factors are found at both platelets and fibrin fibers.
Many of the procoagulant platelet activities are altered in the Scott syndrome.
PMID- 27207415
TI - Novel aspects of platelet factor XIII function.
AB - Pools of factor XIII (FXIII) exist in the plasma and within the cytoplasm of
hematopoietic cells, including platelets. The functions of the cellular form,
FXIII-A, have been assumed to be intracellular in nature, as the protein lacks a
signal sequence for its release. Mounting evidence now suggests that platelet
FXIII-A modulates hemostasis by several different mechanisms. In this condensed
review we discuss recent advances in our understanding of the novel intracellular
and extracellular functions of platelet FXIII-A.
PMID- 27207416
TI - Flow and delta-P dictate where thrombin, fibrin, and von Willebrand Factor will
be found.
AB - Hemostasis occurs in two different topological scenarios: complete severing of a
vessel or disruption of the vessel wall. Either to meet the daily rigors of
active life or during an acute trauma, hemostasis involves the regulated and self
limiting production of thrombin to stop bleeding. In contrast, arterial and
venous thrombosis typically involves the unregulated, intraluminal growth of a
clot, in the absence of bleeding. For either hemostasis or thrombosis, the
presence of flow and pressure gradients (delta-P, DeltaP) dictates when and where
thrombin and fibrin are located and in what quantity. For hemostatic clots,
fibrin formation helped limit clot growth. We found that gamma'-fibrinogen had a
role in limiting clot growth via anti-thrombin activity at venous, but not
arterial conditions. For hemophilic blood, severe factor deficiency (<1% healthy)
led to a defect in both platelet and fibrin deposition under flow. However,
moderate deficiency, which is associated with a less severe bleeding phenotype,
had normalized platelet function but still lacked fibrin production. We conclude
signaling levels of thrombin can be generated during moderate hemophilia to
sufficiently activate platelets to achieve primary hemostasis, even if fibrin
formation remains defective. Finally, as a clot grows, shear stresses can become
sufficiently extreme in diseased arteries to drive von Willebrand Factor self
association into massive fibers, potentially the final burst of clot growth
towards full thrombotic occlusion.
PMID- 27207417
TI - Novel mechanisms that regulate clot structure/function.
AB - The structure and function of the blood clot has been associated with altered
risk of thrombosis. Dense fibrin structures with small pores increase the risk of
thrombosis, and have major functional consequences by increasing the resistance
to fibrinolysis and altering the visco-elastic properties of the clot. However,
while the structural changes to the overall fibrin network have been extensively
characterised, little is known regarding the intrafibrillar structure of fibrin,
the way protofibrils are arranged inside the fibrin fibers and the functional
consequences of this. This brief paper aims to review recent findings regarding
novel mechanisms that regulate fibrin intrafibrillar structure, including the
degree of protofibril packing, their functional consequences, and the effects of
FXIII activation on clot structure and thrombosis. It is concluded that fibrin
intrafibrillar structure represents a major novel mechanism that influences clot
structure and stability. Future studies are required to investigate the role of
fibrin intrafibrillar structure in the functional characteristics of the blood
clot, and in diseases of bleeding and thrombosis.
PMID- 27207419
TI - Bioengineering factor Xa to treat bleeding.
AB - There is a clinical need to develop safe and rapid therapeutic strategies to
control bleeding arising from a host of emergent situations. Over the past
several years our laboratory has developed novel zymogen-like FXa variants and
tested their safety and efficacy using hemophilia as a model system. The variants
have a spectrum of properties resulting from an amino acid change at the N
terminus of the heavy chain that alters a critical conformational change. These
properties, which include resistance to plasma protease inhibitors, low activity
in the absence of FVa, and rescue of low activity upon incorporation in
prothrombinase, yield remarkably effective pro-hemostatic agents. The FVa
dependent restoration of activity is a key aspect to their efficacy and also
contributes to localizing the variants to the site of vascular injury. While pre
clinical data support their use in the setting of hemophilia, they have the
potential to act as rapid pro-hemostatic agents for the treatment of a range of
bleeding conditions. This review will discuss the biochemical properties of these
FXa zymogen-like variants and their in vivo characterization.
PMID- 27207418
TI - Targeting TFPI for hemophilia treatment.
AB - Hemophilia is a severe bleeding disorder treated by infusion of the missing blood
coagulation protein, factor VIII or factor IX. The discovery and characterization
of the anticoagulant protein tissue factor pathway inhibitor (TFPI) led to the
realization that inhibition of TFPI activity could restore functional hemostasis
through the extrinsic blood coagulation pathway in a manner that does not require
the activity of factors VIII or IX. There are currently several therapeutic
agents that inhibit TFPI in development for treatment of hemophilia. A
comprehensive understanding of TFPI structure, biochemistry, and cellular
expression is necessary to understand how it modulates bleeding in hemophilia and
the physiological impact of therapeutic agents targeting TFPI.
PMID- 27207420
TI - Bispecific antibody mimicking factor VIII.
AB - There are some issues in the current factor (F)VIII replacement therapy for
severe hemophilia A. One is mental and physical burden for the multiple
intravenous infusions, and the other is difficulty in the hemostatic treatment
for the patients with FVIII inhibitor. The development of novel drug with fully
hemostatic effect, simply procedure, and long-acting reaction has been expected.
Recently, FVIIIa-mimicking humanized recombinant bispecific antibody (ACE910)
against FIXa and FX was developed. In the non-human clinical study, primate model
of acquired hemophilia A demonstrated that the ACE910 was effective on both on
going and spontaneous bleedings. A phase I clinical study was conducted in
healthy adults by single subcutaneous infusion of ACE910, followed by the
patients' part study, Japanese patients with severe hemophilia A without or with
inhibitor were treated with once-weekly subcutaneous injection of ACE910 at three
dose levels for 12 successive weeks. There was no significant adverse event
related to ACE910 in the clinical and laboratorial findings, and t1/2 of ACE910
was ~30 days. The median annual bleeding rates were reduced very markedly dose
dependently, independently of inhibitor. Furthermore, among the patients with
dose escalation, bleeding rate was decreased as ACE910 dose was increased. In
conclusion, ACE910 would have a number of promising features: its high
subcutaneous bioavailability and long half-life make the patients possible to be
injected subcutaneously with a once-a-week or less frequency. In addition, ACE910
would provide the bleeding prophylactic efficacy, independently of inhibitor.
PMID- 27207421
TI - Halting hemorrhage with self-propelling particles and local drug delivery.
AB - Approaches to locally deliver drugs to specific regions of the body are being
developed for many clinical applications, including treating hemorrhage.
Increasing the concentration of therapeutic coagulants in areas where clots are
forming and growing can be achieved by directing them to the injury, such as with
catheters or external delivery devices, or by systemically administering
therapeutics that target molecular signals of vascular damage. Treating severe
hemorrhage by external measures is challenging because blood flow pushes
hemostatic agents outward, reducing their efficacy. This review explains that
self-propelling particles may be used for delivering therapeutics, such as
coagulation factors, small molecules, or other chemical or biological agents,
deep into wounds during hemorrhage. A recent example of self-propelling particles
is highlighted, where propulsion enhanced the efficacy of a formulation of
thrombin and tranexamic acid in treating bleeding in two murine models of
hemorrhage and a porcine model of fatal, non-compressible hemorrhage. Many agents
exist which modulate clotting, and novel approaches that facilitate their safe
delivery to sites of vascular injury could reduce the enormous number of deaths
from hemorrhage that occur globally.
PMID- 27207422
TI - The polyphosphate/factor XII pathway in cancer-associated thrombosis: novel
perspectives for safe anticoagulation in patients with malignancies.
AB - Cancer is an established risk factor for venous thromboembolism (VTE) and VTE is
the second leading cause of death in patients with cancer. The incidence of
cancer-related thrombosis is rising and is associated with worse outcomes.
Despite our growing understanding on tumor-driven procoagulant mechanisms
including cancer-released procoagulant proteases, expression of tissue factor on
cancer cells and derived microvesicles, as well as alterations in the
extracellular matrix of the cancer cell milieu, anticoagulation therapy in cancer
patients has remained challenging. This review comments on a newly discovered
cancer-associated procoagulant pathway. Experimental VTE models in mice and
studies on patient cancer material revealed that prostate cancer cells and
associated exosomes display the inorganic polymer polyphosphate on their plasma
membrane. Polyphosphate activates blood coagulation factor XII and initiates
thrombus formation via the intrinsic pathway of coagulation. Pharmacologic
inhibition of factor XII activity protects mice from VTE and reduces thrombin
coagulant activity in plasma of prostate cancer patients. Factor XII inhibitors
provide thrombo-protection without impairing hemostatic mechanisms and thus,
unlike currently used anticoagulants, do not increase bleeding risk. Interference
with the polyphosphate/factor XII pathway may provide the novel opportunity for
safe anticoagulation therapy in patients with malignancies.
PMID- 27207423
TI - Factor XI and factor XII as targets for new anticoagulants.
AB - Although the non-vitamin antagonist oral anticoagulants produce less intracranial
bleeding than warfarin, serious bleeding still occurs. Therefore, the search for
safer anticoagulants continues. Factor XII and factor XI have emerged as
promising targets whose inhibition has the potential to prevent thrombosis with
little or no disruption of hemostasis. Thus, thrombosis is attenuated in mice
deficient in factor XII or factor XI and patients with congenital factor XII
deficiency do not bleed and those with factor XI deficiency rarely have
spontaneous bleeding. Strategies targeting factor XII and XI include antisense
oligonucleotides to decrease their synthesis, inhibitory antibodies or aptamers,
and small molecule inhibitors. These strategies attenuate thrombosis in various
animal models and factor XI knockdown with an antisense oligonucleotide in
patients undergoing knee replacement surgery reduced postoperative venous
thromboembolism to a greater extent than enoxaparin without increasing bleeding.
Therefore, current efforts are focused on evaluating the efficacy and safety of
factor XII and factor XI directed anticoagulant strategies.
PMID- 27207425
TI - Examining coagulation-complement crosstalk: complement activation and thrombosis.
AB - The coagulation and complement systems are ancestrally related enzymatic cascades
of the blood. Although their primary purposes have diverged over the past few
hundred million years, they remain inextricably connected. Both complement and
coagulation systems limit infection by pathogens through innate immune
mechanisms. Recently, it has been shown that hyperactive complement (in
particular, elevated C5a/C5b-9) is involved in the pathogenesis (including
thrombosis) of diseases such as paroxysmal nocturnal hemoglobinuria, atypical
haemolytic uremic syndrome, antiphospholipid syndrome and bacteremia. Although
these diseases together account for many thrombosis cases, there are many more
where complement activation is not considered a causative factor leading to
thrombosis. To better understand what role complement may play in the
pathogenesis of thrombosis a better understanding of the mechanisms that cause
over-active complement in thrombotic disease is required.
PMID- 27207424
TI - The role of EPCR in the pathogenesis of severe malaria.
AB - Of the five Plasmodium species that infect humans, infection with P. falciparum
is the most lethal, causing severe malaria syndromes, that result in over half a
million annual deaths. With parasites becoming increasingly resistant to
artemisinin there is an urgent need for new preventative and therapeutic options,
for which understanding of the mechanisms that cause death and disability in
malaria is essential. The recent discoveries that certain variants of P.
falciparum erythrocyte membrane protein 1 (PfEMP1) expressed on infected
erythrocytes are intimately linked to the precipitation of severe malaria
syndromes and that these PfEMP1 variants contain EPCR binding domains provides
new opportunities to improve our understanding of the molecular mechanisms
responsible for the pathogenesis of severe malaria. EPCR is known for its
essential role in the protein C (PC) system and for its ability to support the
cytoprotective effects of activated protein C (APC) that result in vascular and
tissue protective effects in many organ systems of the body, including the brain,
lung, kidney, and liver. Observations that binding of PfEMP1 to EPCR results in
an acquired functional PC system deficiency support the new paradigm that EPCR
plays a central role in the pathogenesis of severe malaria. Thus, targeting of
the PfEMP1-EPCR interaction and restoring the functionality of the PC system may
provide new strategies for the development of novel adjuvant therapies for severe
malaria.
PMID- 27207426
TI - Endothelial dysfunction in von Willebrand disease: angiogenesis and
angiodysplasia.
AB - In recent years, new functions for the haemostatic protein von Willebrand Factor
(VWF) have emerged. Amongst these is the ability to modulate the development of
new blood vessels, a process called angiogenesis. The subtle effects that VWF
exerts on blood vessel formation and stability may be relevant for the small but
significant fraction of patients with von Willebrand disease (VWD) who also
present with vascular malformations (angiodysplasia) in the gastrointestinal
tract, often responsible for intractable bleeding. This review will briefly
summarise the evidence and discuss the molecular pathways involved.
PMID- 27207427
TI - A possible new role for Abeta in vascular and inflammatory dysfunction in
Alzheimer's disease.
AB - Alzheimer's disease (AD) is often characterized by vascular pathology, a
procoagulant state, and chronic inflammation. The mechanisms behind these
abnormalities in AD are not clear. Here, we review evidence for the role of the
AD-associated peptide Abeta in promoting inflammation and thrombosis in AD via
its interaction with the circulating proteins factor XII and fibrinogen.
PMID- 27207428
TI - Activated protein C promotes neuroprotection: mechanisms and translation to the
clinic.
AB - Activated protein C (APC) is a plasma serine protease that is capable of
antithrombotic, anti-inflammatory, anti-apoptotic, and cell-signaling activities.
Animal injury studies show that recombinant APC and some of its mutants are
remarkably therapeutic for a wide range of injuries. In particular, for
neurologic injuries, APC reduces damage caused by ischemia/reperfusion in the
brain, by acute brain trauma, and by chronic neurodegenerative conditions. For
these neuroprotective effects, APC requires endothelial cell protein C receptor.
APC activates cell signaling networks with alterations in gene expression
profiles by activating protease activated receptors 1 and 3. To minimize APC
induced bleeding risk, APC variants were engineered to lack > 90% anticoagulant
activity but retain normal cell signaling. The neuroprotective APC mutant, 3K3A
APC which has Lys191-193 mutated to Ala191-193, is very neuroprotective and it is
currently in clinical trials for ischemic stroke.
PMID- 27207429
TI - Astrocyte tissue factor controls CNS hemostasis and autoimmune inflammation.
AB - Tissue factor is the primary initiator of the coagulation cascade. Formation of
the TF:FVIIa complex activates both FX and FIX, with subsequent thrombin
generation, fibrin deposition and activation of platelets. In addition to playing
important role in hemostasis and thrombosis, TF and downstream coagulation
proteases can mediate intracellular signaling via activation of protease
activated receptors (PARs). Maintaining hemostasis in the brain is of utmost
importance: bleeding or thrombosis within this organ can lead to significant
morbidity and mortality. Both TF and PARs are widely expressed within the CNS,
with TF expressed predominantly by astrocytes and PARs expressed in multiple cell
types including astrocytes, neurons, microglia and oligodendrocytes [1-4]. PARs
activation can result in either neuronal survival or death and link the
coagulation system with the inflammatory response. In this brief review we
summarize the contribution of the coagulation system to brain hemostasis as well
as to the pathophysiology of stroke and multiple sclerosis.
PMID- 27207431
TI - Acquired platelet disorders.
AB - In contrast to congenital platelet disorders, which are rare, acquired platelet
dysfunctions are more common in clinical practice. Their main causes are
medications and systemic/hematologic diseases. Typical clinical manifestations
are mucosal bleeding, epistaxis, or superficial epidermal bleeding normally of
modest entity. In most cases, the molecular mechanisms underlying impaired
platelet function are not fully established, making it difficult to optimize
patient care. We here provide a short overview of the various forms of acquired
platelet disorders, with a particular focus on recent mechanistic studies on
platelet dysfunction in von Willebrand disease.
PMID- 27207430
TI - Platelet clearance by the hepatic Ashwell-Morrell receptor: mechanisms and
biological significance.
AB - The daily production of billions of platelets must be regulated to avoid
spontaneous bleeding or arterial occlusion and organ damage. Complex mechanisms
control platelet production and clearance in physiological and pathological
conditions. This review will focus on the mechanisms of platelet senescence with
specific emphasis on the role of post-translational modifications in platelet
life-span and thrombopoietin production downstream of the hepatic Ashwell-Morrell
receptor.
PMID- 27207432
TI - Platelets, immune-mediated thrombocytopenias, and fetal hemorrhage.
AB - Platelets are small versatile blood cells generated from megakaryocytes in the
bone marrow and cleared in the reticuloendothelial system. Platelet accumulation
(adhesion and aggregation) at the site of injury has been considered the first
wave of hemostasis. Interestingly, although fibrinogen and von Willebrand factor
(VWF) are documented to be essential for hemostasis, fibrinogen/VWF-independent
platelet aggregation and thrombosis still occur. Following platelet activation
and phosphatidylserine expression, platelets also contribute to cell-based
thrombin generation and blood coagulation - the second wave of hemostasis. Most
recently, deposition of fibronectin and other plasma proteins onto the injured
vessel wall was identified as a "protein wave" of hemostasis, in which platelets
may release their granule proteins and thus also contribute to this very early
hemostatic event. Due to the central roles of platelets in hemostasis, excessive
platelet clearance may lead to bleeding disorders as observed in auto- and
alloimmune-mediated thrombocytopenias. In this review, we will introduce several
new pathways of thrombosis and hemostasis as well as antibody Fc-independent
platelet clearance, which may play an important role in immune-mediated
thrombocytopenias. We will also discuss the roles of platelets in fetal
hemostasis that may deserve further investigation.
PMID- 27207433
TI - The hemostatic role of factor XI.
AB - Coagulation factor (F)XI has been described as a component of the early phase of
the contact pathway of blood coagulation, acting downstream of factor XII.
However, patients deficient in upstream members of the contact pathway, including
FXII and prekallikrein, do not exhibit bleeding complications, while FXI
deficient patients sometimes experience mild bleeding, suggesting FXI plays a
role in hemostasis independent of the contact pathway. Further complicating the
picture, bleeding risk in FXI-deficient patients is difficult to predict because
bleeding symptoms have not been found to correlate with FXI antigen levels or
activity. However, recent studies have emerged to expand our understanding of
FXI, demonstrating that activated FXI is able to activate coagulation factors FX,
FV, and FVIII, and inhibit the anti-coagulant tissue factor pathway inhibitor
(TFPI). Understanding these activities of FXI may help to better diagnose which
FXI-deficient patients are at risk for bleeding. In contrast to its mild
hemostatic activities, FXI is known to play a significant role in thrombosis, as
it is a demonstrated independent risk factor for deep vein thrombosis, ischemic
stroke, and myocardial infarction. Recent translational approaches have begun
testing FXI as an antithrombotic, with one promising clinical study showing that
an anti-sense oligonucleotide against FXI prevented venous thrombosis in elective
knee surgery. A better understanding of the varied and complex role of FXI in
both thrombosis and hemostasis will help to allow better prediction of bleeding
risk in FXI-deficient patients and also informing the development of targeted
agents to inhibit the thrombotic activities of FXI while preserving hemostasis.
PMID- 27207435
TI - Pain, Fatigue, and Physical Activity in Osteoarthritis: The Moderating Effects of
Pain- and Fatigue-Related Activity Interference.
AB - OBJECTIVE: To examine how self-reported pain- and fatigue-related activity
interference relates to symptoms and physical activity (PA) in daily life among
people with knee or hip osteoarthritis. DESIGN: Cross-sectional study with a 7
day repeated-measures assessment period. SETTING: General community.
PARTICIPANTS: Participants (N=154; mean age, 65y; 60% women [n=92]) with knee or
hip osteoarthritis and pain lasting >=3 months. INTERVENTIONS: Not applicable.
MAIN OUTCOME MEASURES: Pain- or fatigue-related activity interference items on
the Brief Pain Inventory or Brief Fatigue Inventory, respectively, from baseline
survey, momentary pain and fatigue severity (measured 5times/d for 7d), and PA
measured with a wrist-worn accelerometer over 7 days. We hypothesized that
perception of pain- and fatigue-related activity interference would moderate the
association between symptoms (pain or fatigue) and PA. People with higher pain-
or fatigue-related activity interference were thought to have stronger negative
associations between momentary ratings of pain and fatigue and PA than did those
with lower activity interference. RESULTS: Pain-related activity interference
moderated the association between momentary pain and PA, but only in the first
part of the day. Contrary to expectation, during early to midday (from wake-up
time through 3 pm), low pain-related interference was associated with stronger
positive associations between pain and PA but high pain-related interference was
associated with a small negative association between pain and PA. Fatigue-related
activity interference did not moderate the relation between fatigue and activity
over the course of a day. CONCLUSIONS: Depending on a person's reported level of
pain-related activity interference, associations between pain and PA were
different earlier in the day. Only those with high pain-related activity
interference had lower levels of PA as pain increased and only in the morning.
High pain-related activity interference may be important to address, particularly
to maintain PA early in the day despite pain.
PMID- 27207434
TI - Autoimmune conditions are associated with perioperative thrombotic complications
in liver transplant recipients: A UNOS database analysis.
AB - BACKGROUND: End stage liver disease (ESLD) is associated with significant
thrombotic complications. In this study, we attempted to determine if patients
with ESLD, due to oncologic or autoimmune diseases, are susceptible to thrombosis
to a greater extent than patients with ESLD due to other causes. METHODS: In this
retrospective study, we analyzed the UNOS database to determine the incidence of
thrombotic complications in orthotopic liver transplant (OLT) recipients with
autoimmune and oncologic conditions. Between 2000 and 2012, 65,646 OLTs were
performed. We found 4,247 cases of preoperative portal vein thrombosis (PVT) and
1,233 cases of postoperative vascular thrombosis (VT) leading to graft failure.
RESULTS: Statistical evaluation demonstrated that patients with either
hepatocellular carcinoma (HCC) or autoimmune hepatitis (AIC) had a higher
incidence of PVT (p = 0.05 and 0.03 respectively). Patients with primary biliary
cirrhosis (PBC), primary sclerosing cholangitis (PSC) and AIC had a higher
incidence of postoperative VT associated with graft failure (p < 0.0001, p <
0.0001, p = 0.05 respectively). Patients with preoperative PVT had a higher
incidence of postoperative VT (p < 0.0001). Multivariable logistic regression
demonstrated that patients with AIC, and BMI >=40, having had a transjugular
intrahepatic portosystemic shunt, and those with diabetes mellitus were more
likely to have preoperative PVT: odds ratio (OR)(1.36, 1.19, 1.78, 1.22
respectively). Patients with PSC, PBC, AIC, BMI <=18, or with a preoperative PVT
were more likely to have a postoperative VT: OR (1.93, 2.09, 1.64, 1.60, and
2.01, respectively). CONCLUSION: Despite the limited number of variables
available in the UNOS database potentially related to thrombotic complications,
this analysis demonstrates a clear association between autoimmune causes of ESLD
and perioperative thrombotic complications. Perioperative management of patients
at risk should include strategies to reduce the potential for these
complications.
PMID- 27207436
TI - Intrarater Agreement of Elbow Extension Range of Motion in the Upper Limb
Neurodynamic Test 1 Using a Smartphone Application.
AB - OBJECTIVE: To estimate the intrarater agreement of the Compass application of a
smartphone in the assessment of elbow extension range of motion (EE-ROM) at pain
onset and maximum tolerable point during the Upper Limb Neurodynamic Test 1
(ULNT1). DESIGN: Within-day intrarater agreement study. SETTING: Private and
university clinical settings. PARTICIPANTS: Volunteers (N=41; 21 men; age,
31.34+/-13.27y; height, 1.67+/-0.07m; body mass, 70.53+/-12.37kg) recruited from
the community, with no symptoms or musculoskeletal abnormalities in their upper
body quadrant and no regional or systemic nerve dysfunction. INTERVENTIONS: Not
applicable. MAIN OUTCOME MEASURES: Ninety-five percent limits of agreement (LOA),
standard error of the measurement, and minimal detectable change at the 95%
confidence level (MDC95) of EE-ROM at pain onset and maximum tolerable point
during the ULNT1. RESULTS: Standard error of the measurement and MDC95 were
relatively high on both sides when considering the onset of pain (standard error
of the measurement, 6.6 degrees -6.8 degrees ; MDC95, 18.4 degrees -18.8 degrees
). Better results were found for the maximum tolerable point (standard error of
the measurement, 4.2 degrees -4.8 degrees ; MDC95, 11.7 degrees -13.2 degrees ).
The 95% LOA showed a similar trend. CONCLUSIONS: Smartphone measurements showed
relatively wide agreement parameters of elbow extension during the ULNT1. These
results are, nevertheless, comparable with previous studies using goniometric
assessment when considering maximal pain tolerance. Further research is needed
before the possible widespread use of the smartphone in neurodynamic assessment.
PMID- 27207437
TI - Impact of litter size on sow stayability in Swedish commercial piglet producing
herds.
AB - BACKGROUND: Sows' ability to produce an excessive amount of piglets has shaped
modern piglet production and there has been a steady increase in litter size
during the last decades. This development has caused some negative side-effects,
such as an increase in the proportion of stillborn piglets, a decrease in the
proportion of weaned piglets and a larger variation in quality of piglets.
Swedish commercial piglet producing herds have, like other countries with high
production levels, high piglet mortality and high annual removal rate of gilts
and sow. These problems seem to have increased during the same period that litter
sizes have increased. Therefore present study aim to investigate whether there is
an association between litter sizes and sow stayability. RESULTS: The probability
to produce >=4 litters during a lifetime was significantly lower for sows giving
birth to <=8, 15 and >=17 piglets in total in their first parity litter compared
to sows giving birth to 13 piglets. Except for the group of sows having a small
(<=11 piglets born in total) first parity litter size in combination with a
medium (12-14 piglets born in total) second parity litter size, all other groups
were significantly associated with an impaired ability to stay >=4 litters
compared to sows having a medium both first and second parity litter size. There
were differences in removal reason between sows having small, medium or large
first parities litter sizes. CONCLUSIONS: Associations between litter sizes in
low parities and sow stayability were found. Our results indicate that aiming for
keeping sows giving birth to a medium-sized litter, with approximately 12-14
piglets born in total may improve sows stayability and decrease the risk of
unplanned removal. This should be considered when planning breeding strategy and
annual removal in Swedish commercial piglets producing herds.
PMID- 27207439
TI - Carotid artery protrusion and dehiscence in patients with acromegaly.
AB - PURPOSE: Acromegaly is a systemic disease which causes multiple bony alterations.
Some authors reported that acromegalic patients have risk factors for an
intraoperative vascular injury due to the specific anatomical features of their
sphenoid sinus. The objective of our study was to analyze the anatomic
characteristics of sphenoid sinus in acromegalic patients compared with controls,
by evaluation of computed tomography (CT) findings. METHODS: We examined 45
acromegalic (acromegaly group) and 45 non-acromegalic patients (control group)
with pituitary adenomas who were matched for sex, age, height, tumor size, and
cavernous sinus invasion (Knosp grade). Preoperative CT of the pituitary region
including the sphenoid sinus was used to evaluate the following anatomic
characteristics: type of sphenoid sinus (sellar or pre-sellar/conchal);
intrasphenoid septa (non/single or multiple); carotid artery protrusion; carotid
artery dehiscence; intercarotid distance. RESULTS: Sixteen acromegalic patients
(35.5 %) and 6 controls (13.3 %) had carotid artery protrusion. Additionally, 10
acromegalic patients (22.2 %) and 3 controls (6.6 %) had carotid artery
dehiscence. Carotid artery protrusion and dehiscence were more frequent in the
acromegaly group than in control group (p = 0.013 and 0.035, respectively). Other
anatomic characteristics (type of sphenoid sinus, intrasphenoid septa, and
intracarotid distance) showed no significant differences between acromegaly and
control groups. CONCLUSIONS: Our study suggests that carotid artery protrusion
and dehiscence occur more frequently among acromegalic patients, compared with
non-acromegalic patients. It is important for surgeons to be aware of these
anatomic variations to avoid vital complications, such as carotid injuries,
during surgery.
PMID- 27207438
TI - Auraptene Attenuates Malignant Properties of Esophageal Stem-Like Cancer Cells.
AB - The high incidence of esophageal squamous cell carcinoma has been reported in
selected ethnic populations including North of Iran. Low survival rate of
esophageal carcinoma is partially due to the presence of stem-like cancer cells
with chemotherapy resistance. In the current study, we aimed to determine the
effects of auraptene, an interesting dietary coumarin with various biological
activities, on malignant properties of stem-like esophageal squamous cell
carcinoma, in terms of sensitivity to anticancer drugs and expression of specific
markers. To do so, the half maximal inhibitory concentration values of auraptene,
cisplatin, paclitaxel, and 5-fluorouracil were determined on esophageal carcinoma
cells (KYSE30 cell line). After administrating combinatorial treatments,
including nontoxic concentrations of auraptene + cisplatin, paclitaxel, or 5
fluorouracil, sensitivity of cells to chemical drugs and also induced apoptosis
were assessed. In addition, quantitative real-time polymerase chain reaction was
used to study changes in the expression of tumor suppressor proteins 53 and 21 (
P53 and P21), cluster of differentiation 44 ( CD44), and B cell-specific Moloney
murine leukemia virus integration site 1 ( BMI-1) upon treatments. Results of
thiazolyl blue assay revealed that auraptene significantly ( P < .05) increased
toxicity of cisplatin, paclitaxel, and 5-fluorouracil in KYSE30 cells,
specifically 72 hours after treatment. Conducting an apoptosis assay using flow
cytometry also confirmed the synergic effects of auraptene. Results of
quantitative real-time polymerase chain reaction revealed significant ( P < .05)
upregulation of P53 and P21 upon combinatorial treatments and also downregulation
of CD44 and BMI-1 after auraptene administration. Current study provided
evidence, for the first time, that auraptene attenuates the properties of
esophageal stem-like cancer cells through enhancing sensitivity to chemical
agents and reducing the expression of CD44 and BMI-1 markers.
PMID- 27207441
TI - CORRECTION.
PMID- 27207440
TI - Does the Anonymous Voice Have a Place in Scholarly Publishing?
PMID- 27207442
TI - Latex agglutination using the periplasmic proteins antigen of Brucella melitensis
is a successful, rapid, and specific serodiagnostic test for ovine brucellosis.
AB - Brucellosis, especially caused by Brucella melitensis, is considered the most
widespread zoonosis in the world, particularly in developing countries. This
study was planned to develop an accurate test for diagnosis of ovine brucellosis
using a specific hot saline extracted soluble Brucella melitensis periplasmic
proteins (SBPPs). The efficacy of the latex agglutination test (LAT) using SBPPs
compared to the Rose Bengal test (RBT), buffered plate agglutination test (BPAT),
serum agglutination test (SAT), and an indirect enzyme-linked immunosorbent assay
(i-ELISA) was evaluated in the field diagnosis of ovine brucellosis. The test
performance was evaluated by estimating sensitivity (Se), specificity (Sp),
positive predictive value (PPV), negative predictive value (NPV), disease
prevalence (DP), positive likelihood ratio (PLR), and negative likelihood ratio
(NLR) using test agreement and bacteriological culture in 1777 samples. The false
positive result was significantly (P ?0.05) lower in LAT than RBT, BPAT, SAT, and
i-ELISA. With reference to test agreement, the Se, Sp, PPV, and PLR were highest
(P ?0.05) in LAT 99.33%, 99.88%, 98.68%, and 827.25%, respectively. With
reference to bacteriological culture, the LAT and i-ELISA tests showed a
significant difference in Se with SAT. However, no significant difference in
specificity was detected. The DP was 8.44% in the five tests. In conclusion, LAT
using SBPPs of B. melitensis could be a suitable serodiagnostic field test for
ovine brucellosis, with high sensitivity and specificity.
PMID- 27207443
TI - A case for IL-6, IL-17A, and nitric oxide in the pathophysiology of Sjogren's
syndrome.
AB - Sjogren's syndrome (SS) is an autoimmune epithelitis characterized by mononuclear
cell (MNC) infiltration of the lacrimal and salivary glands (SG), as well as the
presence of serum autoantibodies. This condition is a growing public health
concern in Algeria. Herein, we sought to determine if the levels of interleukin
(IL)-6, IL-17A, and nitric oxide (NO), were correlated with the extent of MNC
infiltration. The expression of inducible NO synthase (NOS2) and CD68 was
measured in the SG of all patients, but not in those of the normal controls
(NCs). We included 44 primary Sjogren's syndrome (pSS) patients and 15 NCs in
this study; we found that the expression of NOS2 and CD68 was elevated in all of
the SG of SS patients. Additionally, the serum and saliva levels of IL-6, IL-17A,
and NO were higher in the pSS patients, compared with the NCs. Furthermore, the
NOS2-induced excess NO was associated with the extent of the MNC infiltration,
and thereby with tissue injury. It is also important to note that there were
correlations between the levels of IL-6, IL-17A, and NO. Such findings indicate
that through the effects of NO, IL-17A participates in the pathophysiology of the
disease. With the purpose of improving both the diagnosis and prognosis, IL-6, IL
17A, and NO should be assayed in the serum and saliva of patients suspected of
SS.
PMID- 27207444
TI - Pharmacoeconomy of drugs used in the treatment of actinic keratoses.
AB - Actinic keratosis (AK) represents an emerging issue in the area of skin diseases
which undergo high risk for developing squamous cell carcinoma (SCC). Recently,
evidence has been accumulated that 3% diclofenac sodium and ingenol mubetate may
efficiently counteract the development of progressive AK even if the
pharmacoeconomic impact of such a treatment remains poorly defined. With the
objective of assessing the efficacy of 3% diclofenac sodium versus ingenol
mebutate, a comparative cost-efficacy analysis was performed between both
pharmacological treatments. In the present analysis, data of efficacy of clinical
studies were combined with information on the quality of life associated with AK
lesions based on available literature data. Furthermore, the cost associated with
the management of these lesions in Italy has been taken into account. To this
purpose, we carried out a literature survey on the clinical and economic data
among clinical reports available in Italy based on the assessment of related
expenditure of public resources and their relationship with the subsequent health
benefits.
PMID- 27207445
TI - Safety of implanting sustained-release 5-fluorouracil into hepatic cross-section
and omentum majus after primary liver cancer resection.
AB - This study was designed to evaluate the short-term safety of implanting sustained
release 5-fluorouracil (5-FU) into hepatic cross-section and omentum majus after
primary liver cancer resection and its impact on related indexes of liver. Forty
patients were selected and divided into an implantation group (n = 20) and a
control group (n = 20). On the first day after admission, first week after
surgery, and first month after surgery, fasting venous blood was extracted from
patients for measuring hematological indexes. The reduction rate of alpha
fetoprotein (AFP) on the first week and first month after surgery was calculated,
and moreover, drainage volume of the abdominal cavity drainage tube, length of
stay after surgery, and wound healing condition were recorded. We found that
levels of alanine aminotransferase, aspartate amino transferase, blood urea
nitrogen, creatinine, total bilirubin, albumin, and white blood cells measured on
the first week and first month after surgery, length of stay, and wound healing
of patients in the two groups had no significant difference (P >0.05). Drainage
volume and reduction rate of AFP of two groups were significantly different on
the first week and first month after surgery (P <0.05). Implanting sustained
release 5-FU into hepatic cross-section and omentum majus after primary liver
cancer resection is proved to be safe as it has little impact on related indexes.
PMID- 27207446
TI - Information processing speed in multiple sclerosis: Past, present, and future.
AB - BACKGROUND: Information processing speed (IPS) is a prevalent cognitive
impairment in multiple sclerosis (MS). OBJECTIVES: This review aims to summarize
the methods applied to assess IPS in MS and its theoretical conceptualization. A
PubMed search was performed to select articles published between 1 January 2004
and 31 December 2013, resulting in 157 articles included. RESULTS: The majority
(54%) of studies assessed IPS with heterogeneous samples (several disease
courses). Studies often report controlling for presence of other neurological
disorders (60.5%), age (58.6%), education (51.6%), alcohol history (47.8%), or
use of steroids (39.5%). Potential confounding variables, such as recent relapses
(50.3%), history of developmental disorders (19.1%), and visual problems (29.9%),
were often neglected. Assessments used to study IPS were heterogeneous (ranging
from simple to complex tasks) among the studies under review, with 62 different
tasks used. Only 9.6% of articles defined the construct of IPS and 22.3%
discussed IPS in relation to a theoretical model. FUTURE DIRECTIONS: The
challenges for the upcoming decade include clarification of the definition of IPS
as well as its theoretical conceptualization and a consensus on assessment. Based
on the results obtained, we propose a new theoretical model, the tri-factor model
of IPS.
PMID- 27207447
TI - Biotinidase deficiency mimicking neuromyelitis optica beginning at the age of 4:
A treatable disease.
AB - BACKGROUND: Metabolic and inflammatory conditions may lead to neurological
disorders. Neuromyelitis optica spectrum disorders (NMOSDs) refer to a rare group
of demyelinating diseases of the central nervous system which essentially involve
the optic nerves and spinal cord. METHODS: We report a case of biotinidase
deficiency (BD) initially misdiagnosed as NMOSD in a pediatric patient. RESULTS:
An 8-year-old girl was initially diagnosed with NMOSD on the basis of optic
neuritis (ON) associated with three episodes of longitudinally extensive
transverse myelitis (LETM). Intravenous high-dose corticosteroids were effective
during the first two episodes of LETM. The third acute episode which resulted in
tetraplegia, respiratory distress, and blindness was refractory to
corticosteroids, plasmapheresis, and rituximab. The unusual clinical course and
persistent high levels of plasma and cerebrospinal fluid (CSF) lactate led to
additional metabolic investigations being performed. Acylcarnitine profile
revealed increased C5-OH acylcarnitine suggestive of BD. Diagnosis was confirmed
by direct assessment of plasma enzyme activity (quantified as 5% of the control
value). Genetic analysis revealed two mutations, c.643C>T (p.L215F) and c.1612C>T
(p.R538C), in the BTD gene (3p25). Dramatic clinical improvement occurred after
long-term oral biotin treatment. CONCLUSION: BD is a treatable condition that may
closely mimic the neurological findings of LETM and NMOSD.
PMID- 27207448
TI - Cortical functional modifications following optic neuritis.
AB - BACKGROUND: We have recently suggested that delayed visual evoked potential (VEP)
latencies in the fellow eye (FE) of optic neuritis patients reflect a cortical
adaptive process, to compensate for the delayed arrival of visual information via
the affected eye (AE). OBJECTIVE: To define the cortical mechanism that underlies
this adaptive process. METHODS: Cortical activations to moving stimuli and
connectivity patterns within the visual network were tested using functional
magnetic resonance imaging (MRI) in 11 recovered optic neuritis patients and in
11 matched controls. RESULTS: Reduced cortical activation in early but not in
higher visual areas was seen in both eyes, compared to controls. VEP latencies in
the AEs inversely correlated with activation in motion-related visual cortices.
Inter-eye differences in VEP latencies inversely correlated with cortical
activation following FE stimulation, throughout the visual hierarchy. Functional
correlation between visual regions was more pronounced in the FE compared with
the AE. CONCLUSION: The different correlation patterns between VEP latencies and
cortical activation in the AE and FE support different pathophysiology of VEP
prolongation in each eye. Similar cortical activation patterns in both eyes and
the fact that stronger links between early and higher visual areas were found
following FE stimulation suggest a cortical modulatory process in the FE.
PMID- 27207451
TI - Leptomeningeal enhancement in Susac's syndrome and multiple sclerosis: Time to
expect the unexpected?
AB - Magnetic resonance imaging detection of leptomeningeal enhancement has long been
considered a red flag for a diagnosis of multiple sclerosis. However, recent
studies seem to suggest that leptomeningeal enhancement can be detected in up to
25% of patients with multiple sclerosis. The case reported here suggest a
distinct set of features of leptomeningeal enhancement in a patient with Susac's
syndrome which may still be helpful in the differential diagnosis between Susac's
syndrome and multiple sclerosis.
PMID- 27207450
TI - Integrating genome-wide association studies and gene expression data highlights
dysregulated multiple sclerosis risk pathways.
AB - BACKGROUND: Much effort has been expended on identifying the genetic determinants
of multiple sclerosis (MS). Existing large-scale genome-wide association study
(GWAS) datasets provide strong support for using pathway and network-based
analysis methods to investigate the mechanisms underlying MS. However, no shared
genetic pathways have been identified to date. OBJECTIVE: We hypothesize that
shared genetic pathways may indeed exist in different MS-GWAS datasets. METHODS:
Here, we report results from a three-stage analysis of GWAS and expression
datasets. In stage 1, we conducted multiple pathway analyses of two MS-GWAS
datasets. In stage 2, we performed a candidate pathway analysis of the large
scale MS-GWAS dataset. In stage 3, we performed a pathway analysis using the
dysregulated MS gene list from seven human MS case-control expression datasets.
RESULTS: In stage 1, we identified 15 shared pathways. In stage 2, we
successfully replicated 14 of these 15 significant pathways. In stage 3, we found
that dysregulated MS genes were significantly enriched in 10 of 15 MS risk
pathways identified in stages 1 and 2. CONCLUSION: We report shared genetic
pathways in different MS-GWAS datasets and highlight some new MS risk pathways.
Our findings provide new insights on the genetic determinants of MS.
PMID- 27207449
TI - Long-term effects of delayed-release dimethyl fumarate in multiple sclerosis:
Interim analysis of ENDORSE, a randomized extension study.
AB - BACKGROUND: Delayed-release dimethyl fumarate (DMF) demonstrated strong efficacy
and a favorable benefit-risk profile for patients with relapsing-remitting
multiple sclerosis (RRMS) in phase 3 DEFINE/CONFIRM studies. ENDORSE is an
ongoing long-term extension of DEFINE/CONFIRM. OBJECTIVE: We report efficacy and
safety results of a 5-year interim analysis of ENDORSE (2 years DEFINE/CONFIRM;
minimum 3 years ENDORSE). METHODS: In ENDORSE, patients randomized to DMF 240 mg
twice (BID) or thrice daily (TID) in DEFINE/CONFIRM continued this dosage, and
those initially randomized to placebo (PBO) or glatiramer acetate (GA) were re
randomized to DMF 240 mg BID or TID. RESULTS: For patients continuing DMF BID
(BID/BID), annualized relapse rates were 0.202, 0.163, 0.139, 0.143, and 0.138
(years 1-5, respectively) and 63%, 73%, and 88% were free of new or enlarging T2
hyperintense lesions, new T1 hypointense lesions, and gadolinium-enhanced
lesions, respectively, at year 5. Adverse events (AEs; serious adverse events
(SAEs)) were reported in 91% (22%; BID/BID), 95% (24%; PBO/BID), and 88% (16%;
GA/BID) of the patients. One case of progressive multifocal leukoencephalopathy
was reported in the setting of severe, prolonged lymphopenia. CONCLUSION:
Treatment with DMF was associated with continuously low clinical and magnetic
resonance imaging (MRI) disease activity in patients with RRMS. These interim
data demonstrate a sustained treatment benefit and an acceptable safety profile
with DMF.
PMID- 27207452
TI - Susac's syndrome: Leptomeningeal enhancement on 3D FLAIR MRI.
AB - BACKGROUND: Contrast-enhanced (ce) fluid-attenuated inversion recovery magnetic
resonance imaging (FLAIR MRI) has recently been shown to identify leptomeningeal
pathology in multiple sclerosis. OBJECTIVE: To demonstrate leptomeningeal
enhancement on three-dimensional (3D) FLAIR in a case of Susac's syndrome.
METHODS: Leptomeningeal enhancement was correlated with clinical activity over 20
months and compared to retinal fluorescein angiography. RESULTS: The size,
number, and location of leptomeningeal enhancement varied over time and generally
correlated with symptom severity. The appearance was remarkably similar to that
of retinal vasculopathy. CONCLUSION: Ce 3D FLAIR may aid in diagnosis and
understanding of pathophysiology in Susac's syndrome and may serve as a biomarker
for disease activity.
PMID- 27207454
TI - NEDA status in highly active MS can be more easily obtained with autologous
hematopoietic stem cell transplantation than other drugs.
AB - The no evidence of disease activity (NEDA) composite measure has emerged as one
attractive new target of therapies in relapsing-remitting multiple sclerosis
(RRMS), consisting of the following features: (1) no relapses, (2) no disability
progression, and (3) no magnetic resonance imaging (MRI) activity (new or
enlarging T2 lesions or Gd-enhancing lesions). Achievement of NEDA status in
patients receiving a disease-modifying therapy (DMT) seems to be an ambitious but
ideal goal for therapies in RRMS. Recently, published post hoc analyses of
clinical trials reported percentages of RRMS patients maintaining the NEDA status
after 2 years of therapy ranging between 13% and 46%. Long-term assessment of
NEDA patients in real-life settings showed very low probability to be NEDA in the
long run. Against this scenario, immunoablative therapy followed by autologous
hematopoietic stem cell transplantation (aHSCT) demonstrated the potential to
maintain a much higher proportion of NEDA patients at 2 years (ranging from 78%
to 83%) and also at 5 years (ranging from 60% to 68%). This is even more relevant
when considering that MS patients who underwent aHSCT are much more active than
patients usually enrolled in clinical trials. The emerging evidence of the
efficacy of this therapeutic approach in early aggressive and treatment-resistant
RRMS calls for the organization of a randomized comparative trial to fully
evaluate the risk-benefit profile of aHSCT in patients with highly active MS not
responding to DMTs.
PMID- 27207453
TI - Level of education and multiple sclerosis risk over a 50-year period: Registry
based sibling study.
AB - BACKGROUND: The conflicting results from studies on socioeconomic status (SES)
and multiple sclerosis (MS) risk might be due to a change in the distribution of
environmental exposures over time or to methodological limitations in previous
research. OBJECTIVE: To examine the association between SES and MS risk during 50
years. METHODS: We included patients registered in Norwegian MS registries and
prevalence studies born between 1930 and 1979, and identified their siblings and
parents using the Norwegian Population Registry. Information on education was
retrieved from the National Education Registry, categorized into four levels
(primary, secondary, undergraduate and graduate) and compared in patients and
siblings using conditional logistic regression. RESULTS: A total of 4494 MS
patients and 9193 of their siblings were included in the analyses. Level of
education was inversely associated with MS risk ( p trend < 0.001) with an odds
ratio (OR) of 0.73 (95% confidence interval (CI): 0.59-0.90) when comparing the
highest and lowest levels. The effect estimates did not vary markedly between
participants born before or after the median year of birth (1958), but we
observed a significant effect modification by parental education ( p = 0.047).
CONCLUSION: Level of education was inversely associated with MS risk, and the
estimates were similar in the earliest and latest birth cohorts.
PMID- 27207455
TI - Development of a primary cutaneous CD30(+) anaplastic large-cell T-cell lymphoma
during treatment of multiple sclerosis with fingolimod.
AB - BACKGROUND: The appearance of solid tumors und lymphomas during treatment with
fingolimod was observed in studies and has been described in case reports.
OBJECTIVE: To report a case of primary cutaneous CD30(+) anaplastic large-cell T
cell lymphoma during treatment of multiple sclerosis (MS) with fingolimod.
METHODS: Case study. RESULTS: Our patient developed a lymphoma a few weeks after
initialization of therapy with fingolimod; 5 weeks after discontinuation of
treatment the lesions resolved. CONCLUSION: Causality of fingolimod is indicated
by the fact that the skin lesions appeared after commencement of treatment and
resolved after discontinuation of therapy. This case serves as a reminder of the
potential side effects of fingolimod.
PMID- 27207457
TI - William A. Sibley (1925-2015): Clinical researcher, diagnostician, champion of
interdisciplinary neuroscience.
PMID- 27207456
TI - Elevated CSF neurofilament proteins predict brain atrophy: A 15-year follow-up
study.
AB - BACKGROUND: Body fluid and structural imaging biomarkers give information on
neurodegeneration. The relationship over time is not known in multiple sclerosis.
OBJECTIVE: To investigate the temporal relationship of elevated cerebrospinal
fluid (CSF) neurofilament (Nf) protein levels, a biomarker for axonal loss, with
magnetic resonance imaging (MRI) atrophy measures. METHODS: In patients with
multiple sclerosis, CSF Nf heavy chain (NfH) phosphoform levels were quantified
at baseline and dichotomised into 'normal' and 'high'. Atrophy was assessed by
MRI at baseline and 15-year follow-up using SIENAX and FreeSurfer software.
RESULTS: High baseline CSF NfH(SMI35) levels predicted pronounced atrophy at 15
year follow-up (odds ratio (OR): 36, p < 0.01), in the absence of baseline brain
atrophy (OR: 28, p < 0.05), for the averaged MRI normalised brain volume (1.44 L
vs 1.33 L, p < 0.05), normalised grey matter volume (0.77 L vs 0.69 L, p < 0.01)
and putamen (12.7 mL vs 10.7 mL, p < 0.05). Region-specific calculations
including the spinal cord showed that a power of >80% is reached with 14-50
patients. CONCLUSION: These data suggest that high CSF NfH levels are an early
predictor of later brain and spinal cord atrophy using structural imaging
biomarkers and can be investigated in reasonably sized patient cohorts.
PMID- 27207458
TI - Astrocytes in multiple sclerosis.
AB - Recent experimental and clinical studies on astrocytes are unraveling the
capabilities of these multi-functional cells in normal homeostasis, and in
central nervous system (CNS) disease. This review focuses on understanding their
behavior in all aspects of the initiation, evolution, and resolution of the
multiple sclerosis (MS) lesion. Astrocytes display remarkable flexibility and
variability of their physical structure and biochemical output, each aspect
finely tuned to the specific stage and location of the disease, participating in
both pathogenic and beneficial changes seen in acute and progressive forms. As
examples, chemo-attractive or repulsive molecules may facilitate the entry of
destructive immune cells but may also aid in the recruitment of oligodendrocyte
precursors, essential for repair. Pro-inflammatory cytokines may attack
pathogenic cells and also destroy normal oligodendrocytes, myelin, and axons.
Protective trophic factors may also open the blood-brain barrier and modulate the
extracellular matrix to favor recruitment and persistence of CNS-specific immune
cells. A chronic glial scar may confer structural support following tissue loss
and inhibit ingress of further noxious insults and also inhibit migration of
reparative cells and molecules into the damaged tissue. Continual study into
these processes offers the therapeutic opportunities to enhance the beneficial
capabilities of these cells while limiting their destructive effects.
PMID- 27207459
TI - All relapsing multiple sclerosis patients should be managed at a specialist
clinic - YES.
PMID- 27207460
TI - All relapsing multiple sclerosis patients should be seen in specialist clinics -
NO.
PMID- 27207461
TI - All relapsing multiple sclerosis patients should be managed at a specialist
clinic - Commentary.
PMID- 27207462
TI - Pharmacological management of spasticity in multiple sclerosis: Systematic review
and consensus paper.
AB - BACKGROUND AND OBJECTIVES: Treatment of spasticity poses a major challenge given
the complex clinical presentation and variable efficacy and safety profiles of
available drugs. We present a systematic review of the pharmacological treatment
of spasticity in multiple sclerosis (MS) patients. METHODS: Controlled trials and
observational studies were identified. Scientific evidence was evaluated
according to pre-specified levels of certainty. RESULTS: The evidence supports
the use of baclofen, tizanidine and gabapentin as first-line options. Diazepam or
dantrolene could be considered if no clinical improvement is seen with the
previous drugs. Nabiximols has a positive effect when used as add-on therapy in
patients with poor response and/or tolerance to first-line oral treatments.
Despite limited evidence, intrathecal baclofen and intrathecal phenol show a
positive effect in severe spasticity and suboptimal response to oral drugs.
CONCLUSION: The available studies on spasticity treatment offer some insight to
guide clinical practice but are of variable methodological quality. Large, well
designed trials are needed to confirm the effectiveness of antispasticity agents
and to produce evidence-based treatment algorithms.
PMID- 27207463
TI - Estimating a treatment effect: Choosing between relative and absolute measures.
AB - The size of a treatment effect in clinical trials can be expressed in relative or
absolute terms. Commonly used relative treatment effect measures are relative
risks, odds ratios, and hazard ratios, while absolute estimate of treatment
effect are absolute differences and numbers needed to treat. When making indirect
comparisons of treatment effects, which is common in multiple sclerosis (MS),
having now many drugs tested in independent trials, we can have different figures
if we use relative or absolute measures, and a frequently asked question by
clinicians is which approach should be used. In this report, we will try to
define these measures, to give numerical examples of their calculation and
specify their meaning and their context of use.
PMID- 27207464
TI - 3D cerebral MR image segmentation using multiple-classifier system.
AB - The three soft brain tissues white matter (WM), gray matter (GM), and cerebral
spinal fluid (CSF) identified in a magnetic resonance (MR) image via image
segmentation techniques can aid in structural and functional brain analysis,
brain's anatomical structures measurement and visualization, neurodegenerative
disorders diagnosis, and surgical planning and image-guided interventions, but
only if obtained segmentation results are correct. This paper presents a multiple
classifier-based system for automatic brain tissue segmentation from cerebral MR
images. The developed system categorizes each voxel of a given MR image as GM,
WM, and CSF. The algorithm consists of preprocessing, feature extraction, and
supervised classification steps. In the first step, intensity non-uniformity in a
given MR image is corrected and then non-brain tissues such as skull, eyeballs,
and skin are removed from the image. For each voxel, statistical features and non
statistical features were computed and used a feature vector representing the
voxel. Three multilayer perceptron (MLP) neural networks trained using three
different datasets were used as the base classifiers of the multiple-classifier
system. The output of the base classifiers was fused using majority voting
scheme. Evaluation of the proposed system was performed using Brainweb simulated
MR images with different noise and intensity non-uniformity and internet brain
segmentation repository (IBSR) real MR images. The quantitative assessment of the
proposed method using Dice, Jaccard, and conformity coefficient metrics
demonstrates improvement (around 5 % for CSF) in terms of accuracy as compared to
single MLP classifier and the existing methods and tools such FSL-FAST and SPM.
As accurately segmenting a MR image is of paramount importance for successfully
promoting the clinical application of MR image segmentation techniques, the
improvement obtained by using multiple-classifier-based system is encouraging.
PMID- 27207465
TI - Genome-wide alteration of 5-hydroxymenthylcytosine in a mouse model of
Alzheimer's disease.
AB - BACKGROUND: Alzheimer's disease (AD) is the most common form of neurodegenerative
disorder that leads to a decline in cognitive function. In AD, aggregates of
amyloid beta peptide precede the accumulation of neurofibrillary tangles, both of
which are hallmarks of the disease. The great majority (>90 %) of the AD cases
are not originated from genetic defects, therefore supporting the central roles
of epigenetic modifications that are acquired progressively during the life span.
Strong evidences have indicated the implication of epigenetic modifications,
including histone modification and DNA methylation, in AD. Recent studies
revealed that 5-hydroxymethylcytosine (5hmC) is dynamically regulated during
neurodevelopment and aging. RESULTS: We show that amyloid peptide 1-42 (Abeta1
42) could significantly reduce the overall level of 5hmC in vitro. We found that
the level of 5hmC displayed differential response to the pathogenesis in
different brain regions, including the cortex, cerebellum, and hippocampus of APP
PSEN1 double transgenic (DTg) mice. We observed a significant decrease of overall
5hmC in hippocampus, but not in cortex and cerebellum, as the DTg mice aged.
Genome-wide profiling identified differential hydroxymethylation regions (DhMRs)
in DTg mice, which are highly enriched in introns, exons and intergenic regions.
Gene ontology analyses indicated that DhMR-associated genes are highly enriched
in multiple signaling pathways involving neuronal development/differentiation and
neuronal function/survival. CONCLUSIONS: 5hmC-mediated epigenetic regulation
could potentially be involved in the pathogenesis of AD.
PMID- 27207466
TI - Circadian disturbance and idiopathic central serous chorioretinopathy.
AB - BACKGROUND: This present retrospective case control study was designed to
evaluate circadian disturbance in patients with chronic idiopathic central serous
chorioretinopathy (ICSC). METHODS: Between January 1st, 2012, and November 30th,
2014, 29 consecutive patients with chronic ICSC examined in a referral setting
were compared with a gender-matched and age-matched control group of 29 patients.
A history of pharmacologic medication (including corticosteroid treatment), sleep
disturbance, irregular working hours, cardiovascular risk factors, and depressive
anxiety disorders was noted. RESULTS: The median age of the patients was 52, and
in the control subjects it was 50. The male-female ratio for both groups was
4.8:1. Patients with chronic ISCS were more likely to be exposed to irregular
working hours (p < 0.01, OR 9.3 [2.29-37.6]) and to present with overweight than
the control subjects (p = 0.016). No significant differences were found for
sleeping disturbances, pharmacological medication, cardiovascular risk factors,
or depressive anxiety disorders. CONCLUSIONS: In this preliminary study, the
exposition of irregular working hours as a risk factor for chronic ICSC was
identified, which had not been previously reported. If further studies confirm
these findings, then employment with regular working hours could be recommended
for chronic ICSC patients.
PMID- 27207467
TI - Novel liquid bubble dissection technique for DMEK lenticule preparation.
AB - PURPOSE: Descemet endothelial keratoplasty (DMEK) has replaced penetrating
keratoplasty in many cases of endothelial cell disorders. While DMEK has been
greatly improved by the introduction of no-touch injection cartridges, the tear
free preparation of the delicate Descemet's membrane (DM) remains a critical
step. We present a novel liquid bubble dissection technique for DM preparation
that could offer several advantages. METHODS: After identification of the iris
base, a sharp dissection until Schwalbe's line was performed. Then, a narrow
tunnel was created with a blunt spatula using a tangential dissection technique.
After the tunnel was created, the liquid bubble dissection was performed. The
complete detachment process took only a few seconds after a successful
preparation of the tunnel in the correct plane between the DM and corneal stroma.
RESULTS: Between February and September 2015, we consecutively performed 86 DMEK
lenticule preparations using the liquid bubble technique. The preparation time
until complete detachment was about 3 min (mean 194 +/- 20 s). Ninety-two percent
of preparations were completely uncomplicated; the total success rate was 99 %.
One graft could not be used for transplantation because of a central tear. The
graft failure rate was 1.16 %, similar to other authors. CONCLUSIONS: The
presented novel liquid bubble technique is easy, can be learned and performed
rapidly, is highly reproducible in a standardized fashion with minor tissue
manipulation (no touch) and, with a low rate of graft preparation failure,
necessitates no special equipment and allows for a simultaneous and selective
staining of the stromal side of DM, thus avoiding direct contact.
PMID- 27207469
TI - Establishing New Cut-Off Limits for Galactose 1-Phosphate-Uridyltransferase
Deficiency for the Dutch Newborn Screening Programme.
AB - Newborn screening for classical galactosemia in the Netherlands is performed by
five laboratories and is based on the measurement of galactose 1-phosphate
uridyltransferase (GALT) activity and total galactose (TGAL) in heel prick blood
spots. Unexpected problems with the GALT assay posed a challenge to switch to a
new assay. The aim of this study was to make an analytical and clinical
evaluation of GALT assays to replace the current assay and to establish new cut
off values (COVs).First, the manual assay from PerkinElmer (NG-1100) and the GSP
assay were compared by analyzing 626 anonymous heel prick samples in parallel.
Secondly, a manual GSP method was evaluated and 2,052 samples were compared with
the automated GSP assay. Finally, a clinical evaluation was performed by
collecting data from 93 referred newborns.No satisfactory correlation was
observed between GALT activity measured with the manual NG-1100 assay and the
automated GSP assay. An acceptable correlation was found between the manual and
automated GSP assay. Intra- and inter-assay variation of the automated GSP were
1.8-10.0% and 3.1-13.9%, respectively. Evaluation of clinical data demonstrated
that adjusting the COVs for GALT to 2.0 U/dl and TGAL to 1,100 MUmol/l improved
specificity of screening for classical galactosemia.An assay designed for
automated processing to measure GALT activity in heel prick samples works equally
well when processed manually. We therefore adopted both methods in the Dutch
screening laboratories. As a result of this evaluation new COVs for GALT and TGAL
have been introduced and are valid from July 2015.
PMID- 27207468
TI - SWI/SNF-directed stem cell lineage specification: dynamic composition regulates
specific stages of skeletal myogenesis.
AB - SWI/SNF chromatin-remodeling complexes are key regulators of the epigenetic
modifications that determine whether stem cells maintain pluripotency or commit
toward specific lineages through development and during postnatal life. Dynamic
combinatorial assembly of multiple variants of SWI/SNF subunits is emerging as
the major determinant of the functional versatility of SWI/SNF. Here, we
summarize the current knowledge on the structural and functional properties of
the alternative SWI/SNF complexes that direct stem cell fate toward skeletal
muscle lineage and control distinct stages of skeletal myogenesis. In particular,
we will refer to recent evidence pointing to the essential role of two SWI/SNF
components not expressed in embryonic stem cells-the catalytic subunit BRM and
the structural component BAF60C-whose induction in muscle progenitors coincides
with the expansion of their transcriptional repertoire.
PMID- 27207470
TI - Glycine N-Methyltransferase Deficiency: A Member of Dysmethylating Liver
Disorders?
AB - Glycine N-methyltransferase deficiency is an inherited disorder of methionine
metabolism, reported so far in only four patients and characterised by permanent
hypermethioninemia. This disorder has been considered as probably benign because
moderate hepatomegaly in two patients was the only obvious symptom and mild to
moderate elevation of aminotransferases the only laboratory abnormality. Our
experience with the current novel patient points out that this disease, due to
very high hypermethioninemia, is not harmless and that there may be diagnostic
pitfalls in interpretation of biochemical hallmarks of the disease. Since the
first description of glycine N-methyltransferase deficiency, other disorders of
this metabolic pathway affecting the liver have been reported pointing to
dysmethylation as the common pathogenetic mechanism. Therefore, we suggest the
whole group to be named dysmethylating liver diseases.
PMID- 27207471
TI - Cohesin mutations in human cancer.
AB - Cohesin is a highly-conserved protein complex that plays important roles in
sister chromatid cohesion, chromatin structure, gene expression, and DNA repair.
In humans, cohesin is a ubiquitously expressed, multi-subunit protein complex
composed of core subunits SMC1A, SMC3, RAD21, STAG1/2 and regulatory subunits
WAPL, PDS5A/B, CDCA5, NIPBL, and MAU2. Recent studies have demonstrated that
genes encoding cohesin subunits are somatically mutated in a wide range of human
cancers. STAG2 is the most commonly mutated subunit, and in a recent analysis was
identified as one of only 12 genes that are significantly mutated in four or more
cancer types. In this review we summarize the findings reported to date and
comment on potential functional implications of cohesin mutation in the
pathogenesis of human cancer.
PMID- 27207472
TI - Development of a new fertility prediction model for stallion semen, including
flow cytometry.
AB - Several laboratories routinely use flow cytometry to evaluate stallion semen
quality. However, objective and practical tools for the on-field interpretation
of data concerning fertilizing potential are scarce. A panel of nine tests,
evaluating a large number of compartments or functions of the spermatozoa:
motility, morphology, viability, mitochondrial activity, oxidation level,
acrosome integrity, DNA integrity, "organization" of the plasma membrane, and
hypoosmotic resistance, was applied to a population of 43 stallions, 33 of which
showing widely differing fertilities (19%-84% pregnancy rate per cycle [PRC]).
Analyses were performed either within 2 hours after semen collection or after 24
hour storage at 4 degrees C in INRA96 extender, on three to six ejaculates for
each stallion. The aim was to provide data on the distribution of values among
said population, showing within-stallion and between-stallion variability, and to
determine whether appropriate combinations of tests could evaluate the
fertilizing potential of each stallion. Within-stallion repeatability, defined as
intrastallion correlation (r = between-stallion variance/total variance) ranged
between 0.29 and 0.84 for "conventional" variables (viability, morphology, and
motility), and between 0.15 and 0.81 for "cytometric" variables. Those data
suggested that analyzing six ejaculates would be adequate to characterize a
stallion. For most variables, except those related to DNA integrity and some
motility variables, results differed significantly between immediately performed
analyses and analyses performed after 24 hours at 4 degrees C. Two "best-fit"
combinations of variables were determined. Factorial discriminant analysis using
a first combination of seven variables, including the polarization of
mitochondria, acrosome integrity, DNA integrity, and hypoosmotic resistance,
permitted exact determination of the fertility group for each stallion: fertile,
that is, PRC higher than 55%; intermediate, that is, 45% < PRC less than 55%; or
subfertile, that is, PRC less than 45%. Linear regression using another
combination of 20 variables, including motility, viability, oxidation level,
acrosome integrity, DNA integrity, and hypoosmotic resistance, accounted for
94.2% of the variability regarding fertility and was used to calculate a
prediction of the PRC with a mean standard deviation of 3.1. The difference
between the observed fertility and the calculated value ranged from -4.2 to 5.0.
In conclusion, this study enabled to determine a new protocol for the evaluation
of stallion semen, combining microscopical observation, computer-assisted
motility analysis and flow cytometry, and providing a high level of fertility
prediction.
PMID- 27207473
TI - Embryologic changes in rabbit lines selected for litter size variability.
AB - A divergent selection experiment on litter size variability was carried out.
Correlated response in early embryo survival, embryonic development, size of
embryos, and size of embryonic coats after four generations of selection was
estimated. A total of 429 embryos from 51 high-line females and 648 embryos from
80 low-line females were used in the experiment. The traits studied were
percentage of normal embryos, embryo diameter, zona pellucida thickness, and
mucin coat thickness. Traits were measured at 24, 48, and 72 hours postcoitum
(hpc); mucin coat thickness was only measured at 48 and 72 hpc. The embryos were
classified as zygotes or two-cell embryos at 24 hpc; 16-cell embryos or early
morulae at 48 hpc; and early morulae, compacted morulae, or blastocyst at 72 hpc.
At 24 hpc, the percentage of normal embryos in the high line was lower than in
the low line (-2.5%), and embryos in the high line showed 10% higher zona
pellucida thickness than those of the low line. No differences in percentage of
zygotes or two-cell embryos were found. At 48 hpc, the high-line embryos were
less developed, with a higher percentage of 16-cell embryos (23.4%) and a lower
percentage of early morulae (-23.4%). At 72 hpc, high-line embryos continued to
be less developed, showing higher percentages of early morulae and compact
morulae and lower percentages of blastocyst (-1.8%). No differences in embryo
diameter or mucin coat thickness were found at any time. In conclusion, selection
for litter size variability has consequences on early embryonic survival and
development, with embryos presenting a lower state of development and a lower
percentage of normal embryos in the line selected for higher variability.
PMID- 27207474
TI - Split-time artificial insemination in beef cattle: I-Using estrous response to
determine the optimal time(s) at which to administer GnRH in beef heifers and
postpartum cows.
AB - Two experiments evaluated timing of GnRH administration in beef heifers and cows
on the basis of estrous status during split-time artificial insemination (AI)
after controlled internal drug release (CIDR) based protocols. In experiment 1,
estrus was synchronized for 816 pubertal and prepubertal or peripubertal heifers
using the 14-day CIDR-PGF2alpha (PG) protocol, and in experiment 2, estrus was
synchronized for 622 lactating cows using the 7-day CO-Synch + CIDR protocol. For
both experiments, estrus detection aids (Estrotect) were applied at PG, with
estrus recorded at 66 and 90 hours after PG. Treatments were balanced across
locations for heifers using reproductive tract score and weight; whereas for
cows, treatments were assigned and balanced to treatment according to age, body
condition score, and days postpartum. Timing of AI for heifers and cows was on
the basis of estrus expression 66 hours after PG. Females in each treatment that
exhibited estrus before 66 hours were inseminated at 66 hours, whereas AI was
delayed 24 hours until 90 hours after PG for females failing to exhibit estrus
before 66 hours. Females in treatment one received GnRH 66 hours after PG
irrespective of estrus expression; however, in treatment 2, GnRH was administered
coincident with delayed AI only to females not detected in estrus at 66 hours
after PG. Among heifers, there was no effect of treatment on overall estrous
response (P = 0.49) or AI pregnancy rate (P = 0.54). Pregnancy rate for heifers
inseminated at 66 hours was not influenced by GnRH (P = 0.65), and there were no
differences between treatments in estrous response during the 24 hours delay
period (P = 0.22). Cows in treatment 2 had a greater (P = 0.04) estrous response
during the 24-hour delay period resulting in a greater overall estrous response
(P = 0.04), but this did not affect AI pregnancy rate at 90 hours (P = 0.51) or
total AI pregnancy rate (P = 0.89). Pregnancy rate resulting from AI for cows
inseminated at 66 hours was not influenced by GnRH (P = 0.50). In summary, when
split-time AI was used with the 14-day CIDR-PG protocol in heifers or the 7-day
CO-Synch + CIDR protocol in cows, administration of GnRH at AI to females that
exhibited estrus before 66 hours after PG was not necessary. Furthermore, among
heifers for which AI was delayed on the basis of failure to exhibit estrus before
66 hours after PG, timing of GnRH (66 vs. 90 hours after PG) was more flexible.
Delayed administration of GnRH to 90 hours after PG coincident with AI for cows
that failed to exhibit estrus before 66 hours improved overall estrous response;
however, in this study, a corresponding increase in pregnancy rate resulting from
AI was not observed.
PMID- 27207475
TI - Impact of insulin concentration and mode of FSH addition on the in vitro survival
and development of isolated bovine preantral follicles.
AB - The insulin and FSH are two important substances in the folliculogenesis process.
Thus, the hypothesis of this experiment is that insulin concentration and the
form of FSH addition affect the in vitro survival, growth, and estradiol
production after culture of isolated bovine preantral follicles. The effects of
insulin concentration (experiment 1) and the influence of both fixed and
sequential concentrations of FSH (experiment 2) on the in vitro survival and
development of bovine preantral follicles were investigated in this study by IVC
for 18 days. In experiment 1, on Day 18 of culture, the addition of insulin at
all concentrations promoted follicular survival rates significantly higher than
that of the control, with the 10-ng/mL insulin treatment showing values
significantly higher than the other treatments. The addition of 5- and 10-ng/mL
insulin promoted higher follicular growth than the control and other treatments.
In experiment 2, FSH 100 had a higher percentage of follicular viability compared
with the control. FSH 100 produced follicle diameters significantly higher than
those of the control and FSH seq. TREATMENT: Estradiol levels in the presence of
FSH (fixed concentration) were significantly higher than the other treatments. In
conclusion, the association of insulin (10 ng/mL) and fixed concentration FSH
(100 ng/mL) provides high rates of survival, growth, and estradiol production in
bovine preantral follicles.
PMID- 27207476
TI - Surgical approaches to chronic pancreatitis: indications and imaging findings.
AB - Chronic pancreatitis (CP) is an irreversible, inflammatory process characterized
by progressive fibrosis of the pancreas that can result in abdominal pain,
exocrine insufficiency, and diabetes. Inadequate pain relief using medical and/or
endoscopic therapies is an indication for surgery. The surgical management of CP
is centered around three main operations including pancreaticoduodenectomy (PD),
duodenum-preserving pancreatic head resection (DPPHR) and drainage procedures,
and total pancreatectomy with islet autotransplantation (TPIAT). PD is the method
of choice when there is a high suspicion for malignancy. Combined drainage and
resection procedures are associated with pain relief, higher quality of life, and
superior short-term and long-term survival in comparison with the PD. TPIAT is a
reemerging treatment that may be promising in subjects with intractable pain and
impaired quality of life. Imaging examinations have an extensive role in pre
operative and post-operative evaluation of CP patients. Pre-operative advanced
imaging examinations including CT and MRI can detect hallmarks of CP such as
calcifications, pancreatic duct dilatation, chronic pseudocysts, focal pancreatic
enlargement, and biliary ductal dilatation. Post-operative findings may include
periportal hepatic edema, pneumobilia, perivascular cuffing and mild pancreatic
duct dilation. Imaging can also be useful in the detection of post-operative
complications including obstructions, anastomotic leaks, and vascular lesions.
Imaging helps identify unique post-operative findings associated with TPIAT and
may aid in predicting viability and function of the transplanted islet cells. In
this review, we explore surgical indications as well as pre-operative and post
operative imaging findings associated with surgical options that are typically
performed for CP patients.
PMID- 27207477
TI - Comparative transcriptomic analysis of Clostridium perfringens biofilms and
planktonic cells.
AB - Clostridium perfringens is an opportunistic pathogen that can cause food
poisoning in humans and various enterotoxaemias in animal species. Recently, C.
perfringens was shown to form biofilms, a structured community of bacterial cells
enclosed in a self-produced extracellular matrix. However, very little is known
on the subject and no information is available on gene expression in C.
perfringens biofilms. To gain insights into the differences between free-living
C. perfringens cells and those in biofilms, we used RNA sequencing. In total,
25.7% of genes showed differential expression in the two growth modes; about
12.8% of genes were up-regulated and about 12.9% were down-regulated in biofilms.
We show that 772 genes were significantly differentially expressed between
biofilms and planktonic cells from the supernatant of biofilms. Genes that were
down-regulated in biofilm cells, relative to planktonic cells, included those
involved in virulence, energy production, amino acid, nucleotide and carbohydrate
metabolism, and in translation and ribosomal structure. Genes up-regulated in
biofilm cells were mainly involved in amino acid and carbohydrate metabolism,
transcription, inorganic ion metabolism and in defence mechanisms. This study
provides new insights into the transcriptomic response of C. perfringens during
biofilm formation.
PMID- 27207478
TI - Heed or disregard a cancer patient's critical blogging? An experimental study of
two different framing strategies.
AB - BACKGROUND: We have examined healthcare staff attitudes of toward a blogging
cancer patient who publishes critical posts about her treatment and their
possible effect on patient-staff relationships and treatment decisions. METHODS:
We used two versions of a questionnaire containing a vignette based on a modified
real case involving a 39-year-old cancer patient who complained on her blog about
how she was encountered and the treatment she received. Initially she was not
offered a new, and expensive treatment, which might have influenced her
perception of further encounters. In one version of the vignette, the team
decides to put extra effort into both encounters and offers the expensive new
cancer treatment. In the other version, the team decides to follow the clinic's
routine to the letter. Subsequently, blog postings became either positive or
negative in tone. We also divided participants into value-neutral and value
influenced groups (regarding personal values) by asking how their trust in
healthcare would be affected if the team's suggestion were followed. RESULTS: A
total of 56 % (95 % CI: 51-61) of the respondents faced with a team decision to
'do something-extra' in encounters would act in accordance with this ambition.
Concerning treatment, 32 % (95 % CI: 28-38) would follow the team's decision to
offer a new and expensive treatment. A large majority of those who received the
"follow-routine" version agreed to do so in encountering [94 % (95 % CI: 91-97)].
Similar proportions were found regarding treatment [86 % (95 % CI: 82-90)]. A
total of 83 % (95 % CI: 76-91) of the value-neutral participants who received the
"do-something-extra" version stated that they would act as the team suggested
regarding encounters, while 57 % (95 % CI: 47-67) would do so in regard to
treatment. Among the value-influenced participants who received the "do-something
extra" version, 45 % (95 % CI: 38-51) stated that they would make an extra effort
to accommodate the patient and her needs, while the proportion for treatment was
22 % (95 % CI: 16-27). Among those who had received the "follow-routine" version,
a large majority agreed, and no difference was indicated between the value
neutral and the value-influenced participants. CONCLUSION: The present study
indicates that healthcare staff is indeed influenced by reading a patient's
critical blog entries, largely regarding encounters, but also concerning
treatment is concerned. Value-neutral healthcare personnel seem to exhibit a
pragmatic attitude and be more inclined to heed and respond to a patient whose
criticism may well be warranted. The study also indicates that healthcare staff
is partly positive or negative to future blogging patients depending on how the
issue has been framed. For future research we suggest as a bold hypothesis that
the phrase "clinical routine" might conceal power aspects masquerading as adopted
ethical principles.
PMID- 27207479
TI - Predictors of time to claim closure following a non-catastrophic injury sustained
in a motor vehicle crash: a prospective cohort study.
AB - BACKGROUND: Research suggests that exposure to the compensation system (including
time to case closure) could adversely influence a persons' recovery following
injury. However, the long-term predictors of time to claim closure following
minor road traffic injuries remain unclear. We aimed to assess a wide spectrum of
factors that could influence time to claim closure (socio-demographic,
compensation-related, health, psychosocial and pre-injury factors) over 24 months
following a non-catastrophic injury. METHODS: Prospective cohort study of 364
participants involved in a compensation scheme following a motor vehicle crash.
We used a telephone-administered questionnaire to obtain information on potential
explanatory variables. Information on time to claim closure was obtained from an
insurance regulatory authority maintained database, and was classified as the
duration between the crash date and claim settlement date, and categorized into <
12 (early), > 12-24 (medium) and > 24 months (late). RESULTS: Just over half of
claimants (54 %) had settled their claim by 12 months, while 17 % and 30 % took >
12-24 months and > 24 months for claim closure, respectively. Whiplash at
baseline was associated with claim closure time of > 12-24 months versus < 12
months: multivariable-adjusted OR 2.38 (95 % CI 1.06-5.39). Claimants who were
overweight/obese versus normal/underweight at the time of injury were ~3.0-fold
more likely to settle their claim at > 12-24 months than < 12 months. Consulting
a lawyer was associated with a 10.4- and 21.0-fold increased likelihood of
settling a claim at > 12-24 months and > 24 months, respectively. Each 1-unit
increase in Orebro Musculoskeletal Pain Screening Questionnaire scores at
baseline was associated with greater odds of both medium (> 12-24 months) and
delayed claim settlement date (> 24 months): multivariable-adjusted OR 1.04 (95 %
CU 1.01-1.07) and 1.02 (95 % CI 1.00-1.05), respectively. CONCLUSIONS: Around a
third of claimants with a minor injury had not settled by 24 months. Health
related factors and lawyer involvement independently influenced time to claim
closure.
PMID- 27207481
TI - Adverse Effects of Nonsystemic Steroids (Inhaled, Intranasal, and Cutaneous): a
Review of the Literature and Suggested Monitoring Tool.
AB - Inhaled, intranasal, and cutaneous steroids are prescribed by physicians for a
plethora of disease processes including asthma and rhinitis. While the high
efficacy of this class of medication is well known, the wide range of adverse
effects, both local and systemic, is not well elucidated. It is imperative to
monitor total steroid burden in its varied forms as well as tracking for possible
side effects that may be caused by a high cumulative dose of steroids. This
review article highlights the adverse effects of different steroid modalities as
well as suggests a monitoring tool to determine steroid totality and side
effects.
PMID- 27207482
TI - Single institution results of radical antegrade modular pancreatosplenectomy for
adenocarcinoma of the body and tail of pancreas in 78 patients.
AB - BACKGROUND: The purpose of this report is to present results of the radical
antegrade modular pancreatosplenectomy (RAMPS) procedure in 78 patients from a
single center. METHODS: Seventy-eight patients had RAMPS procedure over 13 years.
A database dealing with RAMPS for adenocarcinoma of the pancreas was constructed
so that it could be converted into a set of tables. Each table covered one
element of the subject. The database was populated from clinical records of
patients who had a RAMPS procedure from 1999 to 2013. RESULTS: Fifty-six patients
had anterior RAMPS and 22 had posterior RAMPS. Negative tangential margins were
obtained in 94% of specimens. Overall the R0 rate was 85%. Mean lymph node count
was 20. There were no 30-day or in-hospital mortalities but two patients died
within 90 days. Pancreatic fistula and need for postoperative transfusion were
the most common complications. Median survival was 24.6 months and 5-year overall
actuarial survival was 25.1%. CONCLUSIONS: The RAMPS technique resulted in high
negative tangential margin rates and good lymph node retrieval. The long-term
survival result in 78 patients is probably an accurate reflection of what is
possible with this tumor using this technique at this time.
PMID- 27207483
TI - Stenotrophomonas maltophilia ventilator-associated pneumonia. A retrospective
matched case-control study.
AB - BACKGROUND: Stenotrophomonas maltophilia is increasingly identified in critically
ill patients, but it is considered a pathogen with limited pathogenicity and it
is therefore infrequently targeted. This study explores whether S. maltophilia
may cause ventilator-associated pneumonia (VAP) and whether it affects intensive
care unit (ICU) mortality and 28-day mortality when compared to VAP caused by
other Gram-negative bacilli. METHODS: Retrospective analysis of a 19-year
prospectively collected database. Stenotrophomonas maltophilia as a cause was
considered in VAP-suspected cases when S. maltophilia growth of >=10(4) cfu/ml
was detected in bronchoalveolar lavage fluid analysis. Cases were matched on
hospital, gender, age and acute physiology and chronic health evaluation II score
in a 1:3 ratio with controls from the same database suffering from VAP caused by
other Gram-negative bacilli. RESULTS: Eight cases met the inclusion criteria, of
which three were labelled as 'probable' SM-VAP and three as 'possible' SM-VAP.
These six patients constitute 1.8% of all VAPs in the studied period. No
significant differences in baseline characteristics and duration of mechanical
ventilation (p = 0.68), length of stay in the ICU (p = 0.55) and hospital (p =
0.84) between cases and controls were identified between cases and controls.
Intensive care unit mortality odds ratio was 1.7 (p = 0.55; 95% CI 0.3-10.5) and
28-day mortality odds ratio was 1.4 (p = 0.70; 95% CI 0.2-9.1). CONCLUSIONS:
Stenotrophomonas maltophilia is a possible, yet infrequent cause of VAP. No
outcome differences were found when compared to matched VAP caused by other Gram
negative bacilli.
PMID- 27207480
TI - Vimentin over-expression and carbonic anhydrase IX under-expression are
independent predictors of recurrence, specific and overall survival in non
metastatic clear-cell renal carcinoma: a validation study.
AB - PURPOSE: Clinical outcomes prognostic markers are awaited in clear-cell renal
carcinoma (ccRCC) to improve patient-tailored management and to assess six
different markers' influence on clinical outcomes from ccRCC specimen and their
incremental value combined with TNM staging. MATERIALS AND METHODS: This is a
retrospective, multicenter study. One hundred and forty-three patients with pT1b
pT3N0M0 ccRCC were included. Pathology specimens from surgeries were centrally
reviewed, mounted on a tissue micro-array and stained with six markers: CAIX, c
MYC, Ki67, p53, vimentin and PTEN. Images were captured through an Ultra Fast
Scanner. Tumor expression was measured with Image Pro Plus. Cytoplasmic markers
(PTEN, CAIX, vimentin, c-MYC) were expressed as surface percentage of expression.
Nuclear markers (Ki67, p53) were expressed as number of cells/mm2. Clinical data
and markers expression were compared with clinical outcomes. Each variable was
included in the Cox proportional multivariate analyses if p < 0.10 on univariate
analyses. Discrimination of the new marker was calculated with Harrell's
concordance index. RESULTS: At median follow-up of 63 months (IQR 35.0-91.8), on
multivariate analysis, CAIX under-expression and vimentin over-expression were
associated with worse survival (recurrence, specific and overall survival). A
categorical marker CAIX-/Vimentin+ with cutoff points for CAIX and vimentin of 30
and 50 %, respectively, was designed. The new CAIX-/Vimentin+ marker presented a
good concordance and comparable calibration to the reference model. Limitations
are the retrospective design, the need for external validation and the large
study period. CONCLUSION: Using an automated technique of measurement, CAIX and
vimentin are independent predictors of clinical outcomes in ccRCC.
PMID- 27207484
TI - Scleromyxedema: long-term follow-up after high-dose melphalan with autologous
stem cell transplantation.
AB - BACKGROUND: Scleromyxedema is a cutaneous mucinosis that is often accompanied by
severe systemic manifestations. New therapeutic options have been introduced for
this condition, but data on the long-term efficacy of treatments are limited.
OBJECTIVES: This study was designed to evaluate the long-term efficacy and safety
of treatment with high-dose melphalan and autologous peripheral blood stem cell
transplantation (PBSCT). METHODS: In an original study published in 2006, seven
patients with scleromyxedema were treated with high-dose melphalan and autologous
PBSCT between April 2000 and November 2003. This follow-up retrospective study
evaluated the long-term efficacy and safety of the treatment in five of the
original seven patients. RESULTS: Responses were seen in all five patients. Three
patients achieved complete responses, and one achieved a partial response. One
patient achieved a complete response followed by a partial response after
recurrence. Paraprotein levels were stable in two patients. In one patient,
paraprotein level was undetectable 10 years following treatment, and in the other
two patients, paraprotein levels decreased significantly following treatment. No
long-term complications or adverse effects were noted in any of the patients.
CONCLUSIONS: High-dose melphalan with autologous stem cell transplantation
appears to be a safe and effective long-term treatment in patients with
scleromyxedema. However, further studies are required to investigate this in
larger groups of patients.
PMID- 27207485
TI - In ovo tris(2-butoxyethyl) phosphate concentrations significantly decrease in
late incubation after a single exposure via injection, with no evidence of
effects on hatching success or latent effects on growth or reproduction in zebra
finches.
AB - Zebra finch (Taeniopygia guttata) eggs were injected with the organophosphate
triester flame retardant tris(2-butoxyethyl) phosphate (TBOEP) at 0 MUg/g, 0.01
MUg/g, 1 MUg/g, 10 MUg/g, or 50 MUg/g egg. Subsets of high-dose eggs were
collected throughout incubation to measure TBOEP, which started declining in late
incubation and then decreased rapidly to 28% of injected concentration by
hatching. The authors found no effects of TBOEP on survival, growth, or
reproduction even at very high doses. Environ Toxicol Chem 2017;36:83-88. (c)
2016 SETAC.
PMID- 27207487
TI - GPs demand to know what constitutes core services.
PMID- 27207486
TI - Cryopreserved vitamin D3-tolerogenic dendritic cells pulsed with autoantigens as
a potential therapy for multiple sclerosis patients.
AB - BACKGROUND: Tolerogenic dendritic cells (tolDC) have been postulated as a potent
immunoregulatory therapy for autoimmune diseases such as multiple sclerosis (MS).
In a previous study, we demonstrated that the administration of antigen-specific
vitamin D3 (vitD3) tolDC in mice showing clinical signs of experimental
autoimmune encephalomyelitis (EAE; the animal model of MS) resulted in abrogation
of disease progression. With the purpose to translate this beneficial therapy to
the clinics, we have investigated the effectivity of vitD3-frozen antigen
specific tolDC pulsed with myelin oligodendrocyte glycoprotein 40-55 peptide (f
tolDC-MOG) since it would reduce the cost, functional variability and number of
leukapheresis to perform to the patients. METHODS: Mice showing EAE clinical
signs were treated with repetitive doses of f-tolDC-MOG. Tolerogenic mechanisms
induced by the therapy were analysed by flow cytometry and T cell proliferation
assays. RESULTS: Treatment with f-tolDC-MOG was effective in ameliorating
clinical signs of mice with EAE, inhibiting antigen-specific reactivity and
inducing Treg. In addition, the long-term treatment was well tolerated and
leading to a prolonged maintenance of tolerogenicity mediated by induction of
Breg, reduction of NK cells and activation of immunoregulatory NKT cells.
CONCLUSIONS: The outcomes of this study show that the use of antigen-specific f
tolDC promotes multiple and potent tolerogenic mechanisms. Moreover, these cells
can be kept frozen maintaining their tolerogenic properties, which is a relevant
step for their translation to the clinic. Altogether, vitD3 f-tolDC-MOG is a
potential strategy to arrest the autoimmune destruction in MS patients.
PMID- 27207488
TI - Reducing patient copayment levels for topical and systemic treatments in plaque
psoriasis as a case for evidence-based, sustainable pharmaceutical policy change
in Greece.
AB - AIM: Psoriasis is a chronic inflammatory skin disease that requires treatment to
manage co-morbidities and improve patient quality-of-life. This study estimated
the budget impact to National Organization for Health Care Services Provision
(EOPYY) of changing reimbursement of psoriasis treatment with topical and
systemic, non-biologic, agents (75%) to bring it on par with that of biologic
agents (100%) in Greece. METHODS: The Business Intelligence database of EOPYY was
used to identify and provide analytics on patients with plaque psoriasis.
Permission for use of anonymized data was obtained by the administration of
EOPYY. EOPYY is responsible for funding healthcare and pharmaceutical care
services for ~95% of the permanent population in the country. Pre-defined ICD-10
codes were applied to identify patients with plaque psoriasis and at least one
reimbursed prescription between 1 June 2014 and 31 May 2015. Age, gender,
medications, and cost were recorded for these patients. RESULTS: Of the 45,581
unique patients identified through completely anonymized data on the e
prescription system, 72% were on treatment with topicals only and accounted for
5% of EOPYY psoriasis expenditure. Another 9% of patients were on methotrexate or
a per os (POS, orally administered) systemic agent and accounted for 2.35% of
total expenditure. Approximately 12% of total patients were on treatment with a
biologic-containing regimen and accounted for almost 90% of psoriasis
expenditure. Patients on biologics were younger than patients on topical and
systemic treatments. The burden to EOPYY of adjusting reimbursement levels for
topical and systemic, non-biologic, treatments to 100% of their cost was
estimated at ?2.05 per patient per month for topical treatments (monotherapy) and
an additional ?9.5 per patient per month for treatment with methotrexate, POS
systemic agents, and their combinations with topical agents. This additional cost
is expected to be offset by averting 200 earlier than clinically necessary
switches from topical and systemic, non-biologic, treatments to expensive
biologics a year. CONCLUSION: In circumstances of severe funding constraints for
social health insurance in Greece, bringing patient copayment levels for
psoriasis treatment on par with each other may aid proper clinical management of
the condition, whilst achieving adequate treatment outcomes at optimal cost.
PMID- 27207490
TI - Rapid Antigen Testing for Trichomoniasis in an Emergency Department.
AB - BACKGROUND AND OBJECTIVES: Trichomoniasis is a prevalent cause of vaginitis among
adolescents that increases the risk of acquiring other sexually transmitted
diseases and of negative pregnancy outcomes. Treatment of trichomoniasis is
therefore essential for improving sexual and reproductive health outcomes. A
timely, sensitive diagnostic test for T vaginalis may increase the accuracy of
clinician's treatment decisions, resulting in more infected women receiving
treatment and fewer uninfected women receiving treatment. METHODS: This study was
a retrospective observational assessment of electronic medical records before and
after point-of-care (POC) implementation of the rapid antigen test. Records were
collected from women aged 14 to 20 years who received a T vaginalis test in the
emergency department during either study period. The main outcome measures were
rates of accurate treatment, inaccurate treatment, and missed treatment of
trichomoniasis in each study period. RESULTS: Overall rates of accurate treatment
increased from 78.7% pre-POC to 87.7% post-POC (P = .02). Specifically, rates of
not treating uninfected women increased from 61.4% pre-POC to 70.4% post-POC (P =
.06), and rates of treating infected women were the same pre-POC (17.3%) and post
POC (17.3%; P = .99). Rates of inaccurate treatment decreased from 23.1% pre-POC
to 13.1% post-POC (P = .02). Changes in missed treatment rates (14.0% pre-POC;
8.8% post-POC; P = .73) were not statistically significant. CONCLUSIONS: POC
testing can improve clinical care by decreasing the use of antibiotics in
uninfected women. The results of this study support the use of a T vaginalis
rapid antigen POC test for adolescents presenting to the emergency department.
PMID- 27207489
TI - Multimodal analysis of cortical chemoarchitecture and macroscale fMRI resting
state functional connectivity.
AB - The cerebral cortex is well known to display a large variation in excitatory and
inhibitory chemoarchitecture, but the effect of this variation on global scale
functional neural communication and synchronization patterns remains less well
understood. Here, we provide evidence of the chemoarchitecture of cortical
regions to be associated with large-scale region-to-region resting-state
functional connectivity. We assessed the excitatory versus inhibitory
chemoarchitecture of cortical areas as an ExIn ratio between receptor density
mappings of excitatory (AMPA, M1 ) and inhibitory (GABAA , M2 ) receptors,
computed on the basis of data collated from pioneering studies of autoradiography
mappings as present in literature of the human (2 datasets) and macaque (1
dataset) cortex. Cortical variation in ExIn ratio significantly correlated with
total level of functional connectivity as derived from resting-state functional
connectivity recordings of cortical areas across all three datasets (human I: P =
0.0004; human II: P = 0.0008; macaque: P = 0.0007), suggesting cortical areas
with an overall more excitatory character to show higher levels of intrinsic
functional connectivity during resting-state. Our findings are indicative of the
microscale chemoarchitecture of cortical regions to be related to resting-state
fMRI connectivity patterns at the global system's level of connectome
organization. Hum Brain Mapp 37:3103-3113, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27207491
TI - Outpatient Care Preceding Hospitalization for Diabetic Ketoacidosis.
AB - OBJECTIVE: To identify patterns of outpatient care associated with diabetic
ketoacidosis (DKA) among pediatric patients with type 1 diabetes (T1D). METHODS:
Retrospective cohort study using Medicaid claims data from 2009 to 2012 for
children with T1D enrolled >=365 consecutive days in California Children's
Services, a Title V program for low-income children with chronic disease. Outcome
was DKA hospitalization >30 days after enrollment. Outpatient visits to primary
care, endocrinology, pharmacies, and emergency departments (EDs) were assessed
during the 6 months before an index date: either date of first DKA
hospitalization or end of enrollment for those without DKA. Univariate and
multivariate analysis was used to evaluate independent associations between DKA
and outpatient care at clinically meaningful intervals preceding the index date.
RESULTS: Among 5263 children with T1D, 16.7% experienced DKA during the study
period. Patients with DKA were more likely to have had an ED visit (adjusted odds
ratio [aOR] 3.99, 95% confidence interval [CI]: 2.60-6.13) or a nonpreventive
primary care visit (aOR 1.35, 95% CI: 1.01-1.79) within 14 days before the index
date, and less likely to have visited an endocrinologist (aOR 0.76, 95% CI: 0.65
0.89) within the preceding 120 days. Preventive visits and pharmacy claims were
not associated with DKA. CONCLUSIONS: For children with T1D, recent ED visits and
long intervals without subspecialty care are important signals of impending DKA.
Combined with other known risk factors, these health-use indicators could be used
to inform clinical and case management interventions that aim to prevent DKA
hospitalizations.
PMID- 27207492
TI - A New Splicing Mutation in the L1CAM Gene Responsible for X-Linked Hydrocephalus
(HSAS).
AB - X-linked hydrocephalus (XLH) is a genetic disorder leading to a syndrome
characterized by mental retardation, bilateral adducted thumbs, and spasticity of
upper and lower limbs. In most cases, X-linked mutation leads to a defective
activity of the neuronal cell adhesion molecule L1CAM (L1 cell adhesion molecule,
OMIM 308840). Depending on mutations of L1CAM, four X-linked neurological
syndromes have been described. These syndromes are very different albeit each one
possesses marked variability. In the present study, we describe a novel L1CAM
mutation in a 33-year-old woman reporting two voluntary terminations of pregnancy
due to fetal hydrocephalus. The genetic analysis identified the potential
splicing variant c.1267+5delG. When analyzed in vitro, this mutation produces the
skipping of exon 10. The same mutation was confirmed in analyzing DNA from
amniocytes from the second pregnancy, and ultrasound scan and autopsy confirmed
the occurrence of a severe L1 syndrome. These data describe a novel L1 mutation
which improves our understanding on genotype-phenotype correlation while
confirming the importance of prenatal screening for L1CAM mutations.
PMID- 27207493
TI - Effect of local cold and hot pack on the bruising of enoxaparin sodium injection
site: a randomized controlled trial.
AB - PURPOSE: This study aimed to assess the effect of the application of local cold
and cold-hot packs upon the size of bruising at the injection site of
subcutaneous enoxaparin sodium. METHODS: One-hundred and eighty patients with
coronary diseases were allocated randomly to three groups: (i) local cold gel
pack group; (ii) local cold-hot gel pack group; and (iii) control group.
Assessment of the presence of a bruise was conducted at 24, 48 and 72 hours after
the injections for all patients. RESULTS: The cold-hot pack group had
significantly less and smaller injection site bruising than the two other groups
at 48 and 72 hours (both p < 0.001). CONCLUSIONS: The local application of cold
hot packs is more effective on reducing bruises following enoxaparin sodium
injections when compared with local cold pack application alone.Thus, this
process can improve the quality of nursing care for hospitalized patients
receivingthese injections.
PMID- 27207494
TI - Vitamin D status, vitamin D receptor and toll like receptor-2 polymorphisms in
tuberculous meningitis: a case-control study.
AB - INTRODUCTION: Vitamin D levels and genetic factors, vitamin D receptor (VDR) and
Toll like receptor- 2 (TLR-2) gene single nucleotide polymorphisms (SNPs),
determine susceptibility to pulmonary tuberculosis. We aimed to evaluate vitamin
D deficiency, VDR and TLR-2 gene SNPs in tuberculous meningitis (TBM). METHODS:
This case-control study included 130 subjects each in three arms (TBM, pulmonary
tuberculosis and healthy control). This study was performed in a large tertiary
care institution of North India. Subjects were enrolled from August 2013 to July
2015. Vitamin D levels were measured using enzyme immunoassay. SNPs in VDR and
TLR-2 gene were assessed using polymerase chain reaction-sequencing method. TBM
patients were followed for 6 months. RESULTS: Vitamin D deficiency was
significantly more common in TBM compared to controls and pulmonary tuberculosis
(TBM versus controls p < 0.001; TBM versus pulmonary tuberculosis p < 0.001). The
heterozygous (TC) and mutant (CC) genotypes of Taq1 VDR SNP were significantly
associated with TBM as compared to controls [TC; p < 0.001, odds ratio (OR) =
3.53 (1.95-6.40); CC; p = 0.002 OR = 5.97 (1.89-18.84)]. The heterozygous
genotypes were significantly associated with TBM as compared with pulmonary
tuberculosis [p = 0.001; OR = 2.53(1.43-4.45)]. Heterozygous (TG) and mutants
(GG) forms of Apa1 VDR SNPs were significantly associated with TBM compared to
controls [TG; p = 0.001, OR = 2.86 (1.58-5.17), GG; p = 0.002, OR = 5.11 (1.80
14.54)] and pulmonary tuberculosis. There was no significant difference in the
frequency of TLR-2 SNPs. No association was found between outcome of TBM and
vitamin D deficiency, VDR or TLR-2 SNPs. CONCLUSION: Vitamin D deficiency and VDR
polymorphisms are associated with the susceptibility of TBM.
PMID- 27207495
TI - The effects of treadmill walking combined with obstacle-crossing on walking
ability in ambulatory patients after stroke: a pilot randomized controlled trial.
AB - BACKGROUND AND OBJECTIVE: Treadmill walking training (TWT) provides greater
amount and intensity of stepping practice than conventional walking training in
patients with chronic stroke. However, there is not any conclusive evidence
regarding the effects of TWT for ambulatory post-stroke patients. This study
investigated the effects of treadmill walking combined with obstacle-crossing on
the walking ability of ambulatory post-stroke patients. METHODS: Twenty-nine
subjects from a university hospital-based rehabilitation center were randomly
assigned to one of the following: experimental group (15 subjects) or control
group (14 subjects). All subjects underwent 30 min of active/passive exercises
and 30 min of gait training in the form of treadmill walking. The subjects in the
experimental group underwent simultaneous training in obstacle-crossing while
walking on the treadmill for 30 min/day, 5 times/week, for 4 weeks. Main measures
were the 10-m walk test (10MWT), 6-min walk test (6MWT), Berg Balance Scale
(BBS), timed "Up & Go" (TUG) test, and Activities-specific Balance Confidence
(ABC) scale used before and after the intervention. RESULTS: The changed values
of the 6MWT and BBS were significantly higher in the experimental group than in
the control group after adjusting for each baseline value, with large effects of
1.12 and 0.78, respectively, but not in the 10MWT, TUG, and ABC scale scores.
Both groups showed a significant difference in all variables before and after the
intervention. CONCLUSION: Treadmill walking combined with obstacle-crossing
training may help improve the walking ability of patients with hemiplegic stroke
and can possibly be used as an adjunct to routine rehabilitation therapy as a
task-oriented practice based on community ambulation.
PMID- 27207496
TI - Assessing exposure risks for freshwater tilapia species posed by mercury and
methylmercury.
AB - Waterborne and dietborne exposures of freshwater fish to mercury (Hg) in the
forms of inorganic (Hg(II)) and organic (methylmercury or MeHg) affect their
growth, development, and reproduction. However, an integrated mechanistic risk
model framework to predict the impact of Hg(II)/MeHg on freshwater fish is
lacking. Here, we integrated biokinetic, physiological and biogeographic data to
calibrate and then establish key risk indices-hazardous quotient and exceedance
risk-for freshwater tilapia species across geographic ranges of several major
rivers in Taiwan. We found that Hg(II) burden was highest in kidney followed by
gill, intestine, liver, blood, and muscle. Our results showed that Hg was less
likely to pose mortality risk (mortality rate less than 5 %) for freshwater
tilapia species. However, Hg is likely to pose the potential hazard to aquatic
environments constrained by safety levels for aquatic organisms. Sensitivity
analysis showed that amount of Hg accumulated in tilapia was most influenced by
sediment uptake rate. Our approach opens up new possibilities for predicting
future fish population health with the impacts of continued Hg exposure to
provide information on which fish are deemed safe for human consumption.
PMID- 27207497
TI - Ageing decreases the phytotoxicity of zero-valent iron nanoparticles in soil
cultivated with Oryza sativa.
AB - This paper was aimed to study the impact of "ageing" (aged in non-saturated soil
for 2 and 4 weeks prior to exposure) nanoscale zero-valent iron (nZVI) on the
terrestrial plant. The effects of nZVI on Oryza Sativa germination, seedlings
growth, chlorophyll biosynthesis, oxidative stress and the activities of
antioxidant enzymes at low (250 mg/kg) and high (1000 mg/kg) concentrations were
investigated in this study. The results showed that neither the freshly added nor
the "ageing" nZVI to the soil had a significant effect on germination, regardless
of concentration. At the low concentration, the freshly added nZVI had no visible
toxic effects on the rice seedlings growth, but the rice seedlings exhibited
obvious toxic symptoms at the high concentration. At the high concentration,
toxicity effects of nZVI were reduced after aging with 2 and 4 weeks in soils
compared to fresh nZVI, but the "ageing" nZVI continued to significantly inhibit
the rice seedlings growth compared with the control, and the inhibition rates of
2 and 4-week-old nZVI were not significantly different. The mechanism of ageing
decreased the phytotoxicity of nZVI was due to nZVI particles incomplete
oxidation, and some of which had remained in the soil after 4 weeks aged.
PMID- 27207498
TI - Spatial distribution of aquatic marine fungi across the western Arctic and sub
arctic.
AB - Fungi are important parasites of primary producers and nutrient cyclers in
aquatic ecosystems. In the Pacific-Arctic domain, fungal parasitism is linked to
light intensities and algal stress that can elevate disease incidence on algae
and reduce diatom concentrations. Fungi are vastly understudied in the marine
realm and knowledge of their function is constrained by the current understanding
of fungal distribution and drivers on global scales. To investigate the spatial
distribution of fungi in the western Arctic and sub-Arctic, we used high
throughput methods to sequence 18S rRNA, cloned and sequenced 28S rRNA and
microscopically counted chytrid-infected diatoms. We identified a broad
distribution of fungal taxa predominated by Chytridiomycota and Dikarya.
Phylogenetic analysis of our Chytridiomycota clones placed Arctic marine fungi
sister to the order Lobulomycetales. This clade of fungi predominated in fungal
communities under ice with low snowpack. Microscopic examination of fixed
seawater and sea ice samples revealed chytrids parasitizing diatoms collected
across the Arctic that notably infected 25% of a single diatom species in the
Bering Sea. The Pezizomycotina comprised > 95% of eukaryotic sequence reads in
Greenland, providing preliminary evidence for osmotrophs being a substitute for
algae as the base of food webs.
PMID- 27207499
TI - Development of synaptic networks in the mouse vagal pathway revealed by optical
mapping with a voltage-sensitive dye.
AB - The central issue in developmental neuroscience is when and how neural synaptic
networks are established and become functional within the central nervous system
(CNS). Investigations of the neural network organization have been hampered
because conventional electrophysiological means have some technical limitations.
In this study, the multiple-site optical recording technique with a voltage
sensitive dye was employed to survey the developmental organization of the vagal
system in the mouse embryo. Stimulation of the vagus nerve in E11-E14 mouse
embryos elicited optical responses in areas corresponding to the vagal sensory
and motor nuclei. Postsynaptic responses in the first-order sensory nucleus, the
nucleus of the tractus solitarius (NTS), were identified from E11, suggesting
that sensory information becomes transferred to the brain at this stage. In
addition to the NTS, optical responses were identified in the rostral and
contralateral brainstem regions, which corresponded to second/higher order nuclei
of the vagus nerve including the parabrachial nucleus (PBN). Postsynaptic
responses in the second/higher-order nuclei were detected from E12, suggesting
that polysynaptic networks were functional at this stage. We discuss the results
of our optical mapping, comparing them with previous findings obtained in the
chick and rat embryos, and suggest some fundamental principles in the functional
organization of synaptic networks in the embryonic brain.
PMID- 27207500
TI - Cutaneous infection due to Mycobacterium szulgai in a patient with
myelodysplastic syndrome.
PMID- 27207501
TI - Candida albicans scleral abscess in a HIV-positive patient and its successful
resolution with antifungal therapy-a first case report.
AB - BACKGROUND: Fungal infection of the sclera is very rare. No case of fungal
scleral abscess in a HIV-positive patient has been reported. We report a case of
scleral abscess caused by Candida albicans and its successful resolution
following antifungal therapy in a HIV-positive patient. FINDINGS: A 57-year-old
diabetic Asian (Indian) who was on HAART for the last 10 years presented with 2
weeks history of redness in his right eye. Examination revealed localised scleral
inflammation with central ulceration in the inferior quadrant of the right eye.
Initially, the ulcer scrapings revealed no microbial organism. Progression of
ulcer although on empirical antibiotic therapy required repeat scrapings which
showed C. albicans species in culture sensitive to amphotericin and natamycin.
Aggressive topical and systemic antifungals resulted in dramatic and complete
healing of the ulcer in 3 weeks. Vision was well maintained at 20/30 throughout
the treatment course and the fundus remained normal. CONCLUSIONS: This is the
first ever case of fungal scleral abscess in an HIV patient to be reported
emphasising there is a need for high vigilance to suspect an infective aetiology
of scleritis in patients with immunocompromised status. Prompt microbial
assessment and appropriate antifungals can decrease morbidity in these unusual
but serious cases as illustrated in this case.
PMID- 27207502
TI - Vitamin D regulates the tight-junction protein expression in active ulcerative
colitis.
AB - OBJECTIVE: Epithelial barrier function is primarily regulated by the tight
junction proteins. Ulcerative colitis (UC) is characterized by Th2 immune
response with inflammation and epithelial barrier dysfunction, including an
elevation of claudin-2 protein function. Recent studies support an important role
of vitamin D in the pathogenesis as well as potential therapy of IBD. Vitamin D
deficiency is in fact common in patients with IBD. The aim of the study was to
determine whether vitamin D could affect IL-13 and IL-6 levels, and regulate the
activity of tight-junction proteins. Claudin-1, -2, -4, and -7 in the inflamed
and non-inflamed colonic mucosa of UC patients. MATERIAL AND METHODS: Biopsies
from inflamed and non-inflamed tract of colon and rectum from the same active UC
patients were cultured with1,25(OH)2D3. IL-13, IL-6 and the tight-junction
proteins level were determined. RESULTS: Claudin-1 and claudin-2 proteins were up
regulated in active UC. The treatment with 1,25(OH)2D3 decreases the claudin-1
and claudin-2 protein levels in both inflamed and non-inflamed tract. Claudin-4
and claudin-7 proteins were down-regulated and their levels increase after
incubation with the 1,25(OH)2D3. When the biopsies were incubated with
1,25(OH)2D3, a decrease in IL-13 and IL-6 levels was registered. CONCLUSIONS: Our
results, indicating the inhibition of cytokine levels and the regulation of
claudin-2, claudin-4, and claudin-7 by 1,25(OH)2D3, suggest that vitamin D may
represent a potential therapeutic agent for the treatment of active UC.
PMID- 27207503
TI - Completion lobectomy after radical segmentectomy for pulmonary malignancies.
AB - OBJECTIVE: Completion lobectomy after radical segmentectomy is relatively rare,
with no systematic evaluation of this challenging procedure. We aimed to clarify
the details of this operation performed in 3 Japanese institutions. METHODS:
Completion lobectomy after segmentectomy in the same lobe was performed in 11
patients (9 lung cancers and 2 metastatic lung tumors) between 2007 and 2013.
Surgical outcomes were analyzed retrospectively. RESULTS: The 11 patients
accounted for 1.37% of the 805 segmentectomies performed in the 3 institutions.
The reasons for completion lobectomy were postoperative complications in the
remaining lobe (n = 3), positive pathological lymph node metastasis found by
permanent section (n = 3), and malignancy in the remaining lobe (n = 5). The
patients were divided into two groups according the interval between
segmentectomy and completion lobectomy: group A (3-35 days, n = 5) and group B
(56-1470 days, n = 6). There was a tendency for more severe adhesions around the
hilum (p = 0.061) in group B, resulting in increased operative bleeding (p =
0.055), more usage of fibrin glue (p = 0.080), and significantly longer operative
time (p = 0.036). Injury to the pulmonary arteries was experienced only in group
B (3/6 cases). There was no operation-related mortality. CONCLUSIONS: Completion
lobectomy may become more difficult approximately 5 weeks after segmentectomy,
due to severe adhesions, but it can be performed safely with careful
manipulation.
PMID- 27207509
TI - Insulin-Dependent Regulation of mTORC2-Akt-FoxO Suppresses TLR4 Signaling in
Human Leukocytes: Relevance to Type 2 Diabetes.
AB - Leukocyte signaling in patients with systemic insulin resistance is largely
unexplored. We recently discovered the presence of multiple Toll-like receptor 4
(TLR4) signaling intermediates in leukocytes from patients with type 2 diabetes
or acute insulin resistance associated with cardiopulmonary bypass surgery. We
extend this work to show that in addition to matrix metalloproteinase 9, hypoxia
inducible factor 1alpha, and cleaved AMPKalpha, patient leukocytes also express
IRS-1 phosphorylated on Ser(312), Akt phosphorylated on Thr(308), and elevated
TLR4 expression. Similar signaling intermediates were detected in leukocytes and
neutrophils treated with lipopolysaccharide (LPS), a ligand of TLR4, in vitro. In
contrast, insulin, but not LPS, induced mammalian target of rapamycin complex 2
(mTORC2)-dependent phosphorylation of Akt on Ser(473) and FoxO1/O3a on Thr(24/32)
in leukocytes and neutrophils. Insulin suppressed LPS-induced responses in a dose
and time-dependent manner. AS1842856, a FoxO1 inhibitor, also suppressed TLR4
signaling. We propose that insulin is a homeostatic regulator of leukocyte
responses to LPS/TLR4 and that the signaling intermediates expressed in
leukocytes of patients with type 2 diabetes indicate TLR4 signaling dominance and
deficient insulin signaling. The data suggest that insulin suppresses LPS/TLR4
signals in leukocytes through the mTORC2-Akt-FoxO signaling axis. Better
understanding of leukocyte signaling in patients with type 2 diabetes may shed
new light on disease causation and progression.
PMID- 27207511
TI - Leptin Substitution in Patients With Lipodystrophy: Neural Correlates for Long
term Success in the Normalization of Eating Behavior.
AB - Lipodystrophy (LD) is a rare disease with a paucity of subcutaneous adipocytes
and leptin deficiency. Patients often develop severe diabetes and, additionally,
show a disturbed eating behavior with reduced satiety. The disturbed eating
behavior can be restored by substitution with the leptin analog metreleptin. Long
term effects of metreleptin on resting state brain connectivity in treatment
naive patients with LD have not been assessed. In this study, resting state
functional MRI scans and extensive behavioral testing assessing changes in
hunger/satiety regulation were performed during the first 52 weeks of metreleptin
treatment in nine patients with LD. Resting state connectivity significantly
increased over the course of metreleptin treatment in three brain areas (i.e.,
hypothalamus, insula/superior temporal gyrus, medial prefrontal cortex).
Behavioral tests demonstrated that perceived hunger, importance of eating, eating
frequencies, and liking ratings of food pictures significantly decreased during
metreleptin therapy. Taken together, leptin substitution was accompanied by long
term changes of hedonic and homeostatic central nervous networks regulating
eating behavior as well as decreased hunger feelings and diminished incentive
value of food. Future studies need to assess whether metreleptin treatment in LD
restores physiological processes important for the development of satiety.
PMID- 27207510
TI - Lipodystrophy Due to Adipose Tissue-Specific Insulin Receptor Knockout Results in
Progressive NAFLD.
AB - Ectopic lipid accumulation in the liver is an almost universal feature of human
and rodent models of generalized lipodystrophy and is also a common feature of
type 2 diabetes, obesity, and metabolic syndrome. Here we explore the progression
of fatty liver disease using a mouse model of lipodystrophy created by a fat
specific knockout of the insulin receptor (F-IRKO) or both IR and insulin-like
growth factor 1 receptor (F-IR/IGFRKO). These mice develop severe lipodystrophy,
diabetes, hyperlipidemia, and fatty liver disease within the first weeks of life.
By 12 weeks of age, liver demonstrated increased reactive oxygen species, lipid
peroxidation, histological evidence of balloon degeneration, and elevated serum
alanine aminotransferase and aspartate aminotransferase levels. In these
lipodystrophic mice, stored liver lipids can be used for energy production, as
indicated by a marked decrease in liver weight with fasting and increased liver
fibroblast growth factor 21 expression and intact ketogenesis. By 52 weeks of
age, liver accounted for 25% of body weight and showed continued balloon
degeneration in addition to inflammation, fibrosis, and highly dysplastic liver
nodules. Progression of liver disease was associated with improvement in blood
glucose levels, with evidence of altered expression of gluconeogenic and
glycolytic enzymes. However, these mice were able to mobilize stored glycogen in
response to glucagon. Feeding F-IRKO and F-IR/IGFRKO mice a high-fat diet for 12
weeks accelerated the liver injury and normalization of blood glucose levels.
Thus, severe fatty liver disease develops early in lipodystrophic mice and
progresses to advanced nonalcoholic steatohepatitis with highly dysplastic liver
nodules. The liver injury is propagated by lipotoxicity and is associated with
improved blood glucose levels.
PMID- 27207512
TI - Variants in Genes Controlling Oxidative Metabolism Contribute to Lower Hepatic
ATP Independent of Liver Fat Content in Type 1 Diabetes.
AB - Type 1 diabetes has been recently linked to nonalcoholic fatty liver disease
(NAFLD), which is known to associate with insulin resistance, obesity, and type 2
diabetes. However, the role of insulin resistance and hyperglycemia for hepatic
energy metabolism is yet unclear. To analyze early abnormalities in hepatic
energy metabolism, we examined 55 patients with recently diagnosed type 1
diabetes. They underwent hyperinsulinemic-normoglycemic clamps with [6,6
(2)H2]glucose to assess whole-body and hepatic insulin sensitivity. Hepatic
gammaATP, inorganic phosphate (Pi), and triglyceride concentrations
(hepatocellular lipid content [HCL]) were measured with multinuclei magnetic
resonance spectroscopy ((31)P/(1)H-MRS). Glucose-tolerant humans served as
control (CON) (n = 57). Whole-body insulin sensitivity was 44% lower in patients
than in age- and BMI-matched CON. Hepatic gammaATP was 15% reduced (2.3 +/- 0.6
vs. 2.7 +/- 0.6 mmol/L, P < 0.001), whereas hepatic Pi and HCL were similar in
patients when compared with CON. Across all participants, hepatic gammaATP
correlated negatively with glycemia and oxidized LDL. Carriers of the PPARG G
allele (rs1801282) and noncarriers of PPARGC1A A allele (rs8192678) had 21 and
13% lower hepatic ATP concentrations. Variations in genes controlling oxidative
metabolism contribute to a reduction in hepatic ATP in the absence of NAFLD,
suggesting that alterations in hepatic mitochondrial function may precede
diabetes-related liver diseases.
PMID- 27207513
TI - Fasting Plasma Insulin Concentrations Are Associated With Changes in Hepatic
Fatty Acid Synthesis and Partitioning Prior to Changes in Liver Fat Content in
Healthy Adults.
AB - Resistance to the action of insulin affects fatty acid delivery to the liver,
fatty acid synthesis and oxidation within the liver, and triglyceride export from
the liver. To understand the metabolic consequences of hepatic fatty acid
synthesis, partitioning, oxidation, and net liver fat content in the fasted and
postprandial states, we used stable-isotope tracer methodologies to study healthy
men and women with varying degrees of insulin resistance before and after
consumption of a mixed meal. Subjects were classified as being normoinsulinemic
(NI) (fasting plasma insulin <11.2 mU/L, n = 18) or hyperinsulinemic (HI)
(fasting plasma insulin >11.2 mU/L, n = 19). Liver fat content was similar
between HI and NI individuals, despite HI subjects having marginally more
visceral fat. However, de novo lipogenesis was higher and fatty acid oxidation
was lower in HI individuals compared with NI subjects. These data suggest that
metabolic pathways promoting fat accumulation are enhanced in HI but,
paradoxically, without any significant effect on liver fat content when observed
in healthy people. This is likely to be explained by increased triglyceride
secretion as observed by hypertriglyceridemia.
PMID- 27207514
TI - Adipocyte-Specific Mineralocorticoid Receptor Overexpression in Mice Is
Associated With Metabolic Syndrome and Vascular Dysfunction: Role of Redox
Sensitive PKG-1 and Rho Kinase.
AB - Mineralocorticoid receptor (MR) expression is increased in adipose tissue from
obese individuals and animals. We previously demonstrated that adipocyte-MR
overexpression (Adipo-MROE) in mice is associated with metabolic changes. Whether
adipocyte MR directly influences vascular function in these mice is unknown. We
tested this hypothesis in resistant mesenteric arteries from Adipo-MROE mice
using myography and in cultured adipocytes. Molecular mechanisms were probed in
vessels/vascular smooth muscle cells and adipose tissue/adipocytes and focused on
redox-sensitive pathways, Rho kinase activity, and protein kinase G type-1 (PKG
1) signaling. Adipo-MROE versus control-MR mice exhibited reduced vascular
contractility, associated with increased generation of adipocyte-derived hydrogen
peroxide, activation of vascular redox-sensitive PKG-1, and downregulation of Rho
kinase activity. Associated with these vascular changes was increased elastin
content in Adipo-MROE. Inhibition of PKG-1 with Rp-8-Br-PET-cGMPS normalized
vascular contractility in Adipo-MROE. In the presence of adipocyte-conditioned
culture medium, anticontractile effects of the adipose tissue were lost in Adipo
MROE mice but not in control-MR mice. In conclusion, adipocyte-MR upregulation
leads to impaired contractility with preserved endothelial function and normal
blood pressure. Increased elasticity may contribute to hypocontractility. We also
identify functional cross talk between adipocyte MR and arteries and describe
novel mechanisms involving redox-sensitive PKG-1 and Rho kinase. Our results
suggest that adipose tissue from Adipo-MROE secrete vasoactive factors that
preferentially influence vascular smooth muscle cells rather than endothelial
cells. Our findings may be important in obesity/adiposity where adipocyte-MR
expression/signaling is amplified and vascular risk increased.
PMID- 27207515
TI - Dual Actions of Apolipoprotein A-I on Glucose-Stimulated Insulin Secretion and
Insulin-Independent Peripheral Tissue Glucose Uptake Lead to Increased Heart and
Skeletal Muscle Glucose Disposal.
AB - Apolipoprotein A-I (apoA-I) of HDL is central to the transport of cholesterol in
circulation. ApoA-I also provides glucose control with described in vitro effects
of apoA-I on beta-cell insulin secretion and muscle glucose uptake. In addition,
apoA-I injections in insulin-resistant diet-induced obese (DIO) mice lead to
increased glucose-stimulated insulin secretion (GSIS) and peripheral tissue
glucose uptake. However, the relative contribution of apoA-I as an enhancer of
GSIS in vivo and as a direct stimulator of insulin-independent glucose uptake is
not known. Here, DIO mice with instant and transient blockade of insulin
secretion were used in glucose tolerance tests and in positron emission
tomography analyses. Data demonstrate that apoA-I to an equal extent enhances
GSIS and acts as peripheral tissue activator of insulin-independent glucose
uptake and verify skeletal muscle as an apoA-I target tissue. Intriguingly, our
analyses also identify the heart as an important target tissue for the apoA-I
stimulated glucose uptake, with potential implications in diabetic
cardiomyopathy. Explorations of apoA-I as a novel antidiabetic drug should extend
to treatments of diabetic cardiomyopathy and other cardiovascular diseases in
patients with diabetes.
PMID- 27207516
TI - Mast Cells Regulate Wound Healing in Diabetes.
AB - Diabetic foot ulceration is a severe complication of diabetes that lacks
effective treatment. Mast cells (MCs) contribute to wound healing, but their role
in diabetes skin complications is poorly understood. Here we show that the number
of degranulated MCs is increased in unwounded forearm and foot skin of patients
with diabetes and in unwounded dorsal skin of diabetic mice (P < 0.05).
Conversely, postwounding MC degranulation increases in nondiabetic mice, but not
in diabetic mice. Pretreatment with the MC degranulation inhibitor disodium
cromoglycate rescues diabetes-associated wound-healing impairment in mice and
shifts macrophages to the regenerative M2 phenotype (P < 0.05). Nevertheless,
nondiabetic and diabetic mice deficient in MCs have delayed wound healing
compared with their wild-type (WT) controls, implying that some MC mediator is
needed for proper healing. MCs are a major source of vascular endothelial growth
factor (VEGF) in mouse skin, but the level of VEGF is reduced in diabetic mouse
skin, and its release from human MCs is reduced in hyperglycemic conditions.
Topical treatment with the MC trigger substance P does not affect wound healing
in MC-deficient mice, but improves it in WT mice. In conclusion, the presence of
nondegranulated MCs in unwounded skin is required for proper wound healing, and
therapies inhibiting MC degranulation could improve wound healing in diabetes.
PMID- 27207517
TI - Temporal Changes in Skeletal Muscle Capillary Responses and Endothelial-Derived
Vasodilators in Obesity-Related Insulin Resistance.
AB - The inability of insulin to increase skeletal muscle capillary blood volume (CBV)
reduces glucose uptake in insulin resistance (IR). We hypothesized that
abnormalities in endothelial-derived vasodilator pathways are temporally
associated with the development of IR and an impaired ability to increase
skeletal muscle CBV. A comprehensive metabolic and vascular screening assessment
was performed on 10 adult rhesus macaques at baseline and every 4-6 months for 2
years after starting a high-fat diet supplemented with fructose. Diet changes
resulted in an 80% increase in truncal fat by 4 months. Hyperinsulinemia and
decreased glucose utilization were observed from 4 to 18 months. At 24 months,
pancreatic secretory function and the glucose utilization rate declined. CBV at
rest and during an intravenous glucose tolerance test demonstrated a sustained
increase from 4 to 18 months and then abruptly fell at 24 months. Nitric oxide
bioavailability progressively decreased over 2 years. Conversely, endothelial
derived vasodilators progressively increased over 18 months and then abruptly
decreased at 24 months in concert with the CBV. The increase in basal and glucose
mediated CBV early in IR may represent a compensatory response through
endothelial-derived vasodilator pathways. The inability to sustain a vascular
compensatory response limits glucose-mediated increases in CBV, which correlates
with the severity of IR.
PMID- 27207518
TI - Alterations in beta-Cell Calcium Dynamics and Efficacy Outweigh Islet Mass
Adaptation in Compensation of Insulin Resistance and Prediabetes Onset.
AB - Emerging insulin resistance is normally compensated by increased insulin
production of pancreatic beta-cells, thereby maintaining normoglycemia. However,
it is unclear whether this is achieved by adaptation of beta-cell function, mass,
or both. Most importantly, it is still unknown which of these adaptive mechanisms
fail when type 2 diabetes develops. We performed longitudinal in vivo imaging of
beta-cell calcium dynamics and islet mass of transplanted islets of Langerhans
throughout diet-induced progression from normal glucose homeostasis, through
compensation of insulin resistance, to prediabetes. The results show that
compensation of insulin resistance is predominated by alterations of beta-cell
function, while islet mass only gradually expands. Hereby, functional adaptation
is mediated by increased calcium efficacy, which involves Epac signaling. Prior
to prediabetes, beta-cell function displays decreased stimulated calcium
dynamics, whereas islet mass continues to increase through prediabetes onset.
Thus, our data reveal a predominant role of islet function with distinct
contributions of triggering and amplifying pathway in the in vivo processes
preceding diabetes onset. These findings support protection and recovery of beta
cell function as primary goals for prevention and treatment of diabetes and
provide insight into potential therapeutic targets.
PMID- 27207519
TI - Genetic Evidence for a Link Between Favorable Adiposity and Lower Risk of Type 2
Diabetes, Hypertension, and Heart Disease.
AB - Recent genetic studies have identified some alleles that are associated with
higher BMI but lower risk of type 2 diabetes, hypertension, and heart disease.
These "favorable adiposity" alleles are collectively associated with lower
insulin levels and higher subcutaneous-to-visceral adipose tissue ratio and may
protect from disease through higher adipose storage capacity. We aimed to use
data from 164,609 individuals from the UK Biobank and five other studies to
replicate associations between a genetic score of 11 favorable adiposity variants
and adiposity and risk of disease, to test for interactions between BMI and
favorable adiposity genetics, and to test effects separately in men and women. In
the UK Biobank, the 50% of individuals carrying the most favorable adiposity
alleles had higher BMIs (0.120 kg/m(2) [95% CI 0.066, 0.174]; P = 1E-5) and
higher body fat percentage (0.301% [0.230, 0.372]; P = 1E-16) compared with the
50% of individuals carrying the fewest alleles. For a given BMI, the 50% of
individuals carrying the most favorable adiposity alleles were at lower risk of
type 2 diabetes (odds ratio [OR] 0.837 [0.784, 0.894]; P = 1E-7), hypertension
(OR 0.935 [0.911, 0.958]; P = 1E-7), and heart disease (OR 0.921 [0.872, 0.973];
P = 0.003) and had lower blood pressure (systolic -0.859 mmHg [-1.099, -0.618]; P
= 3E-12 and diastolic -0.394 mmHg [-0.534, -0.254]; P = 4E-8). In women, these
associations could be explained by the observation that the alleles associated
with higher BMI but lower risk of disease were also associated with a favorable
body fat distribution, with a lower waist-to-hip ratio (-0.004 cm [95% CI -0.005,
-0.003] 50% vs. 50%; P = 3E-14), but in men, the favorable adiposity alleles were
associated with higher waist circumference (0.454 cm [0.267, 0.641] 50% vs. 50%;
P = 2E-6) and higher waist-to-hip ratio (0.0013 [0.0003, 0.0024] 50% vs. 50%; P =
0.01). Results were strengthened when a meta-analysis with five additional
studies was conducted. There was no evidence of interaction between a genetic
score consisting of known BMI variants and the favorable adiposity genetic score.
In conclusion, different molecular mechanisms that lead to higher body fat
percentage (with greater subcutaneous storage capacity) can have different
impacts on cardiometabolic disease risk. Although higher BMI is associated with
higher risk of diseases, better fat storage capacity could reduce the risk.
PMID- 27207521
TI - Prmt7 Deficiency Causes Reduced Skeletal Muscle Oxidative Metabolism and Age
Related Obesity.
AB - Maintenance of skeletal muscle function is critical for metabolic health and the
disruption of which exacerbates many chronic diseases such as obesity and
diabetes. Skeletal muscle responds to exercise or metabolic demands by a fiber
type switch regulated by signaling-transcription networks that remains to be
fully defined. Here, we report that protein arginine methyltransferase 7 (Prmt7)
is a key regulator for skeletal muscle oxidative metabolism. Prmt7 is expressed
at the highest levels in skeletal muscle and decreased in skeletal muscles with
age or obesity. Prmt7(-/-) muscles exhibit decreased oxidative metabolism with
decreased expression of genes involved in muscle oxidative metabolism, including
PGC-1alpha. Consistently, Prmt7(-/-) mice exhibited significantly reduced
endurance exercise capacities. Furthermore, Prmt7(-/-) mice exhibit decreased
energy expenditure, which might contribute to the exacerbated age-related obesity
of Prmt7(-/-) mice. Similarly to Prmt7(-/-) muscles, Prmt7 depletion in myoblasts
also reduces PGC-1alpha expression and PGC-1alpha-promoter driven reporter
activities. Prmt7 regulates PGC-1alpha expression through interaction with and
activation of p38 mitogen-activated protein kinase (p38MAPK), which in turn
activates ATF2, an upstream transcriptional activator for PGC-1alpha. Taken
together, Prmt7 is a novel regulator for muscle oxidative metabolism via
activation of p38MAPK/ATF2/PGC-1alpha.
PMID- 27207522
TI - The Acute-Phase Protein Orosomucoid Regulates Food Intake and Energy Homeostasis
via Leptin Receptor Signaling Pathway.
AB - The acute-phase protein orosomucoid (ORM) exhibits a variety of activities in
vitro and in vivo, notably modulation of immunity and transportation of drugs. We
found in this study that mice lacking ORM1 displayed aberrant energy homeostasis
characterized by increased body weight and fat mass. Further investigation found
that ORM, predominantly ORM1, is significantly elevated in sera, liver, and
adipose tissues from the mice with high-fat diet (HFD)-induced obesity and db/db
mice that develop obesity spontaneously due to mutation in the leptin receptor
(LepR). Intravenous or intraperitoneal administration of exogenous ORM decreased
food intake in C57BL/6, HFD, and leptin-deficient ob/ob mice, which was absent in
db/db mice and was significantly reduced in mice with arcuate nucleus (ARC) LepR
knockdown, whereas enforced expression of ORM1 in ARC significantly decreased
food intake, body weight, and serum insulin level. Furthermore, we found that ORM
is able to bind directly to LepR and activate the receptor-mediated JAK2-STAT3
signaling in hypothalamus tissue and GT1-7 cells, which was derived from
hypothalamic tumor. These data indicated that ORM could function through LepR to
regulate food intake and energy homeostasis in response to nutrition status.
Modulating the expression of ORM is a novel strategy for the management of
obesity and related metabolic disorders.
PMID- 27207520
TI - Synaptotagmin-7 Functions to Replenish Insulin Granules for Exocytosis in Human
Islet beta-Cells.
AB - Synaptotagmin (Syt)-7, a major component of the exocytotic machinery in neurons,
is also the major Syt in rodent pancreatic beta-cells shown to mediate glucose
stimulated insulin secretion (GSIS). However, Syt-7's precise exocytotic actions
in beta-cells remain unknown. We show that Syt-7 is abundant in human beta-cells.
Adenovirus-short hairpin RNA knockdown (KD) of Syt-7 in human islets reduced
first- and second-phase GSIS attributed to the reduction of exocytosis of
predocked and newcomer insulin secretory granules (SGs). Glucose stimulation
expectedly induced Syt-7 association in a Ca(2+)-dependent manner with syntaxin-3
and syntaxin-1A soluble N-ethylmaleimide-sensitive factor attachment protein
receptor (SNARE) complexes known to mediate exocytosis of newcomer and predocked
SGs, respectively. However, Syt-7-KD did not disrupt SNARE complex assembly.
Instead, electron microscopy analysis showed that Syt-7-KD reduced the
recruitment of SGs to the plasma membrane after glucose-stimulated depletion,
which could not be rescued by glucagon-like peptide 1 pretreatment. To assess the
possibility that this new action of Syt-7 on SG recruitment may involve
calmodulin (CaM), pretreatment of islets with CaM blocker calmidazolium showed
effects very similar to those of Syt-7-KD. Syt-7 therefore plays a novel more
dominant function in the replenishment of releasable SG pools in human beta-cells
than its previously purported role in exocytotic fusion per se.
PMID- 27207523
TI - CRISPR-Cas9-Mediated Modification of the NOD Mouse Genome With Ptpn22R619W
Mutation Increases Autoimmune Diabetes.
AB - An allelic variant of protein tyrosine phosphatase nonreceptor type 22 (PTPN22),
PTPN22(R620W), is strongly associated with type 1 diabetes (T1D) in humans and
increases the risk of T1D by two- to fourfold. The NOD mouse is a spontaneous T1D
model that shares with humans many genetic pathways contributing to T1D. We
hypothesized that the introduction of the murine orthologous Ptpn22(R619W)
mutation to the NOD genome would enhance the spontaneous development of T1D. We
microinjected CRISPR-Cas9 and a homology-directed repair template into NOD single
cell zygotes to introduce the Ptpn22(R619W) mutation to its endogenous locus. The
resulting Ptpn22(R619W) mice showed increased insulin autoantibodies and earlier
onset and higher penetrance of T1D. This is the first report demonstrating
enhanced T1D in a mouse modeling human PTPN22(R620W) and the utility of CRISPR
Cas9 for direct genetic alternation of NOD mice.
PMID- 27207524
TI - Essential Role of Transglutaminase 2 in Vascular Endothelial Growth Factor
Induced Vascular Leakage in the Retina of Diabetic Mice.
AB - Diabetic retinopathy is predominantly caused by vascular endothelial growth
factor (VEGF)-induced vascular leakage; however, the underlying mechanism is
unclear. Here we designed an in vivo transglutaminase (TGase) activity assay in
mouse retina and demonstrated that hyperglycemia induced vascular leakage by
activating TGase2 in diabetic retina. VEGF elevated TGase2 activity through
sequential elevation of intracellular Ca(2+) and reactive oxygen species (ROS)
concentrations in endothelial cells. The TGase inhibitors cystamine and
monodansylcadaverin or TGase2 small interfering RNA (siRNA) prevented VEGF
induced stress fiber formation and vascular endothelial (VE)-cadherin disruption,
which play a critical role in modulating endothelial permeability. Intravitreal
injection of two TGase inhibitors or TGase2 siRNA successfully inhibited
hyperglycemia-induced TGase activation and microvascular leakage in the retinas
of diabetic mice. C-peptide or ROS scavengers also inhibited TGase activation in
diabetic mouse retinas. The role of TGase2 in VEGF-induced vascular leakage was
further supported using diabetic TGase2(-/-) mice. Thus, our findings suggest
that ROS-mediated activation of TGase2 plays a key role in VEGF-induced vascular
leakage by stimulating stress fiber formation and VE-cadherin disruption.
PMID- 27207525
TI - Endothelial Fcgamma Receptor IIB Activation Blunts Insulin Delivery to Skeletal
Muscle to Cause Insulin Resistance in Mice.
AB - Modest elevations in C-reactive protein (CRP) are associated with type 2
diabetes. We previously revealed in mice that increased CRP causes insulin
resistance and mice globally deficient in the CRP receptor Fcgamma receptor IIB
(FcgammaRIIB) were protected from the disorder. FcgammaRIIB is expressed in
numerous cell types including endothelium and B lymphocytes. Here we investigated
how endothelial FcgammaRIIB influences glucose homeostasis, using mice with
elevated CRP expressing or lacking endothelial FcgammaRIIB. Whereas increased CRP
caused insulin resistance in mice expressing endothelial FcgammaRIIB, mice
deficient in the endothelial receptor were protected. The insulin resistance with
endothelial FcgammaRIIB activation was due to impaired skeletal muscle glucose
uptake caused by attenuated insulin delivery, and it was associated with blunted
endothelial nitric oxide synthase (eNOS) activation in skeletal muscle. In
culture, CRP suppressed endothelial cell insulin transcytosis via FcgammaRIIB
activation and eNOS antagonism. Furthermore, in knock-in mice harboring
constitutively active eNOS, elevated CRP did not invoke insulin resistance.
Collectively these findings reveal that by inhibiting eNOS, endothelial
FcgammaRIIB activation by CRP blunts insulin delivery to skeletal muscle to cause
insulin resistance. Thus, a series of mechanisms in endothelium that impairs
insulin movement has been identified that may contribute to type 2 diabetes
pathogenesis.
PMID- 27207526
TI - Central Regulation of Glucose Production May Be Impaired in Type 2 Diabetes.
AB - The challenges of achieving optimal glycemic control in type 2 diabetes highlight
the need for new therapies. Inappropriately elevated endogenous glucose
production (EGP) is the main source of hyperglycemia in type 2 diabetes. Because
activation of central ATP-sensitive potassium (KATP) channels suppresses EGP in
nondiabetic rodents and humans, this study examined whether type 2 diabetic
humans and rodents retain central regulation of EGP. The KATP channel activator
diazoxide was administered in a randomized, placebo-controlled crossover design
to eight type 2 diabetic subjects and seven age- and BMI-matched healthy control
subjects. Comprehensive measures of glucose turnover and insulin sensitivity were
performed during euglycemic pancreatic clamp studies following diazoxide and
placebo administration. Complementary rodent clamp studies were performed in
Zucker Diabetic Fatty rats. In type 2 diabetic subjects, extrapancreatic KATP
channel activation with diazoxide under fixed hormonal conditions failed to
suppress EGP, whereas matched control subjects demonstrated a 27% reduction in
EGP (P = 0.002) with diazoxide. Diazoxide also failed to suppress EGP in diabetic
rats. These results suggest that suppression of EGP by central KATP channel
activation may be lost in type 2 diabetes. Restoration of central regulation of
glucose metabolism could be a promising therapeutic target to reduce
hyperglycemia in type 2 diabetes.
PMID- 27207528
TI - Identification of Novel Changes in Human Skeletal Muscle Proteome After Roux-en-Y
Gastric Bypass Surgery.
AB - The mechanisms of metabolic improvements after Roux-en-Y gastric bypass (RYGB)
surgery are not entirely clear. Therefore, the aim of our study was to
investigate the role of obesity and RYGB on the human skeletal muscle proteome.
Basal muscle biopsies were obtained from seven obese (BMI >40 kg/m(2)) female
subjects (45.1 +/- 3.6 years) pre- and 3 months post-RYGB, and euglycemic
hyperinsulinemic clamps were used to assess insulin sensitivity. Four age-matched
(48.5 +/- 4.7 years) lean (BMI <25 kg/m(2)) females served as control subjects.
We performed quantitative mass spectrometry and microarray analyses on protein
and RNA isolated from the muscle biopsies. Significant improvements in fasting
plasma glucose (104.2 +/- 7.8 vs. 86.7 +/- 3.1 mg/dL) and BMI (42.1 +/- 2.2 vs.
35.3 +/- 1.8 kg/m(2)) were demonstrated in the pre- versus post-RYGB, both P <
0.05. Proteomic analysis identified 2,877 quantifiable proteins. Of these, 395
proteins were significantly altered in obesity before surgery, and 280 proteins
differed significantly post-RYGB. Post-RYGB, 49 proteins were returned to normal
levels after surgery. KEGG pathway analysis revealed a decreased abundance in
ribosomal and oxidative phosphorylation proteins in obesity, and a normalization
of ribosomal proteins post-RYGB. The transcriptomic data confirmed the
normalization of the ribosomal proteins. Our results provide evidence that
obesity and RYGB have a dynamic effect on the skeletal muscle proteome.
PMID- 27207527
TI - Skeletal Muscle TRIB3 Mediates Glucose Toxicity in Diabetes and High- Fat Diet
Induced Insulin Resistance.
AB - In the current study, we used muscle-specific TRIB3 overexpressing (MOE) and
knockout (MKO) mice to determine whether TRIB3 mediates glucose-induced insulin
resistance in diabetes and whether alterations in TRIB3 expression as a function
of nutrient availability have a regulatory role in metabolism. In streptozotocin
diabetic mice, TRIB3 MOE exacerbated, whereas MKO prevented, glucose-induced
insulin resistance and impaired glucose oxidation and defects in insulin signal
transduction compared with wild-type (WT) mice, indicating that glucose-induced
insulin resistance was dependent on TRIB3. In response to a high-fat diet, TRIB3
MOE mice exhibited greater weight gain and worse insulin resistance in vivo
compared with WT mice, coupled with decreased AKT phosphorylation, increased
inflammation and oxidative stress, and upregulation of lipid metabolic genes
coupled with downregulation of glucose metabolic genes in skeletal muscle. These
effects were prevented in the TRIB3 MKO mice relative to WT mice. In conclusion,
TRIB3 has a pathophysiological role in diabetes and a physiological role in
metabolism. Glucose-induced insulin resistance and insulin resistance due to diet
induced obesity both depend on muscle TRIB3. Under physiological conditions,
muscle TRIB3 also influences energy expenditure and substrate metabolism,
indicating that the decrease and increase in muscle TRIB3 under fasting and
nutrient excess, respectively, are critical for metabolic homeostasis.
PMID- 27207530
TI - Atrasentan Reduces Albuminuria by Restoring the Glomerular Endothelial Glycocalyx
Barrier in Diabetic Nephropathy.
AB - Atrasentan, a selective endothelin A receptor antagonist, has been shown to
reduce albuminuria in type 2 diabetes. We previously showed that the structural
integrity of a glomerular endothelial glycocalyx is required to prevent
albuminuria. Therefore we tested the potential of atrasentan to stabilize the
endothelial glycocalyx in diabetic apolipoprotein E (apoE)-deficient mice in
relation to its antialbuminuric effects. Treatment with atrasentan (7.5
mg/kg/day) for 4 weeks reduced urinary albumin-to-creatinine ratios by 26.0 +/-
6.5% (P < 0.01) in apoE knockout (KO) mice with streptozotocin-induced diabetes
consuming an atherogenic diet, without changes in gross glomerular morphology,
systemic blood pressure, and blood glucose concentration. Endothelial cationic
ferritin surface coverage, investigated using large-scale digital transmission
electron microscopy, revealed that atrasentan treatment increases glycocalyx
coverage in diabetic apoE KO mice from 40.7 +/- 3.2% to 81.0 +/- 12.5% (P <
0.05). This restoration is accompanied by increased renal nitric oxide
concentrations, reduced expression of glomerular heparanase, and a marked shift
in the balance of M1 and M2 glomerular macrophages. In vitro experiments with
endothelial cells exposed to laminar flow and cocultured with pericytes confirmed
that atrasentan reduced endothelial heparanase expression and increased
glycocalyx thickness in the presence of a diabetic milieu. Together these data
point toward a role for the restoration of endothelial function and tissue
homeostasis through the antialbuminuric effects of atrasentan, and they provide a
mechanistic explanation for the clinical observations of reduced albuminuria with
atrasentan in diabetic nephropathy.
PMID- 27207529
TI - Neuronal Deletion of Ghrelin Receptor Almost Completely Prevents Diet-Induced
Obesity.
AB - Ghrelin signaling has major effects on energy and glucose homeostasis, but it is
unknown whether ghrelin's functions are centrally and/or peripherally mediated.
The ghrelin receptor, growth hormone secretagogue receptor (GHS-R), is highly
expressed in the brain and detectable in some peripheral tissues. To understand
the roles of neuronal GHS-R, we generated a mouse line where Ghsr gene is deleted
in all neurons using synapsin 1 (Syn1)-Cre driver. Our data showed that neuronal
Ghsr deletion abolishes ghrelin-induced spontaneous food intake but has no effect
on total energy intake. Remarkably, neuronal Ghsr deletion almost completely
prevented diet-induced obesity (DIO) and significantly improved insulin
sensitivity. The neuronal Ghsr-deleted mice also showed improved metabolic
flexibility, indicative of better adaption to different fuels. In addition, gene
expression analysis suggested that hypothalamus and/or midbrain might be the
sites that mediate the effects of GHS-R in thermogenesis and physical activity,
respectively. Collectively, our results indicate that neuronal GHS-R is a crucial
regulator of energy metabolism and a key mediator of DIO. Neuronal Ghsr deletion
protects against DIO by regulating energy expenditure, not by energy intake.
These novel findings suggest that suppressing central ghrelin signaling may serve
as a unique antiobesity strategy.
PMID- 27207531
TI - Disruption of Adipose Rab10-Dependent Insulin Signaling Causes Hepatic Insulin
Resistance.
AB - Insulin controls glucose uptake into adipose and muscle cells by regulating the
amount of GLUT4 in the plasma membrane. The effect of insulin is to promote the
translocation of intracellular GLUT4 to the plasma membrane. The small Rab
GTPase, Rab10, is required for insulin-stimulated GLUT4 translocation in cultured
3T3-L1 adipocytes. Here we demonstrate that both insulin-stimulated glucose
uptake and GLUT4 translocation to the plasma membrane are reduced by about half
in adipocytes from adipose-specific Rab10 knockout (KO) mice. These data
demonstrate that the full effect of insulin on adipose glucose uptake is the
integrated effect of Rab10-dependent and Rab10-independent pathways, establishing
a divergence in insulin signal transduction to the regulation of GLUT4
trafficking. In adipose-specific Rab10 KO female mice, the partial inhibition of
stimulated glucose uptake in adipocytes induces insulin resistance independent of
diet challenge. During euglycemic-hyperinsulinemic clamp, there is no suppression
of hepatic glucose production despite normal insulin suppression of plasma free
fatty acids. The impact of incomplete disruption of stimulated adipocyte GLUT4
translocation on whole-body glucose homeostasis is driven by a near complete
failure of insulin to suppress hepatic glucose production rather than a
significant inhibition in muscle glucose uptake. These data underscore the
physiological significance of the precise control of insulin-regulated
trafficking in adipocytes.
PMID- 27207533
TI - Hepatic ATF6 Increases Fatty Acid Oxidation to Attenuate Hepatic Steatosis in
Mice Through Peroxisome Proliferator-Activated Receptor alpha.
AB - The endoplasmic reticulum quality control protein activating transcription factor
6 (ATF6) has emerged as a novel metabolic regulator. Here, we show that
adenovirus-mediated overexpression of the dominant-negative form of ATF6 (dnATF6)
increases susceptibility to develop hepatic steatosis in diet-induced insulin
resistant mice and fasted mice. Overexpression of dnATF6 or small interfering RNA
mediated knockdown of ATF6 decreases the transcriptional activity of peroxisome
proliferator-activated receptor alpha (PPARalpha)/retinoid X receptor complex,
and inhibits oxygen consumption rates in hepatocytes, possibly through inhibition
of the binding of PPARalpha to the promoter of its target gene. Intriguingly,
ATF6 physically interacts with PPARalpha, enhances the transcriptional activity
of PPARalpha, and triggers activation of PPARalpha downstream targets, such as
CPT1alpha and MCAD, in hepatocytes. Furthermore, hepatic overexpression of the
active form of ATF6 promotes hepatic fatty acid oxidation and protects against
hepatic steatosis in diet-induced insulin-resistant mice. These data delineate
the mechanism by which ATF6 controls the activity of PPARalpha and hepatic
mitochondria fatty acid oxidation. Therefore, strategies to activate ATF6 could
be used as an alternative avenue to improve liver function and treat hepatic
steatosis in obesity.
PMID- 27207532
TI - New Locus for Skin Intrinsic Fluorescence in Type 1 Diabetes Also Associated With
Blood and Skin Glycated Proteins.
AB - Skin fluorescence (SF) noninvasively measures advanced glycation end products
(AGEs) in the skin and is a risk indicator for diabetes complications. N
acetyltransferase 2 (NAT2) is the only known locus influencing SF. We aimed to
identify additional genetic loci influencing SF in type 1 diabetes (T1D) through
a meta-analysis of genome-wide association studies (N = 1,359) including Diabetes
Control and Complications Trial/Epidemiology of Diabetes Interventions and
Complications (DCCT/EDIC) and Wisconsin Epidemiologic Study of Diabetic
Retinopathy (WESDR). A locus on chromosome 1, rs7533564 (P = 1.9 * 10(-9)), was
associated with skin intrinsic fluorescence measured by SCOUT DS (excitation 375
nm, emission 435-655 nm), which remained significant after adjustment for time
weighted HbA1c (P = 1.7 * 10(-8)). rs7533564 was associated with mean HbA1c in
meta-analysis (P = 0.0225), mean glycated albumin (P = 0.0029), and glyoxal
hydroimidazolones (P = 0.049), an AGE measured in skin biopsy collagen, in DCCT.
rs7533564 was not associated with diabetes complications in DCCT/EDIC or with SF
in subjects without diabetes (nondiabetic [ND]) (N = 8,721). In conclusion, we
identified a new locus associated with SF in T1D subjects that did not show
similar effect in ND subjects, suggesting a diabetes-specific effect. This
association needs to be investigated in type 2 diabetes.
PMID- 27207535
TI - microRNA-182 Mediates Sirt1-Induced Diabetic Corneal Nerve Regeneration.
AB - Sensory neurons are particularly susceptible to neuronal damage in diabetes, and
silent mating type information regulation 2 homolog 1 (Sirt1) has been recently
identified as a key gene in neuroprotection and wound healing. We found that the
expression of Sirt1 was downregulated in trigeminal sensory neurons of diabetic
mice. A microRNA microarray analysis identified microRNA-182 (miR-182) as a Sirt1
downstream effector, and the expression level of miR-182 was increased by Sirt1
overexpression in trigeminal neurons; Sirt1 bound to the promoter of miR-182 and
regulated its transcription. We also revealed that miR-182 enhanced neurite
outgrowth in isolated trigeminal sensory neurons and overcame the detrimental
effects of hyperglycemia by stimulating corneal nerve regeneration by decreasing
the expression of one of its target genes, NOX4. Furthermore, the effects of miR
182 on corneal nerve regeneration are associated with a functional recovery of
corneal sensation in hyperglycemic conditions. These data demonstrate that miR
182 is a key regulator in diabetic corneal nerve regeneration through targeting
NOX4, suggesting that miR-182 might be a potential target for the treatment of
diabetic sensory nerve regeneration and diabetic keratopathy.
PMID- 27207534
TI - The Brain-to-Pancreatic Islet Neuronal Map Reveals Differential Glucose
Regulation From Distinct Hypothalamic Regions.
AB - The brain influences glucose homeostasis, partly by supplemental control over
insulin and glucagon secretion. Without this central regulation, diabetes and its
complications can ensue. Yet, the neuronal network linking to pancreatic islets
has never been fully mapped. Here, we refine this map using pseudorabies virus
(PRV) retrograde tracing, indicating that the pancreatic islets are innervated by
efferent circuits that emanate from the hypothalamus. We found that the
hypothalamic arcuate nucleus (ARC), ventromedial nucleus (VMN), and lateral
hypothalamic area (LHA) significantly overlap PRV and the physiological glucose
sensing enzyme glucokinase. Then, experimentally lowering glucose sensing,
specifically in the ARC, resulted in glucose intolerance due to deficient insulin
secretion and no significant effect in the VMN, but in the LHA it resulted in a
lowering of the glucose threshold that improved glucose tolerance and/or improved
insulin sensitivity, with an exaggerated counter-regulatory response for glucagon
secretion. No significant effect on insulin sensitivity or metabolic homeostasis
was noted. Thus, these data reveal novel direct neuronal effects on pancreatic
islets and also render a functional validation of the brain-to-islet neuronal
map. They also demonstrate that distinct regions of the hypothalamus
differentially control insulin and glucagon secretion, potentially in partnership
to help maintain glucose homeostasis and guard against hypoglycemia.
PMID- 27207536
TI - Genetic and Pharmacological Inhibition of Malonyl CoA Decarboxylase Does Not
Exacerbate Age-Related Insulin Resistance in Mice.
AB - Aging is associated with the development of chronic diseases such as insulin
resistance and type 2 diabetes. A reduction in mitochondrial fat oxidation is
postulated to be a key factor contributing to the progression of these diseases.
Our aim was to investigate the contribution of impaired mitochondrial fat
oxidation toward age-related disease. Mice deficient for malonyl CoA
decarboxylase (MCD(-/-)), a mouse model of reduced fat oxidation, were allowed to
age while life span and a number of physiological parameters (glucose tolerance,
insulin tolerance, indirect calorimetry) were assessed. Decreased fat oxidation
in MCD(-/-) mice resulted in the accumulation of lipid intermediates in
peripheral tissues, but this was not associated with a worsening of age
associated insulin resistance and, conversely, improved longevity. This
improvement was associated with reduced oxidative stress and reduced acetylation
of the antioxidant enzyme superoxide dismutase 2 in muscle but not the liver of
MCD(-/-) mice. These findings were recapitulated in aged mice treated with an MCD
inhibitor (CBM-3001106), and these mice also demonstrated improvements in glucose
and insulin tolerance. Therefore, our results demonstrate that in addition to
decreasing fat oxidation, MCD inhibition also has novel effects on protein
acetylation. These combined effects protect against age-related metabolic
dysfunction, demonstrating that MCD inhibitors may have utility in the battle
against chronic disease in the elderly.
PMID- 27207537
TI - Differential Roles of Insulin and IGF-1 Receptors in Adipose Tissue Development
and Function.
AB - To determine the roles of insulin and insulin-like growth factor 1 (IGF-1) action
in adipose tissue, we created mice lacking the insulin receptor (IR), IGF-1
receptor (IGF1R), or both using Cre-recombinase driven by the adiponectin
promoter. Mice lacking IGF1R only (F-IGFRKO) had a ~25% reduction in white
adipose tissue (WAT) and brown adipose tissue (BAT), whereas mice lacking both IR
and IGF1R (F-IR/IGFRKO) showed an almost complete absence of WAT and BAT.
Interestingly, mice lacking only the IR (F-IRKO) had a 95% reduction in WAT, but
a paradoxical 50% increase in BAT with accumulation of large unilocular lipid
droplets. Both F-IRKO and F-IR/IGFRKO mice were unable to maintain body
temperature in the cold and developed severe diabetes, ectopic lipid accumulation
in liver and muscle, and pancreatic islet hyperplasia. Leptin treatment
normalized blood glucose levels in both groups. Glucose levels also improved
spontaneously by 1 year of age, despite sustained lipodystrophy and insulin
resistance. Thus, loss of IR is sufficient to disrupt white fat formation, but
not brown fat formation and/or maintenance, although it is required for normal
BAT function and temperature homeostasis. IGF1R has only a modest contribution to
both WAT and BAT formation and function.
PMID- 27207538
TI - AMPK Activation by Metformin Suppresses Abnormal Extracellular Matrix Remodeling
in Adipose Tissue and Ameliorates Insulin Resistance in Obesity.
AB - Fibrosis is emerging as a hallmark of metabolically dysregulated white adipose
tissue (WAT) in obesity. Although adipose tissue fibrosis impairs adipocyte
plasticity, little is known about how aberrant extracellular matrix (ECM)
remodeling of WAT is initiated during the development of obesity. Here we show
that treatment with the antidiabetic drug metformin inhibits excessive ECM
deposition in WAT of ob/ob mice and mice with diet-induced obesity, as evidenced
by decreased collagen deposition surrounding adipocytes and expression of
fibrotic genes including the collagen cross-linking regulator LOX Inhibition of
interstitial fibrosis by metformin is likely attributable to the activation of
AMPK and the suppression of transforming growth factor-beta1 (TGF-beta1)/Smad3
signaling, leading to enhanced systemic insulin sensitivity. The ability of
metformin to repress TGF-beta1-induced fibrogenesis is abolished by the dominant
negative AMPK in primary cells from the stromal vascular fraction. TGF-beta1
induced insulin resistance is suppressed by AMPK agonists and the constitutively
active AMPK in 3T3L1 adipocytes. In omental fat depots of obese humans,
interstitial fibrosis is also associated with AMPK inactivation, TGF-beta1/Smad3
induction, aberrant ECM production, myofibroblast activation, and adipocyte
apoptosis. Collectively, integrated AMPK activation and TGF-beta1/Smad3
inhibition may provide a potential therapeutic approach to maintain ECM
flexibility and combat chronically uncontrolled adipose tissue expansion in
obesity.
PMID- 27207539
TI - Cerebrovascular Safety of Sulfonylureas: The Role of KATP Channels in
Neuroprotection and the Risk of Stroke in Patients With Type 2 Diabetes.
AB - Sulfonylureas are ATP-sensitive potassium (KATP) channel blockers commonly used
in the treatment of type 2 diabetes mellitus (T2DM). Activation of KATP channels
plays a neuroprotective role in ischemia; thus, whether sulfonylureas affect the
outcomes of stroke in patients with T2DM needs to be further studied. In our
study, streptozotocin (STZ)-induced diabetic mice subjected to transient middle
cerebral artery occlusion (MCAO) showed larger areas of brain damage and poorer
behavioral outcomes. Blocking the KATP channel by tolbutamide increased neuronal
injury induced by oxygen-glucose deprivation (OGD) in vitro and permanent MCAO
(pMCAO) in vivo. Activating the KATP channel by diazoxide reduced the effects of
both the OGD and pMCAO. Western blot analysis in STZ mouse brains indicated an
early increase in protein levels of N-methyl-d-aspartate receptor 2B and
postsynaptic density protein-95, followed by a decrease in phosphorylation of
glycogen synthase kinase 3beta. Our systematic meta-analysis indicated that
patients with T2DM treated with sulfonylureas had a higher odds ratio for stroke
morbidity than those who received comparator drugs. Taken together, these results
suggest that sulfonylurea treatment in patients with T2DM may inhibit the
neuroprotective effects of KATP channels and increase the risk of stroke.
PMID- 27207540
TI - Human Type 1 Diabetes Is Characterized by an Early, Marked, Sustained, and Islet
Selective Loss of Sympathetic Nerves.
AB - In humans, the glucagon response to moderate-to-marked insulin-induced
hypoglycemia (IIH) is largely mediated by the autonomic nervous system. Because
this glucagon response is impaired early in type 1 diabetes, we sought to
determine if these patients, like animal models of autoimmune diabetes, have an
early and severe loss of islet sympathetic nerves. We also tested whether this
nerve loss is a permanent feature of type 1 diabetes, is islet-selective, and is
not seen in type 2 diabetes. To do so, we quantified pancreatic islet and
exocrine sympathetic nerve fiber area from autopsy samples of patients with type
1 or 2 diabetes and control subjects without diabetes. Our central finding is
that patients with either very recent onset (<2 weeks) or long duration (>10
years) of type 1 diabetes have a severe loss of islet sympathetic nerves (Delta =
-88% and Delta = -79%, respectively). In contrast, patients with type 2 diabetes
lose no islet sympathetic nerves. There is no loss of exocrine sympathetic nerves
in either type 1 or type 2 diabetes. We conclude that patients with type 1, but
not type 2, diabetes have an early, marked, sustained, and islet-selective loss
of sympathetic nerves, one that may impair their glucagon response to IIH.
PMID- 27207542
TI - Interleukin-13 Pathway Alterations Impair Invariant Natural Killer T-Cell
Mediated Regulation of Effector T Cells in Type 1 Diabetes.
AB - Many studies have shown that human natural killer T (NKT) cells can promote
immunity to pathogens, but their regulatory function is still being investigated.
Invariant NKT (iNKT) cells have been shown to be effective in preventing type 1
diabetes in the NOD mouse model. Activation of plasmacytoid dendritic cells,
modulation of B-cell responses, and immune deviation were proposed to be
responsible for the suppressive effect of iNKT cells. We studied the regulatory
capacity of human iNKT cells from control subjects and patients with type 1
diabetes (T1D) at disease clinical onset. We demonstrate that control iNKT cells
suppress the proliferation of effector T cells (Teffs) through a cell contact
independent mechanism. Of note, suppression depended on the secretion of
interleukin-13 (IL-13) by iNKT cells because an antibody blocking this cytokine
resulted from the abrogation of Teff suppression; however, T1D-derived iNKT cells
showed impaired regulation that could be attributed to the decrease in IL-13
secretion. Thus, alteration of the IL-13 pathway at disease onset may lead to the
progression of the autoimmune response in T1D. Advances in the study of iNKT
cells and the selection of agonists potentiating IL-13 secretion should permit
new therapeutic strategies to prevent the development of T1D.
PMID- 27207541
TI - Amelioration of Diabetes by Protein S.
AB - Protein S is an anticoagulant factor that also regulates inflammation and cell
apoptosis. The effect of protein S on diabetes and its complications is unknown.
This study compared the development of diabetes between wild-type and transgenic
mice overexpressing human protein S and the development of diabetic
glomerulosclerosis between mice treated with and without human protein S and
between wild-type and protein S transgenic mice. Mice overexpressing protein S
showed significant improvements in blood glucose level, glucose tolerance,
insulin sensitivity, and insulin secretion compared with wild-type counterparts.
Exogenous protein S improved insulin sensitivity in adipocytes, skeletal muscle,
and liver cell lines in db/db mice compared with controls. Significant inhibition
of apoptosis with increased expression of BIRC3 and Bcl-2 and enhanced activation
of Akt/PKB was induced by protein S in islet beta-cells compared with controls.
Diabetic wild-type mice treated with protein S and diabetic protein S transgenic
mice developed significantly less severe diabetic glomerulosclerosis than
controls. Patients with type 2 diabetes had significantly lower circulating free
protein S than healthy control subjects. This study shows that protein S
attenuates diabetes by inhibiting apoptosis of beta-cells and the development of
diabetic nephropathy.
PMID- 27207543
TI - Quantification of the Contribution of GLP-1 to Mediating Insulinotropic Effects
of DPP-4 Inhibition With Vildagliptin in Healthy Subjects and Patients With Type
2 Diabetes Using Exendin [9-39] as a GLP-1 Receptor Antagonist.
AB - We quantified the contribution of GLP-1 as a mediator of the therapeutic effects
of dipeptidyl peptidase 4 (DPP-4) inhibition (vildagliptin) by using the GLP-1
receptor antagonist exendin [9-39] in patients with type 2 diabetes and in
healthy subjects. Thirty-two patients with type 2 diabetes and 29 age- and weight
matched healthy control subjects were treated in randomized order with 100 mg
once daily vildagliptin or placebo for 10 days. Meal tests were performed (days 9
and 10) without and with a high-dose intravenous infusion of exendin [9-39]. The
main end point was the ratio of the areas under the curve (AUCs) of integrated
insulin secretion rates (total AUCISR) and glucose (total AUCglucose) over 4 h
after the meal. Vildagliptin treatment more than doubled responses of intact GLP
1 and glucose-dependent insulinotropic polypeptide and lowered glucose responses
without changing AUCISR/AUCglucose in healthy subjects. Vildagliptin
significantly increased this ratio by 10.5% in patients with type 2 diabetes, and
exendin [9-39] reduced it (both P < 0.0001). The percentage reduction in the
AUCISR/AUCglucose ratio achieved with exendin [9-39] was significantly smaller
after vildagliptin treatment than after placebo treatment (P = 0.026) and was
equivalent to 47 +/- 5% of the increments due to vildagliptin. Thus, other
mediators appear to contribute significantly to the therapeutic effects of DPP-4
inhibition.
PMID- 27207544
TI - Altered Brain Response to Drinking Glucose and Fructose in Obese Adolescents.
AB - Increased sugar-sweetened beverage consumption has been linked to higher rates of
obesity. Using functional MRI, we assessed brain perfusion responses to drinking
two commonly consumed monosaccharides, glucose and fructose, in obese and lean
adolescents. Marked differences were observed. In response to drinking glucose,
obese adolescents exhibited decreased brain perfusion in brain regions involved
in executive function (prefrontal cortex [PFC]) and increased perfusion in
homeostatic appetite regions of the brain (hypothalamus). Conversely, in response
to drinking glucose, lean adolescents demonstrated increased PFC brain perfusion
and no change in perfusion in the hypothalamus. In addition, obese adolescents
demonstrated attenuated suppression of serum acyl-ghrelin and increased
circulating insulin level after glucose ingestion; furthermore, the change in
acyl-ghrelin and insulin levels after both glucose and fructose ingestion was
associated with increased hypothalamic, thalamic, and hippocampal blood flow in
obese relative to lean adolescents. Additionally, in all subjects there was
greater perfusion in the ventral striatum with fructose relative to glucose
ingestion. Finally, reduced connectivity between executive, homeostatic, and
hedonic brain regions was observed in obese adolescents. These data demonstrate
that obese adolescents have impaired prefrontal executive control responses to
drinking glucose and fructose, while their homeostatic and hedonic responses
appear to be heightened. Thus, obesity-related brain adaptations to glucose and
fructose consumption in obese adolescents may contribute to excessive consumption
of glucose and fructose, thereby promoting further weight gain.
PMID- 27207545
TI - Metabolic Networks and Metabolites Underlie Associations Between Maternal Glucose
During Pregnancy and Newborn Size at Birth.
AB - Maternal metabolites and metabolic networks underlying associations between
maternal glucose during pregnancy and newborn birth weight and adiposity demand
fuller characterization. We performed targeted and nontargeted gas
chromatography/mass spectrometry metabolomics on maternal serum collected at
fasting and 1 h following glucose beverage consumption during an oral glucose
tolerance test (OGTT) for 400 northern European mothers at ~28 weeks' gestation
in the Hyperglycemia and Adverse Pregnancy Outcome Study. Amino acids, fatty
acids, acylcarnitines, and products of lipid metabolism decreased and
triglycerides increased during the OGTT. Analyses of individual metabolites
indicated limited maternal glucose associations at fasting, but broader
associations, including amino acids, fatty acids, carbohydrates, and lipids, were
found at 1 h. Network analyses modeling metabolite correlations provided context
for individual metabolite associations and elucidated collective associations of
multiple classes of metabolic fuels with newborn size and adiposity, including
acylcarnitines, fatty acids, carbohydrates, and organic acids. Random forest
analyses indicated an improved ability to predict newborn size outcomes by using
maternal metabolomics data beyond traditional risk factors, including maternal
glucose. Broad-scale association of fuel metabolites with maternal glucose is
evident during pregnancy, with unique maternal metabolites potentially
contributing specifically to newborn birth weight and adiposity.
PMID- 27207546
TI - Tumor Necrosis Factor/Sphingosine-1-Phosphate Signaling Augments Resistance
Artery Myogenic Tone in Diabetes.
AB - Diabetes strongly associates with microvascular complications that ultimately
promote multiorgan failure. Altered myogenic responsiveness compromises tissue
perfusion, aggravates hypertension, and sets the stage for later permanent
structural changes to the microcirculation. We demonstrate that skeletal muscle
resistance arteries isolated from patients with diabetes have augmented myogenic
tone, despite reasonable blood glucose control. To understand the mechanisms, we
titrated a standard diabetes mouse model (high-fat diet plus streptozotocin
[HFD/STZ]) to induce a mild increase in blood glucose levels. HFD/STZ treatment
induced a progressive myogenic tone augmentation in mesenteric and olfactory
cerebral arteries; neither HFD nor STZ alone had an effect on blood glucose or
resistance artery myogenic tone. Using gene deletion models that eliminate tumor
necrosis factor (TNF) or sphingosine kinase 1, we demonstrate that vascular
smooth muscle cell TNF drives the elevation of myogenic tone via enhanced
sphingosine-1-phosphate (S1P) signaling. Therapeutically antagonizing TNF
(etanercept) or S1P (JTE013) signaling corrects this defect. Our investigation
concludes that vascular smooth muscle cell TNF augments resistance artery
myogenic vasoconstriction in a diabetes model that induces a small elevation of
blood glucose. Our data demonstrate that microvascular reactivity is an early
disease marker and advocate establishing therapies that strategically target the
microcirculation.
PMID- 27207547
TI - Type 1 Diabetes Genetic Risk Score: A Novel Tool to Discriminate Monogenic and
Type 1 Diabetes.
AB - Distinguishing patients with monogenic diabetes from those with type 1 diabetes
(T1D) is important for correct diagnosis, treatment, and selection of patients
for gene discovery studies. We assessed whether a T1D genetic risk score (T1D
GRS) generated from T1D-associated common genetic variants provides a novel way
to discriminate monogenic diabetes from T1D. The T1D-GRS was highly
discriminative of proven maturity-onset diabetes of young (MODY) (n = 805) and
T1D (n = 1,963) (receiver operating characteristic area under the curve 0.87). A
T1D-GRS of >0.280 (>50th T1D centile) was indicative of T1D (94% specificity, 50%
sensitivity). We then analyzed the T1D-GRS of 242 white European patients with
neonatal diabetes (NDM) who had been tested for all known NDM genes. Monogenic
NDM was confirmed in 90, 59, and 8% of patients with GRS <5th T1D centile, 50
75th T1D centile, and >75th T1D centile, respectively. Applying a GRS 50th T1D
centile cutoff in 48 NDM patients with no known genetic cause identified those
most likely to have a novel monogenic etiology by highlighting patients with
probable early-onset T1D (GRS >50th T1D centile) who were diagnosed later and had
less syndromic presentation but additional autoimmune features compared with
those with proven monogenic NDM. The T1D-GRS is a novel tool to improve the use
of biomarkers in the discrimination of monogenic diabetes from T1D.
PMID- 27207550
TI - Early Low-Fat Diet Enriched With Linolenic Acid Reduces Liver Endocannabinoid
Tone and Improves Late Glycemic Control After a High-Fat Diet Challenge in Mice.
AB - Evidence suggests that alterations of glucose and lipid homeostasis induced by
obesity are associated with the elevation of endocannabinoid tone. The
biosynthesis of the two main endocannabinoids, N-arachidonoylethanolamine and 2
arachidonoyl-glycerol, which derive from arachidonic acid, is influenced by
dietary fatty acids (FAs). We investigated whether exposure to n-3 FA at a young
age may decrease tissue endocannabinoid levels and prevent metabolic disorders
induced by a later high-fat diet (HFD) challenge. Three-week-old mice received a
5% lipid diet containing lard, lard plus safflower oil, or lard plus linseed oil
for 10 weeks. Then, mice were challenged with a 30% lard diet for 10 additional
weeks. A low n-6/n-3 FA ratio in the early diet induces a marked decrease in
liver endocannabinoid levels. A similar reduction was observed in transgenic Fat
1 mice, which exhibit high tissue levels of n-3 FA compared with wild-type mice.
Hepatic expression of key enzymes involved in carbohydrate and lipid metabolism
was concomitantly changed. Interestingly, some gene modifications persisted after
HFD challenge and were associated with improved glycemic control. These findings
indicate that early dietary interventions based on n-3 FA may represent an
alternative strategy to drugs for reducing endocannabinoid tone and improving
metabolic parameters in the metabolic syndrome.
PMID- 27207549
TI - Discovery of a Genetic Metabolic Cause for Mauriac Syndrome in Type 1 Diabetes.
AB - A mechanistic cause for Mauriac syndrome, a syndrome of growth failure and
delayed puberty associated with massive liver enlargement from glycogen
deposition in children with poorly controlled type 1 diabetes, is unknown. We
discovered a mutation in the catalytic subunit of liver glycogen phosphorylase
kinase in a patient with Mauriac syndrome whose liver extended into his pelvis.
Glycogen phosphorylase kinase activates glycogen phosphorylase, the enzyme that
catalyzes the first step in glycogen breakdown. We show that the mutant subunit
acts in a dominant manner to completely inhibit glycogen phosphorylase kinase
enzyme activity and that this interferes with glycogenolysis causing increased
levels of glycogen in human liver cells. It is known that even normal blood
glucose levels physiologically inhibit glycogen phosphorylase to diminish glucose
release from the liver when glycogenolysis is not needed. The patient's mother
possessed the same mutant glycogen phosphorylase kinase subunit, but did not have
diabetes or hepatomegaly. His father had childhood type 1 diabetes in poor
glycemic control, but lacked the mutation and had neither hepatomegaly nor growth
failure. This case proves that the effect of a mutant enzyme of glycogen
metabolism can combine with hyperglycemia to directly hyperinhibit glycogen
phosphorylase, in turn blocking glycogenolysis causing the massive liver in
Mauriac disease.
PMID- 27207548
TI - Integrin-Linked Kinase in Muscle Is Necessary for the Development of Insulin
Resistance in Diet-Induced Obese Mice.
AB - Diet-induced muscle insulin resistance is associated with expansion of
extracellular matrix (ECM) components, such as collagens, and the expression of
collagen-binding integrin, alpha2beta1. Integrins transduce signals from ECM via
their cytoplasmic domains, which bind to intracellular integrin-binding proteins.
The integrin-linked kinase (ILK)-PINCH-parvin (IPP) complex interacts with the
cytoplasmic domain of beta-integrin subunits and is critical for integrin
signaling. In this study we defined the role of ILK, a key component of the IPP
complex, in diet-induced muscle insulin resistance. Wild-type (ILK(lox/lox)) and
muscle-specific ILK-deficient (ILK(lox/lox)HSAcre) mice were fed chow or a high
fat (HF) diet for 16 weeks. Body weight was not different between ILK(lox/lox)
and ILK(lox/lox)HSAcre mice. However, HF-fed ILK(lox/lox)HSAcre mice had improved
muscle insulin sensitivity relative to HF-fed ILK(lox/lox) mice, as shown by
increased rates of glucose infusion, glucose disappearance, and muscle glucose
uptake during a hyperinsulinemic-euglycemic clamp. Improved muscle insulin action
in the HF-fed ILK(lox/lox)HSAcre mice was associated with increased insulin
stimulated phosphorylation of Akt and increased muscle capillarization. These
results suggest that ILK expression in muscle is a critical component of diet
induced insulin resistance, which possibly acts by impairing insulin signaling
and insulin perfusion through capillaries.
PMID- 27207551
TI - Empagliflozin, via Switching Metabolism Toward Lipid Utilization, Moderately
Increases LDL Cholesterol Levels Through Reduced LDL Catabolism.
AB - In clinical trials, a small increase in LDL cholesterol has been reported with
sodium-glucose cotransporter 2 (SGLT2) inhibitors. The mechanisms by which the
SGLT2 inhibitor empagliflozin increases LDL cholesterol levels were investigated
in hamsters with diet-induced dyslipidemia. Compared with vehicle, empagliflozin
30 mg/kg/day for 2 weeks significantly reduced fasting blood glucose by 18%, with
significant increase in fasting plasma LDL cholesterol, free fatty acids, and
total ketone bodies by 25, 49, and 116%, respectively. In fasting conditions,
glycogen hepatic levels were further reduced by 84% with empagliflozin, while 3
hydroxy-3-methylglutaryl-CoA reductase activity and total cholesterol hepatic
levels were 31 and 10% higher, respectively (both P < 0.05 vs. vehicle). A
significant 20% reduction in hepatic LDL receptor protein expression was also
observed with empagliflozin. Importantly, none of these parameters were changed
by empagliflozin in fed conditions. Empagliflozin significantly reduced the
catabolism of (3)H-cholesteryl oleate-labeled LDL injected intravenously by 20%,
indicating that empagliflozin raises LDL levels through reduced catabolism.
Unexpectedly, empagliflozin also reduced intestinal cholesterol absorption in
vivo, which led to a significant increase in LDL- and macrophage-derived
cholesterol fecal excretion (both P < 0.05 vs. vehicle). These data suggest that
empagliflozin, by switching energy metabolism from carbohydrate to lipid
utilization, moderately increases ketone production and LDL cholesterol levels.
Interestingly, empagliflozin also reduces intestinal cholesterol absorption,
which in turn promotes LDL- and macrophage-derived cholesterol fecal excretion.
PMID- 27207552
TI - Improved Glycemic Control and Vascular Function in Overweight and Obese Subjects
by Glyoxalase 1 Inducer Formulation.
AB - Risk of insulin resistance, impaired glycemic control, and cardiovascular disease
is excessive in overweight and obese populations. We hypothesized that increasing
expression of glyoxalase 1 (Glo1)-an enzyme that catalyzes the metabolism of
reactive metabolite and glycating agent methylglyoxal-may improve metabolic and
vascular health. Dietary bioactive compounds were screened for Glo1 inducer
activity in a functional reporter assay, hits were confirmed in cell culture, and
an optimized Glo1 inducer formulation was evaluated in a randomized, placebo
controlled crossover clinical trial in 29 overweight and obese subjects. We found
trans-resveratrol (tRES) and hesperetin (HESP), at concentrations achieved
clinically, synergized to increase Glo1 expression. In highly overweight subjects
(BMI >27.5 kg/m(2)), tRES-HESP coformulation increased expression and activity of
Glo1 (27%, P < 0.05) and decreased plasma methylglyoxal (-37%, P < 0.05) and
total body methylglyoxal-protein glycation (-14%, P < 0.01). It decreased fasting
and postprandial plasma glucose (-5%, P < 0.01, and -8%, P < 0.03, respectively),
increased oral glucose insulin sensitivity index (42 mL ? min(-1) ? m(-2), P <
0.02), and improved arterial dilatation Deltabrachial artery flow-mediated
dilatation/Deltadilation response to glyceryl nitrate (95% CI 0.13-2.11). In all
subjects, it decreased vascular inflammation marker soluble intercellular
adhesion molecule-1 (-10%, P < 0.01). In previous clinical evaluations, tRES and
HESP individually were ineffective. tRES-HESP coformulation could be a suitable
treatment for improved metabolic and vascular health in overweight and obese
populations.
PMID- 27207553
TI - A Novel Function of Hepatic FOG2 in Insulin Sensitivity and Lipid Metabolism
Through PPARalpha.
AB - Friend of GATA 2 (FOG2) is a transcriptional cofactor involved mostly in cardiac
function. The aim of this study was to investigate the role of hepatic FOG2 in
insulin sensitivity and lipid accumulation. FOG2 overexpression by adenovirus
expressing FOG2 (Ad-FOG2) significantly attenuates insulin signaling in
hepatocytes in vitro. Opposite effects were observed when FOG2 was knocked down
through adenovirus-expressing small hairpin RNA for FOG2 (Ad-shFOG2).
Furthermore, FOG2 knockdown by Ad-shFOG2 ameliorated insulin resistance in leptin
receptor-mutated (db/db) mice, and FOG2 overexpression by Ad-FOG2 attenuated
insulin sensitivity in C57BL/6J wild-type (WT) mice. In addition, Ad-FOG2
reduced, whereas Ad-shFOG2 promoted, hepatic triglyceride (TG) accumulation in WT
mice under fed or fasted conditions, which was associated with increased or
decreased hepatic peroxisome proliferator-activated receptor alpha (PPARalpha)
expression, respectively. Moreover, the improved insulin sensitivity and
increased hepatic TG accumulation by Ad-shFOG2 were largely reversed by
adenovirus-expressing PPARalpha (Ad-PPARalpha) in WT mice. Finally, we generated
FOG2 liver-specific knockout mice and found that they exhibit enhanced insulin
sensitivity and elevated hepatic TG accumulation, which were also reversed by Ad
PPARalpha. Taken together, the results demonstrate a novel function of hepatic
FOG2 in insulin sensitivity and lipid metabolism through PPARalpha.
PMID- 27207555
TI - ALOX5AP Overexpression in Adipose Tissue Leads to LXA4 Production and Protection
Against Diet-Induced Obesity and Insulin Resistance.
AB - Eicosanoids, such as leukotriene B4 (LTB4) and lipoxin A4 (LXA4), may play a key
role during obesity. While LTB4 is involved in adipose tissue inflammation and
insulin resistance, LXA4 may exert anti-inflammatory effects and alleviate
hepatic steatosis. Both lipid mediators derive from the same pathway, in which
arachidonate 5-lipoxygenase (ALOX5) and its partner, arachidonate 5-lipoxygenase
activating protein (ALOX5AP), are involved. ALOX5 and ALOX5AP expression is
increased in humans and rodents with obesity and insulin resistance. We found
that transgenic mice overexpressing ALOX5AP in adipose tissue had higher LXA4
rather than higher LTB4 levels, were leaner, and showed increased energy
expenditure, partly due to browning of white adipose tissue (WAT). Upregulation
of hepatic LXR and Cyp7a1 led to higher bile acid synthesis, which may have
contributed to increased thermogenesis. In addition, transgenic mice were
protected against diet-induced obesity, insulin resistance, and inflammation.
Finally, treatment of C57BL/6J mice with LXA4, which showed browning of WAT,
strongly suggests that LXA4 is responsible for the transgenic mice phenotype.
Thus, our data support that LXA4 may hold great potential for the future
development of therapeutic strategies for obesity and related diseases.
PMID- 27207556
TI - A Glycine-Insulin Autocrine Feedback Loop Enhances Insulin Secretion From Human
beta-Cells and Is Impaired in Type 2 Diabetes.
AB - The secretion of insulin from pancreatic islet beta-cells is critical for glucose
homeostasis. Disrupted insulin secretion underlies almost all forms of diabetes,
including the most common form, type 2 diabetes (T2D). The control of insulin
secretion is complex and affected by circulating nutrients, neuronal inputs, and
local signaling. In the current study, we examined the contribution of glycine,
an amino acid and neurotransmitter that activates ligand-gated Cl(-) currents, to
insulin secretion from islets of human donors with and without T2D. We find that
human islet beta-cells express glycine receptors (GlyR), notably the GlyRalpha1
subunit, and the glycine transporter (GlyT) isoforms GlyT1 and GlyT2. beta-Cells
exhibit significant glycine-induced Cl(-) currents that promote membrane
depolarization, Ca(2+) entry, and insulin secretion from beta-cells from donors
without T2D. However, GlyRalpha1 expression and glycine-induced currents are
reduced in beta-cells from donors with T2D. Glycine is actively cleared by the
GlyT expressed within beta-cells, which store and release glycine that acts in an
autocrine manner. Finally, a significant positive relationship exists between
insulin and GlyR, because insulin enhances the glycine-activated current in a
phosphoinositide 3-kinase-dependent manner, a positive feedback loop that we find
is completely lost in beta-cells from donors with T2D.
PMID- 27207554
TI - Improved Performance of Dynamic Measures of Insulin Response Over Surrogate
Indices to Identify Genetic Contributors of Type 2 Diabetes: The GUARDIAN
Consortium.
AB - Type 2 diabetes (T2D) is a heterogeneous disorder with contributions from
peripheral insulin resistance and beta-cell dysfunction. For minimization of
phenotypic heterogeneity, quantitative intermediate phenotypes characterizing
basal glucose homeostasis (insulin resistance and HOMA of insulin resistance
[HOMAIR] and of beta-cell function [HOMAB]) have shown promise in relatively
large samples. We investigated the utility of dynamic measures of glucose
homeostasis (insulin sensitivity [SI] and acute insulin response [AIRg])
evaluating T2D-susceptibility variants (n = 57) in Hispanic Americans from the
GUARDIAN Consortium (n = 2,560). Basal and dynamic measures were genetically
correlated (HOMAB-AIRg: rhoG = 0.28-0.73; HOMAIR-SI: rhoG = -0.73 to -0.83) with
increased heritability for the dynamic measure AIRg Significant association of
variants with dynamic measures (P < 8.77 * 10(-4)) was observed. A pattern of
superior performance of AIRg was observed for well-established loci including
MTNR1B (P = 9.46 * 10(-12)), KCNQ1 (P = 1.35 * 10(-4)), and TCF7L2 (P = 5.10 *
10(-4)) with study-wise statistical significance. Notably, significant
association of MTNR1B with AIRg (P < 1.38 * 10(-9)) was observed in a population
one-fourteenth the size of the initial discovery cohort. These observations
suggest that basal and dynamic measures provide different views and levels of
sensitivity to discrete elements of glucose homeostasis. Although more costly to
obtain, dynamic measures yield significant results that could be considered
physiologically "closer" to causal pathways and provide insight into the discrete
mechanisms of action.
PMID- 27207558
TI - Adrenomedullin 2 Improves Early Obesity-Induced Adipose Insulin Resistance by
Inhibiting the Class II MHC in Adipocytes.
AB - MHC class II (MHCII) antigen presentation in adipocytes was reported to trigger
early adipose inflammation and insulin resistance. However, the benefits of MHCII
inhibition in adipocytes remain largely unknown. Here, we showed that human
plasma polypeptide adrenomedullin 2 (ADM2) levels were negatively correlated with
HOMA of insulin resistance in obese human. Adipose-specific human ADM2 transgenic
(aADM2-tg) mice were generated. The aADM2-tg mice displayed improvements in high
fat diet-induced early adipose insulin resistance. This was associated with
increased insulin signaling and decreased systemic inflammation. ADM2 dose
dependently inhibited CIITA-induced MHCII expression by increasing Blimp1
expression in a CRLR/RAMP1-cAMP-dependent manner in cultured adipocytes.
Furthermore, ADM2 treatment restored the high-fat diet-induced early insulin
resistance in adipose tissue, mainly via inhibition of adipocyte MHCII antigen
presentation and CD4(+) T-cell activation. This study demonstrates that ADM2 is a
promising candidate for the treatment of early obesity-induced insulin
resistance.
PMID- 27207557
TI - CCR7 Maintains Nonresolving Lymph Node and Adipose Inflammation in Obesity.
AB - Accumulation of immune cells in adipose tissue promotes insulin resistance in
obesity. Although innate and adaptive immune cells contribute to adipose
inflammation, the processes that sustain these interactions are incompletely
understood. Here we show that obesity promotes the accumulation of CD11c(+)
adipose tissue immune cells that express C-C chemokine receptor 7 (CCR7) in mice
and humans, and that CCR7 contributes to chronic inflammation and insulin
resistance. We identified that CCR7(+) macrophages and dendritic cells accumulate
in adipose tissue in close proximity to lymph nodes (LNs) (i.e., perinodal) and
visceral adipose. Consistent with the role of CCR7 in regulating the migration of
immune cells to LNs, obesity promoted the accumulation of CD11c(+) cells in LNs,
which was prevented by global or hematopoietic deficiency of Ccr7 Obese Ccr7(-/-)
mice had reduced accumulation of CD8(+) T cells, B cells, and macrophages in
adipose tissue, which was associated with reduced inflammatory signaling. This
reduction in maladaptive inflammation translated to increased insulin signaling
and improved glucose tolerance in obesity. Therapeutic administration of an anti
CCR7 antibody phenocopied the effects of genetic Ccr7 deficiency in mice with
established obesity. These results suggest that CCR7 plays a causal role in
maintaining innate and adaptive immunity in obesity.
PMID- 27207559
TI - Clinical height measurements are unreliable: a call for improvement.
AB - Height measurements are currently used to guide imaging decisions that assist in
osteoporosis care, but their clinical reliability is largely unknown. We found
both clinical height measurements and electronic health record height data to be
unreliable. Improvement in height measurement is needed to improve osteoporosis
care. INTRODUCTION: The aim of this study is to assess the accuracy and
reliability of clinical height measurement in a university healthcare clinical
setting. METHODS: Electronic health record (EHR) review, direct measurement of
clinical stadiometer accuracy, and observation of staff height measurement
technique at outpatient facilities of the University of Wisconsin Hospital and
Clinics. We examined 32 clinical stadiometers for reliability and observed 34
clinic staff perform height measurements at 12 outpatient primary care and
specialty clinics. An EHR search identified 4711 men and women age 43 to 89 with
no known metabolic bone disease who had more than one height measurement over 3
months. The short study period and exclusion were selected to evaluate change in
recorded height not due to pathologic processes. RESULTS: Mean EHR recorded
height change (first to last measurement) was -0.02 cm (SD 1.88 cm). Eighteen
percent of patients had height measurement differences noted in the EHR of >=2 cm
over 3 months. The technical error of measurement (TEM) was 1.77 cm with a
relative TEM of 1.04 %. None of the staff observed performing height measurements
followed all recommended height measurement guidelines. Fifty percent of clinic
staff reported they on occasion enter patient reported height into the EHR rather
than performing a measurement. When performing direct measurements on
stadiometers, the mean difference from a gold standard length was 0.24 cm (SD
0.80). Nine percent of stadiometers examined had an error of >1.5 cm.
CONCLUSIONS: Clinical height measurements and EHR recorded height results are
unreliable. Improvement in this measure is needed as an adjunct to improve
osteoporosis care.
PMID- 27207561
TI - Particular gene order and complete mitochondrial genome of Beach conger (Conger
japonicus).
AB - Beach conger (Conger japonicus) is a demersal and carnivorous species belonging
to the family Congridae. In the present study, the complete mitochondrial genome
of the C. japonicus was first determined. The mitochondrial genome of C.
japonicus is 17,778 nucleotides, comprising 13 protein-coding genes, 2 ribosomal
RNA genes, 22 tRNA genes, and 2 main non-coding regions (the control region and
the origin of the light strand replication), which is consistent with other
vertebrates. However, its gene order is different from other vertebrates (except
for C. myriaster). The Cytb gene, tRNAThr and the control region are located
between the ND5 gene and the ND6 gene in C. japonicus while they are between the
ND6 gene and the tRNAPhe gene in other vertebrates. The same gene order also
appeared in C. myriaster. The mitochondrial genome of C. japonicus and C.
myriaster, which are currently the only two members of Conger genus with complete
mitochondrial genome, appeared in the gene rearrangement, so we speculated that
the mitochondrial genome of all species from Conger genus may appear in the gene
rearrangement. However, to clarify the speculation, more mitochondrial genomes of
Conger genus will be needed in the future. In addition, phylogenetic analysis
result demonstrated that C. japonicus and C. myriaster clustered in a clade and
formed a sister relationship.
PMID- 27207560
TI - Anopheles coluzzii larval habitat and insecticide resistance in the island area
of Manoka, Cameroon.
AB - BACKGROUND: The effectiveness of Long-Lasting Insecticidal Nets and Indoor
Residual Spraying in malaria vector control is threatened by vector resistance to
insecticides. Knowledge of mosquito habitats and patterns of insecticide
resistance would facilitate the development of appropriate vector control
strategies. Therefore, we investigated An. coluzzii larval habitats and
resistance to insecticides in the Manoka rural island area compared with the
Youpwe suburban inland area, in Douala VI and II districts respectively. METHODS:
Anopheline larvae and pupae were collected from open water bodies in December
2013 and April 2014 and reared until adult emergence. Two to four day old
emerging females were morphologically identified as belonging to the An. gambiae
complex and used for WHO susceptibility tests with 4 % DDT, 0.75 % permethrin,
and 0.05 % deltamethrin, with or without piperonyl butoxide (PBO) synergist.
Control and surviving specimens were identified down to the species using a PCR
RFLP method. Survivors were genotyped for kdr L1014 mutations using Hot
Oligonucleotide Ligation Assay. RESULTS: In both study sites, ponds, residual
puddles, boats, and drains were identified as the major An. gambiae s.l. larval
habitats. A total of 1397 females, including 784 specimens from Manoka and 613
from Youpwe, were used for resistance testing. The two mosquito populations
displayed resistance to DDT, permethrin and deltamethrin, with variable mortality
rates from 1 % to 90 %. The knock-down times were also significantly increased
(at least 2.8 fold). Pre-exposure of mosquitoes to PBO did not impact on their
mortality to DDT, conversely the mortality rates to permethrin and deltamethrin
were significantly increased (7.56 <= X(2) <= 48.63, df = 1, p < 0.01),
suggesting involvement of P450 oxidases in pyrethroid resistance. A subsample of
400 An. gambiae s.l. specimens including 280 control and 120 survivors from
bioassays were all found to be An. coluzzii species. Only the kdr 1014 F mutation
was found in survivors, with 88.5 % (N = 76) and 75 % (N = 44) frequencies in
Youpwe and Manoka respectively. CONCLUSION: This is the first report of An.
coluzzii resistance to insecticides in an insular area in Cameroon. Since
permanent larval habitats have been identified, larval source management
strategies may be trialed in this area as complementary vector control
interventions.
PMID- 27207562
TI - Determinants of high cost in multiple sclerosis patients: a claims and chart
review study.
AB - OBJECTIVE: To identify factors associated with high cost multiple sclerosis (MS)
patients using integrated administrative claims and medical charts data. METHODS:
This study identified newly diagnosed MS patients (>=18 years) in a large United
States managed care claims database between 1 January 2007 and 30 April 2011
using the ICD-9-CM code (340.xx). Mean annualized MS-related costs higher than
the third quartile were categorized as high cost, lower than the first quartile
as low, and the rest as medium. Patients were compared across cohorts with
descriptive and inferential statistics. Baseline high cost factors were
identified with multivariable logistic regression models. RESULTS: Administrative
claims (n = 4342) and medical chart records (n = 400) data was evaluated. Mean
(SD) annualized MS-related costs were $6313 ($14,177) for patients overall and
$18,398 ($24,483) for high cost patients. Inpatient costs accounted for the
largest proportion (49.69%) of MS-related costs among high cost patients. MS
relapses and MS-related comorbidities were more prevalent in the high cost
patients. In the multivariable analyses, patients with baseline use of
antidepressants or corticosteroids, baseline muscle weakness, and initial
treatment from a non-neurologist were likelier to be high cost MS patients.
LIMITATIONS: MS-related clinical information was not completely available from
medical chart data. The specificity of true MS-related costs may have been
limited and the definition of the cost-based cohort segmentations was arbitrary.
CONCLUSIONS: Overall, baseline use of MS-related medications, the presence of
baseline MS-related comorbidities, MS relapses, and MS-related hospitalizations
were significantly associated with high cost patients. Future comparative
effectiveness studies of currently approved disease modifying therapies for MS
may help to identify best strategies for individual patients to minimize clinical
events that are associated with high disease related costs.
PMID- 27207563
TI - A Decision Aid for Women Considering Neoadjuvant Systemic Therapy for Operable
Invasive Breast Cancer: Development and Protocol of a Phase II Evaluation Study
(ANZ1301 DOMINO).
AB - BACKGROUND: Neoadjuvant systemic therapy is offered to selected women with large
and/or highly proliferative operable breast cancers. This option adds further
complexity to an already complex breast cancer treatment decision tree. Patient
decision aids are an established method of increasing patient involvement and
knowledge while decreasing decisional conflict. There is currently no decision
aid available for women considering neoadjuvant systemic therapy. OBJECTIVE: We
aimed to develop a decision aid for women diagnosed with operable breast cancer
and considered suitable for neoadjuvant systemic therapy, and the protocol for a
multicenter pre-post study evaluating the acceptability and feasibility of the
decision aid. METHODS: The decision aid was developed through literature review,
expert advisory panel, adherence to the International Patient Decision Aid
Standards, and iterative review. The protocol for evaluation of the decision aid
consists of the following: eligible women will undertake a series of
questionnaires prior to and after using the decision aid. The primary endpoint is
decision aid acceptability to patients and investigators and the feasibility of
use. Secondary endpoints include change in decisional conflict, participant
knowledge, and information involvement preference. Feasibility is defined as the
proportion of eligible participants who use the decision aid to help inform their
treatment decision. RESULTS: This study has recruited 29 out of a planned 50
participants at four Australian sites. A 12-month recruitment period is expected
with a further 12-months follow-up. CONCLUSIONS: The decision aid has the
potential to allow patients with operable breast cancer, who have been offered
neoadjuvant systemic therapy, decreased decisional conflict, and greater
involvement in the decision. If this study finds that an online decision aid is
feasible and acceptable, it will be made widely available for routine clinical
practice. TRIAL REGISTRATION: Australian and New Zealand Clinical Trials Registry
ACTRN12614001267640;
http://www.anzctr.org.au/TrialSearch.aspx?searchTxt=ACTRN12614001267640&isBasic=T
ue (Archived by WebCite at http://www.webcitation.org/6gh7BPZdG).
PMID- 27207566
TI - Genetic Variants in the Vicinity of LGALS-3 Gene and LGALS-3 mRNA Expression in
Advanced Carotid Atherosclerosis: An Exploratory Study.
AB - BACKGROUND: Previous research has shown that there is an association between
galectin-3 (gal-3) protein and cardiovascular pathology. The aim of this study
was to investigate the effects of rs2274273 and rs17128183 on genetic
susceptibility to advanced carotid atherosclerosis (CA) and its complications.
The rs2274273 has been singled out as the lead SNP of the haplotype block
containing LGALS-3 (gal-3 gene) associated with gal-3 circulating levels, while
rs17128183 constitutes a potentially functional SNP of the same hap-block. We
further sought to determine whether these genetic variants have an impact on the
expression of LGALS-3 mRNA in human carotid atherosclerotic plaque tissue.
METHODS: The study encompassed 300 control subjects and 485 patients with
advanced CA who had undergone carotid endarterectomy. Rs2274273, rs17128183, and
LGALS-3 relative mRNA expression was detected by means of real-time PCR
(TaqMan(r) technology). RESULTS: There were no statistically significant
associations of the investigated genetic variants with susceptibility to advanced
CA, nor did we find any associations in terms of ultrasonographically defined
plaque phenotypes. The relative expression of LGALS-3 mRNA proved to be
significantly higher in carriers of the rare alleles (P = 0.039) for both genetic
variants. CONCLUSION: Our exploratory results suggest that while rs2274273 and
rs17128183 bear no association with the risk of advanced CA or CA-related
complications, these genetic variants are likely to affect LGALS-3 expression
levels. In order to reach a definitive conclusion on the role played by rs2274273
and rs17128183 in advanced CA, our results should be further validated.
PMID- 27207565
TI - Toxoplasma gondii exposure may modulate the influence of TLR2 genetic variation
on bipolar disorder: a gene-environment interaction study.
AB - BACKGROUND: Genetic vulnerability to environmental stressors is yet to be
clarified in bipolar disorder (BD), a complex multisystem disorder in which
immune dysfunction and infectious insults seem to play a major role in the
pathophysiology. Association between pattern-recognition receptor coding genes
and BD had been previously reported. However, potential interactions with history
of pathogen exposure are yet to be explored. METHODS: 138 BD patients and 167
healthy controls were tested for serostatus of Toxoplasma gondii, CMV, HSV-1 and
HSV-2 and genotyped for TLR2 (rs4696480 and rs3804099), TLR4 (rs1927914 and
rs11536891) and NOD2 (rs2066842) polymorphisms (SNPs). Both the pathogen-specific
seroprevalence and the TLR/NOD2 genetic profiles were compared between patients
and controls followed by modelling of interactions between these genes and
environmental infectious factors in a regression analysis. RESULTS: First, here
again we observed an association between BD and Toxoplasma gondii (p = 0.045; OR
= 1.77; 95 % CI 1.01-3.10) extending the previously published data on a cohort of
a relatively small number of patients (also included in the present sample).
Second, we found a trend for an interaction between the TLR2 rs3804099 SNP and
Toxoplasma gondii seropositivity in conferring BD risk (p = 0.017, uncorrected).
CONCLUSIONS: Pathogen exposure may modulate the influence of the immunogenetic
background on BD. A much larger sample size and information on period of pathogen
exposure are needed in future gene-environment interaction studies.
PMID- 27207564
TI - Endocrine neoplasms in familial syndromes of hyperparathyroidism.
AB - Familial syndromes of hyperparathyroidism, including multiple endocrine neoplasia
type 1 (MEN1), multiple endocrine neoplasia type 2A (MEN2A), and the
hyperparathyroidism-jaw tumor (HPT-JT), comprise 2-5% of primary
hyperparathyroidism cases. Familial syndromes of hyperparathyroidism are also
associated with a range of endocrine and nonendocrine tumors, including potential
malignancies. Complications of the associated neoplasms are the major causes of
morbidities and mortalities in these familial syndromes, e.g., parathyroid
carcinoma in HPT-JT syndrome; thymic, bronchial, and enteropancreatic
neuroendocrine tumors in MEN1; and medullary thyroid cancer and pheochromocytoma
in MEN2A. Because of the different underlying mechanisms of neoplasia, these
familial tumors may have different characteristics compared with their sporadic
counterparts. Large-scale clinical trials are frequently lacking due to the
rarity of these diseases. With technological advances and the development of new
medications, the natural history, diagnosis, and management of these syndromes
are also evolving. In this article, we summarize the recent knowledge on
endocrine neoplasms in three familial hyperparathyroidism syndromes, with an
emphasis on disease characteristics, molecular pathogenesis, recent developments
in biochemical and radiological evaluation, and expert opinions on surgical and
medical therapies. Because these familial hyperparathyroidism syndromes are
associated with a wide variety of tumors in different organs, this review is
focused on those endocrine neoplasms with malignant potential.
PMID- 27207568
TI - Soil warming opens the nitrogen cycle at the alpine treeline.
AB - Climate warming may alter ecosystem nitrogen (N) cycling by accelerating N
transformations in the soil, and changes may be especially pronounced in cold
regions characterized by N-poor ecosystems. We investigated N dynamics across the
plant-soil continuum during 6 years of experimental soil warming (2007-2012; +4
degrees C) at a Swiss high-elevation treeline site (Stillberg, Davos; 2180 m
a.s.l.) featuring Larix decidua and Pinus uncinata. In the soil, we observed
considerable increases in the NH4+ pool size in the first years of warming (by
>50%), but this effect declined over time. In contrast, dissolved organic
nitrogen (DON) concentrations in soil solutions from the organic layer increased
under warming, especially in later years (maximum of +45% in 2012), suggesting
enhanced DON leaching from the main rooting zone. Throughout the experimental
period, foliar N concentrations showed species-specific but small warming
effects, whereas delta15 N values showed a sustained increase in warmed plots
that was consistent for all species analysed. The estimated total plant N pool
size at the end of the study was greater (+17%) in warmed plots with Pinus but
not in those containing Larix, with responses driven by trees. Irrespective of
plot tree species identity, warming led to an enhanced N pool size of Vaccinium
dwarf shrubs, no change in that of Empetrum hermaphroditum (dwarf shrub) and
forbs, and a reduction in that of grasses, nonvascular plants, and fine roots. In
combination, higher foliar delta15 N values and the transient response in soil
inorganic N indicate a persistent increase in plant-available N and greater
cumulative plant N uptake in warmer soils. Overall, greater N availability and
increased DON concentrations suggest an opening of the N cycle with global
warming, which might contribute to growth stimulation of some plant species while
simultaneously leading to greater N losses from treeline ecosystems and possibly
other cold biomes.
PMID- 27207567
TI - Adult-onset Still's disease: an Italian multicentre retrospective observational
study of manifestations and treatments in 245 patients.
AB - Adult-onset Still's disease (AOSD) is a systemic inflammatory condition of
unknown aetiology characterized by typical episodes of spiking fever, evanescent
rash, arthralgia, leukocytosis and hyperferritinemia. Given the lack of data in
Italian series, we promote a multicentric data collection to characterize the
clinical phenotype of Italian patients with AOSD. Data from 245 subjects
diagnosed with AOSD were collected by 15 centres between March and May 2013. The
diagnosis was made following Yamaguchi's criteria. Data regarding clinical
manifestations, laboratory features, disease course and treatments were reported
and compared with those presented in other published series of different
ethnicity. The most frequent features were the following: arthritis (93 %),
pyrexia (92.6 %), leukocytosis (89 %), negative ANA (90.4 %) and neutrophilia (82
%). As compared to other North American, North European, Middle Eastern and Far
Eastern cohorts, Italian data show differences in clinical and laboratory
findings. Regarding the treatments, in 21.9 % of cases, corticosteroids and
traditional DMARDs have not been able to control the disease while biologics have
been shown to be effective in 48 to 58 patients. This retrospective work
summarizes the largest Italian multicentre series of AOSD patients and presents
clinical and laboratory features that appear to be influenced by the ethnicity of
the affected subjects.
PMID- 27207569
TI - A Turn on ESIPT Probe for Rapid and Ratiometric Fluorogenic Detection of Hg(2+)
and its Application in Live-Cell Imaging.
AB - A probe based on 2-(2'-hydroxyphenyl) benzothiazole (HBT) and thiophosphate has
been synthesized and used for the ratiometric detection of Hg(2+). The probe was
designed in such a way that the excited state intramolecular proton transfer
(ESIPT) of the HBT moiety get blocked. The probe exhibited a strong fluorescence
enhancement upon addition of Hg(2+) while showing almost no response to other
cations in CH3CN/HEPES buffer solution. The probe exhibited fast selectivity
towards Hg(2+) and could be completed in 1 min. Fluorescence imaging experiments
of Hg(2+) in living TE-1 cells demonstrated its value of practical applications
in biological systems.
PMID- 27207570
TI - Influence of Shell Formation on Morphological Structure, Optical and Emission
Intensity on Aqueous Dispersible NaYF4:Ce/Tb Nanoparticles.
AB - A highly water-dispersible NaYF4:Ce/Tb (core), NaYF4:Ce/Tb@NaYF4(core/shell) and
NaYF4:Ce/Tb@NaYF4@SiO2 (core/shell/SiO2) nanoparticles (NPs) were synthesized via
a general synthesis approach. The growth of an inert NaYF4 and silica shell (~14
nm) around the core-NPs resulted in an increase of the average size of the
nanopaticles as well as broadening of their size distribution. The optical band
gap energy slightly decreases after shell formation due to the increase the
crystalline size. To optimize the influence of shell formation a comparative
analysis of photoluminescence properties (excitation, emission, and luminescence
decay time) of the core, core/shell, and core/shell/SiO2 NPs were measured. The
emission intensity was significantly enhanced after inert shell formation around
the surface of the core NPs. The Commission International de l'Eclairage
chromaticity coordinates of the emission spectrum of core, core/shell,
core/shell/SiO2 NPs lie closest to the standard green color emission at 545 nm.
By quantitative spectroscopic measurements of surface-modified core-NPs, it was
suggested that encapsulation with inert and silica layers was found to be
effective in retaining both luminescence intensity and dispersibility in aqueous
environment. Considering the high aqueous dispersion and enhanced luminescence
efficiency of the core-NPs make them an ideal luminescent material for
luminescence bioimaging and optical biosensors.
PMID- 27207572
TI - The Role of Barbiturates for Alcohol Withdrawal Syndrome.
AB - BACKGROUND: Benzodiazepine-resistant cases of alcohol withdrawal syndrome are
common, and therefore alternate treatments are needed. OBJECTIVE: Our aim was to
conduct a systematic review of published reports on the use of barbiturates for
alcohol withdrawal syndrome. METHODS: We performed a systematic literature search
of PUBMED for relevant citations that described the use of barbiturates either
alone or in conjunction with other pharmacological agents to treat alcohol
withdrawal syndrome. RESULTS: A total of 15 citations were identified; 2
citations looked at barbiturates alone; 1 found barbiturates effective in an
emergency department setting at treating seizures and preventing return visits. A
second showed that barbiturates caused a relatively low rate of respiratory
depression. Further, 5 citations compared barbiturates with benzodiazepines; 1
suggested that they were better at treating severe withdrawal, and another showed
they were more effective at preventing seizures; 4 citations found they were as
effective as benzodiazepines, but 1 found a higher rate of respiratory
depression. Also, 3 citations compared a combination of barbiturates and
benzodiazepines to benzodiazepines alone; 1 showed decreased ventilation, another
showed fewer intensive care unit admissions, and a third showed better symptom
control; 3 citations described detailed reports of barbiturate protocols. Lastly,
2 citations compared barbiturates with other agents and found them equivalent.
CONCLUSION: Barbiturates provide effective treatment for alcohol withdrawal
syndrome. In particular, they show promise for use in the emergency department
and for severe withdrawal in the intensive care unit. Respiratory depression does
not appear to be exceedingly common. Additional studies are needed to clarify the
role of barbiturates in alcohol withdrawal syndrome.
PMID- 27207573
TI - Subtherapeutic voriconazole concentrations associated with concomitant
dexamethasone: case report and review of the literature.
AB - WHAT IS KNOWN AND OBJECTIVE: Voriconazole has significant drug interactions due
to metabolism by CYP enzymes. Subtherapeutic voriconazole concentrations
associated with concomitant dexamethasone are not well described. CASE
DESCRIPTION: An 84-year-old male was started on voriconazole for a fungal brain
abscess. He was readmitted due to clinical failure thought to be the result of
subtherapeutic voriconazole concentrations. Dexamethasone was identified as a
potential cause due to its induction of CYP enzymes. This interaction was
substantiated by sequential troughs that demonstrated a rise in voriconazole
concentrations as dexamethasone was tapered off. WHAT IS NEW AND CONCLUSION:
Therapeutic drug monitoring for patients on voriconazole and dexamethasone is
essential to prevent suboptimal clinical outcomes.
PMID- 27207574
TI - Pesticide-mediated displacement of a phytoseiid predator, Neoseiulus womersleyi,
by another phytoseiid predator, N. californicus (Acari: Phytoseiidae).
AB - Neoseiulus womersleyi and N. californicus are two predators that are frequently
used to control spider mites in fruit-tree orchards. Neoseiulus womersleyi used
to be the dominant predator species in Japan, but since the 1990s in central and
southwestern Japan, N. californicus populations have been increasing and have
displaced populations of N. womersleyi. We previously observed the same
phenomenon under laboratory conditions when these species were released at a 1:1
ratio, and attributed the displacement to asymmetrical intraguild predation.
However, the ratio in fruit-tree orchards could be different from 1:1. Therefore,
we hypothesized that differential susceptibilities to pesticides might accelerate
species displacement of N. womersleyi by N. californicus, even if the ratio
between these two species was extremely skewed in favor of N. womersleyi and no
species displacement occurred otherwise. We examined the effects of 21 pesticides
on egg-to-adult and adult survivorship in N. womersleyi and N. californicus.
Among these pesticides, two neonicotinoids (acetamiprid and imidacloprid) had
much severer effects on N. womersleyi than on N. californicus and thus could
possibly account for the species displacement. When the two species were released
onto leaf arenas at an N. californicus: N. womersleyi ratio of 1:9 in the absence
of insecticide, no displacement was observed. However, just after acetamiprid or
imidacloprid application, the proportion of N. californicus increased, causing N.
californicus to displace N. womersleyi. Our results indicate that displacement in
predator complexes of fruit-tree orchards could be due to different degrees of
pesticide susceptibility.
PMID- 27207576
TI - Factors affecting the intramolecular decomposition of hexamethylene triperoxide
diamine and implications for detection.
AB - Hexamethylene triperoxide diamine (HMTD) is an easily synthesized and highly
sensitive organic peroxide frequently used as a primary explosive. The vapor
pressure of HMTD is very low, impeding vapor detection, especially when compared
to other peroxide explosives, such as triacetone triperoxide (TATP) or diacetone
diperoxide (DADP). Despite this fact, HMTD has a perceptible odor that could be
utilized in the indirect detection of HMTD vapor. Headspace measurements above
solid HMTD samples confirm that HMTD readily decomposes under ambient conditions
to form highly volatile products that include formic acid, ammonia,
trimethylamine and formamides. The presence and quantity of these compounds are
affected by storage condition, time, and synthetic method, with synthetic method
having the most significant effect on the content of the headspace. A kinetic
study of HMTD decomposition in solution indicated a correlation between
degradation rate and the presence of decomposition species identified in the
headspace, and provided further insight into the mechanism of decomposition. The
study provided evidence for a proton assisted decomposition reaction with water,
as well as an intramolecular decomposition process facilitated by the presence of
water.
PMID- 27207577
TI - Determination of cocaine and its metabolites in plasma by porous membrane
protected molecularly imprinted polymer micro-solid-phase extraction and liquid
chromatography-tandem mass spectrometry.
AB - A selective molecularly imprinted polymer synthesized for the selective retention
of cocaine (COC) and its metabolites [benzoylecgonine (BZE), ecgonine methyl
ester (EME), and cocaethylene (CE)] was used as a solid adsorbent for assessing
cocaine abuse by plasma analysis. The MIP beads (50mg) were loaded inside a cone
shaped device made of a polypropylene (PP) membrane for micro-solid-phase
extraction (MU-SPE). High performance liquid chromatography-tandem mass
spectrometry (HPLC-MS/MS) was used for quantifying the analytes after MIP-MU-SPE.
The best retention capabilities were reached when loading plasma samples (within
the 0.1-5.0mL range), previously adjusted to pH 5.5 by orbital-horizontal shaking
(150rpm, 50 degrees C) for 10min. Analyte elution was achieved by subjecting the
MIP-MU-SPE device to ultrasound (37kHz, 325W) with 10mL of dichloromethane/2
propanol/ammonium hydroxide (76:20:4) for 8min. After eluate evaporation to
dryness and re-dissolution in 100MUL of mobile phase, the MIP-MU-SPE method
yielded a pre-concentration factor of 50. Precision was assessed by intra-day and
inter-day assays, and accuracy (intraday and inter-day analytical recovery, as
well as the analysis of a BTMF 1/11-B control serum sample) show that the
developed method is highly precise and accurate. In addition, the limits of
detection, ranging from 0.061ngmL(-1) for COC to 0.87ngmL(-1) for BZE, were low
enough for confirmative conclusions regarding cocaine abuse. The method was used
for screening/quantifying cocaine and metabolites in plasma samples from poly
drug abusers.
PMID- 27207575
TI - A microchip electrophoresis-mass spectrometric platform with double cell lysis
nano-electrodes for automated single cell analysis.
AB - Capillary electrophoresis-based single cell analysis has become an essential
approach in researches at the cellular level. However, automation of single cell
analysis has been a challenge due to the difficulty to control the number of
cells injected and the irreproducibility associated with cell aggregation. Herein
we report the development of a new microfluidic platform deploying the double
nano-electrode cell lysis technique for automated analysis of single cells with
mass spectrometric detection. The proposed microfluidic chip features integration
of a cell-sized high voltage zone for quick single cell lysis, a microfluidic
channel for electrophoretic separation, and a nanoelectrospray emitter for
ionization in MS detection. Built upon this platform, a microchip electrophoresis
mass spectrometric method (MCE-MS) has been developed for automated single cell
analysis. In the method, cell introduction, cell lysis, and MCE-MS separation are
computer controlled and integrated as a cycle into consecutive assays. Analysis
of large numbers of individual PC-12 neuronal cells (both intact and exposed to
25mM KCl) was carried out to determine intracellular levels of dopamine (DA) and
glutamic acid (Glu). It was found that DA content in PC-12 cells was higher than
Glu content, and both varied from cell to cell. The ratio of intracellular DA to
Glu was 4.20+/-0.8 (n=150). Interestingly, the ratio drastically decreased to
0.38+/-0.20 (n=150) after the cells are exposed to 25mM KCl for 8min, suggesting
the cells released DA promptly and heavily while they released Glu at a much
slower pace in response to KCl-induced depolarization. These results indicate
that the proposed MCE-MS analytical platform may have a great potential in
researches at the cellular level.
PMID- 27207578
TI - A simple multi-scale Gaussian smoothing-based strategy for automatic
chromatographic peak extraction.
AB - Peak detection is a critical step in chromatographic data analysis. In the
present work, we developed a multi-scale Gaussian smoothing-based strategy for
accurate peak extraction. The strategy consisted of three stages: background
drift correction, peak detection, and peak filtration. Background drift
correction was implemented using a moving window strategy. The new peak detection
method is a variant of the system used by the well-known MassSpecWavelet, i.e.,
chromatographic peaks are found at local maximum values under various smoothing
window scales. Therefore, peaks can be detected through the ridge lines of
maximum values under these window scales, and signals that are monotonously
increased/decreased around the peak position could be treated as part of the
peak. Instrumental noise was estimated after peak elimination, and a peak
filtration strategy was performed to remove peaks with signal-to-noise ratios
smaller than 3. The performance of our method was evaluated using two complex
datasets. These datasets include essential oil samples for quality control
obtained from gas chromatography and tobacco plant samples for metabolic
profiling analysis obtained from gas chromatography coupled with mass
spectrometry. Results confirmed the reasonability of the developed method.
PMID- 27207579
TI - Comparative study of thermal desorption and solvent extraction-gas chromatography
mass spectrometric analysis for the quantification of phthalates in polymers.
AB - For the quantitative analysis of phthalates in polymers, a thermal desorption
(TD)-GC-MS method was compared with solvent extraction (SE)-GC-MS methods which
require the long pretreatment procedures using large amount of harmful organic
solvents. Calibration curves of TD-GC-MS showed good linearity (r(2)>0.9997) and
low method detection limit (<30mg/kg with 9.0% RSD). Quantification results for
three kinds of test phthalate polymer samples (test PTPSs) showed an RSD below
7.4% and acceptable recoveries (78.3-117.4%) as in the standard method of
International Electrotechnical Commission. Even in a sample with a high
concentration of phthalates (PTPS #3), the method also showed good recovery with
low RSD values. The TD-GC-MS results were comparable with those results by SE-GC
MS methods, indicating that TD-GC-MS method also can be used for the
quantification of phthalates in polymers. The average recovery (92-103%) and RSD
(<20%) values obtained from international inter-laboratory study for TD-GC-MS
performed in six laboratories also indicated that TD-GC-MS can be used as an
international standard method for the quantification of phthalates in polymers.
PMID- 27207580
TI - Water compatible stir-bar devices imprinted with underivatised glyphosate for
selective sample clean-up.
AB - This paper reports the development of stir bars with a new MIP based coating, for
the selective sorptive extraction of the herbicide glyphosate (GLYP). Molecular
imprinting of the polymer has directly been carried out employing underivatised
GLYP as the template molecule. Due to the poor solubility of the target compound
in organic solvents, the MIP methodology has been optimised for rebinding in
aqueous media, being the synthesis and the rebinding steps carried out in
water:methanol mixtures and pure aqueous media. The coating has been developed by
radical polymerisation initiated by UV energy, using N-allylthiourea and 2
dimethyl aminoethyl methacrylate as functional monomers and ethylene glycol
dimethacrylate as the cross-linker. Mechanical stability of the coating has been
improved using 1,3-divinyltetramethyldisiloxane in the polymerisation mixture.
Under the optimised conditions, the MIP has demonstrated excellent selectivity
for the target compound in the presence of structural analogues, including its
major metabolites. The applicability of the proposed method to real matrices has
also been assessed using river water and soil samples. Registered mean recoveries
ranged from 90.6 to 97.3% and RSD values were below 5% in all cases, what
confirmed the suitability of the described methodology for the selective
extraction and quantification of GLYP.
PMID- 27207581
TI - Prospective evaluation of 68Gallium-prostate-specific membrane antigen positron
emission tomography/computed tomography for preoperative lymph node staging in
prostate cancer.
AB - OBJECTIVES: To assess the accuracy of 68Gallium-prostate-specific membrane
antigen (68Ga-PSMA) positron emission tomography/computed tomography (PET/CT) for
lymph node (LN) staging in intermediate- and high-risk prostate cancer (PCa).
MATERIALS AND METHODS: From April to October 2015, 30 patients with intermediate-
(n = 3) or high-risk (n = 27) PCa were prospectively enrolled. Patients underwent
preoperative 68Ga-PSMA PET/CT. Both visual and semi-quantitative analyses were
undertaken. Subsequently, all patients underwent radical prostatectomy (RP) with
an extended pelvic lymph node dissection. The sensitivity, specificity, and
positive (PPV) and negative predictive value (NPV) for LN status of 68Ga-PSMA
were calculated using histopathology as reference. RESULTS: Eleven patients (37%)
had lymph node metastases (LNMs); 26 LNMs were identified in the 11 patients.
Patient analysis showed that 68Ga-PSMA PET/CT had a sensitivity of 64% for the
detection of LNMs, its specificity was 95%, the PPV was 88%, and the NPV was 82%.
In total, 180 LN fields were analysed. In the LN-region-based analysis, the
sensitivity of 68Ga-PSMA PET/CT for detection of LNMs was 56%, the specificity
was 98%, the PPV was 90% and the NPV was 94%. The mean size of missed LNMs was
2.7 mm. Receiver-operating characteristic curve analysis showed a high accuracy
of maximum standardized uptake value (SUVmax ) for the detection of LNMs, with an
area under the curve of 0.915 (95% confidence interval 0.847-0.983); the optimum
SUVmax was 2.0. CONCLUSIONS: In patients with intermediate- to high-risk PCa,
68Ga-PSMA PET/CT had a high specificity and a moderate sensitivity for LNM
detection. 68Ga-PSMA PET/CT had the potential to replace current imaging for LN
staging of patients with PCa scheduled for RP.
PMID- 27207582
TI - Effect of mouthguards on impact to the craniomandibular complex.
AB - BACKGROUND: The aim of this study was to investigate the effect of wearing a
mouthguard and teeth-clenching on impact to the head and temporomandibular joint
(TMJ) during a blow to the jaw. MATERIAL AND METHODS: A custom-made mouthguard
was fabricated for five participants. A 4.1-N impact load was applied to the chin
with a pendulum. Two acceleration sensors were attached to the forehead and left
TMJ. The amplitudes and durations of the accelerations were obtained under five
conditions: mouth-open without mouthguard; light teeth-clenching without
mouthguard; maximum voluntary clenching (MVC) without mouthguard; mouth-open with
mouthguard and MVC with mouthguard. RESULTS: Wearing a mouthguard led to
significant decreases in the amplitude (mouth-open with mouthguard vs mouth-open
without mouthguard, P = 0.035 at forehead, P = 0.022 at TMJ) and duration (mouth
open with mouthguard vs mouth-open without mouthguard, P = 0.043 at forehead, not
significant at TMJ). Similarly, teeth-clenching caused significant decreases in
the amplitude (mouth-open without mouthguard vs MVC without mouthguard, P = 0.024
at forehead, P = 0.025 at TMJ) and duration (mouth-open without mouthguard vs MVC
without mouthguard, P = 0.033 at forehead, not significant at TMJ). Furthermore,
wearing a mouthguard in itself provided an impact reduction effect similar to the
combination of teeth-clenching and wearing a mouthguard. CONCLUSIONS: Wearing a
mouthguard and/or teeth-clenching reduced the impact to the head and TMJ during a
blow to the jaw. However, it should be noted that the findings are the results
from a small impact load to the jaw.
PMID- 27207583
TI - Decreased RARbeta expression induces abundant inflammation and cervical
precancerous lesions.
AB - It is well known that vitamin A and its receptors protect against cancer
development and that Retinoid Acid Receptor beta (RARbeta) is epigenetically
silenced during tumoral progression. Cervical Cancer (CC) has been causally
linked to high risk human papillomavirus (HR-HPV) infection. However, host
factors are important in determining the outcome of persistent HR-HPV infection
as most cervical precancerous lesions containing HR-HPVs do not progress to
invasive carcinomas. Increasing evidence suggests that low diet in vitamin A and
their receptors participate in the development of CC. The aim of this study has
been to investigate the effects of abated RARbeta expression in the development
of cervical premalignant lesions in 4 month-old conditional mice (RARbeta(L-/L
)). Results demonstrated the development of spontaneous squamous metaplasia,
inflammatory infiltrate, enhanced mitotic activity, loss of cell differentiation,
as well as decreased apoptosis and p16(INK4a) protein levels in RARbeta(L-/L-)
mice cervix. All these changes are hallmarks of moderate dysplasia. Importantly,
our results suggest that the low expression of RARbeta, may induce the down
regulation of p16(INK4a), chronic inflammation and decreased apoptosis and may be
involved in vulnerability to HR-HPV and early stage cervical carcinogenesis.
PMID- 27207584
TI - MiR-138-5p promotes TNF-alpha-induced apoptosis in human intervertebral disc
degeneration by targeting SIRT1 through PTEN/PI3K/Akt signaling.
AB - The role of apoptosis in the pathogenesis of intervertebral disc degeneration
(IDD) remains enigmatic. Accumulating evidence has shown that the apoptotic
machinery is regulated by miRNAs. The aim of this study was to evaluate the
effect of miR-138-5p on apoptosis in human NP cells induced by TNF-alpha and to
explore the mechanism of this process. The expression of miR-138-5p was
determined in nucleus pulposus (NP) tissues from patients with IDD and controls
using RT-qPCR, and we showed that miR-138-5p was significantly upregulated in
degenerative NP tissues. Additionally, TNF-alpha-induced apoptosis was inhibited
when using a miR-138-5p inhibitor in human NP cells, and silencing of miR-138-5p
dramatically suppressed the expression of cleaved caspase-3. Moreover,
bioinformatics target prediction identified SIRT1 as a putative target of miR-138
5p. Knockdown of miR-138-5p was shown to upregulate SIRT1 expression by direct
targeting its 3'-UTR, an effect that was abolished by mutation of the miR-138-5p
binding sites. Furthermore, inhibition of miR-138-5p downregulated PTEN protein
expression and promoted activation of PI3K/AKT, and knockdown of either SIRT1 or
the PI3K/Akt inhibitor (LY294002) abolished the effect of miR-138-5p on NP cell
apoptosis. Together, these results indicate that miR-138-5p is a novel regulator
of human NP cell apoptosis induced by TNF-alpha. The knockout of miR-138-5p
expression protected human NP cells from apoptosis via the upregulation of SIRT1,
which was possibly mediated via PTEN/PI3K/Akt signaling. These findings suggest
that the miR-138-5p/SIRT1/PTEN/PI3K/Akt signaling pathway might represent a novel
therapeutic target for the prevention of IDD.
PMID- 27207585
TI - Fibroproliferative effect of microRNA-21 in hypertrophic scar derived
fibroblasts.
AB - Hypertrophic scar (HS) is a fibroproliferative disorder caused by abnormal wound
healing, which is characterized by excessive deposition of extracellular matrix
(ECM) secreted by fibroblasts. We previous have found that expression of microRNA
21(miR-21) was increased in tissues and fibroblasts of HS. However, the
underlying molecular mechanism remains to be further elucidated. In this study,
we identified the miR-21 was a marker for the phenotype of HS fibroblasts, as
anti-miR-21 reduced expression of fibrosis markers such as Col1A1, Col3A1, Fn and
alpha-SMA in fibroblasts and overexpression of miR-21 promoted fibroproliferative
expression in fibroblasts. Furthermore, we also found that miR-21 promoted TGF
beta1 induced fibroproliferative expression by repressing Smad7 expression in
vitro. In addition, the miR-21 inhibitor inhibited the growth of hypertrophic
scar tissue in vivo (nude mice experimental model). These results indicated that
miR-21 was a critical regulator for HS formation and TGF- beta1/miR-21/Smad7
pathway could be a useful therapeutic target for the treatment of HS.
PMID- 27207587
TI - The H-bond network surrounding the pyranopterins modulates redox cooperativity in
the molybdenum-bisPGD cofactor in arsenite oxidase.
AB - While the molybdenum cofactor in the majority of bisPGD enzymes goes through two
consecutive 1-electron redox transitions, previous protein-film voltammetric
results indicated the possibility of cooperative (n=2) redox behavior in the
bioenergetic enzyme arsenite oxidase (Aio). Combining equilibrium redox
titrations, optical and EPR spectroscopies on concentrated samples obtained via
heterologous expression, we unambiguously confirm this claim and quantify Aio's
redox cooperativity. The stability constant, Ks, of the Mo(V) semi-reduced
intermediate is found to be lower than 10(-3). Site-directed mutagenesis of
residues in the vicinity of the Mo-cofactor demonstrates that the degree of redox
cooperativity is sensitive to H-bonding interactions between the pyranopterin
moieties and amino acid residues. Remarkably, in particular replacing the Gln-726
residue by Gly results in stabilization of (low-temperature) EPR-observable Mo(V)
with KS=4. As evidenced by comparison of room temperature optical and low
temperature EPR titrations, the degree of stabilization is temperature-dependent.
This highlights the importance of room-temperature redox characterizations for
correctly interpreting catalytic properties in this group of enzymes. Geochemical
and phylogenetic data strongly indicate that molybdenum played an essential
biocatalytic roles in early life. Molybdenum's redox versatility and in
particular the ability to show cooperative (n=2) redox behavior provide a
rationale for its paramount catalytic importance throughout the evolutionary
history of life. Implications of the H-bonding network modulating Molybdenum's
redox properties on details of a putative inorganic metabolism at life's origin
are discussed.
PMID- 27207586
TI - Interleukin 22 early affects keratinocyte differentiation, but not proliferation,
in a three-dimensional model of normal human skin.
AB - Interleukin (IL)-22 is a pro-inflammatory cytokine driving the progression of the
psoriatic lesion with other cytokines, as Tumor Necrosis Factor (TNF)-alpha and
IL-17. Our study was aimed at evaluating the early effect of IL-22 alone or in
combination with TNF-alpha and IL-17 by immunofluorescence on i) keratinocyte
(KC) proliferation, ii) terminal differentiation biomarkers as keratin (K) 10 and
17 expression, iii) intercellular junctions. Transmission electron microscopy
(TEM) analysis was performed. A model of human skin culture reproducing a
psoriatic microenvironment was used. Plastic surgery explants were obtained from
healthy young women (n=7) after informed consent. Fragments were divided before
adding IL-22 or a combination of the three cytokines, and harvested 24 (T24), 48
(T48), and 72 (T72)h later. From T24, in IL-22 samples we detected a progressive
decrease in K10 immunostaining in the spinous layer paralleled by K17 induction.
By TEM, after IL-22 incubation, keratin aggregates were evident in the
perinuclear area. Occludin immunostaining was not homogeneously distributed.
Conversely, KC proliferation was not inhibited by IL-22 alone, but only by the
combination of cytokines. Our results suggest that IL-22 affects keratinocyte
terminal differentiation, whereas, in order to induce a proliferation impairment,
a more complex psoriatic-like microenvironment is needed.
PMID- 27207588
TI - Nanosecond ligand migration and functional protein relaxation in ba3
oxidoreductase: Structures of the B0, B1 and B2 intermediate states.
AB - Nanosecond time-resolved step-scan FTIR spectroscopy (nTRS (2) -FTIR) has been
applied to literally probe the active site of the carbon monoxide (CO)-bound
thermophilic ba3 heme-copper oxidoreductase as it executes its function. The nTRS
(2) - snapshots of the photolysed heme a3 Fe-CO/CuB species captured a
"transition state" whose side chains prevent the photolysed CO to enter the
docking cavity. There are three sets of ba3 photoproduct bands of docked CO with
different orientation exhibiting different kinetics. The trajectories of the
"docked" CO at 2122, 2129 and 2137cm(-1) is referred to in the literature as B2,
B1 and B0 intermediate states, respectively. The present data provided direct
evidence for the role of water in controlling ligand orientation in an
intracavity protein environment.
PMID- 27207590
TI - The benefits of personal strengths in mental health of stressed students: A
longitudinal investigation.
AB - OBJECTIVE: This study used a two-wave longitudinal research design to explore the
role of individual strengths, including interpersonal strength, intellectual
strength, and temperance strength, in affecting the mental health of stressed
college students. PARTICIPANTS: A total of 404 stressed Chinese college students
were screened to participate in this 12-month longitudinal study. METHODS: At the
beginning of the study (Time 1), students who had not experienced stressful
events within the last 12 months were invited to assess their strengths,
psychological well-being, and psychological symptoms. After 12 months (Time 2),
404 students who reported stressful experiences completed the scales again and
were retained for the final analyses. RESULTS: Academics-related stressors were
the most endorsed life events among college students, whose states of mental
health showed downward trends from Time 1 to Time 2. Three strengths had weak to
modest correlations to mental health at both Time 1 and Time 2. Although the
additional variances of mental health explained by the three strengths were very
modest, the mediational roles of the strengths were identified. The perceived
stress completely mediated the relationship between the strengths and the
psychological symptoms and partly mediated the relationship between the strengths
and psychological well-being. CONCLUSIONS: Individual strengths may function as a
defense against perceived stress and are protective factors of mental health.
These strengths maintain mental health by enhancing the psychological well-being
and reducing the psychological symptoms of individuals.
PMID- 27207591
TI - An exploration of the adaptation and development after persecution and trauma
(ADAPT) model with resettled refugee adolescents in Australia: A qualitative
study.
AB - Refugee adolescents endure high rates of traumatic exposure, as well as
subsequent resettlement and adaptational stressors. Research on the effects of
trauma in refugee populations has focussed on psychopathological outcomes, in
particular posttraumatic stress disorder. However this approach does not address
the psychosocial and adaptive dimensions of refugee experience. The ADAPT model
proposes an alternate conceptualization of the refugee experience, theorizing
that refugee trauma challenges five core psychosocial adaptive systems, and that
the impact on these systems leads to psychological difficulties. This study
investigated the application of the ADAPT model to adolescents' accounts of their
refugee and resettlement experiences. Deductive thematic analysis was used to
analyse responses of 43 adolescent refugees to a semistructured interview. The
ADAPT model was found to be a useful paradigm to conceptualize the impact of
adolescents' refugee and resettlement journeys in terms of individual variation
in the salience of particular adaptive systems to individuals' experiences.
Findings are discussed in light of current understandings of the psychological
impact of the refugee experience on adolescents.
PMID- 27207589
TI - Using the ICF's environmental factors framework to develop an item bank measuring
built and natural environmental features affecting persons with disabilities.
AB - OBJECTIVES: To develop a measure of natural environment and human-made change
features (Chapter 2 of the international classification of functioning,
disability, and health) and evaluate the influence of perceived barriers on
health-related quality of life. METHODS: A sample of 570 adults with stroke,
spinal cord injury, and traumatic brain injury residing in community settings
reported their functioning in home, outdoor, and community settings (mean age =
47.0 years, SD = 16.1). They rated 18 items with a 5-point rating scale to
describe the influence of barriers to moving around, seeing objects, hearing
sounds, hearing conversations, feeling safe, and regulating temperature and
indicated whether any difficulties were due to environmental features. We used
Rasch analysis to identify misfitting items and evaluate differential item
functioning (DIF) across impairment groups. We computed correlations between
barriers and patient-reported outcomes measurement information system (PROMIS)
social domain measures and community participation indicators (CPI) measures.
RESULTS: The 18 items demonstrated person reliability of .70, discriminating
nearly three levels of barriers. All items fit the Rasch model; impairment
related DIF was negligible. Ceiling effects were negligible, but 25 % of the
respondents were at the floor, indicating that they did not experience barriers
that they attributed to the built and natural environment. As anticipated,
barriers correlated moderately with PROMIS and CPI variables, suggesting that
although this new item bank measures a construct that is related to participation
and health-related quality of life, it also captures something unique. Known
groups validity was supported by wheelchair users reporting a higher level of
barriers than did ambulatory respondents. CONCLUSIONS: Preliminary evidence
supports the reliability and validity of this new measure of barriers to the
built and natural environment. This measure allows investigators and clinicians
to measure perceptions of the natural environment and human-made changes,
providing information that can guide interventions to reduce barriers. Moderate
relationships between barriers and PROMIS and CPI variables provide support for
the measurement and theory of environmental influences on social health and
participation.
PMID- 27207592
TI - Simvastatin Promotes Dental Pulp Stem Cell-induced Coronal Pulp Regeneration in
Pulpotomized Teeth.
AB - INTRODUCTION: Pulpotomy is a specific treatment used to save root pulp, in which
only the inflamed coronal pulp is removed and capping materials are placed. Our
study aims to study the effect of simvastatin (1) on the proliferation and
differentiation of dental pulp stem cells (DPSCs) and (2) on DPSC-induced pulp
regeneration after pulpotomy. METHODS: DPSCs were treated with different
concentrations of simvastatin. Cell counting kit-8 activity was examined to test
cell proliferation, and alkaline phosphatase assays and alizarin red S staining
were conducted to examine differentiation. In addition, DPSCs pretreated with
simvastatin were transplanted into the dorsum of CB-17 severe combined
immunodeficiency mice. Areas of mineralized tissue were compared. Eighteen
immature premolars from 2 beagle dogs were divided into 4 groups and treated by
pulpotomy: the mineral trioxide aggregate, absorbable gelatin sponge, canine
DPSCs (cDPSCs), and simvastatin groups. The teeth were extracted after 10 weeks,
and the areas of regenerated pulp and dentin were calculated and compared.
RESULTS: Simvastatin at 1 MUmol/L suppressed cDPSCs proliferation but
significantly increased alkaline phosphatase activity and mineral nodule
formation. In addition, cDPSCs pretreated with 1 MUmol/L simvastatin formed
significantly more mineralized tissue in CB-17 severe combined immunodeficiency
mice. In the in vivo study, the ratios of the areas of pulp and dentin
regeneration were 47.3% +/- 2.5%, 76.8% +/- 4.3%, and 85.8% +/- 0.9%,
respectively, in the absorbable gelatin sponge, cDPSCs, and simvastatin groups.
CONCLUSIONS: Simvastatin stimulates cDPSCs mineralization both in vivo and in
vitro. It also promotes DPSC-induced pulp and dentin regeneration after
pulpotomy.
PMID- 27207594
TI - Early infection with Marek's disease virus can jeopardize protection conferred by
laryngotracheitis vaccines: a method to study MDV-induced immunosuppression.
AB - Marek's disease virus (MDV) is a herpesvirus that induces lymphomas and
immunosuppression in chickens. MDV-induced immunosuppression (MDV-IS) is divided
into two phases: early-MDV-IS occurring mainly in chickens lacking maternal
antibodies (MAb) against MDV and associated with lymphoid organ atrophy; and late
MDV-IS occurring once MDV enters latency and during tumour development. Our
objectives were to document the impact of late-MDV-IS on commercial poultry (meat
type chickens bearing MAb against MDV and that were vaccinated or unvaccinated
against MD) and to optimize a model to study late-MDV-IS under laboratory
conditions. The impact of late-MDV-IS was evaluated by assessing the effect of
early infection (day of age) with a very virulent plus MDV (vv+MDV) on the
efficacy of chicken-embryo-origin (CEO) infectious laryngotracheitis (ILT) virus
vaccine against ILT challenge. The CEO ILT vaccine was administered in water at
14 days of age and ILT virus (ILTV) challenge was done intratracheally at 30 days
of age. Development of ILT was monitored by daily evaluation of clinical signs,
development of gross and histological lesions in trachea, and quantification of
ILTV transcripts in trachea. Infection with vv+MDV strain 648A resulted in total
abrogation of protection conferred by the CEO vaccine against ILTV challenge even
in chickens vaccinated at 1 day of age with either HVT, HVT+SB-1, or CVI988.
Chickens exposed to vv+MDV prior to vaccination with CEO ILTV vaccine had similar
(P < 0.05) clinical scores, gross lesions, histopathologic lesion scores, and
load of ILTV transcripts in trachea after ILTV challenge, as chickens that were
not vaccinated with CEO ILTV vaccine.
PMID- 27207593
TI - Mouse Models of NMNAT1-Leber Congenital Amaurosis (LCA9) Recapitulate Key
Features of the Human Disease.
AB - The nicotinamide nucleotide adenylyltransferase 1 (NMNAT1) enzyme is essential
for regenerating the nuclear pool of NAD(+) in all nucleated cells in the body,
and mounting evidence also suggests that it has a separate role in
neuroprotection. Recently, mutations in the NMNAT1 gene were associated with
Leber congenital amaurosis, a severe retinal degenerative disease that causes
blindness during infancy. Availability of a reliable mammalian model of NMNAT1
Leber congenital amaurosis would assist in determining the mechanisms through
which disruptions in NMNAT1 lead to retinal cell degeneration and would provide a
resource for testing treatment options. To this end, we identified two separate N
ethyl-N-nitrosourea-generated mouse lines that harbor either a p.V9M or a p.D243G
mutation. Both mouse models recapitulate key aspects of the human disease and
confirm the pathogenicity of mutant NMNAT1. Homozygous Nmnat1 mutant mice develop
a rapidly progressing chorioretinal disease that begins with photoreceptor
degeneration and includes attenuation of the retinal vasculature, optic atrophy,
and retinal pigment epithelium loss. Retinal function deteriorates in both mouse
lines, and, in the more rapidly progressing homozygous Nmnat1(V9M) mutant mice,
the electroretinogram becomes undetectable and the pupillary light response
weakens. These mouse models offer an opportunity for investigating the cellular
mechanisms underlying disease pathogenesis, evaluating potential therapies for
NMNAT1-Leber congenital amaurosis, and conducting in situ studies on NMNAT1
function and NAD(+) metabolism.
PMID- 27207595
TI - [PCSK9 inhibitors : Recommendations for patient selection].
AB - The 2 or 4-week subcutaneous therapy with the recently approved antibodies
alirocumab and evolocumab for inhibition of proprotein convertase subtilisin
kexin type 9 (PCSK9) reduces low-density lipoprotein cholesterol (LDL-C) in
addition to statins and ezetimibe by 50-60 %. The therapy is well-tolerated. The
safety profile in the published studies is comparable to placebo. Outcome data
and information on long-term safety and the influence on cardiovascular events
are not yet available but the results of several large trials are expected in
2016-2018. At present (spring 2016) PCSK9 inhibitors represent an option for
selected patients with a high cardiovascular risk and high LDL-C despite
treatment with the maximum tolerated oral lipid-lowering therapy. This group
includes selected patients with familial hypercholesterolemia and high-risk
individuals with statin-associated muscle symptoms (SAMS).
PMID- 27207596
TI - Hormonal changes after localized prostate cancer treatment. Comparison between
external beam radiation therapy and radical prostatectomy.
AB - OBJECTIVE: To determine the influence of radical prostatectomy (RP) and external
beam radiation therapy (EBRT) on the hypothalamic pituitary axis of 120 men with
clinically localized prostate cancer treated with RP or EBRT exclusively.
MATERIALS AND METHODS: 120 patients with localized prostate cancer were enrolled.
Ninety two patients underwent RP and 28 patients EBRT exclusively. We measured
serum levels of luteinizing hormone, follicle stimulating hormone (FSH), total
testosterone (T), free testosterone, and estradiol at baseline and at 3 and 12
months after treatment completion. RESULTS: Patients undergoing RP were younger
and presented a higher prostate volume (64.3 vs. 71.1 years, p<0.0001 and 55.1
vs. 36.5 g, p<0.0001; respectively). No differences regarding serum hormonal
levels were found at baseline. Luteinizing hormone and FSH levels were
significantly higher in those patients treated with EBRT at three months
(luteinizing hormone 8,54 vs. 4,76 U/l, FSH 22,96 vs. 8,18 U/l, p<0,0001) while T
and free testosterone levels were significantly lower (T 360,3 vs. 414,83ng/dl, p
0,039; free testosterone 5,94 vs. 7,5pg/ml, p 0,018). At 12 months FSH levels
remained significantly higher in patients treated with EBRT compared to patients
treated with RP (21,01 vs. 8,51 U/l, p<0,001) while T levels remained
significantly lower (339,89 vs. 402,39ng/dl, p 0,03). CONCLUSIONS: Prostate
cancer treatment influences the hypothalamic pituitary axis. This influence seems
to be more important when patients with prostate cancer are treated with EBRT
rather than RP. More studies are needed to elucidate the role that prostate may
play as an endocrine organ.
PMID- 27207598
TI - Contemporary refinements in laparoscopic radical prostatectomy.
PMID- 27207597
TI - Prognostic value of penile colour doppler ultrasonography for recovering erectile
function after radical prostatectomy.
AB - OBJECTIVE: To analyse the predictive utility of penile colour Doppler
ultrasonography after the injection of vasoactive agents for recovering erectile
function after radical prostatectomy. MATERIAL AND METHODS: A retrospective study
was conducted on patients with erectile dysfunction after radical prostatectomy
who were treated with intracavernous injections of prostaglandins E1 between
January 1, 2006 and December 31, 2012. The study included patients with no
history of erectile dysfunction prior to the surgery and who did not respond to
medical treatment. Colour Doppler was performed on all patients after the
intracavernous injection. A peak systolic velocity >=30cm/sec and an end
diastolic velocity <=5cm/sec were considered normal haemodynamic values. We
assessed the result of the treatment during the follow-up using the International
Index of Erectile Function-5. RESULTS: We included 197 patients. The mean age was
60.8 (+/-6.3). The pathological diagnosis for all patients was adenocarcinoma,
74.1% of which were confined to the organ (T1-T2/Nx-N0). Treatment with
injections after the surgery was started after a mean duration of 6.8 months
(+3.5). The Doppler ultrasonography results were normal for 53 patients (26.9%).
During the follow-up, 113 patients (57.4%) maintained functional erections; 55 of
these patients (28%) did not require injections. Normal Doppler ultrasonography
results were associated with a favourable response to treatment (p<.01).
CONCLUSIONS: The prostaglandin E1 test will help provide a diagnosis in erectile
dysfunction for patients who have undergone prostatectomies. The test helps
provide information on the vascular condition of the penis and useful prognostic
information for the follow-up of these patients.
PMID- 27207599
TI - Predictors of urethral stricture recurrence after endoscopic urethrotomy.
AB - OBJECTIVE: The aim of the study was to analyse the clinical-demographic variables
of the series and the predictors of urethral stricture recurrence after
endoscopic urethrotomy. MATERIAL AND METHODS: We retrospectively analysed 67
patients who underwent Sachse endoscopic urethrotomy between June 2006 and
September 2014. Those patients who had previously undergone endoscopic
urethrotomy or urethroplasty were excluded. The other patients who presented
urethral stricture were included. We analysed age, weight, smoking habit, and
cardiovascular risk factors, as well as the number, location, length and
aetiology of the strictures, previous urethrotomies, vesical catheter duration
and postsurgical dilatations. A univariate and multivariate analysis was
conducted using the chi-squared test or Fisher's test and logistic regression to
identify the variables related to recurrence. RESULTS: Thirty-seven percent of
the patients had a relapse. The majority of the patients were older than 60 years
(56.7%), obese (74.6%), nonsmokers (88%) and had no cardiovascular factors
(56.7%). The majority of the strictures were single (94%), <1cm (82%), bulbar
urethral (64.2%), iatrogenic (67.2%) and with no prior urethrotomy (89.6%). The
majority of the patients carried a vesical catheter for <15 days (85.1%) and did
not undergo postsurgical dilatation (65.7%). Only the length of the stricture was
an independent risk factor for recurrence (P=.025; relative risk, 5.7; 95% CI
1.21-26.41). CONCLUSIONS: In the treatment of urethral strictures through
endoscopic urethrotomy, a stricture length >1cm is the only factor that predicts
an increase in the risk of recurrence. We found no clinical or demographic
factors that caused an increase in the incidence of recurrence. Similarly,
technical factors such as increasing the bladder catheterisation time and
urethral dilatations did not change the course of the disease. Their routine use
is therefore unnecessary.
PMID- 27207600
TI - Left Ventricular Function and Physiological Performance in Female Ironman
Athletes and Female Police Officers.
AB - Data about physiological performance of female ironman triathletes are rare.
However, some studies have reported this endurance sport may cause damage to the
right or left ventricles, even in females. The goal of this study was to assess
prospectively the right/left ventricular function and physiological performance
in female athletes (middle- and long ironman distance) and to compare the
findings to female federal police officers. A total of 33 female triathletes and
37 female police officers were examined using spiro-ergometry and
echocardiography. Female triathletes achieved VO2max 52.8 +/- 5.7 ml/kg(-1).min(
1), and police officers 35.3 +/- 6.5 ml/kg(-1).min(-1) In athletes, left
ventricular end-diastolic diameter was 4.4 +/- 0.3 cm and in police officers 4.5
+/- 0.4 cm, and the left ventricular muscle mass index was 85.8 g/m(2 )+/- 18.7
in athletes and in police officers 72.0 g/m(2 )+/- 9.1. Right ventricular area
change among athletes was 49.4 +/- 8.5%, and in police officers 46.0 +/- 6.9%.
The performance date of female triathletes can be used as training prescription
for leisure female triathletes, when middle or long distances in triathlon
competitions are planned. No right or left ventricular dysfunction was found
despite long training and finishing of long distance competitions: non-elite
athletes, 5.4 +/- 2.8 years of triathlon competitions; elite athletes, 7.6 +/-
5.8 years.
PMID- 27207601
TI - A Preliminary Study of the Effects of a Comprehensive Teaching Program, Based on
Questioning, to Improve Tactical Actions in Young Footballers.
AB - The aim of this study was to analyze the effect of a comprehensive teaching
program, based on questioning on decision making, and execution in football. The
intervention program, based on teaching games for understanding model and
including the application of questioning in a context of modified games, was
applied during 21 training sessions. A quasi-experimental study with a prepost
design with 18 male football players (M = 10.7 year, SD = 0.6) was developed over
18 weeks. Participants were divided into experimental group (n = 9) and control
group (n = 9). A total of 1532 actions were observed (1120 passes and 412
dribbling). Results showed that after applying the intervention program, the
players in the experimental group showed better decision making in the pass and
dribbling actions, and better execution in the pass action, compared with the
players from the control group. These results suggest that the application of
questioning in a context of modified games must be taken into account to promote
tactical training in young footballers and to improve their tactical behavior.
PMID- 27207602
TI - Team Commitment as a Mediator Between Self-Esteem and Team Climate as Perceived
by Korean Youth Soccer Players.
AB - This study examined whether team commitment mediates the relationship between
self-esteem and perceived team climate in Korean youth soccer players. A total of
366 youth soccer players from the Korea football association participated in this
study. Self-esteem and team commitment were found to significantly and positively
affect perceived team climate; team commitment more strongly affected perceived
team climate. Regarding structural relationships, self-esteem's direct effect on
perceived team climate was not significant; however, self-esteem's indirect
effect through team commitment was significant. Team commitment therefore
mediated the relationship between self-esteem and perceived team climate. Metric
invariance was supported for groups categorized by grade and key player,
confirming that the model could be applied to various groups.
PMID- 27207603
TI - A Novel Mutation (S54C) of the PAX8 Gene in a Family with Congenital
Hypothyroidism and a High Proportion of Affected Individuals.
AB - BACKGROUND: Congenital hypothyroidism (CH) is a common endocrine disorder in
newborns. The cause of CH is thyroid dysgenesis in 80-85% of patients. Paired box
gene 8 (PAX8) is a thyroid transcription factor that plays an important role in
thyroid organogenesis and development. To date, 22 different PAX8 gene mutations
have been reported. METHODS: Four generations of a Hungarian Jewish family were
affected, and in the 3 generations studied, 9 males and 4 females were affected
and 3 first-degree relatives were unaffected. Six were diagnosed at birth
[thyroid-stimulating hormone (TSH) level 59-442 mU/l] and 7 at 2-48 years of age
(TSH level 6-223 mU/l). One affected patient had thyroid hemiagenesis on
ultrasound. RESULTS: Direct sequencing of the PAX8 gene revealed a novel single
nucleotide substitution (c.162 A>T) in exon 2 that resulted in the substitution
of the normal serine 54 with a cysteine (S54C), which segregated with elevated
serum TSH levels. Other mutations of the same amino acid (S54G and S54R) have
also been shown to produce functional impairment. CONCLUSION: We report a large
family with a novel mutation in the PAX8 gene presenting with variable phenotype
and with a high proportion of affected family members.
PMID- 27207604
TI - Concomitant hepatic artery resection for advanced perihilar cholangiocarcinoma: a
case-control study with propensity score matching.
AB - BACKGROUND: Whether concomitant hepatic artery resection (HAR) improves the
prognosis for advanced perihilar cholangiocarcinoma remains controversial. The
aim of the present study was to compare short- and long-term surgical results of
HAR versus standard resection (SR) for perihilar cholangiocarcinoma using
propensity score matching. METHODS: Among 209 patients with perihilar
cholangiocarcinoma patients who underwent resection in our department, 28
patients underwent HAR, and the remaining 181 patients underwent SR. To adjust
for differences in clinicopathological factors, including difficulty in surgery,
between groups, propensity score matching was used at a 1:1 ratio, resulting in a
comparison of 24 patients per group. The study protocols were approved by our
institutional review board (015-0365), enrolled in UMIN-CTR (No: UMIN000019927),
and conducted according to the Declaration of Helsinki. RESULTS: No significant
differences were seen in overall incidence of postoperative complications
(Clavien-Dindo classification >=IIIa: 37.5% in SR group vs. 62.5% in HAR group; P
= 0.080), except for postoperative liver abscess formation (P = 0.020). Five-year
overall survival rates were 30.3% and 20.4%, respectively. No significant
difference in overall survival rate was apparent between the SR and HAR groups (P
= 0.150). CONCLUSION: Despite being a demanding procedure, concomitant HAR
appears feasible for selected patients with perihilar cholangiocarcinoma.
PMID- 27207607
TI - Re-activation of varicella zoster virus associated with anterior spinal cord
stroke in pregnancy.
PMID- 27207605
TI - Phase I study to evaluate toxicity and feasibility of intratumoral injection of
alpha-gal glycolipids in patients with advanced melanoma.
AB - Effective uptake of tumor cell-derived antigens by antigen-presenting cells is
achieved pre-clinically by in situ labeling of tumor with alpha-gal glycolipids
that bind the naturally occurring anti-Gal antibody. We evaluated toxicity and
feasibility of intratumoral injections of alpha-gal glycolipids as an autologous
tumor antigen-targeted immunotherapy in melanoma patients (pts). Pts with
unresectable metastatic melanoma, at least one cutaneous, subcutaneous, or
palpable lymph node metastasis, and serum anti-Gal titer >=1:50 were eligible for
two intratumoral alpha-gal glycolipid injections given 4 weeks apart (cohort I:
0.1 mg/injection; cohort II: 1.0 mg/injection; cohort III: 10 mg/injection).
Monitoring included blood for clinical, autoimmune, and immunological analyses
and core tumor biopsies. Treatment outcome was determined 8 weeks after the first
alpha-gal glycolipid injection. Nine pts received two intratumoral injections of
alpha-gal glycolipids (3 pts/cohort). Injection-site toxicity was mild, and no
systemic toxicity or autoimmunity could be attributed to the therapy. Two pts had
stable disease by RECIST lasting 8 and 7 months. Tumor nodule biopsies revealed
minimal to no change in inflammatory infiltrate between pre- and post-treatment
biopsies except for 1 pt (cohort III) with a post-treatment inflammatory
infiltrate. Two and four weeks post-injection, treated nodules in 5 of 9 pts
exhibited tumor cell necrosis without neutrophilic or lymphocytic inflammatory
response. Non-treated tumor nodules in 2 of 4 evaluable pts also showed necrosis.
Repeated intratumoral injections of alpha-gal glycolipids are well tolerated, and
tumor necrosis was seen in some tumor nodule biopsies after tumor injection with
alpha-gal glycolipids.
PMID- 27207606
TI - CTLA-4 in mesothelioma patients: tissue expression, body fluid levels and
possible relevance as a prognostic factor.
AB - CTLA-4 function as a negative regulator of T cell-mediated immune response is
well established, whereas much less is known about the immunoregulatory role of
its soluble isoform (sCTLA-4). No data are available on CTLA-4 expression and
prognostic impact in malignant pleural mesothelioma (MPM). We investigated, by
immunohistochemistry, CTLA-4 expression in tumor tissues and, by ELISA, sCTLA-4
levels in sera and matched pleural effusions from 45 MPM patients. Prognostic
effect of CTLA-4 expression on overall survival (OS) was assessed through Cox
regression and prognostic significance expressed as death rate ratio (HR). We
found that 56.0 % of MPM tissues expressed CTLA-4 with variable intensity and
percentage of positive cells estimated by the immunoreactive score. sCTLA-4
levels were significantly higher in sera (S-sCTLA-4) than in pleural effusions
(PE-sCTLA-4) (geometric mean ratio = 2.70, P value = 0.020). CTLA-4 expression at
the tissue level was higher in the epithelioid histological subtype than in the
sarcomatoid, whereas at the serum level, it was higher in the sarcomatoid
subtype. A homogeneous favorable prognostic effect was found for CTLA-4
overexpression in tissue, serum and pleural effusion. Interestingly, only the PE
sCTLA-4 was found to be a statistically significant positive prognostic factor
(HR = 0.37, 95 % CI = 0.18-0.77, P value = 0.007). Indeed, PE-sCTLA-4 correlated
with CTLA-4 expression in tissues, whereas this latter expression showed a weak
association with OS. To confirm our findings, further experimental evidences
obtained from a larger cohort of MPM patients are required. However, our results
would indicate a positive correlation of PE-sCTLA-4 levels and OS in MPM
patients.
PMID- 27207608
TI - Involvement of microRNAs in epileptogenesis.
AB - Patients who have sustained brain injury or had developmental brain lesions
present a non-negligible risk for developing delayed epilepsy. Finding
therapeutic strategies to prevent development of epilepsy in at-risk patients
represents a crucial medical challenge. Noncoding microRNA molecules (miRNAs) are
promising candidates in this area. Indeed, deregulation of diverse brain-specific
miRNAs has been observed in animal models of epilepsy as well as in patients with
epilepsy, mostly in temporal lobe epilepsy (TLE). Herein we review deregulated
miRNAs reported in epilepsy with potential roles in key molecular and cellular
processes underlying epileptogenesis, namely neuroinflammation, cell
proliferation and differentiation, migration, apoptosis, and synaptic remodeling.
We provide an up-to-date listing of miRNAs altered in epileptogenesis and assess
recent functional studies that have interrogated their role in epilepsy. Last, we
discuss potential applications of these findings for the future development of
disease-modifying therapeutic strategies for antiepileptogenesis.
PMID- 27207609
TI - Prevalence of oral health-related conditions that could trigger accidents for
patients with moderate-to-severe dementia.
AB - OBJECTIVE: This study was performed to determine the prevalence of oral health
conditions unnoticed by doctors and ward staff that may increase risk of
incidents and/or accidents in hospitalised patients with moderate-severe
dementia. BACKGROUND DATA DISCUSSING THE PRESENT STATUS OF THE FIELD: Dementia
patients may not recognise risks in the mouth, such as tooth mobility or ill
fitting dental prostheses and/or dentures. In addition to the risk of choking,
injury by sharp edges of collapsed teeth or prosthodontics could pose risks.
However, many previous publications were limited to case reports or series.
MATERIALS AND METHODS: Ninety-two consecutive hospitalised dementia patients (M:
52, F: 40, median age: 82.5 years, range: 62-99 years, from 2011 to 2014),
referred for dentistry for dysphagia rehabilitation, were enrolled in this study.
Participants referred for dental treatment with dental problems detected by ward
staff were excluded. All participants had a Global Clinical Dementia Rating Score
>2. Their dental records were evaluated retrospectively for issues that may cause
incidents and/or accidents. RESULTS: Problems in the mouth, for example tooth
stumps, dental caries, and ill-fitting dentures, were detected in 51.1% of
participants (47/92). Furthermore, 23.9% (22/92) showed risk factors that could
lead to incidents and/or accidents, for example falling out of teeth and/or
prosthodontics or injury by sharp edges of teeth and/or prosthodontics.
CONCLUSIONS: Hospitalised moderate-severe dementia patients had a high prevalence
of oral health conditions unnoticed by doctors and ward staff that may increase
risk of incidents and/or accidents.
PMID- 27207610
TI - Management of midline dural sinus malformations and review of the literature.
AB - PURPOSE: Dural sinus malformations (DSMs) are rare pediatric vascular lesions
that have variable presentations and outcomes. We present three cases of midline
DSMs and discuss the treatment strategy employed for each lesion. A review of the
literature was completed to summarize current literature and treatment practices.
METHODS: A retrospective review of the electronic medical record and all
available imaging studies was performed for each of our patients. RESULTS:
Patient 1 had a prenatally diagnosed DSM which decreased in size despite no
intervention. She was born without complication and continues to do well at 15
months of age. Patient 2 presented 2 weeks after birth with cardiac failure,
intracranial hemorrhage, and seizures and imaging showed a large midline DSM with
multiple high-flow shunts. She required multiple endovascular embolizations with
complete occlusion of the lesion. At her 3-year follow-up, she was neurologically
normal. The third patient was diagnosed prenatally with an enlarging DSM.
Multiple endovascular embolizations, surgical decompression, cranial expansion,
and CSF diversion were required for treatment. At her 2.5-year follow-up, she was
meeting developmental milestones, with some motor delay. CONCLUSION: Early
diagnosis and treatment, if necessary, of DSMs are critical to prevent cardiac
failure or parenchymal injury from chronic venous hypertension. Management should
be decided on individual case basis depending on the angioarchitecture and
progression of the lesion and can involve observation, endovascular embolization,
surgical interventions, or a combination of treatments. A personalized approach
to treating these variable lesions can be associated with good outcomes.
PMID- 27207611
TI - Ultrasound guided placement of the distal catheter in paediatric ventriculoatrial
shunts-an appraisal of efficacy and complications.
AB - PURPOSE: Ventriculoatrial (VA) shunts are commonly used as a second-line
treatment of hydrocephalus when the peritoneum is an unsuitable site for the
distal catheter. Many centres now utilise ultrasound and interventional radiology
techniques to aid placement of the distal catheter. The purpose of this study was
to conduct a contemporary audit of VA shunting in children using interventional
radiology techniques for placement of the distal catheter. METHODS: A
retrospective analysis of all patients who had VA shunts inserted between June
2000 and June 2010 was conducted using a prospectively updated surgical database
and case notes review. RESULTS: Ninety-four VA shunts were inserted in 38
patients. Thirty-seven patients had been treated initially with
ventriculoperitoneal (VP) shunts. Twenty-two patients required at least 1 shunt
revision (58 %). The 6-month, 1- and 2-year shunt survival rates were 53, 43 and
27 %, respectively. Blockage was the commonest reason for shunt failure (68 %).
The site of failure was proximal (ventricular catheter +/- valve) in 32 % and
distal (atrial catheter) in 21 % of cases. The overall infection rate was 6 % per
procedure and 11 % per patient. There were 7 deaths, of which 3 were shunt
related. CONCLUSIONS: VA shunting provides a viable second-line option for shunt
placement in complex hydrocephalus. The causes of shunt failure (blockage,
infection and equipment failure) are similar to VP shunting though shunt survival
rates are inferior to VP shunts. Ultrasound guided VA shunt placement provides a
relatively safe, second-line alternative to the placement of a
ventriculoperitoneal shunt when this route is unsuitable.
PMID- 27207612
TI - Treatment of Relapsed/Refractory Acute Lymphoblastic Leukemia in Adults.
AB - Patients with relapsed and refractory acute lymphoblastic leukemia (ALL) have a
dismal prognosis with less than 10 % of patients surviving 5 years. Most such
patients cannot be rescued with currently available therapies, whatever the
initial treatment they receive. Therefore, there is an urgent need for novel
treatment options. Fortunately, over the past several years, an improved
understanding of the biology of the disease has allowed the identification of
rational molecular targets for therapeutic endeavors and the emergence of novel
therapies has sparked great interest. This review will discuss the current
treatment landscape for adult patients with relapsed and/or refractory ALL.
PMID- 27207614
TI - John Mark Hinton.
PMID- 27207613
TI - Parkinson's disease-related network topographies characterized with resting state
functional MRI.
AB - Spatial covariance mapping can be used to identify and measure the activity of
disease-related functional brain networks. While this approach has been widely
used in the analysis of cerebral blood flow and metabolic PET scans, it is not
clear whether it can be reliably applied to resting state functional MRI (rs
fMRI) data. In this study, we present a novel method based on independent
component analysis (ICA) to characterize specific network topographies associated
with Parkinson's disease (PD). Using rs-fMRI data from PD and healthy subjects,
we used ICA with bootstrap resampling to identify a PD-related pattern that
reliably discriminated the two groups. This topography, termed rs-MRI PD-related
pattern (fPDRP), was similar to previously characterized disease-related patterns
identified using metabolic PET imaging. Following pattern identification, we
validated the fPDRP by computing its expression in rs-fMRI testing data on a
prospective case basis. Indeed, significant increases in fPDRP expression were
found in separate sets of PD and control subjects. In addition to providing a
similar degree of group separation as PET, fPDRP values correlated with motor
disability and declined toward normal with levodopa administration. Finally, we
used this approach in conjunction with neuropsychological performance measures to
identify a separate PD cognition-related pattern in the patients. This pattern,
termed rs-fMRI PD cognition-related pattern (fPDCP), was topographically similar
to its PET-derived counterpart. Subject scores for the fPDCP correlated with
executive function in both training and testing data. These findings suggest that
ICA can be used in conjunction with bootstrap resampling to identify and validate
stable disease-related network topographies in rs-fMRI. Hum Brain Mapp 38:617
630, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27207615
TI - The impact of water supply and sanitation on infant mortality: Individual-level
evidence from Tartu, Estonia, 1897-1900.
AB - Evidence from a number of historical studies has demonstrated a strong impact of
the provision of clean water on mortality risks, while no clear effect has been
reported in others. We investigated the relationship between water supply,
sanitation, and infant survival in Tartu, a university town in Estonia, 1897
1900. Based on data from parish registers, which were linked to the first census
of the Russian Empire, the analysis reveals a clear disadvantage for infants in
households using surface water, compared with families that acquired water from
groundwater or artesian wells. The impact is stronger in the later stages of
infancy. Competing-risk analysis shows that the effect is more pronounced for
deaths caused by diseases of the digestive system. Our findings suggest that it
may have been possible to improve the water supply, and consequently reduce
infant mortality, before the introduction of piped water and sewage systems.
PMID- 27207616
TI - Patient and health care provider perceptions of cancer-related fatigue and pain.
AB - PURPOSE: In 1997, Vogelzang et al. reported that 61 % of patients with cancer
indicated fatigue impacted daily life more than pain, and only 37 % of
oncologists shared this perception. We provide an update to this study, which can
help prioritize symptom assessment and management in the clinic. Study aims were
to determine and compare perceptions of patients with cancer and health care
providers (HCPs) of the impact of fatigue and pain. METHODS: A random sample of
patients with cancer was recruited in the USA by Harris Poll Online and
Schlesinger Associates. Oncology HCPs were recruited by Food and Drug Research,
Inc. and Toluna, Inc. RESULTS: From June to November 2012, 550 of 1122 eligible
patients (49 %), 400 of 533 eligible oncologists (75 %), and 400 of 617 eligible
oncology nurses (65 %) completed a survey. Of patients, 58 % reported that
fatigue affected their daily lives more than pain while undergoing treatment with
chemotherapy versus 29 % of oncologists and 25 % of oncology nurses that had this
perception. Ninety-eight percent of patients reported experiencing fatigue,
whereas 72 % of oncologists and 84 % of oncology nurses thought this was the
case. Eighty-six percent of patients reported pain while undergoing treatment
with chemotherapy, whereas 36 % of oncologists and 51 % of oncology nurses
believed this occurred. Nausea and vomiting felt by HCPs were the most concerning
symptoms for patients (88 %). CONCLUSIONS: This study shows the importance of
assessing symptoms by direct patient report during chemotherapy treatment. HCPs
continue to underestimate the prevalence and importance of fatigue and pain for
patients with cancer, a finding that may alter the management of treatment
related symptoms and may influence the development of patient symptom management
plans.
PMID- 27207618
TI - A hundred years of basic science in medical education.
PMID- 27207619
TI - Correction.
PMID- 27207617
TI - Effects of hibernation on bone marrow transcriptome in thirteen-lined ground
squirrels.
AB - Mammalian hibernators adapt to prolonged periods of immobility, hypometabolism,
hypothermia, and oxidative stress, each capable of reducing bone marrow activity.
In this study bone marrow transcriptomes were compared among thirteen-lined
ground squirrels collected in July, winter torpor, and winter interbout arousal
(IBA). The results were consistent with a suppression of acquired immune
responses, and a shift to innate immune responses during hibernation through
higher complement expression. Consistent with the increase in adipocytes found in
bone marrow of hibernators, expression of genes associated with white adipose
tissue are higher during hibernation. Genes that should strengthen the bone by
increasing extracellular matrix were higher during hibernation, especially the
collagen genes. Finally, expression of heat shock proteins were lower, and cold
response genes were higher, during hibernation. No differential expression of
hematopoietic genes involved in erythrocyte or megakaryocyte production was
observed. This global view of the changes in the bone marrow transcriptome over
both short term (torpor vs. IBA) and long term (torpor vs. July) hypothermia can
explain several observations made about circulating blood cells and the structure
and strength of the bone during hibernation.
PMID- 27207620
TI - Effects of congruence between preferred and perceived learning environments in
nursing education in Taiwan: a cross-sectional study.
AB - OBJECTIVE: To investigate the effects of congruence between preferred and
perceived learning environments on learning outcomes of nursing students.
SETTING: A nursing course at a university in central Taiwan. PARTICIPANTS: 124
Taiwanese nursing students enrolled in a 13-week problem-based Fundamental
Nursing curriculum. DESIGN AND METHODS: Students' preferred learning environment,
perceptions about the learning environment and learning outcomes (knowledge, self
efficacy and attitudes) were assessed. On the basis of test scores measuring
their preferred and perceived learning environments, students were assigned to
one of two groups: a 'preferred environment aligned with perceived learning
environment' group and a 'preferred environment discordant with perceived
learning environment' group. Learning outcomes were analysed by group. OUTCOME
MEASURES: Most participants preferred learning in a classroom environment that
combined problem-based and lecture-based instruction. However, a mismatch of
problem-based instruction with students' perceptions occurred. Learning outcomes
were significantly better when students' perceptions of their instructional
activities were congruent with their preferred learning environment. CONCLUSIONS:
As problem-based learning becomes a focus of educational reform in nursing,
teachers need to be aware of students' preferences and perceptions of the
learning environment. Teachers may also need to improve the match between an
individual student's perception and a teacher's intention in the learning
environment, and between the student's preferred and actual perceptions of the
learning environment.
PMID- 27207621
TI - Formative research to develop theory-based messages for a Western Australian
child drowning prevention television campaign: study protocol.
AB - INTRODUCTION: Worldwide, children under the age of 5 years are at particular risk
of drowning. Responding to this need requires the development of evidence
informed drowning prevention strategies. Historically, drowning prevention
strategies have included denying access, learning survival skills and providing
supervision, as well as education and information which includes the use of mass
media. Interventions underpinned by behavioural theory and formative evaluation
tend to be more effective, yet few practical examples exist in the drowning
and/or injury prevention literature. The Health Belief Model and Social Cognitive
Theory will be used to explore participants' perspectives regarding proposed mass
media messaging. This paper describes a qualitative protocol to undertake
formative research to develop theory-based messages for a child drowning
prevention campaign. METHODS AND ANALYSIS: The primary data source will be focus
group interviews with parents and caregivers of children under 5 years of age in
metropolitan and regional Western Australia. Qualitative content analysis will be
used to analyse the data. ETHICS AND DISSEMINATION: This study will contribute to
the drowning prevention literature to inform the development of future child
drowning prevention mass media campaigns. Findings from the study will be
disseminated to practitioners, policymakers and researchers via international
conferences, peer and non-peer-reviewed journals and evidence summaries. The
study was submitted and approved by the Curtin University Human Research Ethics
Committee.
PMID- 27207622
TI - Nipple sparing versus skin sparing mastectomy: a systematic review protocol.
AB - INTRODUCTION: Breast cancer has a lifetime incidence of one in eight women. Over
the past three decades there has been a move towards breast conservation and a
focus on aesthetic outcomes while maintaining oncological safety. For some
patients, mastectomy is the preferred option. There is growing interest in the
potential use of nipple sparing mastectomy (NSM). However, oncological safety
remains unproven, and the benefits and indications have not been clearly
identified. The objective of this systematic review will be to determine the
safety and efficacy of NSM as compared with skin sparing mastectomy (SSM).
METHODS AND ANALYSIS: All original comparative studies including; randomised
controlled trials, cohort studies and case-control studies involving women
undergoing either NSM or SSM for breast cancer will be included. Outcomes are
primary-relating to oncological outcomes and secondary-relating to clinical,
aesthetic, patient reported and quality of life outcomes. A comprehensive
electronic literature search, designed by a search specialist, will be
undertaken. Grey literature searches will also be conducted. Eligibility
assessment will occur in two stages; title and abstract screening and then full
text assessment. Each step will be conducted by two trained teams acting
independently. Data will then be extracted and stored in a database with
standardised extraction fields to facilitate easy and consistent data entry. Data
analysis will be undertaken to explore the relationship between NSM or SSM and
preselected outcomes, heterogeneity will be assessed using the Cochrane tests.
ETHICS AND DISSEMINATION: This systematic review requires no ethical approval. It
will be published in a peer-reviewed journal. It will also be presented at
national and international conferences. Updates of the review will be conducted
to inform and guide healthcare practice and policy.
PMID- 27207623
TI - Developing a psychiatrist-patient relationship when both people are doctors: a
qualitative study.
AB - OBJECTIVE: To better understand the complexities of developing an effective
psychiatrist-patient relationship when both people involved are doctors. METHOD:
In-depth, semistructured interviews were conducted with 11 doctors with
experiences as patients of psychiatrists (DPs) and eight psychiatrists with
experience of treating doctors (TPs). A thematic analysis was undertaken.
RESULTS: The medical culture of unrealistically high standards with limited room
for vulnerability and fallibility, vigilance for judgment and valuing clinical
over personal knowledge affected both people in the relationship. DPs struggled
with the contradictions involved in entering the patient role but tried hard to
be good patients. They wanted guidance but found it hard to accept and seldom
communicated dissatisfaction or disagreement to their TPs. They described widely
varying responses to diagnosis and treatment within the biomedical model. TPs
described enjoyment and satisfaction and extreme challenge in engaging with TPs.
Despite focusing on providing ordinary care they described providing extra care
in many ways. CONCLUSIONS: This study brings forward important issues when a
psychiatrist is building a therapeutic relationship with another doctor. These
are also likely to arise with other people and contribute to making truly patient
centred 'ordinary care' a hard ideal to fulfil. They include: (1) doctors' sense
of ourselves as invincible, (2) TPs' sense of personal connection to, and
identity with, DPs, (3) having extensive medical knowledge and (4) striving to be
good patients. We need to make these issues explicit and enable the DP (or other
patients) to tell their story and speak about their experience of the
consultation so that any potential rupture in the therapeutic relationship can be
addressed early.
PMID- 27207625
TI - Correction.
PMID- 27207624
TI - Overweight and its associated risk factors among urban school adolescents in
Nepal: a cross-sectional study.
AB - OBJECTIVES: The prevalence of non-communicable diseases is increasing in Nepal.
Overweight and obesity are the major risk factors of many non-communicable
diseases. Adolescence is a critical phase for development of overweight and
obesity. Risk factors associated with overweight and obesity are not well
understood in Nepal. The objective of the study was to identify the factors
associated with overweight and obesity among adolescent students. SETTING: A
cross-sectional descriptive study was carried out on higher secondary school
students in the Lalitpur sub-metropolitan city, Nepal. PARTICIPANTS: A random
sample of 360 students aged 16-19 years from eight schools was included in the
study. RESULTS: The prevalence of overweight among adolescent students was 12.2%
(95% CI 8.9 to 15.5). Factors associated with being overweight included being
male (adjusted OR (AOR) 2.64, 95% CI 1.18 to 4.88), studying in private school
(AOR 2.10, 95% CI 1.03 to 4.28), high socioeconomic status family (AOR 4.77, 95%
CI 1.36 to 16.72), watching television for more than 2 h per day (AOR 8.86, 95%
CI 3.90 to 20.11), and consuming fruit four times or less per week (AOR 3.13, 95%
CI 1.39 to 7.01). There was no statistically significant association between
adolescent overweight and age, ethnicity, mother's education level, mother's
occupation, number of siblings or family type. CONCLUSIONS: Socioeconomic status,
watching television for a longer time and consuming less fruit are major risk
factors for overweight among adolescents in Nepal. Interventions are needed to
increase awareness about the risk factors of adolescent overweight and obesity to
decrease prevalence of overweight-associated non-communicable diseases.
PMID- 27207626
TI - Optimising the changing role of the community pharmacist: a randomised trial of
the impact of audit and feedback.
AB - OBJECTIVE: To evaluate the impact of comparative performance feedback to
community pharmacists on provision of professional services and the quality of
patients' medication use. DESIGN: Randomised, controlled, single-blind trial.
SETTING: All 1833 community pharmacies in the Quebec province, Canada.
PARTICIPANTS: 1814 pharmacies not opting out and with more than 5 dispensings of
the target medications during the 6-month baseline were randomised by a 2*2
factorial design to feedback first for hypertension adherence (907 control, 907
intervention) followed by randomisation for asthma adherence (791 control, 807
intervention). 1422 of 1814 pharmacies had complete information available during
the follow-up for hypertension intervention (706 intervention, 716 control), and
1301 of 1598 had the follow-up information for asthma (657 intervention, 644
control). INTERVENTION: Using provincial billing data to measure performance,
mailed comparative feedback reported the pharmacy-level percentage of dispensings
to patients non-adherent to antihypertensive medications or overusing asthma
rescue inhalers. PRIMARY AND SECONDARY OUTCOME MEASURES: The number of
hypertension/asthma services billed per pharmacy and percentage of dispensings to
non-adherent patients over the 12 months post intervention. RESULTS: Feedback on
the asthma measure led to increased provision of asthma services (control 0.2,
intervention 0.4, RR 1.58, 95% CI 1.02 to 2.46). However, this did not translate
into reductions in patients' overuse of rescue inhalers (control 45.5%,
intervention 44.6%, RR 0.99, 95% CI 0.98 to 1.01). For non-adherence to
antihypertensive medications, feedback resulted in no difference in either
provision of hypertension services (control 0.7, intervention 0.8, RR 1.25, 95%
CI 0.86 to 1.82) or antihypertensive treatment adherence (control 27.9%,
intervention 28.0%, RR 1.0, 95% CI 0.99 to 1.00). Baseline performance did not
influence results, and there was no evidence of a cumulative effect with repeated
feedback. CONCLUSIONS: Comparative pharmacy performance feedback increased the
provision of asthma pharmacists' services but did not improve the performance on
medication-use measures. Billing data can be used to evaluate the impact of
billable services rendered by pharmacists on the quality of patients' medication
use.
PMID- 27207627
TI - Epidemiology, health systems and stakeholders in rheumatic heart disease in
Africa: a systematic review protocol.
AB - INTRODUCTION: Rheumatic heart disease (RHD) is a chronic disease affecting the
heart valves, secondary to group A streptococcal infection (GAS) and subsequent
acute rheumatic fever (ARF). However, RHD cure and preventative measures are
inextricably linked with socioeconomic development, as the disease mainly affects
children and young adults living in poverty. In order to address RHD, public
health officials and health policymakers require up-to-date knowledge on the
epidemiology of GAS, ARF and RHD, as well as the existing enablers and gaps in
delivery of evidence-based care for these conditions. We propose to conduct a
systematic review to assess the literature comprehensively, synthesising all
existing quantitative and qualitative data relating to RHD in Africa. METHODS AND
ANALYSIS: We plan to conduct a comprehensive literature search using a number of
databases and reference lists of relevant articles published from January 1995 to
December 2015. Two evaluators will independently review and extract data from
each article. Additionally, we will assess overall study quality and risk of
bias, using the Newcastle-Ottawa Scale and the Critical Appraisal Skills
Programme criteria for quantitative and qualitative studies, respectively. We
will meta-analyse estimates of prevalence, incidence, case fatality and mortality
for each of the conditions separately for each country. Qualitative meta-analysis
will be conducted for facilitators and barriers in RHD health access. Lastly, we
will create a list of key stakeholders. This protocol is registered in the
PROSPERO International Prospective Register of systematic reviews, registration
number CRD42016032852. ETHICS AND DISSEMINATION: The information provided by this
review will inform and assist relevant stakeholders in identifying key areas of
intervention, and designing and implementing evidence-based programmes and
policies at the local and regional level. With slight modifications (ie, to the
country terms in the search strategy), this protocol can be used as part of a
needs assessment in any endemic country.
PMID- 27207628
TI - Patient education interventions to improve physical activity in patients with
intermittent claudication: a protocol for a systematic mixed-studies review.
AB - INTRODUCTION: Peripheral arterial disease (PAD) and intermittent claudication
(IC) decrease an individual's capacity to engage in physical activity (PA) with
potentially negative effects on PA behaviour. Strategies to improve PA among this
population may provide a range of positive health benefits. We present a protocol
to assess the components of patient education interventions that improve PA
capacity and PA behaviour in patients with PAD and IC. METHODS AND ANALYSIS:
Published peer-reviewed studies will be searched in the following databases:
CINAHL, the Cochrane Library, OVID, ProQuest, AMED, MEDLINE, PsycINFO, Web of
Science Core Collection and PEDro, to identify literature investigating the
effect of patient education on PA of patients with PAD and IC, or studies that
investigated patients' perceptions or experience with these interventions. Two
authors will independently perform screening for study eligibility, result
synthesis and then appraise study quality. For interventions without follow-up,
primary outcome measures will include change in PA capacity, or change in free
living PA behaviour; where there was a follow-up postintervention, the primary
outcome will be rate of adherence to PA behaviour improvement. A three-phase
sequential explanatory synthesis of mixed studies will be employed to answer the
research questions. Homogenous quantitative data will be analysed using a random
effects model of meta-analysis with results presented as relative risk for
dichotomous outcomes and as weighted or standardised means for continuous
outcomes. Qualitative data will be analysed using thematic synthesis. This review
protocol is reported according to the Preferred Reporting Items for Systematic
review and Meta-Analysis Protocols (PRISMA-P) 2015 guidelines. TRIAL REGISTRATION
NUMBER: CRD42015027314.
PMID- 27207629
TI - Tubulin is a molecular target of the Wnt-activating chemical probe.
AB - BACKGROUND: In drug discovery research, cell-based phenotypic screening is an
essential method for obtaining potential drug candidates. Revealing the mechanism
of action is a key step on the path to drug discovery. However, elucidating the
target molecules of hit compounds from phenotypic screening campaigns remains a
difficult and troublesome process. Simple and efficient methods for identifying
the target molecules are essential. RESULTS: 2-Amino-4-(3,4
(methylenedioxy)benzylamino)-6-(3-methoxyphenyl)pyrimidine (AMBMP) was identified
as a senescence inducer from a phenotypic screening campaign. The compound is
widely used as a Wnt agonist, although its target molecules remain to be
clarified. To identify its target proteins, we compared a series of cellular
assay results for the compound with our pathway profiling database. The database
comprises the activities of compounds from simple assays of cellular reporter
genes and cellular proliferations. In this database, compounds were classified on
the basis of statistical analysis of their activities, which corresponded to a
mechanism of action by the representative compounds. In addition, the mechanisms
of action of the compounds of interest could be predicted using the database.
Based on our database analysis, the compound was anticipated to be a tubulin
disruptor, which was subsequently confirmed by its inhibitory activity of tubulin
polymerization. CONCLUSION: These results demonstrate that tubulin is identified
for the first time as a target molecule of the Wnt-activating small molecule and
that this might have misled the conclusions of some previous studies. Moreover,
the present study also emphasizes that our pathway profiling database is a simple
and potent tool for revealing the mechanisms of action of hit compounds obtained
from phenotypic screenings and off targets of chemical probes.
PMID- 27207631
TI - Editor Highlight Interview: Multi-modality imaging and clinical issues in cardio
oncology.
PMID- 27207630
TI - Atmospheric deposition of heavy metals in Wuxi, China: estimation based on native
moss analysis.
AB - We studied atmospheric deposition of heavy metals in Wuxi, China, using moss
(Haplocladium microphyllum and H. angustifolium) as a biomonitoring agent. Moss
samples were collected from 49 sites determined by a systematic sampling method.
The top layer of soil on each site was also sampled. No significant correlation
(P < 0.05) was observed between the moss and soil concentrations for any of the
six heavy metal elements (Cd, Cr, Cu, Ni, Pb, and Zn), indicating that the soil
substrate had little effect on the heavy metal concentrations in the moss
materials. The metal enrichment capacity of the moss material, characterized by
the concentration ratio between the moss and soil samples for each heavy metal,
was topped by Cd and then followed by Zn, Pb, Cu, Cr, and Ni, respectively.
Significant (P < 0.05) correlations were found among the six elements in mosses,
suggesting potential anthropogenic inputs of these heavy metal pollutants. Based
on concentrations of the heavy metals in mosses and the calculated contamination
factors, we evaluated the contamination level of each heavy metal on the 49
sampling sites. Spatial distribution maps of heavy metal deposition for each
element were interpolated using ArcGIS 9.0. A total pollution coefficient was
calculated for each sampling site to identify the seriously polluted areas in the
region.
PMID- 27207632
TI - Ready, shoot, aim? Summary justice for small hearts in nuclear cardiology.
PMID- 27207633
TI - Articular cartilage grading of the knee: diagnostic performance of fat-suppressed
3D volume isotropic turbo spin-echo acquisition (VISTA) compared with 3D T1 high
resolution isovolumetric examination (THRIVE).
AB - Background Conventionally, two-dimensional (2D) fast spin-echo (FSE) sequences
have been widely used for clinical cartilage imaging as well as gradient (GRE)
sequences. Recently, three-dimensional (3D) volumetric magnetic resonance imaging
(MRI) has been introduced with one 3D volumetric scan, and this is replacing
slice-by-slice 2D MR scans. Purpose To evaluate the image quality and diagnostic
performance of two 3D sequences for abnormalities of knee cartilage: fat
suppressed (FS) FSE-based 3D volume isotropic turbo spin-echo acquisition (VISTA)
and GRE-based 3D T1 high-resolution isovolumetric examination (THRIVE). Material
and Methods The institutional review board approved the protocol of this
retrospective review. This study enrolled 40 patients (41 knees) with
arthroscopically confirmed abnormalities of cartilage. All patients underwent
isovoxel 3D-VISTA and 3D-THRIVE MR sequences on 3T MRI. We assessed the cartilage
grade on the two 3D sequences using arthroscopy as a gold standard. Inter
observer agreement for each technique was evaluated with the intraclass
correlation coefficient (ICC). Differences in the area under the curve (AUC) were
compared between the 3D-THRIVE and 3D-VISTA. Results Although inter-observer
agreement for both sequences was excellent, the inter-observer agreement for 3D
VISTA was higher than for 3D-THRIVE for cartilage grading in all regions of the
knee. There was no significant difference in the diagnostic performance ( P >
0.05) between the two sequences for detecting cartilage grade. Conclusion FSE
based 3D-VISTA images had good diagnostic performance that was comparable to GRE
based 3D-THRIVE images in the evaluation of knee cartilage, and can be used in
routine knee MR protocols for the evaluation of cartilage.
PMID- 27207634
TI - Avian thermoregulation in the heat: evaporative cooling capacity in an archetypal
desert specialist, Burchell's sandgrouse (Pterocles burchelli).
AB - Sandgrouse (Pterocliformes) are quintessential examples of avian adaptation to
desert environments, but relatively little is known about the limits to their
heat tolerance and evaporative cooling capacity. We predicted that evaporative
cooling in Burchell's sandgrouse (Pterocles burchelli) is highly efficient and
provides the basis for tolerance of very high air temperature (Ta). We measured
body temperature (Tb), resting metabolic rate (RMR) and evaporative water loss
(EWL) at Ta between 25 degrees C and ~58 degrees C in birds exposed to successive
increments in Ta Normothermic Tb averaged 39.0 degrees C, lower than typical
avian values. At Ta>34.5 degrees C, Tb increased linearly to a maximum of 43.6
degrees C at Ta=56 degrees C. The upper critical limit of thermoneutrality (Tuc)
was Ta=43.8 degrees C, closely coinciding with the onset of panting and gular
flutter. Above the Tuc, RMR increased 2.5-fold to 2.89 W at Ta=56 degrees C, a
fractional increase far exceeding that of many other species under comparable
conditions. Rates of EWL increased rapidly at Ta>42.9 degrees C to 7.84+/-0.90 g
h(-1) at Ta=56 degrees C, an 11-fold increase above minimal levels. Maximum
evaporative cooling efficiency (ratio of evaporative heat loss to metabolic heat
production) was 2.03, but could be as high as 2.70 if our assumption that the
birds were metabolising lipids is incorrect. Thermoregulation at very high Ta in
P. burchelli was characterised by large increases in RMR and EWL, and is much
less efficient than in taxa such as columbids and caprimulgids.
PMID- 27207635
TI - The thermal dependence of Na+ flux in isolated liver cells from ectotherms and
endotherms.
AB - The thermal dependence (0-40 degrees C) of Na(+) flux in isolated liver cells of
three endotherms (mice, rat and rabbit) was compared with that of ectotherms in
the form of a thermally tolerant amphibian (cane toad), a cold-water fish
(rainbow trout) and a thermophilic reptile (lizard). Mammals were found to share
similar high rates of Na(+) flux (3.0-3.7 nmol Na(+) mg(-1) protein min(-1)) at
their normal body temperatures (36-39 degrees C). These Na(+) flux rates were
significantly greater (P<0.0004-0.0001) than those of the ectotherms, which
shared similar low rates of Na(+) flux (0.7-1.3 nmol Na(+) mg(-1) protein min(
1)) at their very different normal acclimated body temperatures (15 degrees C for
trout, 25 degrees C for toad and 37 degrees C for the lizard species). Trout,
which possess highly unsaturated membranes (similar to those of mammals), showed
a Na(+) flux with high thermal sensitivity at low temperatures similar to that
found in mammals at higher temperatures. The thermal sensitivity of toad Na(+)
flux was significantly less (P<0.05-0.01) than that of rat and rabbit. Trout
Na(+) flux did not increase with increasing temperature much above 20 degrees C,
whereas all other species measured increased their Na(+) flux with increasing
temperature up to 40 degrees C. In conclusion, at normal operating body
temperatures, the rate of Na(+) flux is much lower in ectotherms.
PMID- 27207636
TI - Physiological responses to hypersalinity correspond to nursery ground usage in
two inshore shark species (Mustelus antarcticus and Galeorhinus galeus).
AB - Shark nurseries are susceptible to environmental fluctuations in salinity because
of their shallow, coastal nature; however, the physiological impacts on resident
elasmobranchs are largely unknown. Gummy sharks (Mustelus antarcticus) and school
sharks (Galeorhinus galeus) use the same Tasmanian estuary as a nursery ground;
however, each species has distinct distribution patterns that are coincident with
changes in local environmental conditions, such as increases in salinity. We
hypothesized that these differences were directly related to differential
physiological tolerances to high salinity. To test this hypothesis, we exposed
wild, juvenile school and gummy sharks to an environmentally relevant hypersaline
(120% SW) event for 48 h. Metabolic rate decreased 20-35% in both species, and
gill Na(+)/K(+)-ATPase activity was maintained in gummy sharks but decreased 37%
in school sharks. We measured plasma ions (Na(+), K(+), Cl(-)) and osmolytes
[urea and trimethylamine oxide (TMAO)], and observed a 33% increase in plasma
Na(+) in gummy sharks with hyperosmotic exposure, while school sharks displayed a
typical ureosmotic increase in plasma urea (~20%). With elevated salinity, gill
TMAO concentration increased by 42% in school sharks and by 30% in gummy sharks.
Indicators of cellular stress (heat shock proteins HSP70, 90 and 110, and
ubiquitin) significantly increased in gill and white muscle in both a species-
and a tissue-specific manner. Overall, gummy sharks exhibited greater osmotic
perturbation and ionic dysregulation and a larger cellular stress response
compared with school sharks. Our findings provide physiological correlates to the
observed distribution and movement of these shark species in their critical
nursery grounds.
PMID- 27207637
TI - Basking hamsters reduce resting metabolism, body temperature and energy costs
during rewarming from torpor.
AB - Basking can substantially reduce thermoregulatory energy expenditure of mammals.
We tested the hypothesis that the largely white winter fur of hamsters (Phodopus
sungorus), originating from Asian steppes, may be related to camouflage to permit
sun basking on or near snow. Winter-acclimated hamsters in our study were largely
white and had a high proclivity to bask when resting and torpid. Resting hamsters
reduced metabolic rate (MR) significantly (>30%) when basking at ambient
temperatures (Ta) of ~15 and 0 degrees C. Interestingly, body temperature (Tb)
also was significantly reduced from 34.7+/-0.6 degrees C (Ta 15 degrees C, not
basking) to 30.4+/-2.0 degrees C (Ta 0 degrees C, basking), which resulted in an
extremely low (<50% of predicted) apparent thermal conductance. Induced torpor
(food withheld) during respirometry at Ta 15 degrees C occurred on 83.3+/-36.0%
of days and the minimum torpor MR was 36% of basal MR at an average Tb of 22.0+/
2.6 degrees C; movement to the basking lamp occurred at Tb<20.0 degrees C. Energy
expenditure for rewarming was significantly reduced (by >50%) during radiant heat
assisted rewarming; however, radiant heat per se without an endogenous
contribution by animals did not strongly affect metabolism and Tb during torpor.
Our data show that basking substantially modifies thermal energetics in hamsters,
with a drop of resting Tb and MR not previously observed and a reduction of
rewarming costs. The energy savings afforded by basking in hamsters suggest that
this behaviour is of energetic significance not only for mammals living in
deserts, where basking is common, but also for P. sungorus and probably other
cold-climate mammals.
PMID- 27207638
TI - Tracking the kinematics of caudal-oscillatory swimming: a comparison of two on
animal sensing methods.
AB - Studies of locomotion kinematics require high-resolution information about body
movements and the specific acceleration (SA) that these generate. On-animal
accelerometers measure both orientation and SA but an additional orientation
sensor is needed to accurately separate these. Although gyroscopes can perform
this function, their power consumption, drift and complex data processing make
them unattractive for biologging. Lower power magnetometers can also be used with
some limitations. Here, we present an integrated and simplified method for
estimating body rotations and SA applicable to both gyroscopes and magnetometers,
enabling a direct comparison of these two sensors. We use a tag with both sensors
to demonstrate how caudal-oscillation rate and SA are adjusted by a diving whale
in response to rapidly changing buoyancy forces as the lungs compress while
descending. The two sensors gave similar estimates of the dynamic forces,
demonstrating that magnetometers may offer a simpler low-power alternative for
miniature tags in some applications.
PMID- 27207639
TI - Counting calories in cormorants: dynamic body acceleration predicts daily energy
expenditure measured in pelagic cormorants.
AB - The integral of the dynamic component of acceleration over time has been proposed
as a measure of energy expenditure in wild animals. We tested that idea by
attaching accelerometers to the tails of free-ranging pelagic cormorants
(Phalacrocorax pelagicus) and simultaneously estimating energy expenditure using
doubly labelled water. Two different formulations of dynamic body acceleration,
[vectorial and overall DBA (VeDBA and ODBA)], correlated with mass-specific
energy expenditure (both R(2)=0.91). VeDBA models combining and separately
parameterizing flying, diving, activity on land and surface swimming were
consistently considered more parsimonious than time budget models and showed less
variability in model fit. Additionally, we observed evidence for the presence of
hypometabolic processes (i.e. reduced heart rate and body temperature; shunting
of blood away from non-essential organs) that suppressed metabolism in cormorants
while diving, which was the most metabolically important activity. We concluded
that a combination of VeDBA and physiological processes accurately measured
energy expenditure for cormorants.
PMID- 27207640
TI - Avian thermoregulation in the heat: efficient evaporative cooling allows for
extreme heat tolerance in four southern hemisphere columbids.
AB - Birds show phylogenetic variation in the relative importance of respiratory
versus cutaneous evaporation, but the consequences for heat tolerance and
evaporative cooling capacity remain unclear. We measured evaporative water loss
(EWL), resting metabolic rate (RMR) and body temperature (Tb) in four arid-zone
columbids from southern Africa [Namaqua dove (Oena capensis, ~37 g), laughing
dove (Spilopelia senegalensis, ~89 g) and Cape turtle dove (Streptopelia
capicola, ~148 g)] and Australia [crested pigeon (Ocyphaps lophotes), ~186 g] at
air temperatures (Ta) of up to 62 degrees C. There was no clear relationship
between body mass and maximum Ta tolerated during acute heat exposure. Maximum Tb
at very high Ta was 43.1+/-1.0, 43.7+/-0.8, 44.7+/-0.3 and 44.3+/-0.8 degrees C
in Namaqua doves, laughing doves, Cape turtle doves and crested pigeons,
respectively. In all four species, RMR increased significantly at Ta above
thermoneutrality, but the increases were relatively modest with RMR at Ta=56
degrees C being 32, 60, 99 and 11% higher, respectively, than at Ta=35 degrees C.
At the highest Ta values reached, evaporative heat loss was equivalent to 466,
227, 230 and 275% of metabolic heat production. The maximum ratio of evaporative
heat loss to metabolic production observed in Namaqua doves, 4.66, exceeds by a
substantial margin previous values reported for birds. Our results support the
notion that cutaneous evaporation provides a highly efficient mechanism of heat
dissipation and an enhanced ability to tolerate extremely high Ta.
PMID- 27207641
TI - The rebound of the body during uphill and downhill running at different speeds.
AB - When running on the level, muscles perform as much positive as negative external
work. On a slope, the external positive and negative work performed are not
equal. The present study analysed how the ratio between positive and negative
work modifies the bouncing mechanism of running. Our goals are to: (1) identify
the changes in motion of the centre of mass of the body associated with the slope
of the terrain and the speed of progression, (2) study the effect of these
changes on the storage and release of elastic energy during contact and (3)
propose a model that predicts the change in the bouncing mechanism with slope and
speed. Therefore, the ground reaction forces were measured on 10 subjects running
on an instrumented treadmill at different slopes (from -9 to +9 deg) and
different speeds (between 2.2 and 5.6 m s(-1)). The movements of the centre of
mass of the body and its external mechanical energy were then evaluated. Our
results suggest that the increase in the muscular power is contained (1) on a
positive slope, by decreasing the step period and the downward movements of the
body, and by increasing the duration of the push, and (2) on a negative slope, by
increasing the step period and the duration of the brake, and by decreasing the
upward movement of the body. Finally, the spring-mass model of running was
adapted to take into account the energy added or dissipated each step on a slope.
PMID- 27207642
TI - The influence of dissolved organic matter (DOM) on sodium regulation and
nitrogenous waste excretion in the zebrafish (Danio rerio).
AB - Dissolved organic matter (DOM) is both ubiquitous and diverse in composition in
natural waters, but its effects on the branchial physiology of aquatic organisms
have received little attention relative to other variables (e.g. pH, hardness,
salinity, alkalinity). Here, we investigated the effects of four chemically
distinct DOM isolates (three natural, one commercial, ranging from autochthonous
to highly allochthonous, all at ~6 mg C l(-1)) on the physiology of gill
ionoregulation and nitrogenous waste excretion in zebrafish acclimated to either
circumneutral (7.0-8.0) or acidic pH (5.0). Overall, lower pH tended to increase
net branchial ammonia excretion, net K(+) loss and [(3)H]PEG-4000 clearance rates
(indicators of transcellular and paracellular permeability, respectively).
However, unidirectional Na(+) efflux, urea excretion and drinking rates were
unaffected. DOM sources tended to stimulate unidirectional Na(+) influx rate and
exerted subtle effects on the concentration-dependent kinetics of Na(+) uptake,
increasing maximum transport capacity. All DOM sources reduced passive Na(+)
efflux rates regardless of pH, but exerted negligible effects on nitrogenous
waste excretion, drinking rate, net K(+) loss or [(3)H]PEG-4000 clearance, so the
mechanism of Na(+) loss reduction remains unclear. Overall, these actions appear
beneficial to ionoregulatory homeostasis in zebrafish, and some may be related to
physico-chemical properties of the DOM sources. They are very different from
those seen in a recent parallel study on Daphnia magna using the same DOM
isolates, indicating that DOM actions may be both species and DOM specific.
PMID- 27207643
TI - In situ cardiac perfusion reveals interspecific variation of intraventricular
flow separation in reptiles.
AB - The ventricles of non-crocodilian reptiles are incompletely divided and provide
an opportunity for mixing of oxygen-poor blood and oxygen-rich blood
(intracardiac shunting). However, both cardiac morphology and in vivo shunting
patterns exhibit considerable interspecific variation within reptiles. In the
present study, we develop an in situ double-perfused heart approach to
characterise the propensity and capacity for shunting in five reptile species:
the turtle Trachemys scripta, the rock python Python sebae, the yellow anaconda
Eunectes notaeus, the varanid lizard Varanus exanthematicus and the bearded
dragon Pogona vitticeps To simulate changes in vascular bed resistance, pulmonary
and systemic afterloads were independently manipulated and changes in blood flow
distribution amongst the central outflow tracts were monitored. As previously
demonstrated in Burmese pythons, rock pythons and varanid lizards exhibited
pronounced intraventricular flow separation. As pulmonary or systemic afterload
was raised, flow in the respective circulation decreased. However, flow in the
other circulation, where afterload was constant, remained stable. This correlates
with the convergent evolution of intraventricular pressure separation and the
large intraventricular muscular ridge, which compartmentalises the ventricle, in
these species. Conversely, in the three other species, the pulmonary and systemic
flows were strongly mutually dependent, such that the decrease in pulmonary flow
in response to elevated pulmonary afterload resulted in redistribution of
perfusate to the systemic circuit (and vice versa). Thus, in these species, the
muscular ridge appeared labile and blood could readily transverse the
intraventricular cava. We conclude that relatively minor structural differences
between non-crocodilian reptiles result in the fundamental changes in cardiac
function. Further, our study emphasises that functionally similar intracardiac
flow separation evolved independently in lizards (varanids) and snakes (pythons)
from an ancestor endowed with the capacity for large intracardiac shunts.
PMID- 27207644
TI - Desiccation tolerance in Anopheles coluzzii: the effects of spiracle size and
cuticular hydrocarbons.
AB - The African malaria mosquitoes Anopheles gambiae and Anopheles coluzzii range
over forests and arid areas, where they withstand dry spells and months-long dry
seasons, suggesting variation in their desiccation tolerance. We subjected a
laboratory colony (G3) and wild Sahelian mosquitoes during the rainy and dry
seasons to desiccation assays. The thoracic spiracles and amount and composition
of cuticular hydrocarbons (CHCs) of individual mosquitoes were measured to
determine the effects of these traits on desiccation tolerance. The relative
humidity of the assay, body water available, rate of water loss and water content
at death accounted for 88% of the variation in desiccation tolerance. Spiracle
size did not affect the rate of water loss or desiccation tolerance of the colony
mosquitoes, as was the case for the total CHCs. However, six CHCs accounted for
71% of the variation in desiccation tolerance and three accounted for 72% of the
variation in the rate of water loss. Wild A. coluzzii exhibited elevated
desiccation tolerance during the dry season. During that time, relative thorax
and spiracle sizes were smaller than during the rainy season. A smaller spiracle
size appeared to increase A. coluzzii's desiccation tolerance, but was not
statistically significant. Seasonal changes in CHC composition were detected in
Sahelian A. coluzzii Stepwise regression models suggested the effect of
particular CHCs on desiccation tolerance. In conclusion, the combination of
particular CHCs along with the total amount of CHCs is a primary mechanism
conferring desiccation tolerance in A. coluzzii, while variation in spiracle size
might be a secondary mechanism.
PMID- 27207645
TI - Specialized primary feathers produce tonal sounds during flight in rock pigeons
(Columba livia).
AB - For centuries, naturalists have suggested that the tonal elements of pigeon wing
sounds may be sonations (non-vocal acoustic signals) of alarm. However, spurious
tonal sounds may be produced passively as a result of aeroelastic flutter in the
flight feathers of almost all birds. Using mechanistic criteria emerging from
recent work on sonations, we sought to: (1) identify characteristics of rock
pigeon flight feathers that might be adapted for sound production rather than
flight, and (2) provide evidence that this morphology is necessary for in vivo
sound production and is sufficient to replicate in vivo sounds. Pigeons produce
tonal sounds (700+/-50 Hz) during the latter two-thirds of each downstroke during
take-off. These tones are produced when a small region of long, curved barbs on
the inner vane of the outermost primary feather (P10) aeroelastically flutters.
Tones were silenced in live birds when we experimentally increased the stiffness
of this region to prevent flutter. Isolated P10 feathers were sufficient to
reproduce in vivo sounds when spun at the peak angular velocity of downstroke
(53.9-60.3 rad s(-1)), but did not produce tones at average downstroke velocity
(31.8 rad s(-1)), whereas P9 and P1 feathers never produced tones. P10 feathers
had significantly lower coefficients of resultant aerodynamic force (CR) when
spun at peak angular velocity than at average angular velocity, revealing that
production of tonal sounds incurs an aerodynamic cost. P9 and P1 feathers did not
show this difference in CR These mechanistic results suggest that the tonal
sounds produced by P10 feathers are not incidental and may function in
communication.
PMID- 27207646
TI - Inhibition of the oxidative stress response by heat stress in Caenorhabditis
elegans.
AB - It has long been recognized that simultaneous exposure to heat stress and
oxidative stress shows a synergistic interaction that reduces organismal fitness,
but relatively little is known about the mechanisms underlying this interaction.
We investigated the role of molecular stress responses in driving this
synergistic interaction using the nematode Caenorhabditis elegans To induce
oxidative stress, we used the pro-oxidant compounds acrylamide, paraquat and
juglone. As expected, we found that heat stress and oxidative stress interact
synergistically to reduce survival. Compared with exposure to each stressor
alone, during simultaneous sublethal exposure to heat stress and oxidative stress
the normal induction of key oxidative-stress response (OxSR) genes was generally
inhibited, whereas the induction of key heat-shock response (HSR) genes was not.
Genetically activating the SKN-1-dependent OxSR increased a marker for protein
aggregation and decreased whole-worm survival during heat stress alone, with the
latter being independent of HSF-1. In contrast, compared with wild-type worms,
inactivating the HSR by HSF-1 knockdown, which would be expected to decrease
basal heat shock protein expression, increased survival during oxidative stress
alone. Taken together, these data suggest that, in C. elegans, the HSR and OxSR
cannot be simultaneously activated to the same extent that each can be activated
during a single stressor exposure. We conclude that the observed synergistic
reduction in survival during combined exposure to heat stress and oxidative
stress is due, at least in part, to inhibition of the OxSR during activation of
the HSR.
PMID- 27207647
TI - CLDN14 is epigenetically silenced by EZH2-mediated H3K27ME3 and is a novel
prognostic biomarker in hepatocellular carcinoma.
AB - Trimethylation of lysine 27 on histone H3 (H3K27ME3) is a transcription
suppressive histone mark mediated by enhancer of zeste homolog 2 (EZH2). We have
previously suggested that EZH2-mediated H3K27ME3 plays a critical oncogenic role
in human hepatocellular carcinoma (HCC) aggressiveness. However, the direct
downstream targets of EZH2-H3K27ME3 and the molecular mechanisms by which
regulates HCC pathogenesis remain unclear. In this study, we used chromatin
immunoprecipitation together with high-throughput sequencing (ChIP-seq) and gene
expression profiling by microarray analysis to assess genome-wide chromatin
occupancy of H3K27ME3 in HCC cells. We identified that claudin14 (CLDN14) is a
potentially direct target for EZH2-mediated H3K27ME3 in HCC. In a large cohort of
clinical HCC tissues, we found that low expression of CLDN14 was significantly
associated with advanced tumor stage and determined to be an independent
predictor of shortened survival of HCC patients. Next, functional experiment
demonstrated that depletion of CLDN14 substantially restored EZH2-silenced HCC
cells motility and invasive capacities and supported cell epithelial-mesenchymal
transition (EMT). Furthermore, downregulation of CLDN14 dramatically re-enhanced
the wnt/beta-catenin signaling activity in EZH2-silenced HCC cells by increasing
the levels of active beta-catenin and promoting the nuclear localization of beta
catenin. These results, collectively, uncover that CLDN14 is a novel direct
target of EZH2-mediated H3K27ME3, and provide an explanation for the aggressive
nature of HCC with downregulation of CLDN14 and the underling mechanism that
links the tumor suppressor CLDN14 to the wnt/beta-catenin signaling pathway.
PMID- 27207648
TI - Beneficial effects of the naturally occurring flavonoid silibinin on the prostate
cancer microenvironment: role of monocyte chemotactic protein-1 and immune cell
recruitment.
AB - Tumor microenvironment plays an essential role in prostate carcinogenesis and
offers novel opportunities to prevent and treat prostate cancer (PCA). Here, we
investigated the ability of cancer-associated fibroblasts (CAFs) to promote PCA
progression, and silibinin efficacy to target this response. We collected
conditioned media from CAFs treated with vehicle or silibinin, and labeled as
control conditioned media (CCM) or silibinin-treatment conditioned media (SBCM),
respectively. Next, we characterized the effect of CCM and SBCM treatment in
several PCA cell lines (RWPE-1, WPE-1 NA-22, WPE-1 NB-14 and PC3). Result showed
that compared with SBCM, CCM significantly reduces E-cadherin expression and
increases invasiveness and clonogenicity in PCA cells. Further molecular studies
identified monocyte chemotactic protein-1 (MCP-1) as the key component of CCM
that promotes PCA invasiveness, whereas silibinin treatment strongly reduced MCP
1 expression in CAFs by inhibiting the DNA-binding activity of MCP-1
transcriptional regulators-nuclear factor-kappaB and AP-1. In vivo, silibinin
feeding (200mg/kg body weight) strongly reduced TRAMPC1 allografts growth (by
68%) in syngeneic C57Bl/6 mice. TRAMPC1 tumor analysis showed that silibinin
reduced MCP-1 and CAFs' biomarkers (fibroblast activation protein, alpha-smooth
muscle actin, transforming growth factor beta 2, vimentin etc.) and significantly
modulated the recruitment of immune cells in the tumor microenvironment. Similar
inhibitory effects of silibinin on MCP-1 and immune cells recruitment were also
observed in TRAMP PCA tissues with reported silibinin efficacy. Overall, our data
suggest that silibinin can target CAF-mediated invasiveness in PCA by inhibiting
MCP-1 secretion. This, in turn, was associated with a reduction in immune cell
recruitment in vivo along with a marked reduction in tumor growth.
PMID- 27207649
TI - Prognostic significance of inflammatory factors expression by stroma from breast
carcinomas.
AB - The aim of this work was to evaluate the expression and clinical relevance of
some cytokines in breast carcinomas. An immunohistochemical study using tissue
arrays and specific antibodies against interleukin 1beta (IL-1beta), IL-6, IL-10,
IL-17, interferon beta (IFNbeta) and nuclear factor kappa B (NFkappaB) was
performed in 108 breast carcinomas. Most studied cytokines were mainly expressed
by cancer cells but also by stromal cells as cancer-associated fibroblasts (CAFs)
or mononuclear inflammatory cells (MICs). Global expression (score) of IL-1beta
and IL-17 was positively associated with histological grade; human epidermal
growth factor receptor 2-positive tumors showed a higher global expression of
IFNbeta but a lower global expression of NFkappaB; and node-negative tumors
showed a higher global expression of IL-6. High score of IL-6 was significantly
associated with both longer relapse free-survival (RFS) and overall survival
(OS). Moreover, the expression of IL-1beta by each stromal cells (CAFs and MICs)
was significantly associated with both longer RFS and OS, whereas the expression
of IL-10 by these cells was significantly associated with both shorter RFS and
OS. However, the combination of IL-1beta, IL-6 and IL-10 expression by MICs
reached an important association with prognosis and improved our previously
reported prognostic signification based on the matrix metalloprotease 11 status
by MICs. The combination of IL-1beta, IL-6 and IL-10 expression by MICs was
significant and independently associated with distant RFS in a multivariate
analysis. Therefore, the combination of the expression of IL-1beta, IL-6 and IL
10 may serve as promising biomarkers of MICs with prognostic significance,
contributing to a better characterization of breast carcinomas microenvironment.
PMID- 27207650
TI - Genome-wide association study of colorectal cancer in Hispanics.
AB - Genome-wide association studies (GWAS) have identified 58 susceptibility alleles
across 37 regions associated with the risk of colorectal cancer (CRC) with P <
5*10(-8) Most studies have been conducted in non-Hispanic whites and East Asians;
however, the generalizability of these findings and the potential for ethnic
specific risk variation in Hispanic and Latino (HL) individuals have been largely
understudied. We describe the first GWAS of common genetic variation contributing
to CRC risk in HL (1611 CRC cases and 4330 controls). We also examine known
susceptibility alleles and implement imputation-based fine-mapping to identify
potential ethnicity-specific association signals in known risk regions. We
discovered 17 variants across 4 independent regions that merit further
investigation due to suggestive CRC associations (P < 1*10(-6)) at 1p34.3
(rs7528276; Odds Ratio (OR) = 1.86 [95% confidence interval (CI): 1.47-2.36); P =
2.5*10(-7)], 2q23.3 (rs1367374; OR = 1.37 (95% CI: 1.21-1.55); P = 4.0*10(-7)),
14q24.2 (rs143046984; OR = 1.65 (95% CI: 1.36-2.01); P = 4.1*10(-7)) and 16q12.2
[rs142319636; OR = 1.69 (95% CI: 1.37-2.08); P=7.8*10(-7)]. Among the 57
previously published CRC susceptibility alleles with minor allele frequency >=1%,
76.5% of SNPs had a consistent direction of effect and 19 (33.3%) were nominally
statistically significant (P < 0.05). Further, rs185423955 and rs60892987 were
identified as novel secondary susceptibility variants at 3q26.2 (P = 5.3*10(-5))
and 11q12.2 (P = 6.8*10(-5)), respectively. Our findings demonstrate the
importance of fine mapping in HL. These results are informative for variant
prioritization in functional studies and future risk prediction modeling in
minority populations.
PMID- 27207652
TI - Stilbenoids remodel the DNA methylation patterns in breast cancer cells and
inhibit oncogenic NOTCH signaling through epigenetic regulation of MAML2
transcriptional activity.
AB - DNA hypomethylation was previously implicated in cancer progression and
metastasis. The purpose of this study was to examine whether stilbenoids,
resveratrol and pterostilbene thought to exert anticancer effects, target genes
with oncogenic function for de novo methylation and silencing, leading to
inactivation of related signaling pathways. Following Illumina 450K, genome-wide
DNA methylation analysis reveals that stilbenoids alter DNA methylation patterns
in breast cancer cells. On average, 75% of differentially methylated genes have
increased methylation, and these genes are enriched for oncogenic functions,
including NOTCH signaling pathway. MAML2, a coactivator of NOTCH targets, is
methylated at the enhancer region and transcriptionally silenced in response to
stilbenoids, possibly explaining the downregulation of NOTCH target genes. The
increased DNA methylation at MAML2 enhancer coincides with increased occupancy of
repressive histone marks and decrease in activating marks. This condensed
chromatin structure is associated with binding of DNMT3B and decreased occupancy
of OCT1 transcription factor at MAML2 enhancer, suggesting a role of DNMT3B in
increasing methylation of MAML2 after stilbenoid treatment. Our results deliver a
novel insight into epigenetic regulation of oncogenic signals in cancer and
provide support for epigenetic-targeting strategies as an effective anticancer
approach.
PMID- 27207653
TI - Lipocalin 2 prevents oral cancer metastasis through carbonic anhydrase IX
inhibition and is associated with favourable prognosis.
AB - Lipocalin 2 (LCN2), a secreted glycoprotein, is up- or downregulated in different
human cancers. At present, the functional role of LCN2 in the progression of oral
squamous cell carcinoma (OSCC), which accounts for most head and neck cancers,
remains poorly understood, particularly with respect to its involvement in
invasion and metastasis. In this study, we observed that LCN2 expression
decreased in patients with OSCC and lymph node metastasis compared with that in
patients without metastasis. A higher LCN2 expression correlated with the
survival of patients with OSCC. Furthermore, LCN2 overexpression in OSCC cells
reduced in vitro migration and invasion and in vivo metastasis, whereas its
silencing induced an increase in cell motility. Mechanistically, LCN2 inhibited
the cell motility of OSCC cells through hypoxia-inducible factor (HIF)-1alpha
dependent transcriptional inhibition of the carbonic anhydrase IX (CAIX). CAIX
overexpression relieved the migration inhibition imposed by LCN2 overexpression
in OSCC cells. Moreover, a microRNA (miR) analysis revealed that LCN2 can
suppress CAIX expression and cell migration through miR-4505 induction.
Examination of tumour tissues from patients with OSCC and OSCC-transplanted mice
revealed an inverse correlation between LCN2 and CAIX expression. Furthermore,
patients with LCN2(strong)/CAIX(weak) revealed the lowest frequency of lymph node
metastasis and the longest survival. Our findings suggest that LCN2 suppresses
tumour metastasis by targeting the transcriptional and post-transcriptional
regulation of CAIX in OSCC cells. LCN2 overexpression may be a novel OSCC
treatment strategy and a useful biomarker for predicting OSCC progression.
PMID- 27207651
TI - High prevalence of luminal B breast cancer intrinsic subtype in Colombian women.
AB - Breast cancer is the most frequent malignancy in women worldwide. Distinct
intrinsic subtypes of breast cancer have different prognoses, and their relative
prevalence varies significantly among ethnic groups. Little is known about the
prevalence of breast cancer intrinsic subtypes and their association with
clinicopathological data and genetic ancestry in Latin Americans.
Immunohistochemistry surrogates from the 2013 St. Gallen International Expert
Consensus were used to classify breast cancers in 301 patients from Colombia into
intrinsic subtypes. We analyzed the distribution of subtypes by
clinicopathological variables. Genetic ancestry was estimated from a panel of 80
ancestry informative markers. Luminal B breast cancer subtype was the most
prevalent in our population (37.2%) followed by luminal A (26.3%), non-basal
triple negative (NBTN) (11.6%), basal like (9%), human epidermal growth factor
receptor 2 (HER2) enriched (8.6%) and unknown (7.3%). We found statistical
significant differences in distribution between Colombian region (P = 0.007), age
at diagnosis (P = 0.0139), grade (P < 0.001) and recurrence (P < 0.001) according
to intrinsic subtype. Patients diagnosed with HER2-enriched, basal-like and NBTN
breast cancer had the highest African ancestry. Future studies analyzing the
molecular profiles of breast cancer in Colombian women will help us understand
the molecular basis of this subtype distribution and compare the molecular
characteristics of the different intrinsic subtypes in Colombian patients.
PMID- 27207654
TI - Role of Cdc6 in re-replication in cells expressing human papillomavirus E7
oncogene.
AB - The E7 oncoprotein of high-risk human papillomavirus (HPV) types induces DNA re
replication that contributes to carcinogenesis; however, the mechanism is not
fully understood. To better understand the mechanism by which E7 induces re
replication, we investigated the expression and function of cell division cycle 6
(Cdc6) in E7-expressing cells. Cdc6 is a DNA replication initiation factor and
exhibits oncogenic activities when overexpressed. We found that in E7-expressing
cells, the steady-state level of Cdc6 protein was upregulated and its half-life
was increased. Cdc6 was localized to the nucleus and associated with chromatin,
especially upon DNA damage. Importantly, downregulation of Cdc6 reduced E7
induced re-replication. Interestingly, the level of Cdc6 phosphorylation at
serine 54 (S54P) was increased in E7-expressing cells. S54P was associated with
an increase in the total amount of Cdc6 and chromatin-bound Cdc6. DNA damage
enhanced upregulation and chromatin binding of Cdc6 appeared to be due to
downregulation of cyclin-dependent kinase 1 (Cdk1) as Cdk1 knockdown increased
Cdc6 levels. Furthermore, Cdk1 knockdown or inhibition led to re-replication.
These findings shed light on the mechanism by which HPV induces genomic
instability and may help identify potential targets for drug development.
PMID- 27207655
TI - Selected single-nucleotide polymorphisms in FOXE1, SERPINA5, FTO, EVPL, TICAM1
and SCARB1 are associated with papillary and follicular thyroid cancer risk:
replication study in a German population.
AB - Several single-nucleotide polymorphisms (SNPs) have been associated with
papillary and follicular thyroid cancer (PTC and FTC, respectively) risk, but few
have replicated. After analyzing 17525 tag SNPs in 1129 candidate genes, we found
associations with PTC risk in SERPINA5, FTO, HEMGN (near FOXE1) and other genes.
Here, we report results from a replication effort in a large independent PTC/FTC
case-control study conducted in Germany. We evaluated the best tagging SNPs from
our previous PTC study and additionally included SNPs in or near FOXE1 and NKX2-1
genes, known susceptibility loci for thyroid cancer. We genotyped 422 PTC and 130
FTC cases and 752 controls recruited from three German clinical centers. We used
polytomous logistic regression to simultaneously estimate PTC and FTC
associations for 79 SNPs based on log-additive models. We assessed effect
modification by body mass index (BMI), gender and age for all SNPs, and selected
SNP by SNP interactions. We confirmed associations with PTC and SNPs in
FOXE1/HEMGN, SERPINA5 (rs2069974), FTO (rs8047395), EVPL (rs2071194), TICAM1
(rs8120) and SCARB1 (rs11057820) genes. We found associations with SNPs in FOXE1,
SERPINA5, FTO, TICAM1 and HSPA6 and FTC. We found two significant interactions
between FTO (rs8047395) and BMI (P = 0.0321) and between TICAM1 (rs8120) and
FOXE1 (rs10984377) (P = 0.0006). Besides the known associations with FOXE1 SNPs,
we confirmed additional PTC SNP associations reported previously. We also found
several new associations with FTC risk and noteworthy interactions. We conclude
that multiple variants and host factors might interact in complex ways to
increase risk of PTC and FTC.
PMID- 27207657
TI - Understanding mutagenesis through delineation of mutational signatures in human
cancer.
AB - Each individual cell within a human body acquires a certain number of somatic
mutations during a course of its lifetime. These mutations originate from a wide
spectra of both endogenous and exogenous mutational processes that leave distinct
patterns of mutations, termed mutational signatures, embedded within the genomes
of all cells. In recent years, the vast amount of data produced by sequencing of
cancer genomes was coupled with novel mathematical models and computational tools
to generate the first comprehensive map of mutational signatures in human cancer.
Up to date, >30 distinct mutational signatures have been identified, and
etiologies have been proposed for many of them. This review provides a brief
historical background on examination of mutational patterns in human cancer,
summarizes the knowledge accumulated since introducing the concept of mutational
signatures and discusses their future potential applications and perspectives
within the field.
PMID- 27207656
TI - Obesity promotes PhIP-induced small intestinal carcinogenesis in hCYP1A-db/db
mice: involvement of mutations and DNA hypermethylation of Apc.
AB - Obesity is associated with an increased risk of cancer. To study the promotion of
dietary carcinogen-induced gastrointestinal cancer by obesity, we employed 2
amino-1-methyl-6-phenylimidazo[4,5-b]pyridine (PhIP) to induce intestinal
tumorigenesis in CYP1A-humanized (hCYP1A) mice, in which mouse Cyp1a1/1a2 was
replaced with human CYP1A1/1A2 Obesity was introduced in hCYP1A mice by breeding
with Lepr(db/+) mice to establish the genetically induced obese hCYP1A
Lepr(db/db) mice or by feeding hCYP1A mice a high-fat diet. PhIP induced the
formation of small intestinal tumors at the ages of weeks 28-40 in obese hCYP1A
mice, but not in lean hCYP1A mice. No tumors were found in colon and other
gastrointestinal organs in the lean or obese mice. Using immunohistochemistry
(IHC), we found strong positive staining of NF-kappaB p65, pSTAT3 and COX2 as
well as elevated levels of nuclear beta-catenin (Ctnnb1) in small intestinal
tumors, but not in normal tissues. By sequencing Apc and Ctnnb1 genes, we found
that most PhIP-induced small intestinal tumors in obese mice carried only a
single heterozygous mutation in Apc By bisulfite-sequencing of CpG islands of
Apc, we found DNA hypermethylation in a CpG cluster located in its transcription
initiation site, which most likely caused the inactivation of the wild-type Apc
allele. Our findings demonstrate that PhIP-induced small intestinal
carcinogenesis in hCYP1A-db/db mice is promoted by obesity and involves Apc
mutation and inactivation by DNA hypermethylation. This experimental result is
consistent with the association of obesity and the increased incidence of small
intestinal cancer in humans in recent decades.
PMID- 27207658
TI - A case-control analysis of smoking and breast cancer in African American women:
findings from the AMBER Consortium.
AB - Recent population studies suggest a role of smoking in the etiology of breast
cancer, but few have been conducted among African American women. In a
collaborative project of four large studies, we examined associations between
smoking measures and breast cancer risk by menopause and hormone receptor status
[estrogen receptor-positive (ER+), ER-negative (ER-) and triple-negative (ER-, PR
, HER2-)]. The study included 5791 African American women with breast cancer and
17376 African American controls. Odds ratios (ORs) and 95% confidence intervals
(CIs) were calculated in multivariable logistic regression analysis with
adjustment for study and risk factors. Results differed by menopausal status.
Among postmenopausal women, positive associations were observed for long duration
and greater pack-years of smoking: relative to never smoking, fully adjusted ORs
were 1.14 (95% CI: 1.03-1.26) for duration >=20 years and 1.16 (95% CI: 1.01
1.33) for >=20 pack-years. By contrast, inverse associations were observed among
premenopausal women, with ORs of 0.80 (95% CI: 0.68-95) for current smoking and
0.81 (95% CI: 0.69-0.96) for former smoking, without trends by duration.
Associations among postmenopausal women were somewhat stronger for ER+ breast
cancer. The findings suggest that the relation of cigarette smoking to breast
cancer risk in African American women may vary by menopausal status and breast
cancer subtype.
PMID- 27207660
TI - Can supplementation of phytoestrogens/insoluble fibers help the management of
duodenal polyps in familial adenomatous polyposis?
AB - Familial adenomatous polyposis (FAP) is an autosomal dominant inherited disorder,
and prophylactic colectomy has been shown to decrease the incidence of colorectal
cancer (CRC). Duodenal cancer and desmoids are now the leading causes of death in
FAP. We evaluate whether 3 months of oral supplementation with a patented blend
of phytoestrogens and indigestible insoluble fibers (ADI) help the management of
FAP patients with ileal pouch-anal anastomosis (IPAA). In a prospective open
label study, we enrolled 15 FAP patients with IPAA and duodenal polyps who
underwent upper gastrointestinal endoscopy at baseline and after 3 months of
treatment. The primary endpoint was the change in gene expression in polyp
mucosa, whereas the secondary endpoint was the reduction in polyp number and
size. After 3 months of ADI treatment, all patients showed a reduction in the
number and size of duodenal polyps (P = 0.021). Analysis of the expression of CRC
promoting/inhibiting genes in duodenal polyps biopsies demonstrated that
different CRC-promoting genes (PCNA, MUC1 and COX-2) were significantly
downregulated, whereas CRC-inhibiting genes (ER-beta and MUC2) were significantly
upregulated after ADI treatment. In conclusion, ADI proved to be safe and
effective, and its long-term effects on FAP patients need further investigation.
Judging from the results we observed on COX-2 and miR-101 expression, the short
term effects of ADI treatment could be comparable with those obtained using COX-2
inhibitors, with the advantage of being much more tolerable in chronic therapies
and void of adverse events.
PMID- 27207659
TI - Carcinogen-specific mutations in preferred Ras-Raf pathway oncogenes directed by
strand bias.
AB - Carcinogen exposures inscribe mutation patterns on cancer genomes and sometimes
bias the acquisition of driver mutations toward preferred oncogenes, potentially
dictating sensitivity to targeted agents. Whether and how carcinogen-specific
mutation patterns direct activation of preferred oncogenes remains poorly
understood. Here, mouse models of breast cancer were exploited to uncover a
mechanistic link between strand-biased mutagenesis and oncogene preference. When
chemical carcinogens were employed during Wnt1-initiated mammary tumorigenesis,
exposure to either 7,12-dimethylbenz(a)anthracene (DMBA) or N-ethyl-N-nitrosourea
(ENU) dramatically accelerated tumor onset. Mammary tumors that followed DMBA
exposure nearly always activated the Ras pathway via somatic Hras(CAA61CTA)
mutations. Surprisingly, mammary tumors that followed ENU exposure typically
lacked Hras mutations, and instead activated the Ras pathway downstream via
Braf(GTG636GAG) mutations. Hras(CAA61CTA) mutations involve an A-to-T change on
the sense strand, whereas Braf(GTG636GAG) mutations involve an inverse T-to-A
change, suggesting that strand-biased mutagenesis may determine oncogene
preference. To examine this possibility further, we turned to an alternative Wnt
driven tumor model in which carcinogen exposures augment a latent mammary tumor
predisposition in Apc(min) mice. DMBA and ENU each accelerated mammary tumor
onset in Apc(min) mice by introducing somatic, "second-hit" Apc mutations.
Consistent with our strand bias model, DMBA and ENU generated strikingly distinct
Apc mutation patterns, including stringently strand-inverse mutation signatures
at A:T sites. Crucially, these contrasting signatures precisely match those
proposed to confer bias toward Hras(CAA61CTA) versus Braf(GTG636GAG) mutations in
the original tumor sets. Our findings highlight a novel mechanism whereby
exposure history acts through strand-biased mutagenesis to specify activation of
preferred oncogenes.
PMID- 27207661
TI - Icaritin suppresses development of neuroendocrine differentiation of prostate
cancer through inhibition of IL-6/STAT3 and Aurora kinase A pathways in TRAMP
mice.
AB - Neuroendocrine prostate cancer (NEPC) has a poor prognosis, with a median
survival of less than 1 year after diagnosis. Following androgen deprivation
therapy, prostate adenocarcinoma cells have been observed to develop an androgen
receptor-negative, terminally differentiated and indolent neuroendocrine-like
phenotype. However, several molecular events, including interleukin 6 (IL-6)
stimulation, in the prostate microenvironment result in the appearance of
aggressive, highly proliferative castrate-resistant NEPC. In this study, we
examined the mechanistic effects of a natural prenylflavonoid, icaritin (ICT), on
neuroendocrine differentiation in IL-6-induced LNCaP cells and NEPC development
in the male transgenic adenocarcinoma of the mouse prostate (TRAMP) model. TRAMP
mice received daily intraperitoneal injection of ICT or vehicle. ICT induced
apoptosis in prostate tumor, suppressed NEPC development and, accordingly,
improved overall survival in TRAMP mice. Expression of neuroendocrine markers
(synaptophysin) and androgen receptor in TRAMP mice and neuroendocrine-like LNCaP
cells were inhibited by ICT. Suppression of neuroendocrine and NEPC development
by ICT was associated with dose-dependent inhibitory effects on abnormally
elevated IL-6/STAT3 and Aurora kinase A in vitro and in vivo Since ICT
demonstrated favorable pharmacokinetic and safety profiles with marked enrichment
in prostate tissues, our study provides evidence for the development of
prenylflavonoid as a multimodal therapeutic agent against NEPC.
PMID- 27207662
TI - Common genetic variants associated with telomere length confer risk for
neuroblastoma and other childhood cancers.
AB - Aberrant telomere lengthening is an important feature of cancer cells in adults
and children. In addition to somatic mutations, germline polymorphisms in
telomere maintenance genes impact telomere length. Whether these telomere
associated polymorphisms affect risk of childhood malignancies remains largely
unexplored. We collected genome-wide data from three groups with pediatric
malignancies [neuroblastoma (N = 1516), acute lymphoblastic leukemia (ALL) (N =
958) and osteosarcoma (N = 660)] and three control populations (N = 6892). Using
case-control comparisons, we analyzed eight single nucleotide polymorphisms
(SNPs) in genes definitively associated with interindividual variation in
leukocyte telomere length (LTL) in prior genome-wide association studies: ACYP2,
TERC, NAF1, TERT, OBFC1, CTC1, ZNF208 and RTEL1 Six of these SNPs were associated
(P < 0.05) with neuroblastoma risk, one with leukemia risk and one with
osteosarcoma risk. The allele associated with longer LTL increased cancer risk
for all these significantly associated SNPs. Using a weighted linear combination
of the eight LTL-associated SNPs, we observed that neuroblastoma patients were
predisposed to longer LTL than controls, with each standard deviation increase in
genotypically estimated LTL associated with a 1.15-fold increased odds of
neuroblastoma (95%CI = 1.09-1.22; P = 7.9*10(-7)). This effect was more
pronounced in adolescent-onset neuroblastoma patients (OR = 1.46; 95%CI = 1.03
2.08). A one standard deviation increase in genotypically estimated LTL was more
weakly associated with osteosarcoma risk (OR = 1.10; 95%CI = 1.01-1.19; P =
0.017) and leukemia risk (OR = 1.07; 95%CI = 1.00-1.14; P = 0.044), specifically
for leukemia patients who relapsed (OR = 1.19; 95%CI = 1.01-1.40; P = 0.043).
These results indicate that genetic predisposition to longer LTL is a newly
identified risk factor for neuroblastoma and potentially for other cancers of
childhood.
PMID- 27207663
TI - MiR-374a suppresses lung adenocarcinoma cell proliferation and invasion by
targeting TGFA gene expression.
AB - Aberrant expression of miR-374a has been reported in several types of human
cancers, including lung cancer. However, the functional significance and
molecular mechanisms underlying the role of miR-374a in lung cancer remain
largely unknown. We found that the expression of miR-374a was significantly
downregulated in lung adenocarcinoma tissues compared to adjacent normal lung
tissues in samples included in The Cancer Genome Atlas. Functional studies
revealed that overexpression of miR-374a led to inhibition of lung adenocarcinoma
cell proliferation, migration and invasion and that miR-374a negatively regulated
transforming growth factor-alpha (TGFA) gene expression by directly targeting the
3'-UTR of TGFA mRNA. Treating lung adenocarcinoma cells with TGF-alpha
neutralizing antibody resulted in suppression of cell proliferation and invasion,
which mimicked the action of miR-374a. Additionally, TGFA gene expression was
significantly higher in tumor tissues compared to adjacent normal tissue and high
TGFA gene expression strongly correlated with poor survival in patients with lung
adenocarcinoma. Taken together, our studies suggest that miR-374a suppresses lung
adenocarcinoma cell proliferation and invasion via targeting TGFA gene
expression. Our findings may provide novel treatment strategies for lung
adenocarcinoma patients.
PMID- 27207664
TI - Sulfotransferase-1A1-dependent bioactivation of aristolochic acid I and N
hydroxyaristolactam I in human cells.
AB - Aristolochic acids (AA) are implicated in the development of chronic renal
disease and upper urinary tract carcinoma in humans. Using in vitro approaches,
we demonstrated that N-hydroxyaristolactams, metabolites derived from partial
nitroreduction of AA, require sulfotransferase (SULT)-catalyzed conjugation with
a sulfonyl group to form aristolactam-DNA adducts. Following up on this
observation, bioactivation of AA-I and N-hydroxyaristolactam I (AL-I-NOH) was
studied in human kidney (HK-2) and skin fibroblast (GM00637) cell lines.
Pentachlorophenol, a known SULT inhibitor, significantly reduced cell death and
aristolactam-DNA adduct levels in HK-2 cells following exposure to AA-I and AL-I
NOH, suggesting a role for Phase II metabolism in AA activation. A gene
knockdown, siRNA approach was employed to establish the involvement of selected
SULTs and nitroreductases in AA-I bioactivation. Silencing of SULT1A1 and PAPSS2
led to a significant decrease in aristolactam-DNA levels in both cell lines
following exposure to AA-I, indicating the critical role for sulfonation in the
activation of AA-I in vivo Since HK-2 cells proved relatively resistant to
knockdown with siRNAs, gene silencing of xanthine oxidoreductase, cytochrome P450
oxidoreductase and NADPH:quinone oxidoreductase was conducted in GM00637 cells,
showing a significant increase, decrease and no effect on aristolactam-DNA
levels, respectively. In GM00637 cells exposed to AL-I-NOH, suppressing the SULT
pathway led to a significant decrease in aristolactam-DNA formation, mirroring
data obtained for AA-I. We conclude from these studies that SULT1A1 is involved
in the bioactivation of AA-I through the sulfonation of AL-I-NOH, contributing
significantly to the toxicities of AA observed in vivo.
PMID- 27207665
TI - Comparison of hematological alterations and markers of B-cell activation in
workers exposed to benzene, formaldehyde and trichloroethylene.
AB - Benzene, formaldehyde (FA) and trichloroethylene (TCE) are ubiquitous chemicals
in workplaces and the general environment. Benzene is an established myeloid
leukemogen and probable lymphomagen. FA is classified as a myeloid leukemogen but
has not been associated with non-Hodgkin lymphoma (NHL), whereas TCE has been
associated with NHL but not myeloid leukemia. Epidemiologic associations between
FA and myeloid leukemia, and between benzene, TCE and NHL are, however, still
debated. Previously, we showed that these chemicals are associated with
hematotoxicity in cross-sectional studies of factory workers in China, which
included extensive personal monitoring and biological sample collection. Here, we
compare and contrast patterns of hematotoxicity, monosomy 7 in myeloid progenitor
cells (MPCs), and B-cell activation biomarkers across these studies to further
evaluate possible mechanisms of action and consistency of effects with observed
hematologic cancer risks. Workers exposed to benzene or FA, but not TCE, showed
declines in cell types derived from MPCs, including granulocytes and platelets.
Alterations in lymphoid cell types, including B cells and CD4+ T cells, and B
cell activation markers were apparent in workers exposed to benzene or TCE. Given
that alterations in myeloid and lymphoid cell types are associated with
hematological malignancies, our data provide biologic insight into the
epidemiological evidence linking benzene and FA exposure with myeloid leukemia
risk, and TCE and benzene exposure with NHL risk.
PMID- 27207666
TI - Dose validation of PhIP hair level as a biomarker of heterocyclic aromatic amines
exposure: a feeding study.
AB - Hair measurement of 2-amino-1-methyl-6-phenylimidazo[4,5-b]pyridine (PhIP) is a
promising biomarker of exposure to this carcinogen formed in cooked meats.
However, the dose relationship between normal range intake and hair levels and
the modulating effects of CYP1A2 metabolism and hair melanin need to be
evaluated. We conducted a randomized, cross-over feeding study among 41 non
smokers using ground beef cooked to two different levels of doneness, 5 days a
week for 1 month. PhIP was measured by liquid chromatography/mass spectrometry in
food (mean low dose = 0.72 ug/serving; mean high dose = 2.99 ug/serving), and
change in PhIP hair level was evaluated. CYP1A2 activity was assessed in urine
with the caffeine challenge test and head hair melanin was estimated by UV
spectrophotometry. We observed a strong dose-dependent increase in hair PhIP
levels. This increase was highly correlated with dose received (rho = 0.68, P <
0.0001). CYP1A2 activity and normalizing for hair melanin did not modify the
response to the intervention. Consumption of PhIP at doses similar to those in
the American diet results in a marked dose-dependent accumulation of PhIP in
hair. Hair PhIP levels may be used as a biomarker of dietary exposure in studies
investigating disease risk.
PMID- 27207667
TI - Breast cancer cells compete with hematopoietic stem and progenitor cells for
intercellular adhesion molecule 1-mediated binding to the bone marrow
microenvironment.
AB - Adhesion-based cellular interactions involved in breast cancer metastasis to the
bone marrow remain elusive. We identified that breast cancer cells directly
compete with hematopoietic stem and progenitor cells (HSPCs) for retention in the
bone marrow microenvironment. To this end, we established two models of
competitive cell adhesion-simultaneous and sequential-to study a potential
competition for homing to the niche and displacement of the endogenous HSPCs upon
invasion by tumor cells. In both models, breast cancer cells but not non
tumorigenic cells competitively reduced adhesion of HSPCs to bone marrow-derived
mesenchymal stromal cells (MSCs) in a tumor cell number-dependent manner. Higher
adhesive force between breast cancer cells and MSCs, as compared with HSPCs,
assessed by quantitative atomic force microscopy-based single-cell force
spectroscopy could partially account for tumor cell mediated reduction in HSPC
adhesion to MSCs. Genetic inactivation and blockade studies revealed that
homophilic interactions between intercellular adhesion molecule 1 (ICAM-1)
expressed on tumor cells and MSCs, respectively, regulate the competition between
tumor cells and HSPCs for binding to MSCs. Moreover, tumor cell-secreted soluble
ICAM-1(sICAM-1) also impaired HSPC adhesion via blocking CD18-ICAM-1 binding
between HSPCs and MSCs. Xenotransplantation studies in NOD.Cg-Prkdc(scid)
Il2rg(tm1Wjl)/SzJ mice revealed reduction of human HSPCs in the bone marrow via
metastatic breast cancer cells. These findings point to a direct competitive
interaction between disseminated breast cancer cells and HSPCs within the bone
marrow micro environment. This interaction might also have implications on niche
based tumor support. Therefore, targeting this cross talk may represent a novel
therapeutic strategy.
PMID- 27207668
TI - betaIII-Tubulin alters glucose metabolism and stress response signaling to
promote cell survival and proliferation in glucose-starved non-small cell lung
cancer cells.
AB - Non-small cell lung cancer (NSCLC) survival rates are dismal and high betaIII
tubulin expression is associated with chemotherapy drug resistance and tumor
aggressiveness in this disease. Mounting evidence supports a role for betaIII
tubulin in promoting cell survival in the harsh tumor microenvironment, which is
characterized by poor nutrient supply. This study aimed to investigate the role
of betaIII-tubulin in glucose stress response signaling and the survival and
proliferation of NSCLC cells. This study revealed that betaIII-tubulin regulates
cellular metabolism and glucose stress response signaling in NSCLC cells to
promote cell survival and proliferation in glucose starvation. betaIII-Tubulin
decreases the reliance of cells on glycolytic metabolism, priming them to cope
with variable nutrient supply present within the tumor microenvironment. betaIII
Tubulin protects cells from endoplasmic reticulum (ER) stress and reduces both
basal and glucose starvation-induced autophagy to maintain cell survival and
proliferation. betaIII-Tubulin enables rapid Akt activation in response to
glucose starvation and co-immunoprecipitates with the master regulator of the ER
stress response GRP78. Furthermore, suppression of betaIII-tubulin delays the
association of GRP78 with Akt in response to glucose starvation with the
potential to influence Akt activation and ER homeostasis under these conditions.
Together these results identify that betaIII-tubulin regulates glucose metabolism
and alters glucose starvation stress signaling to promote cell proliferation and
survival in NSCLC cells. This elucidates a hitherto unknown role for this
microtubule protein and provides insight into correlations between high betaIII
tubulin expression and poor patient outcome in this disease.
PMID- 27207669
TI - Liver carcinogenesis: from naughty chemicals to soothing fat and the surprising
role of NRF2.
AB - The liver is a key metabolic organ that is essential for production of blood
proteins, lipid and sugar metabolism and detoxification of naturally occurring
and foreign harmful chemicals. To maintain its mass and many essential functions,
the liver possesses remarkable regenerative capacity, but the latter also renders
it highly susceptible to carcinogenesis. In fact, liver cancer often develops in
the context of chronic liver injury. Currently, primary liver cancer is the
second leading cause of cancer-related deaths, and as the rates of other cancers
have been declining, the incidence of liver cancer continues to rise with an
alarming rate. Although much remains to be accomplished in regards to liver
cancer therapy, we have learned a great deal about the molecular etiology of the
most common form of primary liver cancer, hepatocellular carcinoma (HCC). Much of
this knowledge has been obtained from studies of mouse models, using either toxic
chemicals, a combination of fatty foods and endoplasmic reticulum stress or
chronic activation of specific metabolic pathways. Surprisingly, NRF2, a
transcription factor that was initially thought to protect the liver from
oxidative stress, was found to play a key role in promoting HCC pathogenesis.
PMID- 27207670
TI - The epigenetic effects of aspirin: the modification of histone H3 lysine 27
acetylation in the prevention of colon carcinogenesis in azoxymethane- and
dextran sulfate sodium-treated CF-1 mice.
AB - Colorectal cancer (CRC) is the third most common cancer worldwide. Chronic
inflammation appears to enhance the risk of CRC. Emerging evidence has suggested
that epigenetic mechanisms play an important role in CRC. Aspirin
[acetylsalicylic acid (ASA)] has been shown to prevent CRC; however, the
epigenetic mechanisms of its action remain unknown. This study investigated the
protective role of ASA in azoxymethane (AOM)-initiated and dextran sulfate sodium
(DSS)-promoted colitis-associated colon cancer (CAC) and examined the epigenetic
effects, particularly on histone 3 lysine 27 acetylation (H3K27ac), underlying
the preventive effect of ASA. CF-1 mice were fed with AIN-93M diet with or
without 0.02% ASA from 1 week prior to AOM initiation until the mice were killed
20 weeks after AOM injection. Our results showed that AOM/DSS + ASA significantly
suppressed inflammatory colitis symptoms and tumor multiplicity. AOM/DSS + ASA
reduced AOM/DSS-induced protein expression and the activity of histone
deacetylases (HDACs) and globally restored H3K27ac. Furthermore, AOM/DSS + ASA
inhibited AOM/DSS-induced enrichment of H3K27ac in the promoters of inducible
nitric oxide synthase (iNOS), tumor necrosis factor alpha (TNF-alpha) and
interleukin 6 (IL-6) that corresponded to the dramatic suppression of the
messenger RNA (mRNA) and protein levels. Surprisingly, no significant changes in
the H3K27ac abundance in the prostaglandin-endoperoxide synthase 2 (Cox-2)
promoters or in the Cox-2 mRNA and protein expression were observed.
Collectively, our results suggest that a potential novel epigenetic mechanism
underlies the chemopreventive effects of ASA, and this mechanism attenuates CAC
in AOM/DSS-induced CF-1 mice via the inhibition of HDACs and the modification of
H3K27ac marks that suppress iNOS, TNF-alpha and IL-6.
PMID- 27207672
TI - Competitive advantage and higher fitness in native populations of genetically
structured planktonic diatoms.
AB - It has been shown that the planktonic diatom Skeletonema from neighbouring areas
are genetically differentiated despite absence of physical dispersal barriers. We
revisited two sites, Mariager Fjord and Kattegat, NE Atlantic, and isolated new
strains. Microsatellite genotyping and F-statistics revealed that the populations
were genetically differentiated. An experiment was designed to investigate if
populations are locally adapted and have a native competitive advantage. Ten
strains from each location were grown individually in native and foreign water to
investigate differences in produced biomass. Additionally, we mixed six pairs,
one strain from each site, and let them grow together in native and foreign
water. Strains from Mariager Fjord and Kattegat produced higher biomass in native
water. In the competition experiment, strains from both sites displayed higher
relative abundance and demonstrated competitive advantage in their native water.
The cause of the differentiated growth is unknown, but could possibly be
attributed to differences in silica concentration or viruses in the two water
types. Our data show that dispersal potential does not influence the genetic
structure of the populations. We conclude that genetic adaptation has not been
overruled by gene flow, but instead the responses to different selection
conditions are enforcing the observed genetic structure.
PMID- 27207671
TI - Hsp70 exerts oncogenic activity in the Apc mutant Min mouse model.
AB - Colorectal cancer (CRC) develops from colonic epithelial cells that lose
expression of key tumor suppressor genes and/or gain expression of
proproliferative and antiapoptotic genes like heat shock protein 70 (Hsp70). Heat
shock protein 70 is overexpressed in CRC, but it is not known whether this is in
response to the proteotoxic stress induced by transformation, or if it
contributes to the process of transformation itself. Here, using the Apc (Min/+)
mouse model of CRC, we show that Hsp70 regulates mitogenic signaling in
intestinal epithelial cells through stabilization of proteins involved in the
receptor tyrosine kinase (RTK) and WNT signaling pathways. Loss of Hsp70 reduced
tumor size with decreased proliferation and increased tumor cell death. Hsp70
loss also led to decreased expression of ErbB2, Akt, ERK and beta-catenin along
with decreased beta-catenin transcriptional activity as measured by c-myc and
axin2 expression. Upregulation of RTK or WNT signals are frequent oncogenic
events in CRC and many other cancers. Thus, in addition to the role of Hsp70 in
cell-survival after transformation, Hsp70 stabilization of beta-catenin, Akt, ERK
and ErbB2 are predicted to contribute to transformation. This has important
implications not only for understanding the pathophysiology of these cancers, but
also for treatment since anti-EGFR antibodies are in clinical use for CRC and
EGFR is a major ErbB2 heterodimeric partner. Targeting Hsp70, therefore, might
provide an alternative or complementary strategy for achieving better outcomes
for CRC and other related cancer types.
PMID- 27207673
TI - Copper-induced modifications in early symbiotic signaling factors of Ensifer
(Sinorhizobium)-Medicago interactions.
AB - Cu is an essential micronutrient required during nitrogen fixation, but above
threshold concentrations it becomes toxic. The present study was aimed at
studying the effect of high Cu concentrations on the early plant-microbe
interactions between Ensifer (Sinorhizobium) meliloti 1021, a symbiotic
diazotrophic bacterium belonging to alpha-Proteobacteria, and its plant host
Medicago truncatula. E. meliloti exhibited pleomorphism with elongated and
branched growth at 100 uM Cu which brought about 50 % reduction in growth. Early
symbiotic signaling factors like exopolysaccharides and lipopolysaccharides
levels and biofilm formation were adversely affected at sublethal levels of Cu.
Cu stress resulted in over-expression of proteins such as GroEL (60 kDa
chaperonin) and WrbA (NAD(P)H dehydrogenase). E. meliloti was unable to show
efficient attachment on the roots of M. truncatula at 3 uM Cu, which corresponds
to 50 % growth inhibitory levels for the plant, indicating that plant root
surface modifications may also contribute to adverse effect of Cu on early plant
microbe interactions during nodulation.
PMID- 27207675
TI - Low-level laser therapy for chronic non-specific low back pain: a meta-analysis
of randomised controlled trials.
AB - OBJECTIVE: The efficacy of low-level laser treatment (LLLT) for chronic back pain
remains controversial due to insufficient trial data. We aimed to conduct an
updated review to determine if LLLT (including laser acupuncture) has specific
benefits in chronic non-specific low back pain (CNLBP). METHODS: Electronic
databases were searched for randomised trials using sham controls and blinded
assessment examining the intervention of LLLT in adults with CNLBP. Primary
outcomes were pain and global assessment of improvement with up to short-term
follow-up. Secondary outcomes were disability, range of back movement, and
adverse effects. A random effects meta-analysis was conducted. Subgroup analyses
were based on laser dose, duration of baseline pain, and whether or not laser
therapy used an acupuncture approach. RESULTS: 15 studies were selected involving
1039 participants. At immediate and short-term follow-up there was significant
pain reduction of up to WMD (weighted mean difference) -1.40 cm (95% CI -1.91 to
0.88 cm) in favour of laser treatment, occurring in trials using at least 3
Joules (J) per point, with baseline pain <30 months and in non-acupuncture LLLT
trials. Global assessment showed a risk ratio of 2.16 (95% CI 1.61 to 2.90) in
favour of laser treatment in the same groups only at immediate follow-up.
CONCLUSIONS: We demonstrated moderate quality of evidence (GRADE) to support a
clinically important benefit in LLLT for CNLBP in the short term, which was only
seen following higher laser dose interventions and in participants with a shorter
duration of back pain. Rigorously blinded trials using appropriate laser dosage
would provide greater certainty around this conclusion.
PMID- 27207676
TI - The Prevalence and Regional Variation of Major Depressive Disorder Among Patients
With Peripheral Arterial Disease in the Medicare Population.
AB - BACKGROUND: Current evidence suggests an association between coronary artery
disease and major depressive disorder (MDD). Data to support a similar
association between peripheral arterial disease (PAD) and MDD are more limited.
This study examines the prevalence and regional variation of both PAD and MDD in
a large contemporary patient sample. METHODS: All Medicare claims, part A and B,
from January 2009 until December 2011 were queried using diagnosis codes specific
for a previously validated clinical algorithm for PAD and major depression. Codes
for PAD included those specific to cerebrovascular disease, abdominal aortic
aneurysm, and peripheral vascular disease. Peripheral arterial disease
prevalence, major depression prevalence, and coprevalence rates were determined,
respectively. Regional variation of both conditions was determined using zip code
data to identify potential endemic areas of disease intensity for both diagnoses.
RESULTS: Over the study interval, the percentage of Medicare beneficiaries with a
diagnosis of PAD remained relatively constant (3.0%-3.7%, n = 0.85-1.06 million
in part A and 17.4%-17.5%, n = 4.82-4.93 million in part B), and MDD showed a
similar trend (1.6%-2.7%, n = 0.46-0.79 million in part A and 6.1%-6.7%, n = 1.69
1.90 million in part B). The observed rate of MDD in those with an established
diagnosis of PAD was 5-fold higher than those without PAD in part A claims (1.8
fold in part B claims). Moreover, there was a significant linear geographic
correlation among patients with PAD and MDD (r = .54, P <= .01). CONCLUSIONS:
This study documents a correlation between PAD and MDD and may, therefore,
identify an at-risk population susceptible to inferior clinical outcomes.
Significant regional variation exists in the prevalence of PAD and MDD, though
there appear to be specific endemic regions notable for both disorders.
Accordingly, health-care resource allocation toward endemic regions may help
improve population health among this at-risk cohort.
PMID- 27207674
TI - The Effect of Myricetin on Pharmacokinetics of Atomoxetine and its Metabolite 4
Hydroxyatomoxetine In Vivo and In Vitro.
AB - BACKGROUND AND OBJECTIVES: Atomoxetine is the first non-stimulant drug to be
approved for the treatment of ADHD, while the effect of myricetin on the
pharmacokinetic of atomoxetine in rats or human is still unknown. The present
work was to study the impact of myricetin on the metabolism of atomoxetine both
in vivo and in vitro. METHODS: Twenty healthy male Sprague-Dawley rats were
randomly divided into four groups: A (control group), B (100 mg/kg myricetin), C
(50 mg/kg myricetin), and D (25 mg/kg myricetin). A single dose of atomoxetine
(10 mg/kg) was administrated half an hour later. In addition, human and rat liver
microsomes were performed to determine the effect of myricetin on the metabolism
of atomoxetine in vitro. RESULTS: Group B, C, D all increased the C max and AUC
of atomoxetine, but decreased the C max and AUC of 4-hydroxyatomoxetine.
Moreover, myricetin showed inhibitory effect on human and rat microsomes, the
IC50 of myricetin was 8.651 and 35.45 umol/L, respectively. CONCLUSIONS: Our
study showed that myricetin could significantly inhibit the formation of
atomoxetine metabolite both in vivo and in vitro. It is recommended that the
effect of myricetin on the metabolism of atomoxetine should be noted and
atomoxetine plasma concentration should be monitored.
PMID- 27207677
TI - The Endovascular Preprocedural Run Through and Brief: A Simple Intervention to
Reduce Radiation Dose and Contrast Load in Endovascular Aneurysm Repair.
AB - OBJECTIVES: To assess the impact of a quality assured planning and sizing process
and the endovascular team briefing (preprocedure run through and brief - PRTB) on
the delivery of endovascular aneurysm repair (EVAR), in Edinburgh. DESIGN:
Prospective observational study, comparing parameters before and after the
intervention. MATERIALS: Prospectively collected database recording infrarenal
aneurysms treated with EVAR performed from January 2007 to April 2014 at our
institution. The total screening time, iodinated contrast volume used, radiation
dose, endovascular training opportunities, and hospital length of stay were
recorded. METHODS: A comparison before (January 2007 to November 2011) and after
(December 2011 to April 2014) the introduction of the PRTB was made for each of
these variables. Multiple linear regression analysis was performed to account for
the learning effect. RESULTS: In this study, 61 EVAR cases were performed prior
to and 44 EVAR cases after the introduction of the PRTB. Univariate Mann-Whitney
tests suggested a significant difference between before PRTB introduction and
after PRTB introduction on all outcome variables except procedure time. Multiple
linear regression analysis results showed a statistically significant improvement
in outcomes after the change point for all outcomes except for radiation dose.
Endovascular training opportunities were realized in 12/61 (20%) before compared
to 42/44 cases (95%) after PRTB introduction. CONCLUSIONS: By introducing
rigorous quality assurance and utilizing the principles of crew resource
management to the EVAR process, it is possible to reduce screening times,
contrast use, hospital length of stay, and improve endovascular training
opportunities.
PMID- 27207678
TI - Retrograde Approach Using Surgical Cutdown Technique for Limb Salvage in a Case
of Critical Limb Ischemia With Severely Calcified Tibial Occlusive Disease.
AB - We here report a successful angioplasty for tibial artery occlusion using direct
tibial puncture and subsequent retrograde approach under surgical cutdown
technique. An 82-year-old man with ulcer/gangrene in first and second digits was
referred to our hospital for endovascular therapy (EVT) of lower extremity
ischemia. Diagnostic angiogram revealed anterior tibial artery (ATA) occlusion
with severe calcification. Subintimal angioplasty was attempted using a 0.014
inch hydrophilic guidewire but was unsuccessful. A retrograde approach was
subsequently attempted for ATA recanalization. However, because of severe
calcification of dorsal pedis artery (DPA), percutaneous distal puncture was also
unsuccessful. Direct puncture under surgical cutdown technique for DPA was
subsequently performed and was successful. A 0.014-inch hydrophilic wire was
advanced in retrograde fashion across the ATA occlusion and was used to access
the microcatheter positioned at the proximal ATA via antegrade approach.
Angioplasty of the ATA occlusion was performed using a 2.5-/3.0-mm tapered
balloon. Completion angiogram revealed restoration of flow without dissection.
Skin perfusion pressure was dramatically improved. Complete wound healing was
achieved 5 months after EVT.
PMID- 27207681
TI - Noxious mechanical heterotopic stimulation induces inhibition of the spinal
dorsal horn neuronal network: analysis of spinal somatosensory-evoked potentials.
AB - Most of the endogenous pain modulation (EPM) involves the spinal dorsal horn
(SDH). EPM including diffuse noxious inhibitory controls have been extensively
described in oligoneuronal electrophysiological recordings but less attention had
been paid to responses of the SDH neuronal population to heterotopic noxious
stimulation (HNS). Spinal somatosensory-evoked potentials (SEP) offer the
possibility to evaluate the neuronal network behavior, reflecting the incoming
afferent volleys along the entry root, SDH interneuron activities and the primary
afferent depolarization. SEP from de lumbar cord dorsum were evaluated during
mechanical heterotopic noxious stimuli. Sprague-Dawley rats (n = 12) were
Laminectomized (T10-L3). The sural nerve of the left hind paw was electrically
stimulated (5 mA, 0.5 ms, 0.05 Hz) to induce lumbar SEP. The HNS (mechanic clamp)
was applied sequentially to the tail, right hind paw, right forepaw, muzzle and
left forepaw during sural stimulation. N wave amplitude decreases (-16.6 %)
compared to control conditions when HNS was applied to all areas of stimulation.
This effect was more intense for muzzle stimulation (-23.5 %). N wave duration
also decreased by -23.6 %. HNS did not change neither the amplitude nor the
duration of the P wave but dramatically increases the dispersion of these two
parameters. The results of the present study strongly suggest that a HNS applied
to different parts of the body is able to reduce the integrated electrical
response of the SDH, suggesting that not only wide dynamic range neurons but many
others in the SDH are modulated by the EPM.
PMID- 27207679
TI - The Rao's Brief Repeatable Battery in the study of cognition in different
multiple sclerosis phenotypes: application of normative data in a Serbian
population.
AB - Cognitive impairment is prevalent in multiple sclerosis (MS) occurring in 43-72 %
of patients with all MS phenotypes. The aim of our study was to assess cognitive
performance in different MS subtypes in Serbian population. Rao's Brief
Repeatable Battery of neuropsychological tests (BRB-N) was administered to 168 MS
patients [37 patients with clinically isolated syndrome (CIS) suggestive of MS,
65 with relapsing-remitting MS (RRMS), 31 with secondary progressive MS (SPMS)
and 35 patients with primary progressive MS (PPMS)]. The percentage of
cognitively impaired patients in our total MS cohort was 58.9 %. Prevalence of
cognitive dysfunction was 40.5 % in CIS group, 36.9 % in RRMS, 96.8 % in SPMS,
and 85.7 % in PPMS group. Patients in CIS and RRMS groups performed consistently
better all tests of the Rao's battery than patients in SPMS and PPMS cohort. CIS
and RRMS groups performed consistently better in all tests of the Rao's battery
than SPMS and PPMS cohort. Additionally, difference in the performance of any of
the BRB-N tests was not found between CIS and RRMS. However, there was a
significant difference between SPMS and PPMS patients in the performance on five
tests of Rao's battery. Statistical significance (p < 0.05) in favor of PPMS
patients was demonstrated for the following tasks: SRT_lts, SRT_cltr, SDMT,
SRT_D, SPART_D. Our study demonstrates that cognitive impairment is frequent in
all MS phenotypes. Furthermore, we have found that cognitive deficit is most
severe and most frequent in SPMS patients, followed by PPMS subjects and then CIS
and RRMS patients.
PMID- 27207680
TI - Understanding walking activity in multiple sclerosis: step count, walking
intensity and uninterrupted walking activity duration related to degree of
disability.
AB - In multiple sclerosis (MS), physical activity (PA) is most commonly measured as
number of steps, while also walking intensity and walking activity duration are
keys for a healthy lifestyle. The aim of this study was to investigate (1) the
number of steps persons with MS (PwMS) take; (2) the number of steps they take at
low and moderate intensity; and (3) their walking activity duration for 2, 3, 6,
10, 12 and 14 uninterrupted minutes; all related to the degree of disability. 64
PwMS participated, distinguished in a mild (n = 31) and moderate MS subgroup (n =
34) based on their ambulatory dysfunction (Disease Steps). Standardized clinical
tests were performed, and step data from the StepWatch Activity Monitor were
collected for seven consecutive days. The results showed that (1) step count in
PwMS was lower than PA recommendations, and is negatively influenced by a higher
disability degree. (2) No walking was registered during 77 % of the day. PwMS are
making steps for 22 % at low and only 1 % at moderate intensity. (3) Both MS
subgroups rarely walk for more than six uninterrupted minutes, especially not at
moderate intensity. PwMS need to be encouraged to make steps at moderate
intensity, and to make steps for longer periods of time (minimal ten
uninterrupted minutes).
PMID- 27207682
TI - Scolopendin 2 leads to cellular stress response in Candida albicans.
AB - Centipedes, a kind of arthropod, have been reported to produce antimicrobial
peptides as part of an innate immune response. Scolopendin 2 (AGLQFPVGRIGRLLRK)
is a novel antimicrobial peptide derived from the body of the centipede
Scolopendra subspinipes mutilans by using RNA sequencing. To investigate the
intracellular responses induced by scolopendin 2, reactive oxygen species (ROS)
and glutathione accumulation and lipid peroxidation were monitored over sublethal
and lethal doses. Intracellular ROS and antioxidant molecule levels were elevated
and lipids were peroxidized at sublethal concentrations. Moreover, the Ca(2+)
released from the endoplasmic reticulum accumulated in the cytosol and
mitochondria. These stress responses were considered to be associated with yeast
apoptosis. Candida albicans cells exposed to scolopendin 2 were identified using
diagnostic markers of apoptotic response. Various responses such as
phosphatidylserine externalization, chromatin condensation, and nuclear
fragmentation were exhibited. Scolopendin 2 disrupted the mitochondrial membrane
potential and activated metacaspase, which was mediated by cytochrome c release.
In conclusion, treatment of C. albicans with scolopendin 2 induced the apoptotic
response at sublethal doses, which in turn led to mitochondrial dysfunction,
metacaspase activation, and cell death. The cationic antimicrobial peptide
scolopendin 2 from the centipede is a potential antifungal peptide, triggering
the apoptotic response.
PMID- 27207683
TI - Report on Ten Years' Experience of Premarital Hemoglobinopathy Screening at a
Center in Antalya, Southern Turkey.
AB - Thalassemia and hemoglobinopathies are a major public health problem in Turkey.
Hemoglobinopathy prevention programs (HPPs) were started in 33 provinces situated
in Thrace, Marmara, Aegean, Mediterranean and South Eastern regions of Turkey in
2003. A premarital hemoglobinopathy test is mandatory and free of charge in this
program. According to the Ministry of Health reports, 46 first level
hemoglobinopathy diagnostic centers were established for premarital tests. Within
the last 10 years, approximately 79.0% of married individuals per year were
screened by the centers. While the percentage of premarital screening tests was
30.0% of all couples in 2003, it reached 86.0% in 2013. The number of newborn
with thalassemia and hemoglobinopathies were 272 in 2002 and dropped to 25 in
2013. There has been a 90.0% reduction in affected births. Our hemoglobinopathy
diagnostic center was established in 2003 and licensed by the Ministry of Health
in 2004. We studied a total of 89,981 blood samples from premarital tests for 10
years and the incidence of beta- and alpha-thalassemia (beta- and alpha-thal)
trait was found to be 6.57 and 3.56%, respectively. The distribution of the most
common abnormal hemoglobins (Hbs) was: Hb S (HBB: c.20A > T) (0.31%), Hb D-Los
Angeles (HBB: c.364G > C) (0.15%), Hb G-Coushatta (HBB: c.68A > C) (0.06%) and Hb
E (HBB: c.79G > A) (0.02%). A total of 60 couples, both carrying beta-thal trait,
were directed to the prenatal diagnosis (PND) center in 10 years. The premarital
hemoglobinopathy screening program is running successfully at our center and
other centers in Turkey.
PMID- 27207684
TI - Nasal Tumorigenesis in B6C3F1 Mice Following Intraperitoneal Diethylnitrosamine.
AB - Diethylnitrosamine (DEN) is a chemical broadly used in animal models as a
hepatocarcinogen, reported to also cause pulmonary neoplasms in mice. The
original objective was to evaluate the impact of a Western diet with or without
10% broccoli on DEN-induced on liver cancer. We administered DEN (45 mg/kg)
intraperitoneally to young adult male B6C3F1 mice by 6 weekly injections and
evaluated liver cancer 6 months after the DEN treatments. Here, we report
unexpected primary tumorigenesis in nasal epithelium, independent of dietary
treatment. More than 50% of DEN-treated B6C3F1 mice developed nasal neoplasm
related lesions, not reported previously in the literature. Only one of these
neoplasms was visible externally prior to postmortem examination. Intraperitoneal
DEN treatment used as a model for liver cancer can have a carcinogenic effect on
the nasal epithelium in B6C3F1 mice, which should be carefully monitored in
future liver cancer studies.
PMID- 27207685
TI - Towards a phronetic space for responsible research (and innovation).
AB - The term Responsible Research and Innovation has recently gained currency, as it
has been designated to be a key-term in the European research framework Horizon
2020. At the level of European research policy, Responsible Research and
Innovation can be viewed as an attempt to reach a broader vision of research and
innovation as a public good. The current academic debate may be fairly enriched
by considering the role that phronesis may have for RRI. Specifically, in this
paper we argue that the current debate might be fruitfully enriched by making a
categorial shift. Such a categorial shift involves moving away from the
temptation to interpret responsible research and innovation in a technocratic way
towards a more pluralistic vision that is rooted in the idea of phronesis. In the
present context phronesis points the attention to the cultivation and nurturement
of the researcher's formation as a type of engagement with the actual practice of
researching, a practice in which researchers (and other parties concerned) are
called to apply judgment and exercise discretion in specific and often unique
situations without the re-assuring viewpoint of the technician.
PMID- 27207686
TI - Student-Athletes' Views on APOE Genotyping for Increased Risk of Poor Recovery
after a Traumatic Brain Injury.
AB - Use of apolipoprotein E genotyping to personalize the risk of a poor recovery
after traumatic brain injury is complicated by the potential for genetic
discrimination and the potential to reveal an increased risk for late onset
Alzheimer's disease. We developed a survey to gauge interest in testing among
athletes participating in National Collegiate Athletic Association programs.
Eight hundred and forty seven student-athletes were surveyed to determine their
interest in genetic testing, their willingness to share the results of testing
with parents, coaches and physicians, their concerns about privacy and/or
discrimination, and their interest in genetic counseling. Nearly three quarters
of respondents expressed some level of interest in testing, with the largest
number describing themselves as 'possibly interested' (54.9 %, n = 463) and a
smaller number describing themselves as 'very interested' (18.9 %, n = 159). Most
student-athletes said that receiving secondary information about their risk for
late-onset Alzheimer's disease made them more likely to test (50.6 %, n = 426)
rather than less likely to test (12.4 %, n = 104). Student-athletes were open to
apolipoprotein E genotyping and willing to share test results with their parents,
coaches and physicians. They did not anticipate that test results would impact
their behavior or ability to play. Testing programs may be welcome but should
provide clear information as to risks and benefits.
PMID- 27207687
TI - Balancing Genetics (Science) and Counseling (Art) in Prenatal Chromosomal
Microarray Testing.
AB - Genetic counselors frequently are called upon to assist patients in understanding
the implications of prenatal testing information for their pregnancies and their
family's lives. The introduction of highly sensitive testing such as chromosomal
microarray has generated additional kinds of uncertainty into the prenatal
period. Counselors may feel uncomfortable or inadequately prepared to engage in
discussions with prospective parents who are faced with making critical, and
timely, decisions about a pregnancy based on uncertain information. As highly
sensitive prenatal testing becomes routine in prenatal care, counselors may be in
search of approaches to prenatal counseling, as well as specific skills to
approach, engage with, and help families find resolution in such challenging
circumstances. To assist genetic counselors, we describe practice skills and
provide language for approaching conversations with prospective parents. When
clinicians regularly provide care to patients and families making life-altering
decisions under conditions of significant uncertainty, discomfort is common and
compassion fatigue is likely. We make recommendations directly to the genetic
counselor working in reproductive and perinatal settings to enhance training and
self-care and to decrease discomfort in balancing the scientific- and art-
demands of genetic counseling.
PMID- 27207688
TI - Enhanced Detection and Sizing of the HTT CAG Repeat Expansion in Huntington
Disease Using an Improved Triplet-Primed PCR Assay.
AB - BACKGROUND: Accurate determination of the CAG repeat number is crucial for
diagnostic and predictive testing for Huntington disease (HD). Current PCR-based
assays can accurately size up to ~110 HTT CAG repeats. OBJECTIVE: To develop an
improved assay capable of detecting larger CAG repeat expansions. METHODS: A
triplet-primed PCR (TP-PCR) assay was optimized and validated on 14 HD reference
DNAs, including a sample carrying a large expansion of ~180 CAG repeats. RESULTS:
All 14 HD reference samples showed 100% concordance with the previously verified
allele sizes. For alleles under 45 CAGs, identical repeat sizes were obtained,
while alleles larger than 46 CAGs were sized to within +/-1 CAG. The improved TP
PCR assay successfully detected the ~180 CAG repeat allele in an affected sample.
CONCLUSION: This method extends the detection limit of large expanded alleles to
at least ~175-180 CAG repeats, thus reducing the likelihood of requiring Southern
blot analysis for any HD-affected sample.
PMID- 27207690
TI - Erratum to: Dual antiplatelet therapy with or without oral anticoagulation in the
postdischarge management of acute coronary syndrome patients with an indication
for long term anticoagulation: a systematic review.
PMID- 27207689
TI - Bial trial disaster.
PMID- 27207692
TI - [Editorial of the special issue on food allergies and other food intolerances].
PMID- 27207691
TI - Safety and efficacy of non-vitamin K oral anticoagulant treatment compared with
warfarin in patients with non-valvular atrial fibrillation who develop acute
ischemic stroke or transient ischemic attack: a multicenter prospective cohort
study (daVinci study).
AB - The safety and efficacy of non-vitamin K oral anticoagulant (NOAC) compared with
warfarin in treating patients with non-valvular atrial fibrillation (NVAF) who
developed acute ischemic stroke or transient ischemic attack (AIS/TIA),
particularly those receiving tissue-plasminogen activator (tPA) therapy, remains
unclear. Between April 2012 and December 2014, we conducted a multicenter
prospective cohort study to assess the current clinical practice for treating
such patients. We divided the patients into two groups according to the
administration of oral anticoagulants (warfarin or NOACs) and tPA therapy. The
risk of any hemorrhagic or ischemic event was compared within 1 month after the
onset of stroke. We analyzed 235 patients with AIS/TIA including 73 who received
tPA therapy. Oral anticoagulants were initiated within 2-4 inpatient days. NOACs
were administered to 49.8 % of patients, who were predominantly male, younger,
had small infarcts, lower NIHSS scores, and had a lower all-cause mortality rate
(0 vs. 4.2 %, P = 0.06) and a lower risk of any ischemic events (6.0 vs. 7.6 %, P
= 0.797) compared with warfarin users. The prevalence of all hemorrhagic events
was equivalent between the two groups. Early initiation of NOACs after tPA
therapy appeared to lower the risk of hemorrhagic events, although there was no
significant difference (0 vs. 5.6 %, P = 0.240). Although more clinicians are apt
to prescribe NOACs in minor ischemic stroke, NOAC treatment may provide a
potential benefit in such cases. Early initiation of NOACs after tPA therapy may
reduce the risk of hemorrhagic events compared with warfarin.
PMID- 27207693
TI - [Food allergy in childhood].
AB - IgE-mediated immediate type reactions are the most common form of food allergy in
childhood. Primary (often in early childhood) and secondary (often pollen
associated) allergies can be distinguished by their level of severity. Hen's egg,
cow's milk and peanut are the most common elicitors of primary food allergy.
Tolerance development in hen's egg and cow's milk allergy happens frequently
whereas peanut allergy tends toward a lifelong disease. For the diagnostic
patient history, detection of sensitization and (in many cases) oral food
challenges are necessary. Especially in peanut and hazelnut allergy component
resolves diagnostic (measurement of specific IgE to individual allergens, e. g.
Ara h 2) seem to be helpful. In regard to therapy elimination diet is still the
only approved approach. Patient education through dieticians is extremely helpful
in this regard. Patients at risk for anaphylactic reactions need to carry
emergency medications including an adrenaline auto-injector. Instruction on the
usage of the adrenaline auto-injector should take place and a written management
plan handed to the patient. Moreover, patients or caregivers should be encouraged
to attending a structured educational intervention on knowledge and emergency
management. In parallel, causal therapeutic options such as oral, sublingual or
epicutaneous immunotherapies are currently under development. In regard to
prevention of food allergy current guidelines no longer advise to avoid highly
allergenic foods. Current intervention studies are investigating wether early
introduction of highly allergic foods is effective and safe to prevent food
allergy. It was recently shown that peanut introduction between 4 and 11 months
of age in infants with severe atopic dermatitis and/or hen's egg allergy (if they
are not already peanut allergic) prevents peanut allergy in a country with high
prevalence.
PMID- 27207694
TI - [Food allergy in adulthood].
AB - Food allergies can newly arise in adulthood or persist following a food allergy
occurring in childhood. The prevalence of primary food allergy is basically
higher in children than in adults; however, in the routine practice food
allergies in adulthood appear to be increasing and after all a prevalence in
Germany of 3.7 % has been published. The clinical spectrum of manifestations of
food allergies in adulthood is broad. Allergy symptoms of the immediate type can
be observed as well as symptoms occurring after a delay, such as indigestion,
triggering of hematogenous contact eczema or flares of atopic dermatitis. The
same principles for diagnostics apply in this group as in childhood. In addition
to the anamnesis, skin tests and in vitro tests, as a rule elimination diets and
in particular provocation tests are employed. Molecular allergy diagnostics
represent a major step forward, which allow a better assessment of the risk of
systemic reactions to certain foodstuffs (e.g. peanuts) and detection of cross
reactions in cases of apparently multiple sensitivities. Current German and
European guidelines from 2015 are available for the practical approach to
clarification of food allergies. The most frequent food allergies in adults are
nuts, fruit and vegetables, which can cross-react with pollen as well as wheat,
shellfish and crustaceans. The therapy of allergies involves a consistent
avoidance of the allogen. Detailed dietary plans are available with avoidance
strategies and instructions for suitable food substitutes. A detailed counseling
of affected patients by specially trained personnel is necessary especially in
order to avoid nutritional deficiencies and to enable patients to enjoy a good
quality of life.
PMID- 27207698
TI - A reply to "Glycaemic variability, a new concept of difficult control".
PMID- 27207697
TI - Robotic liver surgery for minor hepatic resections: a comparison with
laparoscopic and open standard procedures.
AB - BACKGROUND: Minimally invasive liver surgery is increasing worldwide. The benefit
of the robot in this scenario is currently controversially discussed. We compared
our robotic cases vs. laparoscopic and open minor hepatic resections and share
the experience. MATERIAL AND METHODS: From 2011 to 2015, ten patients underwent
robotic and 19 patients underwent laparoscopic minor liver resections in the
Department of Surgery, University Hospital Erlangen. These patients were compared
to a case-matched control group of 53 patients. The perioperative prospectively
collected data were analyzed retrospectively. RESULTS: Blood loss was
significantly decreased in the robotic (306 ml) and laparoscopic (356 ml) vs. the
open (903 ml) surgery group (p = 0.001). Mean tumor size was 4.1-4.8 cm in all
groups (p = 0.571). Negative surgical margins were present in 94 % of the open
and 100 % of the laparoscopic and robotic group (p = 0.882). Time for surgery was
enlarged for robotic (321 min) vs. laparoscopic (242 min) and open (186 min)
surgery (p = 0.001). Postoperative hospitalization was decreased after robotic (7
days) and laparoscopic (8 days) vs. open (10 days) surgery (p = 0.004). Total
morbidity was 17 % for open, 16 % for laparoscopic, and 1 % for robotic cases (p
= 0.345). Postoperative pain medication and elevation of liver enzymes were
remarkably lower after minimally invasive vs. open procedures. CONCLUSION:
Minimally invasive liver surgery can be performed safely for minor hepatic
resections and should be considered whenever possible. Minor liver resections can
be performed by standard laparoscopy equivalent to robotic procedures.
Nevertheless, the robot adds a technical upgrade which may have benefits for
challenging cases and major liver surgery.
PMID- 27207699
TI - Glycaemic variability, a new concept of difficult control.
PMID- 27207700
TI - Treatment of advanced thyroid cancer with targeted therapies: ten years of
experience.
AB - Thyroid cancer is rare, but it is the most frequent endocrine malignancy. Its
prognosis is generally favorable, especially in cases of well-differentiated
thyroid cancers (DTCs), such as papillary and follicular cancers, which have
survival rates of approximately 95% at 40 years. However, 15-20% of cases became
radioiodine refractory (RAI-R), and until now, no other treatments have been
effective. The same problems are found in cases of poorly differentiated (PDTC)
and anaplastic (ATC) thyroid cancers and in at least 30% of medullary thyroid
cancer (MTC) cases, which are very aggressive and not sensitive to radioiodine.
Tyrosine kinase inhibitors (TKIs) represent a new approach to the treatment of
advanced cases of RAI-R DTC, MTC, PDTC, and, possibly, ATC. In the past 10 years,
several TKIs have been tested for the treatment of advanced, progressive, and RAI
R thyroid tumors, and some of them have been recently approved for use in
clinical practice: sorafenib and lenvatinib for DTC and PDTC and vandetanib and
cabozantinib for MTC. The objective of this review is to present the current
status of the treatment of advanced thyroid cancer with the use of innovative
targeted therapies by describing both the benefits and the limits of their use
based on the experiences reported so far. A comprehensive analysis and
description of the molecular basis of these therapies, as well as new therapeutic
perspectives, are reported. Some practical suggestions are given for both the
choice of patients to be treated and their management, with particular regard to
the potential side effects.
PMID- 27207701
TI - Dermoscopy of pigmented papillated Bowen disease: A report of two cases.
PMID- 27207716
TI - George Chalmers Hood Terris Ballard.
PMID- 27207717
TI - Injectional severe soft tissue infection.
PMID- 27207719
TI - Association of Palliative Care Consultation With Reducing Inpatient Chemotherapy
Use in Elderly Patients With Cancer in Japan: Analysis Using a Nationwide
Administrative Database.
AB - BACKGROUND: The administration of chemotherapy at the end of life is considered
an aggressive life-prolonging treatment. The use of unnecessarily aggressive
therapy in elderly patients at the end of life is an important health-care
concern. OBJECTIVE: To explore the impact of palliative care consultation (PCC)
on chemotherapy use in geriatric oncology inpatients in Japan by analyzing data
from a national database. METHODS: We conducted a multicenter cohort study of
patients aged >=65 years, registered in the Japan National Administrative
Healthcare Database, who died with advanced (stage >=3) lung, stomach,
colorectal, liver, or breast cancer while hospitalized between April 2010 and
March 2013. The relationship between PCC and chemotherapy use in the last 2 weeks
of life was analyzed using chi2 and logistic regression analyses. RESULTS: We
included 26 012 patients in this analysis. The mean age was 75.74 +/- 6.40 years,
68.1% were men, 81.8% had recurrent cancer, 29.5% had lung cancer, and 29.5% had
stomach cancer. Of these, 3134 (12%) received PCC. Among individuals who received
PCC, chemotherapy was administered to 46 patients (1.5%) and was not administered
to 3088 patients (98.5%). Among those not receiving PCC, chemotherapy was
administered to 909 patients (4%) and was not administered to the remaining 21
978 patients (96%; odds ratio [OR], 0.35; 95% confidence interval, 0.26-0.48).
The OR of chemotherapy use was higher in men, young-old, and patients with
primary cancer. CONCLUSION: Palliative care consultation was associated with less
chemotherapy use in elderly Japanese patients with cancer who died in the
hospital setting.
PMID- 27207720
TI - Tilmicosin toxicity: a case of accidental human tilmicosin injection managed with
calcium, high-dose insulin and intravenous lipid emulsion therapy.
PMID- 27207718
TI - Alcohol consumption increases basal extracellular glutamate in the nucleus
accumbens core of Sprague-Dawley rats without increasing spontaneous glutamate
release.
AB - Glutamate neurotransmission in the nucleus accumbens core (NAc) mediates ethanol
consumption. Previous studies using non-contingent and voluntary alcohol
administration in inbred rodents have reported increased basal extracellular
glutamate levels in the NAc. Here, we assessed basal glutamate levels in the NAc
following intermittent alcohol consumption in male Sprague-Dawley rats that had
access to ethanol for 7 weeks on alternating days. We found increased basal NAc
glutamate at 24 h withdrawal from ethanol and thus sought to identify the source
of this glutamate. To do so, we employed a combination of microdialysis, slice
electrophysiology and western blotting. Reverse dialysis of the voltage-gated
sodium channel blocker tetrodotoxin did not affect glutamate levels in either
group. Electrophysiological recordings in slices made after 24 h withdrawal
revealed a decrease in spontaneous excitatory postsynaptic current (sEPSC)
frequency relative to controls, with no change in sEPSC amplitude. No change in
metabotropic glutamate receptor 2/3 (mGlu2/3) function was detected as bath
application of the mGlu2/3 agonist LY379268 decreased spontaneous and miniature
EPSC frequency in slices from both control and ethanol-consuming rats. The
increase in basal glutamate was not associated with changes in the surface
expression of GLT-1, however, a decrease in slope of the no-net-flux dialysis
function was observed following ethanol consumption, indicating a potential
decrease in glutamate reuptake. Taken together, these findings indicate that the
increase in basal extracellular glutamate occurring after chronic ethanol
consumption is not mediated by an increase in action potential-dependent
glutamate release or a failure of mGlu2/3 autoreceptors to regulate such release.
PMID- 27207721
TI - The state of mHealth development and use by palliative care services in sub
Saharan Africa: a systematic review of the literature.
AB - BACKGROUND: Current coverage of palliative care services in sub-Saharan Africa
(SSA) remains woefully inadequate, but harnessing mHealth could be one approach
to facilitate greater service coverage and engagement with patients with life
limiting progressive disease. AIMS: A systematic literature review to identify
the development and use of mHealth in palliative care services in SSA. METHODS:
13 electronic databases from 1990 to 2015 were searched alongside the manual
searching of journals and citation searching of included article reference lists.
Articles were assessed against inclusion and exclusion criteria and study details
extracted and tabulated by two researchers. Studies were plotted against a
modified WHO mHealth and ICT framework to classify how they are targeting health
system strengthening. RESULTS: Of the 1110 articles identified, 5 met the
inclusion criteria, describing mHealth use in Nigeria, Uganda, Kenya and Malawi.
Descriptive analysis has shown that existing mHealth interventions for palliative
care services in SSA are limited in number and are being developed for use at the
palliative treatment, guidance and coordination stage of care provision. Levels
of detail about the development and structure of interventions are low.
CONCLUSIONS: mHealth interventions for palliative care in SSA are limited. This
is an opportune time to explore how evidence-based mHealth interventions could
form part of the evolving palliative care services in the region.
PMID- 27207722
TI - Complete mitogenome sequencing and phylogenetic analysis of PaLi yak (Bos
grunniens).
AB - PaLi yak is a very important local breed in China; as a year-round grazing
animal, it plays a very important role for the economic and native herdsmen. The
PaLi yak complete mitochondrial DNA is sequenced in this study, the total length
is 16,324 bp, containing 13 protein-coding genes, 22 tRNA genes, 2 rRNA genes and
a non-coding control region (D-loop region). The order and composition are
similar to most of the other vertebrates. The base contents are: 33.72% A, 25.80%
C, 13.21% G and 27.27% T; A + T (60.99%) was higher than G + C (39.01%). The
phylogenetic relationships were analyzed using the complete mitogenome sequence,
results showed that the genetic relationship between yak and cattle is distinct.
These information provides useful data for further study on protection of genetic
resources and the taxonomy of Bovinae.
PMID- 27207723
TI - We Refuse to Give up on Nonmaturing Fistulas.
AB - The nonmaturing arteriovenous fistula (AVF) is a major problem and can lead to
significant patient morbidity and mortality because the patient is exposed to the
risk of dialysis with a central venous catheter (CVC). Access planning should
include a strategy to decrease the incidence of AVF nonmaturation. All newly
created AVFs should be evaluated for maturation at 4-6 weeks. If it is judged to
not be usable at that time, it should be evaluated for salvage. However, if
multiple procedures over a prolonged period of time are necessary for salvage,
the decreasing chances of long-term success with the increasing risks of CVC
based dialysis must be considered. Giving up on the nonmaturing AVF may be in the
best interest of the patient.
PMID- 27207724
TI - Eighteen years of recommendations to prevent industrial chemical incidents:
results and lessons learned of the US Chemical Safety Board.
AB - OBJECTIVES: The US Chemical Safety Board (CSB), a federal agency that
investigates significant chemical incidents and hazards, is interested in
determining the impact of the recommendations resulting from its investigations,
and how to better more effective recommendations to prevent chemical incidents.
STUDY DESIGN: This is a descriptive study of the US Chemical Safety Board's
safety recommendations. METHODS: The CSB coded and analysed its safety
recommendations according to potential impact on reducing incidents,
implementation status, purpose and recipient type. RESULTS: As of March 31, 2015,
the CSB has issued 733 recommendations, 75% (548) of which are closed and 25%
(185) of which remain open. For recommendations categorised as having high,
medium, and low impact, 38% (78), 76% (160), and 78% (245) were implemented,
respectively. CONCLUSIONS: CSB recommendations have led to important and lasting
safety changes through regulations, industry guidance and voluntary consensus
standards, and individual companies; however, coding recommendations by potential
impact do not fully capture the influence of CSB recommendations. While this
methodology serves as a preliminary way to determine the effect of
recommendations, further data are needed to determine the extent to which these
safety changes have reduced the frequency or severity of industrial accidents.
PMID- 27207725
TI - Hepatitis C and risk of coronary atherosclerosis - A systematic review.
AB - BACKGROUND: Observational studies on the association of chronic hepatitis C with
coronary atherosclerosis have shown varying results and previous related reviews
have been inconclusive. By careful outcome classification and further data
syntheses, we aimed to clarify current evidence on the association between
hepatitis C infection and coronary atherosclerosis. METHODS: Through systematic
searches of PubMed and Scopus, related published observational studies were
identified. These were narrowed by review of abstract, full review and quality
assessment to yield eligible studies. These were used in qualitative and
quantitative syntheses. RESULTS: The initial search identified 274 unique
publications, which were narrowed to 15 by means of preliminary reviews, and
narrowed further to 10 by quality assessment. The endpoints assessed varied,
representing different attributes of the disease. The 10 studies were used in the
subsequent meta-analyses. The risk of a person with chronic hepatitis C
developing coronary atherosclerosis is about triple the risk in uninfected
persons (OR = 3.06, 95% CI = 1.99-4.72). Coronary atherosclerosis in persons with
chronic hepatitis C is also more severe. The pooled risk of coronary
atherosclerosis-related events in persons with chronic hepatitis C was null (OR =
1.10 95% CI = 0.80-1.52). CONCLUSION: The current evidence indicates that
hepatitis C virus or factors associated with HCV infection are apparently
associated with increased risk of occurrence of coronary atherosclerosis and
probably, increased severity of coronary atherosclerosis. Evidence of association
with coronary atherosclerosis-related events is yet indeterminate.
PMID- 27207726
TI - Social determinants of inadequate sleep in US children and adolescents.
AB - BACKGROUND AND OBJECTIVES: Despite recognised disparities in child health
outcomes associated with sleep, the majority of research has been based on small,
homogeneous samples. Using a nationally-representative sample of US children and
adolescents, we examined trends and social determinants of inadequate sleep
across age groups. STUDY DESIGN: Comparison of cross-sectional studies. METHODS:
Our study used the 2003 (n = 68,418), 2007 (n = 63,442), and 2011/2012 (n =
65,130) waves of the National Survey of Children's Health, a nationally
representative survey of 6-17-year-olds. Parents reported whether the child had
inadequate sleep (0-6 days of not getting enough sleep vs 7 days). RESULTS: From
2003 through 2011/12, inadequate sleep increased from 23 to 36% among 6-9-year
olds, 30 to 41% among 10-13-year-olds, and 41 to 49% among 14-17-year-olds. Among
10-17-year-olds, those from households with more than a high school degree were
more likely to have inadequate sleep (adjusted ORs 1.2). Although for 10-13-year
olds there was a gradient in inadequate sleep across income (aORs 1.2-1.3), for
14-17-year-olds, only those from the two highest income levels were more likely
to have inadequate sleep (aORs 1.3-1.4). Parents' reports that neighbours did not
watch out for other's children was associated with an increased risk for
inadequate sleep across all ages (aORs 1.1-1.3). CONCLUSIONS: Inadequate sleep
occurred as young as age six years and increased with age, became more prevalent,
and was socially patterned. In order to prevent inadequate sleep across the life
course, surveillance and monitoring are needed across all age groups to identify
critical periods for intervention.
PMID- 27207727
TI - Who are the (un)acceptable collaborators to deliver better public health?
PMID- 27207729
TI - Frequency and clinical evolution of acute renal failure in obstetric patients
treated in the Intensive Care Unit of a high-specialty hospital in Mexico City.
PMID- 27207728
TI - Defining functional biomes and monitoring their change globally.
AB - Biomes are important constructs for organizing understanding of how the worlds'
major terrestrial ecosystems differ from one another and for monitoring change in
these ecosystems. Yet existing biome classification schemes have been criticized
for being overly subjective and for explicitly or implicitly invoking climate. We
propose a new biome map and classification scheme that uses information on (i) an
index of vegetation productivity, (ii) whether the minimum of vegetation activity
is in the driest or coldest part of the year, and (iii) vegetation height.
Although biomes produced on the basis of this classification show a strong
spatial coherence, they show little congruence with existing biome classification
schemes. Our biome map provides an alternative classification scheme for
comparing the biogeochemical rates of terrestrial ecosystems. We use this new
biome classification scheme to analyse the patterns of biome change observed over
recent decades. Overall, 13% to 14% of analysed pixels shifted in biome state
over the 30-year study period. A wide range of biome transitions were observed.
For example, biomes with tall vegetation and minimum vegetation activity in the
cold season shifted to higher productivity biome states. Biomes with short
vegetation and low seasonality shifted to seasonally moisture-limited biome
states. Our findings and method provide a new source of data for rigorously
monitoring global vegetation change, analysing drivers of vegetation change and
for benchmarking models of terrestrial ecosystem function.
PMID- 27207731
TI - Participation in environmental enhancement and conservation activities for health
and well-being in adults: a review of quantitative and qualitative evidence.
AB - BACKGROUND: There is growing research and policy interest in the potential for
using the natural environment to enhance human health and well-being. This
resource may be underused as a health promotion tool to address the increasing
burden of common health problems such as increased chronic diseases and mental
health concerns. Outdoor environmental enhancement and conservation activities
(EECA) (for instance unpaid litter picking, tree planting or path maintenance)
offer opportunities for physical activity alongside greater connectedness with
local environments, enhanced social connections within communities and improved
self-esteem through activities that improve the locality which may, in turn,
further improve well-being. OBJECTIVES: To assess the health and well-being
impacts on adults following participation in environmental enhancement and
conservation activities. SEARCH METHODS: We contacted or searched the websites of
more than 250 EECA organisations to identify grey literature. Resource
limitations meant the majority of the websites were from UK, USA, Canada and
Australia. We searched the following databases (initially in October 2012,
updated October 2014, except CAB Direct, OpenGrey, SPORTDiscus, and TRIP
Database), using a search strategy developed with our project advisory groups
(predominantly leaders of EECA-type activities and methodological experts):
ASSIA; BIOSIS; British Education Index; British Nursing Index; CAB Abstracts;
Campbell Collaboration; Cochrane Public Health Specialized Register; DOPHER;
EMBASE; ERIC; Global Health; GreenFILE; HMIC; MEDLINE-in-Process; MEDLINE;
OpenGrey; PsychINFO; Social Policy and Practice; SPORTDiscus; TRoPHI; Social
Services Abstracts; Sociological Abstracts; The Cochrane Library; TRIP database;
and Web of Science. Citation and related article chasing was used. Searches were
limited to studies in English published after 1990. SELECTION CRITERIA: Two
review authors independently screened studies. Included studies examined the
impact of EECA on adult health and well-being. Eligible interventions needed to
include each of the following: intended to improve the outdoor natural or built
environment at either a local or wider level; took place in urban or rural
locations in any country; involved active participation; and were NOT experienced
through paid employment.We included quantitative and qualitative research.
Includable quantitative study designs were: randomised controlled trials (RCTs),
cluster RCTs, quasi-RCTs, cluster quasi-RCTs, controlled before-and-after
studies, interrupted-time-series, cohort studies (prospective or retrospective),
case-control studies and uncontrolled before-and-after studies (uBA). We included
qualitative research if it used recognised qualitative methods of data collection
and analysis. DATA COLLECTION AND ANALYSIS: One reviewer extracted data, and
another reviewer checked the data. Two review authors independently appraised
study quality using the Effective Public Health Practice Project tool (for
quantitative studies) or Wallace criteria (for qualitative studies).
Heterogeneity of outcome measures and poor reporting of intervention specifics
prevented meta-analysis so we synthesised the results narratively. We synthesised
qualitative research findings using thematic analysis. MAIN RESULTS: Database
searches identified 21,420 records, with 21,304 excluded at title/abstract. Grey
literature searches identified 211 records. We screened 327 full-text articles
from which we included 21 studies (reported in 28 publications): two case-studies
(which were not included in the synthesis due to inadequate robustness), one case
control, one retrospective cohort, five uBA, three mixed-method (uBA,
qualitative), and nine qualitative studies. The 19 studies included in the
synthesis detailed the impacts to a total of 3,603 participants: 647 from
quantitative intervention studies and 2630 from a retrospective cohort study; and
326 from qualitative studies (one not reporting sample size).Included studies
shared the key elements of EECA defined above, but the range of activities varied
considerably. Quantitative evaluation methods were heterogeneous. The designs or
reporting of quantitative studies, or both, were rated as 'weak' quality with
high risk of bias due to one or more of the following: inadequate study design,
intervention detail, participant selection, outcome reporting and
blinding.Participants' characteristics were poorly reported; eight studies did
not report gender or age and none reported socio-economic status. Three
quantitative studies reported that participants were referred through health or
social services, or due to mental ill health (five quantitative studies), however
participants' engagement routes were often not clear.Whilst the majority of
quantitative studies (n = 8) reported no effect on one or more outcomes, positive
effects were reported in six quantitative studies relating to short-term
physiological, mental/emotional health, and quality-of-life outcomes. Negative
effects were reported in two quantitative studies; one study reported higher
levels of anxiety amongst participants, another reported increased mental health
stress.The design or reporting, or both, of the qualitative studies was rated as
good in three studies or poor in nine; mainly due to missing detail about
participants, methods and interventions. Included qualitative evidence provided
rich data about the experience of participation. Thematic analysis identified
eight themes supported by at least one good quality study, regarding
participants' positive experiences and related to personal/social identity,
physical activity, developing knowledge, spirituality, benefits of place,
personal achievement, psychological benefits and social contact. There was one
report of negative experiences. AUTHORS' CONCLUSIONS: There is little
quantitative evidence of positive or negative health and well-being benefits from
participating in EECA. However, the qualitative research showed high levels of
perceived benefit among participants. Quantitative evidence resulted from study
designs with high risk of bias, qualitative evidence lacked reporting detail. The
majority of included studies were programme evaluations, conducted internally or
funded by the provider.The conceptual framework illustrates the range of
interlinked mechanisms through which people believe they potentially achieve
health and well-being benefits, such as opportunities for social contact. It also
considers potential moderators and mediators of effect.One main finding of the
review is the inherent difficulty associated with generating robust evidence of
effectiveness for complex interventions. We developed the conceptual framework to
illustrate how people believed they benefited. Investigating such mechanisms in a
subsequent theory-led review might be one way of examining evidence of effect for
these activities.The conceptual framework needs further refinement through linked
reviews and more reliable evidence. Future research should use more robust study
designs and report key intervention and participant detail.
PMID- 27207730
TI - Rhino-orbital-cerebral mucormycosis in a critically ill patient.
PMID- 27207734
TI - Preferential Biases for Texts That Include Neuroscientific Jargon.
AB - The results of an experiment of preferential biases for texts that include
neuroscientific jargon are presented. Such preferential bias has been reported
even when the presented jargon is meaningless. In a variation of the well-known
Weisberg et al. experiment, a group of undergraduate students (N = 150; females
48%, males 52%, other 0%; M age = 22.4 year, SD = 2.6) chose between two possible
explanations for a psychological phenomenon: a correct explanation or a circular
restatement of facts. Unrelated neuroscientific terms were added to one of the
explanations. Participants were asked to choose the correct explanation. There
was a statistically significant preference for the explanation without
neuroscientific terms. These findings differ from Weisberg et al.'s experiment
and a number of others. The implications of this discrepancy are discussed.
PMID- 27207732
TI - Protoscolicidal effects of chenodeoxycholic acid on protoscoleces of Echinococcus
granulosus.
AB - Dissemination of protoscoleces-rich fluid during surgical operation for cystic
echinococcosis is a major cause of its recurrence. Instillation of a scolicidal
agent into hydatid cysts to reduce the risk of spillage of viable protoscoleces
is an integral part of the surgical technique employed by many surgeons. In this
study, the protoscolicidal effect of chenodeoxycholic acid (CDCA) was
investigated. Freshly isolated protoscoleces were subjected to CDCA treatment
(500, 1000, 2000, and 3000 MUmol/L), and the effects on protoscoleces were
investigated with the help of 0.1% eosin staining, electron microscopy, and
colorimetric assay of caspase-3 like activity. Dose-dependent mortality of
Echinococcus granulosus protoscoleces was observed within a few days of CDCA
treatment. The treated protoscoleces showed loss of viability, and morphological
changes such as contraction of the soma region, formation of blebs, rostellar
disorganization, loss of hooks, destruction of microtriches, and formation of
vesicles, lipid droplets, and lamellar bodies. Apoptosis was evident in the
treated protoscoleces, as compared to the control group, which were cultivated
for nearly 3 months. Our study indicates a therapeutic potential for CDCA as a
protoscolicidal agent against E. granulosus. However, further studies are needed
to test the long-term effects of CDCA in animal models.
PMID- 27207735
TI - Using the Bootstrap Method to Evaluate the Critical Range of Misfit for
Polytomous Rasch Fit Statistics.
AB - The purpose of this study was to apply the bootstrap procedure to evaluate how
the bootstrapped confidence intervals (CIs) for polytomous Rasch fit statistics
might differ according to sample sizes and test lengths in comparison with the
rule-of-thumb critical value of misfit. A total of 25 simulated data sets were
generated to fit the Rasch measurement and then a total of 1,000 replications
were conducted to compute the bootstrapped CIs under each of 25 testing
conditions. The results showed that rule-of-thumb critical values for assessing
the magnitude of misfit were not applicable because the infit and outfit mean
square error statistics showed different magnitudes of variability over testing
conditions and the standardized fit statistics did not exactly follow the
standard normal distribution. Further, they also do not share the same critical
range for the item and person misfit. Based on the results of the study, the
bootstrapped CIs can be used to identify misfitting items or persons as they
offer a reasonable alternative solution, especially when the distributions of the
infit and outfit statistics are not well known and depend on sample size.
PMID- 27207733
TI - A retrospective analysis of laparoscopic partial nephrectomy with segmental renal
artery clamping and factors that predict postoperative renal function.
AB - OBJECTIVE: To evaluate the feasibility and efficiency of laparoscopic partial
nephrectomy (LPN) with segmental renal artery clamping, and to analyse the
factors affecting postoperative renal function. PATIENTS AND METHODS: We
conducted a retrospective analysis of 466 consecutive patients undergoing LPN
using main renal artery clamping (group A, n = 152) or segmental artery clamping
(group B, n = 314) between September 2007 and July 2015 in our department. Blood
loss, operating time, warm ischaemia time (WIT) and renal function were compared
between groups. Univariable and multivariable linear regression analyses were
applied to assess the correlations of selected variables with postoperative
glomerular filtration rate (GFR) reduction. Volumetric data and estimated GFR of
a subset of 60 patients in group B were compared with GFR to evaluate the
correlation between these functional variables and preserved renal function after
LPN. RESULTS: The novel technique slightly increased operating time, WIT and
intra-operative blood loss (P < 0.001), while it provided better postoperative
renal function (P < 0.001) compared with the conventional technique. The blocking
method and tumour characteristics were independent factors affecting GFR
reduction, while WIT was not an independent factor. Correlation analysis showed
that estimated GFR presented better correlation with GFR compared with kidney
volume (R(2) = 0.794 cf. R(2) = 0.199) in predicting renal function after LPN.
CONCLUSIONS: LPN with segmental artery clamping minimizes warm ischaemia injury
and provides better early postoperative renal function compared with clamping the
main renal artery. Kidney volume has a significantly inferior role compared with
eGFR in predicting preserved renal function.
PMID- 27207736
TI - Validation of the Japanese Version of the Body Vigilance Scale.
AB - The Body Vigilance Scale is a self-report measure of attention to bodily
sensations. The measure was translated into Japanese and its reliability,
validity, and factor structure were verified. Participants comprised 286
university students (age: 19 +/- 1 years). All participants were administered the
scale, along with several indices of anxiety (i.e., Anxiety Sensitivity Index,
Short Health Anxiety Inventory Illness Likelihood Scale, Social Interaction
Anxiety Scale, and Hospital Anxiety and Depression Scale). The Japanese version
of the Body Vigilance Scale exhibited a unidimensional factor structure and
strong internal consistency. Construct validity was demonstrated by significant
correlations with the above measures. Results suggest that the Japanese version
of the scale is a reliable, valid tool for measuring body vigilance in Japanese
university students.
PMID- 27207737
TI - Acid attacks: A growing problem in the UK.
PMID- 27207738
TI - Marjolin's ulcers: A dreaded aftermath of inadequately managed deep burns.
PMID- 27207740
TI - Response to Letter to the Editor: 'Marjolin's ulcers: A dreaded aftermath of
inadequately managed deep burns'.
PMID- 27207739
TI - Effective symptomatic treatment for severe and intractable pruritus associated
with severe burn-induced hypertrophic scars: A prospective, multicenter,
controlled trial.
AB - BACKGROUND: Burn-induced hypertrophic scars are disfiguring and can be associated
with severe and intractable pruritus. No effective treatment modalities are
currently available for symptomatic control of pruritus for most patients. We
assessed the effect of the Antipruritic Hydrogel (CQ-01) in the symptomatic
treatment of severe and intractable pruritus associated with burn-induced
hypertrophic scars in a prospective, multicenter, controlled trial. METHODS: A
pilot study was conducted in healthy adult volunteers to identify the most
appropriate hydrogel formulation. A selected preparation called Chongqing No. 1
(CQ-01; a guar gum-based hydrogel impregnated with peppermint oil, menthol, and
methyl salicylate by a nanoemulsion), showed an excellent symptomatic relief in
an exploratory study in 2 patients with intractable pruritus. A statistically
powered, prospective, multicenter, controlled study was then conducted in 74
patients to evaluate the efficacy and safety of a 24-h application of CQ-01
compared to a gel control and a negative control on three separate areas in each
patient. Symptom assessment was based on our visual analog JW scale (ranging from
0 to 100) at baseline and various time points up to 7 days after application.
Follow-up studies were conducted to determine the reproducibility of CQ-01 in
repeated applications. RESULTS: Of the 74 enrolled subjects, the only observed
adverse event was skin irritation reported in 6 patients (8%) and resolved
shortly after gel removal. Compared to the baseline, the gauze negative control
had a mean JW score reduction of 7; while the gel control and CQ-01 had a drop of
18 (p<0.001) and 36 (p<0.001), respectively. The CQ-01 clinical effect was
significant for up to 3 days and waned slowly from 3 to 7 days. There was no
statistical correlation between the treatment response and any of the
demographic, patient or burn-related factors. Further studies showed a trend that
repeated applications might be more effective, suggesting the absence of
tachyphylaxis. CONCLUSIONS: This prospective, multicenter, controlled study
showed that this novel hydrogel CQ-01 is safe and provides significant
symptomatic relief for severe and intractable pruritus associated with
hypertrophic scars, an unmet medical need for these patients. This effect is
independent of the etiology of the burn trauma, extent of the scarring, and
duration of the scar formation.
PMID- 27207742
TI - Fatal hepatocellular carcinoma presenting with oral metastasis in a patient with
synchronic primary malignancies of prostate and liver.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is the most frequent type of liver
cancer and its occurrence in the oral cavity as a metastatic neoplasm is a rare
event. We describe a fatal case of HCC with oral metastasis in a patient firstly
diagnosed with prostatic and hepatic carcinomas. The histopathological
examination revealed a hepatocyte-like tumour cells arranged in organoid
structures as well as positivity to cytokeratin 8 and Hep Par 1. The present
findings highlight the importance of a complete medical evaluation of the patient
to identify possible oral repercussions of primary diseases.
PMID- 27207741
TI - Characterization of Relaxant Responses to Natriuretic Peptides in the Human
Microcirculation In Vitro and In Vivo.
AB - OBJECTIVE: We characterized the vasodilatory effects of ANP, BNP, and CNP in
human subcutaneous arterioles in vitro and the cutaneous microcirculation in
vivo. METHODS: The in vitro experiments were performed using wire myography and
the responses were characterized by the use of inhibitors for nitric oxide (L
NAME), prostaglandin synthesis (indomethacin), or the endothelium-derived
hyperpolarization factor. In vivo, the vasorelaxant effect of iontophoretically
administrated BNP or CNP was measured with a noninvasive laser Doppler technique.
Involvement of nitric oxide or prostaglandins was assessed by L-NAME or
indomethacin given by iontophoresis. RESULTS: In vitro all three peptides showed
significant vasodilatation with the efficacy order: CNP > BNP = ANP. The BNP
induced vasodilatation, but not that of ANP or CNP, was significantly reduced by
pretreatment with indomethacin or L-NAME. In vivo administration of BNP induced a
marked vasodilatory response that was attenuated by local pretreatment of L-NAME.
Indomethacin by itself resulted in increased cutaneous perfusion. CONCLUSIONS:
NPs are potent vasodilators in the human subcutaneous circulation. The response
to BNP differs from that of the other peptides as it seems dependent on
cyclooxygenase products and nitric oxide.
PMID- 27207743
TI - From a pro-apoptotic peptide to a lytic peptide: One single residue mutation.
AB - Further discovery and design of new anticancer peptides are important for the
development of anticancer therapeutics, and study on the detailed acting
mechanism and structure-function relationship of peptides is critical for
anticancer peptide design and application. In this study, a novel anticancer
peptide ZXR-1 (FKIGGFIKKLWRSKLA) derived from a known anticancer peptide
mauriporin was developed, and a mutant ZXR-2 (FKIGGFIKKLWRSLLA) with only one
residue difference at the 14th position (Lys->Leu) was also engineered.
Replacement of the lysine with leucine made ZXR-2 more potent than ZXR-1 in
general. Even with only one residue mutation, the two peptides displayed distinct
anticancer modes of action. ZXR-1 could translocate into cells, target on the
mitochondria and induce cell apoptosis, while ZXR-2 directly targeted on the cell
membranes and caused membrane lysis. The variance in their acting mechanisms
might be due to the different amphipathicity and positive charge distribution. In
addition, the two Ile-Leu pairs (3-10 and 7-14) in ZXR-2 might also play a role
in improving its cytotoxicity. Further study on the structure-function
relationship of the two peptides may be beneficial for the design of novel
anticancer peptides and peptide based therapeutics.
PMID- 27207745
TI - Does pay-for-performance in primary care save lives?
PMID- 27207744
TI - Exploring the bacterial assemblages along the human nasal passage.
AB - The human nasal passage, from the anterior nares through the nasal vestibule to
the nasal cavities, is an important habitat for opportunistic pathogens and
commensals alike. This work sampled four different anatomical regions within the
human nasal passage across a large cohort of individuals (n = 79) comprising
individuals suffering from chronic nasal inflammation clinically known as chronic
rhinosinusitis (CRS) and individuals not suffering from inflammation (CRS-free).
While individuals had their own unique bacterial fingerprint that was consistent
across the anatomical regions, these bacterial fingerprints formed into distinct
delineated groups comprising core bacterial members, which were consistent across
all four swabbed anatomical regions irrespective of health status. The most
significant observed pattern was the difference between the global bacterial
profiles of swabbed and tissue biopsy samples from the same individuals, being
also consistent across different anatomical regions. Importantly, no
statistically significant differences could be observed concerning the global
bacterial communities, any of the bacterial species or the range of diversity
indices used to compare between CRS and CRS-free individuals, and between two CRS
phenotypes (without nasal polyps and with nasal polyps). Thus, the role of
bacteria in the pathogenesis of sinusitis remains uncertain.
PMID- 27207747
TI - Department of Error.
PMID- 27207746
TI - Long-term evidence for the effect of pay-for-performance in primary care on
mortality in the UK: a population study.
AB - BACKGROUND: Introduced in 2004, the UK's Quality and Outcomes Framework (QOF) is
the world's largest primary care pay-for-performance programme. We tested whether
the QOF was associated with reduced population mortality. METHODS: We used
population-level mortality statistics between 1994 and 2010 for the UK and other
high-income countries that were not exposed to pay-for-performance. The primary
outcome was age-adjusted and sex-adjusted mortality per 100,000 people for a
composite outcome of chronic disorders that were targeted by the QOF. Secondary
outcomes were age-adjusted and sex-adjusted mortality for ischaemic heart
disease, cancer, and a composite of all non-targeted conditions. For each study
outcome, we created a so-called synthetic UK as a weighted combination of
comparison countries. We then estimated difference-in-differences models to test
whether mortality fell more in the UK than in the synthetic UK after the QOF.
FINDINGS: Introduction of the QOF was not significantly associated with changes
in population mortality for the composite outcome (-3.68 per 100,000 population
[95% CI -8.16 to 0.80]; p=0.107), ischaemic heart disease (-2.21 per 100,000 [
6.86 to 2.44]; p=0.357), cancer (0.28 per 100,000 [-0.99 to 1.55]; p=0.679), or
all non-targeted conditions (11.60 per 100,000 [-3.91 to 27.11]; p=0.143).
INTERPRETATION: Although we noted small mortality reductions for a composite
outcome of targeted disorders, the QOF was not associated with significant
changes in mortality. Our findings have implications for the probable effects of
similar programmes on population health outcomes. The relation between incentives
and mortality needs to be assessed in specific disease domains. FUNDING: None.
PMID- 27207763
TI - Rape in Armed Conflicts in the Democratic Republic of Congo: A Systematic Review
of the Scientific Literature.
AB - We conducted a systematic review of the scientific literature between 1996 and
2013 on rape in war-ridden Eastern Democratic Republic of Congo (DRC) in order to
better understand the interest of the scientific community in describing the
magnitude and characteristics of the problem. The literature search was conducted
in French and English using several databases (Pubmed, PsycInfo, Sapphire, BDSP,
Embase, Rero, and Web of Science) with the key words "rape and DRC" combined with
several Medical Subject Headings concepts. Our systematic review yielded 2,087
references, among which only 27 are original studies, that is 20 are based on
population surveys and the remaining 7 are original data based on case studies
and reviews. Ten studies provided prevalence rates of rape victims, 18 provided
specific information on the profile of the victims, 10 reported that most of the
perpetrators of rape were military personnel, 14 referred to the negligence of
the government in protecting victims, and 10 reported a lack of competent health
care facilities. The awareness of rape in conflict-ridden DRC is still limited as
reported in the scientific literature: Published scientific papers are scarce.
Yet more research would probably help mobilize local authorities and the
international community against this basic human rights violation.
PMID- 27207748
TI - Comprehensive Genomic Profiling of Clinically Advanced Medullary Thyroid
Carcinoma.
AB - OBJECTIVE: The aim of this study was to determine the genomic alterations of
cancer-related genes in advanced medullary thyroid carcinoma during the course of
clinical care. METHODS: Hybrid-capture-based comprehensive genomic profiling was
performed on 34 consecutive medullary thyroid carcinoma cases to identify all
four classes of genomic alterations, and outcome for an index patient was
collected. RESULTS: RET was mutated in 88% (30/34) of cases, with RET M918T being
responsible for 70% (21/30) of the RET alterations. The other RET alterations
were RET E632_L633del, C634R, C620R, C618G/R/S, V804M, and RET amplification. Two
of the four RET wild-type patients harbored mutations in KRAS or HRAS (1/34
each). The next most frequent genomic alterations were amplifications of CCND1,
FGF3, and FGF19 and alterations in CDKN2A (3/34 each). One case with a RET M918T
mutation developed acquired resistance to progressively dose-escalated
vandetanib. When the mTOR inhibitor everolimus was added to continued vandetanib
treatment, the patient achieved a second 25% reduction of tumor volume (RECIST
1.1) for 8 months. CONCLUSIONS: Comprehensive genomic profiling identified the
full breadth of RET alterations in metastatic medullary thyroid carcinoma and
possible cooperating oncogenic driver alterations. This approach may refine the
use of targeted therapy for these patients.
PMID- 27207764
TI - Schools, Families, and the Prevention of Child Maltreatment: Lessons That Can Be
Learned From a Literature Review.
AB - Child maltreatment is a worldwide social problem that receives considerable
attention. However, prevention efforts remain rare, allowing the phenomenon to
continue and spread. The aim of the current article is to systematically review
evidence-based prevention efforts that address schools and families as key
stakeholders for preventing child maltreatment. Using the Preferred Reporting
Items for Systematic Reviews and Meta-Analyses guidelines, a thorough literature
review revealed that only five programs matched the inclusion criteria for the
current article. These programs were analyzed for several domains, including
level of prevention, target population, participants, and the programs' outcomes.
The current review highlights the urgent needs to develop, modify, and further
evaluate prevention programs for child maltreatment in the context of the
ecological model. More specifically, it illuminates the need to create and
champion programs that enhance the collaboration between families and schools,
both of which are key stakeholders within the phenomenon of child maltreatment.
Collaboration between policymakers, researchers, and practitioners should guide
future efforts by promoting cultural adaptation to such programs and by
integrating children's perceptions to improve these efforts and to benefit
everyone involved.
PMID- 27207749
TI - The PiTSTOP study: a feasibility cluster randomized trial of delirium prevention
in care homes for older people.
AB - BACKGROUND AND OBJECTIVES: delirium is a distressing but potentially preventable
condition common in older people in long-term care. It is associated with
increased morbidity, mortality, functional decline, hospitalization and
significant healthcare costs. Multicomponent interventions, addressing delirium
risk factors, have been shown to reduce delirium by one-third in hospitals. It is
not known whether this approach is also effective in long-term care. In previous
work, we designed a bespoke delirium prevention intervention, called 'Stop
Delirium!' In preparation for a definitive trial of Stop Delirium, we sought to
address key aspects of trial design for the particular circumstances of care
homes. DESIGN: a cluster randomized feasibility study with an embedded process
evaluation. SETTING AND PARTICIPANTS: residents of 14 care homes for older people
in one metropolitan district in the UK. INTERVENTION: Stop Delirium!: a 16-month
enhanced educational package to support care home staff to address key delirium
risk factors. Control homes received usual care. MEASUREMENTS: we collected data
to determine the following: recruitment and attrition; delirium rates and
variability between homes; feasibility of measuring delirium, resource use,
quality of life, hospital admissions and falls; and intervention implementation
and adherence. RESULTS: two-thirds (215) of eligible care home residents were
recruited. One-month delirium prevalence was 4.0% in intervention and 7.1% in
control homes. Proposed outcome measurements were feasible, although our approach
appeared to underestimate delirium. Health economic evaluation was feasible using
routinely collected data. CONCLUSION: a definitive trial of delirium prevention
in long-term care is needed but will require some further design modifications
and pilot work.
PMID- 27207765
TI - Assessment of Effective Connectivity and Plasticity With Dual-Coil Transcranial
Magnetic Stimulation.
AB - BACKGROUND: Understanding how different brain regions interact with one another
is at the heart of current endeavors in cognitive and basic neuroscience. Unlike
most neuroimaging techniques, transcranial magnetic stimulation (TMS) allows the
establishment of causal relationships in the study of the functional architecture
of the human brain. While this tool is increasingly used to probe the functional
and causal nature of the associations between brain regions, a comprehensive
guide documenting the various existing stimulation protocols is currently
lacking, limiting its use. OBJECTIVE: The main objective of the current work is
to characterize the various dual-coil TMS protocols used to probe effective
connectivity and plasticity within the motor system. In addition, we want to
propose a short TMS battery that could be used in clinical and research settings.
METHOD: Articles using dual-coil TMS indexed in PubMed and Medline published
between 1990 and 2015 were included in the review. RESULTS: A wide range of
stimulation parameters has been used to probe connectivity and plasticity.
However, a few protocols have been shown to produce robust effects, mostly
inhibitory in nature. CONCLUSION: Dual-coil TMS offers the distinctive
opportunity to investigate effective connectivity and plasticity between
different parts of the brain. Sites of stimulation, stimulation intensity, inter
stimulus interval and coil orientation are crucial factors to consider when using
this technique. We propose a brief battery of tests that could be used to assess
effective brain connectivity in clinical populations.
PMID- 27207766
TI - Corrigendum to "Transcranial Direct Current Stimulation in Epilepsy" Brain
Stimulation [8 (2015) 455-464].
PMID- 27207767
TI - Erratum to "Double-blind Randomized Trial of tDCS Versus Sham in Parkinson
Patients With Mild Cognitive Impairment Receiving Cognitive Training" Brain
Stimulation 8 (2015) 1223-1225.
PMID- 27207768
TI - WHO warns of risk of Zika virus in Europe.
PMID- 27207770
TI - Mini-review of the geotechnical parameters of municipal solid waste: Mechanical
and biological pre-treated versus raw untreated waste.
AB - The most viable option for biostabilisation of old sanitary landfills, filled
with raw municipal solid waste, is the so-called bioreactor landfill. Even today,
bioreactor landfills are viable options in many economically developing
countries. However, in order to reduce the biodegradable component of landfilled
waste, mechanical and biological treatment has become a widely accepted waste
treatment technology, especially in more prosperous countries. Given that
mechanical and biological treatment alters the geotechnical properties of raw
waste material, the design of sanitary landfills which accepts mechanically and
biologically treated waste, should be carried out with a distinct set of
geotechnical parameters. However, under the assumption that 'waste is waste',
some design engineers might be tempted to use geotechnical parameters of
untreated raw municipal solid waste and mechanical and biological pre-treated
municipal solid waste interchangeably. Therefore, to provide guidelines for use
and to provide an aggregated source of this information, this mini-review
provides comparisons of geotechnical parameters of mechanical and biological pre
treated waste and raw untreated waste at various decomposition stages. This
comparison reveals reasonable correlations between the hydraulic conductivity
values of untreated and mechanical and biological pre-treated municipal solid
waste. It is recognised that particle size might have a significant influence on
the hydraulic conductivity of both municipal solid waste types. However, the
compression ratios and shear strengths of untreated and pre-treated municipal
solid waste do not show such strong correlations. Furthermore, another emerging
topic that requires appropriate attention is the recovery of resources that are
embedded in old landfills. Therefore, the presented results provide a valuable
tool for engineers designing landfills for mechanical and biological pre-treated
waste or bioreactor landfills for untreated raw waste as well as planning
landfill mining projects.
PMID- 27207769
TI - Seroprevalence of hepatitis B and C among domestic and healthcare waste handlers
in Belo Horizonte, Brazil.
AB - Infection with the hepatitis B and C viruses may occur through contact with
infected body fluids, including injury with infected sharps. Collectors of
domestic or healthcare wastes are potentially exposed to these infections. The
aim of this article is to investigate the risk factors associated with the
prevalence of hepatitis B and C viruses (HBV and HCV) infection among domestic
and healthcare waste workers in Belo Horizonte, Brazil. A cross-sectional study
of hepatitis B and C infection was conducted from November 2014 to January 2015,
through blood sample collection and interviews about socio-demographic factors
with 61 workers exposed to healthcare waste ('exposed') and 461 exposed only to
domestic wastes ('unexposed'). The prevalence of antibodies to HCV (Anti-HCV)
antibodies was 3.3% in 'exposed' workers and 0.9% in 'unexposed', and of antibody
to hepatitis B core antigen (Anti-HBc) was 9.8% and 5.6% in 'exposed' and
'unexposed' workers, respectively. Only 207 (44.9%) of those exposed to domestic
waste and 45 (73.8%) of those handling healthcare waste were effectively
immunised against hepatitis B virus (HBV). Exposures to domestic waste and to
healthcare wastes were associated with similar risks of infection with HBV. The
risk of hepatitis C virus (HCV) infection was marginally higher among healthcare
waste workers compared with domestic waste workers, probably because of
needlestick accidents owing to deficient sharps management systems. Immunisation
against hepatitis B and screening tests to ensure the success of vaccination
should be a condition for recruitment for both groups of waste workers.
PMID- 27207771
TI - Allocation of solid waste collection bins and route optimisation using
geographical information system: A case study of Dhanbad City, India.
AB - Collection of municipal solid waste is one of the most important elements of
municipal waste management and requires maximum fund allocated for waste
management. The cost of collection and transportation can be reduced in
comparison with the present scenario if the solid waste collection bins are
located at suitable places so that the collection routes become minimum. This
study presents a suitable solid waste collection bin allocation method at
appropriate places with uniform distance and easily accessible location so that
the collection vehicle routes become minimum for the city Dhanbad, India. The
network analyst tool set available in ArcGIS was used to find the optimised route
for solid waste collection considering all the required parameters for solid
waste collection efficiently. These parameters include the positions of solid
waste collection bins, the road network, the population density, waste collection
schedules, truck capacities and their characteristics. The present study also
demonstrates the significant cost reductions that can be obtained compared with
the current practices in the study area. The vehicle routing problem solver tool
of ArcGIS was used to identify the cost-effective scenario for waste collection,
to estimate its running costs and to simulate its application considering both
travel time and travel distance simultaneously.
PMID- 27207773
TI - Need to consider human factors when determining first-line technique for
emergency front-of-neck access.
PMID- 27207776
TI - Combining ABCG2 Inhibitors with IMMU-132, an Anti-Trop-2 Antibody Conjugate of SN
38, Overcomes Resistance to SN-38 in Breast and Gastric Cancers.
AB - Sacituzumab govitecan (IMMU-132), an SN-38-conjugated antibody-drug conjugate, is
showing promising therapeutic results in a phase I/II trial of patients with
advanced Trop-2-expressing, metastatic, solid cancers. As members of the ATP
binding cassette (ABC) transporters confer chemotherapy resistance by active drug
efflux, which is a frequent cause of treatment failure, we explored the use of
known inhibitors of ABC transporters for improving the therapeutic efficacy of
IMMU-132 by overcoming SN-38 resistance. Two human tumor cell lines made
resistant to SN-38, MDA-MB-231-S120 (human breast cancer) and NCI-N87-S120 (human
gastric cancer), were established by continuous exposure of the parental cells to
stepwise increased concentrations of SN-38 and analyzed by flow cytometry for
functional activities of ABCG2 and ABCB1, immunoblotting and qRT-PCR for the
expression of ABCG2 at both protein and mRNA levels, and MTS assays for the
potency of SN-38 alone or in combination with a modulator of ABC transporters.
MDA-MB-231-S120 and NCI-N87-S120 displayed reduced sensitivity to SN-38 in vitro,
with IC50 values approximately 50-fold higher than parental MDA-MB-231 and NCI
N87 cells. The increase in drug resistance of both S120 cell populations is
associated with the expression of functional ABCG2, but not ABCB1. Importantly,
treatment of both S120 sublines with known ABCG2 inhibitors (fumitremorgin C,
Ko143, and YHO-13351) restored toxicity of SN-38, and the combination of YHO
13351 with IMMU-132 increased the median survival of mice bearing NCI-N87-S120
xenografts. These results provide a rationale for combination therapy of IMMU-132
and inhibitors of ABC transporters, such as YHO-13351. Mol Cancer Ther; 15(8);
1910-9. (c)2016 AACR.
PMID- 27207774
TI - BRAF Mutation Testing in Cell-Free DNA from the Plasma of Patients with Advanced
Cancers Using a Rapid, Automated Molecular Diagnostics System.
AB - Cell-free (cf) DNA from plasma offers an easily obtainable material for BRAF
mutation analysis for diagnostics and response monitoring. In this study, plasma
derived cfDNA samples from patients with progressing advanced cancers or
malignant histiocytosis with known BRAF(V600) status from formalin-fixed paraffin
embedded (FFPE) tumors were tested using a prototype version of the Idylla BRAF
Mutation Test, a fully integrated real-time PCR-based test with turnaround time
about 90 minutes. Of 160 patients, BRAF(V600) mutations were detected in 62 (39%)
archival FFPE tumor samples and 47 (29%) plasma cfDNA samples. The two methods
had overall agreement in 141 patients [88%; kappa, 0.74; SE, 0.06; 95% confidence
interval (CI), 0.63-0.85]. Idylla had a sensitivity of 73% (95% CI, 0.60-0.83)
and specificity of 98% (95% CI, 0.93-1.00). A higher percentage, but not
concentration, of BRAF(V600) cfDNA in the wild-type background (>2% vs. <= 2%)
was associated with shorter overall survival (OS; P = 0.005) and in patients with
BRAF mutations in the tissue, who were receiving BRAF/MEK inhibitors, shorter
time to treatment failure (TTF; P = 0.001). Longitudinal monitoring demonstrated
that decreasing levels of BRAF(V600) cfDNA were associated with longer TTF (P =
0.045). In conclusion, testing for BRAF(V600) mutations in plasma cfDNA using the
Idylla BRAF Mutation Test has acceptable concordance with standard testing of
tumor tissue. A higher percentage of mutant BRAF(V600) in cfDNA corresponded with
shorter OS and in patients receiving BRAF/MEK inhibitors also with shorter TTF.
Mol Cancer Ther; 15(6); 1397-404. (c)2016 AACR.
PMID- 27207775
TI - Afatinib against Esophageal or Head-and-Neck Squamous Cell Carcinoma:
Significance of Activating Oncogenic HER4 Mutations in HNSCC.
AB - The prognosis for patients with advanced esophageal or head-and-neck squamous
cell carcinoma (ESCC or HNSCC) remains poor, and the identification of additional
oncogenes and their inhibitors is needed. In this study, we evaluated the
sensitivities of several ESCC and HNSCC cell lines to HER inhibitors (cetuximab,
erlotinib, and afatinib) in vitro and found two cell lines that were
hypersensitive to afatinib. Sequence analyses for the afatinib-targeted HER
family genes in the two cell lines revealed that one cell line had a previously
reported activating EGFR L861Q mutation, whereas the other had an HER4 G1109C
mutation of unknown function. No amplification of HER family genes was found in
either of the two cell lines. The phosphorylation level of HER4 was elevated in
the HER4 G1109C mutation-overexpressed HEK293 cell line, and the mutation had a
transforming potential and exhibited tumorigenicity in an NIH3T3 cell line,
indicating that this HER4 mutation was an activating oncogenic mutation. Afatinib
dramatically reduced the phosphorylation level of EGFR or HER4 and induced
apoptosis in the two cell lines. In vivo, tumor growth was also dramatically
decreased by afatinib. In a database, the frequencies of HER family gene
mutations in ESCC or HNSCC ranged from 0% to 5%. In particular, HER4 mutations
have been found relatively frequently in HNSCC. Considering the addiction of
cancer cells to activating oncogenic EGFR or HER4 mutations for proliferation,
HNSCC or ESCC with such oncogenic mutations might be suitable for targeted
therapy with afatinib. Mol Cancer Ther; 15(8); 1988-97. (c)2016 AACR.
PMID- 27207778
TI - LGR5-Targeted Antibody-Drug Conjugate Eradicates Gastrointestinal Tumors and
Prevents Recurrence.
AB - Gastrointestinal cancer is one of the leading causes of cancer-related mortality
in men and women worldwide. The adult stem cell marker LGR5 (leucine-rich repeat
containing, G protein-coupled receptor 5) is highly expressed in a significant
fraction of gastrointestinal tumors of the colon, liver, pancreas, and stomach,
relative to normal tissues. LGR5 is located on the cell surface and undergoes
rapid, constitutive internalization independent of ligand. Furthermore, LGR5-high
cancer cells have been shown to exhibit the properties of tumor-initiating cells
or cancer stem cells (CSC). On the basis of these attributes, we generated two
LGR5-targeting antibody-drug conjugates (ADC) by tethering the tubulin-inhibiting
cytotoxic drug monomethyl auristatin E to a highly specific anti-LGR5 mAb via a
protease cleavable or noncleavable chemical linker and compared them in receptor
binding, cell internalization, and cytotoxic efficacy in cancer cells. Here, we
show that both ADCs bind LGR5 with high specificity and equivalent nanomolar
affinity and rapidly internalize to the lysosomes of LGR5-expressing
gastrointestinal cancer cells. The anti-LGR5 ADCs effectively induced
cytotoxicity in LGR5-high gastrointestinal cancer cells, but not in LGR5-negative
or -knockdown cancer cell lines. Overall, we demonstrate that the cleavable ADC
exhibited higher potency in vitro and was able to eradicate tumors and prevent
recurrence in a xenograft model of colon cancer. These findings provide
preclinical evidence for the potential of LGR5-targeting ADCs as effective new
therapeutics for the treatment and eradication of gastrointestinal tumors and
CSCs with high LGR5 expression. Mol Cancer Ther; 15(7); 1580-90. (c)2016 AACR.
PMID- 27207777
TI - Cyclin-Dependent Kinase 11 (CDK11) Is Required for Ovarian Cancer Cell Growth In
Vitro and In Vivo, and Its Inhibition Causes Apoptosis and Sensitizes Cells to
Paclitaxel.
AB - Ovarian cancer is currently the most lethal gynecologic malignancy with limited
treatment options. Improved targeted therapies are needed to combat ovarian
cancer. Here, we report the identification of cyclin-dependent kinase 11 (CDK11)
as a mediator of tumor cell growth and proliferation in ovarian cancer cells.
Although CDK11 has not been implicated previously in this disease, we have found
that its expression is upregulated in human ovarian cancer tissues and associated
with malignant progression. Metastatic and recurrent tumors have significantly
higher CDK11 expression when compared with the matched, original primary tumors.
RNAi-mediated CDK11 silencing by synthetic siRNA or lentiviral shRNA decreased
cell proliferation and induced apoptosis in ovarian cancer cells. Moreover, CDK11
knockdown enhances the cytotoxic effect of paclitaxel to inhibit cell growth in
ovarian cancer cells. Systemic in vivo administration of CDK11 siRNA reduced the
tumor growth in an ovarian cancer xenograft model. Our findings suggest that
CDK11 may be a promising therapeutic target for the treatment of ovarian cancer
patients. Mol Cancer Ther; 15(7); 1691-701. (c)2016 AACR.
PMID- 27207780
TI - In the Eye of the Betrothed: Perceptual Downgrading of Attractive Alternative
Romantic Partners.
AB - People in monogamous relationships can experience a conflict when they interact
with an attractive individual. They may have a desire to romantically pursue the
new person, while wanting to be faithful to their partner. How do people manage
the threat that attractive alternatives present to their relationship goals? We
suggest that one way people defend their relationships against attractive
individuals is by perceiving the individual as less attractive. In two studies,
using a novel visual matching paradigm, we found support for a perceptual
downgrading effect. People in relationships perceived threatening attractive
individuals as less attractive than did single participants. The effect was
exacerbated among participants who were highly satisfied with their current
relationships. The studies provide evidence for a perceptual bias that emerges to
protect long-term goals. We discuss the findings within the context of a broader
theory of motivated perception in the service of self-control.
PMID- 27207779
TI - Pharmacological Inhibition of the Protein Kinase MRK/ZAK Radiosensitizes
Medulloblastoma.
AB - Medulloblastoma is a cerebellar tumor and the most common pediatric brain
malignancy. Radiotherapy is part of the standard care for this tumor, but its
effectiveness is accompanied by significant neurocognitive sequelae due to the
deleterious effects of radiation on the developing brain. We have previously
shown that the protein kinase MRK/ZAK protects tumor cells from radiation-induced
cell death by regulating cell-cycle arrest after ionizing radiation. Here, we
show that siRNA-mediated MRK depletion sensitizes medulloblastoma primary cells
to radiation. We have, therefore, designed and tested a specific small molecule
inhibitor of MRK, M443, which binds to MRK in an irreversible fashion and
inhibits its activity. We found that M443 strongly radiosensitizes UW228
medulloblastoma cells as well as UI226 patient-derived primary cells, whereas it
does not affect the response to radiation of normal brain cells. M443 also
inhibits radiation-induced activation of both p38 and Chk2, two proteins that act
downstream of MRK and are involved in DNA damage-induced cell-cycle arrest.
Importantly, in an animal model of medulloblastoma that employs orthotopic
implantation of primary patient-derived UI226 cells in nude mice, M443 in
combination with radiation achieved a synergistic increase in survival. We
hypothesize that combining radiotherapy with M443 will allow us to lower the
radiation dose while maintaining therapeutic efficacy, thereby minimizing
radiation-induced side effects. Mol Cancer Ther; 15(8); 1799-808. (c)2016 AACR.
PMID- 27207783
TI - Significant obesity-associated gene expression changes occur in the stomach but
not intestines in obese mice.
AB - The gastrointestinal (GI) tract can have significant impact on the regulation of
the whole-body metabolism and may contribute to the development of obesity and
diabetes. To systemically elucidate the role of the GI tract in obesity, we
performed a transcriptomic analysis in different parts of the GI tract of two
obese mouse models: ob/ob and high-fat diet (HFD) fed mice. Compared to their
lean controls, significant changes in the gene expression were observed in both
obese mouse groups in the stomach (ob/ob: 959; HFD: 542). In addition, these
changes were quantitatively much higher than in the intestine. Despite the
difference in genetic background, the two mouse models shared 296 similar gene
expression changes in the stomach. Among those genes, some had known associations
to obesity, diabetes, and insulin resistance. In addition, the gene expression
profiles strongly suggested an increased gastric acid secretion in both obese
mouse models, probably through an activation of the gastrin pathway. In
conclusion, our data reveal a previously unknown dominant connection between the
stomach and obesity in murine models extensively used in research.
PMID- 27207782
TI - Role of phosphodiesterase 4 expression in the Epac1 signaling-dependent skeletal
muscle hypertrophic action of clenbuterol.
AB - Clenbuterol (CB), a selective beta2-adrenergic receptor (AR) agonist, induces
muscle hypertrophy and counteracts muscle atrophy. However, it is paradoxically
less effective in slow-twitch muscle than in fast-twitch muscle, though slow
twitch muscle has a greater density of beta-AR We recently demonstrated that
Epac1 (exchange protein activated by cyclic AMP [cAMP]1) plays a pivotal role in
beta2-AR-mediated masseter muscle hypertrophy through activation of the Akt and
calmodulin kinase II (CaMKII)/histone deacetylase 4 (HDAC4) signaling pathways.
Here, we investigated the role of Epac1 in the differential hypertrophic effect
of CB using tibialis anterior muscle (TA; typical fast-twitch muscle) and soleus
muscle (SOL; typical slow-twitch muscle) of wild-type (WT) and Epac1-null mice
(Epac1KO). The TA mass to tibial length (TL) ratio was similar in WT and Epac1KO
at baseline and was significantly increased after CB infusion in WT, but not in
Epac1KO The SOL mass to TL ratio was also similar in WT and Epac1KO at baseline,
but CB-induced hypertrophy was suppressed in both mice. In order to understand
the mechanism involved, we measured the protein expression levels of beta-AR
signaling-related molecules, and found that phosphodiesterase 4 (PDE4) expression
was 12-fold greater in SOL than in TA These results are consistent with the idea
that increased PDE4-mediated cAMP hydrolysis occurs in SOL compared to TA,
resulting in a reduced cAMP concentration that is insufficient to activate Epac1
and its downstream Akt and CaMKII/HDAC4 hypertrophic signaling pathways in SOL of
WT This scenario can account for the differential effects of CB on fast- and slow
twitch muscles.
PMID- 27207784
TI - Enhancement of aging rat laryngeal muscles with endogenous growth factor
treatment.
AB - Clinical evidence suggests that laryngeal muscle dysfunction is associated with
human aging. Studies in animal models have reported morphological changes
consistent with denervation in laryngeal muscles with age. Life-long laryngeal
muscle activity relies on cytoskeletal integrity and nerve-muscle communication
at the neuromuscular junction (NMJ). It is thought that neurotrophins enhance
neuromuscular transmission by increasing neurotransmitter release. We
hypothesized that treatment with neurotrophin 4 (NTF4) would modify the
morphology and functional innervation of aging rat laryngeal muscles. Fifty-six
Fischer 344xBrown Norway rats (6- and 30-mo age groups) were used to evaluate to
determine if NTF4, given systemically (n = 32) or directly (n = 24), would
improve the morphology and functional innervation of aging rat thyroarytenoid
muscles. Results demonstrate the ability of rat laryngeal muscles to remodel in
response to neurotrophin application. Changes were demonstrated in fiber size,
glycolytic capacity, mitochondrial, tyrosine kinase receptors (Trk), NMJ content,
and denervation in aging rat thyroarytenoid muscles. This study suggests that
growth factors may have therapeutic potential to ameliorate aging-related
laryngeal muscle dysfunction.
PMID- 27207785
TI - Effects of solid-phase extraction of plasma in measuring gut metabolic hormones
in fasted and fed blood of lean and diet-induced obese rats.
AB - Glucagon-like peptide-1 (GLP-1), peptide YY (3-36) [PYY(3-36)], amylin, ghrelin,
insulin, and leptin are thought to act as hormonal signals from periphery to
brain to control food intake. Here, we determined the effects of solid-phase
extraction of plasma in measuring these hormones in blood of lean and diet
induced obese rats. Individual enzyme-linked immunoassays and a multiplex assay
were used to measure active GLP-1, total PYY, active amylin, active ghrelin,
insulin, leptin, and total GIP in response to (1) addition of known amounts of
the peptides to lean and obese plasma, (2) a large meal in lean and obese rats,
and (3) intravenous infusions of anorexigenic doses of GLP-1, PYY(3-36), amylin,
and leptin in lean rats. Extraction of lean and obese plasma prior to assays
produced consistent recoveries across assays for GLP-1, PYY, amylin, ghrelin, and
insulin, reflecting losses inherent to the extraction procedure. Plasma
extraction prior to assays generally revealed larger meal-induced changes in
plasma GLP-1, PYY, amylin, ghrelin, and insulin in lean and obese rats. Plasma
extraction and the multiplex assay were used to compare plasma levels of GLP-1,
PYY, and amylin after a large meal with plasma levels produced by IV infusions of
anorexigenic doses of GLP-1, PYY(3-36), and amylin. Infusions produced dose
dependent increases in plasma peptide levels, which were well above their
postprandial levels. These results do not support the hypothesis that
postprandial plasma levels of GLP-1, PYY(3-36), and amylin are sufficient to
decrease food intake by an endocrine mechanism.
PMID- 27207786
TI - Background visual motion affects responses of an insect motion-sensitive neuron
to objects deviating from a collision course.
AB - Stimulus complexity affects the response of looming sensitive neurons in a
variety of animal taxa. The Lobula Giant Movement Detector/Descending
Contralateral Movement Detector (LGMD/DCMD) pathway is well-characterized in the
locust visual system. It responds to simple objects approaching on a direct
collision course (i.e., looming) as well as complex motion defined by changes in
stimulus velocity, trajectory, and transitions, all of which are affected by the
presence or absence of background visual motion. In this study, we focused on
DCMD responses to objects transitioning away from a collision course, which
emulates a successful locust avoidance behavior. We presented each of 20 locusts
with a sequence of complex three-dimensional visual stimuli in simple, scattered,
and progressive flow field backgrounds while simultaneously recording DCMD
activity extracellularly. DCMD responses to looming stimuli were generally
characteristic irrespective of stimulus background. However, changing background
complexity affected, peak firing rates, peak time, and caused changes in peak
rise and fall phases. The DCMD response to complex object motion also varied with
the azimuthal approach angle and the dynamics of object edge expansion. These
data fit with an existing correlational model that relates expansion properties
to firing rate modulation during trajectory changes.
PMID- 27207787
TI - Patterns of expression of factor VIII and von Willebrand factor by endothelial
cell subsets in vivo.
AB - Circulating factor VIII (FVIII) is derived from liver and from extrahepatic
sources probably of endothelial origin, but the vascular sites of FVIII
production remain unclear. Among organs profiled, only liver and lymph nodes
(LNs) show abundant expression of F8 messenger RNA (mRNA). Transcriptomic
profiling of subsets of stromal cells, including endothelial cells (ECs) from
mouse LNs and other tissues, showed that F8 mRNA is expressed by lymphatic ECs
(LECs) but not by capillary ECs (capECs), fibroblastic reticular cells, or
hematopoietic cells. Among blood ECs profiled, F8 expression was seen only in
fenestrated ECs (liver sinusoidal and renal glomerular ECs) and some high
endothelial venules. In contrast, von Willebrand factor mRNA was expressed in
capECs but not in LECs; it was coexpressed with F8 mRNA in postcapillary high
endothelial venules. Purified LECs and liver sinusoidal ECs but not capECs from
LNs secrete active FVIII in culture, and human and mouse lymph contained
substantial FVIII: C activity. Our results revealed localized vascular expression
of FVIII and von Willebrand factor and identified LECs as a major cellular source
of FVIII in extrahepatic tissues.
PMID- 27207788
TI - CHAMPION-1: a phase 1/2 study of once-weekly carfilzomib and dexamethasone for
relapsed or refractory multiple myeloma.
AB - Carfilzomib, a proteasome inhibitor, is approved in the United States as a single
agent, and in combination with dexamethasone or lenalidomide/dexamethasone (KRd)
for relapsed or refractory multiple myeloma (MM). Under the single-agent and KRd
approvals, carfilzomib is administered as a 10-minute IV infusion on days 1, 2,
8, 9, 15, and 16 of 28-day cycles (20 mg/m(2) [cycle 1, days 1-2]; 27 mg/m(2)
thereafter). This multicenter, single-arm, phase 1/2 study, Community Harmonized
Assessment of Myeloma Patients via an Integrated Oncology Network-1 (CHAMPION-1),
evaluated once-weekly carfilzomib with dexamethasone in relapsed, or relapsed and
refractory MM (1-3 prior therapies). Patients received carfilzomib (30-minute IV
infusion) on days 1, 8, and 15 of 28-day cycles. The phase 1 portion used a 3 + 3
dose-escalation scheme to determine the maximum tolerated dose (MTD) of
carfilzomib. During phase 2, patients received carfilzomib on the same schedule
at the MTD. Patients received dexamethasone (40 mg) on days 1, 8, 15, and 22;
dexamethasone was omitted on day 22 for cycles 9+. A total of 116 patients were
enrolled. The MTD was 70 mg/m(2), and 104 patients (phase 1/2) received
carfilzomib 70 mg/m(2) At 70 mg/m(2), the median number of prior regimens was 1;
and 52% were bortezomib-refractory. At 70 mg/m(2), the most common grade >=3
adverse events were fatigue (11%) and hypertension (7%). Overall response rate at
70 mg/m(2) was 77%. Median progression-free survival was 12.6 months. These
findings merit additional evaluation of the once-weekly dosing regimen. This
trial was registered at www.clinicaltrials.gov as #NCT01677858.
PMID- 27207790
TI - Conditional knockout mice demonstrate function of Klf5 as a myeloid transcription
factor.
AB - Kruppel-like factor 5 (Klf5) encodes a zinc-finger transcription factor and has
been reported to be a direct target of C/EBPalpha, a master transcription factor
critical for formation of granulocyte-macrophage progenitors (GMP) and leukemic
GMP. Using an in vivo hematopoietic-specific gene ablation model, we demonstrate
that loss of Klf5 function leads to a progressive increase in peripheral white
blood cells, associated with increasing splenomegaly. Long-term hematopoietic
stem cells (HSCs), short-term HSCs (ST-HSCs), and multipotent progenitors (MPPs)
were all significantly reduced in Klf5(Delta/Delta) mice, and knockdown of KLF5
in human CD34(+) cells suppressed colony-forming potential. ST-HSCs, MPPs, and
total numbers of committed progenitors were increased in the spleen of
Klf5(Delta/Delta) mice, and reduced beta1- and beta2-integrin expression on
hematopoietic progenitors suggests that increased splenic hematopoiesis results
from increased stem and progenitor mobilization. Klf5(Delta/Delta) mice show a
significant reduction in the fraction of Gr1(+)Mac1(+) cells (neutrophils) in
peripheral blood and bone marrow and increased frequency of eosinophils in the
peripheral blood, bone marrow, and lung. Thus, these studies demonstrate dual
functions of Klf5 in regulating hematopoietic stem and progenitor proliferation
and localization in the bone marrow, as well as lineage choice after GMP,
promoting increased neutrophil output at the expense of eosinophil production.
PMID- 27207791
TI - Exploitation of natural killer cells for the treatment of acute leukemia.
AB - Natural killer (NK) cells play an important role in surveillance and elimination
of malignant cells. Their spontaneous cytotoxicity was first demonstrated in
vitro against leukemia cell lines, and NK cells might play a crucial role in the
therapy of leukemia. NK cell activity is controlled by an array of germ line
encoded activating and inhibitory receptors, as well as modulating coreceptors.
This biologic feature can be exploited in allogeneic cell therapy, and the
recognition of "missing-self" on target cells is crucial for promoting NK cell
mediated graft-versus-leukemia effects. In this regard, NK cells that express an
inhibitory killer immunoglobulin-like receptor (iKIR) for which the respective
major histocompatibility complex class I ligand is absent on leukemic target
cells can exert alloreactivity in vitro and in vivo. Several models regarding
potential donor-patient constellations have been described that have demonstrated
the clinical benefit of such alloreactivity of the donor-derived NK cell system
in patients with adult acute myeloid leukemia and pediatric B-cell precursor
acute lymphoblastic leukemia after allogeneic stem cell transplantation.
Moreover, adoptive transfer of mature allogeneic NK cells in the nontransplant or
transplant setting has been shown to be safe and feasible, whereas its
effectivity needs further evaluation. NK cell therapy can be further improved by
optimal donor selection based on phenotypic and genotypic properties, by adoptive
transfer of NK cells with ex vivo or in vivo cytokine stimulation, by the use of
antibodies to induce antibody-dependent cellular cytotoxicity or to block iKIRs,
or by transduction of chimeric antigen receptors.
PMID- 27207789
TI - The Rap1-RIAM-talin axis of integrin activation and blood cell function.
AB - Integrin adhesion receptors mediate the adhesion of blood cells, such as
leukocytes, to other cells, such as endothelial cells. Integrins also are
critical for anchorage of hematopoietic precursors to the extracellular matrix.
Blood cells can dynamically regulate the affinities of integrins for their
ligands ("activation"), an event central to their functions. Here we review
recent progress in understanding the mechanisms of integrin activation with a
focus on the functions of blood cells. We discuss how talin binding to the
integrin beta cytoplasmic domain, in conjunction with the plasma membrane,
induces long-range allosteric rearrangements that lead to integrin activation.
Second, we review our understanding of how signaling events, particularly those
involving Rap1 small guanosine triphosphate (GTP)hydrolases, can regulate the
talin-integrin interaction and resulting activation. Third, we review recent
findings that highlight the role of the Rap1-GTP-interacting adapter molecule
(RIAM), encoded by the APBB1IP gene, in leukocyte integrin activation and
consequently in leukocyte trafficking.
PMID- 27207793
TI - A clinically relevant in vivo zebrafish model of human multiple myeloma to study
preclinical therapeutic efficacy.
AB - Patient-derived multiple myeloma (MM) cells are difficult to establish in culture
or propagate in vivo in murine model. Here, we describe a zebrafish xenograft
model that permits rapid, reliable growth of human MM cells injected into the
perivitelline space of albino zebrafish (Casper) embryos 48 hours
postfertilization. MM1S and MM1R MM cell lines and primary CD138(+) MM cells were
stained with CM-Dil red fluorescent dye and suspended in Matrigel prior to their
injection. The cells grew at the site of injection and disseminated throughout
the developing embryos and larvae. Tumor size was quantified by fluorescent
microscopy, and cell fate was followed for 4 days. All of the cell line
xenografts showed responses similar to those previously observed with in vitro
assays. CD138(+) plasma cell xenografts derived from MM patients also grew and
were inhibited by the same drugs patients had responded to clinically. Using this
technique, we can assess drug sensitivity or resistance with a small number of MM
cells in a short period. This raises the possibility that one might be able to
assess drug sensitivity in real time with readily obtainable clinical samples.
PMID- 27207792
TI - Utilizing cell-based therapeutics to overcome immune evasion in hematologic
malignancies.
AB - Hematologic malignancies provide a suitable testing environment for cell-based
immunotherapies, which were pioneered by the development of allogeneic
hematopoietic stem cell transplant. All types of cell-based therapies, from donor
lymphocyte infusion to dendritic cell vaccines, and adoptive transfer of tumor
specific cytotoxic T cells and natural killer cells, have been clinically
translated for hematologic malignancies. The recent success of chimeric antigen
receptor-modified T lymphocytes in B-cell malignancies has stimulated the
development of this approach toward other hematologic tumors. Similarly, the
remarkable activity of checkpoint inhibitors as single agents has created
enthusiasm for potential combinations with other cell-based immune therapies.
However, tumor cells continuously develop various strategies to evade their
immune-mediated elimination. Meanwhile, the recruitment of immunosuppressive
cells and the release of inhibitory factors contribute to the development of a
tumor microenvironment that hampers the initiation of effective immune responses
or blocks the functions of immune effector cells. Understanding how tumor cells
escape from immune attack and favor immunosuppression is essential for the
improvement of immune cell-based therapies and the development of rational
combination approaches.
PMID- 27207794
TI - Macrophage colony-stimulating factor receptor marks and regulates a fetal myeloid
primed B-cell progenitor in mice.
AB - Although it is well established that unique B-cell lineages develop through
distinct regulatory mechanisms during embryonic development, much less is
understood about the differences between embryonic and adult B-cell progenitor
cells, likely to underpin the genetics and biology of infant and childhood PreB
acute lymphoblastic leukemia (PreB-ALL), initiated by distinct leukemia
initiating translocations during embryonic development. Herein, we establish that
a distinct subset of the earliest CD19(+) B-cell progenitors emerging in the
E13.5 mouse fetal liver express the colony-stimulating factor-1 receptor (CSF1R),
previously thought to be expressed, and play a lineage-restricted role in
development of myeloid lineages, and macrophages in particular. These early
embryonic CSF1R(+)CD19(+) ProB cells also express multiple other myeloid genes
and, in line with this, possess residual myeloid as well as B-cell, but not T
cell lineage potential. Notably, these CSF1R(+) myeloid-primed ProB cells are
uniquely present in a narrow window of embryonic fetal liver hematopoiesis and do
not persist in adult bone marrow. Moreover, analysis of CSF1R-deficient mice
establishes a distinct role of CSF1R in fetal B-lymphopoiesis. CSF1R(+) myeloid
primed embryonic ProB cells are relevant for infant and childhood PreB-ALLs,
which frequently have a bi-phenotypic B-myeloid phenotype, and in which CSF1R
rearrangements have recently been reported.
PMID- 27207795
TI - BLVRB redox mutation defines heme degradation in a metabolic pathway of enhanced
thrombopoiesis in humans.
AB - Human blood cell counts are tightly maintained within narrow physiologic ranges,
largely controlled by cytokine-integrated signaling and transcriptional circuits
that regulate multilineage hematopoietic specification. Known genetic loci
influencing blood cell production account for <10% of platelet and red blood cell
variability, and thrombopoietin/cellular myeloproliferative leukemia virus
liganding is dispensable for definitive thrombopoiesis, establishing that
fundamentally important modifier loci remain unelucidated. In this study,
platelet transcriptome sequencing and extended thrombocytosis cohort analyses
identified a single loss-of-function mutation (BLVRB(S111L)) causally associated
with clonal and nonclonal disorders of enhanced platelet production. BLVRB(S111L)
encompassed within the substrate/cofactor [alpha/beta dinucleotide NAD(P)H]
binding fold is a functionally defective redox coupler using flavin and
biliverdin (BV) IXbeta tetrapyrrole(s) and results in exaggerated reactive oxygen
species accumulation as a putative metabolic signal leading to differential
hematopoietic lineage commitment and enhanced thrombopoiesis. These data define
the first physiologically relevant function of BLVRB and implicate its activity
and/or heme-regulated BV tetrapyrrole(s) in a unique redox-regulated bioenergetic
pathway governing terminal megakaryocytopoiesis; these observations also define a
mechanistically restricted drug target retaining potential for enhancing human
platelet counts.
PMID- 27207796
TI - Human neutrophil peptides inhibit cleavage of von Willebrand factor by ADAMTS13:
a potential link of inflammation to TTP.
AB - Infection or inflammation may precede and trigger formation of microvascular
thrombosis in patients with acquired thrombotic thrombocytopenic purpura (TTP).
However, the mechanism underlying this clinical observation is not fully
understood. Here, we show that human neutrophil peptides (HNPs) released from
activated and degranulated neutrophils inhibit proteolytic cleavage of von
Willebrand factor (VWF) by ADAMTS13 in a concentration-dependent manner. Half
maximal inhibitory concentrations of native HNPs toward ADAMTS13-mediated
proteolysis of peptidyl VWF73 and multimeric VWF are 3.5 MUM and 45 MUM,
respectively. Inhibitory activity of HNPs depends on the RRY motif that is shared
by the spacer domain of ADAMTS13. Native HNPs bind to VWF73 (KD = 0.72 MUM),
soluble VWF (KD = 0.58 MUM), and ultra-large VWF on endothelial cells. Enzyme
linked immunosorbent assay (ELISA) demonstrates markedly increased plasma HNPs1-3
in most patients with acquired autoimmune TTP at presentation (median, ~170
ng/mL; range, 58-3570; n = 19) compared with healthy controls (median, ~23 ng/mL;
range, 6-44; n = 18) (P < .0001). Liquid chromatography plus tandem mass
spectrometry (LC-MS/MS) reveals statistically significant increases of HNP1,
HNP2, and HNP3 in patient samples (all P values <.001). There is a good
correlation between measurement of HNPs1-3 by ELISA and by LC-MS/MS (Spearman rho
= 0.7932, P < .0001). Together, these results demonstrate that HNPs1-3 may be
potent inhibitors of ADAMTS13 activity, likely by binding to the central A2
domain of VWF and physically blocking ADAMTS13 binding. Our findings may provide
a novel link between inflammation/infection and the onset of microvascular
thrombosis in acquired TTP and potentially other immune thrombotic disorders.
PMID- 27207797
TI - Impairment of dendritic cell functions in patients with adaptor protein-3 complex
deficiency.
AB - Hermansky-Pudlak syndrome type 2 (HPS2) is a primary immunodeficiency due to
adaptor protein-3 (AP-3) complex deficiency. HPS2 patients present neutropenia,
partial albinism, and impaired lysosomal vesicles formation in hematopoietic
cells. Given the role of dendritic cells (DCs) in the immune response, we studied
monocyte-derived DCs (moDCs) and plasmacytoid DCs (pDCs) in two HPS2 siblings.
Mature HPS2 moDCs showed impaired expression of CD83 and DC-lysosome-associated
membrane protein (LAMP), low levels of MIP1-beta/CCL4, MIG/CXCL9, and severe
defect of interleukin-12 (IL-12) secretion. DCs in lymph-node biopsies from the
same patients showed a diffuse cytoplasm reactivity in a large fraction of DC
LAMP(+) cells, instead of the classical dot-like stain. In addition, analysis of
pDC-related functions of blood-circulating mononuclear cells revealed reduced
interferon-alpha secretion in response to herpes simplex virus-1 (HSV-1), whereas
granzyme-B induction upon IL-3/IL-10 stimulation was normal. Finally, T-cell
costimulatory activity, as measured by mixed lymphocyte reaction assay, was lower
in patients, suggesting that function and maturation of DCs is abnormal in
patients with HPS2.
PMID- 27207798
TI - The role of whole brain radiation in primary CNS lymphoma.
PMID- 27207799
TI - Toxicities of chimeric antigen receptor T cells: recognition and management.
AB - Chimeric antigen receptor (CAR) T cells can produce durable remissions in
hematologic malignancies that are not responsive to standard therapies. Yet the
use of CAR T cells is limited by potentially severe toxicities. Early case
reports of unexpected organ damage and deaths following CAR T-cell therapy first
highlighted the possible dangers of this new treatment. CAR T cells can
potentially damage normal tissues by specifically targeting a tumor-associated
antigen that is also expressed on those tissues. Cytokine release syndrome (CRS),
a systemic inflammatory response caused by cytokines released by infused CAR T
cells can lead to widespread reversible organ dysfunction. CRS is the most common
type of toxicity caused by CAR T cells. Neurologic toxicity due to CAR T cells
might in some cases have a different pathophysiology than CRS and requires
different management. Aggressive supportive care is necessary for all patients
experiencing CAR T-cell toxicities, with early intervention for hypotension and
treatment of concurrent infections being essential. Interleukin-6 receptor
blockade with tocilizumab remains the mainstay pharmacologic therapy for CRS,
though indications for administration vary among centers. Corticosteroids should
be reserved for neurologic toxicities and CRS not responsive to tocilizumab.
Pharmacologic management is complicated by the risk of immunosuppressive therapy
abrogating the antimalignancy activity of the CAR T cells. This review describes
the toxicities caused by CAR T cells and reviews the published approaches used to
manage toxicities. We present guidelines for treating patients experiencing CRS
and other adverse events following CAR T-cell therapy.
PMID- 27207800
TI - CD19-targeted CAR T-cell therapeutics for hematologic malignancies: interpreting
clinical outcomes to date.
AB - Adoptive transfer of T cells genetically modified to express chimeric antigen
receptors (CARs) targeting CD19 has produced impressive results in treating
patients with B-cell malignancies. Although these CAR-modified T cells target the
same antigen, the designs of CARs vary as well as several key aspects of the
clinical trials in which these CARs have been studied. It is unclear whether
these differences have any impact on clinical outcome and treatment-related
toxicities. Herein, we review clinical results reflecting the investigational use
of CD19-targeted CAR T-cell therapeutics in patients with B-cell hematologic
malignancies, in light of differences in CAR design and production, and outline
the limitations inherent in comparing outcomes between studies.
PMID- 27207801
TI - T cells for viral infections after allogeneic hematopoietic stem cell transplant.
AB - Despite recent advances in the field of allogeneic hematopoietic stem cell
transplantation (HSCT), viral infections are still a major complication during
the period of immune suppression that follows the procedure. Adoptive transfer of
donor-derived virus-specific cytotoxic T cells (VSTs) is a strategy to rapidly
restore virus-specific immunity to prevent or treat viral diseases after HSCT.
Early proof of principle studies demonstrated that the administration of donor
derived T cells specific for cytomegalovirus or Epstein-Barr virus (EBV) could
effectively restore virus-specific immunity and control viral infections.
Subsequent studies using different expansion or direct selection techniques have
shown that donor-derived VSTs confer protection in vivo after adoptive transfer
in 70% to 90% of recipients. Because a major cause of failure is lack of immunity
to the infecting virus in a naive donor, more recent studies have infused closely
matched third-party VSTs and reported response rates of 60% to 70%. Current
efforts have focused on broadening the applicability of this approach by: (1)
extending the number of viral antigens being targeted, (2) simplifying
manufacture, (3) exploring strategies for recipients of virus-naive donor grafts,
and (4) developing and optimizing "off the shelf" approaches.
PMID- 27207804
TI - Transcription Factors Directing Th2 Differentiation: Gata-3 Plays a Dominant
Role.
PMID- 27207803
TI - Introduction to a review series on advances in cell-based immune therapeutics in
hematology.
PMID- 27207802
TI - Optimizing T-cell receptor gene therapy for hematologic malignancies.
AB - Recent advances in genetic engineering have enabled the delivery of clinical
trials using patient T cells redirected to recognize tumor-associated antigens.
The most dramatic results have been seen with T cells engineered to express a
chimeric antigen receptor (CAR) specific for CD19, a differentiation antigen
expressed in B cells and B lineage malignancies. We propose that antigen
expression in nonmalignant cells may contribute to the efficacy of T-cell therapy
by maintaining effector function and promoting memory. Although CAR recognition
is limited to cell surface structures, T-cell receptors (TCRs) can recognize
intracellular proteins. This not only expands the range of tumor-associated self
antigens that are amenable for T-cell therapy, but also allows TCR targeting of
the cancer mutagenome. We will highlight biological bottlenecks that potentially
limit mutation-specific T-cell therapy and may require high-avidity TCRs that are
capable of activating effector function when the concentrations of mutant
peptides are low. Unexpectedly, modified TCRs with artificially high affinities
function poorly in response to low concentration of cognate peptide but pose an
increased safety risk as they may respond optimally to cross-reactive peptides.
Recent gene-editing tools, such as transcription activator-like effector
nucleases and clustered regularly interspaced short palindromic repeats, provide
a platform to delete endogenous TCR and HLA genes, which removes alloreactivity
and decreases immunogenicity of third-party T cells. This represents an important
step toward generic off-the-shelf T-cell products that may be used in the future
for the treatment of large numbers of patients.
PMID- 27207805
TI - Pillars Article: The Transcription Factor GATA-3 Is Necessary and Sufficient for
Th2 Cytokine Gene Expression in CD4 T Cells. Cell. 1997. 89: 587-596.
PMID- 27207808
TI - Correction: Nck Binds to the T Cell Antigen Receptor Using Its SH3.1 and SH2
Domains in a Cooperative Manner, Promoting TCR Functioning.
PMID- 27207806
TI - Emerging Role and Characterization of Immunometabolism: Relevance to HIV
Pathogenesis, Serious Non-AIDS Events, and a Cure.
AB - Immune cells cycle between a resting and an activated state. Their metabolism is
tightly linked to their activation status and, consequently, functions. Ag
recognition induces T lymphocyte activation and proliferation and acquisition of
effector functions that require and depend on cellular metabolic reprogramming.
Likewise, recognition of pathogen-associated molecular patterns by monocytes and
macrophages induces changes in cellular metabolism. As obligate intracellular
parasites, viruses manipulate the metabolism of infected cells to meet their
structural and functional requirements. For example, HIV-induced changes in
immune cell metabolism and redox state are associated with CD4(+) T cell
depletion, immune activation, and inflammation. In this review, we highlight how
HIV modifies immunometabolism with potential implications for cure research and
pathogenesis of comorbidities observed in HIV-infected patients, including those
with virologic suppression. In addition, we highlight recently described key
methods that can be applied to study the metabolic dysregulation of immune cells
in disease states.
PMID- 27207807
TI - Correction: Exosome-like Nanoparticles from Intestinal Mucosal Cells Carry
Prostaglandin E2 and Suppress Activation of Liver NKT Cells.
PMID- 27207809
TI - Pulmonary Disease Caused by Non-Tuberculous Mycobacteria.
AB - Non-tuberculous mycobacteria (NTM) include more than 160 ubiquitous,
environmental, acid-fast-staining bacterial species, some of which may cause
disease in humans. Chronic pulmonary infection is the most common clinical
manifestation. Although patients suffering from chronic lung diseases are
particularly susceptible to NTM pulmonary disease, many affected patients have no
apparent risk factors. Host and pathogen factors leading to NTM pulmonary disease
are not well understood and preventive therapies are lacking. NTM isolation and
pulmonary disease are reported to rise in frequency in Europe as well as in other
parts of the world. Differentiation between contamination, infection, and disease
remains challenging. Treatment of NTM pulmonary disease is arduous, lengthy, and
costly. Correlations between results of in vitro antibiotic susceptibility
testing and clinical treatment outcomes are only evident for the Mycobacterium
avium complex, M. kansasii, and some rapidly growing mycobacteria. We describe
the epidemiology of NTM pulmonary disease as well as emerging NTM pathogens and
their geographical distribution in non-cystic fibrosis patients in Europe. We
also review recent innovations for the diagnosis of NTM pulmonary disease,
summarize treatment recommendations, and identify future research priorities to
improve the management of patients affected by NTM pulmonary disease.
PMID- 27207810
TI - Modeling the growth of Lactobacillus viridescens under non-isothermal conditions
in vacuum-packed sliced ham.
AB - Lactic acid bacteria (LAB) are responsible for spoiling vacuum-packed meat
products, such as ham. Since the temperature is the main factor affecting the
microbial dynamic, the use of mathematical models describing the microbial
behavior into a non-isothermal environment can be very useful for predicting food
shelf life. In this study, the growth of Lactobacillus viridescens was measured
in vacuum-packed sliced ham under non-isothermal conditions, and the predictive
ability of primary (Baranyi and Roberts, 1994) and secondary (Square Root) models
were assessed using parameters estimated in MRS culture medium under isothermal
conditions (between 4 and 30 degrees C). Fresh ham piece was sterilized, sliced,
inoculated, vacuum-packed, and stored in a temperature-controlled incubator at
five different non-isothermal conditions (between 4 and 25 degrees C) and one
isothermal condition (8 degrees C). The mathematical models obtained in MRS
medium were assessed by comparing predicted values with L. viridescens growth
data in vacuum-packed ham. Its predictive ability was assessed through
statistical indexes, with good results (bias factor between 0.95 and 1.03;
accuracy factor between 1.04 and 1.07, and RMSE between 0.76 and 1.33),
especially in increasing temperature, which predictions were safe. The model
parameters obtained from isothermal growth data in MRS medium enabled to estimate
the shelf life of a commercial ham under non-isothermal conditions in the
temperature range analyzed.
PMID- 27207811
TI - Microbial variability in growth and heat resistance of a pathogen and a spoiler:
All variabilities are equal but some are more equal than others.
AB - Quantitative microbiology is used in risk assessment studies, microbial shelf
life studies, product development, and experimental design. Realistic prediction
is, however, complicated by different sources of variability. The final
concentration of microorganisms at the moment of consumption is affected by
different sources of variability: variability in the storage times and
temperatures, variability in product characteristics, variability in process
characteristics, variability in the initial contamination of the raw materials,
and last but not least, microbiological variability. This article compares
different sources of microbiological variability in growth and inactivation
kinetics of a pathogen and a spoiler, namely experimental variability,
reproduction variability (within strain variability), strain variability (between
strain variability) and variability between individual cells within a population
(population heterogeneity). Comparison of the different sources of
microbiological variability also allows to prioritize their importance. In
addition, the microbiological variability is compared to other variability
factors encountered in a model food chain to evaluate the impact of different
variability factors on the variability in microbial levels encountered in the
final product.
PMID- 27207812
TI - Noninvasive epicardial and endocardial mapping of premature ventricular
contractions.
AB - Aims: The aim of the present study was to estimate the accuracy of a novel non
invasive epicardial and endocardial electrophysiology system (NEEES) for mapping
ectopic ventricular depolarizations. Methods and results: The study enrolled 20
patients with monomorphic premature ventricular contractions (PVCs) or
ventricular tachycardia (VT). All patients underwent pre-procedural computed
tomography or magnetic resonance imaging of the heart and torso. Radiographic
data were semi-automatically processed by the NEEES to reconstruct a realistic 3D
model of the heart and torso. In the electrophysiology laboratory, body-surface
electrodes were connected to the NEEES followed by unipolar EKG recordings during
episodes of PVC/VT. The body-surface EKG data were processed by the NEEES using
its inverse-problem solution software in combination with anatomical data from
the heart and torso. The earliest site of activation as denoted on the NEEES 3D
heart model was compared with the PVC/VT origin using a 3D electroanatomical
mapping system. The site of successful catheter ablation served as final
confirmation. A total of 21 PVC/VT morphologies were analysed and ablated. The
chamber of interest was correctly diagnosed non-invasively in 20 of 21 (95%)
PVC/VT cases. In 18 of the 21 (86%) cases, the correct ventricular segment was
diagnosed. Catheter ablation resulted in acute success in 19 of the 20 (95%)
patients, whereas 1 patient underwent successful surgical ablation. During 6
months of follow-up, 19 of the 20 (95%) patients were free from recurrence off
antiarrhythmic drugs. Conclusion: The NEEES accurately identified the site of
PVC/VT origin. Knowledge of the potential site of the PVC/VT origin may aid the
physician in planning a successful ablation strategy.
PMID- 27207814
TI - Increased risk of stroke and mortality following new-onset atrial fibrillation
during hospitalization.
AB - Aims: The outcome of patients who develop new-onset atrial fibrillation (AF)
during hospitalization is unknown, and the management of this patient population
is not specifically addressed by current guidelines. We investigated the
incidence of death and inhospital stroke among a large cohort of hospitalized
inpatients who developed new-onset AF. Methods and results: All patients >=50
years of age admitted to a tertiary academic medical centre (20 April 2005 to 31
December 2011; n = 84 919) were studied. Demographic variables were compared
among patients categorized as having new-onset, pre-existing, or no AF. A
propensity-matched analysis was employed to compare outcomes by generalized
estimating equations. Primary endpoints were all-cause 30-day and 1-year
mortality and inhospital stroke. New-onset AF occurred in 1749 (2.1%)
hospitalized patients. Among patients with new-onset AF, mortality at 30 days and
1 year was higher compared with patients without AF (at 30 days: OR 2.28, 95% CI
1.72-3.02, P < 0.0001; at 1 year: RR 1.53, 95% CI 1.36-1.73, P < 0.0001), and
compared with patients with pre-existing AF at 30 days (OR 1.52, 95% CI 1.06
2.17, P = 0.02) -an effect that persisted as non-significant trend at 1 year (RR
1.14, 95% CI 0.98-1.34, P = 0.09). Risk of inhospital stroke was higher in
patients with new-onset AF compared with patients without AF (OR 4.53, 95% CI
1.36-15.11, P = 0.02). Among patients with new-onset AF, the CHA2DS2-Vasc score
correlated with incidence of inhospital stroke. Conclusion: New-onset AF among
hospitalized inpatients is independently associated with an increased incidence
of stroke and mortality.
PMID- 27207813
TI - Ultrasound-guided cannulation of the femoral vein in electrophysiological
procedures: a systematic review and meta-analysis.
AB - Aims: In an effort to minimize periprocedural stroke risk, increasingly,
electrophysiological (EP) procedures are being performed on anticoagulation. The
decrease in stroke has been accompanied by an increase in potentially devastating
vascular access complications. Ultrasound guidance for femoral vein cannulation
reduces complications in other applications. The aim of this study is to
determine the utility of real-time two-dimensional (2D) ultrasound guidance for
femoral vein cannulation in EP. Methods and results: A comprehensive literature
search of Medline, Embase, Google Scholar, and the Cochrane Central Register of
Controlled Trials was performed. Five years of conference abstracts from the
Heart Rhythm Society, European Heart Rhythm Association, and European Cardiac
Arrhythmia Society were reviewed. Two independent reviewers identified trials
comparing ultrasound-guided with standard cannulation in EP procedures. Data were
extracted on study design, study size, operator and patient characteristics, use
of anticoagulation, vascular complication rates, first-pass success rate, and
inadvertent arterial puncture. Four trials, with a total of 4065 subjects, were
included in the review, with 1848 subjects in the ultrasound group and 2217
subjects in the palpation group. Ultrasound guidance for femoral vein cannulation
was associated with a 60% reduction of major vascular bleeding (relative risk,
0.40; 95% confidence interval, 0.28-0.91). Additionally, there was a 66%
reduction in minor vascular complications (relative risk, 0.34; 95% confidence
interval, 0.15-0.78). Conclusion: The use of real-time 2D ultrasound guidance for
femoral vein cannulation decreases access-related bleeding rates and life
threatening vascular complications.
PMID- 27207816
TI - Exclusive Breastfeeding and the Acceptability of Donor Breast Milk for Sick,
Hospitalized Infants in Kupang, Nusa Tenggara Timur, Indonesia: A Mixed-Methods
Study.
AB - BACKGROUND: The eastern Indonesian province of Nusa Tenggara Timur (NTT) has an
infant mortality rate of 45 per 1000, higher than the national average (28/1000).
Exclusive breastfeeding, important for improving newborn and infant survival, is
encouraged among hospitalized infants in Kupang, the provincial capital of NTT.
However, barriers to hospitalized infants receiving breast milk may exist.
OBJECTIVES: This study explored the barriers and enablers to exclusive
breastfeeding among sick and low birth weight hospitalized infants in Kupang,
NTT. The attitudes and cultural beliefs of health workers and mothers regarding
the use of donor breast milk (DBM) were also explored. METHODS: A mixed-methods
study using a convergent parallel design was conducted. A convenience sample of
74 mothers of hospitalized infants and 8 hospital staff participated in semi
structured interviews. Facility observational data were also collected. Analysis
was conducted using Davis's barrier analysis method. RESULTS: Of the 73
questionnaires analyzed, we found that 39.7% of mothers retrospectively reported
exclusively breastfeeding and 37% of mothers expressed breast milk. Expressing
was associated with maternal reported exclusive breastfeeding chi(2) (1, N = 73)
= 6.82, P = .009. Staff supported breastfeeding for sick infants, yet mothers
could only access infants during set nursery visiting hours. No mothers used DBM,
and most mothers and staff found the concept distasteful. CONCLUSIONS: Increasing
mothers' opportunities for contact with infants is the first step to increasing
exclusive breastfeeding rates among hospitalized infants in Kupang. This will
facilitate mothers to express their breast milk, improve the acceptability of
DBM, and enhance the feasibility of establishing a DBM bank.
PMID- 27207815
TI - Five seconds of 50-60 W radio frequency atrial ablations were transmural and
safe: an in vitro mechanistic assessment and force-controlled in vivo validation.
AB - Aims: Longer procedural time is associated with complications in radiofrequency
atrial fibrillation ablation. We sought to reduce ablation time and thereby
potentially reduce complications. The aim was to compare the dimensions and
complications of 40 W/30 s setting to that of high-power ablations (50-80 W) for
5 s in the in vitro and in vivo models. Methods and results: In vitro ablations
40 W/30 s were compared with 40-80 W powers for 5 s. In vivo ablations-40 W/30 s
were compared with 50-80 W powers for 5 s. All in vivo ablations were performed
with 10 g contact force and 30 mL/min irrigation rate. Steam pops and depth of
lung lesions identified post-mortem were noted as complications. A total of 72
lesions on the non-trabeculated part of right atrium were performed in 10 Ovine.
All in vitro ablations except for the 40 W/5 s setting achieved the critical
lesion depth of 2 mm. For in vivo ablations, all lesions were transmural, and the
lesion depths for the settings of 40 W/30 s, 50 W/5 s, 60 W/5 s, 70 W/5 s, and 80
W/5 s were 2.2 +/- 0.5, 2.3 +/- 0.5, 2.1 +/- 0.4, 2.0 +/- 0.3, and 2.3 +/- 0.7
mm, respectively. The lesion depths of short-duration ablations were similar to
that of the conventional ablation. Steam pops occurred in the ablation settings
of 40 W/30 s and 80 W/5 s in 8 and 11% of ablations, respectively. Complications
were absent in short-duration ablations of 50 and 60 W. Conclusion: High-power,
short-duration atrial ablation was as safe and effective as the conventional
ablation. Compared with the conventional 40 W/30 s setting, 50 and 60 W ablation
for 5 s achieved transmurality and had fewer complications.
PMID- 27207817
TI - Where people look for online health information.
AB - OBJECTIVES: To identify health-related websites Americans are using, demographic
characteristics associated with certain website type and how website type shapes
users' online information seeking experiences. METHODS: Data from the Health
Information National Trends Survey 4 Cycle 1 were used. User-identified websites
were categorised into four types: government sponsored, commercially based,
academically affiliated and search engines. Logistic regression analyses examined
associations between users' sociodemographic characteristics and website type,
and associations between website type and information search experience. RESULTS:
Respondents reported using: commercial websites (71.8%), followed by a search
engines (11.6%), academically affiliated sites (11.1%) and government-sponsored
websites (5.5%). Older age was associated with the use of academic websites (OR
1.03, 95% CI 1.02, 1.04); younger age with commercial website use (OR 0.97, 95%
CI 0.95, 0.98). Search engine use predicted increased levels of frustration,
effort and concern over website information quality, while commercial website use
predicted decreased levels of these same measures. DISCUSSION: Health information
seekers experience varying levels of frustration, effort and concern related to
their online searching. CONCLUSION: There is a need for continued efforts by
librarians and health care professionals to train seekers of online health
information to select websites using established guidelines and quality criteria.
PMID- 27207818
TI - Exogenous indirect photoinactivation of bacterial pathogens and indicators in
water with natural and synthetic photosensitizers in simulated sunlight with
reduced UVB.
AB - AIMS: To investigate the UVB-independent and exogenous indirect photoinactivation
of eight human health-relevant bacterial species in the presence of
photosensitizers. METHODS AND RESULTS: Eight bacterial species were exposed to
simulated sunlight with greatly reduced UVB light intensity in the presence of
three synthetic photosensitizers and two natural photosensitizers. Inactivation
curves were fit with shoulder log-linear or first-order kinetic models, from
which the presence of a shoulder and magnitude of inactivation rate constants
were compared. Eighty-four percent reduction in the UVB light intensity roughly
matched a 72-95% reduction in the overall bacterial photoinactivation rate
constants in sensitizer-free water. With the UVB light mostly reduced, the
exogenous indirect mechanism contribution was evident for most bacteria and
photosensitizers tested, although most prominently with the Gram-positive
bacteria. CONCLUSIONS: Results confirm the importance of UVB light in bacterial
photoinactivation and, with the reduction of the UVB light intensity, that the
Gram-positive bacteria are more vulnerable to the exogenous indirect mechanism
than Gram-negative bacteria. SIGNIFICANCE AND IMPACT OF THE STUDY: UVB is the
most important range of the sunlight spectrum for bacterial photoinactivation. In
aquatic environments where photosensitizers are present and there is high UVB
light attenuation, UVA and visible wavelengths can contribute to exogenous
indirect photoinactivation.
PMID- 27207819
TI - Balancing the Evidence: How to Reconcile the Results of Observational Studies vs.
Randomized Clinical Trials in Dialysis.
AB - Because large randomized clinical trials (RCTs) in dialysis have been relatively
scarce, evidence-based dialysis care has depended heavily on the results of
observational studies. However, when results from RCTs appear to contradict the
findings of observational studies, nephrologists are left to wonder which type of
study they should believe. In this editorial, we explore the key differences
between observational studies and RCTs in the context of such seemingly
conflicting studies in dialysis. Confounding is the major limitation of
observational studies, whereas low statistical power and problems with external
validity are more likely to limit the findings of RCTs. Differences in the
specification of the population, exposure, and outcomes can also contribute to
different results among RCTs and observational studies. Rigorous methods are
required regardless of what type of study is conducted, and readers should not
automatically assume that one type of study design is superior to the other.
Ultimately, dialysis care requires both well-designed, well-conducted
observational studies and RCTs to move the field forward.
PMID- 27207821
TI - Biomarkers in acute kidney injury: Evidence or paradigm?
AB - Acute kidney injury in the critically ill represents an independent risk factor
of morbidity and mortality in the short and long terms, with significant economic
impacts in terms of public health costs. Currently its diagnosis is still based
on the presence of oliguria and/or a gradual increase in serum creatinine, which
make the diagnosis a delayed event and to detriment of the so-called 'therapeutic
window'. The appearance of new biomarkers of acute kidney injury could
potentially improve this situation, contributing to the detection of 'subclinical
acute kidney injury', which could allow the precocious employment of multiple
treatment strategies in order to preserve kidney function. However these new
biomarkers display sensitive features that may threaten their full capacity of
action, which focus specifically on their additional contribution in the early
approach of the situation, given the lack of specific validated treatments for
acute kidney injury. This review aims to analyze the strengths and weaknesses of
these new tools in the early management of acute kidney injury.
PMID- 27207822
TI - The relationship between insurance claim closure and recovery after traffic
injuries for individuals with whiplash associated disorders.
AB - PURPOSE: The purpose of this study was to determine if time to claim closure was
similar to time to self-reported recovery in a no fault motor vehicle collision
insurance system. METHOD: A prospective cohort of traffic injured adults with a
whiplash-associated disorder (WAD) was assembled. We excluded participants who
applied for benefits after 42 days of the collision, who were in hospital for
more than two days and participants who were not followed up at least once after
their injury. Questionnaires were completed at baseline, six weeks, three-, six-,
nine- and 12-months after the collision. RESULTS: The mean age of the cohort was
39 years and 66% were female. The mean number of days until claim closure and for
self-reported recovery was 291days and 134 days, respectively. We found those who
had their claim closed at each follow-up period had lower levels of disability
and were more likely to report they were recovered than participants with open
claims. CONCLUSION: We conclude that time to claim closure could be used as an
outcome measure in traffic collision; however, this measure should be used with
caution since it over-estimates the true time to recovery. Implications for
Rehabilitation Time to claim closure as an outcome measure for whiplash
associated disorders has been criticized in the literature because it is thought
that closure is not reflective of the health status of the individual. We found
that claim closure was associated with lower levels of disability, but the time
to claim closure was significantly longer than the time to self-reported
recovery. Time to claim closure may be used with caution as a "proxy" measure of
recovery from an injury; however, it must be noted that it over-estimates the
true time of recovery.
PMID- 27207820
TI - Reduction of potassium content of green bean pods and chard by culinary
processing. Tools for chronic kidney disease.
AB - INTRODUCTION: In order to prevent a possible hyperkalemia, chronic renal
patients, especially in advanced stages, must follow a low potassium diet. So
dietary guidelines for chronic kidney disease recommend limiting the consumption
of many vegetables, as well as to apply laborious culinary techniques to maximize
the reduction of potassium. OBJECTIVE: The aim of this work is to analyze
potassium content from several vegetable, fresh products, frozen and preserved,
as well as check and compare the effectiveness in potassium reduction of
different culinary processes, some of them recommended in dietary guidelines such
as soaking or double cooking. METHODS: Sample potassium content was analyzed by
triplicate using flamephotometry. RESULTS: The results showed significant
reductions in potassium content in all culinary processes studied. The degree of
loss varied depending on the type of vegetable and processing applied. Frozen
products achieved greater reductions than the fresh ones, obtaining in some cases
losses greater than 90%. In addition, it was observed how in many cases the
single application of a normal cooking reached potassium reductions to acceptable
levels for its inclusion in renal patient diet. CONCLUSION: The results shown in
this study are very positive because they provide tools for professionals who
deal with this kind of patients. They allow them to adapt more easily to the
needs and preferences of their patients and increase dietary variety.
PMID- 27207823
TI - Congenital and Acquired Disorders of Ureteral Course.
AB - A wide variety of entities can alter the course of the ureter in the abdomen and
pelvis. These include conditions both intrinsic and extrinsic to the ureter
leading to a number of different ureteral abnormalities including thickening,
displacement, dilatation, etc. An understanding of ureteral pathology, as with
any organ, first requires understanding of the normal anatomic appearance. The
ureter can be evaluated in a number of ways, including radiographs, such as
intravenous pyelogram and retrograde pyelogram, as well as computed tomography or
magnetic resonance urography. The unopacified ureter can also be evaluated on
examinations tailored for evaluation of other pathologic entities. Although the
full spectrum of ureteral pathology is rather broad, this article serves as a
review of the normal embryology and anatomy of the ureter, methods of evaluating
the ureter at imaging, and entities that can alter the course of the ureter.
These potential disorders of ureteral course include embryologic causes; surgical
procedures; and displacement by inflammatory, neoplastic, and anatomic
abnormalities.
PMID- 27207824
TI - Endoscopic removal of over-the-scope clip with cold saline solution technique.
PMID- 27207825
TI - Impact of carbon dioxide insufflation and water exchange on postcolonoscopy
outcomes in patients receiving on-demand sedation: a randomized controlled trial.
AB - BACKGROUND AND AIMS: Water exchange (WE) is the least painful insertion method
during colonoscopy. Its impact on postcolonoscopy discomfort has not been well
described. Carbon dioxide (CO2) insufflation consistently reduced postcolonoscopy
discomfort. We compared postcolonoscopy outcomes of various combinations of
insertion and withdrawal techniques (insertion-withdrawal modality): WE-CO2, WE
air insufflation (WE-AI), and CO2-CO2. METHODS: A total of 240 patients
undergoing on-demand sedation diagnostic colonoscopy were randomized to WE-CO2 (n
= 79), WE-AI (n = 80), CO2-CO2 (n = 81), with postprocedural data collected up to
24 hours. The primary outcome was postcolonoscopy bloating. Other postcolonoscopy
outcomes included pain scores, flatus and incontinence episodes, toilet use,
interference with normal activities, patient satisfaction, and patient
willingness to repeat the procedure. RESULTS: Demographic and procedural data
were comparable. Compared with WE-AI, WE-CO2 and CO2-CO2 resulted in
significantly less bloating (all P < .0005) and lower pain scores (P values
ranged from .008 to < .0005) up to 3 hours and fewer flatus episodes up to 6
hours (P values ranged from .003 to < .0005). WE-CO2 resulted in less
interference with same-day activities compared with WE-AI (P = .043). The
differences in postprocedural outcomes were significant, but the magnitude was
small. Patient satisfaction and willingness to repeat the procedure were high and
comparable among groups. WE was the least painful insertion technique (P <
.0005). CONCLUSIONS: The combination WE-CO2 appears to be the optimal choice to
decrease pain during the examination and to reduce bloating and other undesired
procedural outcomes afterward. If a CO2 insufflator is already available, it
seems advisable to adopt the combination WE-CO2. In the absence of a CO2
insufflator, the cost effectiveness of the addition of withdrawal CO2 to WE in
diagnostic and nondiagnostic settings needs to be critically assessed. (Clinical
trial registration number: NCT02409979.).
PMID- 27207826
TI - Tip-in EMR for R0 resection for a large flat colonic tumor.
PMID- 27207827
TI - Digital cholangioscopy for targeted photodynamic therapy of unresectable
cholangiocarcinoma.
PMID- 27207828
TI - Endoscopic management of walled-off necrosis complicated by colocystic fistula.
PMID- 27207829
TI - China Human Placenta Project: A global effort to promote placenta medicine.
PMID- 27207830
TI - [Burns related to electrosurgery - Report of two cases].
AB - Electrosurgery is a technology developed over the last few years and has become a
very important tool in modern surgery. Most of the equipment is considered safe,
although there are risks related to its use. Several lesions may be caused by
electrocautery, and burns are the most common and feared. We report two cases of
burns related to use of electrocautery and promote a literature review, because
knowledge of electrosurgery fundamentals, its correct use, the choice of a safety
device, constant monitoring, and immediate investigation before any suspicions
surely can improve the operational experience for both surgeon and patient.
PMID- 27207831
TI - A combination of small molecules directly reprograms mouse fibroblasts into
neural stem cells.
AB - The generation of induced neural stem cells (iNSCs) from somatic cells using
defined factors provides new avenues for basic research and cell therapies for
various neurological diseases, such as Parkinson's disease, Huntington's disease,
and spinal cord injuries. However, the transcription factors used for direct
reprogramming have the potential to cause unexpected genetic modifications, which
limits their potential application in cell therapies. Here, we show that a
combination of four chemical compounds resulted in cells directly acquiring a NSC
identity; we termed these cells chemically-induced NSCs (ciNSCs). ciNSCs
expressed NSC markers (Pax6, PLZF, Nestin, Sox2, and Sox1) and resembled NSCs in
terms of their morphology, self-renewal, gene expression profile, and
electrophysiological function when differentiated into the neuronal lineage.
Moreover, ciNSCs could differentiate into several types of mature neurons
(dopaminergic, GABAergic, and cholinergic) as well as astrocytes and
oligodendrocytes in vitro. Taken together, our results suggest that stably
expandable and functional ciNSCs can be directly reprogrammed from mouse
fibroblasts using a combination of small molecules without any genetic
manipulation, and will provide a new source of cells for cellular replacement
therapy of neurodegenerative diseases.
PMID- 27207832
TI - High-performance CaMKI: A highly active and stable form of CaMKIdelta produced by
high-level soluble expression in Escherichia coli.
AB - We describe here the expression and characterization of a constitutively active
fragment of zebrafish Ca(2+)/calmodulin-dependent protein kinase (CaMK) Idelta
designated zCaMKIdelta(1-299) that lacks an autoinhibitory domain. We used a
simple one-step purification method to isolate the recombinant enzyme at high
yield (220 mg/l of the culture medium) from the soluble fraction of lysates
prepared from Escherichia coli. Unlike the corresponding fragment of CaMKIalpha
(CaMKIotaalpha(1-294)), the kinase activity of zCaMKIdelta(1-299), without
activation procedures, was comparable to that of wild-type zCaMKIdelta activated
by CaMK kinase. zCaMKIdelta(1-299) exhibited broad substrate specificity highly
similar to that of wild-type zCaMKIdelta, and complementary to that of the cAMP
dependent protein kinase catalytic subunit (PKAc). The protein kinase activity of
zCaMKIdelta(1-299) was higher compared with that of PKAc as well as CX-30K-CaMKII
that comprises a constitutively active fragment of CaMKII fused to the N-terminal
region of Xenopus CaMKI. Furthermore, kinase activity was highly stable against
thermal inactivation and repeated freezing-thawing. Thus, zCaMKIdelta(1-299)
represents a readily available alternative that can be used as a "High
performance phosphorylating reagent" alone or in combination with PKAc in diverse
experiments on protein phosphorylation and dephosphorylation.
PMID- 27207833
TI - Transcriptional regulation of topology modulators and transcription regulators of
Mycobacterium tuberculosis.
AB - Mycobacterium tuberculosis (Mtb) is a formidable pathogen which has the ability
to survive the hostile environment of the host by evading the host defense
system. The re-configuration of its transcriptional and metabolic process allows
the pathogen to confront the adverse environment within the host macrophages. The
factors that assist the transcription and modulate the DNA topology would have to
play a key role in the regulation of global gene expression of the organism. How
transcription of these essential housekeeping genes alters in response to growth
conditions and environmental stress has not been addressed together in a set of
experimental conditions in Mtb. Now, we have mapped the transcription start sites
(TSS) and promoters of several genes that play a central role in the regulation
of DNA topology and transcription in Mtb. Using in vivo reporter assays, we
validated the activity of the identified promoter elements in different growth
conditions. The variation in transcript abundance of these essential genes was
also analyzed in growth phase-dependent manner. These data provide the first
glimpse into the specific adaptive changes in the expression of genes involved in
transcription and DNA topology modulation in Mtb.
PMID- 27207834
TI - ROS-mediated glucose metabolic reprogram induces insulin resistance in type 2
diabetes.
AB - Oxidative stress is known to contribute to insulin resistance in diabetes,
however the mechanism is not clear. Here we show that reactive oxygen species
(ROS) could reprogram the glucose metabolism through upregulating the pentose
pathway so as to induce insulin resistance in type 2 diabetes (T2DM). By using
streptozotocin-high fat diet (STZ-HFD) induced T2DM in rats, we show that
diabetic rats exhibited high level of oxidative stress accompanied with insulin
resistance. Hypoxia inducible factor (HIF-1alpha) protein expression as well as
its downstream target glucokinase (GK), were upregulated; The glycogen synthesis
increased accordingly; However the glycolysis was inhibited as indicated by
decreased phosphofructokinase-1 (PFK-1), pyruvate kinase (PK), phospho-PFK-2/PFK
2 (p-PFK-2/PFK-2) ratio, lactate dehydrogenase (LDH) and pyruvate dehydrogenase
kinase (PDK); Pyruvate dehydrogenase (PDH) which promotes pyruvate to generate
acetyl-CoA declined as well. While phospho-acetyl-CoA carboxylase/acetyl-CoA
carboxylase (p-ACC/ACC) ratio increased, meaning that lipid beta-oxidation
increased. The pentose pathway was activated as indicated by increased G6PD
activity and NADPH level. Our results suggest that diabetic rats countervail ROS
stress through increasing pentose pathway, and reprogram the energy metabolic
pathway from glycolysis into lipid oxidation in order to compensate the ATP
requirement of the body, which causes insulin resistance.
PMID- 27207835
TI - Surface expression of the Anoctamin-1 (ANO1) channel is suppressed by protein
protein interactions with beta-COP.
AB - Anoctamin-1 (ANO1) is a Ca(2+)-activated chloride channel (CaCC) that plays
important physiological roles in normal and cancerous tissues. However, the
plasma membrane trafficking mechanisms of ANO1 remain poorly characterized. In
yeast two-hybrid screening experiments, we observed direct interactions of ANO1
with beta-COP, which is a subunit of Coat Protein Complex I (COPI). This
interaction was then confirmed using several in vitro and in vivo binding assays.
Moreover, the cotransfection of beta-COP with ANO1 into HEK293T cells led to
decreased the surface expression and the channel activity of ANO1. Accordingly,
endogenous ANO1 was associated with beta-COP in U251 glioblastoma cells, and
silencing of beta-COP enhanced surface expression and whole-cell currents of ANO1
in these cells. Taken together, these data suggest that beta-COP negatively
regulates ANO1 surface expression.
PMID- 27207836
TI - Silence of fibronectin 1 increases cisplatin sensitivity of non-small cell lung
cancer cell line.
AB - Fibronectin 1 (FN1) is a member of the glycoprotein family which is widely
expressed by multiple cell types and involved in cellular adhesion and migration
processes. Recent studies have reported that FN1 might have a role in regulating
chemoresistance in tumors. However, the regulation of FN1 on cisplatin resistance
in non-small cell lung cancer (NSCLC) has not been investigated. The present
study aims to illustrate the effect of FN1 on cisplatin resistance in NSCLC and
explore potential mechanisms. In the present study, the mRNA and protein
expression levels of FN1 were investigated by RT-PCR and Western blot analysis,
respectively, and the 50% inhibitory concentration (IC50) value of cisplatin was
measured by MTT assay. Apoptotic ratio and migration were determined using an
annexin V-FITC/PI detection kit and a Transwell assay, respectively. The
interaction between FN1 and integrin-beta1 was evaluated by co
immunoprecipitation assay. The protein expression of beta-catenin, cyclin D1 and
c-myc were tested using Western blot analysis. The results showed that FN1 was
more highly expressed in A549/DDP than in A549 cells, and significantly
upregulated by cisplatin treatment in H1299 cells. Knockdown of FN1 reduced the
IC50 value of cisplatin, inhibited cell migration and promoted apoptosis. FN1 and
integrin-beta1 protein directly interacted with each other both in A549 and
A549/DDP cells. FN1 silencing suppressed the Wnt/beta-catenin signaling pathway,
and this effect was dampened by integrin-beta1-blocking antibody. Taken together,
our findings first suggest that FN1 plays a role in the development of cisplatin
resistance in NSCLC, possibly by modulation of beta-catenin signaling through
interaction with integrin-beta1 in NSCLC.
PMID- 27207839
TI - Correction to: "Inhibition of GLI1 Expression by Targeting the CRD-BP-GLI1 mRNA
Interaction Using a Specific Oligonucleotide".
PMID- 27207838
TI - Molecular cloning, genomic organization, chromosome mapping, tissues expression
pattern and identification of a novel splicing variant of porcine CIDEb gene.
AB - Cell death-inducing DNA fragmentation factor-alpha-like effector b (CIDEb) is a
member of the CIDE family of apoptosis-inducing factors, CIDEa and CIDEc have
been reported to be Lipid droplets (LDs)-associated proteins that promote
atypical LD fusion in adipocytes, and responsible for liver steatosis under
fasting and obese conditions, whereas CIDEb promotes lipid storage under normal
diet conditions [1], and promotes the formation of triacylglyceride-enriched VLDL
particles in hepatocytes [2]. Here, we report the gene cloning, chromosome
mapping, tissue distribution, genetic expression analysis, and identification of
a novel splicing variant of the porcine CIDEb gene. Sequence analysis shows that
the open reading frame of the normal porcine CIDEb isoform covers 660bp and
encodes a 219-amino acid polypeptide, whereas its alternative splicing variant
encodes a 142-amino acid polypeptide truncated at the fourth exon and comprised
of the CIDE-N domain and part of the CIDE-C domain. The deduced amino acid
sequence of normal porcine CIDEb shows an 85.8% similarity to the human protein
and 80.0% to the mouse protein. The CIDEb genomic sequence spans approximately
6KB comprised of five exons and four introns. Radiation hybrid mapping
demonstrated that porcine CIDEb is located at chromosome 7q21 and at a distance
of 57cR from the most significantly linked marker, S0334, regions that are
syntenic with the corresponding region in the human genome. Tissue expression
analysis indicated that normal CIDEb mRNA is ubiquitously expressed in many
porcine tissues. It was highly expressed in white adipose tissue and was observed
at relatively high levels in the liver, lung, small intestine, lymphatic tissue
and brain. The normal version of CIDEb was the predominant form in all tested
tissues, whereas the splicing variant was expressed at low levels in all examined
tissues except the lymphatic tissue. Furthermore, genetic expression analysis
indicated that CIDEb mRNA levels were significantly higher in the white adipose
tissue of lean pigs than their obese counterparts, in contrast to porcine CIDEa
and CIDEc [3]. We therefore speculate that CIDEb may play a contrary role to the
other CIDEs. The basic molecular information we provide here will be useful for
further investigations of the physiological function of the gene, which will be
helpful in better understanding the role of the CIDE family in lipid metabolism
in pig models.
PMID- 27207837
TI - Starved Escherichia coli preserve reducing power under nitric oxide stress.
AB - Nitric oxide (NO) detoxification enzymes, such as NO dioxygenase (NOD) and NO
reductase (NOR), are important to the virulence of numerous bacteria. Pathogens
use these defense systems to ward off immune-generated NO, and they do so in
environments that contain additional stressors, such as reactive oxygen species,
nutrient deprivation, and acid stress. NOD and NOR both use reducing equivalents
to metabolically deactivate NO, which suggests that nutrient deprivation could
negatively impact their functionality. To explore the relationship between NO
detoxification and nutrient deprivation, we examined the ability of Escherichia
coli to detoxify NO under different levels of carbon source availability in
aerobic cultures. We observed failure of NO detoxification under both carbon
source limitation and starvation, and those failures could have arisen from
inabilities to synthesize Hmp (NOD of E. coli) and/or supply it with sufficient
NADH (preferred electron donor). We found that when limited quantities of carbon
source were provided, NO detoxification failed due to insufficient NADH, whereas
starvation prevented Hmp synthesis, which enabled cells to maintain their NADH
levels. This maintenance of NADH levels under starvation was confirmed to be
dependent on the absence of Hmp. Intriguingly, these data show that under NO
stress, carbon-starved E. coli are better positioned with regard to reducing
power to cope with other stresses than cells that had consumed an exhaustible
amount of carbon.
PMID- 27207840
TI - Resolving the Meat-Paradox: A Motivational Account of Morally Troublesome
Behavior and Its Maintenance.
AB - A majority of people the world over eat meat, yet many of these same people
experience discomfort when the meat on their plate is linked to the death of
animals. We draw on this common form of moral conflict-the meat-paradox-to
develop insights into the ways in which morally troublesome behaviors vanish into
the commonplace and every day. Drawing on a motivational analysis, we show how
societies may be shaped by attempts to resolve dissonance, in turn protecting
their citizens from discomfort associated with their own moral conflicts. To
achieve this, we build links between dissonance reduction, habit formation,
social influence, and the emergence of social norms and detail how our analysis
has implications for understanding immoral behavior and motivations underpinning
dehumanization and objectification. Finally, we draw from our motivational
analysis to advance new insights into the origins of prejudice and pathways
through which prejudice can be maintained and resolved.
PMID- 27207841
TI - Usefulness of Procalcitonin While Comparing Apples With Oranges.
PMID- 27207842
TI - Elevated Lp(a) and Abdominal Aortic Aneurysm.
PMID- 27207843
TI - Impact of Neutrophil to Lymphocyte Ratio (NLR) Index and Its Periprocedural
Change (NLRDelta) for Percutaneous Coronary Intervention in Patients With Chronic
Total Occlusion.
AB - We assessed the association between neutrophil to lymphocyte ratio (NLR) and
chronic coronary total occlusion (CTO), as well as clinical prognosis of
percutaneous coronary intervention (PCI). Patients referred for elective coronary
angiography for stable angina pectoris were enrolled, including a CTO (n = 160)
and a non-CTO group (n = 160). Neutrophil to lymphocyte ratio on admission and
post-PCI was measured, and NLRDelta was defined as the change between the 2
values. Subgroup analysis was performed based on the value of NLRDelta (>=0.5 vs
<0.5). Clinical characteristics, angiographic data, and follow-up data were
recorded. Compared with the non-CTO group, the total white blood cell count,
neutrophil counts, and NLR were significantly higher in the CTO group. In the
NLRDelta >= 0.5 subgroup, the incidence of severe dissection, slow coronary flow,
in-stent restenosis (ISR), and major adverse cardiac events (MACEs) was obviously
higher. In multivariate analysis, NLRDelta was independently and positively
associated with higher risks of ISR and MACE. The NLR could be a potential
predictor of CTO, and NLRDelta is independently associated with the adverse
clinical outcomes in patients who underwent PCI.
PMID- 27207846
TI - Towards evidence based medicine for paediatricians.
PMID- 27207844
TI - Full Blood Count as a Potential Predicting Factor of Contrast-Induced Nephropathy
After Endovascular Aortic Aneurysm Repair.
PMID- 27207849
TI - Peer Review-Shame on Us / L'examen par les pairs-honte a nous.
PMID- 27207847
TI - QUESTION 2: Is HLA typing for coeliac disease helpful in children with type 1
diabetes mellitus?
PMID- 27207850
TI - Non-visualisation of strings after postplacental insertion of Copper-T 380A
intrauterine device.
AB - AIM: To assess the incidence of visible strings of intrauterine contraceptive
devices (IUDs) after postplacental insertion following vaginal or caesarean
delivery and to establish a management protocol of follow-up visits when strings
are not visualised. METHODS: This was a prospective study of a cohort of 348
women who underwent postplacental insertion of Copper-T 380A IUDs following
vaginal or caesarean delivery, conducted at a hospital in New Delhi, India. Women
were followed up at 6 weeks, 3, 6 and 12 months after IUD insertion and were
questioned about IUD expulsion or removal at each visit. The cervix was inspected
to visualise the IUD strings. All women whose IUD strings could not be visualised
at the cervical os at any given follow-up were identified. We analysed the
cumulative incidence of visible strings and of procedures performed to locate the
IUD when strings were not visible. RESULTS: At 1 year follow-up, the IUD was in
situ in 313/348 (89.9%) women. There were eight (2.3%) expulsions and 15 (4.3%)
IUD removals. Among women with IUDs in situ, the strings were not visible in 73
(21%) cases. Pelvic ultrasound confirmed intrauterine position of the IUDs in
these cases. At 1 year, string visibility was significantly lower after intra
caesarean insertions as compared to vaginal insertions (72.4% vs 98.1%; p<0.05).
CONCLUSIONS: Visualisation of strings after postplacental vaginal insertion is
more common than after intra-caesarean insertion. Pelvic ultrasonography can be
used to verify the presence of the device in cases of missing strings.
PMID- 27207851
TI - Austerity and sustainable sexual and reproductive health care.
PMID- 27207852
TI - RJ Reynolds has not published a negative randomised clinical trial of Camel Snus
for smoking cessation.
PMID- 27207853
TI - Support for electronic cigarette regulations among California voters.
AB - Policies regulating the sale and use of electronic cigarettes (e-cigarettes) vary
widely within the USA and worldwide. We assessed support for four proposed
policies among a representative sample of California voters (N=1002) and
identified latent classes of voters who were likely to support or oppose various
policies. Findings showed support for prohibiting e-cigarette use where smoking
is banned (70%), taxing e-cigarettes (74%), licensing e-cigarette retailers
(74%), and restricting flavourings (57%). Correlates of policy support included
smoking status, political orientation, age group and California region. The
latent class analysis revealed three classes of voters: Policy Supporters
(predominantly college-educated, higher-income, liberal non-smokers), Policy
Opposers (predominantly low-educated, low-income, conservative smokers), and
Swing Voters (intermediate levels of education, income, and smoking,
conservative). Findings provide information to inform segmented state-based
communication campaigns regarding regulation of e-cigarettes. If policymakers
want to enact prohibitive state-level policies, Opposers and Swing Voters may be
important constituents to target.
PMID- 27207854
TI - Cigarette couponing goes mobile.
PMID- 27207855
TI - Firm strategy and consumer behaviour under a complex tobacco tax system:
implications for the effectiveness of taxation on tobacco control.
AB - BACKGROUND: The current tobacco taxation scheme in Turkey, a mix of high ad
valorem tax and low specific tax, contains incentives for firms and consumers to
change pricing and consumption patterns, respectively. The association between
tax structure and price and tax revenue stability has not been studied in detail
with micro data containing price segment information. OBJECTIVES: In this study,
we analyse whether incentives for firms and consumers undermine the effectiveness
of tax policy in reducing consumption. METHODS: We calculate alternative taxation
scheme outcomes using differing ad valorem and specific tax rates through
simulation analysis. We also estimate price elasticity of demand using detailed
price and volume statistics between segments via regression analysis. FINDINGS: A
very high ad valorem rate provides strong incentives to firms to reduce prices.
Therefore, this sort of tax strategy may induce even more consumption despite its
initial aim of discouraging consumption. While higher prices dramatically reduce
consumption of economy and medium price segment cigarettes, demand for premium
segment cigarettes is found to be highly price-inelastic. CONCLUSIONS: The
current tax scheme, based on both ad valorem and specific components, introduces
various incentives to firms as well as to consumers which reduce the
effectiveness of the tax policy. Therefore, on the basis of our theoretical
predictions, an appropriate tax scheme should involve a balanced combination of
ad valorem and specific rates, away from extreme (ad valorem or specific
dominant) cases to enhance the effectiveness of tax policy for curbing
consumption.
PMID- 27207858
TI - Thinking Outside the Plant: Exploring Phloem Development Using VISUAL.
PMID- 27207860
TI - Atypical neuroleptic malignant syndrome in a young male precipitated by oral
sodium valproate.
PMID- 27207856
TI - The Starch Granule-Associated Protein EARLY STARVATION1 Is Required for the
Control of Starch Degradation in Arabidopsis thaliana Leaves.
AB - To uncover components of the mechanism that adjusts the rate of leaf starch
degradation to the length of the night, we devised a screen for mutant
Arabidopsis thaliana plants in which starch reserves are prematurely exhausted.
The mutation in one such mutant, named early starvation1 (esv1), eliminates a
previously uncharacterized protein. Starch in mutant leaves is degraded rapidly
and in a nonlinear fashion, so that reserves are exhausted 2 h prior to dawn. The
ESV1 protein and a similar uncharacterized Arabidopsis protein (named Like ESV1
[LESV]) are located in the chloroplast stroma and are also bound into starch
granules. The region of highest similarity between the two proteins contains a
series of near-repeated motifs rich in tryptophan. Both proteins are conserved
throughout starch-synthesizing organisms, from angiosperms and monocots to green
algae. Analysis of transgenic plants lacking or overexpressing ESV1 or LESV, and
of double mutants lacking ESV1 and another protein necessary for starch
degradation, leads us to propose that these proteins function in the organization
of the starch granule matrix. We argue that their misexpression affects starch
degradation indirectly, by altering matrix organization and, thus, accessibility
of starch polymers to starch-degrading enzymes.
PMID- 27207857
TI - Histone H3 Dynamics Reveal Domains with Distinct Proliferation Potential in the
Arabidopsis Root.
AB - A coordinated transition from cell proliferation to differentiation is crucial
for organogenesis. We found that extensive chromatin reorganization, shown here
for histone H3 proteins, characterizes cell population dynamics in the root
developmental compartments. The canonical H3.1 protein, incorporated during S
phase, is maintained at high levels in cells dividing at a high rate but is
massively evicted in cells undergoing their last cell cycle before exit to
differentiation. A similar pattern was observed in the quadruple mutant for the
H3.1-encoding genes HTR1, HTR2, HTR3, and HTR9 (htr1,2,3,9), in which H3.1 is
expressed only from the HTR13 gene. H3 eviction is a fast process occurring
within the G2 phase of the last cell cycle, which is longer than G2 in earlier
cell cycles. This longer G2 likely contributes to lower the H3.1/H3.3 ratio in
cells leaving the root meristem. The high H3.1/H3.3 ratio and H3.1 eviction
process also occurs in endocycling cells before differentiation, revealing a
common principle of H3 eviction in the proliferating and endocycling domains of
the root apex. Mutants in the H3.1 chaperone CAF-1 (fas1-4) maintain a pattern
similar to that of wild-type roots. Our studies reveal that H3 incorporation and
eviction dynamics identify cells with different cell division potential during
organ patterning.
PMID- 27207861
TI - The oestrogen dysfunction hypothesis in schizophrenia: The need for an
integrative approach to treat postmenopausal women.
PMID- 27207862
TI - Call to cancel 2016 Olympics because of Zika risk is not backed by WHO guidance.
PMID- 27207863
TI - PEW scores: what are they good for?
PMID- 27207864
TI - Female genital mutilation: a different approach?
PMID- 27207865
TI - Pathways between health, education and income in adolescence and adulthood.
AB - OBJECTIVE: To quantify the impact of household income, and physical and mental
health in adolescence on education attainment, household income and health status
in adulthood. DESIGN: Path analysis and regression models using waves 1-12 of the
Household, Income and Labour Dynamics in Australia survey. PARTICIPANTS:
Individuals aged 17 or 18 in 2001, 52% were males (n=655) and 48% were female
(52%). Of those participating in wave 1, five did not respond in wave 12. MAIN
OUTCOME MEASURES: Education attainment, household income, physical and mental
health at age 29/30. RESULTS: For females, physical health at age 17/18 was
significantly related to level of education attainment at age 29/30 (standardised
total effect 0.290, p<0.001), with this influence being greater in magnitude than
that of household income at age 17/18 on level of education attainment at age
29/30 (standardised total effect 0.159, p=0.022). Females' physical health at age
17/18 was also significantly related to household income at age 29/30
(standardised total effect 0.09, p=0.018). Both adjusted for initial household
income at age 17/18. For males, the total standardised total effect of physical
health at age 17/18 had a greater impact than household income at age 17/18 on
education attainment at age 29/30 (0.347, p<0.001 for physical health and 0.276,
p<0.001 for household income). The OR of achieving a year 12 or higher level of
education attainment was 4.72 (95% CI 1.43 to 15.58, p=0.0110) for females with
good physical health at age 17/18 and 5.05 (95% CI 1.78 to 14.36, p=0.0024) for
males, compared with those with poor physical health at age 17/18. CONCLUSIONS:
As physical health in adolescence appears to have a stronger influence on
education attainment in adulthood than household income, equity strategies for
education attainment should also target those with poor health.
PMID- 27207866
TI - Do Parental Concerns Predict Developmental and Behavioral Diagnoses in a
Developmental Clinic?
AB - Parental concerns are useful tools to help pediatric care providers identify the
presence of developmental and behavioral problems. This study sought to learn
whether specific parental concerns helped predict diagnoses in a tertiary
developmental clinic. Parents of preschoolers who attended a preschool
developmental clinic (n = 101) were surveyed about behavioral and developmental
concerns and their concerns about possible diagnoses. Clinical diagnoses were
subsequently obtained on all children and compared with parents' primary
concerns. In our sample, approximately 50% of concerns were about language
development and 21% about behavior. The most common diagnoses were communication
disorder (41%) and developmental delay (42%). Only 30% of children whose parents
had concerns about an autism spectrum diagnosis had actually received that
diagnosis. Neither parental concerns about development and behavior nor their
concerns about specific diagnosis predict clinical diagnosis in our tertiary
developmental setting.
PMID- 27207867
TI - Feasibility and Perceptions of Cell Phone-Based, Health-Related Communication
With Adolescents in an Economically Depressed Area.
AB - We examined the feasibility and perception of cell-based (texting, voicemail
[VM], and email/social media), health-related communication with adolescents in
Genesee County, MI, where 22% reside below the poverty level. Results of an
anonymous survey found that 86% of respondents owned a cell phone, 87% had data,
96% texted, 90.5% emailed/used social media, and 68% had VM. Most adolescents
were interested in cell-based communication via texting (52%), VM (37%), and
email/social media (31%). Interest in types of health communication included
appointment reminders (99% texting; 94% VM; 95% email/social media), shot
reminders (84.5% texting; 74.5% VM; 81% email/social media), call for test
results (71.5% texting; 75% VM; 65% email/social media), medication reminders
(63% texting; 54% VM; 58% e-mail/social media), and health tips (36% texting;
18.5% VM; 73% email/social media). Cell-based health-related communication with
adolescents is feasible even within low socioeconomic status populations,
primarily via texting. Health providers should embrace cell-based patient
communication.
PMID- 27207868
TI - Expanding Your Differential for Abdominal Distention in the Pediatric Patient.
PMID- 27207869
TI - GPs reject cap on locum GP fees.
PMID- 27207870
TI - Multiple sclerosis disease-modifying therapy and pregnancy.
PMID- 27207871
TI - US spent more on mental illness than on any other conditions in 2013, study
finds.
PMID- 27207872
TI - Fear of Ebola: The Influence of Collectivism on Xenophobic Threat Responses.
AB - In response to the Ebola scare in 2014, many people evinced strong fear and
xenophobia. The present study, informed by the pathogen-prevalence hypothesis,
tested the influence of individualism and collectivism on xenophobic response to
the threat of Ebola. A nationally representative sample of 1,000 Americans
completed a survey, indicating their perceptions of their vulnerability to Ebola,
ability to protect themselves from Ebola (protection efficacy), and xenophobic
tendencies. Overall, the more vulnerable people felt, the more they exhibited
xenophobic responses, but this relationship was moderated by individualism and
collectivism. The increase in xenophobia associated with increased vulnerability
was especially pronounced among people with high individualism scores and those
with low collectivism scores. These relationships were mediated by protection
efficacy. State-level collectivism had the same moderating effect on the
association between perceived vulnerability and xenophobia that individual-level
value orientation did. Collectivism-and the set of practices and rituals
associated with collectivistic cultures-may serve as psychological protection
against the threat of disease.
PMID- 27207874
TI - Marginally Significant Effects as Evidence for Hypotheses: Changing Attitudes
Over Four Decades.
AB - Some effects are statistically significant. Other effects do not reach the
threshold of statistical significance and are sometimes described as "marginally
significant" or as "approaching significance." Although the concept of marginal
significance is widely deployed in academic psychology, there has been very
little systematic examination of psychologists' attitudes toward these effects.
Here, we report an observational study in which we investigated psychologists'
attitudes concerning marginal significance by examining their language in over
1,500 articles published in top-tier cognitive, developmental, and social
psychology journals. We observed a large change over the course of four decades
in psychologists' tendency to describe a p value as marginally significant, and
overall rates of use appear to differ across subfields. We discuss possible
explanations for these findings, as well as their implications for psychological
research.
PMID- 27207873
TI - Reminders Through Association.
AB - People often fail to follow through on good intentions. While limited self
control is frequently the culprit, another cause is simply forgetting to enact
intentions when opportunities arise. We introduce a novel, potent approach to
facilitating follow-through: the reminders-through-association approach. This
approach involves associating intentions (e.g., to mail a letter on your desk
tomorrow) with distinctive cues that will capture attention when you have
opportunities to act on those intentions (e.g., Valentine's Day flowers that
arrived late yesterday, which are sitting on your desk). We showed that cue-based
reminders are more potent when the cues they employ are distinctive relative to
(a) other regularly encountered stimuli and (b) other stimuli encountered
concurrently. Further, they can be more effective than written or electronic
reminder messages, and they are undervalued and underused. The reminders-through
association approach, developed by integrating and expanding on past research on
self-control, reminders, and prospective memory, can be a powerful tool for
policymakers and individuals.
PMID- 27207875
TI - Corrigendum: Like a Magnet: Catharsis Beliefs Attract Angry People to Violent
Video Games.
AB - Bushman, B. J., & Whitaker, J. L. (2010). Like a magnet: Catharsis beliefs
attract angry people to violent video games. Psychological Science, 21, 790-792.
(Original DOI: 10.1177/0956797610369494).
PMID- 27207876
TI - Pupillary Contagion in Infancy: Evidence for Spontaneous Transfer of Arousal.
AB - Pupillary contagion-responding to pupil size observed in other people with
changes in one's own pupil-has been found in adults and suggests that arousal and
other internal states could be transferred across individuals using a subtle
physiological cue. Examining this phenomenon developmentally gives insight into
its origins and underlying mechanisms, such as whether it is an automatic
adaptation already present in infancy. In the current study, 6- and 9-month-olds
viewed schematic depictions of eyes with smaller and larger pupils-pairs of
concentric circles with smaller and larger black centers-while their own pupil
sizes were recorded. Control stimuli were comparable squares. For both age
groups, infants' pupil size was greater when they viewed large-center circles
than when they viewed small-center circles, and no differences were found for
large-center compared with small-center squares. The findings suggest that
infants are sensitive and responsive to subtle cues to other people's internal
states, a mechanism that would be beneficial for early social development.
PMID- 27207877
TI - Genome-wide analysis of single non-templated nucleotides in plant endogenous
siRNAs and miRNAs.
AB - Plant small RNAs are subject to various modifications. Previous reports revealed
widespread 3' modifications (truncations and non-templated tailing) of plant
miRNAs when the 2'-O-methyltransferase HEN1 is absent. However, non-templated
nucleotides in plant heterochromatic siRNAs have not been deeply studied,
especially in wild-type plants. We systematically studied non-templated
nucleotide patterns in plant small RNAs by analyzing small RNA sequencing
libraries from Arabidopsis, tomato, Medicago, rice, maize and Physcomitrella
Elevated rates of non-templated nucleotides were observed at the 3' ends of both
miRNAs and endogenous siRNAs from wild-type specimens of all species. 'Off-sized'
small RNAs, such as 25 and 23 nt siRNAs arising from loci dominated by 24 nt
siRNAs, often had very high rates of 3'-non-templated nucleotides. The same
pattern was observed in all species that we studied. Further analysis of 24 nt
siRNA clusters in Arabidopsis revealed distinct patterns of 3'-non-templated
nucleotides of 23 nt siRNAs arising from heterochromatic siRNA loci. This pattern
of non-templated 3' nucleotides on 23 nt siRNAs is not affected by loss of known
small RNA 3'-end modifying enzymes, and may result from modifications added to
longer heterochromatic siRNA precursors.
PMID- 27207878
TI - Robust lineage reconstruction from high-dimensional single-cell data.
AB - Single-cell gene expression data provide invaluable resources for systematic
characterization of cellular hierarchy in multi-cellular organisms. However, cell
lineage reconstruction is still often associated with significant uncertainty due
to technological constraints. Such uncertainties have not been taken into account
in current methods. We present ECLAIR (Ensemble Cell Lineage Analysis with
Improved Robustness), a novel computational method for the statistical inference
of cell lineage relationships from single-cell gene expression data. ECLAIR uses
an ensemble approach to improve the robustness of lineage predictions, and
provides a quantitative estimate of the uncertainty of lineage branchings. We
show that the application of ECLAIR to published datasets successfully
reconstructs known lineage relationships and significantly improves the
robustness of predictions. ECLAIR is a powerful bioinformatics tool for single
cell data analysis. It can be used for robust lineage reconstruction with
quantitative estimate of prediction accuracy.
PMID- 27207879
TI - Prediction of developmental chemical toxicity based on gene networks of human
embryonic stem cells.
AB - Predictive toxicology using stem cells or their derived tissues has gained
increasing importance in biomedical and pharmaceutical research. Here, we show
that toxicity category prediction by support vector machines (SVMs), which uses
qRT-PCR data from 20 categorized chemicals based on a human embryonic stem cell
(hESC) system, is improved by the adoption of gene networks, in which network
edge weights are added as feature vectors when noisy qRT-PCR data fail to make
accurate predictions. The accuracies of our system were 97.5-100% for three
toxicity categories: neurotoxins (NTs), genotoxic carcinogens (GCs) and non
genotoxic carcinogens (NGCs). For two uncategorized chemicals, bisphenol-A and
permethrin, our system yielded reasonable results: bisphenol-A was categorized as
an NGC, and permethrin was categorized as an NT; both predictions were supported
by recently published papers. Our study has two important features: (i) as the
first study to employ gene networks without using conventional quantitative
structure-activity relationships (QSARs) as input data for SVMs to analyze
toxicogenomics data in an hESC validation system, it uses additional information
of gene-to-gene interactions to significantly increase prediction accuracies for
noisy gene expression data; and (ii) using only undifferentiated hESCs, our study
has considerable potential to predict late-onset chemical toxicities, including
abnormalities that occur during embryonic development.
PMID- 27207880
TI - Engineering a robust DNA split proximity circuit with minimized circuit leakage.
AB - DNA circuit is a versatile and highly-programmable toolbox which can potentially
be used for the autonomous sensing of dynamic events, such as biomolecular
interactions. However, the experimental implementation of in silico circuit
designs has been hindered by the problem of circuit leakage. Here, we
systematically analyzed the sources and characteristics of various types of
leakage in a split proximity circuit which was engineered to spatially probe for
target sites held within close proximity. Direct evidence that 3'-truncated
oligonucleotides were the major impurity contributing to circuit leakage was
presented. More importantly, a unique strategy of translocating a single
nucleotide between domains, termed 'inter-domain bridging', was introduced to
eliminate toehold-independent leakages while enhancing the strand displacement
kinetics across a three-way junction. We also analyzed the dynamics of
intermediate complexes involved in the circuit computation in order to define the
working range of domain lengths for the reporter toehold and association region
respectively. The final circuit design was successfully implemented on a model
streptavidin-biotin system and demonstrated to be robust against both circuit
leakage and biological interferences. We anticipate that this simple signal
transduction strategy can be used to probe for diverse biomolecular interactions
when used in conjunction with specific target recognition moieties.
PMID- 27207881
TI - DIANA-mirExTra v2.0: Uncovering microRNAs and transcription factors with crucial
roles in NGS expression data.
AB - Differential expression analysis (DEA) is one of the main instruments utilized
for revealing molecular mechanisms in pathological and physiological conditions.
DIANA-mirExTra v2.0 (http://www.microrna.gr/mirextrav2) performs a combined DEA
of mRNAs and microRNAs (miRNAs) to uncover miRNAs and transcription factors (TFs)
playing important regulatory roles between two investigated states. The web
server uses as input miRNA/RNA-Seq read count data sets that can be uploaded for
analysis. Users can combine their data with 350 small-RNA-Seq and 65 RNA-Seq in
house analyzed libraries which are provided by DIANA-mirExTra v2.0.The web server
utilizes miRNA:mRNA, TF:mRNA and TF:miRNA interactions derived from extensive
experimental data sets. More than 450 000 miRNA interactions and 2 000 000 TF
binding sites from specific or high-throughput techniques have been incorporated,
while accurate miRNA TSS annotation is obtained from microTSS experimental/in
silico framework. These comprehensive data sets enable users to perform analyses
based solely on experimentally supported information and to uncover central
regulators within sequencing data: miRNAs controlling mRNAs and TFs regulating
mRNA or miRNA expression. The server also supports predicted miRNA:gene
interactions from DIANA-microT-CDS for 4 species (human, mouse, nematode and
fruit fly). DIANA-mirExTra v2.0 has an intuitive user interface and is freely
available to all users without any login requirement.
PMID- 27207883
TI - Residual tumor in cases of intermediate-risk neuroblastoma did not influence the
prognosis.
AB - BACKGROUND: It remains unclear whether a residual tumor mass following therapy
influences the prognosis of neuroblastoma. METHODS: We retrospectively reviewed
20 patients with intermediate-risk tumors treated at our institution between 1993
and 2012 to elucidate whether additional treatment is required for residual
tumors. RESULTS: The patient ages at diagnosis ranged from 0 days to 7 years. The
5-year overall survival rate was 94.4%. Thirteen patients had Stage 3 disease and
seven patients had Stage 4 disease. Nine patients showed intraspinal extension.
Twelve patients had a residual tumor mass at the completion of therapy, and eight
showed intraspinal extension. Five of these 12 patients showed
metaiodobenzylguanidine (MIBG) uptake at the end of treatment, but the uptake
disappeared during the follow-up period. Except for one patient who died due to
treatment complications, the rest are all alive, and nine are alive with a
residual mass. We examined the residual mass in four patients and found that
these tissues had differentiated into a ganglioneuroma or changed to a necrotic
tissue. For the three patients with neurological symptoms at the end of
treatment, some slight neurological symptoms still remained during the follow-up.
Five patients with an intraspinal mass eventually presented with new symptoms.
CONCLUSIONS: The presence of a residual mass at the end of treatment did not
influence the patients' prognosis. Therefore, an invasive radical surgical
resection and additional treatment may not be necessary. Cases with a residual
intraspinal mass also require a long-term follow-up to assess the neurological
prognosis.The presence of a residual mass in cases of intermediate-risk
neuroblastoma at the end of treatment did not influence the patients' prognosis.
PMID- 27207884
TI - Psychological burden on patients with cancer of unknown primary: from onset of
symptoms to initial treatment.
AB - OBJECTIVE: Supportive care is a critical issue especially for patients with
cancer of unknown primary since they often face serious situations, continuing to
seek for relevant diagnosis and treatment with the primary sites unknown.
However, there are only few research reports on this subject. The aim of this
study was to clarify the experience on patients with cancer of unknown primary
until they have their initial treatment and to obtain suggestions of supportive
care for them. METHODS: A qualitative study using semi-structured interviews
regarding the experience on patients with cancer of unknown primary was
conducted. RESULTS: Data of the experience of the nine patients with cancer of
unknown primary until their initial treatment were collected by semi-structured
interviews. Patients' speech at interviews recorded in verbatim reports was
assigned with 545 codes, 102 subcategories and 38 categories. Experience of the
patients with cancer of unknown primary was categorized into five phases: Phase
1: period of making self-judgment on symptoms; Phase 2: period of suspecting
serious disease, and seeking for appropriate medical treatment; Phase 3: period
of searching for cause of disease while having painful symptoms and anxiety;
Phase 4: period of having fear for death, frustration with unknown cause and
denial of unknown state; Phase 5: period of struggling but being determined to
face disease. CONCLUSIONS: Experience of patients with cancer of unknown primary
from onset of symptoms to their initial treatment was categorized into five
phases, mainly manifesting their psychological burden. These findings will
warrant for the future study of supportive care for patients with cancer of
unknown primary.
PMID- 27207882
TI - Defining the essential function of FBP/KSRP proteins: Drosophila Psi interacts
with the mediator complex to modulate MYC transcription and tissue growth.
AB - Despite two decades of research, the major function of FBP-family KH domain
proteins during animal development remains controversial. The literature is
divided between RNA processing and transcriptional functions for these single
stranded nucleic acid binding proteins. Using Drosophila, where the three
mammalian FBP proteins (FBP1-3) are represented by one ortholog, Psi, we
demonstrate the primary developmental role is control of cell and tissue growth.
Co-IP-mass spectrometry positioned Psi in an interactome predominantly comprised
of RNA Polymerase II (RNA Pol II) transcriptional machinery and we demonstrate
Psi is a potent transcriptional activator. The most striking interaction was
between Psi and the transcriptional mediator (MED) complex, a known sensor of
signaling inputs. Moreover, genetic manipulation of MED activity modified Psi
dependent growth, which suggests Psi interacts with MED to integrate
developmental growth signals. Our data suggest the key target of the Psi/MED
network in controlling developmentally regulated tissue growth is the
transcription factor MYC. As FBP1 has been implicated in controlling expression
of the MYC oncogene, we predict interaction between MED and FBP1 might also have
implications for cancer initiation and progression.
PMID- 27207885
TI - Influence of positive peritoneal cytology on prognostic factors and survival in
early-stage endometrial cancer: a systematic review and meta-analysis.
AB - OBJECTIVE: The prognostic significance of positive peritoneal cytology in
patients with early-stage endometrial cancer (Stage 1 or 2) is controversial and
perhaps depends on the presence of other factors. The relationship of other
prognostic factors with positive peritoneal cytology remains unclear. This study
aimed to investigate the association between positive peritoneal cytology and
prognostic factors and the influence of positive peritoneal cytology on survival
in patients with early-stage endometrial cancer. METHODS: We identified 351
articles on the PubMed, Cochrane and Embase databases using a combination of the
following search terms: endometrial cancer, endometrial carcinoma, positive
peritoneal cytology and positive peritoneal washing. When filtered for studies
comparing positive peritoneal cytology with negative peritoneal cytology in early
stage endometrial cancer, 11 retrospective studies met the selection criteria.
Meta-analyses were performed using Review Manager 5.3 software. RESULTS: In
patients with surgical stage 1 or 2 early-stage endometrial cancer, the incidence
of Grade 3 was higher and 5-year overall survival was worse in patients with
positive peritoneal cytology compared with negative peritoneal cytology. However,
the incidence of Grade 1 was lower in those with positive peritoneal cytology
compared with negative peritoneal cytology. In patients with surgical stage 1
early-stage endometrial cancer, the incidence of myometrial invasion >=1/2 tended
to be higher and 5-year progression-free survival was worse in the positive
peritoneal cytology group than the negative peritoneal cytology group. However,
the incidence of myometrial invasion <1/2 was lower in the positive peritoneal
cytology group than the negative peritoneal cytology group. CONCLUSION: This
study demonstrates that positive peritoneal cytology in patients with early-stage
endometrial cancer is significantly associated with other prognostic factors and
survival, suggesting its potential as a prognostic factor.
PMID- 27207887
TI - The outcomes of reconstruction using frozen autograft combined with iodine-coated
implants for malignant bone tumors: compared with non-coated implants.
AB - OBJECTIVE: We perform reconstruction using frozen tumor bone treated by liquid
nitrogen after excision of malignant bone tumors. To prevent post-operative
infection, we use iodine-coated implants that we developed. The purpose of this
study is to compare the outcome of reconstruction using frozen autograft with non
coated implants (group N) and iodine-coated implants (group I). METHODS: Sixty
two patients were included in group N. The mean age was 31.9 +/- 2.3 years. A
total of 20 patients died and two were lost to follow-up, averaging 20.0 +/- 2.9
months post-operatively, leaving 40 patients available for an assessment at a
mean of 79.1 +/- 5.8 months post-operatively. There were 38 patients in group I.
The mean age was 29.8 +/- 3.9 years. The mean follow-up period was 32.1 +/- 3.0
months. All patients were alive at the latest follow-up. Survival of frozen bone
was determined by Kaplan-Meier analysis. RESULTS: In group N, survival of frozen
bone was 80.7 +/- 6.0% and 57.4 +/- 10.2% at 5 and 10 years, respectively.
Complications were encountered in 31 of 62 patients (50.0%), including deep
infection in 10 (16.1%), fracture in 11 (17.7%), local soft-tissue recurrence in
6 (9.7%) and bone absorption in 4 (6.5%). In group I, survival of frozen bone was
86.7 +/- 6.3% at 5 years. Complications were encountered in 8 of 38 patients
(21.1%), including deep infection in one (2.6%), fracture in four (10.5%), local
soft-tissue recurrence in two (5.3%) and bone absorption in one (2.6%). There was
a significantly lower infection rate in group I (P = 0.032). CONCLUSION:
Reconstruction using frozen autograft combined with iodine-coated implants for
patients with malignant bone tumor is very useful method in which good limb
function can be gained with minimized risk of infection.
PMID- 27207886
TI - Randomized feasibility study of S-1 for adjuvant chemotherapy in completely
resected Stage IA non-small-cell lung cancer: results of the Setouchi Lung Cancer
Group Study 0701.
AB - OBJECTIVE: The aim of this multicenter study was to determine the appropriate
administration schedule for S-1, an oral fluoropyrimidine, for adjuvant
chemotherapy in patients with completely resected pathological-Stage IA (tumor
diameter, 2-3 cm) non-small-cell lung cancer. METHODS: Patients were randomly
assigned to receive adjuvant chemotherapy consisting of either the 4-week oral
administration of S-1 (80-120 mg/body/day) followed by a 2-week rest (Group A),
or the 2-week oral administration of S-1 (80-120 mg/body/day) followed by a 1
week rest (Group B). The duration of adjuvant chemotherapy was 1 year in both
arms. The primary endpoint was compliance, namely drug discontinuation-free
survival, which was calculated using the Kaplan-Meier method with log-rank test.
RESULTS: Eighty patients were enrolled in this study, and 76 patients actually
received S-1 treatment. The drug discontinuation-free survival rates at 1 year
were 49.1% in Group A and 52.7% in Group B (P = 0.373). The means of the relative
dose intensities were 55.3% in Group A and 64.6% in Group B (P = 0.237). There
were no treatment-related deaths. Patients with grade 3/4 toxicities were
significantly more frequent in Group A (40.5%) than in Group B (15.4%, P =
0.021). The 2-year relapse-free survival rates were 97.5% in Group A and 92.5% in
Group B, and the 2-year overall survival rates were 100% in both groups.
CONCLUSIONS: The feasibility showed no significant difference between the two
groups among patients with completely resected Stage IA (tumor diameter, 2-3 cm)
non-small-cell lung cancer.
PMID- 27207888
TI - A clinical study of multimodal treatment for orbital organ preservation in
locally advanced squamous cell carcinoma of the nasal cavity and paranasal sinus.
AB - OBJECTIVE: This study aimed to investigate the efficacy of induction chemotherapy
followed by concurrent chemotherapy and helical tomotherapy in patients with T4b
squamous cell carcinoma of the nasal cavity and paranasal sinus in regard to
orbital organ preservation and quality of life. METHODS: Clinical data of 28
cases of patients with orbital involvement of T4b squamous cell carcinoma of the
nasal cavity and paranasal sinus who received multimodal treatment for orbital
organ preservation between May 2008 and September 2015 were retrospectively
analysed. The treatment efficacy and side effects were assessed. The study
included 18 male and 10 female patients. All patients were treated with induction
chemotherapy followed by concurrent chemoradiotherapy and/or epidermal growth
factor receptor inhibitor. Helical tomotherapy was applied as radiotherapy.
Adverse reactions to the chemotherapy were assessed according to Common
Terminology Criteria for Adverse Events, Version 4. The overall survival rate,
local control rate and rate of effective orbital preservation were calculated
using the Kaplan-Meier method. RESULTS: All patients completed the planned
chemotherapy, and 27 (96.4%) of the patients completed the planned radiotherapy
cycle. After the multimodal treatment, the 3-year overall survival, local control
rate and rate of effective orbital preservation of the patients were 59.2%, 80.2%
and 77.8%, respectively. CONCLUSIONS: Multimodal treatment could preserve the
orbital organs of patients with T4b squamous cell carcinoma of the nasal cavity
and paranasal sinus, achieve relatively ideal organ protection and survival rates
and improve the quality of life of patients with advanced squamous cell carcinoma
of the nasal cavity and paranasal sinus, thus providing a new treatment option
for these patients.
PMID- 27207889
TI - Subclassification of high-risk clinically organ-confined prostate cancer for
early cancer-specific mortality after radical prostatectomy.
AB - OBJECTIVE: High-risk clinically localized prostate cancer is seen in a highly
heterogeneous population with a wide variation of clinical aggressiveness and a
novel subclassification for the better prediction of clinical outcomes is needed.
The aim of this study is to validate a modified D'Amico risk criteria for
substratification of high-risk prostate cancer with regard to the prediction of
biochemical recurrence, clinical progression-free survival or prostate cancer
specific mortality after radical prostatectomy. METHODS: We conducted a
retrospective multicenter cohort study including 461 clinically organ-confined
(cT1-2), D'Amico high-risk prostate cancer patients who underwent radical
prostatectomy with pelvic lymph node dissection. The modified criteria
subclassified D'Amico high-risk patients into high-risk (n = 189, single high
risk parameter and two low-risk parameters) and very high-risk (n = 272, at least
one more intermediate or high-risk parameter in addition to the qualifying high
risk parameter) groups. Biochemical recurrence-free survival, clinical
progression-free survival, prostate cancer-specific mortality and overall
survival were analyzed. RESULTS: The very high-risk group, compared with high
risk group, had significantly poorer biochemical recurrence (5- and 10-year
biochemical recurrence-free rates: 52.8 vs 73.9% and 42.1 vs 61.7%, respectively,
P < 0.0001), clinical progression-free survival (5- and 10-year survivals: 91.8
vs 98.2% and 80.5 vs 98.2%, respectively, P = 0.0013) and prostate cancer
specific mortality (5- and 10-year mortalities: 2.5 vs 0.0% and 6.7 vs 0.0%,
respectively, P = 0.0124). CONCLUSION: D'Amico high-risk patients can achieve
very favorable outcomes unless they are classified as very high risk. Our novel
subclassification method is very simple and useful for better patient counseling
and decision-making in the pretreatment setting.
PMID- 27207891
TI - Use of a Novel Smartphone-Based Diabetes Management System Improved Feelings of
Confidence and Safety and Reduced Hypoglycemia Fear Among Parents/Caregivers of
Children/Adolescents With Type 1 Diabetes.
PMID- 27207892
TI - New Drugs Prompt Myeloma Guidelines Update.
AB - Updates to the National Comprehensive Cancer Network's guidelines for treating
multiple myeloma include new criteria for initiating treatment and an expanded
menu of therapies based on recent FDA approvals. The changes extend the
population of patients eligible for treatment and provide oncologists with better
prognostic tools.
PMID- 27207890
TI - Efficacy of an Overnight Predictive Low-Glucose Suspend System in Relation to
Hypoglycemia Risk Factors in Youth and Adults With Type 1 Diabetes.
AB - BACKGROUND: We developed a system to suspend insulin pump delivery overnight when
the glucose trend predicts hypoglycemia. This predictive low-glucose suspend
(PLGS) system substantially reduces nocturnal hypoglycemia without an increase in
morning ketosis. Evaluation of hypoglycemia risk factors that could potentially
influence the efficacy of the system remains critical for understanding possible
problems with the system and identifying patients that may have the greatest
benefit when using the system. METHODS: The at-home randomized trial consisted of
127 study participants with hemoglobin A1c (A1C) of <=8.5% (mmol/mol) for
patients aged 4-14 years and <=8.0% for patient aged 15-45 years. Factors
assessed included age, gender, A1C, diabetes duration, daily percentage basal
insulin, total daily dose of insulin (units/kg-day), bedtime BG, bedtime snack,
insulin on board, continuous glucose monitor (CGM) rate of change (ROC), day of
the week, time system activated, daytime exercise intensity, and daytime CGM
measured hypoglycemia. RESULTS: The PLGS system was effective in preventing
hypoglycemia for each factor subgroup. There was no evidence that the PLGS system
was more or less effective in preventing hypoglycemia in any one subgroup
compared with the other subgroups based on that factor. In addition, the effect
of the system on overnight hyperglycemia did not differ in subgroups.
CONCLUSIONS: The PLGS system tested in this study effectively reduced
hypoglycemia without a meaningful increase in hyperglycemia across a variety of
factors.
PMID- 27207893
TI - Dabrafenib Active in Rare NSCLC Subtype.
AB - Results from a phase II trial show that the BRAF inhibitor dabrafenib has
significant single-agent activity in patients with advanced non-small cell lung
cancer harboring the BRAF V600E mutation. However, data from another arm of the
study suggest that combining dabrafenib with a MEK inhibitor may be a more
effective treatment strategy for these patients.
PMID- 27207899
TI - GPs back government move to charge overseas visitors.
PMID- 27207900
TI - Optimizing Technology Use for Chronic Lower-Extremity Wound Healing: A Consensus
Document.
AB - Innovations in technology are used in managing chronic wounds. Despite the wide
range of technologies available, healing of chronic wounds remains variable. In
this paper, the authors offer an evidence based approach to the use of technology
for diagnosis and management based on the concept of standardised care.
PMID- 27207901
TI - End-of-life care for people with chronic kidney disease: cause of death, place of
death and hospital costs.
AB - Background: End-of-life care for people with chronic kidney disease (CKD) has
been identified as an area of great clinical need internationally. We estimate
causes and place of death and cost of hospital care for people with CKD in
England in the final 3 years of life. Methods: Hospital Episode Statistics data
were linked to Office for National Statistics mortality data to identify all
patients in England aged >=18 years who died 1 April 2006-31 March 2010, and had
a record of hospital care after 1 April 2003 (the study group). The underlying
cause and place of death were examined in Office for National Statistics data,
for patients without and with CKD (identified by International Classification of
Diseases version 10 codes N18, I12 and I13). Costs of hospital admissions and
outpatient attendances were estimated using National Health Service Reference
Cost data. Associations between CKD and hospital costs, and between place of
death and hospital costs in those with CKD, were examined using multivariate
regressions. Results: There were 1 602 105 people in the study group. Of these,
13.2% were recorded as having CKD. The proportion of deaths at home was 10.7% in
people with CKD and 17.2% in the age- and gender-matched non-CKD group.
Regression analysis suggests that CKD was associated with an increase in hospital
costs of L3380 in the last 12 months of life, holding constant place of death,
comorbidities and other variables. For the CKD group, home death was associated
with a reduction in hospital costs of L2811 in the 12 months before death. The
most commonly recorded cause of death in people with CKD was heart disease. CKD
was not mentioned on the death certificate in two-thirds of deaths in people with
the condition. Conclusions: People with CKD are less likely to die at home than
those without CKD. The condition is associated with increased hospital costs at
the end of life regardless of place of death. Home death in CKD is associated
with a substantial reduction in hospital costs at the end of life.
PMID- 27207902
TI - Induction of matrix metalloproteinase-1 by tumor necrosis factor-alpha is
mediated by interleukin-6 in cultured fibroblasts of keratoconus.
AB - Inflammatory molecules and matrix metalloproteinase (MMPs) have been found over
expressed in the tear film of patients with keratoconus. However, the mechanistic
link between inflammatory molecules and MMPs in the pathogenesis of keratoconus
remains still elusive. Therefore, we investigated the effect of tumor necrosis
factor-alpha (TNF-alpha) and interleukin-6 (IL-6) on MMP-1 expression and used IL
6 antibody (IL-6 Ab) to examine the role of IL-6 on TNF-alpha mediated regulation
of MMP-1 in fibroblasts of normal cornea and keratoconus. Real-time polymerase
chain reaction, Enzyme-linked immunosorbent assay, and Western blot data
demonstrated that MMP-1 and IL-6 were expressed in fibroblasts of normal cornea
and keratoconus. Levels of MMP-1 and IL-6 were significantly higher in
keratoconus than normal cornea. TNF-alpha treatment led to a significant increase
in IL-6 levels. IL-6 treatment induced MMP-1 synthesis in normal cornea and
keratoconus. TNF-alpha increased MMP-1 expression in a dose- and time-dependent
manner and this response was completely inhibited by the IL-6 Ab. In conclusion,
these results indicate that fibroblasts of keratoconus shows increased levels of
IL-6 and MMP-1 gene and protein expression and IL-6 mediates the TNF-alpha
induced MMP-1 expression.
PMID- 27207904
TI - Erratum.
PMID- 27207903
TI - Voluntary family planning to minimise and mitigate climate change.
PMID- 27207905
TI - Subjective and Cardiovascular Effects of Intravenous Methamphetamine during
Perindopril Maintenance: A Randomized, Double-Blind, Placebo-Controlled Human
Laboratory Study.
AB - BACKGROUND: Our pilot study suggested that the angiotensin-converting enzyme
inhibitor perindopril might reduce some subjective effects produced by i.v.
methamphetamine. We characterized the impact of a wider range of perindopril
doses on methamphetamine-induced effects in a larger group of non-treatment
seeking, methamphetamine-using volunteers. METHODS: Before treatment,
participants received 30mg methamphetamine. After 5 to 7 days of perindopril
treatment (0, 4, 8, or 16mg/d), participants received 15 and 30mg of
methamphetamine on alternate days. Before and after treatment, participants rated
subjective effects and cardiovascular measures were collected. RESULTS: Prior to
treatment with perindopril, there were no significant differences between
treatment groups on maximum or peak subjective ratings or on peak cardiovascular
effects. Following perindopril treatment, there were significant main effects of
treatment on peak subjective ratings of "anxious" and "stimulated"; compared to
placebo treatment, treatment with 8mg perindopril significantly reduced peak
ratings of both anxious (P=.0009) and stimulated (P=.0070). There were no
significant posttreatment differences between groups on peak cardiovascular
effects. CONCLUSIONS: Moderate doses of perindopril (8mg) significantly reduced
peak subjective ratings of anxious and stimulated as well as attenuated many
other subjective effects produced by methamphetamine, likely by inhibiting
angiotensin II synthesis. Angiotensin II is known to facilitate the effects of
norepinephrine, which contributes to methamphetamine's subjective effects. The
lack of a classic dose-response function likely results from either nonspecific
effects of perindopril or from between-group differences that were not accounted
for in the current study (i.e., genetic variations and/or caffeine use). The
current findings suggest that while angiotensin-converting enzyme inhibitors can
reduce some effects produced by methamphetamine, more consistent treatment
effects might be achieved by targeting components of the renin-angiotensin system
that are downstream of angiotensin-converting enzyme.
PMID- 27207906
TI - Synergistic Increase of Serum BDNF in Alzheimer Patients Treated with
Cerebrolysin and Donepezil: Association with Cognitive Improvement in ApoE4
Cases.
AB - BACKGROUND: Low circulating brain derived neurotrophic factor may promote
cognitive deterioration, but the effects of neurotrophic and combination drug
therapies on serum brain derived neurotrophic factor were not previously
investigated in Alzheimer's disease. METHODS: We evaluated the effects of
Cerebrolysin, donepezil, and the combined therapy on brain derived neurotrophic
factor serum levels at week 16 (end of Cerebrolysin treatment) and week 28
(endpoint) in mild-to-moderate Alzheimer's disease patients. RESULTS:
Cerebrolysin, but not donepezil, increased serum brain derived neurotrophic
factor at week 16, while the combination therapy enhanced it at both week 16 and
study endpoint. Brain derived neurotrophic factor responses were significantly
higher in the combination therapy group than in donepezil and Cerebrolysin groups
at week 16 and week 28, respectively. Brain derived neurotrophic factor increases
were greater in apolipoprotein E epsilon-4 allele carriers, and higher brain
derived neurotrophic factor levels were associated with better cognitive
improvements in apolipoprotein E epsilon-4 allele patients treated with
Cerebrolysin and the combined therapy. CONCLUSION: Our results indicate a
synergistic action of Cerebrolysin and donepezil to increase serum brain derived
neurotrophic factor and delaying cognitive decline, particularly in Alzheimer's
disease cases with apolipoprotein E epsilon-4 allele.
PMID- 27207907
TI - Acute and Chronic Electroconvulsive Seizures (ECS) Differentially Regulate the
Expression of Epigenetic Machinery in the Adult Rat Hippocampus.
AB - BACKGROUND: Electroconvulsive seizure treatment is a fast-acting antidepressant
therapy that evokes rapid transcriptional, neurogenic, and behavioral changes.
Epigenetic mechanisms contribute to altered gene regulation, which underlies the
neurogenic and behavioral effects of electroconvulsive seizure. We hypothesized
that electroconvulsive seizure may modulate the expression of epigenetic
machinery, thus establishing potential alterations in the epigenetic landscape.
METHODS: We examined the influence of acute and chronic electroconvulsive seizure
on the gene expression of histone modifiers, namely histone acetyltransferases,
histone deacetylases, histone methyltransferases, and histone (lysine)
demethylases as well as DNA modifying enzymes, including DNA methyltransferases,
DNA demethylases, and methyl-CpG-binding proteins in the hippocampi of adult male
Wistar rats using quantitative real time-PCR analysis. Further, we examined the
influence of acute and chronic electroconvulsive seizure on global and residue
specific histone acetylation and methylation levels within the hippocampus, a
brain region implicated in the cellular and behavioral effects of
electroconvulsive seizure. RESULTS: Acute and chronic electroconvulsive seizure
induced a primarily unique, and in certain cases bidirectional, regulation of
histone and DNA modifiers, and methyl-CpG-binding proteins, with an overlapping
pattern of gene regulation restricted to Sirt4, Mll3, Jmjd3, Gadd45b, Tet2, and
Tet3. Global histone acetylation and methylation levels were predominantly
unchanged, with the exception of a significant decline in H3K9 acetylation in the
hippocampus following chronic electroconvulsive seizure. CONCLUSIONS:
Electroconvulsive seizure treatment evokes the transcriptional regulation of
several histone and DNA modifiers, and methyl-CpG-binding proteins within the
hippocampus, with a predominantly distinct pattern of regulation induced by acute
and chronic electroconvulsive seizure.
PMID- 27207908
TI - Olanzapine Treatment of Adolescent Rats Alters Adult D2 Modulation of Cortical
Inputs to the Ventral Striatum.
AB - BACKGROUND: The striatal dopamine system undergoes vast ontogenetic changes
during adolescence, making the brain vulnerable to drug treatments that target
this class of neurotransmitters. Atypical antipsychotic drugs are often
prescribed to children and adolescents for off-label treatment of
neuropsychiatric disorders, yet the long-term impact this treatment has on brain
development remains largely unknown. METHODS: Adolescent male rats were treated
with olanzapine or vehicle for 3 weeks (during postnatal day 28-49) using a
dosing condition designed to approximate closely D2 receptor occupancies in the
human therapeutic range. We assessed D2 receptor modulation of corticostriatal
inputs onto medium spiny neurons in the adult ventral striatum using in vitro
whole-cell current clamp recordings. RESULTS: The D2/D3 agonist quinpirole (5 uM)
enhanced cortically driven medium spiny neuron synaptic responses in slices taken
from adult rats treated with vehicle during adolescence, as in untreated adult
rats. However, in slices from mature rats treated with olanzapine during
adolescence, quinpirole reduced medium spiny neuron activation. The magnitude of
decrease was similar to previous observations in untreated, prepubertal rats.
These changes may reflect alterations in local inhibitory circuitry, as the GABA
A antagonist picrotoxin (100 uM) reversed the effects of quinpirole in vehicle
treated slices but had no impact on cortically evoked responses in olanzapine
treated slices. CONCLUSIONS: These data suggest that adolescent atypical
antipsychotic drug treatment leads to enduring changes in dopamine modulation of
corticostriatal synaptic function.
PMID- 27207909
TI - The Effect of Mineralocorticoid and Glucocorticoid Receptor Antagonism on
Autobiographical Memory Recall and Amygdala Response to Implicit Emotional
Stimuli.
AB - BACKGROUND: Acutely elevated cortisol levels in healthy humans impair
autobiographical memory recall and alter hemodynamic responses of the amygdala to
emotionally valenced stimuli. It is hypothesized that the effects of the cortisol
on cognition are influenced by the ratio of mineralocorticoid receptor to
glucocorticoid receptor occupation. The current study examined the effects of
acutely blocking mineralocorticoid receptors and glucocorticoid receptors
separately on 2 processes known to be affected by altering levels of cortisol:
the specificity of autobiographical memory recall, and the amygdala hemodynamic
response to sad and happy faces. METHODS: We employed a within-subjects design in
which 10 healthy male participants received placebo, the mineralocorticoid
receptor antagonist spironolactone (600mg) alone, and the glucocorticoid receptor
antagonist mifepristone (600mg) alone in a randomized, counter-balanced order
separated by 1-week drug-free periods. RESULTS: On autobiographical memory
testing, mineralocorticoid receptor antagonism impaired, while glucocorticoid
receptor antagonism improved, recall relative to placebo, as evinced by changes
in the percent of specific memories recalled. During fMRI, the amygdala
hemodynamic response to masked sad faces was greater under both mineralocorticoid
receptor and glucocorticoid receptor antagonism relative to placebo, while the
response to masked happy faces was attenuated only during mineralocorticoid
receptor antagonism relative to placebo. CONCLUSIONS: These data suggest both
mineralocorticoid receptor and glucocorticoid receptor antagonism (and
potentially any deviation from the normal physiological mineralocorticoid
receptor/glucocorticoid receptor ratio achieved under the circadian pattern)
enhances amygdala-based processing of sad stimuli and may shift the emotional
processing bias away from the normative processing bias and towards the negative
valence. In contrast, autobiographical memory was enhanced by conditions of
reduced glucocorticoid receptor occupancy.
PMID- 27207910
TI - Long-Acting Injectable Antipsychotics for Prevention of Relapse in Bipolar
Disorder: A Systematic Review and Meta-Analyses of Randomized Controlled Trials.
AB - BACKGROUND: This meta-analysis of randomized controlled trials aimed to examine
the advantages of long-acting injectable antipsychotics over placebo or oral
medications regarding efficacy and safety for patients with bipolar disorder.
METHODS: Two categorical meta-analyses of randomized controlled trials were
performed to compare study-defined relapse rate (primary), discontinuation rates,
and individual adverse events: (1) risperidone-long-acting injectable vs placebo,
and (2) long-acting injectable antipsychotics vs oral medications. RESULTS: We
identified 7 randomized controlled trials (n=1016; long-acting injectable
antipsychotics [flupenthixol (1 randomized controlled trial) and risperidone (6
randomized controlled trials)=449]; oral medications [mood stabilizers,
antidepressants, antipsychotic, or any combination of these agents=283]; and
placebo=284). Risperidone-long-acting injectable antipsychotic was superior to
placebo for study-defined relapse rate (risk ratio=0.63, P<.0001), relapse of
manic symptoms (risk ratio=0.42, P<.00001), and all-cause discontinuation (risk
ratio=0.75, P=.007). Risperidone-long-acting injectable was associated with
higher incidence of prolactin-related adverse events (risk ratio=4.82, P=.001)
and weight gain (risk ratio=3.80, P<.0001) than placebo. The pooled long-acting
injectable antipsychotics did not outperform oral medications regarding primary
outcome but with significant heterogeneity (I2=74%). Sensitivity analysis,
including only studies with rapid cycling or high frequency of relapse patients,
revealed that long-acting injectable antipsychotics were superior compared to
oral medications (I2=0%, RR=0.58, P=.0004). However, the comparators in this
sensitivity analysis did not include second-generation antipsychotic monotherapy.
In sensitivity analysis, including only studies with second-generation
antipsychotic monotherapy as the comparator, long-acting injectable
antipsychotics did not outperform second-generation antipsychotic monotherapy.
Risperidone-long-acting injectable was also associated with higher incidence of
prolactin-related adverse events than oral medications (RR=2.66, P=.03).
CONCLUSIONS: Long-acting injectable antipsychotics appear beneficial for relapse
prevention in patients with rapid cycling. Furthermore, randomized controlled
trials comparing long-acting injectable antipsychotics and oral second-generation
antipsychotic using larger samples of rapid cycling patients are warranted.
PMID- 27207912
TI - Serum Insulin Levels Are Reduced by Intravenous Ghrelin Administration but Do Not
Correlate with Alcohol Craving in Alcohol-Dependent Individuals.
AB - BACKGROUND: Increasing evidence supports a role for appetite-regulating pathways
like ghrelin, insulin, and leptin in alcoholism. We previously reported that
intravenous (i.v.) exogenous ghrelin increases alcohol craving. We also reported
i.v. ghrelin reduces endogenous serum leptin, whose levels, in turn, negatively
correlated with alcohol craving. Exogenous ghrelin administration decreases
insulin secretion both in vitro and in vivo experiments. This study tested the
hypothesis that i.v. ghrelin may also decrease endogenous serum insulin levels in
alcoholic individuals. Additionally, we explored possible correlations between
serum insulin and alcohol craving, since a correlation between insulin and
alcohol craving was previously reported. METHODS: This was a double-blind,
placebo-controlled human laboratory study (n=43). Non-treatment-seeking, alcohol
dependent, heavy drinkers were randomized to receive i.v. ghrelin or placebo,
followed by an alcohol cue-reactivity procedure. RESULTS: There was a main effect
for i.v. ghrelin, compared to placebo in reducing serum insulin (P<.05). There
was also a time effect (P<.001) but not ghrelin x time interaction (P>.05). We
did not find a correlation between the reduction of serum insulin and alcohol
craving (P>.05). The change in serum insulin was consistent with a parallel
reduction in serum connective-peptide in the ghrelin group compared with placebo,
although this difference did not reach statistical significance (P=.076). No
similar effects were found for other glucose-regulating hormones analyzed i.e.
glucagon, glucagon-like peptide-1, and gastric inhibitory peptide (Ps>.05).
CONCLUSIONS: These findings indicate i.v. ghrelin administration has an effect on
reducing serum insulin in alcohol-dependent individuals; however, the reduction
of insulin did not correlate with changes in alcohol cue-elicited craving. We
speculate that, unlike for leptin, the interactions between ghrelin and insulin
relationship are limited at the peripheral level. However, mechanistic studies
are needed to investigate this hypothesis.
PMID- 27207911
TI - Antidepressant-Like Effects of GM1 Ganglioside Involving the BDNF Signaling
Cascade in Mice.
AB - BACKGROUND: Depression is a serious psychiatric disorder that easily causes
physical impairments and a high suicide rate. Monosialotetrahexosylganglioside is
a crucial ganglioside for the central nervous system and has been reported to
affect the function of the brain derived neurotrophic factor system. This study
is aimed to evaluate whether monosialotetrahexosylganglioside has antidepressant
like effects. METHODS: Antidepressant-like effects of
monosialotetrahexosylganglioside were assessed in the chronic social defeat
stress model of depression, and various behavioral tests were performed. Changes
in the brain derived neurotrophic factor signaling pathway after chronic social
defeat stress and monosialotetrahexosylganglioside treatment were also
investigated. A tryptophan hydroxylase inhibitor and brain derived neurotrophic
factor signaling inhibitors were used to determine the antidepressant mechanisms
of monosialotetrahexosylganglioside. RESULTS: Monosialotetrahexosylganglioside
administration significantly reversed the chronic social defeat stress-induced
reduction of sucrose preference and social interaction in mice and also prevented
the increased immobility time in the forced swim test and tail suspension test.
In addition, monosialotetrahexosylganglioside completely ameliorated the stress
induced dysfunction of brain derived neurotrophic factor signaling cascade in the
hippocampus and medial prefrontal cortex, 2 regions closely involved in the
pathophysiology of depression. Furthermore, the usage of brain derived
neurotrophic factor signaling cascade inhibitors, K252a and anti-brain derived
neurotrophic factor antibody, each abolished the antidepressant-like effects of
monosialotetrahexosylganglioside, while the usage of a serotonin system inhibitor
did not. CONCLUSIONS: Taken together, our findings suggest that
monosialotetrahexosylganglioside indeed has antidepressant-like effects, and
these effects were mediated through the activation of brain derived neurotrophic
factor signaling cascade.
PMID- 27207913
TI - Serum Cytokine Profiles of Children with Obsessive-Compulsive Disorder Shows the
Evidence of Autoimmunity.
AB - BACKGROUND: Previous reports have described an association between autoimmunity
and primary obsessive compulsive disorder. This study aimed to investigate any
differences in the levels of T helper 1, 2, and 17 effector cell cytokines
between obsessive compulsive disorder patients and the control group. METHODS:
The study included 34 children (23 males, 11 females), aged between 7 and 17
years, with a diagnosis of obsessive compulsive disorder prior to receiving
treatment. The control group consisted of age- and gender-matched children. Study
participants were assessed using the Kiddie Schedule for Affective Disorders and
Schizophrenia, Present and Lifetime version, Children's Yale Brown Obsession
Compulsion Scale, and Children's Depression Inventory. Cytokine serum
concentrations were measured using the BD Cytometric Bead Array Human
Th1/Th2/Th17 Cytokine Kit. RESULTS: Interleukin-17A, tumor necrosis factor-alpha,
and interleukin-2 levels were significantly higher in obsessive compulsive
disorder patients, However, there was no correlation between T helper 1 and 17
cytokine profiles in the obsessive compulsive disorder group. The duration and
severity of obsessive compulsive disorder symptoms were not significantly
associated with interleukin-17A, interferon-gamma-gamma, interleukin-10,
interleukin-6, interleukin-4, and interleukin-2 levels. Interestingly, a negative
correlation was found between tumor necrosis factor-alpha levels and Clinical
Global Impression scores. CONCLUSIONS: These findings suggest, in some cases,
obsessive compulsive disorder may develop on a background of autoimmunity, and
interleukin-2, tumor necrosis factor-alpha, and interleukin-17A may play a role
in these autoimmune processes. Therefore, we believe it is important to
investigate for obsessive compulsive disorder symptoms in patients with
autoimmune disease and, conversely, autoimmune diseases in obsessive compulsive
disorder patients.
PMID- 27207915
TI - Reduced Neurite Density in Neuronal Cell Cultures Exposed to Serum of Patients
with Bipolar Disorder.
AB - BACKGROUND: Increased inflammatory markers and oxidative stress have been
reported in serum among patients with bipolar disorder (BD). The aim of this
study is to assess whether biochemical changes in the serum of patients induces
neurotoxicity in neuronal cell cultures. METHODS: We challenged the retinoic acid
differentiated human neuroblastoma SH-SY5Y cells with the serum of BD patients at
early and late stages of illness and assessed neurite density and cell viability
as neurotoxic endpoints. RESULTS: Decreased neurite density was found in neurons
treated with the serum of patients, mostly patients at late stages of illness.
Also, neurons challenged with the serum of late-stage patients showed a
significant decrease in cell viability. CONCLUSIONS: Our findings showed that the
serum of patients with bipolar disorder induced a decrease in neurite density and
cell viability in neuronal cultures.
PMID- 27207914
TI - Dorsal Anterior Cingulate Lactate and Glutathione Levels in Euthymic Bipolar I
Disorder: 1H-MRS Study.
AB - OBJECTIVE: Oxidative stress and mitochondrial dysfunction are 2 closely
integrated processes implicated in the physiopathology of bipolar disorder.
Advanced proton magnetic resonance spectroscopy techniques enable the measurement
of levels of lactate, the main marker of mitochondrial dysfunction, and
glutathione, the predominant brain antioxidant. The objective of this study was
to measure brain lactate and glutathione levels in bipolar disorder and healthy
controls. METHODS: Eighty-eight individuals (50 bipolar disorder and 38 healthy
controls) underwent 3T proton magnetic resonance spectroscopy in the dorsal
anterior cingulate cortex (2x2x4.5cm(3)) using a 2-D JPRESS sequence. Lactate and
glutathione were quantified using the ProFit software program. RESULTS: Bipolar
disorder patients had higher dorsal anterior cingulate cortex lactate levels
compared with controls. Glutathione levels did not differ between euthymic
bipolar disorder and controls. There was a positive correlation between lactate
and glutathione levels specific to bipolar disorder. No influence of medications
on metabolites was observed. CONCLUSION: This is the most extensive magnetic
resonance spectroscopy study of lactate and glutathione in bipolar disorder to
date, and results indicated that euthymic bipolar disorder patients had higher
levels of lactate, which might be an indication of altered mitochondrial
function. Moreover, lactate levels correlated with glutathione levels, indicating
a compensatory mechanism regardless of bipolar disorder diagnosis.
PMID- 27207916
TI - Dissociated Accumbens and Hippocampal Structural Abnormalities across Obesity and
Alcohol Dependence.
AB - BACKGROUND: Processing of food and drug rewards involves specific neurocircuitry,
and emerging evidence implicates subcortical abnormalities, particularly the
nucleus accumbens and hippocampus. We specifically hypothesized that these 2
established regions in addiction neurocircuitry are associated with distinctive
in vivo structural abnormalities in obesity and alcohol dependence. METHODS: To
specifically investigate anatomically discrete volumetric changes associated with
overconsumption of different rewards, we acquired T1 MRI data from 118 subjects
in 3 groups comprising obesity (n=42), alcohol dependence (n=32), and healthy
volunteer controls (n=44). To exploit novel methods of automated hippocampal
subfield segmentation, we used Freesurfer software to generate volumetric data in
subject groups for the hippocampal subiculum and its major striatal efferent
target, the nucleus accumbens. Hypothesis-led, selective group difference
comparisons were analyzed. RESULTS: We found markedly greater accumbens volumes
(P=.002) and relatively preserved hippocampal subfield volumes in obesity.
Conversely, in alcohol dependence, we found preserved accumbens volumes but
atrophy of specific ventral hippocampal subfields, the subiculum and
presubiculum. Smaller global subcortical gray-matter volume was found in the
alcohol dependence group only. CONCLUSIONS: Reward neurocircuitry including the
accumbens and ventral hippocampus may show key structural abnormalities in
disorders involving processing of both food and drug rewards, although the foci
of disruption may vary as a function of reward modality. Structural differences
may subserve altered reward and motivational processes in obesity and alcohol
dependence and represent a potential biomarker for therapeutic targeting in key
public health disorders.
PMID- 27207918
TI - Association of Superoxide Dismutase 2 (SOD2) Genotype with Gray Matter Volume
Shrinkage in Chronic Alcohol Users: Replication and Further Evaluation of an
Addiction Gene Panel.
AB - BACKGROUND: Reduction in brain volume, especially gray matter volume, has been
shown to be one of the many deleterious effects of prolonged alcohol consumption.
High variance in the degree of gray matter tissue shrinkage among alcohol
dependent individuals and a previous neuroimaging genetics report suggest the
involvement of environmental and/or genetic factors, such as superoxide dismutase
2 (SOD2). Identification of such underlying factors will help in the clinical
management of alcohol dependence. METHODS: We analyzed quantitative magnetic
resonance imaging and genotype data from 103 alcohol users, including both light
drinkers and treatment-seeking alcohol-dependent individuals. Genotyping was
performed using a custom gene array that included genes selected from 8 pathways
relevant to chronic alcohol-related brain volume loss. RESULTS: We replicated a
significant association of a functional SOD2 single nucleotide polymorphism with
normalized gray matter volume, which had been reported previously in an
independent smaller sample of alcohol-dependent individuals. The SOD2-related
genetic protection was observed only at the cohort's lower drinking range.
Additional associations between normalized gray matter volume and other candidate
genes such as alcohol dehydrogenase gene cluster (ADH), GCLC, NOS3, and SYT1 were
observed across the entire sample but did not survive corrections for multiple
comparisons. CONCLUSION: Converging independent evidence for a SOD2 gene
association with gray matter volume shrinkage in chronic alcohol users suggests
that SOD2 genetic variants predict differential brain volume loss mediated by
free radicals. This study also provides the first catalog of genetic variations
relevant to gray matter loss in chronic alcohol users. The identified gene-brain
structure relationships are functionally pertinent and merit replication.
PMID- 27207919
TI - Metformin Prevents Dopaminergic Neuron Death in MPTP/P-Induced Mouse Model of
Parkinson's Disease via Autophagy and Mitochondrial ROS Clearance.
AB - BACKGROUND: Our previous study demonstrated that metabolic inflammation
exacerbates dopaminergic neuronal degeneration in type 2 diabetes mice.
Metformin, a typical oral hypoglycemic agent for diabetes, has been regarded as
an activator of AMP-activated protein kinase and a regulator of systemic energy
metabolism. Although metformin plays potential protective effects in many
disorders, it is unclear whether metformin has a therapeutic role in dopaminergic
neuron degeneration in Parkinson's disease. METHODS: In the present study, a 1
methyl-4-phenyl-1,2,3,6-tetrahydropyridine plus probenecid-induced mouse model of
Parkinson's disease was established to explore the neuroprotective effect of
metformin on dopaminergic neurons in substania nigra compacta. We next cultured
SH-SY5Y cells to investigate the mechanisms for the neuroprotective effect of
metformin. RESULTS: We showed that treatment with metformin (5mg/mL in drinking
water) for 5 weeks significantly ameliorated the degeneration of substania nigra
compacta dopaminergic neurons, increased striatal dopaminergic levels, and
improved motor impairment induced by 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine
plus probenecid. We further found that metformin inhibited microglia
overactivation-induced neuroinflammation in substania nigra compacta of 1-methyl
4-phenyl-1,2,3,6-tetrahydropyridine plus probenecid Parkinson's disease mice,
which might contribute to the protective effect of metformin on
neurodegeneration. Furthermore, metformin (2mM) activated AMP-activated protein
kinase in SH-SY5Y cells, in turn inducing microtubule-associated protein 1 light
chain 3-II-mediated autophagy and eliminating mitochondrial reactive oxygen
species. Consequently, metformin alleviated MPP+-induced cytotoxicity and
attenuated neuronal apoptosis. CONCLUSIONS: Our findings demonstrate that
metformin may be a pluripotent and promising drug for dopaminergic neuron
degeneration, which will give us insight into the potential of metformin in terms
of opening up novel therapeutic avenues for Parkinson's disease.
PMID- 27207917
TI - Absolute Measurements of Macrophage Migration Inhibitory Factor and Interleukin-1
beta mRNA Levels Accurately Predict Treatment Response in Depressed Patients.
AB - BACKGROUND: Increased levels of inflammation have been associated with a poorer
response to antidepressants in several clinical samples, but these findings have
had been limited by low reproducibility of biomarker assays across laboratories,
difficulty in predicting response probability on an individual basis, and unclear
molecular mechanisms. METHODS: Here we measured absolute mRNA values (a reliable
quantitation of number of molecules) of Macrophage Migration Inhibitory Factor
and interleukin-1beta in a previously published sample from a randomized
controlled trial comparing escitalopram vs nortriptyline (GENDEP) as well as in
an independent, naturalistic replication sample. We then used linear discriminant
analysis to calculate mRNA values cutoffs that best discriminated between
responders and nonresponders after 12 weeks of antidepressants. As Macrophage
Migration Inhibitory Factor and interleukin-1beta might be involved in different
pathways, we constructed a protein-protein interaction network by the Search Tool
for the Retrieval of Interacting Genes/Proteins. RESULTS: We identified cutoff
values for the absolute mRNA measures that accurately predicted response
probability on an individual basis, with positive predictive values and
specificity for nonresponders of 100% in both samples (negative predictive
value=82% to 85%, sensitivity=52% to 61%). Using network analysis, we identified
different clusters of targets for these 2 cytokines, with Macrophage Migration
Inhibitory Factor interacting predominantly with pathways involved in
neurogenesis, neuroplasticity, and cell proliferation, and interleukin-1beta
interacting predominantly with pathways involved in the inflammasome complex,
oxidative stress, and neurodegeneration. CONCLUSION: We believe that these data
provide a clinically suitable approach to the personalization of antidepressant
therapy: patients who have absolute mRNA values above the suggested cutoffs could
be directed toward earlier access to more assertive antidepressant strategies,
including the addition of other antidepressants or antiinflammatory drugs.
PMID- 27207920
TI - Increase or Decrease of fMRI Activity in Adult Attention Deficit/ Hyperactivity
Disorder: Does It Depend on Task Difficulty?
AB - BACKGROUND: Attention deficit/hyperactivity disorder has been shown to affect
working memory, and fMRI studies in children and adolescents with attention
deficit/hyperactivity disorder report hypoactivation in task-related attentional
networks. However, studies with adult attention deficit/hyperactivity disorder
patients addressing this issue as well as the effects of clinically valid
methylphenidate treatment are scarce. This study contributes to closing this gap.
METHODS: Thirty-five adult patients were randomized to 6 weeks of double-blind
placebo or methylphenidate treatment. Patients completed an fMRI n-back working
memory task both before and after the assigned treatment, and matched healthy
controls were tested and compared to the untreated patients. RESULTS: There were
no whole-brain differences between any of the groups. However, when specified
regions of interest were investigated, the patient group showed enhanced BOLD
responses in dorsal and ventral areas before treatment. This increase was
correlated with performance across all participants and with attention
deficit/hyperactivity disorder symptoms in the patient group. Furthermore, we
found an effect of treatment in the right superior frontal gyrus, with
methylphenidate-treated patients exhibiting increased activation, which was
absent in the placebo-treated patients. CONCLUSIONS: Our results indicate
distinct activation differences between untreated adult attention
deficit/hyperactivity disorder patients and matched healthy controls during a
working memory task. These differences might reflect compensatory efforts by the
patients, who are performing at the same level as the healthy controls. We
furthermore found a positive effect of methylphenidate on the activation of a
frontal region of interest. These observations contribute to a more thorough
understanding of adult attention deficit/hyperactivity disorder and provide
impulses for the evaluation of therapy-related changes.
PMID- 27207922
TI - Fluoxetine Inhibits NLRP3 Inflammasome Activation: Implication in Depression.
AB - BACKGROUND: Emerging evidence indicates that NLRP3 inflammasome-induced
inflammation plays a crucial role in the pathogenesis of depression. Thus,
inhibition of NLRP3 inflammasome activation may offer a therapeutic benefit in
the treatment of depression. Fluoxetine, a widely used antidepressant, has been
shown to have potential antiinflammatory activity, but the underlying mechanisms
remain obscure. METHODS: We used a chronic mild stress model and cultured primary
macrophage/microglia to investigate the effects of fluoxetine on NLRP3
inflammasome and its underlying mechanisms. RESULTS: We demonstrated that
fluoxetine significantly suppressed NLRP3 inflammasome activation, subsequent
caspase-1 cleavage, and interleukin-1beta secretion in both peripheral
macrophages and central microglia. We further found that fluoxetine reduced
reactive oxygen species production, attenuated the phosphorylation of double
stranded RNA-dependent protein kinase, and inhibited the association of protein
kinase with NLRP3. These data indicate that fluoxetine inhibits the activation of
NLRP3 inflammasome via downregulating reactive oxygen species-protein kinase
NLRP3 signaling pathway. Correspondingly, in vivo data showed that fluoxetine
also suppressed NLRP3 inflammasome activation in hippocampus and macrophages of
chronic mild stress mice and alleviated chronic mild stress-induced depression
like behavior. CONCLUSIONS: Our findings reveal that fluoxetine confers an
antidepressant effect partly through inhibition of peripheral and central NLRP3
inflammasome activation and suggest the potential clinical use of fluoxetine in
NLRP3 inflammasome-driven inflammatory diseases such as depression.
PMID- 27207921
TI - Valproic Acid and Other HDAC Inhibitors Upregulate FGF21 Gene Expression and
Promote Process Elongation in Glia by Inhibiting HDAC2 and 3.
AB - BACKGROUND: Fibroblast growth factor 21, a novel regulator of glucose and lipid
metabolism, has robust protective properties in neurons. However, its expression
and function in glia are unknown. Valproic acid, a mood stabilizer and
anticonvulsant, is a histone deacetylase inhibitor and a dynamic gene regulator.
We investigated whether histone deacetylase inhibition by valproic acid and other
inhibitors upregulates fibroblast growth factor 21 expression and, if so, sought
to identify the histone deacetylase isoform(s) involved and their role in
altering glial cell morphology. METHODS: C6 glioma or primary cortical glial
cultures were treated with histone deacetylase inhibitors, and fibroblast growth
factor 21 levels and length of cell processes were subsequently measured. Histone
deacetylase 1, 2, or 3 was also knocked down to detect which isoform was involved
in regulating fibroblast growth factor 21 mRNA levels. Finally, knockdown and
overexpression of fibroblast growth factor 21 were performed to determine whether
it played a role in regulating cell process length. RESULTS: Treatment of C6
cells or primary glial cultures with valproic acid elevated fibroblast growth
factor 21 mRNA levels, extended cell process length, and markedly increased
acetylated histone-H3 levels. Other histone deacetylase inhibitors including pan-
and class I-specific inhibitors, or selective knockdown of histone deacetylase 2
or 3 isoform produced similar effects. Knockdown or overexpression of fibroblast
growth factor 21 significantly decreased or increased C6 cell process length,
respectively. CONCLUSIONS: In glial cell line and primary glia, using
pharmacological inhibition and selective gene silencing of histone deacetylases
to boost fibroblast growth factor 21 mRNA levels results in elongation of cell
processes. Our study provides a new mechanism via which histone deacetylase 2 and
3 participate in upregulating fibroblast growth factor 21 transcription and
extending process outgrowth in glia.
PMID- 27207923
TI - Repetitive Transcranial Magnetic Stimulation to Supplementary Motor Area in
Refractory Obsessive-Compulsive Disorder Treatment: a Sham-Controlled Trial.
AB - BACKGROUND: Repetitive transcranial magnetic stimulation has been explored in
patients with obsessive-compulsive disorder, but with negative or conflicting
results. This randomized double-blind study was designed to assess the efficacy
of 1-Hz repetitive transcranial magnetic stimulation over the presupplementary
area. METHODS: Forty medication-resistant patients were assigned to 4 weeks of
either active or sham repetitive transcranial magnetic stimulation targeting the
presupplementary area with the help of a neuronavigation system. RESULTS:
According to the Yale-Brown obsessive-compulsive scale, the baseline-week 4
evolution showed no significant differences between groups. Responder rates at
week 4 were not different between groups (repetitive transcranial magnetic
stimulation 10.5% vs sham 20%; P=.63). CONCLUSION: Low-frequency repetitive
transcranial magnetic stimulation applied to the presupplementary area seems
ineffective for the treatment of obsessive-compulsive disorder patients, at least
in severe and drug-refractory cases such as those included in this study. Further
research is required to determine profiles of responder patients and appropriate
repetitive transcranial magnetic stimulation parameters for obsessive-compulsive
disorder.
PMID- 27207929
TI - Translation Quality Assessment in Health Research: A Functionalist Alternative to
Back-Translation.
AB - As international research studies become more commonplace, the importance of
developing multilingual research instruments continues to increase and with it
that of translated materials. It is therefore not unexpected that assessing the
quality of translated materials (e.g., research instruments, questionnaires,
etc.) has become essential to cross-cultural research, given that the reliability
and validity of the research findings crucially depend on the translated
instruments. In some fields (e.g., public health and medicine), the quality of
translated instruments can also impact the effectiveness and success of
interventions and public campaigns. Back-translation (BT) is a commonly used
quality assessment tool in cross-cultural research. This quality assurance
technique consists of (a) translation (target text [TT1]) of the source text
(ST), (b) translation (TT2) of TT1 back into the source language, and (c)
comparison of TT2 with ST to make sure there are no discrepancies. The accuracy
of the BT with respect to the source is supposed to reflect equivalence/accuracy
of the TT. This article shows how the use of BT as a translation quality
assessment method can have a detrimental effect on a research study and proposes
alternatives to BT. One alternative is illustrated on the basis of the
translation and quality assessment methods used in a research study on hearing
loss carried out in a border community in the southwest of the United States.
PMID- 27207930
TI - The MTT and Crystal Violet Assays: Potential Confounders in Nanoparticle Toxicity
Testing.
AB - The toxicological effects of nanoparticles (NPs) on humans, animals, and
environment are largely unknown. Assessment of NPs cytotoxicity depends on the
choice of the test system. Due to NPs optical activity and absorption values,
they can influence the classical cytotoxicity assay. Eight NPs were spiked in the
3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) and crystal
violet assays and tested with HaCaT human skin cells. The MTT assay standard
curve optical density (OD) measurements were altered by the presence of
trisilanol phenyl and trisilanol isooctyl polyhedral oligomeric silsesquioxane
particles. The crystal violet standard curve OD measurements were significantly
shifted by gold NPs, but they did not affect the MTT assay. Carbon black
decreased ODs in the MTT and crystal violet assays and was localized in the cell
cytoplasm. These findings strongly indicate that a careful choice of in vitro
viability systems is required to avoid flawed measurement of NPs toxicity.
PMID- 27207937
TI - Survival After Percutaneous Endoscopic Gastrostomy in Older Adults With
Neurologic Disorders.
AB - BACKGROUND: Percutaneous endoscopic gastrostomy (PEG) tube placement is a common
procedure performed to provide a route for enteral feeding. We aimed to examine
the survival after PEG in older adults with neurologic disease and to demonstrate
the factors associated with mortality. METHODS: We analyzed the data of 500
patients who underwent PEG placement between 2005 and 2015 at a university
hospital. The data included age, sex, follow-up duration, comorbidities,
medications, indications for PEG, complications, and laboratory results. Related
risk factors and mortality rates were analyzed. RESULTS: Median age was 77 years.
Median survival time after PEG placement was 13.9 months. The 30-day, 3-month, 1
year, 2-year, 3-year, and 5-year mortality rates were 11.3%, 28.3%, 46.8%, 56.3
%, 63.0%, and 67.8%, respectively. Stroke was independently correlated with
mortality (odds ratio [OR], 2.20; 95% confidence interval [CI], 1.52-3.19; P <
.001). Overall survival time after PEG placement was shorter in patients with
stroke than without stroke (11.4 vs 27.1 months, P = .014). In multivariate
logistic regression analyses, preprocedural neutrophil percentage (OR, 1.10; 95%
CI, 1.02-1.17, P = .015) and late complications (OR, 9.2; 95% CI, 1.80-46.90; P =
.008) were independent risk factors for mortality in the stroke subgroup.
Prophylactic antibiotic usage (OR, 0.07; 95% CI, 0.17-0.29; P < .001) and
hyperlipidemia (OR, 0.30; 95% CI, 0.86-1.00; P = .048) were independently and
inversely correlated with mortality in stroke patients. CONCLUSIONS: Stroke
patients had higher mortality rates than other neurological indications for PEG.
In stroke patients with PEG, neutrophil percentage was independently correlated
with mortality, while hyperlipidemia and preprocedural antibiotic usage were
independently related with survival.
PMID- 27207938
TI - Limitations of Routine Verification of Nasogastric Tube Insertion Using X-Ray and
Auscultation: Two Case Reports of Life-Threatening Complications.
AB - Several bedside approaches used in combination with thoracoabdominal X-ray are
widely used to avoid severe complications that have been reported during
nasogastric tube management. Although confirmation by X-ray is considered the
gold standard, it is not yet perfect. We present 2 cases of rare complications in
which the routine verification methods could not detect all the complications
related to the nasogastric tube placement. Case 1 was a 17-year-old male who
presented with a brain tumor and repeatedly required nasogastric tube placement.
Despite normal auscultatory and X-ray findings, the patient's condition
deteriorated rapidly after resuming the enteral nutrition (EN). Computed
tomography images showed the presence of hepatic portal venous gas (HPVG). Urgent
upper gastrointestinal endoscopy showed esophagogastric submucosal tunneling of
the tube that required an emergency open total gastrectomy. Case 2 was a 76-year
old man with long-term EN after stroke. While the last auscultatory verification
was normal, he suddenly developed extensive HPVG due to gastric mucosal injury
following EN, which resulted in progressive intestinal necrosis, general
peritonitis, and death. These 2 cases indicated that routine verification methods
consisting of auscultation and X-ray may not be completely reliable, and the
awareness of the limitations of these methods should be reaffirmed because
expeditious examinations and necessary interventions are critical in preventing
life-threatening complications.
PMID- 27207939
TI - Parenteral Nutrition Multivitamin Product Shortage Considerations.
PMID- 27207940
TI - Changes in Parenteral Nutrition During the First Week of Life Influence Early but
Not Late Postnatal Growth in Very Low-Birth-Weight Infants.
AB - BACKGROUND AND AIMS: Postnatal growth restriction remains a serious problem in
very low-birth-weight infants. Enhanced parenteral supply of nutrients as soon as
possible after birth is one of the strategies addressed to avoid extrauterine
growth restriction. We aimed to analyze changes in growth patterns and in
clinical outcomes in our unit after a change in our parenteral nutrition (PN)
protocol. METHODS: We collected data from 2 time periods, comprising the 2 years
before (period I) and the 2 years after (period II) the change of protocol. We
included 142 very low-birth-weight infants <=32 weeks of gestation with a birth
weight <=1500 g. Data regarding nutrition intakes (parenteral and enteral) in the
first week of life, growth during admission, and clinical outcomes were retrieved
from clinical charts. RESULTS: Babies in period II received a higher nutrition
supply during the first week of life, but no further differences were found after
this period. Weight at 14 days of life was significantly higher in period II but
not at day 28 of life or discharge. CONCLUSIONS: In our population, an enhanced
PN regimen for very low-birth-weight infants led to a better growth at 14 days of
life. However, this positive effect had disappeared at day 28 of life. Strategies
to improve nutrient supply once the preterm baby is stable and on full enteral
feeds should be implemented and analyzed.
PMID- 27207941
TI - Obesity frames and counter-frames in British and German online newspapers.
AB - By featuring news articles highlighting certain aspects of obesity and
backgrounding others, the media can frame these aspects as especially applicable
to how obesity should be understood and addressed. Despite the highest rates in
Europe, news reports from Britain and Germany have come under little scholarly
scrutiny. In this article, we explore frames and their frequency of use in
British and German online newspapers. Our findings reveal a dominant cross
national framing of obesity in terms of 'self-control', which places a more
pronounced emphasis on individual responsibility than demonstrated by earlier
studies and may contribute to a culture of weight bias and stigma. The results
also reveal evidence for cross-national efforts to challenge this individualising
framing with counter-frames of 'acceptance' and 'coming out'. We argue that this
is a positive development, which demonstrates the potential of media frames to
function not only as possible contributors to weight bias and stigma but also as
mechanisms for countering entrenched social conceptions of obesity.
PMID- 27207943
TI - Complex heatmaps reveal patterns and correlations in multidimensional genomic
data.
AB - Parallel heatmaps with carefully designed annotation graphics are powerful for
efficient visualization of patterns and relationships among high dimensional
genomic data. Here we present the ComplexHeatmap package that provides rich
functionalities for customizing heatmaps, arranging multiple parallel heatmaps
and including user-defined annotation graphics. We demonstrate the power of
ComplexHeatmap to easily reveal patterns and correlations among multiple sources
of information with four real-world datasets. AVAILABILITY AND IMPLEMENTATION:
The ComplexHeatmap package and documentation are freely available from the
Bioconductor project:
http://www.bioconductor.org/packages/devel/bioc/html/ComplexHeatmap.html CONTACT:
m.schlesner@dkfz.de SUPPLEMENTARY INFORMATION: Supplementary data are available
at Bioinformatics online.
PMID- 27207942
TI - Prospective cohort study of the risk factors for stress fractures in Chinese male
infantry recruits.
AB - OBJECTIVE: To determine potential risk factors that could predict stress
fractures over an 8-week basic military training in Chinese male infantry
recruits. METHODS: Recruits from three infantry units enrolled in this
prospective study. At baseline, demographic data, personal history of stress
fractures, mean duration of weekly exercise and smoking history were recorded on
questionnaires and blood samples taken for analysis of bone turnover biomarkers
and genetic factors. RESULTS: Of the 1516 male recruits who volunteered to
participate in the study, 1398 recruits provided data for analysis. In total, 189
stress fracture cases were observed (incidence rate: 13.5%) during the 8-week
training period. Recruits with stress fractures had a significantly higher
incidence of prior fracture history and lower exercise level prior to enrolment
compared with those without stress fractures. A significant difference in both
allelic frequency and genotypic distribution of the growth differentiation factor
5 (GDF5) gene rs143383 polymorphism was observed between recruits with and
without stress fractures. However, no difference in serum bone turnover
biomarkers was detected between groups. CONCLUSION: This prospective, cohort
study indicates that fracture history, lower exercise level and GDF5 rs143383 may
be predictive risk factors for stress fractures in Chinese male infantry
recruits.
PMID- 27207944
TI - Differential rhythmicity: detecting altered rhythmicity in biological data.
AB - MOTIVATION: Biological rhythms, such as rhythms in gene expression controlled by
the cell cycle or the circadian clock, are important in cell physiology. A common
type of experiment compares rhythmicity in tissues or cells either kept under
different conditions or having different genotypes. Such investigations provide
insights into underlying mechanisms as well as functions of rhythms. RESULTS: We
present and benchmark a set of statistical and computational methods for this
type of analysis, here termed differential rhythmicity analysis. The methods
detect alterations in rhythm amplitude, phase and signal to noise ratio in one
set of measurements compared to another. Using these methods, we compared
circadian rhythms in liver mRNA expression in mice held under two different
lighting conditions: constant darkness and light-dark cycles, respectively. This
analysis revealed widespread and reproducible amplitude increases in mice kept in
light-dark cycles. Further analysis of the subset of differentially rhythmic
transcripts implied the immune system in mediating ambient light-dark cycles to
rhythmic transcriptional activities. The methods are suitable for genome- or
proteome-wide studies, and provide rigorous P values against well-defined null
hypotheses. AVAILABILITY AND IMPLEMENTATION: The methods were implemented as the
accompanying R software package DODR, available on CRAN. CONTACT: pal
olof.westermark@charite.de SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 27207945
TI - TarPmiR: a new approach for microRNA target site prediction.
AB - MOTIVATION: The identification of microRNA (miRNA) target sites is fundamentally
important for studying gene regulation. There are dozens of computational methods
available for miRNA target site prediction. Despite their existence, we still
cannot reliably identify miRNA target sites, partially due to our limited
understanding of the characteristics of miRNA target sites. The recently
published CLASH (crosslinking ligation and sequencing of hybrids) data provide an
unprecedented opportunity to study the characteristics of miRNA target sites and
improve miRNA target site prediction methods. RESULTS: Applying four different
machine learning approaches to the CLASH data, we identified seven new features
of miRNA target sites. Combining these new features with those commonly used by
existing miRNA target prediction algorithms, we developed an approach called
TarPmiR for miRNA target site prediction. Testing on two human and one mouse non
CLASH datasets, we showed that TarPmiR predicted more than 74.2% of true miRNA
target sites in each dataset. Compared with three existing approaches, we
demonstrated that TarPmiR is superior to these existing approaches in terms of
better recall and better precision. AVAILABILITY AND IMPLEMENTATION: The TarPmiR
software is freely available at
http://hulab.ucf.edu/research/projects/miRNA/TarPmiR/ CONTACTS: haihu@cs.ucf.edu
or xiaoman@mail.ucf.edu SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 27207946
TI - Weighted mutual information analysis substantially improves domain-based
functional network models.
AB - MOTIVATION: Functional protein-protein interaction (PPI) networks elucidate
molecular pathways underlying complex phenotypes, including those of human
diseases. Extrapolation of domain-domain interactions (DDIs) from known PPIs is a
major domain-based method for inferring functional PPI networks. However, the
protein domain is a functional unit of the protein. Therefore, we should be able
to effectively infer functional interactions between proteins based on the co
occurrence of domains. RESULTS: Here, we present a method for inferring accurate
functional PPIs based on the similarity of domain composition between proteins by
weighted mutual information (MI) that assigned different weights to the domains
based on their genome-wide frequencies. Weighted MI outperforms other domain
based network inference methods and is highly predictive for pathways as well as
phenotypes. A genome-scale human functional network determined by our method
reveals numerous communities that are significantly associated with known
pathways and diseases. Domain-based functional networks may, therefore, have
potential applications in mapping domain-to-pathway or domain-to-phenotype
associations. AVAILABILITY AND IMPLEMENTATION: Source code for calculating
weighted mutual information based on the domain profile matrix is available from
www.netbiolab.org/w/WMI CONTACT: Insuklee@yonsei.ac.kr SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 27207948
TI - Robert K. Josephson (1934-2016).
PMID- 27207947
TI - Endothelial deletion of protein tyrosine phosphatase-1B protects against pressure
overload-induced heart failure in mice.
AB - AIMS: Cardiac angiogenesis is an important determinant of heart failure. We
examined the hypothesis that protein tyrosine phosphatase (PTP)-1B, a negative
regulator of vascular endothelial growth factor (VEGF) receptor-2 activation, is
causally involved in the cardiac microvasculature rarefaction during hypertrophy
and that deletion of PTP1B in endothelial cells prevents the development of heart
failure. METHODS AND RESULTS: Cardiac hypertrophy was induced by transverse
aortic constriction (TAC) in mice with endothelial-specific deletion of PTP1B
(End.PTP1B-KO) and controls (End.PTP1B-WT). Survival up to 20 weeks after TAC was
significantly improved in mice lacking endothelial PTP1B. Serial echocardiography
revealed a better systolic pump function, less pronounced cardiac hypertrophy,
and left ventricular dilation compared with End.PTP1B-WT controls.
Histologically, banded hearts from End.PTP1B-KO mice exhibited increased numbers
of PCNA-positive, proliferating endothelial cells resulting in preserved cardiac
capillary density and improved perfusion as well as reduced hypoxia, apoptotic
cell death, and fibrosis. Increased relative VEGFR2 and ERK1/2 phosphorylation
and greater eNOS expression were present in the hearts of End.PTP1B-KO mice. The
absence of PTP1B in endothelial cells also promoted neovascularization following
peripheral ischaemia, and bone marrow transplantation excluded a major
contribution of Tie2-positive haematopoietic cells to the improved angiogenesis
in End.PTP1B-KO mice. Increased expression of caveolin-1 as well as reduced NADPH
oxidase-4 expression, ROS generation and TGFbeta signalling were observed and may
have mediated the cardioprotective effects of endothelial PTP1B deletion.
CONCLUSIONS: Endothelial PTP1B deletion improves cardiac VEGF signalling and
angiogenesis and protects against chronic afterload-induced heart failure. PTP1B
may represent a useful target to preserve cardiac function during hypertrophy.
PMID- 27207949
TI - Revealing how goldfish defy anoxia.
PMID- 27207950
TI - Terrestrial movement energetics: current knowledge and its application to the
optimising animal.
AB - The energetic cost of locomotion can be a substantial proportion of an animal's
daily energy budget and thus key to its ecology. Studies on myriad species have
added to our knowledge about the general cost of animal movement, including the
effects of variations in the environment such as terrain angle. However, further
such studies might provide diminishing returns on the development of a deeper
understanding of how animals trade-off the cost of movement with other energy
costs, and other ecological currencies such as time. Here, I propose the
'individual energy landscape' as an approach to conceptualising the choices
facing the optimising animal. In this Commentary, first I outline previous broad
findings about animal walking and running locomotion, focusing in particular on
the use of net cost of transport as a metric of comparison between species, and
then considering the effects of environmental perturbations and other extrinsic
factors on movement costs. I then introduce and explore the idea that these
factors combine with the behaviour of the animal in seeking short-term optimality
to create that animal's individual energy landscape - the result of the
geographical landscape and environmental factors combined with the animal's
selected trade-offs. Considering an animal's locomotion energy expenditure within
this context enables hard-won empirical data on transport costs to be applied to
questions about how an animal can and does move through its environment to
maximise its fitness, and the relative importance, or otherwise, of locomotion
energy economy.
PMID- 27207952
TI - Olfactory specialization for perfume collection in male orchid bees.
AB - Insects rely on the olfactory system to detect a vast diversity of airborne
molecules in their environment. Highly sensitive olfactory tuning is expected to
evolve when detection of a particular chemical with great precision is required
in the context of foraging and/or finding mates. Male neotropical orchid bees
(Euglossini) collect odoriferous substances from multiple sources, store them in
specialized tibial pouches and later expose them at display sites, presumably as
mating signals to females. Previous analysis of tibial compounds among sympatric
species revealed substantial chemical disparity in chemical composition among
lineages with outstanding divergence between closely related species. Here, we
tested whether specific perfume phenotypes coevolve with matching olfactory
adaptations in male orchid bees to facilitate the location and harvest of species
specific perfume compounds. We conducted electroantennographic (EAG) measurements
on males of 15 sympatric species in the genus Euglossa that were stimulated with
18 compounds present in variable proportions in male hind tibiae. Antennal
response profiles were species-specific across all 15 species, but there was no
conspicuous differentiation between closely related species. Instead, we found
that the observed variation in EAG activity follows a Brownian motion model of
trait evolution, where the probability of differentiation increases
proportionally with lineage divergence time. However, we identified strong
antennal responses for some chemicals that are present as major compounds in the
perfume of the same species, thus suggesting that sensory specialization has
occurred within multiple lineages. This sensory specialization was particularly
apparent for semi-volatile molecules ('base note' compounds), thus supporting the
idea that such compounds play an important role in chemical signaling of
euglossine bees. Overall, our study found no close correspondence between
antennal responses and behavioral preferences/tibial contents, but confirms the
utility of EAG profiling for discovering certain behaviorally active compounds.
PMID- 27207951
TI - Evidence for an audience effect in mice: male social partners alter the male
vocal response to female cues.
AB - Mice (Mus musculus) form large and dynamic social groups and emit ultrasonic
vocalizations in a variety of social contexts. Surprisingly, these vocalizations
have been studied almost exclusively in the context of cues from only one social
partner, despite the observation that in many social species the presence of
additional listeners changes the structure of communication signals. Here, we
show that male vocal behavior elicited by female odor is affected by the presence
of a male audience - with changes in vocalization count, acoustic structure and
syllable complexity. We further show that single sensory cues are not sufficient
to elicit this audience effect, indicating that multiple cues may be necessary
for an audience to be apparent. Together, these experiments reveal that some
features of mouse vocal behavior are only expressed in more complex social
situations, and introduce a powerful new assay for measuring detection of the
presence of social partners in mice.
PMID- 27207953
TI - Apoptosis-mediated testicular alteration in Japanese quail (Coturnix coturnix
japonica) in response to temporal phase relation of serotonergic and dopaminergic
oscillations.
AB - Reproductive performance of many avian species, including Japanese quail, is
reported to be modulated by specific temporal phase relation of serotonergic and
dopaminergic oscillations. Accordingly, it has been shown that the serotonin
precursor 5-HTP and the dopamine precursor l-DOPA given 8 h apart induce gonadal
suppression and given 12 h apart lead to gonadal stimulation, while other
temporal relationships were found to be ineffective. In the present study, we
investigated the effects of 8- and 12-h phase relation of neural oscillations on
testicular responses including expression of GnRH-I, GnIH, pro-apoptotic proteins
(p53 and Bax), inactive and active executioner caspase-3, and the uncleaved DNA
repair enzyme PARP-1. Testicular volume and mass decreased significantly in 8-h
quail and increased in 12-h quail compared with controls. Expression of ir-GnIH,
p53, Bax and active-caspase-3 increased and that of GnRH-I, pro-caspase-3 and
uncleaved PARP-1 decreased in 8-h quail compared with controls. A TUNEL assay
also confirmed testicular regression in these quail. Testes of 12-h quail
exhibited significantly increased expression of GnRH-I, pro-caspase-3 and
uncleaved PARP-1 compared with the control group. Our findings suggest that
differential response of avian testes to 8- and 12-h phase relation of
serotonergic and dopaminergic neural oscillations may be attributed to
autocrine/paracrine action of GnIH expression, which is upregulated in regressed
testes, leading to apoptotic changes, and downregulated in developed testes,
causing apoptotic inhibition. It is concluded that specific phase relation of
neural oscillations may modulate the local testicular GnRH-GnIH system and alter
the apoptotic mechanism in quail testes. Moreover, these findings highlight the
physiological effects of time-dependent drug delivery, including the specific
time intervals between two drugs.
PMID- 27207954
TI - Synchronous activity lowers the energetic cost of nest escape for sea turtle
hatchlings.
AB - A potential advantage of group movement in animals is increased locomotion
efficiency. This implies a reduced energetic cost for individuals that occur in
larger groups such as herds, flocks and schools. When chelonian hatchlings hatch
in the underground nest with finite energy for their post-hatching dispersal
phase, they face the challenge of minimizing energetic expenditure while escaping
the nest. The term 'social facilitation' has been used to describe the combined
digging effort of sea turtle hatchlings during nest escape. Given that in a
normal clutch, a substantial part of the energy reserve within the residual yolk
is used by hatchlings in the digging out process, a decreased cohort size may
reduce the energy reserve available to cross the beach and sustain the initial
swimming frenzy. This hypothesis was experimentally tested by varying cohort size
in hatchling green turtles (Chelonia mydas) and measuring energy expenditure
during the nest escape process using open-flow respirometry. The energetic cost
of escaping through 40 cm of sand was calculated to vary between 4.4 and 28.3 kJ
per individual, the cost decreasing as the number of individuals in the cohort
increased. This represents 11-68% of the energy contained in a hatchling's
residual yolk at hatching. The reduced energetic cost associated with large
cohorts resulted from both a lower metabolic rate per individual and a shortened
nest escape time. We conclude that synchronous digging activity of many
hatchlings during nest escape evolved not only to facilitate rapid nest emergence
but also to reduce the energetic cost to individuals.
PMID- 27207955
TI - Kinematics of mouthbrooding in Oreochromis niloticus (Cichlidae).
AB - Many species from several different families of fishes perform mouthbrooding,
where one of the sexes protects and ventilates the eggs inside the mouth cavity.
This ventilation behaviour differs from gill ventilation outside the brooding
period, as the normal, small-amplitude suction-pump respiration cycles are
alternated with actions including near-simultaneous closed-mouth protrusions and
high-amplitude depressions of the hyoid. The latter is called churning, referring
to its hypothetical function in moving around and repositioning the eggs by a
presumed hydrodynamic effect of the marked shifts in volume along the mouth
cavity. We tested the hypothesis that churning causes the eggs located
posteriorly in the mouth cavity to move anteriorly away from the gill entrance.
This would prevent or clear accumulations of brood at the branchial basket, which
would otherwise hinder breathing by the parent. Dual-view videos of female Nile
tilapias (Oreochromis niloticus) during mouthbrooding showed that churning
involves a posterior-to-anterior wave of expansion and compression of the head
volume. Flow visualisation with polyethylene microspheres revealed a significant
inflow of water entering the gill slits at the zone above the pectoral fin base,
followed by a predominantly ventral outflow passing the ventrolaterally flapping
branchiostegal membranes. X-ray videos indicated that particularly the brood
located close to the gills is moved anteriorly during churning. These data
suggest that, in addition to mixing of the brood to aid its oxygenation, an
important function of the anterior flow through the gills and buccal cavity
during churning is to prevent clogging of the eggs near the gills.
PMID- 27207956
TI - Large Deletions at the SHOX Locus in the Pseudoautosomal Region Are Associated
with Skeletal Atavism in Shetland Ponies.
AB - Skeletal atavism in Shetland ponies is a heritable disorder characterized by
abnormal growth of the ulna and fibula that extend the carpal and tarsal joints,
respectively. This causes abnormal skeletal structure and impaired movements, and
affected foals are usually killed. In order to identify the causal mutation we
subjected six confirmed Swedish cases and a DNA pool consisting of 21 control
individuals to whole genome resequencing. We screened for polymorphisms where the
cases and the control pool were fixed for opposite alleles and observed this
signature for only 25 SNPs, most of which were scattered on genome assembly
unassigned scaffolds. Read depth analysis at these loci revealed homozygosity or
compound heterozygosity for two partially overlapping large deletions in the
pseudoautosomal region (PAR) of chromosome X/Y in cases but not in the control
pool. One of these deletions removes the entire coding region of the SHOX gene
and both deletions remove parts of the CRLF2 gene located downstream of SHOX. The
horse reference assembly of the PAR is highly fragmented, and in order to
characterize this region we sequenced bacterial artificial chromosome (BAC)
clones by single-molecule real-time (SMRT) sequencing technology. This
considerably improved the assembly and enabled size estimations of the two
deletions to 160-180 kb and 60-80 kb, respectively. Complete association between
the presence of these deletions and disease status was verified in eight other
affected horses. The result of the present study is consistent with previous
studies in humans showing crucial importance of SHOX for normal skeletal
development.
PMID- 27207957
TI - Cilia-Associated Genes Play Differing Roles in Aminoglycoside-Induced Hair Cell
Death in Zebrafish.
AB - Hair cells possess a single primary cilium, called the kinocilium, early in
development. While the kinocilium is lost in auditory hair cells of most species
it is maintained in vestibular hair cells. It has generally been believed that
the primary role of the kinocilium and cilia-associated genes in hair cells is in
the establishment of the polarity of actin-based stereocilia, the hair cell
mechanotransduction apparatus. Through genetic screening and testing of candidate
genes in zebrafish (Danio rerio) we have found that mutations in multiple cilia
genes implicated in intraflagellar transport (dync2h1, wdr35, ift88, and
traf3ip), and the ciliary transition zone (cc2d2a, mks1, and cep290) lead to
resistance to aminoglycoside-induced hair cell death. These genes appear to have
differing roles in hair cells, as mutations in intraflagellar transport genes,
but not transition zone genes, lead to defects in kinocilia formation and
processes dependent upon hair cell mechanotransduction activity. These mutants
highlight a novel role of cilia-associated genes in hair cells, and provide
powerful tools for further study.
PMID- 27207958
TI - Variants of Transient Receptor Potential Melastatin Member 4 in Childhood
Atrioventricular Block.
AB - BACKGROUND: Transient receptor potential melastatin member 4 (TRPM4) is a
nonselective cation channel. TRPM4 mutations have been linked to cardiac
conduction disease and Brugada syndrome. The mechanisms underlying TRPM4
dependent conduction slowing are not fully understood. The aim of this study was
to characterize TRPM4 genetic variants found in patients with congenital or
childhood atrioventricular block. METHODS AND RESULTS: Ninety-one patients with
congenital or childhood atrioventricular block were screened for candidate genes.
Five rare TRPM4 genetic variants were identified and investigated. The variants
were expressed heterologously in HEK293 cells. Two of the variants, A432T and
A432T/G582S, showed decreased expression of the protein at the cell membrane;
inversely, the G582S variant showed increased expression. Further functional
characterization of these variants using whole-cell patch-clamp configuration
showed a loss of function and a gain of function, respectively. We hypothesized
that the observed decrease in expression was caused by a folding and trafficking
defect. This was supported by the observation that incubation of these variants
at lower temperature partially rescued their expression and function. Previous
studies have suggested that altered SUMOylation of TRPM4 may cause a gain of
function; however, we did not find any evidence that supports SUMOylation as
being directly involved for the gain-of-function variant. CONCLUSIONS: This study
underpins the role of TRPM4 in the cardiac conduction system. The loss-of
function variants A432T/G582S found in 2 unrelated patients with atrioventricular
block are most likely caused by misfolding-dependent altered trafficking. The
ability to rescue this variant with lower temperature may provide a novel use of
pharmacological chaperones in treatment strategies.
PMID- 27207960
TI - Dairy Consumption and Risk of Stroke: A Systematic Review and Updated Dose
Response Meta-Analysis of Prospective Cohort Studies.
AB - BACKGROUND: A higher milk consumption may be associated with a lower stroke risk.
We conducted a comprehensive systematic review and dose-response meta-analysis of
milk and other dairy products in relation to stroke risk. METHODS AND RESULTS:
Through a systematic literature search, prospective cohort studies of dairy foods
and incident stroke in stroke-free adults were identified. Random-effects meta
analyses with summarized dose-response data were performed, taking into account
sources of heterogeneity, and spline models were used to systematically
investigate nonlinearity of the associations. We included 18 studies with 8 to 26
years of follow-up that included 762 414 individuals and 29 943 stroke events. An
increment of 200 g of daily milk intake was associated with a 7% lower risk of
stroke (relative risk 0.93; 95% CI 0.88-0.98; P=0.004; I(2)=86%). Relative risks
were 0.82 (95% CI 0.75-0.90) in East Asian and 0.98 (95% CI 0.95-1.01) in Western
countries (median intakes 38 and 266 g/day, respectively) with less but still
considerable heterogeneity within the continents. Cheese intake was marginally
inversely associated with stroke risk (relative risk 0.97; 95% CI 0.94-1.01 per
40 g/day). Risk reductions were maximal around 125 g/day for milk and from 25
g/day onwards for cheese. Based on a limited number of studies, high-fat milk was
directly associated with stroke risk. No associations were found for yogurt,
butter, or total dairy. CONCLUSIONS: Milk and cheese consumption were inversely
associated with stroke risk. Results should be placed in the context of the
observed heterogeneity. Future epidemiological studies should provide more
details about dairy types, including fat content. In addition, the role of dairy
in Asian populations deserves further attention.
PMID- 27207959
TI - Multimarker Risk Stratification in Patients With Acute Myocardial Infarction.
AB - BACKGROUND: Several biomarkers have individually been shown to be useful for risk
stratification in patients with acute myocardial infarction (MI). The optimal
multimarker strategy remains undefined. METHODS AND RESULTS: Biomarkers
representing different pathobiological axes were studied, including myocardial
stress/structural changes (NT-pro B-type natriuretic peptide [NT-proBNP],
midregional proatrial natriuretic peptide [MR-proANP], suppression of
tumorigenicity 2 [ST2], galectin-3, midregional proadrenomedullin [MR-proADM],
and copeptin), myonecrosis (troponin T), and inflammation (myeloperoxidase [MPO],
high sensitivity C-reactive protein [hsCRP], pregnancy-associated plasma protein
A [PAPP-A], and growth-differentiation factor-15 [GDF-15]), in up to 1258
patients from Clopidogrel as Adjunctive Reperfusion Therapy-Thrombolysis in
Myocardial Infarction 28 (CLARITY-TIMI 28), a randomized trial of clopidogrel in
ST-elevation MI (STEMI). Patients were followed for 30 days. Biomarker analyses
were adjusted for traditional clinical variables. Forward step-wise selection was
used to assess a multimarker strategy. After adjustment for clinical variables
and using a dichotomous cutpoint, 7 biomarkers were each significantly associated
with a higher odds of cardiovascular death or heart failure (HF) through 30 days,
including NT-proBNP (adjusted odds ratio [ORadj], 2.54; 95% CI, 1.47-4.37), MR
proANP (2.18; 1.27-3.76), ST2 (2.88; 1.72-4.81), troponin T (4.13; 1.85-9.20),
MPO (2.75; 1.20-6.27), hsCRP (1.96, 1.17-3.30), and PAPP-A (3.04; 1.17-7.88). In
a multimarker model, 3 biomarkers emerged as significant and complementary
predictors of cardiovascular death or HF: ST2 (ORadj, 2.87; 1.61-5.12), troponin
T (2.34; 1.09-5.01 and 4.13, 1.85-9.20, respectively for intermediate and high
levels), and MPO (2.49; 1.04-5.96). When added to the TIMI STEMI Risk Score
alone, the multimarker risk score significantly improved the C-statistic (area
under the curve, 0.75 [95% CI, 0.69-0.81] to 0.82 [0.78-0.87]; P=0.001), net
reclassification index (0.93; P<0.001), and integrated discrimination index
(0.09; P<0.001). CONCLUSIONS: In patients with STEMI, a multimarker strategy that
combines biomarkers across pathobiological axes of myocardial stress, myocyte
necrosis, and inflammation provides incremental prognostic information for
prediction of cardiovascular death or HF.
PMID- 27207961
TI - Concentration of Smaller High-Density Lipoprotein Particle (HDL-P) Is Inversely
Correlated With Carotid Intima Media Thickening After Confounder Adjustment: The
Multi Ethnic Study of Atherosclerosis (MESA).
AB - BACKGROUND: Recent studies have failed to establish a causal relationship between
high-density lipoprotein cholesterol levels (HDL-C) and cardiovascular disease
(CVD), shifting focus to other HDL measures. We previously reported that
smaller/denser HDL levels are protective against cerebrovascular disease. This
study sought to determine which of small+medium HDL particle concentration (HDL
P) or large HDL-P was more strongly associated with carotid intima-media
thickening (cIMT) in an ethnically diverse cohort. METHODS AND RESULTS: In cross
sectional analyses of participants from the Multi Ethnic Study of Atherosclerosis
(MESA), we evaluated the associations of nuclear magnetic resonance spectroscopy
measured small+medium versus large HDL-P with cIMT measured in the common and
internal carotid arteries, through linear regression. After adjustment for CVD
confounders, low-density lipoprotein cholesterol (LDL-C), HDL-C, and small+medium
HDL-P remained significantly and inversely associated with common (coefficient=
1.46 MUm; P=0.00037; n=6512) and internal cIMT (coefficient=-3.82 MUm; P=0.0051;
n=6418) after Bonferroni correction for 4 independent tests (threshold for
significance=0.0125; alpha=0.05/4). Large HDL-P was significantly and inversely
associated with both cIMT outcomes before HDL-C adjustment; however, after
adjustment for HDL-C, the association of large HDL-P with both common
(coefficient=1.55 MUm; P=0.30; n=6512) and internal cIMT (coefficient=4.84 MUm;
P=0.33; n=6418) was attenuated. In a separate sample of 126 men, small/medium HDL
P was more strongly correlated with paraoxonase 1 activity (rp=0.32; P=0.00023)
as compared to both total HDL-P (rp=0.27; P=0.0024) and large HDL-P (rp=0.02;
P=0.41) measures. CONCLUSIONS: Small+medium HDL-P is significantly and inversely
correlated with cIMT measurements. Correlation of small+medium HDL-P with
cardioprotective paraoxonase 1 activity may reflect a functional aspect of HDL
responsible for this finding.
PMID- 27207963
TI - Derivation and Validation of a Modified Short Form of the Stroke Impact Scale.
AB - BACKGROUND: The Stroke Impact Scale (SIS) is a stroke-specific, quality of life
measure recommended for research and clinical practice. Completion rates are
suboptimal and could relate to test burden. We derived and validated a short form
SIS (SF-SIS). METHODS AND RESULTS: We examined data from the Virtual
International Stroke Trial Archive, generating derivation and validation
populations. We derived an SF-SIS by selecting 1 item per domain of SIS, choosing
items most highly correlated with total domain score. Our validation described
agreement of SF-SIS with original SIS and the SIS-16 and correlation with Barthel
Index, modified Rankin Scale, National Institutes of Health Stroke Scale, and
Euro-QoL 5 dimensions visual analog scales. We assessed discriminative validity
(associations between SF-SIS and factors known to influence outcome [age,
physiological parameters, and comorbidity]). We assessed face validity and
acceptability by sharing the SF-SIS with a focus group of stroke survivors and
multidisciplinary stroke healthcare staff. From 5549 acute study patients (mean
age 68.5 [SD 13] years, mean SIS 64 [SD 32]) and 332 rehabilitation patients
(mean age 65.7 [SD 11] years, mean SIS 61 [SD 11]), we derived an 8-item SF-SIS
that demonstrated good agreement with original SIS and good correlation with our
chosen functional and quality of life measures (all rho>0.70, P<0.0001).
Significant associations were seen with our chosen predictors of stroke outcome
in the acute group (P<0.0001). The focus group agreed with the choice of items
for SF-SIS across 7 of 8 domains. CONCLUSIONS: Using multiple, complementary
methods, we have derived an SF-SIS and demonstrated content, convergent, and
discriminant validity. This shortened SIS should allow collection of robust
quality of life data with less associated test burden.
PMID- 27207962
TI - NLRP3 Inflammasome Expression and Activation in Human Atherosclerosis.
AB - BACKGROUND: The NLR family, pyrin domain containing 3 (NLRP3) inflammasome is an
interleukin (IL)-1beta and IL-18 cytokine processing complex that is activated in
inflammatory conditions. The role of the NLRP3 inflammasome in the pathogenesis
of atherosclerosis and myocardial infarction is not fully understood. METHODS AND
RESULTS: Atherosclerotic plaques were analyzed for transcripts of the NLRP3
inflammasome, and for IL-1beta release. The Swedish First-ever myocardial
Infarction study in Ac-county (FIA) cohort consisting of DNA from 555 myocardial
infarction patients and 1016 healthy individuals was used to determine the
frequency of 4 single nucleotide polymorphisms (SNPs) from the downstream
regulatory region of NLRP3. Expression of NLRP3, Apoptosis-associated speck-like
protein containing a CARD (ASC), caspase-1 (CASP1), IL1B, and IL18 mRNA was
significantly increased in atherosclerotic plaques compared to normal arteries.
The expression of NLRP3 mRNA was significantly higher in plaques of symptomatic
patients when compared to asymptomatic ones. CD68-positive macrophages were
observed in the same areas of atherosclerotic lesions as NLRP3 and ASC
expression. Occasionally, expression of NLRP3 and ASC was also present in smooth
muscle cells. Cholesterol crystals and ATP induced IL-1beta release from
lipopolysaccharide-primed human atherosclerotic lesion plaques. The minor alleles
of the variants rs4266924, rs6672995, and rs10733113 were associated with NLRP3
mRNA levels in peripheral blood mononuclear cells but not with the risk of
myocardial infarction. CONCLUSIONS: Our results indicate a possible role of the
NLRP3 inflammasome and its genetic variants in the pathogenesis of
atherosclerosis.
PMID- 27207964
TI - Hospital Readmissions Following Endovascular Therapy for Critical Limb Ischemia:
Associations With Wound Healing, Major Adverse Limb Events, and Mortality.
AB - BACKGROUND: The significance of hospital readmission after endovascular therapy
for critical limb ischemia (CLI) is not well established. We sought to
investigate the incidence, timing, and causes of readmissions after endovascular
therapy for CLI and whether readmission is associated with major adverse limb
events (MALE) or mortality. METHODS AND RESULTS: This was a retrospective study
of 252 patients treated with endovascular therapy for CLI. During median follow
up of 381 days (interquartile range [IQR], 115-718), 140 (56%) were readmitted,
with median time to readmission of 83 days (IQR, 33-190). Readmission within 30
days occurred in 14% of patients (n=35; 25% of readmissions). Most readmissions
occurred between 30 and 180 days (n=67; 48% of readmissions). The most frequent
reason for readmission was unhealed wounds (n=63; 45% of readmissions).
Independent predictors of readmission by Cox proportional hazards analysis were
unhealed wounds, presence of multiple wounds, age >=70, female sex, hemodialysis,
and history of heart failure (P<0.05 for each). By Kaplan-Meier analysis,
readmission was greatest in patients with unhealed wounds, followed by patients
who never had a wound, and lowest in patients whose wounds completely healed
(P<0.0001 overall, and P<0.01 between groups). After multivariable adjustment,
readmission remained an independent predictor of composite MALE (major
amputation, bypass, or endarterectomy) or mortality (adjusted hazard ratio, 3.1;
95% CI, 1.5-6.5; P=0.002). CONCLUSIONS: Most readmissions occur 30 and 180 days
after endovascular therapy for nonprocedural reasons. Unhealed wounds are an
independent risk factor for readmission. Readmission is associated with increased
MALE and mortality after endovascular therapy for CLI.
PMID- 27207965
TI - Angiotensin-Converting Enzyme Inhibitor Initiation and Dose Uptitration in
Children With Cardiovascular Disease: A Retrospective Review of Standard Clinical
Practice and a Prospective Randomized Clinical Trial.
AB - BACKGROUND: Angiotensin-converting enzyme inhibitors (ACEIs) are a mainstay of
medical management in pediatric cardiology. However, there are no data defining
how best to initiate and uptitrate the dose of these medications in children.
METHODS AND RESULTS: Retrospective chart review revealed only 24% of our
pediatric cardiology inpatients were discharged on predefined optimal doses of
ACEIs and few underwent further dose uptitration in the 8 weeks after hospital
discharge. Therefore, 2 alternative protocols for initiation of captopril were
compared in a prospective randomized clinical trial. A "rapid uptitration"
protocol reached an optimal dose on day 3, whereas the alternative, "prolonged
uptitration" protocol, reached an optimal dose on day 9. Forty-6 patients (54%
male) were recruited to the trial, with a median age of 0.7 year (IQR 0.5-2.3
years). Captopril was initiated while in intensive care in 39% of patients and on
the cardiology ward in 61%. There were no differences between the protocols in
episodes of hypotension, symptomatic hypotension, or indices of renal function.
Patients following the rapid protocol reached higher doses of captopril (0.93+/
0.24 versus 0.57+/-0.38 mg/kg per dose, P<0.0001) and were more likely to have
achieved the predefined target (88% versus 43%, P=0.002) and optimal ACEI doses
(80% versus 29%, P=0.001) before discharge. CONCLUSIONS: A protocol of rapid ACEI
dose uptitration for infants and children with cardiovascular disease can be
introduced safely, even in patients receiving intensive care therapy. Compared
with standard clinical practice or with a more prolonged protocol, rapid ACEI
dose uptitration achieves a higher dosage in this population with no evident
disadvantages. CLINICAL TRIAL REGISTRATION: URL: https://www.clinicaltrials.gov/.
Unique identifier: NCT00742040.
PMID- 27207966
TI - Carotid Artery Vascular Mechanics Serve as Biomarkers of Cognitive Dysfunction in
Aortic-Banded Miniature Swine That Can Be Treated With an Exercise Intervention.
AB - BACKGROUND: Cognitive impairment in the setting of heart failure with preserved
ejection fraction remains poorly understood. Using aortic-banded miniature swine
displaying pathological features of human heart failure with preserved ejection
fraction, we tested the hypothesis that increased carotid artery stiffness and
altered carotid blood flow control are associated with impaired memory
independent of decreased cardiac output. Furthermore, we hypothesized that
chronic exercise prevents carotid artery vascular restructuring and preserves
normal blood flow control and cognition in heart failure with preserved ejection
fraction. METHODS AND RESULTS: Yucatan pigs aged 8 months were divided into 3
groups: control (n=7), aortic-banded sedentary (n=7), and aortic-banded exercise
trained (n=7). At 6 months following aortic-banded or control conditions, memory
was evaluated using a spatial hole-board task. Carotid artery vascular mechanics
and blood flow were assessed at rest, and blood flow control was examined during
transient vena cava occlusion. Independent of decreased cardiac output, the
aortic-banded group exhibited impaired memory that was associated with carotid
artery vascular stiffening, elevated carotid artery vascular resistance, and
exaggerated reductions in carotid artery blood flow during vena cava occlusion.
Chronic exercise augmented memory scores, normalized blood flow control, and
improved indices of carotid artery vascular stiffening. Indices of vascular
stiffening were significantly correlated with average memory score. CONCLUSIONS:
Carotid artery stiffness and altered vasomotor control correlate with impaired
cognition independent of cardiac systolic dysfunction. Carotid artery vascular
mechanics may serve as a biomarker for vascular cognitive impairment in heart
failure with preserved ejection fraction. Chronic low-intensity exercise reduces
vascular stiffening and improves cognition, highlighting the utility of exercise
therapy for treating vascular cognitive impairment in heart failure with
preserved ejection fraction.
PMID- 27207967
TI - Death and Emergency Readmission of Infants Discharged After Interventions for
Congenital Heart Disease: A National Study of 7643 Infants to Inform Service
Improvement.
AB - BACKGROUND: Improvements in hospital-based care have reduced early mortality in
congenital heart disease. Later adverse outcomes may be reducible by focusing on
care at or after discharge. We aimed to identify risk factors for such events
within 1 year of discharge after intervention in infancy and, separately, to
identify subgroups that might benefit from different forms of intervention.
METHODS AND RESULTS: Cardiac procedures performed in infants between 2005 and
2010 in England and Wales from the UK National Congenital Heart Disease Audit
were linked to intensive care records. Among 7976 infants, 333 (4.2%) died before
discharge. Of 7643 infants discharged alive, 246 (3.2%) died outside the hospital
or after an unplanned readmission to intensive care (risk factors were age,
weight-for-age, cardiac procedure, cardiac diagnosis, congenital anomaly,
preprocedural clinical deterioration, prematurity, ethnicity, and duration of
initial admission; c-statistic 0.78 [0.75-0.82]). Of the 7643, 514 (6.7%) died
outside the hospital or had an unplanned intensive care readmission (same risk
factors but with neurodevelopmental condition and acquired cardiac diagnosis and
without preprocedural deterioration; c-statistic 0.78 [0.75-0.80]).
Classification and regression tree analysis were used to identify 6 subgroups
stratified by the level (3-24%) and nature of risk for death outside the hospital
or unplanned intensive care readmission based on neurodevelopmental condition,
cardiac diagnosis, congenital anomaly, and duration of initial admission. An
additional 115 patients died after planned intensive care admission (typically
following elective surgery). CONCLUSIONS: Adverse outcomes in the year after
discharge are of similar magnitude to in-hospital mortality, warrant service
improvements, and are not confined to diagnostic groups currently targeted with
enhanced monitoring.
PMID- 27207968
TI - Impact of a Rural Regional Myocardial Infarction System of Care in Wyoming.
AB - BACKGROUND: Primary percutaneous coronary intervention (PCI) is the preferred
reperfusion strategy for patients presenting with ST-segment elevation myocardial
infarction; however, to be effective, PCI must be performed in a timely manner.
Rural regions are at a severe disadvantage, given the relatively sparse number of
PCI hospitals and long transport times. METHODS AND RESULTS: We developed a
standardized treatment and transfer protocol for ST-segment elevation myocardial
infarction in the rural state of Wyoming. The study design compared the time-to
treatment outcomes during the pre- and postintervention periods. Details of the
program, changes in reperfusion strategies over time, and outcome improvements in
treatment times were reported. From January 1, 2013, to December 31, 2014, 889
patients were treated in 11 PCI-capable hospitals (4 in Wyoming, 7 in adjoining
states). Given the large geographic distance in the state (median of 47 miles
between patient and PCI center), 52% of all patients were transfers, and 36% were
administered fibrinolysis at the referral facility. Following the intervention,
there was a significant shift toward greater use of primary PCI as the dominant
reperfusion strategy (from 47% to 60%, P=0.002), and the median total ischemic
time from symptom onset to arterial reperfusion was decreased by 92 minutes
(P<0.001). There was a similar significant reduction in median time from
receiving center door to balloon of 11 minutes less than the baseline time
(P<0.01). CONCLUSIONS: Rural systems of care for ST-segment elevation myocardial
infarction require increased levels of cooperation between emergency medical
services agencies and hospitals. This study confirms that total ischemic times
can be reduced through a coordinated rural statewide initiative.
PMID- 27207970
TI - Impact of Statin Therapy on Clinical Outcome in Patients With Coronary Spasm.
AB - BACKGROUND: Statin therapy reduces the risk of cardiovascular events in patients
with obstructive coronary artery disease. The aim of the present study was to
determine the effects of statins on the prognosis of patients with coronary
vasospastic angina (VSA) free of significant atherosclerotic stenosis. METHODS
AND RESULTS: After exclusion of 475 from 1877 consecutive patients who underwent
an acetylcholine-provocation test between January 1991 and December 2010, data of
640 VSA patients without significant organic stenosis of the remaining 1402 were
analyzed retrospectively. Propensity score matching was performed to reduce the
effect of treatment-selection bias and possible confounders. The primary endpoint
was major adverse cardiac events (MACE), including cardiac death, nonfatal
myocardial infarction, and unstable angina. Among the study population,
dyslipidemia on admission was identified in 160 of 168 (95.2%) patients of the
statin group compared with only 125 of 472 (26.5%) of the no-statin group. Of the
640 patients, 24 (3.8%) developed MACE. Multivariate Cox hazard regression
analysis identified statin therapy as a significant negative predictor of MACE
(hazard ratio, 0.11; 95% CI, 0.02-0.84; P=0.033). In the propensity-score matched
cohorts (n=128 each), Kaplan-Meier survival curve showed a better 5-year MACE
free survival rate for patients of the statin group compared to the no-statin
group (100% vs 91.7%, respectively; P=0.002). CONCLUSIONS: Statin therapy
correlated with a lower rate of cardiovascular events in VSA patients free of
significant organic stenosis. Statins seems to improve the prognosis of VSA
patients free of significant organic stenosis.
PMID- 27207969
TI - Sphingosine-1-Phosphate Receptor 1 Regulates Cardiac Function by Modulating Ca2+
Sensitivity and Na+/H+ Exchange and Mediates Protection by Ischemic
Preconditioning.
AB - BACKGROUND: Sphingosine-1-phosphate plays vital roles in cardiomyocyte
physiology, myocardial ischemia-reperfusion injury, and ischemic preconditioning.
The function of the cardiomyocyte sphingosine-1-phosphate receptor 1 (S1P1) in
vivo is unknown. METHODS AND RESULTS: Cardiomyocyte-restricted deletion of S1P1
in mice (S1P1 (alpha) (MHCC) (re)) resulted in progressive cardiomyopathy,
compromised response to dobutamine, and premature death. Isolated cardiomyocytes
from S1P1 (alpha) (MHCC) (re) mice revealed reduced diastolic and systolic Ca(2+)
concentrations that were secondary to reduced intracellular Na(+) and caused by
suppressed activity of the sarcolemmal Na(+)/H(+) exchanger NHE-1 in the absence
of S1P1. This scenario was successfully reproduced in wild-type cardiomyocytes by
pharmacological inhibition of S1P1 or sphingosine kinases. Furthermore, Sarcomere
shortening of S1P1 (alpha) (MHCC) (re) cardiomyocytes was intact, but sarcomere
relaxation was attenuated and Ca(2+) sensitivity increased, respectively. This
went along with reduced phosphorylation of regulatory myofilament proteins such
as myosin light chain 2, myosin-binding protein C, and troponin I. In addition,
S1P1 mediated the inhibitory effect of exogenous sphingosine-1-phosphate on beta
adrenergic-induced cardiomyocyte contractility by inhibiting the adenylate
cyclase. Furthermore, ischemic precondtioning was abolished in S1P1 (alpha)
(MHCC) (re) mice and was accompanied by defective Akt activation during
preconditioning. CONCLUSIONS: Tonic S1P1 signaling by endogenous sphingosine-1
phosphate contributes to intracellular Ca(2+) homeostasis by maintaining basal
NHE-1 activity and controls simultaneously myofibril Ca(2+) sensitivity through
its inhibitory effect on adenylate cyclase. Cardioprotection by ischemic
precondtioning depends on intact S1P1 signaling. These key findings on S1P1
functions in cardiac physiology may offer novel therapeutic approaches to cardiac
diseases.
PMID- 27207971
TI - Efficacy and Safety of Edoxaban in Elderly Patients With Atrial Fibrillation in
the ENGAGE AF-TIMI 48 Trial.
AB - BACKGROUND: Elderly patients with atrial fibrillation are at higher risk of both
ischemic and bleeding events compared to younger patients. In a prespecified
analysis from the ENGAGE AF-TIMI 48 trial, we evaluate clinical outcomes with
edoxaban versus warfarin according to age. METHODS AND RESULTS: Twenty-one
thousand one-hundred and five patients enrolled in the ENGAGE AF-TIMI 48 trial
were stratified into 3 prespecified age groups: <65 (n=5497), 65 to 74 (n=7134),
and >=75 (n=8474) years. Older patients were more likely to be female, with lower
body weight and reduced creatinine clearance, leading to higher rates of edoxaban
dose reduction (10%, 18%, and 41% for the 3 age groups, P<0.001). Stroke or
systemic embolic event (1.1%, 1.8%, and 2.3%) and major bleeding (1.8%, 3.3%, and
4.8%) rates with warfarin increased across age groups (Ptrend<0.001 for both).
There were no interactions between age group and randomized treatment in the
primary efficacy and safety outcomes. In the elderly (>=75 years), the rates of
stroke/systemic embolic event were similar with edoxaban versus warfarin (hazard
ratio 0.83 [0.66-1.04]), while major bleeding was significantly reduced with
edoxaban (hazard ratio 0.83 [0.70-0.99]). The absolute risk difference in major
bleeding (-82 events/10 000 pt-yrs) and in intracranial hemorrhage (-73 events/10
000 pt-yrs) both favored edoxaban over warfarin in older patients. CONCLUSIONS:
Age has a greater influence on major bleeding than thromboembolic risk in
patients with atrial fibrillation. Given the higher rates of bleeding and death
with increasing age, treatment of elderly patients with edoxaban provides an even
greater absolute reduction in safety events over warfarin, compared to treatment
with edoxaban versus warfarin in younger patients. CLINICAL TRIAL REGISTRATION:
URL: https://www.clinicaltrials.gov/. Unique identifier: NCT00781391.
PMID- 27207973
TI - Beta-blockers in patients with cirrhosis and ascites: type of beta-blocker
matters.
PMID- 27207972
TI - Plasma Levels of Proprotein Convertase Subtilisin/Kexin Type 9 Are Elevated in
Patients With Peripheral Artery Disease and Associated With Metabolic Disorders
and Dysfunction in Circulating Progenitor Cells.
AB - BACKGROUND: Proprotein convertase subtilisin/kexin type 9 (PCSK9) is involved in
cholesterol homeostasis, inflammation, and oxidative stress. This study
investigated the association of plasma PCSK9 levels with the presence and
severity of peripheral artery disease (PAD) and with parameters of endothelial
homeostasis. METHODS AND RESULTS: A post hoc analysis of 2 randomized trials (115
patients, 44 with PAD and 71 without atherosclerotic disease) was conducted.
Patients with PAD had significantly higher plasma PCSK9 levels than those without
(471.6+/-29.6 versus 302.4+/-16.1 ng/mL, P<0.001). Parameters for glucose
homeostasis, endothelial progenitor cell functions, apoptotic circulating
endothelial cell counts, and plasma levels of vascular endothelial growth factor
A165 and oxidized low-density lipoprotein were correlated with PCSK9
concentration. By multivariable linear regression analysis, presence of PAD,
plasma glucose or hemoglobin A1c levels, apoptotic circulating endothelial cell
counts, and vascular endothelial growth factor-A165 concentration were found to
be associated with PCSK9 levels after multivariable adjustment. Patients with
extensive involvement of PAD or with severe PAD had significantly higher PCSK9
levels than those without PAD. Computed tomographic angiography showed that the
numbers of chronic total occlusion sites and vessels involved were positively
associated with PCSK9 levels in patients with PAD (r=0.40, P=0.01, and r=0.36,
P=0.02, respectively). CONCLUSION: PCSK9 levels were significantly higher in
patients with PAD, especially those with advanced PAD. Further large-scale
studies examining the effect of PCSK9-targeting therapies or the modification of
PCSK9 levels on cardiovascular outcomes in this clinical setting are warranted.
CLINICAL TRIAL REGISTRATION: Cohort 1: URL: ClinicalTrials.gov. Unique
identifier: NCT01952756; cohort 2: URL: ClinicalTrials.gov. Unique identifier:
NCT02194686.
PMID- 27207974
TI - Heritability of non-HLA genetics in coeliac disease: a population-based study in
107 000 twins.
AB - BACKGROUND AND OBJECTIVE: Almost 100% individuals with coeliac disease (CD) are
carriers of the human leucocyte antigen (HLA) DQ2/DQ8 alleles. Earlier studies
have, however, failed to consider the HLA system when estimating heritability in
CD, thus violating an underlying assumption of heritability analysis. We examined
the heritability of CD in a large population-based sample of twins, considering
HLA. DESIGN: In a population-representative sample of 107 912 twins, we
identified individuals with CD (equal to villous atrophy) through biopsy reports
from all Swedish pathology departments. We calculated concordance rates and
tetrachoric correlations for monozygotic (MZ) and dizygotic (DZ) twin pairs.
Further, we estimated heritability of CD, first strictly from observed data, and
then the non-HLA heritability, representing the heritability of all genetic
factors except the HLA locus, using an approach that circumvent the violation of
underlying assumptions. RESULTS: We identified 513 twins with a diagnosis of CD
(prevalence 0.48%). Concordance rates were higher in MZ pairs (0.49) than in DZ
pairs (0.10), as were tetrachoric correlations (0.89 in MZ vs 0.51 in DZ pairs).
The heritability of CD was 75% (95% CI 55% to 96%). The non-HLA heritability was
slightly attenuated, 68% (95% CI 40% to 96%), with shared (17%) and non-shared
(15%) environmental factors explaining the remaining variability of CD.
CONCLUSIONS: CD is characterised by a high heritability, but our study also
suggests that non-shared environmental factors may be of importance to CD
development. HLA seems to have only moderate impact on heritability estimates.
PMID- 27207976
TI - Life Sciences Discovery and Technology Highlights.
PMID- 27207975
TI - Primary sclerosing cholangitis is characterised by intestinal dysbiosis
independent from IBD.
AB - OBJECTIVE: Primary sclerosing cholangitis (PSC) is a chronic cholestatic liver
disease often leading to end-stage liver disease. Its pathogenesis remains
largely unknown, although frequent concomitant IBD hints towards common factors
underlying gut and bile duct inflammation. Considering the mounting evidence on
the involvement of the intestinal microbiota in initiating and determining IBD
phenotype, we investigated intestinal microbiota composition in patients with
PSC. DESIGN: Stool samples were collected from 147 individuals (52 patients with
PSC, 52 age, gender and body mass index-matched healthy volunteers, 13 UC and 30
patients with Crohn's disease). An independent validation cohort of 14 PSC and 14
matched controls was recruited. 16S rDNA sequencing of faecal DNA was performed
(Illumina MiSeq). RESULTS: The microbiota of patients with PSC was characterised
by decreased microbiota diversity, and a significant overrepresentation of
Enterococcus (p=3.76e-05), Fusobacterium (p=3.76e-05) and Lactobacillus
(p=0.0002) genera. This dysbiosis was present in patients with PSC with and
without concomitant IBD and was distinct from IBD, and independent of treatment
with ursodeoxycholic acid. A decision tree based on abundances of these three
genera allowed reliable classification in the validation cohort. In particular,
one operational taxonomic unit belonging to the Enterococcus genus was associated
with increased levels of serum alkaline phosphatase (p=0.048), a marker of
disease severity. CONCLUSIONS: We here present the first report of PSC-associated
faecal dysbiosis, independent from IBD signatures, suggesting the intestinal
microbiota could be a contributing factor in PSC pathogenesis. Further studies
are needed to confirm these findings and assess causality.
PMID- 27207978
TI - Setting Occupational Exposure Limits for Genotoxic Substances in the
Pharmaceutical Industry.
AB - In the pharmaceutical industry, genotoxic drug substances are developed for life
threatening indications such as cancer. Healthy employees handle these substances
during research, development, and manufacturing; therefore, safe handling of
genotoxic substances is essential. When an adequate preclinical dataset is
available, a risk-based decision related to exposure controls for manufacturing
is made following a determination of safe health-based limits, such as an
occupational exposure limit (OEL). OELs are calculated for substances based on a
threshold dose-response once a threshold is identified. In this review, we
present examples of genotoxic mechanisms where thresholds can be demonstrated and
OELs can be calculated, including a holistic toxicity assessment. We also propose
a novel approach for inhalation Threshold of Toxicological Concern (TTC) limit
for genotoxic substances in cases where the database is not adequate to determine
a threshold.
PMID- 27207979
TI - ECG features and proarrhythmic potentials of therapeutic hypothermia.
AB - OBJECTIVE: Hypothermia can induce ECG J waves. Recent studies suggest that J
waves may be associated with ventricular fibrillation (VF) in patients with
structurally normal hearts. However, little is known about the ECG features,
clinical significance or arrhythmogenic potentials of therapeutic hypothermia
(TH)-induced J waves. METHODS: We analysed ECGs from 240 patients who underwent
TH at six major university hospitals in Korea between August 2010 and December
2013. The prevalence, amplitudes and distributions of the J waves and the
development of malignant arrhythmia were analysed. RESULTS: The average patient
body temperature was 33.5+/-1.0 degrees C during TH. J waves were observed in 98
patients (40.8%). They were newly developed in 91 cases, and pre-existing J waves
were augmented in seven patients. J waves during TH were primarily observed in
leads II, III, aVF and V4-6. The average amplitude of the J waves was 0.239+/
0.152 mV. There were four VF events during TH. These events occurred in three
patients who were finally diagnosed with Brugada syndrome, idiopathic VF or early
repolarisation syndrome, respectively, and in one patient with non-cardiac
aetiology (asphyxia). CONCLUSIONS: J waves were recorded in about 40% of the
patients who received TH. They were most frequently observed in the inferior limb
leads or lateral precordial leads. Life-threatening VF occurred only rarely
(1.7%) during TH and were mainly observed in patients with primary arrhythmic
disorder. Although a causal relationship between TH-induced J waves and VF
remains unknown, administering TH to this potentially susceptible, high-risk
population may require careful attention.
PMID- 27207980
TI - The neprilysin pathway in heart failure: a review and guide on the use of
sacubitril/valsartan.
AB - Inhibition of neurohumoural pathways such as the renin angiotensin aldosterone
and sympathetic nervous systems is central to the understanding and treatment of
heart failure (HF). Conversely, until recently, potentially beneficial
augmentation of neurohumoural systems such as the natriuretic peptides has had
limited therapeutic success. Administration of synthetic natriuretic peptides has
not improved outcomes in acute HF but modulation of the natriuretic system
through inhibition of the enzyme that degrades natriuretic (and other vasoactive)
peptides, neprilysin, has proven to be successful. After initial failures with
neprilysin inhibition alone or dual neprilysin-angiotensin converting enzyme
(ACE) inhibition, the Prospective comparison of angiotensin receptor neprilysin
inhibitor (ARNI) with ACEI to Determine Impact on Global Mortality and morbidity
in Heart Failure trial (PARADIGM-HF) trial demonstrated that morbidity and
mortality can be improved with the angiotensin receptor blocker neprilysin
inhibitor sacubitril/valsartan (formerly LCZ696). In comparison to the ACE
inhibitor enalapril, sacubitril/valsartan reduced the occurrence of the primary
end point (cardiovascular death or hospitalisation for HF) by 20% with a 16%
reduction in all-cause mortality. These findings suggest that
sacubitril/valsartan should replace an ACE inhibitor or angiotensin receptor
blocker as the foundation of treatment of symptomatic patients (NYHA II-IV) with
HF and a reduced ejection fraction. This review will explore the background to
neprilysin inhibition in HF, the results of the PARADIGM-HF trial and offer
guidance on how to use sacubitril/valsartan in clinical practice.
PMID- 27207981
TI - Unusual association of Turner syndrome and Mayer-Rokitansky-Kuster-Hauser
syndrome.
AB - Gonadal dysgenesis and Mayer-Rokitansky-Kuster-Hauser syndrome (MRKHS) are the
most common causes of primary amenorrhoea. Patients with gonadal dysgenesis
present with primary amenorrhoea and lack of secondary sexual characteristics,
which, in contrast, are present in patients with MRKHS. The coexistence of the 2
syndromes has been reported in only a few studies so far. We describe a case of a
15-year-old girl who presented with short stature and primary amenorrhoea.
Investigations revealed hypergonadotropic hypogonadism, and absence of the
uterus, and upper two-thirds of the vagina, with presence of the rudimentary
lower third of the vagina and non-visualised bilateral ovaries on imaging.
Karyotyping obtained by lymphocyte culture GTG banding revealed 45X/46XX. The
patient was diagnosed as having a rare case of gonadal dysgenesis with MRKH. She
was started on growth hormone therapy. The association of these syndromes is
uncommon, and has further implications on fertility and pregnancy, affecting the
quality of life.
PMID- 27207982
TI - Antithrombin deficiency in pregnancy.
AB - We present a case of a 39-year-old, gravida 3 para 2, Chinese female with a
history of inherited type 1 Antithrombin deficiency and multiple prior episodes
of venous thromboembolism. She presented at 29+4 weeks' gestation with severe pre
eclampsia complicated by haemolysis, elevated liver enzymes and low platelet
(HELLP) syndrome. She subsequently underwent an emergency caesarean section for
non-reassuring fetal status, which was complicated by postpartum haemorrhage
secondary to uterine atony, requiring a B-Lynch suture intraoperatively.
PMID- 27207983
TI - Conjoined bicondylar coronal plane fracture of the distal femur associated with
incarcerated patella.
AB - Conjoint bicondylar coronal plane fracture is a rare orthopaedic injury,
associated with high-velocity trauma. The proposed mechanism is axially directed
shear forces in a flexed knee. To the best of our literature search, only 2 cases
of conjoint bicondylar coronal fracture have been published in English literature
1 each in adult and paediatric age group. Conjoint bicondylar coronal plane
fracture with incarcerated patella has not yet been reported and hence this is
the first report of its kind. We report on the clinical presentation, management
and outcome of such a complex injury, along with a comprehensive, up-to-date
literature review. Prompt open reduction and internal fixation coupled with early
knee mobilisation is the key to achieve good functional outcome.
PMID- 27207984
TI - Rare case of simultaneous enterococcal endocarditis and prosthetic joint
infection.
AB - A 59-year-old man was admitted with a 3-month history of daily fevers as well as
bilateral knee pain and swelling. Medical history was significant for bilateral
knee arthroplasties 4 years prior to admission. Two sets of peripheral blood
cultures as well as bilateral knee synovial fluid grew Enterococcus faecalis
within 10 hours. Transoesophageal echocardiography revealed aortic and mitral
valve vegetations suggestive of infectious endocarditis, with severe
regurgitation secondary to large size. The patient's hospitalisation was
complicated by acute heart failure, necessitating emergent mitral valve repair
and aortic valve replacement, followed shortly thereafter by bilateral total knee
arthroplasty resection with placement of antibiotic spacers. He was treated with
intravenous penicillin and gentamicin for 4 months and recovered fully. He
underwent repeat bilateral knee arthroplasties and was placed on amoxicillin for
6 months postoperatively, with no further evidence of infection.
PMID- 27207985
TI - Emergent pacemaker placement in a patient with Lyme carditis-induced complete
heart block and ventricular asystole.
AB - We report a case of a 31-year-old man who presented to the emergency department
after four episodes of syncope within a 24 h time span. He was found to have
symptomatic complete heart block associated with episodes of ventricular asystole
lasting 5-6 s. He underwent emergent permanent pacemaker insertion during which
he was found to have no underlying rhythm. He was later found to have positive
serologies for Lyme disease despite no known exposure to ticks and neither signs
nor symptoms of the disease. The pacemaker was ultimately removed due to
resolution of his heart block with antibiotic therapy.
PMID- 27207986
TI - 'In-stock' fenestrated stent graft for the urgent repair of an abdominal aortic
aneurysm.
AB - Endovascular aneurysm repair (EVAR) is a minimally invasive method for the
treatment of abdominal aortic aneurysms; however, the implementation of this
technique is often limited by the aortic pathology, especially in the urgent or
emergent setting. An 82-year-old male with a 7.3 cm symptomatic juxtarenal
aneurysm presented at our centre for assessment. He was diagnosed as a high-risk
candidate for open repair and therefore, not suitable for a conventional EVAR.
Fortunately, a custom two-vessel fenestrated stent graft, which was originally
constructed for another patient, was available. This device was implanted with no
complications and all branches remain unobstructed; clear of aneurysms at 1 year.
We present the use of 'in-stock' fenestrated grafts as a potential option to be
considered in the urgent or emergent repair of abdominal aortic aneurysms.
PMID- 27207987
TI - Chromomycosis.
PMID- 27207988
TI - Ochronosis of the knee with secondary osteoarthritis requiring total knee
replacement in a patient with cryptogenic organising pneumonia.
AB - Ochronosis is a rare autosomal recessive metabolic disease caused by homogentisic
acid oxidase enzyme deficiency. High homogentisic acid levels will eventually
result in black deposits in skin, sclerae, connective tissues and urine
(alkaptonuria). It can lead to early degeneration of connective tissues and
cartilage. Ochronosis can damage normal cartilage, leading to secondary
osteoarthritis. The diagnosis is often delayed because of its low prevalence and
non-specific early symptoms. In our patient, the secondary osteoarthritis due to
ochronosis deposits in the cartilage was treated by total knee arthroplasty, with
good clinical outcome. This article reports the first case of ochronosis with
secondary osteoarthritis of the knee in a patient previously diagnosed with
cryptogenic organising pneumonia (COP).
PMID- 27207989
TI - Irregularly luscious lashes: difficult to say but a sinister sign to miss.
AB - We present a case of an 84-year-old man with advanced glaucoma on multiple
unilateral glaucoma medication. He had 2 years history of unilateral ocular
irritation thought to be related to his medication. Patient was referred to an
oculoplastic clinic and found to have segmental eyelash loss partially masked by
hypertrichosis caused by glaucoma medications. His symptoms were initially
thought to be related to drop-induced blepharitis. A clinical diagnosis of
possible basal cell carcinoma (BCC) was made and the incisional biopsy showed
infiltrative micronodular BCC. The patient underwent Mohs micrographic surgery
and eyelid reconstruction with a Hughes flap and full thickness skin graft.
Timely diagnosis of BCC requires a high index of suspicion, particularly when the
signs are subtle.
PMID- 27207990
TI - Sibling Supporters' Experiences of Giving Support to Siblings Who Have a Brother
or a Sister With Cancer.
AB - Siblings of a child with a life-threatening disease, such as cancer, have a right
to measures that promote their health and welfare. Siblings may find it hard to
understand what is happening to the sick child with cancer and why he or she
reacts as he or she does. The aim of the study was to explore sibling supporters'
thoughts about the experiences they had in providing support for siblings with a
brother or a sister with a life-threatening disease such as cancer. All the 12
sibling supporters currently working in Sweden participated in a qualitative,
descriptive study from which 5 categories emerged, showing that the sibling
supporters supported siblings from diagnosis until possible death. They enabled
siblings who were in the same situation to meet each other and arranged
activities suited to their ages, as well as offering an encouraging environment.
To help the siblings, the sibling supporters found it necessary to interact with
both the parents and the ward staff. The sibling supporters felt that their
support was important and necessary in helping siblings promote their own health
both when the sick child was alive and also after his or her death. The
experience of the sibling supporters was that they listened to the siblings'
stories and met them when they were in their crisis. The study confirms that
sibling supporters should be a part of the health care team that treat and
support the family when a child has cancer.
PMID- 27207991
TI - Parents' Lived Experiences During Their Children's Radiotherapy.
AB - BACKGROUND: The aim of radiotherapy is to provide a cure and/or symptomatic
relief for children with cancer. Treatment is delivered on a daily basis, 5 days
per week, over the course of 5 to 35 days. Many parents find that leaving their
children alone during treatment and exposing them to radiation is a challenging
experience. To gain an understanding of parents' lived experiences, 10 parents
were asked to keep a diary while their children underwent radiotherapy. METHODS:
A descriptive inductive design with a hermeneutic-phenomenological approach was
chosen to analyze the diaries. The parents were asked to write down their lived
experiences while their children underwent radiotherapy. Daily notes, both short
and long, were desirable. FINDINGS: The parents described radiotherapy as a
balancing act involving a constant attempt to maintain a balance between coercing
and protecting their children in order to improve their children's chances of
survival. Meanwhile, the parents themselves were struggling with their own
despair and feelings of powerlessness. While protecting their children, they
experienced a sense of hope and felt that they had gained control. CONCLUSION:
Parents' daily written reflections are important for clinical practice and
provide vital knowledge. Parents need support when focusing on coercing and
protecting their children and help with information and routines that enable them
gain control.
PMID- 27207992
TI - An Online Educational Program Improves Pediatric Oncology Nurses' Knowledge,
Attitudes, and Spiritual Care Competence.
AB - This study evaluated the potential impact of an online spiritual care educational
program on pediatric nurses' attitudes toward and knowledge of spiritual care and
their competence to provide spiritual care to children with cancer at the end of
life. It was hypothesized that the intervention would increase nurses' positive
attitudes toward and knowledge of spiritual care and increase nurses' level of
perceived spiritual care competence. A positive correlation was expected between
change in nurses' perceived attitudes toward and knowledge of spiritual care and
change in nurses' perceived spiritual care competence. A prospective,
longitudinal design was employed, and analyses included one-way repeated-measures
analysis of variance, linear regression, and partial correlation. Statistically
significant differences were found in nurses' attitudes toward and knowledge of
spiritual care and nurses' perceived spiritual care competence. There was a
positive relationship between change scores in nurses' attitudes toward and
knowledge of spiritual care and nurses' spiritual care competence. Online
spiritual care educational programs may exert a lasting impact on nurses'
attitudes toward and knowledge of spiritual care and their competence to provide
spiritual care to children with cancer at the end of life. Additional studies are
required to evaluate the direct effects of educational interventions patient
outcomes.
PMID- 27207993
TI - The Japanese Guidelines for Breast Cancer Screening.
AB - OBJECTIVE: The incidence of breast cancer has progressively increased, making it
the leading cause of cancer deaths in Japan. Breast cancer accounts for 20.4% of
all new cancers with a reported age-standardized rate of 63.6 per 100 000 women.
METHODS: The Japanese guidelines for breast cancer screening were developed based
on a previously established method. The efficacies of mammography with and
without clinical breast examination, clinical breast examination and
ultrasonography with and without mammography were evaluated. Based on the balance
of the benefits and harms, recommendations for population-based and opportunistic
screenings were formulated. RESULTS: Five randomized controlled trials of
mammographic screening without clinical breast examination were identified for
mortality reduction from breast cancer. The overall relative risk for women aged
40-74 years was 0.75 (95% CI: 0.67-0.83). Three randomized controlled trials of
mammographic screening with clinical breast examination served as eligible
evidence for mortality reduction from breast cancer. The overall relative risk
for women aged 40-64 years was 0.87 (95% confidence interval: 0.77-0.98). The
major harms of mammographic screening were radiation exposure, false-positive
cases and overdiagnosis. Although two case-control studies evaluating mortality
reduction from breast cancer were found for clinical breast examination, there
was no study assessing the effectiveness of ultrasonography for breast cancer
screening. CONCLUSIONS: Mammographic screening without clinical breast
examination for women aged 40-74 years and with clinical breast examination for
women aged 40-64 years is recommended for population-based and opportunistic
screenings. Clinical breast examination and ultrasonography are not recommended
for population-based screening because of insufficient evidence regarding their
effectiveness.
PMID- 27207994
TI - Determination of Trace Levels of Irgarol in Estuarine Water Matrices by Bar
Adsorptive Microextraction.
AB - Bar adsorptive microextraction (BAuE), using selective sorbent phases, followed
by liquid desorption in combination with large volume injection-gas
chromatography coupled to mass spectrometry (BAuE-LD/LVI-GC-MS), is proposed for
the determination of trace levels of irgarol in estuarine water matrices. While
we compared several polymers and activated carbons, one of the latter coatings
showed much higher selectivity through BAuE. Assays performed on 25 mL of ultra
pure water sample fortified at 0.6 ug/L levels of irgarol yielded recoveries of
74.5 +/- 8.6%, under optimized experimental conditions. The proposed analytical
procedure showed convenient detection limits (16.0 ng/L) and good linear dynamic
range (0.2-16.0 ug/L), with determination coefficients of 0.9982. Good precision
was also achieved with RSD lower than 12.0%. The application of the present
analytical approach on estuarine water samples by using the standard addition
methodology revealed good sensitivity and linearity. The proposed methodology,
using nanostructured sorbents and operating under the floating sampling
technology, proved to be a suitable analytical alternative to monitor irgarol in
estuarine water matrices. Moreover, it is easy to implement, reliable, sensitive,
require low sample volume and have the possibility to choose the most selective
sorbent coating according to the target compound involved.
PMID- 27207995
TI - Incorporating a Drug Information Consult into a Pharmacy Practice Course to Build
Literature Search and Evaluation Skills Through a 3-Stage Model.
AB - OBJECTIVE: Pharmacy students need to be equipped with skills to research and
evaluate literature to effectively apply evidence-based medicine (EBM) in
practice. To prepare them, a 3-stage approach to writing a drug information
consult (3sDIC) was incorporated into a pharmacy course. The primary objective
was to assess students' abilities to retrieve and analyze literature pursuant to
a drug information consult. Secondary objectives were to examine feasibility of
faculty participation and continuation of the assignment. DESIGN: Ninety students
were given a clinical scenario about a patient. The assignment consisted of 3
stages incorporating use of the Population, Intervention, Comparison
intervention, Outcome (PICO) method and modified systematic approach (MSA) for
stage 1, evaluation of primary literature to write a draft for stage 2, and stage
3, the final consult. All 3 stages were reviewed and graded by faculty.
ASSESSMENT: All students completed the 3sDIC, with no grade failures. The rubric
employed by faculty was effective, providing students the opportunity to improve
the consult. The 3sDIC was found to be feasible with adequate faculty support.
CONCLUSION: The 3sDIC, although not a substitute for a complete drug information
course, demonstrated a streamlined approach for Pharmacy year 2 (P2) students to
acquire and develop drug information skills.
PMID- 27207996
TI - Carotid Intima-Media Thickness and Arterial Stiffness and the Risk of Atrial
Fibrillation: The Atherosclerosis Risk in Communities (ARIC) Study, Multi-Ethnic
Study of Atherosclerosis (MESA), and the Rotterdam Study.
AB - BACKGROUND: We evaluated the association of carotid intima-media thickness
(cIMT), carotid plaque, carotid distensibility coefficient (DC), and aortic pulse
wave velocity (PWV) with incident atrial fibrillation (AF) and their role in
improving AF risk prediction beyond the Cohorts for Heart and Aging Research in
Genomic Epidemiology (CHARGE)-AF risk score. METHODS AND RESULTS: We analyzed
data from 3 population-based cohort studies: Atherosclerosis Risk in Communities
(ARIC) Study (n=13 907); Multi-Ethnic Study of Atherosclerosis (MESA; n=6640),
and the Rotterdam Study (RS; n=5220). We evaluated the association of arterial
indices with incident AF and computed the C-statistic, category-based net
reclassification improvement (NRI), and relative integrated discrimination
improvement (IDI) of incorporating arterial indices into the CHARGE-AF risk score
(age, race, height weight, systolic and diastolic blood pressure,
antihypertensive medication use, smoking, diabetes, previous myocardial
infarction, and previous heart failure). Higher cIMT (meta-analyzed hazard ratio
[95% CI] per 1-SD increment, 1.12 [1.08-1.16]) and presence of carotid plaque
(1.30 [1.19-1.42]) were associated with higher AF incidence after adjustment for
CHARGE-AF risk-score variables. Lower DC and higher PWV were associated with
higher AF incidence only after adjustment for the CHARGE-AF risk-score variables
excepting height, weight, and systolic and diastolic blood pressure. Addition of
cIMT or carotid plaque marginally improved CHARGE-AF score prediction as assessed
by the relative IDI (estimates, 0.025-0.051), but not when assessed with the C
statistic and NRI. CONCLUSIONS: Higher cIMT, presence of carotid plaque, and
greater arterial stiffness are associated with higher AF incidence, indicating
that atherosclerosis and arterial stiffness play a role in AF etiopathogenesis.
However, arterial indices only modestly improve AF risk prediction.
PMID- 27207997
TI - Development and Validation of an Agency for Healthcare Research and Quality
Indicator for Mortality After Congenital Heart Surgery Harmonized With Risk
Adjustment for Congenital Heart Surgery (RACHS-1) Methodology.
AB - BACKGROUND: The National Quality Forum previously approved a quality indicator
for mortality after congenital heart surgery developed by the Agency for
Healthcare Research and Quality (AHRQ). Several parameters of the validated Risk
Adjustment for Congenital Heart Surgery (RACHS-1) method were included, but
others differed. As part of the National Quality Forum endorsement maintenance
process, developers were asked to harmonize the 2 methodologies. METHODS AND
RESULTS: Parameters that were identical between the 2 methods were retained.
AHRQ's Healthcare Cost and Utilization Project State Inpatient Databases (SID)
2008 were used to select optimal parameters where differences existed, with a
goal to maximize model performance and face validity. Inclusion criteria were not
changed and included all discharges for patients <18 years with International
Classification of Diseases, Ninth Revision, Clinical Modification procedure codes
for congenital heart surgery or nonspecific heart surgery combined with
congenital heart disease diagnosis codes. The final model includes procedure risk
group, age (0-28 days, 29-90 days, 91-364 days, 1-17 years), low birth weight
(500-2499 g), other congenital anomalies (Clinical Classifications Software 217,
except for 758.xx), multiple procedures, and transfer-in status. Among 17 945
eligible cases in the SID 2008, the c statistic for model performance was 0.82.
In the SID 2013 validation data set, the c statistic was 0.82. Risk-adjusted
mortality rates by center ranged from 0.9% to 4.1% (5th-95th percentile).
CONCLUSIONS: Congenital heart surgery programs can now obtain national
benchmarking reports by applying AHRQ Quality Indicator software to hospital
administrative data, based on the harmonized RACHS-1 method, with high
discrimination and face validity.
PMID- 27207999
TI - Intravenous Thrombolysis for Acute Ischemic Stroke in Patients Receiving
Antiplatelet Therapy: A Systematic Review and Meta-analysis of 19 Studies.
AB - BACKGROUND: The safety and long-term outcome of systemic thrombolysis in patients
receiving antiplatelet medications remain subjects of great clinical
significance. The objective of this meta-analysis was to determine how prestroke
antiplatelet therapy affects the risks and benefits of intravenous thrombolysis
in patients with acute ischemic stroke. METHODS AND RESULTS: A dual-reviewer
search was conducted in PubMed and EMBASE databases through November 2015, from
which 19 studies involving a total of 108 588 patients with acute ischemic stroke
were identified based on preset inclusion criteria. Information on study designs,
patient characteristics, exposures, outcomes, and adjusting confounders was
extracted, and estimates were combined by using random-effects models. The pooled
crude estimates suggested that taking long-term antiplatelet medications was
associated with higher odds of symptomatic intracranial hemorrhage (odds ratio
[OR] 1.70, 95% CI 1.47-1.97) and death (OR 1.46, 95% CI 1.22-1.75) and lower odds
of favorable functional outcomes (OR 0.86, 95% CI 0.80-0.93). However, the
combined confounder-adjusted results only confirmed a relatively weak positive
association between prior antiplatelet therapy and symptomatic intracranial
hemorrhage (OR 1.21, 95% CI 1.02-1.44) and demonstrated no significant
relationship between antiplatelet therapy and the other 2 outcomes (favorable
outcome OR 1.09, 95% CI 0.96-1.24; death OR 1.02, 95% CI 0.98-1.07). Subgroup
analyses revealed that the associations between prestroke antiplatelet therapy
and outcomes were dependent on time and antiplatelet agents. CONCLUSIONS:
Patients with acute ischemic stroke receiving long-term antiplatelet medications
were associated with greater risks of developing symptomatic intracranial
hemorrhage after systemic thrombolysis. However, the overall independent
association between prestroke antiplatelet therapy and unfavorable outcomes or
mortality was insignificant.
PMID- 27207998
TI - Comparative Effectiveness of Interventions for Stroke Prevention in Atrial
Fibrillation: A Network Meta-Analysis.
AB - BACKGROUND: The goal of this study was to compare the safety and effectiveness of
individual antiembolic interventions in nonvalvular atrial fibrillation (AF):
novel oral anticoagulants (NOACs) (apixaban, dabigatran, edoxaban, and
rivaroxaban); vitamin K antagonists (VKA); aspirin; and the Watchman device.
METHODS AND RESULTS: A network meta-analysis of randomized, clinical trials
(RCTs) was performed. RCTs that included patients with prosthetic cardiac valves
or mitral stenosis, mean or median follow-up <6 months, <200 participants,
without published report in English language, and NOAC phase II studies were
excluded. The placebo/control arm received either placebo or no treatment. The
primary efficacy outcome was the combination of stroke (of any type) and systemic
embolism. All-cause mortality served as a secondary efficacy outcome. The primary
safety outcome was the combination of major extracranial bleeding and
intracranial hemorrhage. A total of 21 RCTs (96 017 nonvalvular AF patients;
median age, 72 years; 65% males; median follow-up, 1.7 years) were included. In
comparison to placebo/control, use of aspirin (odds ratio [OR], 0.75 [95% CI,
0.60-0.95]), VKA (0.38 [0.29-0.49]), apixaban (0.31 [0.22-0.45]), dabigatran
(0.29 [0.20-0.43]), edoxaban (0.38 [0.26-0.54]), rivaroxaban (0.27 [0.18-0.42]),
and the Watchman device (0.36 [0.16-0.80]) significantly reduced the risk of any
stroke or systemic embolism in nonvalvular AF patients, as well as all-cause
mortality (aspirin: OR, 0.82 [0.68-0.99]; VKA: 0.69 [0.57-0.85]; apixaban: 0.62
[0.50-0.78]; dabigatran: 0.62 [0.50-0.78]; edoxaban: 0.62 [0.50-0.77];
rivaroxaban: 0.58 [0.44-0.77]; and the Watchman device: 0.47 [0.25-0.88]).
Apixaban (0.89 [0.80-0.99]), dabigatran (0.90 [0.82-0.99]), and edoxaban (0.89
[0.82-0.96]) reduced risk of all-cause death as compared to VKA. CONCLUSIONS: The
entire spectrum of therapy to prevent thromboembolism in nonvalvular AF
significantly reduced stroke/systemic embolism events and mortality.
PMID- 27208000
TI - Stroke Ready Intervention: Community Engagement to Decrease Prehospital Delay.
AB - BACKGROUND: Time-limited acute stroke treatments are underused, primarily due to
prehospital delay. One approach to decreasing prehospital delay is to increase
stroke preparedness, the ability to recognize stroke, and the intention to
immediately call emergency medical services, through community engagement with
high-risk communities. METHODS AND RESULTS: Our community-academic partnership
developed and tested "Stroke Ready," a peer-led, workshop-based, health behavior
intervention to increase stroke preparedness among African American youth and
adults in Flint, Michigan. Outcomes were measured with a series of 9 stroke and
nonstroke 1-minute video vignettes; after each video, participants selected their
intended response (primary outcome) and symptom recognition (secondary outcome),
receiving 1 point for each appropriate stroke response and recognition. We
assessed differences between baseline and posttest appropriate stroke response,
which was defined as intent to call 911 for stroke vignettes and not calling 911
for nonstroke, nonemergent vignettes and recognition of stroke. Outcomes
assessments were performed before workshop 1 (baseline), at the conclusion of
workshop 2 (immediate post-test), and 1 month later (delayed post-test). A total
of 101 participants completed the baseline assessment (73 adults and 28 youths),
64 completed the immediate post-test, and 68 the delayed post-test. All
participants were African American. The median age of adults was 56
(interquartile range 35-65) and of youth was 14 (interquartile range 11-16), 65%
of adults were women, and 50% of youths were women. Compared to baseline,
appropriate stroke response was improved in the immediate post-test (4.4 versus
5.2, P<0.01) and was sustained in the delayed post-test (4.4 versus 5.2, P<0.01).
Stroke recognition did not change in the immediate post-test (5.9 versus 6.0,
P=0.34), but increased in the delayed post-test (5.9 versus 6.2, P=0.04).
CONCLUSIONS: Stroke Ready increased stroke preparedness, a necessary step toward
increasing acute stroke treatment rates. CLINICAL TRIAL REGISTRATION: URL:
https://www.clinicaltrials.gov/. Unique identifier: NCT01499173.
PMID- 27208002
TI - High Risk of Venous Thromboembolism in Klinefelter Syndrome.
AB - BACKGROUND: Klinefelter syndrome (KS) is the most common sex chromosome disorder.
The genetic background is the extra X chromosome. Venous thromboembolism (VTE)
has been observed among KS patients. The aim of the present study was to examine
whether KS is associated with VTE. METHODS AND RESULTS: We followed up all
hospital in- and outpatients (N=1085) in Sweden with a diagnosis of KS between
January 1, 1969, and December 31, 2010, for diagnosis of VTE. The reference
population was the total male populace of Sweden. We calculated standardized
incidence ratios for VTE, adjusted for age, sex, education, time period, and
region of residence. The standardized incidence ratio for KS was 6.43 (95% CI
5.15-7.93), with the highest ratio observed at young age. The standardized
incidence ratios for VTE were 12.10 (95% CI 6.22-21.21) before age 30 years,
11.00 (95% CI 7.86-14.99) between ages 30 and 49 years, 4.83 (95% CI 3.23-6.95)
between ages 50 and 69 years, and 2.07 (95% CI 0.74-4.53) for ages >=70 years.
The cumulative incidence of VTE for KS patients was 8.6% at age 50 years and
20.8% at age 70 years. CONCLUSIONS: KS is associated with high risk of VTE. KS
could be considered a genetic hypercoagulable state. This has clinical
implications for the prevention and diagnosis of VTE among patients with KS.
PMID- 27208001
TI - Atrial Fibrillation and Myocardial Infarction: A Systematic Review and Appraisal
of Pathophysiologic Mechanisms.
AB - BACKGROUND: A growing body of evidence suggests that atrial fibrillation (AF) is
associated with myocardial infarction (MI). However, incidence and management of
MI in AF is still undefined. METHODS AND RESULTS: We searched MEDLINE via PubMed
and Cochrane database between 1965 and 2015. All observational clinical studies
and interventional trials reporting 1-year incidence of MI in AF were included.
We also discussed pathophysiological mechanisms, predictors, and therapeutic
approaches to reduce the risk of MI in AF. Twenty-one observational studies and
10 clinical trials were included. The annual rate of MI in observational studies
including AF patients ranged from 0.4% to 2.5%. Higher rates of MI were reported
in AF patients with stable coronary artery disease (11.5%/year), vascular disease
(4.47%/year), heart failure (2.9%/year), and in those undergoing coronary artery
interventions (6.3%/year). However, lower annual rates have been described in AF
patients from Eastern countries (0.2-0.3%/year), and in those enrolled in
clinical trials (from 0.4 to 1.3%/year). CONCLUSIONS: AF patients had a
significant residual risk of MI despite anticoagulant treatment. Coexistence of
atherosclerotic risk factors and platelet activation account for the increased
risk of MI in AF. Identification of high-risk AF patients is a needed first step
to develop cost-effective approaches for prevention. A new score, the 2MACE
score, has been recently developed to stratify MI risk in AF, and may help not
only in allocating resources to high-risk groups, but also in design of studies
examining novel therapies for prevention of MI in AF.
PMID- 27208003
TI - Alternative polyadenylation and RNA-binding proteins.
AB - Our understanding of the extent of microRNA-based gene regulation has expanded in
an impressive pace over the past decade. Now, we are beginning to better
appreciate the role of 3'-UTR (untranslated region) cis-elements which harbor not
only microRNA but also RNA-binding protein (RBP) binding sites that have
significant effect on the stability and translational rate of mRNAs. To add
further complexity, alternative polyadenylation (APA) emerges as a widespread
mechanism to regulate gene expression by producing shorter or longer mRNA
isoforms that differ in the length of their 3'-UTRs or even coding sequences.
Resulting shorter mRNA isoforms generally lack cis-elements where trans-acting
factors bind, and hence are differentially regulated compared with the longer
isoforms. This review focuses on the RBPs involved in APA regulation and their
action mechanisms on APA-generated isoforms. A better understanding of the
complex interactions between APA and RBPs is promising for mechanistic and
clinical implications including biomarker discovery and new therapeutic
approaches.
PMID- 27208004
TI - Thought-provoking contributions in this month's issue.
PMID- 27208005
TI - Psychotropic pharmacogenetics.
PMID- 27208006
TI - Temporal patterns of scientific information-seeking on Google and Wikipedia.
AB - In response to the news coverage of scientific events and to science education,
people increasingly go online to get more information. This study investigates
how patterns of science and technology information-seeking on Google and
Wikipedia change over time, in ways that differ between "ad hoc" terms that
correspond to news coverage and "cyclic" terms that correspond to the academic
period. Findings show that the science and technology activity in Google and
Wikipedia was significantly associated with ad hoc and cyclic patterns. While the
peak activity in Google and Wikipedia largely overlapped for ad hoc terms, it
mismatched for cyclic terms. The findings indicate the importance of external
cues such as news media and education, and also of the online engagement process,
and particularly the crucial but different role played by Google and Wikipedia in
gaining science and technology knowledge. Educators and policy makers could
benefit from taking into account those different patterns.
PMID- 27208007
TI - Development of a Low-Cost, Noninvasive, Portable Visual Speech Recognition
Program.
AB - OBJECTIVES: Loss of speech following tracheostomy and laryngectomy severely
limits communication to simple gestures and facial expressions that are largely
ineffective. To facilitate communication in these patients, we seek to develop a
low-cost, noninvasive, portable, and simple visual speech recognition program
(VSRP) to convert articulatory facial movements into speech. METHODS: A Microsoft
Kinect-based VSRP was developed to capture spatial coordinates of lip movements
and translate them into speech. The articulatory speech movements associated with
12 sentences were used to train an artificial neural network classifier. The
accuracy of the classifier was then evaluated on a separate, previously unseen
set of articulatory speech movements. RESULTS: The VSRP was successfully
implemented and tested in 5 subjects. It achieved an accuracy rate of 77.2%
(65.0%-87.6% for the 5 speakers) on a 12-sentence data set. The mean time to
classify an individual sentence was 2.03 milliseconds (1.91-2.16). CONCLUSION: We
have demonstrated the feasibility of a low-cost, noninvasive, portable VSRP based
on Kinect to accurately predict speech from articulation movements in clinically
trivial time. This VSRP could be used as a novel communication device for aphonic
patients.
PMID- 27208008
TI - First Case Report of Canthariasis in an Infant Caused by the Larvae of Lasioderma
serricorne (Coleoptera: Anobiidae).
AB - We report an unusual cause of gastrointestinal infection occurring in a 1-year
old infant patient who was brought to a public hospital in Kuala Lumpur,
Malaysia. Larvae passed out in the patient's feces were confirmed by DNA
barcoding as belonging to the species, Lasioderma serricorne (F.), known as the
cigarette beetle. We postulate that the larvae were acquired from contaminated
food and were responsible for gastrointestinal symptoms in the patient. To our
knowledge, this the first report of human canthariasis caused by larvae of L.
serricorne.
PMID- 27208010
TI - Permutation Tests of Hierarchical Cluster Analyses of Carrion Communities and
Their Potential Use in Forensic Entomology.
AB - Forensic entomologists can use carrion communities' ecological succession data to
estimate the postmortem interval (PMI). Permutation tests of hierarchical cluster
analyses of these data provide a conceptual method to estimate part of the PMI,
the post-colonization interval (post-CI). This multivariate approach produces a
baseline of statistically distinct clusters that reflect changes in the carrion
community composition during the decomposition process. Carrion community samples
of unknown post-CIs are compared with these baseline clusters to estimate the
post-CI. In this short communication, I use data from previously published
studies to demonstrate the conceptual feasibility of this multivariate approach.
Analyses of these data produce series of significantly distinct clusters, which
represent carrion communities during 1- to 20-day periods of the decomposition
process. For 33 carrion community samples, collected over an 11-day period, this
approach correctly estimated the post-CI within an average range of 3.1 days.
PMID- 27208009
TI - Three Taxa in One: Cryptic Diversity in the Black Fly Simulium nobile (Diptera:
Simuliidae) in Southeast Asia.
AB - We access the molecular diversity of the black fly Simulium nobile De Mejiere,
using the universal cytochrome c oxidase subunit I (COI) barcoding gene, across
its distributional range in Southeast Asia. Our phylogenetic analyses recovered
three well-supported mitochondrial lineages of S. nobile, suggesting the presence
of cryptic species. Lineage A is composed of a population from Sabah, East
Malaysia (Borneo); lineage B represents the type population from Java, Indonesia;
and lineage C includes populations from the mainland of Southeast Asia
(Peninsular Malaysia and Thailand). The genetic variation of lineage C on the
mainland is greater than that of lineages A and B on the islands of Borneo and
Java. Our study highlights the value of a molecular approach in assessing species
status of simuliids in geographically distinct regions.
PMID- 27208011
TI - Editor's Note.
PMID- 27208012
TI - Hospital Malnutrition Related to Fasting and Underfeeding: Is It an Ethical
Issue?
AB - Hospital malnutrition is a relevant clinical issue present in about 50% of
patients that is associated with increased morbidity, mortality, and cost of
care. Because of the relation of malnutrition with chronic and acute inflammatory
processes secondary to disease, nutrition therapy is considered an important
medical treatment. However, there is little discussion about the impact of
another critical issue related to hospital malnutrition, that is, lack of
appropriate food or nutrition therapy given to the patients. Unnecessary fasting
practices and the use of inappropriate nutrition prescriptions result in
underfeeding that can be a related or direct cause of hospital malnutrition,
independent of disease or inflammatory state. Suboptimal prescription of oral,
enteral, and parenteral nutrition should be analyzed and discussed from an
ethical perspective since this practice has the potential to harm patients. In
addition, absence or inadequate provision of nutrition may present barriers for
improved patient outcomes and could be prevented by simply recognizing lack of
knowledge, skills, or experience in nutrition and entrusting nutrition
prescription to interdisciplinary teams with clinicians well prepared in
nutrition sciences. This article reviews potential barriers to the prevention or
treatment of hospital malnutrition and proposes specific actions that can help
clinicians to overcome and implement optimal nutrition not just as medical
therapy but also as a basic comfort care that may help patients nutritionally,
clinically, physically, and emotionally.
PMID- 27208013
TI - Comment on "Gut Microbiome: What We Do and Don't Know".
PMID- 27208014
TI - Food Store Choice Among Urban Slum Women Is Associated With Consumption of Energy
Dense Food.
AB - The aim of this study was to examine the associations of food store choice with
food consumption among urban slum women. A cross-sectional survey was carried out
among 188 urban slum women (19-50 years old) in Jakarta, Indonesia. A
semiquantitative food frequency questionnaire was used to assess food
consumption. Associations between food consumption and food store choice were
tested by linear regression. This study found that frequencies of buying food
from small shops (warung), street food vendors, and modern food stores were
significantly associated with consumption of snacks, mixed dishes, and fruit
respectively. In addition, buying food from traditional markets and small cafes
(warung makan) was not significantly associated with particular types of food
consumption. As modern food stores are rarely utilized by these women, small
shops (warung) and street food vendors are likely to be important channels to
improve slum dwellers' diet.
PMID- 27208015
TI - Rationalizing the use of auxiliary label for oral oncology drugs.
AB - Objective The objective of this study is to develop a systematic approach to
standardize the use of auxiliary labels for oral oncology drugs. Design The
project was multi-phased: environmental scan of auxiliary labels used at six BC
Cancer Agency centre pharmacies, develop guidelines to support auxiliary labels
standardization, develop inclusion criteria for common warnings and standardize
warnings based on guiding principles and evidence (Canadian Compendium of
Pharmaceutical Specialties, BC Cancer Agency Cancer Drug Manual, British National
Formulary, literature). Results Consistent auxiliary labels use was rare (7% of
drugs). No explicit methodology for determining previous auxiliary labels use was
identified. Guiding principles developed include auxiliary labels supplement
counselling and drug-specific patient handouts; a maximum of four auxiliary
labels (limited container size and alert fatigue); identify hazardous drugs with
auxiliary labels; auxiliary labels not intended for universal warnings (e.g.,
keep out of reach of children); warnings prioritized by impact on storage,
efficacy (e.g., administration instructions), toxicity (including interactions)
and other clinical issues. Inclusion criteria were developed for warnings on
pregnancy, crushing/chewing, taking with plenty of water, drowsiness/dizziness,
alcohol, grapefruit juice, hazardous and sunlight exposure. First list of
standardized auxiliary labels was completed in June 2014. Conclusion A systematic
approach was developed to determine and prioritize auxiliary labels for oral
oncology drugs. This has led to a standardized and more accurate labelling
throughout the six BC Cancer Agency centres' pharmacies.
PMID- 27208016
TI - Levetiracetam for the prevention of busulfan-induced seizures in pediatric
hematopoietic cell transplantation recipients.
AB - Objective The objective of this study was to compare clinical outcomes in
children undergoing hematopoietic cell transplantation who received levetiracetam
versus those who received phenytoin for the prevention of busulfan-induced
seizures. Methods This study was an IRB-approved, single-center, retrospective
analysis of pediatric patients receiving intravenous busulfan for hematopoietic
cell transplantation conditioning from January 2009 to July 2014. The primary
study endpoint was the incidence of seizure during busulfan administration (day
8 to 0). Key transplant related-outcomes were also collected, including the
incidence of graft rejection, sinusoidal obstruction syndrome, relapse, and
death. Results A total of 20 patients met criteria for inclusion in the study.
The population was heterogeneous with regard to the indication for hematopoietic
cell transplantation, donor type, stem cell source, and conditioning regimen.
Nine patients (45%) received levetiracetam and 11 (55%) received phenytoin for
seizure prophylaxis. No seizures or graft rejections were observed in the study
population. One relapse, one case of sinusoidal obstruction syndrome, and two
deaths occurred in the levetiracetam group, while no relapses, two cases of
sinusoidal obstruction syndrome, and one death occurred in the phenytoin group.
Conclusion These data suggest similar safety and effectiveness between
levetiracetam and phenytoin for the prevention of busulfan-induced seizures in a
small, heterogeneous pediatric hematopoietic cell transplantation population.
PMID- 27208018
TI - miR, miR in the Cell, Does the Virus Control Them All?
PMID- 27208019
TI - The Importance of Restoring the Adiponectin Signaling Pathway to Reduce
Myocardial Reperfusion Injury in Diabetes.
PMID- 27208020
TI - Erratum. A Novel CCK-8/GLP-1 Hybrid Peptide Exhibiting Prominent Insulinotropic,
Glucose-Lowering, and Satiety Actions With Significant Therapeutic Potential in
High-Fat-Fed Mice. Diabetes 2015;64:2996-3009.
PMID- 27208021
TI - Expression of Concern. Tub Has a Key Role in Insulin and Leptin Signaling and
Action In Vivo in Hypothalamic Nuclei. Diabetes 2013;62:137-148. DOI:
10.2337/db11-1388.
PMID- 27208022
TI - Expression of Concern. A Central Role for Neuronal AMP-Activated Protein Kinase
(AMPK) and Mammalian Target of Rapamycin (mTOR) in High-Protein Diet-Induced
Weight Loss. Diabetes 2008;57:594-605. DOI: 10.2337/db07-0573.
PMID- 27208023
TI - Statement of Retraction. Physical Exercise Reduces Circulating Lipopolysaccharide
and TLR4 Activation and Improves Insulin Signaling in Tissues of DIO Rats.
Diabetes 2011;60:784-796. DOI: 10.2337/db09-1907.
PMID- 27208024
TI - Statement of Retraction. Loss-of-Function Mutation in Toll-Like Receptor 4
Prevents Diet-Induced Obesity and Insulin Resistance. Diabetes 2007;56:1986-1998.
DOI: 10.2337/db06-1595.
PMID- 27208025
TI - Statement of Retraction. Exercise Improves Insulin and Leptin Sensitivity in
Hypothalamus of Wistar Rats. Diabetes 2006;55:2554-2561. DOI: 10.2337/db05-1622.
PMID- 27208026
TI - Statement of Retraction. Effect of Captopril, Losartan, and Bradykinin on Early
Steps of Insulin Action. Diabetes 1997;46:1950-1957. DOI:
10.2337/diab.46.12.1950.
PMID- 27208028
TI - Comment on Kolwicz et al. Enhancing Cardiac Triacylglycerol Metabolism Improves
Recovery From Ischemic Stress. Diabetes 2015;64:2817-2827.
PMID- 27208029
TI - Response to Comment on Kolwicz et al. Enhancing Cardiac Triacylglycerol
Metabolism Improves Recovery From Ischemic Stress. Diabetes 2015;64:2817-2827.
PMID- 27208030
TI - Comment on Yang et al. Natural Variation in Interleukin-2 Sensitivity Influences
Regulatory T-Cell Frequency and Function in Individuals With Long-standing Type 1
Diabetes. Diabetes 2015;64:3891-3902.
PMID- 27208031
TI - (How) do animals know how much they weigh?
AB - Animal species varying in size and musculoskeletal design all support and move
their body weight. This implies the existence of evolutionarily conserved
feedback between sensors that produce quantitative signals encoding body weight
and proximate determinants of musculoskeletal designs. Although studies at the
level of whole organisms and tissue morphology and function clearly indicate that
musculoskeletal designs are constrained by body weight variation, the corollary
to this - i.e. that the molecular-level composition of musculoskeletal designs is
sensitive to body weight variation - has been the subject of only minimal
investigation. The main objective of this Commentary is to briefly summarize the
former area of study but, in particular, to highlight the latter hypothesis and
the relevance of understanding the mechanisms that control musculoskeletal
function at the molecular level. Thus, I present a non-exhaustive overview of the
evidence - drawn from different fields of study and different levels of
biological organization - for the existence of body weight sensing mechanism(s).
PMID- 27208032
TI - Ontogenetic changes in larval swimming and orientation of pre-competent sea
urchin Arbacia punctulata in turbulence.
AB - Many marine organisms have complex life histories, having sessile adults and
relying on the planktonic larvae for dispersal. Larvae swim and disperse in a
complex fluid environment and the effect of ambient flow on larval behavior could
in turn impact their survival and transport. However, to date, most studies on
larvae-flow interactions have focused on competent larvae near settlement. We
examined the importance of flow on early larval stages by studying how local flow
and ontogeny influence swimming behavior in pre-competent larval sea urchins,
Arbacia punctulata We exposed larval urchins to grid-stirred turbulence and
recorded their behavior at two stages (4- and 6-armed plutei) in three turbulence
regimes. Using particle image velocimetry to quantify and subtract local flow, we
tested the hypothesis that larvae respond to turbulence by increasing swimming
speed, and that the increase varies with ontogeny. Swimming speed increased with
turbulence for both 4- and 6-armed larvae, but their responses differed in terms
of vertical swimming velocity. 4-Armed larvae swam most strongly upward in the
unforced flow regime, while 6-armed larvae swam most strongly upward in weakly
forced flow. Increased turbulence intensity also decreased the relative time that
larvae spent in their typical upright orientation. 6-Armed larvae were tilted
more frequently in turbulence compared with 4-armed larvae. This observation
suggests that as larvae increase in size and add pairs of arms, they are more
likely to be passively re-oriented by moving water, rather than being stabilized
(by mechanisms associated with increased mass), potentially leading to
differential transport. The positive relationship between swimming speed and
larval orientation angle suggests that there was also an active response to
tilting in turbulence. Our results highlight the importance of turbulence to
planktonic larvae, not just during settlement but also in earlier stages through
morphology-flow interactions.
PMID- 27208034
TI - Modification of sperm quality after sexual abstinence in Seba's short-tailed bat,
Carollia perspicillata.
AB - In polygynous mating systems, few males have stable access to sexual mates. With
an expected higher copulation rate, harem males may deplete seminal fluids or
increase epididymal sperm maturation, generating poor sperm quality. In a first
study, we reported a higher sperm quality in sneaker males of Carollia
perspicillata To test whether the lower sperm quality observed in harem males was
generated by an elevated copulation rate, we temporarily removed males of both
social statuses from the colony. We thus assessed status-related changes of sperm
quality resulting from sexual abstinence. Moreover, released from territory and
female guarding, harem males were expected to show a reduction in somatic costs.
On the basis of sperm competition models, we predicted a higher resource
investment in the ejaculate with the reduction of pre-copulatory efforts. In line
with our predictions, sperm quality of harem males improved significantly in
contrast to sneaker males, whose sperm quality did not change. Without an
increase in ejaculate lipid peroxidation, our results also provide evidence that
the duration of sexual abstinence was not sufficient to generate sperm oxidative
damage through senescence. Harem males did not show a reduction in blood lipid
peroxidation or in the ratio of oxidized to reduced glutathione. In line with the
maintenance of these somatic costs, harem males did not invest more superoxide
dismutase to the ejaculate to maintain sperm quality. Our results suggest that a
difference in copulation rate rather than an adaptation to sperm competition
provides sneaker males with higher sperm quality in C. perspicillata.
PMID- 27208033
TI - Heat stress enhances LTM formation in Lymnaea: role of HSPs and DNA methylation.
AB - Environmentally relevant stressors alter the memory-forming process in Lymnaea
following operant conditioning of aerial respiration. One such stressor is heat.
Previously, we found that following a 1 h heat shock, long-term memory (LTM)
formation was enhanced. We also had shown that the heat stressor activates at
least two heat shock proteins (HSPs): HSP40 and HSP70. Here, we tested two
hypotheses: (1) the production of HSPs is necessary for enhanced LTM formation;
and (2) blocking DNA methylation prevents the heat stressor-induced enhancement
of LTM formation. We show here that the enhancing effect of the heat stressor on
LTM formation occurs even if snails experienced the stressor 3 days previously.
We further show that a flavonoid, quercetin, which inhibits HSP activation,
blocks the enhancing effect of the heat stressor on LTM formation. Finally, we
show that injection of a DNA methylation blocker, 5-AZA, before snails experience
the heat stressor prevents enhancement of memory formation.
PMID- 27208035
TI - Spatial resolving power and spectral sensitivity of the saltwater crocodile,
Crocodylus porosus, and the freshwater crocodile, Crocodylus johnstoni.
AB - Crocodilians are apex amphibious predators that occupy a range of tropical
habitats. In this study, we examined whether their semi-aquatic lifestyle and
ambush hunting mode are reflected in specific adaptations in the peripheral
visual system. Design-based stereology and microspectrophotometry were used to
assess spatial resolving power and spectral sensitivity of saltwater (Crocodylus
porosus) and freshwater crocodiles (Crocodylus johnstoni). Both species possess a
foveal streak that spans the naso-temporal axis and mediates high spatial acuity
across the central visual field. The saltwater crocodile and freshwater crocodile
have a peak spatial resolving power of 8.8 and 8.0 cycles deg(-1), respectively.
Measurement of the outer segment dimensions and spectral absorbance revealed five
distinct photoreceptor types consisting of three single cones, one twin cone and
a rod. The three single cones (saltwater/freshwater crocodile) are violet
(424/426 nm lambdamax), green (502/510 nm lambdamax) and red (546/554 nm
lambdamax) sensitive, indicating the potential for trichromatic colour vision.
The visual pigments of both members of the twin cones have the same lambdamax as
the red-sensitive single cone and the rod has a lambdamax at 503/510 nm
(saltwater/freshwater). The lambdamax values of all types of visual pigment occur
at longer wavelengths in the freshwater crocodile compared with the saltwater
crocodile. Given that there is a greater abundance of long wavelength light in
freshwater compared with a saltwater environment, the photoreceptors would be
more effective at detecting light in their respective habitats. This suggests
that the visual systems of both species are adapted to the photic conditions of
their respective ecological niche.
PMID- 27208036
TI - Corrigendum.
AB - Prado CMM, Heymsfield SB. Lean tissue imaging: a new era for nutritional
assessment and intervention. JPEN J Parenter Enteral Nutr 2014;38:940-953.
(Original DOI: 10.1177/0148607114550189).
PMID- 27208037
TI - Corrigendum.
AB - Gomez-Perez SL, Haus JM, Sheean P, et al. Measuring abdominal circumference and
skeletal muscle from a single cross-sectional computed tomography image: A step
by-step guide for clinicians using National Institutes of Health ImageJ. JPEN J
Parenter Enteral Nutr 2016;40:308-318. (Original DOI: 10.1177/0148607115604149).
PMID- 27208038
TI - Use of Home Parenteral Nutrition in Post-Bariatric Surgery-Related Malnutrition.
AB - BACKGROUND: Bariatric surgery is one of the most effective techniques for
achieving sustained weight loss but can be associated with surgical complications
or malabsorption so significant that it leads to malnutrition. Parenteral
nutrition (PN) may be necessary to help treat surgical complications or
malnutrition from these procedures. There are limited data describing this
patient population and role for home PN (HPN). METHODS: A retrospective review of
our HPN database was conducted to identify patients who were initiated on HPN
between January 1, 2003, and August 31, 2015, and had a history of bariatric
surgery. RESULTS: A total of 54 HPN patients (6.3%) had a history of bariatric
surgery. Average age was 52.1 +/- 12.8 years, and 80% were female. The most
common surgical procedure was Roux-en-Y gastric bypass (72%), with malnutrition
or failure to thrive being the most common HPN indication (57%). Weight at the
time of HPN initiation was 71.9 +/- 20.4 kg and significantly increased to 78.9
+/- 24.4 kg by the end of treatment ( P = .0001). Serum albumin levels rose from
2.8 +/- 0.77 g/dL to 3.7 +/- 0.58 g/dL by the end of HPN ( P < .0001). Forty-five
of 54 patients (83.3%) went on to revision surgery. CONCLUSION: The results of
this retrospective review support initiation of HPN in the malnourished post
bariatric surgery patient both nutritionally and as a bridge to revision surgery.
PMID- 27208039
TI - Effect of Early Full-Calorie Nutrition Support Following Esophagectomy: A
Randomized Controlled Trial.
AB - BACKGROUND: Early use of enteral nutrition (EN) is indicated following surgical
resection of esophageal cancer. However, early EN support does not always meet
the optimal calorie or protein requirements, and the benefits of supplementary
parenteral nutrition (PN) remain unclear. We aimed to evaluate the efficacy and
safety of early supplementary PN following esophagectomy. MATERIALS AND METHODS:
We enrolled 80 consecutive patients who underwent esophagectomy. Resting energy
expenditure and body composition measurements were performed in all patients
preoperatively and postoperatively. EN was administered after surgery, followed
by randomization to either EN+PN or EN alone. The amount of PN administered was
calculated to meet the full calorie requirement, as measured by indirect
calorimetry, and 1.5 g protein/kg fat-free mass (FFM) per day was added as
determined by body composition measurement. The clinical characteristics were
compared between the 2 groups. RESULTS: Patients in the EN+PN group but not in
the EN group preserved body weight (0.18 +/- 3.38 kg vs -2.15 +/- 3.19 kg, P <
.05) and FFM (1.46 +/- 2.97 kg vs -2.08 +/- 4.16 kg) relative to preoperative
measurements. Length of hospital stay, postoperative morbidity rates, and
standard blood biochemistry profiles were similar. However, scores for physical
functioning (71.5 +/- 24.3 vs 60.4 +/- 27.4, P < .05) and energy/fatigue (62.9 +/
19.5 vs 54.2 +/- 23.5, P < .05) were higher in the EN+PN group 90 days following
surgery. CONCLUSION: Early use of supplemental PN to meet full calorie
requirements of patients who underwent esophagectomy led to better quality of
life 3 months after surgery. Moreover, increased calorie and protein supplies
were associated with preservation of body weight and FFM.
PMID- 27208040
TI - Lessons learnt from TB screening in closed immigration centres in Italy.
AB - BACKGROUND: Between June 2012 and December 2013 Medecins Sans Frontieres launched
a pilot project with the aim of testing a strategy for improving timely diagnosis
of active pulmonary TB among migrants hosted in four centres of identification
and expulsion (CIE) in Italy. METHODS: This is a descriptive study. For active TB
case finding we used an active symptom screening approach among migrants at
admission in four CIE's. Here we describe the feasibility and the yield of this
programme. RESULTS: Overall, 3588 migrants were screened, among whom 87 (2.4%)
had a positive questionnaire. Out of 30 migrants referred for further
investigations, three were diagnosed as having TB, or 0.1% out of 3588
individuals that underwent screening. Twenty-five (29%, 25/87) migrants with
positive questionnaires were not referred for further investigation, following
the doctors' decision; however, for 32 (37%, 32/87) migrants the diagnostic work
out was not completed. In multivariate analyses, being over 35 years (OR 1.7; 95%
CI 1.1-2.6) and being transgender (OR 4.9; 95% CI 2.1-11.7), was associated with
a positive questionnaire. CONCLUSIONS: TB screening with symptom screening
questionnaires of migrants at admission in closed centres is feasible. However,
to improve the yield, follow-up of patients with symptoms or signs suggestive for
TB needs to be improved.
PMID- 27208041
TI - Targeting neoantigens for cancer immunotherapy.
AB - Studies first carried out in the 1980s have demonstrated murine T cells can
recognize mutated gene products, known as neoantigens, and that these T cells are
capable of mediating tumor rejection. The first human tumor antigens isolated in
the early 1990s were the products of non-mutated genes expressed in a tissue
specific manner; subsequent studies have indicated that tumor-infiltrating
lymphocytes that are cultured in vitro frequently recognize mutated gene
products. In addition, correlative studies indicate that clinical responses to
therapies involving the use of antibodies directed against checkpoint inhibitors
such as CTLA-4 and PD-1 may be associated with mutational burden, providing
indirect evidence that these responses may primarily be mediated by neoantigen
reactive T cells. The importance of neoantigen-reactive T cells may be elucidated
by the results of ongoing and future studies aimed at leveraging information
gained from mutational profiling to enhance the potency of immunotherapies.
PMID- 27208042
TI - Cohort Profile: The Khayelitsha antiretroviral programme, Cape Town, South
Africa.
PMID- 27208043
TI - Too Often Forgotten: Passive Transfer of Antibodies.
PMID- 27208045
TI - Vaccines for Healthcare-associated Infections: Promise and Challenge.
AB - As antibiotic resistance increases and the rate of antibiotic development slows,
it is becoming more urgent to develop novel approaches to prevent and mitigate
serious bacterial and fungal infections. Healthcare-associated infections (HAIs),
including those caused by Clostridium difficile, Staphylococcus aureus,
Pseudomonas aeruginosa, Acinetobacter baumannii, carbapenem-resistant
Enterobacteriaceae, and Candida species, are a major cause of morbidity,
mortality, and healthcare costs. HAIs are also a key driver of antibiotic use.
Vaccines directed toward these pathogens could help prevent a large number of
HAIs and associated antibiotic use if administered to targeted populations.
Despite numerous scientific and operational challenges, there are vaccine
candidates in late-stage clinical development for C. difficile, S. aureus, and P.
aeruginosa Basic, preclinical, and early clinical research to develop vaccines
for other types of HAIs is also under way. In addition, other prophylactic immune
interventions, such as monoclonal antibodies, for several of these pathogens are
in advanced development. Here we describe the promise, challenges, and current
pipeline of vaccines to prevent HAIs.
PMID- 27208046
TI - Helical Centerline Stent Improves Patency: Two-Year Results From the Randomized
Mimics Trial.
AB - BACKGROUND: Reintervention in the femoropopliteal artery is frequent and a major
driver of cost-effectiveness. High wall shear generated by swirling blood flow is
associated with reduced occurrence of atherosclerosis and restenosis. This trial
investigated the clinical and hemodynamic outcomes of the BioMimics 3D self
expanding tubular nitinol stent with helical centerline geometry compared with a
straight stent in the femoropopliteal artery. METHODS AND RESULTS: In a
prospective, multicenter, randomized controlled trial, 76 patients with
symptomatic peripheral arterial disease were randomized 2:1 to receive a helical
or a straight stent. An independent core laboratory adjudicated angiographic and
ultrasound parameters. The primary safety end point was freedom from a composite
of all death, target limb amputation, and target lesion revascularization at 30
days. The primary effectiveness end point was freedom from clinically driven
target lesion revascularization at 6 months. Patency was a secondary end point.
Subjects were followed up for 2 years from intervention. The primary safety (1
sided P<0.01) and efficacy (1-sided P<0.001) end points for the helical stent
were met. The proportion of patients treated with the helical stent who
maintained patency at 12 and 24 months was 80% and 72%, respectively, compared
with 71% and 55% for the control group. The difference was significant through 24
months (P=0.05). Freedom from clinically driven target lesion revascularization
for the helical compared with straight stent was 91% versus 92% at 12 months and
91% versus 76% at 24 months. CONCLUSIONS: Both groups had similar safety outcomes
and clinically driven target lesion revascularization to 2 years. However, after
placement of a BioMimics 3D helical stent, there was improved patency to 2 years.
CLINICAL TRIAL REGISTRATION: URL: http://www.clinicaltrials.gov. Unique
identifier: NCT02163863.
PMID- 27208044
TI - Antiretroviral Therapy to Prevent HIV Acquisition in Serodiscordant Couples in a
Hyperendemic Community in Rural South Africa.
AB - BACKGROUND: Antiretroviral therapy (ART) was highly efficacious in preventing
human immunodeficiency virus (HIV) transmission in stable serodiscordant couples
in the HPTN-052 study, a resource-intensive randomized controlled trial with near
perfect ART adherence and mutual HIV status disclosure among all participating
couples. However, minimal evidence exists of the effectiveness of ART in
preventing HIV acquisition in stable serodiscordant couples in "real-life"
population-based settings in hyperendemic communities of sub-Saharan Africa,
where health systems are typically resource-poor and overburdened, adherence to
ART is often low, and partners commonly do not disclose their HIV status to each
other. METHODS: Data arose from a population-based open cohort in KwaZulu-Natal,
South Africa. A total of 17 016 HIV-uninfected individuals present between
January 2005 and December 2013 were included. Interval-censored time-updated
proportional hazards regression was used to assess how the ART status affected
HIV transmission risk in stable serodiscordant relationships. RESULTS: We
observed 1619 HIV seroconversions in 17 016 individuals, over 60 349 person-years
follow-up time. During the follow-up period, 1846 individuals had an HIV
uninfected and 196 had an HIV-infected stable partner HIV incidence was 3.8/100
person-years (PY) among individuals with an HIV-infected partner (95% confidence
interval [CI], 2.3-5.6), 1.4/100 PY (.4-3.5) among those with HIV-infected
partners receiving ART, and 5.6/100 PY (3.5-8.4) among those with HIV-infected
partners not receiving ART. Use of ART was associated with a 77% decrease in HIV
acquisition risk among serodiscordant couples (adjusted hazard ratio, 0.23; 95%
CI, .07-.80). CONCLUSIONS: ART initiation was associated with a very large
reduction in HIV acquisition in serodiscordant couples in rural KwaZulu-Natal.
However, this "real-life" effect was substantially lower than the effect observed
in the HPTN-052 trial. To eliminate HIV transmission in serodiscordant couples,
additional prevention interventions are probably needed.
PMID- 27208048
TI - Xylogenesis: Coniferous Trees of Temperate Forests Are Listening to the Climate
Tale during the Growing Season But Only Remember the Last Words!
AB - The complex inner mechanisms that create typical conifer tree-ring structure
(i.e. the transition from large, thin-walled earlywood cells to narrow, thick
walled latewood cells) were recently unraveled. However, what physiological or
environmental factors drive xylogenesis key processes remain unclear. Here, we
aim to quantify the influence of seasonal variations in climatic factors on the
spectacular changes in the kinetics of wood cell differentiation and in the
resulting tree-ring structure. Wood formation was monitored in three sites over 3
years for three coniferous species (Norway spruce [Picea abies], Scots pine
[Pinus sylvestris], and silver fir [Abies alba]). Cell differentiation rates and
durations were calculated and related to tracheid final dimensions and
corresponding climatic conditions. On the one hand, we found that the kinetics of
cell enlargement and the final size of the tracheids were not explained by the
seasonal changes in climatic factors. On the other hand, decreasing temperatures
strongly constrained cell wall deposition rates during latewood formation.
However, the influence of temperature was permanently written into tree-ring
structure only for the very last latewood cells, when the collapse of the rate of
wall deposition was no longer counterbalanced by the increase of its duration.
Our results show that the formation of the typical conifer tree-ring structure,
in normal climatic conditions, is only marginally driven by climate, suggesting
strong developmental control of xylogenesis. The late breakage of the
compensatory mechanism at work in the wall deposition process appears as a clue
to understand the capacity of the maximum latewood density to record past
temperature conditions.
PMID- 27208049
TI - Updated Clinical Practice Guidelines on Heart Failure: An International
Alignment.
PMID- 27208050
TI - 2016 ACC/AHA/HFSA Focused Update on New Pharmacological Therapy for Heart
Failure: An Update of the 2013 ACCF/AHA Guideline for the Management of Heart
Failure: A Report of the American College of Cardiology/American Heart
Association Task Force on Clinical Practice Guidelines and the Heart Failure
Society of America.
PMID- 27208047
TI - WRINKLED1 Rescues Feedback Inhibition of Fatty Acid Synthesis in Hydroxylase
Expressing Seeds.
AB - Previous attempts at engineering Arabidopsis (Arabidopsis thaliana) to produce
seed oils containing hydroxy fatty acids (HFA) have resulted in low yields of HFA
compared with the native castor (Ricinus communis) plant and caused undesirable
effects, including reduced total oil content. Recent studies have led to an
understanding of problems involved in the accumulation of HFA in oils of
transgenic plants, which include metabolic bottlenecks and a decrease in the rate
of fatty acid synthesis. Focusing on engineering the triacylglycerol assembly
mechanisms led to modest increases in the HFA content of seed oil, but much room
for improvement still remains. We hypothesized that engineering fatty acid
synthesis in the plastids to increase flux would facilitate enhanced total
incorporation of fatty acids, including HFA, into seed oil. The transcription
factor WRINKLED1 (WRI1) positively regulates the expression of genes involved in
fatty acid synthesis and controls seed oil levels. We overexpressed Arabidopsis
WRI1 in seeds of a transgenic line expressing the castor fatty acid hydroxylase.
The proportion of HFA in the oil, the total HFA per seed, and the total oil
content of seeds increased to an average of 20.9%, 1.26 ug, and 32.2%,
respectively, across five independent lines, compared with 17.6%, 0.83 ug, and
27.9%, respectively, for isogenic segregants. WRI1 and WRI1-regulated genes
involved in fatty acid synthesis were up-regulated, providing for a corresponding
increase in the rate of fatty acid synthesis.
PMID- 27208052
TI - New drugs and dosage forms.
PMID- 27208051
TI - Small state takes big steps in opioid-overdose reversal.
PMID- 27208053
TI - Pharmacist, physician collaborate at clinic to treat opioid dependence.
PMID- 27208054
TI - Summaries of safety labeling changes approved by FDA-boxed warnings highlights
January-March 2016.
PMID- 27208056
TI - Benchmarking and medication error rates.
PMID- 27208057
TI - Benchmarking and medication error rates.
PMID- 27208058
TI - Keeping sterile 0.9% sodium chloride, 5% dextrose, and lactated Ringer's
solutions for injection cold enough for dilution of decitabine in isolators.
PMID- 27208059
TI - Pharmacokinetics of an antiretroviral regimen in a patient unable to swallow
solid oral dosage forms.
PMID- 27208060
TI - Why isn't cefadroxil used more often?
PMID- 27208061
TI - Incompatibility between irinotecan and fluorouracil injections.
PMID- 27208062
TI - Summaries of safety labeling changes approved by FDA-boxed warnings highlights
January-March 2016.
PMID- 27208065
TI - Multidirectional instability of the glenohumeral joint: an unstable
classification resulting in uncertain evidence-based practice.
PMID- 27208063
TI - Molecular Pathways: Targeting B7-H3 (CD276) for Human Cancer Immunotherapy.
AB - B7-H3 (CD276) is an important immune checkpoint member of the B7 and CD28
families. Induced on antigen-presenting cells, B7-H3 plays an important role in
the inhibition of T-cell function. Importantly, B7-H3 is highly overexpressed on
a wide range of human solid cancers and often correlates with both negative
prognosis and poor clinical outcome in patients. Challenges remain to identify
the receptor(s) of B7-H3 and thus better elucidate the role of the B7-H3 pathway
in immune responses and tumor evasion. With a preferential expression on tumor
cells, B7-H3 is an attractive target for cancer immunotherapy. Based on the
clinical success of inhibitory immune checkpoint blockade (CTLA-4, PD-1, and PD
L1), mAbs against B7-H3 appear to be a promising therapeutic strategy worthy of
development. An unconventional mAb against B7-H3 with antibody-dependent cell
mediated cytotoxicity is currently being evaluated in a phase I clinical trial
and has shown encouraging preliminary results. Additional therapeutic approaches
in targeting B7-H3, such as blocking mAbs, bispecific mAbs, chimeric antigen
receptor T cells, small-molecule inhibitors, and combination therapies, should be
evaluated, as these technologies have already shown positive results in various
cancer settings. A better understanding of the B7-H3 pathway in humans will
surely help to further optimize associated cancer immunotherapies. Clin Cancer
Res; 22(14); 3425-31. (c)2016 AACR.
PMID- 27208064
TI - Current and Emerging Clinical Applications of Multispectral Optoacoustic
Tomography (MSOT) in Oncology.
AB - Accurate detection and characterization of cancers are key for providing timely
intervention and effective treatments. Current imaging technologies are
particularly limited when it comes to detecting very small tumors in vivo, i.e.,
very early cancers or metastases, differentiating viable tumor from surrounding
dead tumor tissue, and evaluating tumor metabolism within tissue. Optoacoustic
imaging offers potential solutions to these imaging problems because of its
ability to image optical absorption properties of both intrinsic tissue
chromophores and exogenous contrast agents without the involvement of ionizing
radiation. Optoacoustic imaging uses pulsed laser to induce localized
thermoelastic expansion that generates acoustic waves detectable by an ultrasound
transducer. To date, multispectral optoacoustic tomography (MSOT) has primarily
been used in preclinical research; however, its use in translational and clinical
research is expanding. This review focuses on current and emerging applications
of optoacoustic imaging for molecular imaging of cancer using both exogenous and
endogenous contrast agents and sheds light on potential future clinical
applications. Clin Cancer Res; 22(14); 3432-9. (c)2016 AACR.
PMID- 27208066
TI - Challenges of identifying and treating patellofemoral osteoarthritis.
PMID- 27208067
TI - International normative 20 m shuttle run values from 1 142 026 children and youth
representing 50 countries.
AB - OBJECTIVE: To develop sex-specific and age-specific international norms for the
20 m shuttle run test (20mSRT) in children and youth (aged 9-17 years), and to
estimate the prevalence meeting the FITNESSGRAM criterion-referenced standards
for healthy cardiorespiratory endurance (CRE). METHODS: A systematic review was
undertaken to identify papers explicitly reporting descriptive 20mSRT (with 1 min
stages) data on children and youth since 1981. Data were included on apparently
healthy (free from known disease/injury) 9-17 years old. Following
standardisation to a common metric and for protocol differences, pseudo data were
generated using Monte Carlo simulation, with population-weighted sex-specific and
age-specific normative centiles generated using the Lambda Mu and Sigma (LMS)
method. Sex-related and age-related differences were expressed as per cent and
standardised differences in means. The prevalence with healthy CRE was estimated
using the sex-specific and age-specific FITNESSGRAM criterion-referenced
standards for [Formula: see text]. RESULTS: Norms were displayed as tabulated
centiles and as smoothed centile curves for the 20mSRT using 4 common metrics
(speed at the last completed stage, completed stages/minutes, laps and relative
[Formula: see text]). The final data set included 1 142 026 children and youth
from 50 countries, extracted from 177 studies. Boys consistently outperformed
girls at each age group (mean difference+/-95% CI: 0.86+/-0.28 km/h or 0.79+/
0.20 standardised units), with the magnitude of age-related increase larger for
boys than for girls. A higher proportion of boys (mean+/-95% CI: 67+/-14%) had
healthy CRE than girls (mean+/-95% CI: 54+/-17%), with the prevalence of healthy
CRE decreasing systematically with age. CONCLUSIONS: This study provides the most
comprehensive and up-to-date set of international sex-specific and age-specific
20mSRT norms for children and youth, which have utility for health and fitness
screening, profiling, monitoring and surveillance.
PMID- 27208068
TI - Research to Publication: The BMJ launches a new e-learning programme in
healthcare research-a valuable resource for sports medicine (continuing
professional development series).
PMID- 27208075
TI - The Emergence of Systematic Review in Toxicology.
AB - The Evidence-based Toxicology Collaboration hosted a workshop on "The Emergence
of Systematic Review and Related Evidence-based Approaches in Toxicology," on
November 21, 2014 in Baltimore, Maryland. The workshop featured speakers from
agencies and organizations applying systematic review approaches to questions in
toxicology, speakers with experience in conducting systematic reviews in medicine
and healthcare, and stakeholders in industry, government, academia, and non
governmental organizations. Based on the workshop presentations and discussion,
here we address the state of systematic review methods in toxicology, historical
antecedents in both medicine and toxicology, challenges to the translation of
systematic review from medicine to toxicology, and thoughts on the way forward.
We conclude with a recommendation that as various agencies and organizations
adapt systematic review methods, they continue to work together to ensure that
there is a harmonized process for how the basic elements of systematic review
methods are applied in toxicology.
PMID- 27208076
TI - Does GLP enhance the quality of toxicological evidence for regulatory decisions?
AB - There is debate over whether the requirements of GLP are appropriate standards
for evaluating the quality of toxicological data used to formulate regulations. A
group promoting the importance of non-monotonic dose responses for endocrine
disruptors contend that scoring systems giving primacy to GLP are biased against
non-GLP studies from the literature and are merely record-keeping exercises to
prevent fraudulent reporting of data from non-published guideline toxicology
studies. They argue that guideline studies often employ insensitive species and
outdated methods, and ignore the perspectives of subject-matter experts in
endocrine disruption, who should be the sole arbiters of data quality. We believe
regulatory agencies should use both non-GLP and GLP studies, that GLP
requirements assure fundamental tenets of study integrity not typically addressed
by journal peer-review, and that use of standardized test guidelines and GLP
promotes consistency, reliability, comparability, and harmonization of various
types of studies used by regulatory agencies worldwide. This debate suffers two
impediments to progress: a conflation of different phases of study interpretation
and levels of data validity, and a misleading characterization of many essential
components of GLP and regulatory toxicology. Herein we provide clarifications
critical for removing those impediments.
PMID- 27208077
TI - Integration of Life-Stage Physiologically Based Pharmacokinetic Models with
Adverse Outcome Pathways and Environmental Exposure Models to Screen for
Environmental Hazards.
AB - A computational framework was developed to assist in screening and prioritizing
chemicals based on their dosimetry, toxicity, and potential exposures. The
overall strategy started with contextualizing chemical activity observed in high
throughput toxicity screening (HTS) by mapping these assays to biological events
described in Adverse Outcome Pathways (AOPs). Next, in vitro to in vivo (IVIVE)
extrapolation was used to convert an in vitro dose to an external exposure level,
which was compared with potential exposure levels to derive an AOP-based margins
of exposure (MOE). In this study, the framework was applied to estimate MOEs for
chemicals that can potentially cause developmental toxicity following a putative
AOP for fetal vasculogenesis/angiogenesis. A physiologically based
pharmacokinetic (PBPK) model was developed to describe chemical disposition
during pregnancy, fetal, neonatal, and infant to adulthood stages. Using this
life-stage PBPK model, maternal exposures were estimated that would yield fetal
blood levels equivalent to the chemical concentration that altered in vitro
activity of selected HTS assays related to the most sensitive
vasculogenesis/angiogenesis putative AOP. The resulting maternal exposure
estimates were then compared with potential exposure levels using literature data
or exposure models to derive AOP-based MOEs.
PMID- 27208078
TI - Editor's Highlight: Identification and Characterization of Teratogenic Chemicals
Using Embryonic Stem Cells Isolated From a Wnt/beta-Catenin-Reporter Transgenic
Mouse Line.
AB - Embryonic stem cells (ESCs) are commonly used for the analysis of gene function
in embryonic development and provide valuable models for human diseases. In
recent years, ESCs have also become an attractive tool for toxicological testing,
in particular for the identification of teratogenic compounds. We have recently
described a Bmp-reporter ESC line as a new tool to identify teratogenic compounds
and to characterize the molecular mechanisms mediating embryonic toxicity. Here
we describe the use of a Wnt/beta-Catenin-reporter ESC line isolated from a
previously described mouse line that carries the LacZ reporter gene under the
control of a beta-Catenin responsive promoter. The reporter ESC line stably
differentiates into cardiomyocytes within 12 days. The reporter was endogenously
induced between day 3-5 of differentiation reminiscent of its expression in vivo,
in which strong LacZ activity is detected around gastrulation. Subsequently its
expression becomes restricted to mesodermal cells and cells undergoing an
epithelial to mesenchymal transition. The Wnt/beta-Catenin-dependent expression
of the reporter protein allowed quantification of dose- and time-dependent
effects of teratogenic chemicals. In particular, valproic acid reduced reporter
activity on day 7 whereas retinoic acid induced reporter activity on day 5 at
concentrations comparable to the ones inhibiting the formation of functional
cardiomyocytes, the classical read-out of the embryonic stem cell test (EST). In
addition, we were also able to show distinct effects of teratogenic chemicals on
the Wnt/beta-Catenin-reporter compared with the previously described Bmp-reporter
ESCs. Thus, different reporter cell lines provide complementary tools for the
identification and analysis of potentially teratogenic compounds.
PMID- 27208079
TI - Editor's Highlight: Analysis of the Effects of Cell Stress and Cytotoxicity on In
Vitro Assay Activity Across a Diverse Chemical and Assay Space.
AB - Chemical toxicity can arise from disruption of specific biomolecular functions or
through more generalized cell stress and cytotoxicity-mediated processes. Here,
responses of 1060 chemicals including pharmaceuticals, natural products,
pesticidals, consumer, and industrial chemicals across a battery of 815 in vitro
assay endpoints from 7 high-throughput assay technology platforms were analyzed
in order to distinguish between these types of activities. Both cell-based and
cell-free assays showed a rapid increase in the frequency of responses at
concentrations where cell stress/cytotoxicity responses were observed in cell
based assays. Chemicals that were positive on at least 2 viability/cytotoxicity
assays within the concentration range tested (typically up to 100 MUM) activated
a median of 12% of assay endpoints whereas those that were not cytotoxic in this
concentration range activated 1.3% of the assays endpoints. The results suggest
that activity can be broadly divided into: (1) specific biomolecular interactions
against one or more targets (eg, receptors or enzymes) at concentrations below
which overt cytotoxicity-associated activity is observed; and (2) activity
associated with cell stress or cytotoxicity, which may result from triggering
specific cell stress pathways, chemical reactivity, physico-chemical disruption
of proteins or membranes, or broad low-affinity non-covalent interactions.
Chemicals showing a greater number of specific biomolecular interactions are
generally designed to be bioactive (pharmaceuticals or pesticidal active
ingredients), whereas intentional food-use chemicals tended to show the fewest
specific interactions. The analyses presented here provide context for use of
these data in ongoing studies to predict in vivo toxicity from chemicals lacking
extensive hazard assessment.
PMID- 27208081
TI - From the Cover: Comparative Numerical Modeling of Inhaled Nanoparticle Deposition
in Human and Rat Nasal Cavities.
AB - To gain a better understanding of nanoparticle exposure in human nasal cavities,
laboratory animals (e.g. rat) are used for in vivo studies. However, due to
anatomical differences between human and rodent nasal cavities, direct particle
deposition comparisons between species are difficult. This paper presents a
comparative nanoparticle (1 nm, 10 nm, and 100 nm) deposition study using
anatomically realistic models of a human and rat nasal cavity. The particle
deposition fraction was highest consistently in the main nasal passage, for all
nanoparticles tested, in the human model; whereas this was only the case for 10
nm, and 100 nm particles for the rodent model, where greater deposition was found
in the anterior nose for 1 nm particles. A deposition intensity (DI) term was
introduced to represent the accumulated deposition fraction on cross-sectional
slices. A common and preferential deposition site in the human model was found
for all nanoparticles occurring at a distance of 3.5 cm inside the nasal passage.
For the rodent model maximum DI occurred in the vestibule region at a distance of
0.3 cm, indicating that the rodent vestibule produces exceptionally high particle
filtration capability. We also introduced a deposition flux which was a ratio of
the regional deposition fraction relative to the region's surface area fraction.
This value allowed direct comparison of deposition flux between species, and a
regional extrapolation scaling factor was found (e.g. 1/10 scale for vestibule
region for rat to human comparison). This study bridges the in vitro exposure
experiments and in vivo nanomaterials toxicity studies, and can contribute
towards improving inter-species exposure extrapolation studies in the future.
PMID- 27208080
TI - From the Cover: Arsenite Uncouples Mitochondrial Respiration and Induces a
Warburg-like Effect in Caenorhabditis elegans.
AB - Millions of people worldwide are chronically exposed to arsenic through
contaminated drinking water. Despite decades of research studying the
carcinogenic potential of arsenic, the mechanisms by which arsenic causes cancer
and other diseases remain poorly understood. Mitochondria appear to be an
important target of arsenic toxicity. The trivalent arsenical, arsenite, can
induce mitochondrial reactive oxygen species production, inhibit enzymes involved
in energy metabolism, and induce aerobic glycolysis in vitro, suggesting that
metabolic dysfunction may be important in arsenic-induced disease. Here, using
the model organism Caenorhabditis elegans and a novel metabolic inhibition assay,
we report an in vivo induction of aerobic glycolysis following arsenite exposure.
Furthermore, arsenite exposure induced severe mitochondrial dysfunction,
including altered pyruvate metabolism; reduced steady-state ATP levels, ATP
linked respiration and spare respiratory capacity; and increased proton leak. We
also found evidence that induction of autophagy is an important protective
response to arsenite exposure. Because these results demonstrate that
mitochondria are an important in vivo target of arsenite toxicity, we
hypothesized that deficiencies in mitochondrial electron transport chain genes,
which cause mitochondrial disease in humans, would sensitize nematodes to
arsenite. In agreement with this, nematodes deficient in electron transport chain
complexes I, II, and III, but not ATP synthase, were sensitive to arsenite
exposure, thus identifying a novel class of gene-environment interactions that
warrant further investigation in the human populace.
PMID- 27208082
TI - From the Cover: Structural Determinants of the Position of 2,3',4,4',5
Pentachlorobiphenyl (CB118) Hydroxylation by Mammalian Cytochrome P450
Monooxygenases.
AB - Polychlorinated biphenyls (PCBs) accumulate in mammals via the food chain because
of their characteristics such as slow degradation and high hydrophobicity. One of
the 209 PCB congeners, 2,3',4,4',5-pentachlorobiphenyl (CB118), is abundantly
found in the environment and in mammals. Understanding the metabolic fate of
CB118 can provide important information toward evaluating its toxicity. In vitro
studies on the metabolism of CB118 by cytochrome P450 enzymes (P450 or CYP)
revealed that human CYP2B6 and rat CYP2B1 primarily metabolized it to 3-hydroxy
(OH)-CB118, whereas rat CYP1A1 metabolized CB118 to 4-hydroxy-2,3,3',4',5
pentachlorobiphenyl (4-OH-CB107). Docking models of CYP2Bs with CB118 revealed a
short distance between the 3-position of CB118 and the heme iron caused by
polarization of the substrate-binding cavity, and maintenance of this pose
through interaction with the peripheral amino acids determines the activity and
position of hydroxylation. 4-Hydroxylation by rat CYP1A1 occurs owing to the
longitudinal shape of the substrate-binding cavity toward the heme of CYP1A1. The
metabolites 3-OH-CB118 and 4-OH-CB107 decreased potential for activating the aryl
hydrocarbon receptor compared with that of CB118, thereby leading to a decrease
in dioxin-like toxicity; however, the neurodevelopmental toxicity of 4-OH-CB107
has been previously reported. The results suggest that these 3 P450 isoforms play
an important role in determining the extent of CB118 toxicity. This study will
contribute to understanding of the metabolic fates and toxicity of CB118 in vivo.
PMID- 27208084
TI - Editor's Highlight: Plasma miR-183/96/182 Cluster and miR-124 are Promising
Biomarkers of Rat Retinal Toxicity.
AB - Retinal toxicity is one of the leading causes of attrition in drug development,
and drug-induced retinal toxicity remains an issue in both drug discovery and
postmarketed drugs. Derisking strategies to help with early identification of
retinal injury utilizing a predictive retinal miRNA biomarker would greatly
benefit decision-making in drug discovery programs, ultimately reducing attrition
due to retinal toxicity. Our previous work demonstrated elevation of circulating
retina-enriched miRNAs in a retinal toxicity model. To further validate our
previous observation, 2 additional rat retinal injury models were utilized in
this study: NaIO3-induced retinal injury and laser-induced choroidal
neovascularization (CNV) injury model. Following induction of retina tissue
injuries, circulating miR-183/96/182 cluster (miR-183 cluster), and miR-124 was
investigated, as well as evaluations using an electroretinogram (ERG) and
histopathology analysis. Data revealed that circulating miR-183/96/182 cluster
was significantly increased (2- to 15-fold) compared with baseline/control in
both laser-induced CNV and NaIO3-induced retinal injury models. Moreover, the
severity of the retinal injury evaluated by ERG and histopathology correlated
highly with elevation of these retina-enriched miRNAs in plasma. MiR-124 was also
significantly increased in comparison with baseline/control by ~25-fold
postrepeat-doses of 30 mg/kg NaIO3 treatment. Increased level of these plasma
miRNA biomarkers appeared to be dose- and time-dependent upon NaIO3 or laser
treatment. The results suggest that the retina-enriched miRNAs (miR-183/96/182
cluster and miR-124) could serve as convenient and predictive biomarkers of
retinal toxicity in drug development.
PMID- 27208083
TI - 3,3',4,4',5-Pentachlorobiphenyl (PCB 126) Decreases Hepatic and Systemic Ratios
of Epoxide to Diol Metabolites of Unsaturated Fatty Acids in Male Rats.
AB - Disruption of the homeostasis of oxygenated regulatory lipid mediators
(oxylipins), potential markers of exposure to aryl hydrocarbon receptor (AhR)
agonists, such as 3,3',4,4',5-pentachlorobiphenyl (PCB 126), is associated with a
range of diseases, including nonalcoholic fatty liver disease and nonalcoholic
steatohepatitis. Here we test the hypothesis that PCB 126 exposure alters the
levels of oxylipins in rats. Male Sprague-Dawley rats (5-weeks old) were treated
over a 3-month period every 2 weeks with intraperitoneal injections of PCB 126 in
corn oil (cumulative doses of 0, 19.8, 97.8, and 390 ug/kg b.w.; 6 injections
total). PCB 126 treatment caused a reduction in growth rates at the highest dose
investigated, a dose-dependent decrease in thymus weights, and a dose-dependent
increase in liver weights. Liver PCB 126 levels increased in a dose-dependent
manner, while levels in plasma were below or close to the detection limit. The
ratios of several epoxides to diol metabolites formed via the cytochrome P450
(P450) monooxygenase/soluble epoxide hydrolase (sEH) pathway from polyunsaturated
fatty acids displayed a dose-dependent decrease in the liver and plasma, whereas
levels of oxylipins formed by other metabolic pathways were generally not altered
by PCB 126 treatment. The effects of PCB 126 on epoxide-to-diol ratios were
associated with an increased CYP1A activity in liver microsomes and an increased
sEH activity in liver cytosol and peroxisomes. These results suggest that
oxylipins are potential biomarkers of exposure to PCB 126 and that the P450/sEH
pathway is a therapeutic target for PCB 126-mediated hepatotoxicity that warrants
further attention.
PMID- 27208086
TI - Editor's Highlight: Hydroxyurea Exposure Activates the P53 Signaling Pathway in
Murine Organogenesis-Stage Embryos.
AB - Hydroxyurea, an anticancer agent and potent teratogen, induces oxidative stress
and activates a DNA damage response pathway in the gestation day (GD) 9 mouse
embryo. To delineate the stress response pathways activated by this drug, we
investigated the effect of hydroxyurea exposure on the transcriptome of GD 9
embryos. Timed pregnant CD-1 mice were treated with saline or hydroxyurea (400
mg/kg or 600 mg/kg) on GD 9; embryonic gene and protein expression were examined
3 h later. Microarray analysis revealed that the expression of 1346 probe sets
changed significantly in embryos exposed to hydroxyurea compared with controls;
the P53 signaling pathway was highly affected. In addition, P53 related family
members, P63 and P73, were predicted to be activated and had common and unique
downstream targets. Western blot analysis revealed that active phospho-P53 was
significantly increased in drug-exposed embryos; confocal microscopy showed that
the translocation of phospho-P53 to the nucleus was widespread in the embryo.
Furthermore, qRT-PCR showed that the expression of P53-regulated genes (Cdkn1A,
Fas, and Trp53inp1) was significantly upregulated in hydroxyurea-exposed embryos;
the concentration of the redox sensitive P53INP1 protein was also increased in a
hydroxyurea dose-dependent fashion. Thus, hydroxyurea elicits a significant
effect on the transcriptome of the organogenesis stage murine embryo, activating
several key developmental signaling pathways related to DNA damage and oxidative
stress. We propose that the P53 pathway plays a central role in the embryonic
stress response and the developmental outcome after teratogen exposure.
PMID- 27208085
TI - The Mouse Fetal Ovary Has Greater Sensitivity Than the Fetal Testis to
Benzo[a]pyrene-Induced Germ Cell Death.
AB - The polycyclic aromatic hydrocarbon pollutant benzo[a]pyrene (BaP) is a known
developmental gonadotoxicant. However, the mechanism of BaP-induced germ cell
death is unclear. We investigated whether exposure to BaP induces apoptotic germ
cell death in the mouse fetal ovary or testis. Mouse fetal gonads were dissected
at embryonic day 13.5 days postcoitum (dpc) and fixed immediately or cultured for
6, 24, 48, or 72 h with various concentrations of BaP (1-1000 ng/ml). Germ cells
numbers, apoptosis, and proliferation were evaluated by immunostaining. Treatment
of fetal ovaries with BaP for 72 h concentration-dependently depleted germ cells.
Treatment with BaP elevated the expression of BAX protein at 6 h and activated
downstream caspases-9 and -3 at 24 h in a concentration-dependent manner in germ
cells of fetal ovaries. As a consequence, ovarian germ cell numbers were
significantly and concentration-dependently decreased at 48 h. Pretreatment with
z-VAD-fmk, a pan-caspase inhibitor, prior to exposure to 1000 ng/ml BaP prevented
BaP-mediated ovarian germ cell death; there were no effects of BaP or z-VAD-fmk
on germ cell proliferation. No significant effects of BaP exposure on caspase 3
activation or germ cell numbers were observed in fetal testes after 48 h of
culture. Our findings show that BaP exposure increases caspase-dependent and BAX
associated germ cell apoptosis in the mouse fetal ovary, leading to germ cell
depletion. In contrast, the cultured 13.5 dpc fetal testis is relatively
resistant to BaP-induced germ cell death. This study provides a novel insight
into molecular mechanisms by which BaP has direct gonadotoxicity in the mouse
fetal ovary.
PMID- 27208087
TI - Benzo(a)pyrene Is Mutagenic in Mouse Spermatogonial Stem Cells and Dividing
Spermatogonia.
AB - Although many environmental agents are established male germ cell mutagens, few
are known to induce mutations in spermatogonial stem cells. Stem cell mutations
are of great concern because they result in a permanent increase in the number of
mutations carried in sperm. We investigated mutation induction during mouse
spermatogenesis following exposure to benzo(a)pyrene (BaP). MutaMouse males were
given 0, 12.5, 25, 50, or 100 mg/kg bw/day BaP for 28 days by oral gavage. Germ
cells were collected from the cauda epididymis and seminiferous tubules 3 days
after exposure and from cauda epididymis 42 and 70 days after exposure. This
design enabled targeted investigation of effects on post-spermatogonia, dividing
spermatogonia, and spermatogonial stem cells, respectively. BaP increased lacZ
mutant frequency (MF) in cauda sperm after exposure of dividing spermatogonia
(4.2-fold at highest dose, P < .01) and spermatogonial stem cells (2.1-fold at
highest dose, P < .01). No significant increases in MF were detected in cauda
sperm or seminiferous tubule cells collected 3 days post-exposure. Dose-response
modelling suggested that the mutational response in male germ cells to BaP is sub
linear at low doses. Our results demonstrate that oral exposure to BaP causes
spermatogonial stem cell mutations, that different phases of spermatogenesis
exhibit varying sensitivities to BaP, with dividing spermatogonia representing a
window of peak sensitivity, and that sampling spermatogenic cells from the
seminiferous tubules at earlier time-points may underestimate germ cell
mutagenicity. This information is critical to optimize the use of the
international test guideline for transgenic rodent mutation assays for detecting
germ cell mutagens.
PMID- 27208088
TI - Human Upcyte Hepatocytes: Characterization of the Hepatic Phenotype and
Evaluation for Acute and Long-Term Hepatotoxicity Routine Testing.
AB - The capacity of human hepatic cell-based models to predict hepatotoxicity depends
on the functional performance of cells. The major limitations of human
hepatocytes include the scarce availability and rapid loss of the hepatic
phenotype. Hepatoma cells are readily available and easy to handle, but are
metabolically poor compared with hepatocytes. Recently developed human upcyte
hepatocytes offer the advantage of combining many features of primary hepatocytes
with the unlimited availability of hepatoma cells. We analyzed the phenotype of
upcyte hepatocytes comparatively with HepG2 cells and adult primary human
hepatocytes to characterize their functional features as a differentiated hepatic
cell model. The transcriptomic analysis of liver characteristic genes confirmed
that the upcyte hepatocytes expression profile comes closer to human hepatocytes
than HepG2 cells. CYP activities were measurable and showed a similar response to
prototypical CYP inducers than primary human hepatocytes. Upcyte hepatocytes also
retained conjugating activities and key hepatic functions, e.g. albumin, urea,
lipid and glycogen synthesis, at levels close to hepatocytes. We also
investigated the suitability of this cell model for preclinical hepatotoxicity
risk assessments using multiparametric high-content screening, as well as
transcriptomics and targeted metabolomic analysis. Compounds with well-documented
in vivo hepatotoxicity were screened after acute and repeated doses up to 1 week.
The evaluation of complex mechanisms of cell toxicity, drug-induced steatosis and
oxidative stress biomarkers demonstrated that, by combining the phenotype of
primary human hepatocytes and the ease of handling of HepG2 cells, upcyte
hepatocytes offer suitable properties to be potentially used for toxicological
assessments during drug development.
PMID- 27208089
TI - Bisphenol A-Induced Ovotoxicity Involves DNA Damage Induction to Which the Ovary
Mounts a Protective Response Indicated by Increased Expression of Proteins
Involved in DNA Repair and Xenobiotic Biotransformation.
AB - Bisphenol A (BPA) is an endocrine disrupting chemical with ubiquitous human
exposure. BPA causes primordial follicle loss and DNA damage in germ cells, thus
we hypothesized that BPA induces ovarian DNA damage, thereby precipitating
follicle loss. We also anticipated that the ovary activates DNA repair and
xenobiotic biotransformation to minimize oocyte damage and/or, activate cell
death signaling to deplete follicles. Postnatal day 4 F344 rat ovaries were
cultured in medium containing vehicle control (1% dimethylsulfoxide [DMSO]) +/-
BPA (440 uM) for 2-8 days. BPA reduced (P < 0.05) small primary, large primary
and secondary follicle numbers after 2 days, followed by a reduction (P < .05) in
primordial follicle numbers after 4 days. Phosphorylated H2AX (gammaH2AX) and
Ataxia-telangiectasia mutated (ATM), markers of DNA double-strand breaks, were
increased (P < .05) in abundance prior to observed follicle loss. DNA repair
genes (Atm, Prkdc, Xrcc6, Brca1, Mre11a, Rad50, and Smc1a) were increased (P <
.05) after 1 day of BPA exposure. mRNA encoding Meh, Gstm, c-kit, Kitlg, and Akt
were increased (P < .05), as was MEH, AKT, pAKT, Jun N-terminal kinase, and P53
protein abundance, while GST isoforms pi and Nuclear factor erythroid-related
factor 2 proteins were decreased (P < .05) by BPA exposure. These data
demonstrate the dynamic ovarian response to BPA exposure, which indicates that
BPA, via biotransformation, may be converted to a DNA alkylating agent, causing
ovarian DNA damage, to which the ovary mounts a protective response and further
our knowledge on the biological impacts of BPA on the female germline.
PMID- 27208090
TI - Reply to Svensson: Quantum violations of the pigeonhole principle.
PMID- 27208091
TI - Even quantum pigeons may thrive together.
PMID- 27208092
TI - Neuronal energy-sensing pathway promotes energy balance by modulating disease
tolerance.
AB - The starvation-inducible coactivator cAMP response element binding protein (CREB)
cAMP-regulated transcription coactivator (Crtc) has been shown to promote
starvation resistance in Drosophila by up-regulating CREB target gene expression
in neurons, although the underlying mechanism is unclear. We found that Crtc and
its binding partner CREB enhance energy homeostasis by stimulating the expression
of short neuropeptide F (sNPF), an ortholog of mammalian neuropeptide Y, which we
show here is a direct target of CREB and Crtc. Neuronal sNPF was found to promote
energy homeostasis via gut enterocyte sNPF receptors, which appear to maintain
gut epithelial integrity. Loss of Crtc-sNPF signaling disrupted epithelial tight
junctions, allowing resident gut flora to promote chronic increases in
antimicrobial peptide (AMP) gene expression that compromised energy balance.
Growth on germ-free food reduced AMP gene expression and rescued starvation
sensitivity in Crtc mutant flies. Overexpression of Crtc or sNPF in neurons of
wild-type flies dampens the gut immune response and enhances starvation
resistance. Our results reveal a previously unidentified tolerance defense
strategy involving a brain-gut pathway that maintains homeostasis through its
effects on epithelial integrity.
PMID- 27208094
TI - Medial septal GABAergic projection neurons promote object exploration behavior
and type 2 theta rhythm.
AB - Exploratory drive is one of the most fundamental emotions, of all organisms, that
are evoked by novelty stimulation. Exploratory behavior plays a fundamental role
in motivation, learning, and well-being of organisms. Diverse exploratory
behaviors have been described, although their heterogeneity is not certain
because of the lack of solid experimental evidence for their distinction. Here we
present results demonstrating that different neural mechanisms underlie different
exploratory behaviors. Localized Cav3.1 knockdown in the medial septum (MS)
selectively enhanced object exploration, whereas the null mutant (KO) mice showed
enhanced-object exploration as well as open-field exploration. In MS knockdown
mice, only type 2 hippocampal theta rhythm was enhanced, whereas both type 1 and
type 2 theta rhythm were enhanced in KO mice. This selective effect was
accompanied by markedly increased excitability of septo-hippocampal GABAergic
projection neurons in the MS lacking T-type Ca(2+) channels. Furthermore,
optogenetic activation of the septo-hippocampal GABAergic pathway in WT mice also
selectively enhanced object exploration behavior and type 2 theta rhythm, whereas
inhibition of the same pathway decreased the behavior and the rhythm. These
findings define object exploration distinguished from open-field exploration and
reveal a critical role of T-type Ca(2+) channels in the medial septal GABAergic
projection neurons in this behavior.
PMID- 27208093
TI - Combinatorial effects of odorants on mouse behavior.
AB - The mechanisms by which odors induce instinctive behaviors are largely unknown.
Odor detection in the mouse nose is mediated by >1, 000 different odorant
receptors (ORs) and trace amine-associated receptors (TAARs). Odor perceptions
are encoded combinatorially by ORs and can be altered by slight changes in the
combination of activated receptors. However, the stereotyped nature of
instinctive odor responses suggests the involvement of specific receptors and
genetically programmed neural circuits relatively immune to extraneous odor
stimuli and receptor inputs. Here, we report that, contrary to expectation,
innate odor-induced behaviors can be context-dependent. First, different ligands
for a given TAAR can vary in behavioral effect. Second, when combined, some
attractive and aversive odorants neutralize one another's behavioral effects.
Both a TAAR ligand and a common odorant block aversion to a predator odor,
indicating that this ability is not unique to TAARs and can extend to an aversive
response of potential importance to survival. In vitro testing of single
receptors with binary odorant mixtures indicates that behavioral blocking can
occur without receptor antagonism in the nose. Moreover, genetic ablation of a
single receptor prevents its cognate ligand from blocking predator odor aversion,
indicating that the blocking requires sensory input from the receptor. Together,
these findings indicate that innate odor-induced behaviors can depend on context,
that signals from a single receptor can block innate odor aversion, and that
instinctive behavioral responses to odors can be modulated by interactions in the
brain among signals derived from different receptors.
PMID- 27208095
TI - Thermal Stability of Hepatitis E Virus as Estimated by a Cell Culture Method.
AB - Hepatitis E virus (HEV) is an increasingly recognized zoonotic pathogen.
Transmission is suspected to occur from infected pigs or wild boars to humans
through direct contact, environmental pathways, or contaminated food. However,
the physical and chemical stability of HEV is largely unknown, because suitable
cell culture methods for infectivity measurement are missing. Here, we developed
a titration method using infection of the cell line A549/D3 with HEV genotype 3
strain 47832c and subsequent counting of focus-forming units by
immunofluorescence, which allowed HEV infectivity measurements within a 4-log
dilution range. Long-term storage of HEV in cell culture medium at different
temperatures indicated a phase of rapid virus inactivation, followed by a slower
progression of virus inactivation. Infective HEV was detected up to 21 days at 37
degrees C, up to 28 days at room temperature, and until the end of the experiment
(56 days) with a 2.7-log decrease of infectious virus at 4 degrees C. Heat
treatment for 1 min resulted in moderate decreases of infectivity up to 60
degrees C, 2- to 3.5-log decreases between 65 degrees C and 75 degrees C, and no
remaining virus was detected at temperatures of >=80 degrees C. Heating for 70
degrees C resulted in a 3.6-log decrease after 1.5 min and the absence of
detectable virus (>3.9-log decrease) after 2 min. The data were used to calculate
predictive heat inactivation models for HEV. The results may help estimate HEV
stability in the environment or food. The established method may be used to study
other aspects of HEV stability in the future. IMPORTANCE: In this study, a cell
culture method was developed which allows the measurement of hepatitis E virus
(HEV) infectivity. Using this system, the stability of HEV at different time
temperature combinations was assessed, and a predictive model was established.
The obtained data may help estimate HEV stability in the environment or food,
thus enabling an assessment of the relative risks of HEV infection through
distinct routes and by distinct types of food in the future.
PMID- 27208096
TI - Different Cellular Origins and Functions of Extracellular Proteins from
Escherichia coli O157:H7 and O104:H4 as Determined by Comparative Proteomic
Analysis.
AB - Extracellular proteins play important roles in bacterial interactions with the
environmental matrices. In this study, we examined the extracellular proteins
from Escherichia coli O157:H7 and O104:H4 by tandem mass spectrometry. We
identified 500 and 859 proteins from the growth media of E. coli O157:H7 and
O104:H4, respectively, including 371 proteins common to both strains. Among
proteins that were considered specific to E. coli O157:H7 or present at higher
relative abundances in O157:H7 medium, most (57 of 65) had secretion signal
sequences in their encoding genes. Noticeably, the proteins included locus of
enterocyte effacement (LEE) virulence factors, proteins required for peptidyl
lipoprotein accumulation, and proteins involved in iron scavenging. In contrast,
a much smaller proportion of proteins (37 of 150) that were considered specific
to O104:H4 or presented at higher relative abundances in O104:H4 medium had
signals targeting them for secretion. These proteins included Shiga toxin 2
subunit B and O104:H4 signature proteins, including AAF/1 major fimbrial subunit
and serine protease autotransporters. Most of the abundant proteins from the
growth medium of E. coli O104:H4 were annotated as having functions in the
cytoplasm. We provide evidence that the extensive presence of cytoplasmic
proteins in E. coli O104:H4 growth medium was due to biological processes
independent of cell lysis, indicating alternative mechanisms for this potent
pathogen releasing cytoplasmic contents into the growth milieu, which could play
a role in interaction with the environmental matrices, such as pathogenesis and
biofilm formation. IMPORTANCE: In this study, we compared the extracellular
proteins from two of the most prominent foodborne pathogenic E. coli organisms
that have caused severe outbreaks in the United States and in Europe. E. coli
O157:H7 is a well-studied Shiga toxigenic foodborne pathogen of the
enterohemorrhagic pathotype that has caused numerous outbreaks associated with
various contaminated foods worldwide. E. coli O104:H4 is a newly emerged Shiga
toxigenic foodborne pathogen of the enteroaggregative pathotype that gained
notoriety for causing one of the most deadly foodborne outbreaks in Europe in
2011. Comparison of proteins in the growth medium revealed significant
differences in the compositions of the extracellular proteins for these two
pathogens. These differences may provide valuable information regarding the
cellular responses of these pathogens to their environment, including cell
survival and pathogenesis.
PMID- 27208097
TI - Molecular Epidemiology of Campylobacter coli Strains Isolated from Different
Sources in New Zealand between 2005 and 2014.
AB - Campylobacteriosis is one of the most important foodborne diseases worldwide and
a significant health burden in New Zealand. Campylobacter jejuni is the
predominant species worldwide, accounting for approximately 90% of human cases,
followed by Campylobacter coli Most studies in New Zealand have focused on C.
jejuni; hence, the impact of C. coli strains on human health is not well
understood. The aim of this study was to genotype C. coli isolates collected in
the Manawatu region of New Zealand from clinical cases, fresh poultry meat,
ruminant feces, and environmental water sources, between 2005 and 2014, to study
their population structure and estimate the contribution of each source to the
burden of human disease. Campylobacter isolates were identified by PCR and typed
by multilocus sequence typing. C. coli accounted for 2.9% (n = 47/1,601) of
Campylobacter isolates from human clinical cases, 9.6% (n = 108/1,123) from
poultry, 13.4% (n = 49/364) from ruminants, and 6.4% (n = 11/171) from water.
Molecular subtyping revealed 27 different sequence types (STs), of which 18
belonged to clonal complex ST-828. ST-1581 was the most prevalent C. coli
sequence type isolated from both human cases (n = 12/47) and poultry (n =
44/110). When classified using cladistics, all sequence types belonged to clade 1
except ST-7774, which belonged to clade 2. ST-854, ST-1590, and ST-4009 were
isolated only from human cases and fresh poultry, while ST-3232 was isolated only
from human cases and ruminant sources. Modeling indicated ruminants and poultry
as the main sources of C. coli human infection. IMPORTANCE: We performed a
molecular epidemiological study of Campylobacter coli infection in New Zealand,
one of few such studies globally. This study analyzed the population genetic
structure of the bacterium and included a probabilistic source attribution model
covering different animal and water sources. The results are discussed in a
global context.
PMID- 27208098
TI - A Novel Glycoside Hydrolase Family 5 beta-1,3-1,6-Endoglucanase from
Saccharophagus degradans 2-40T and Its Transglycosylase Activity.
AB - In this study, we characterized Gly5M, originating from a marine bacterium, as a
novel beta-1,3-1,6-endoglucanase in glycoside hydrolase family 5 (GH5) in the
Carbohydrate-Active enZyme database. The gly5M gene encodes Gly5M, a newly
characterized enzyme from GH5 subfamily 47 (GH5_47) in Saccharophagus degradans 2
40(T) The gly5M gene was cloned and overexpressed in Escherichia coli Through
analysis of the enzymatic reaction products by thin-layer chromatography, high
performance liquid chromatography, and matrix-assisted laser desorption
ionization-tandem time of flight mass spectrometry, Gly5M was identified as a
novel beta-1,3-endoglucanase (EC 3.2.1.39) and bacterial beta-1,6-glucanase (EC
3.2.1.75) in GH5. The beta-1,3-endoglucanase and beta-1,6-endoglucanase
activities were detected by using laminarin (a beta-1,3-glucan with beta-1,6
glycosidic linkages derived from brown macroalgae) and pustulan (a beta-1,6
glucan derived from fungal cell walls) as the substrates, respectively. This
enzyme also showed transglycosylase activity toward beta-1,3-oligosaccharides
when laminarioligosaccharides were used as the substrates. Since laminarin is the
major form of glucan storage in brown macroalgae, Gly5M could be used to produce
glucose and laminarioligosaccharides, using brown macroalgae, for industrial
purposes. IMPORTANCE: In this study, we have discovered a novel beta-1,3-1,6
endoglucanase with a unique transglycosylase activity, namely, Gly5M, from a
marine bacterium, Saccharophagus degradans 2-40(T) Gly5M was identified as the
newly found beta-1,3-endoglucanase and bacterial beta-1,6-glucanase in GH5. Gly5M
is capable of cleaving glycosidic linkages of both beta-1,3-glucans and beta-1,6
glucans. Gly5M also possesses a transglycosylase activity toward beta-1,3
oligosacchrides. Due to the broad specificity of Gly5M, this enzyme can be used
to produce glucose or high-value beta-1,3- and/or beta-1,6-oligosaccharides.
PMID- 27208099
TI - Mechanism of Antiactivation at the Pseudomonas sp. Strain ADP sigmaN-Dependent
PatzT Promoter.
AB - PatzT is an internal promoter of the atzRSTUVW operon that directs the synthesis
of AtzT, AtzU, AtzV, and AtzW, components of an ABC-type cyanuric acid transport
system. PatzT is sigma(N) dependent, activated by the general nitrogen control
regulator NtrC with the assistance of protein integration host factor (IHF), and
repressed by the LysR-type transcriptional regulator (LTTR) AtzR. We have used a
variety of in vivo and in vitro gene expression and protein-DNA interaction
assays to assess the mechanisms underlying AtzR-dependent repression of PatzT
Here, we show that repression only occurs when AtzR and NtrC interact
simultaneously with the PatzT promoter region, indicating that AtzR acts as an
antiactivator to antagonize activation by NtrC. Furthermore, repression requires
precise rotational orientation of the AtzR and NtrC binding sites, strongly
suggesting protein-protein interaction between the two proteins on the promoter
region. Further exploration of the antiactivation mechanism showed that although
AtzR-dependent repression occurs prior to open complex formation, AtzR does not
alter the oligomerization state of NtrC or inhibit NtrC ATPase activity when
bound to the PatzT promoter region. Taken together, these results strongly
suggest that PatzT-bound AtzR interacts with NtrC to prevent the coupling of NtrC
mediated ATP hydrolysis with the remodeling of the interactions between E
sigma(N) and PatzT that lead to open complex formation. IMPORTANCE: Here, we
describe a unique mechanism by which the regulatory protein AtzR prevents the
activation of the sigma(N)-dependent promoter PatzT Promoters of this family are
always positively regulated, but there are a few examples of overlapping negative
regulation. The mechanism described here is highly unconventional and involves an
interaction between the repressor and activator proteins to prevent the action of
the repressor protein on the RNA polymerase-promoter complex.
PMID- 27208100
TI - Evidence of Avian and Possum Fecal Contamination in Rainwater Tanks as Determined
by Microbial Source Tracking Approaches.
AB - Avian and possum fecal droppings may negatively impact roof-harvested rainwater
(RHRW) water quality due to the presence of zoonotic pathogens. This study was
aimed at evaluating the performance characteristics of a possum feces-associated
(PSM) marker by screening 210 fecal and wastewater samples from possums (n = 20)
and a range of nonpossum hosts (n = 190) in Southeast Queensland, Australia. The
host sensitivity and specificity of the PSM marker were 0.90 and 0.95 (maximum
value, 1.00), respectively. The mean concentrations of the GFD marker in possum
fecal DNA samples (8.8 * 10(7) gene copies per g of feces) were two orders of
magnitude higher than those in the nonpossum fecal DNA samples (5.0 * 10(5) gene
copies per g of feces). The host sensitivity, specificity, and concentrations of
the avian feces-associated GFD marker were reported in our recent study (W.
Ahmed, V. J. Harwood, K. Nguyen, S. Young, K. Hamilton, and S. Toze, Water Res
88:613-622, 2016, http://dx.doi.org/10.1016/j.watres.2015.10.050). The utility of
the GFD and PSM markers was evaluated by testing a large number of tank water
samples (n = 134) from the Brisbane and Currumbin areas. GFD and PSM markers were
detected in 39 of 134 (29%) and 11 of 134 (8%) tank water samples, respectively.
The GFD marker concentrations in PCR-positive samples ranged from 3.7 * 10(2) to
8.5 * 10(5) gene copies per liter, whereas the concentrations of the PSM marker
ranged from 2.0 * 10(3) to 6.8 * 10(3) gene copies per liter of water. The
results of this study suggest the presence of fecal contamination in tank water
samples from avian and possum hosts. This study has established an association
between the degradation of microbial tank water quality and avian and possum
feces. Based on the results, we recommend disinfection of tank water, especially
for tanks designated for potable use. IMPORTANCE: The use of roof-harvested
rainwater (RHRW) for domestic purposes is a globally accepted practice. The
presence of pathogens in rainwater tanks has been reported by several studies,
supporting the necessity for the management of potential health risks. The
sources of fecal pollution in rainwater tanks are unknown. However, the
application of microbial source tracking (MST) markers has the potential to
identify the sources of fecal contamination in a rainwater tank. In this study,
we provide evidence of avian and possum fecal contamination in tank water samples
using molecular markers. This study established a potential link between the
degradation of the microbial quality of tank water and avian and possum feces.
PMID- 27208101
TI - Gene Expression Patterns of Wood Decay Fungi Postia placenta and Phanerochaete
chrysosporium Are Influenced by Wood Substrate Composition during Degradation.
AB - Identification of the specific genes and enzymes involved in the fungal
degradation of lignocellulosic biomass derived from feedstocks with various
compositions is essential to the development of improved bioenergy processes. In
order to elucidate the effect of substrate composition on gene expression in wood
rotting fungi, we employed microarrays based on the annotated genomes of the
brown- and white-rot fungi, Rhodonia placenta (formerly Postia placenta) and
Phanerochaete chrysosporium, respectively. We monitored the expression of genes
involved in the enzymatic deconstruction of the cell walls of three 4-year-old
Populus trichocarpa (poplar) trees of genotypes with distinct cell wall
chemistries, selected from a population of several hundred trees grown in a
common garden. The woody substrates were incubated with wood decay fungi for 10,
20, and 30 days. An analysis of transcript abundance in all pairwise comparisons
highlighted 64 and 84 differentially expressed genes (>2-fold, P < 0.05) in P.
chrysosporium and P. placenta, respectively. Cross-fungal comparisons also
revealed an array of highly differentially expressed genes (>4-fold, P < 0.01)
across different substrates and time points. These results clearly demonstrate
that gene expression profiles of P. chrysosporium and P. placenta are influenced
by wood substrate composition and the duration of incubation. Many of the
significantly expressed genes encode "proteins of unknown function," and
determining their role in lignocellulose degradation presents opportunities and
challenges for future research. IMPORTANCE: This study describes the variation in
expression patterns of two wood-degrading fungi (brown- and white-rot fungi)
during colonization and incubation on three different naturally occurring poplar
substrates of differing chemical compositions, over time. The results clearly
show that the two fungi respond differentially to their substrates and that
several known and, more interestingly, currently unknown genes are highly
misregulated in response to various substrate compositions. These findings
highlight the need to characterize several unknown proteins for catalytic
function but also as potential candidate proteins to improve the efficiency of
enzymatic cocktails to degrade lignocellulosic substrates in industrial
applications, such as in a biochemically based bioenergy platform.
PMID- 27208102
TI - Aggressiveness Changes in Populations of Didymella pinodes over Winter and Spring
Pea Cropping Seasons.
AB - Ascochyta blight, caused by the necrotrophic ascomycete Didymella pinodes, is
responsible for severe losses in winter and spring pea crops. Despite different
climatic conditions, epidemics on winter and spring crops are due to a single
population of D. pinodes, suggesting gene flow either between the two crops or
from reservoir sources during the cropping season. This should lead to similar
pathogenicity characteristics in isolates sampled from the two crops. However,
these hypotheses have never been formally tested. We therefore sampled a total of
520 D. pinodes strains throughout a growing season from winter and spring pea
plots (WP and SP, respectively) and from winter and spring trap plants (TWP and
TSP). Amplified fragment length polymorphism (AFLP) markers revealed high genetic
diversity within subpopulations, whereas pathogenicity tests showed that mean
aggressiveness increases over the course of an epidemic. These results support
the idea that alloinoculum contributes to the carryover of epidemics between
winter and spring crops and that the most aggressive isolates are selected as an
epidemic progresses. IMPORTANCE: Ascochyta blight, caused by Didymella pinodes,
is responsible for severe losses in pea crops. While previous studies have shown
that ascochyta blight epidemics on winter and spring crops are due to a single
population of D. pinodes, suggesting that isolates from the two crops present
similar pathogenicity characteristics, that hypothesis have never been tested.
Genetic analysis of subpopulations sampled throughout a growing season from
winter and spring pea plots revealed high genetic diversity within
subpopulations, whereas pathogenicity tests showed that mean aggressiveness
increases over the course of an epidemic.
PMID- 27208103
TI - Energetics and Application of Heterotrophy in Acetogenic Bacteria.
AB - Acetogenic bacteria are a diverse group of strictly anaerobic bacteria that
utilize the Wood-Ljungdahl pathway for CO2 fixation and energy conservation.
These microorganisms play an important part in the global carbon cycle and are a
key component of the anaerobic food web. Their most prominent metabolic feature
is autotrophic growth with molecular hydrogen and carbon dioxide as the
substrates. However, most members also show an outstanding metabolic flexibility
for utilizing a vast variety of different substrates. In contrast to autotrophic
growth, which is hardly competitive, metabolic flexibility is seen as a key
ability of acetogens to compete in ecosystems and might explain the almost
ubiquitous distribution of acetogenic bacteria in anoxic environments. This
review covers the latest findings with respect to the heterotrophic metabolism of
acetogenic bacteria, including utilization of carbohydrates, lactate, and
different alcohols, especially in the model acetogen Acetobacterium woodii
Modularity of metabolism, a key concept of pathway design in synthetic biology,
together with electron bifurcation, to overcome energetic barriers, appears to be
the basis for the amazing substrate spectrum. At the same time, acetogens depend
on only a relatively small number of enzymes to expand the substrate spectrum. We
will discuss the energetic advantages of coupling CO2 reduction to fermentations
that exploit otherwise-inaccessible substrates and the ecological advantages, as
well as the biotechnological applications of the heterotrophic metabolism of
acetogens.
PMID- 27208104
TI - Influence of 3-Chloroaniline on the Biofilm Lifestyle of Comamonas testosteroni
and Its Implications on Bioaugmentation.
AB - Bioaugmentation has been frequently proposed in wastewater and soil treatment to
remove toxic aromatic compounds. The performance of bioaugmentation is affected
by a number of biological and environmental factors, including the interaction
between the target pollutant and the augmented bacterial cells. In this study,
using Comamonas testosteroni and 3-chloroaniline (3-CA) as the model organism and
target pollutant, we explored the influence of toxic aromatic pollutants on the
biofilm lifestyle of bacteria capable of degrading aromatic compounds toward a
better understanding of cell-pollutant interaction in bioaugmentation. Our
results showed that the exposure to 3-CA greatly reduced the retention of C.
testosteroni cells in packed-bed bioreactors (from 22% to 15% after three pore
volumes), which could be attributed to the altered bacterial motility and cell
surface hydrophobicity. To further understand the molecular mechanisms, we
employed an integrated genomic and transcriptomic analysis to examine the
influence of 3-CA on the expression of genes important to the biofilm lifestyle
of C. testosteroni We found that exposure to 3-CA reduced the intracellular c-di
GMP level by downregulating the expression of genes encoding c-di-GMP synthases
and induced massive cell dispersal from the biofilms. Our findings provide novel
environmental implications on bioaugmentation, particularly in biofilm reactors,
for the treatment of wastewater containing recalcitrant industrial pollutants.
IMPORTANCE: Bioaugmentation is a bioremediation approach that often has been
described in the literature but has almost never been successfully applied in
practice. Many biological and environmental factors influence the overall
performance of bioaugmentation. Among these, the interaction between the target
pollutant and the augmented bacterial cells is one of the most important factors.
In this study, we revealed the influence of toxic aromatic pollutants on the
biofilm lifestyle of bacteria capable of degrading aromatic compounds toward a
better understanding of cell-pollutant interaction in bioaugmentation. Our
findings provide novel environmental implications on bioaugmentation for the
treatment of wastewater containing recalcitrant industrial pollutants; in
particular, the exposure to toxic pollutants may reduce the retention of
augmented organisms in biofilm reactors by reducing the c-di-GMP level, and
approaches to elevating or maintaining a high c-di-GMP level may be promising to
establish and maintain sustainable bioaugmentation activity.
PMID- 27208105
TI - Exogenous Fatty Acids Protect Enterococcus faecalis from Daptomycin-Induced
Membrane Stress Independently of the Response Regulator LiaR.
AB - Enterococcus faecalis is a commensal bacterium of the gastrointestinal tract that
can cause nosocomial infections in immunocompromised humans. The hallmarks of
this organism are its ability to survive in a variety of stressful habitats and,
in particular, its ability to withstand membrane damage. One strategy used by E.
faecalis to protect itself from membrane-damaging agents, including the
antibiotic daptomycin, involves incorporation of exogenous fatty acids from bile
or serum into the cell membrane. Additionally, the response regulator LiaR (a
member of the LiaFSR [lipid II-interacting antibiotic response regulator and
sensor] system associated with cell envelope stress responses) is required for
the basal level of resistance E. faecalis has to daptomycin-induced membrane
damage. This study aimed to determine if membrane fatty acid changes could
provide protection against membrane stressors in a LiaR-deficient strain of E.
faecalis We noted that despite the loss of LiaR, the organism readily
incorporated exogenous fatty acids into its membrane, and indeed growth in the
presence of exogenous fatty acids increased the survival of LiaR-deficient cells
when challenged with a variety of membrane stressors, including daptomycin.
Combined, our results suggest that E. faecalis can utilize both LiaR-dependent
and -independent mechanisms to protect itself from membrane damage. IMPORTANCE:
Enterococcus faecalis is responsible for a significant number of nosocomial
infections. Worse, many of the antibiotics used to treat E. faecalis infection
are no longer effective, as this organism has developed resistance to them. The
drug daptomycin has been successfully used to treat some of these resistant
strains; however, daptomycin-resistant isolates have been identified in
hospitals. Many daptomycin-resistant isolates are found to harbor mutations in
the genetic locus liaFSR, which is involved in membrane stress responses. Another
mechanism shown to increase tolerance to daptomycin involves the incorporation of
exogenous fatty acids from host fluids like serum or bile. This improved
tolerance was found to be independent of liaFSR and suggests that there are
additional ways to impact sensitivity to daptomycin. Thus, further studies are
needed to understand how host fatty acid sources can influence antibiotic
susceptibility.
PMID- 27208106
TI - A Highly Thermostable Kanamycin Resistance Marker Expands the Tool Kit for
Genetic Manipulation of Caldicellulosiruptor bescii.
AB - Caldicellulosiruptor bescii, an anaerobic Gram-positive bacterium with an optimal
growth temperature of 78 degrees C, is the most thermophilic cellulose degrader
known. It is of great biotechnological interest, as it efficiently deconstructs
nonpretreated lignocellulosic plant biomass. Currently, its genetic manipulation
relies on a mutant uracil auxotrophic background strain that contains a random
deletion in the pyrF genome region. The pyrF gene serves as a genetic marker to
select for uracil prototrophy, and it can also be counterselected for loss via
resistance to the compound 5-fluoroorotic acid (5-FOA). To expand the C. bescii
genetic tool kit, kanamycin resistance was developed as a selection for genetic
manipulation. A codon-optimized version of the highly thermostable kanamycin
resistance gene (named Cbhtk) allowed the use of kanamycin selection to obtain
transformants of either replicating or integrating vector constructs in C. bescii
These strains showed resistance to kanamycin at concentrations >50 MUg . ml(-1),
whereas wild-type C. bescii was sensitive to kanamycin at 10 MUg . ml(-1) In
addition, placement of the Cbhtk marker between homologous recombination regions
in an integrating vector allowed direct selection of a chromosomal mutation using
both kanamycin and 5-FOA. Furthermore, the use of kanamycin selection enabled the
targeted deletion of the pyrE gene in wild-type C. bescii, generating a uracil
auxotrophic genetic background strain resistant to 5-FOA. The pyrE gene
functioned as a counterselectable marker, like pyrF, and was used together with
Cbhtk in the DeltapyrE background strain to delete genes encoding lactate
dehydrogenase and the CbeI restriction enzyme. IMPORTANCE: Caldicellulosiruptor
bescii is a thermophilic anaerobic bacterium with an optimal growth temperature
of 78 degrees C, and it has the ability to efficiently deconstruct nonpretreated
lignocellulosic plant biomass. It is, therefore, of biotechnological interest for
genetic engineering applications geared toward biofuel production. The current
genetic system used with C. bescii is based upon only a single selection
strategy, and this uses the gene involved in a primary biosynthetic pathway.
There are many advantages with an additional genetic selection using an
antibiotic. This presents a challenge for thermophilic microorganisms, as only a
limited number of antibiotics are stable above 50 degrees C, and a thermostable
version of the enzyme conferring antibiotic resistance must be obtained. In this
work, we have developed a selection system for C. bescii using the antibiotic
kanamycin and have shown that, in combination with the biosynthetic gene marker,
it can be used to efficiently delete genes in this organism.
PMID- 27208107
TI - Nitrogen and Oxygen Isotope Effects of Ammonia Oxidation by Thermophilic
Thaumarchaeota from a Geothermal Water Stream.
AB - Ammonia oxidation regulates the balance of reduced and oxidized nitrogen pools in
nature. Although ammonia-oxidizing archaea have been recently recognized to often
outnumber ammonia-oxidizing bacteria in various environments, the contribution of
ammonia-oxidizing archaea is still uncertain due to difficulties in the in situ
quantification of ammonia oxidation activity. Nitrogen and oxygen isotope ratios
of nitrite (delta(15)NNO2- and delta(18)ONO2-, respectively) are geochemical
tracers for evaluating the sources and the in situ rate of nitrite turnover
determined from the activities of nitrification and denitrification; however, the
isotope ratios of nitrite from archaeal ammonia oxidation have been characterized
only for a few marine species. We first report the isotope effects of ammonia
oxidation at 70 degrees C by thermophilic Thaumarchaeota populations composed
almost entirely of "Candidatus Nitrosocaldus." The nitrogen isotope effect of
ammonia oxidation varied with ambient pH (250/00 to 320/00) and strongly suggests
the oxidation of ammonia, not ammonium. The delta(18)O value of nitrite produced
from ammonia oxidation varied with the delta(18)O value of water in the medium
but was lower than the isotopic equilibrium value in water. Because experiments
have shown that the half-life of abiotic oxygen isotope exchange between nitrite
and water is longer than 33 h at 70 degrees C and pH >=6.6, the rate of ammonia
oxidation by thermophilic Thaumarchaeota could be estimated using delta(18)ONO2-
in geothermal environments, where the biological nitrite turnover is likely
faster than 33 h. This study extended the range of application of nitrite
isotopes as a geochemical clock of the ammonia oxidation activity to high
temperature environments. IMPORTANCE: Because ammonia oxidation is generally the
rate-limiting step in nitrification that regulates the balance of reduced and
oxidized nitrogen pools in nature, it is important to understand the biological
and environmental factors underlying the regulation of the rate of ammonia
oxidation. The discovery of ammonia-oxidizing archaea (AOA) in marine and
terrestrial environments has transformed the concept that ammonia oxidation is
operated only by bacterial species, suggesting that AOA play a significant role
in the global nitrogen cycle. However, the archaeal contribution to ammonia
oxidation in the global biosphere is not yet completely understood. This study
successfully identified key factors controlling nitrogen and oxygen isotopic
ratios of nitrite produced from thermophilic Thaumarchaeota and elucidated the
applicability and its limit of nitrite isotopes as a geochemical clock of ammonia
oxidation rate in nature. Oxygen isotope analysis in this study also provided new
biochemical information on archaeal ammonia oxidation.
PMID- 27208108
TI - Unusual Butane- and Pentanetriol-Based Tetraether Lipids in Methanomassiliicoccus
luminyensis, a Representative of the Seventh Order of Methanogens.
AB - A new clade of archaea has recently been proposed to constitute the seventh
methanogenic order, the Methanomassiliicoccales, which is related to the
Thermoplasmatales and the uncultivated archaeal clades deep-sea hydrothermal vent
Euryarchaeota group 2 and marine group II Euryarchaeota but only distantly
related to other methanogens. In this study, we investigated the membrane lipid
composition of Methanomassiliicoccus luminyensis, the sole cultured
representative of this seventh order. The lipid inventory of M. luminyensis
comprises a unique assemblage of novel lipids as well as lipids otherwise typical
for thermophilic, methanogenic, or halophilic archaea. For instance, glycerol
sesterpanyl-phytanyl diether core lipids found mainly in halophilic archaea were
detected, and so were compounds bearing either heptose or methoxylated glycosidic
head groups, neither of which have been reported so far for other archaea. The
absence of quinones or methanophenazines is consistent with a biochemistry of
methanogenesis different from that of the methanophenazine-containing
methylotrophic methanogens. The most distinctive characteristic of the membrane
lipid composition of M. luminyensis, however, is the presence of tetraether
lipids in which one glycerol backbone is replaced by either butane- or
pentanetriol, i.e., lipids recently discovered in marine sediments. Butanetriol
dibiphytanyl glycerol tetraether (BDGT) constitutes the most abundant core lipid
type (>50% relative abundance) in M. luminyensis We have thus identified a source
for these unusual orphan lipids. The complementary analysis of diverse marine
sediment samples showed that BDGTs are widespread in anoxic layers, suggesting an
environmental significance of Methanomassiliicoccales and/or related BDGT
producers beyond gastrointestinal tracts. IMPORTANCE: Cellular membranes of
members of all three domains of life, Archaea, Bacteria, and Eukarya, are largely
formed by lipids in which glycerol serves as backbone for the hydrophobic alkyl
chains. Recently, however, archaeal tetraether lipids with either butanetriol or
pentanetriol as a backbone were identified in marine sediments and attributed to
uncultured sediment-dwelling archaea. Here we show that the butanetriol-based
dibiphytanyl tetraethers constitute the major lipids in Methanomassiliicoccus
luminyensis, currently the only isolate of the novel seventh order of
methanogens. Given the absence of these lipids in a large set of archaeal
isolates, these compounds may be diagnostic for the Methanomassiliicoccales
and/or closely related archaea.
PMID- 27208109
TI - Analyses of Short-Term Antagonistic Evolution of Pseudomonas aeruginosa Strain
PAO1 and Phage KPP22 (Myoviridae Family, PB1-Like Virus Genus).
AB - Pseudomonas aeruginosa causes serious intractable infections in humans and
animals. Bacteriophage (phage) therapy has been applied to treat P. aeruginosa
infections, and phages belonging to the PB1-like virus genus in the Myoviridae
family have been used as therapeutic phages. To achieve safer and more effective
phage therapy, the use of preadapted phages is proposed. To understand in detail
such phage preadaptation, the short-term antagonistic evolution of bacteria and
phages should be studied. In this study, the short-term antagonistic evolution of
bacteria and PB1-like phage was examined by studying phage-resistant clones of P.
aeruginosa strain PAO1 and mutant PB1-like phages that had recovered their
infectivity. First, phage KPP22 was isolated and characterized; it was classified
as belonging to the PB1-like virus genus in the Myoviridae family. Subsequently,
three KPP22-resistant PAO1 clones and three KPP22 mutant phages capable of
infecting these clones were isolated in three sets of in vitro experiments. It
was shown that the bacterial resistance to phage KPP22 was caused by significant
decreases in phage adsorption and that the improved infectivity of KPP22 mutant
phages was caused by significant increases in phage adsorption. The KPP22
resistant PAO1 clones and the KPP22 mutant phages were then analyzed genetically.
All three KPP22-resistant PAO1 clones, which were deficient for the O5 antigen,
had a common nonsense mutation in the wzy gene. All the KPP22 mutant phage
genomes showed the same four missense mutations in the open reading frames
orf060, orf065, and orf086 The information obtained in this study should be
useful for further development of safe and efficient phage therapy. IMPORTANCE:
Pseudomonas aeruginosa causes serious intractable infections in humans and
animals; bacteriophage (phage) therapy has been utilized to treat P. aeruginosa
infections, and phages that belong to the PB1-like virus genus in the family
Myoviridae have been used as therapeutic phages. The preadapted phage is trained
in advance through the antagonistic evolution of bacteria and phage and is
proposed to be used to achieve safer and more effective phage therapy. In this
study, to understand the phage preadaptation, the in vitro short-term
antagonistic evolution was studied using P. aeruginosa strain PAO1 and the newly
isolated PB1-like phage KPP22. Phage KPP22 was characterized, and the molecular
framework regarding the phage preadaptation of KPP22 was elucidated. The
importance of study of antagonistic evolution of bacteria and phage in phage
therapy is discussed.
PMID- 27208110
TI - Response of Vibrio cholerae to Low-Temperature Shifts: CspV Regulation of Type VI
Secretion, Biofilm Formation, and Association with Zooplankton.
AB - The ability to sense and adapt to temperature fluctuation is critical to the
aquatic survival, transmission, and infectivity of Vibrio cholerae, the causative
agent of the disease cholera. Little information is available on the
physiological changes that occur when V. cholerae experiences temperature shifts.
The genome-wide transcriptional profile of V. cholerae upon a shift in human body
temperature (37 degrees C) to lower temperatures, 15 degrees C and 25 degrees C,
which mimic those found in the aquatic environment, was determined.
Differentially expressed genes included those involved in the cold shock
response, biofilm formation, type VI secretion, and virulence. Analysis of a
mutant lacking the cold shock gene cspV, which was upregulated >50-fold upon a
low-temperature shift, revealed that it regulates genes involved in biofilm
formation and type VI secretion. CspV controls biofilm formation through
modulation of the second messenger cyclic diguanylate and regulates type VI
mediated interspecies killing in a temperature-dependent manner. Furthermore, a
strain lacking cspV had significant defects for attachment and type VI-mediated
killing on the surface of the aquatic crustacean Daphnia magna Collectively,
these studies reveal that cspV is a major regulator of the temperature downshift
response and plays an important role in controlling cellular processes crucial to
the infectious cycle of V. cholerae IMPORTANCE: Little is known about how human
pathogens respond and adapt to ever-changing parameters of natural habitats
outside the human host and how environmental adaptation alters dissemination.
Vibrio cholerae, the causative agent of the severe diarrheal disease cholera,
experiences fluctuations in temperature in its natural aquatic habitats and
during the infection process. Furthermore, temperature is a critical
environmental signal governing the occurrence of V. cholerae and cholera
outbreaks. In this study, we showed that V. cholerae reprograms its transcriptome
in response to fluctuations in temperature, which results in changes to biofilm
formation and type VI secretion system activation. These processes in turn impact
environmental survival and the virulence potential of this pathogen.
PMID- 27208111
TI - Unique Microbial Phylotypes in Namib Desert Dune and Gravel Plain Fairy Circle
Soils.
AB - Fairy circles (FCs) are barren circular patches of soil surrounded by grass
species. Their origin is poorly understood. FCs feature in both the gravel plains
and the dune fields of the Namib Desert. While a substantial number of hypotheses
to explain the origin and/or maintenance of fairy circles have been presented,
none are completely consistent with either their properties or their
distribution. In this study, we investigated the hypothesis that FC formation in
dunes and gravel plains is due to microbial phytopathogenesis. Surface soils from
five gravel plain and five dune FCs, together with control soil samples, were
analyzed using high-throughput sequencing of bacterial/archaeal (16S rRNA gene)
and fungal (internal transcribed spacer [ITS] region) phylogenetic markers. Our
analyses showed that gravel plain and dune FC microbial communities are
phylogenetically distinct and that FC communities differ from those of adjacent
vegetated soils. Furthermore, various soil physicochemical properties,
particularly the pH, the Ca, P, Na, and SO4 contents, the soil particle size, and
the percentage of carbon, significantly influenced the compositions of dune and
gravel plain FC microbial communities, but none were found to segregate FC and
vegetated soil communities. Nevertheless, 9 bacterial, 1 archaeal, and 57 fungal
phylotypes were identified as FC specific, since they were present within the
gravel plain and dune FC soils only, not in the vegetated soils. Some of these FC
specific phylotypes were assigned to taxa known to harbor phytopathogenic
microorganisms. This suggests that these FC-specific microbial taxa may be
involved in the formation and/or maintenance of Namib Desert FCs. IMPORTANCE:
Fairy circles (FCs) are mysterious barren circular patches of soil found within a
grass matrix in the dune fields and gravel plains of the Namib Desert. Various
hypotheses attempting to explain this phenomenon have been proposed. To date,
however, none have been successful in fully explaining the etiology of FCs,
particularly since gravel plain FCs have been largely ignored. In this study, we
investigated the hypothesis that microorganisms could be involved in the FC
phenomenon through phytopathogenesis. We show that the microbial communities in
FC and control vegetated soil samples were significantly different. Furthermore,
we detected 67 FC-specific microbial phylotypes, i.e., phylotypes present solely
in both gravel plain and dune FC soils, some of which were closely related to
known phytopathogens. Our results, therefore, demonstrate that microorganisms may
play a role in the formation and/or maintenance of Namib Desert FCs, possibly via
phytopathogenic activities.
PMID- 27208113
TI - Novel P450nor Gene Detection Assay Used To Characterize the Prevalence and
Diversity of Soil Fungal Denitrifiers.
AB - Denitrifying fungi produce nitrous oxide (N2O), a potent greenhouse gas, as they
generally lack the ability to convert N2O to dinitrogen. Contrary to the case for
bacterial denitrifiers, the prevalence and diversity of denitrifying fungi found
in the environment are not well characterized. In this study, denitrifying fungi
were isolated from various soil ecosystems, and novel PCR primers targeting the
P450nor gene, encoding the enzyme responsible for the conversion of nitric oxide
to N2O, were developed, validated, and used to study the diversity of cultivable
fungal denitrifiers. This PCR assay was also used to detect P450nor genes
directly from environmental soil samples. Fungal denitrification capabilities
were further validated using an N2O gas detection assay and a PCR assay targeting
the nirK gene. A collection of 492 facultative anaerobic fungi was isolated from
15 soil ecosystems and taxonomically identified by sequencing the internal
transcribed spacer sequence. Twenty-seven fungal denitrifiers belonging to 10
genera had the P450nor and the nirK genes and produced N2O from nitrite. N2O
production is reported in strains not commonly known as denitrifiers, such as
Byssochlamys nivea, Volutella ciliata, Chloridium spp., and Trichocladium spp.
The prevalence of fungal denitrifiers did not follow a soil ecosystem
distribution; however, a higher diversity was observed in compost and
agricultural soils. The phylogenetic trees constructed using partial P450nor and
nirK gene sequences revealed that both genes clustered taxonomically closely
related strains together. IMPORTANCE: A PCR assay targeting the P450nor gene
involved in fungal denitrification was developed and validated. The newly
developed P450nor primers were used on fungal DNA extracted from a collection of
fungi isolated from various soil environments and on DNA directly extracted from
soil. The results indicated that approximatively 25% of all isolated fungi
possessed this gene and were able to convert nitrite to N2O. All soil samples
from which denitrifying fungi were isolated also tested positive for the presence
of P450nor The P450nor gene detection assay was reliable in detecting a large
diversity of fungal denitrifiers. Due to the lack of homology existing between
P450nor and bacterial denitrification genes, it is expected that this assay will
become a tool of choice for studying fungal denitrifiers.
PMID- 27208112
TI - Resilience in the Face of Uncertainty: Sigma Factor B Fine-Tunes Gene Expression
To Support Homeostasis in Gram-Positive Bacteria.
AB - Gram-positive bacteria are ubiquitous and diverse microorganisms that can survive
and sometimes even thrive in continuously changing environments. The key to such
resilience is the ability of members of a population to respond and adjust to
dynamic conditions in the environment. In bacteria, such responses and
adjustments are mediated, at least in part, through appropriate changes in the
bacterial transcriptome in response to the conditions encountered. Resilience is
important for bacterial survival in diverse, complex, and rapidly changing
environments and requires coordinated networks that integrate individual,
mechanistic responses to environmental cues to enable overall metabolic
homeostasis. In many Gram-positive bacteria, a key transcriptional regulator of
the response to changing environmental conditions is the alternative sigma factor
sigma(B) sigma(B) has been characterized in a subset of Gram-positive bacteria,
including the genera Bacillus, Listeria, and Staphylococcus Recent insight from
next-generation-sequencing results indicates that sigma(B)-dependent regulation
of gene expression contributes to resilience, i.e., the coordination of complex
networks responsive to environmental changes. This review explores contributions
of sigma(B) to resilience in Bacillus, Listeria, and Staphylococcus and
illustrates recently described regulatory functions of sigma(B).
PMID- 27208114
TI - Transcriptomes of the Extremely Thermoacidophilic Archaeon Metallosphaera sedula
Exposed to Metal "Shock" Reveal Generic and Specific Metal Responses.
AB - The extremely thermoacidophilic archaeon Metallosphaera sedula mobilizes metals
by novel membrane-associated oxidase clusters and, consequently, requires metal
resistance strategies. This issue was examined by "shocking" M. sedula with
representative metals (Co(2+), Cu(2+), Ni(2+), UO2 (2+), Zn(2+)) at inhibitory
and subinhibitory levels. Collectively, one-quarter of the genome (554 open
reading frames [ORFs]) responded to inhibitory levels, and two-thirds (354) of
the ORFs were responsive to a single metal. Cu(2+) (259 ORFs, 106 Cu(2+)-specific
ORFs) and Zn(2+) (262 ORFs, 131 Zn(2+)-specific ORFs) triggered the largest
responses, followed by UO2 (2+) (187 ORFs, 91 UO2 (2+)-specific ORFs), Ni(2+) (93
ORFs, 25 Ni(2+)-specific ORFs), and Co(2+) (61 ORFs, 1 Co(2+)-specific ORF).
While one-third of the metal-responsive ORFs are annotated as encoding
hypothetical proteins, metal challenge also impacted ORFs responsible for
identifiable processes related to the cell cycle, DNA repair, and oxidative
stress. Surprisingly, there were only 30 ORFs that responded to at least four
metals, and 10 of these responded to all five metals. This core transcriptome
indicated induction of Fe-S cluster assembly (Msed_1656-Msed_1657),
tungsten/molybdenum transport (Msed_1780-Msed_1781), and decreased central
metabolism. Not surprisingly, a metal-translocating P-type ATPase (Msed_0490)
associated with a copper resistance system (Cop) was upregulated in response to
Cu(2+) (6-fold) but also in response to UO2 (2+) (4-fold) and Zn(2+) (9-fold).
Cu(2+) challenge uniquely induced assimilatory sulfur metabolism for cysteine
biosynthesis, suggesting a role for this amino acid in Cu(2+) resistance or
issues in sulfur metabolism. The results indicate that M. sedula employs a range
of physiological and biochemical responses to metal challenge, many of which are
specific to a single metal and involve proteins with yet unassigned or definitive
functions. IMPORTANCE: The mechanisms by which extremely thermoacidophilic
archaea resist and are negatively impacted by metals encountered in their natural
environments are important to understand so that technologies such as
bioleaching, which leverage microbially based conversion of insoluble metal
sulfides to soluble species, can be improved. Transcriptomic analysis of the
cellular response to metal challenge provided both global and specific insights
into how these novel microorganisms negotiate metal toxicity in natural and
technological settings. As genetics tools are further developed and implemented
for extreme thermoacidophiles, information about metal toxicity and resistance
can be leveraged to create metabolically engineered strains with improved
bioleaching characteristics.
PMID- 27208115
TI - Pichia pastoris Exhibits High Viability and a Low Maintenance Energy Requirement
at Near-Zero Specific Growth Rates.
AB - The yeast Pichia pastoris is a widely used host for recombinant protein
production. Understanding its physiology at extremely low growth rates is a first
step in the direction of decoupling product formation from cellular growth and
therefore of biotechnological relevance. Retentostat cultivation is an excellent
tool for studying microbes at extremely low specific growth rates but has so far
not been implemented for P. pastoris Retentostat feeding regimes were based on
the maintenance energy requirement (mS) and maximum biomass yield on glucose (YX
/S (max)) estimated from steady-state glucose-limited chemostat cultures. Aerobic
retentostat cultivation enabled reproducible, smooth transitions from a specific
growth rate (MU) of 0.025 h(-1) to near-zero specific growth rates (MU < 0.001 h(
1)). At these near-zero specific growth rates, viability remained at least 97%.
The value of mS at near-zero growth rates was 3.1 +/- 0.1 mg glucose per g
biomass and h, which was 3-fold lower than the mS estimated from faster-growing
chemostat cultures. This difference indicated that P. pastoris reduces its
maintenance energy requirement at extremely low MU, a phenomenon not previously
observed in eukaryotes. Intracellular levels of glycogen and trehalose increased,
while MU progressively declined during retentostat cultivation. Transcriptional
reprogramming toward zero growth included the upregulation of many transcription
factors as well as stress-related genes and the downregulation of cell cycle
genes. This study underlines the relevance of comparative analysis of maintenance
energy metabolism, which has an important impact on large-scale industrial
processes. IMPORTANCE: The yeast Pichia pastoris naturally lives on trees and can
utilize different carbon sources, among them glucose, glycerol, and methanol. In
biotechnology, it is widely used for the production of recombinant proteins. For
both the understanding of life in its natural habitat and optimized production
processes, a better understanding of cell physiology at an extremely low growth
rate would be of extraordinary value. Therefore, we have grown P. pastoris in a
retentostat, which allows the cultivation of metabolically active cells even at
zero growth. Here we reached doubling times as long as 38 days and found that P.
pastoris decreases its maintenance energy demand 3-fold during very slow growth,
which enables it to survive with a much lower substrate supply than baker's
yeast.
PMID- 27208117
TI - Contribution of Anammox to Nitrogen Removal in Two Temperate Forest Soils.
AB - Anaerobic ammonium oxidation with nitrite reduction to dinitrogen (termed
anammox) has been reported to be an important process for removing fixed nitrogen
(N) in marine ecosystems and in some agricultural and wetland soils. However, its
importance in upland forest soils has never been quantified. In this study, we
evaluated the occurrence of anammox activity in two temperate forest soils
collected from northeastern China. With (15)N-labeled NO3 (-) incubation, we
found that the combined potential of the N2 production rates of anammox and
codenitrification ranged from 0.01 +/- 0.01 to 1.2 +/- 0.18 nmol N per gram of
soil per hour, contributing 0.5% to 14.4% of the total N2 production along the
soil profile. Denitrification was the main pathway of N2 production and accounted
for 85.6% to 99.5% of the total N2 production. Further labeling experiments with
(15)NH4 (+) and (15)NO2 (-) indicated that codenitrification was present in the
mixed forest soil. Codenitrification and anammox accounted for 2% to 12% and 1%
to 7% of the total N2 production, respectively. Two anammox species, "Candidatus
Brocadia fulgida" and "Candidatus Jettenia asiatica," were detected in this study
but in very low abundance (as indicated by the hzsB gene). Our results
demonstrated that the anammox process occurs in forest soils, but the
contribution to N2 loss might be low in these ecosystems. More research is
necessary to determine the activities of different N2 releasing pathways in
different forest soils. IMPORTANCE: In this study, we examined the anammox
activity in temperate upland forest soils using the (15)N isotope technique. We
found that the anammox process contributed little to the N2 production rate in
the studied forest soil. Two anammox organisms, "Candidatus Brocadia fulgida" and
"Candidatus Jettenia asiatica," were detected. In addition, we found that
codenitrification was another N2 production pathway in forest soils. Our results
could contribute to the understanding of soil gaseous N losses and microbial
controls in forest soils.
PMID- 27208116
TI - Loss of SigB in Listeria monocytogenes Strains EGD-e and 10403S Confers
Hyperresistance to Hydrogen Peroxide in Stationary Phase under Aerobic
Conditions.
AB - SigB is the main stress gene regulator in Listeria monocytogenes affecting the
expression of more than 150 genes and thus contributing to multiple-stress
resistance. Despite its clear role in most stresses, its role in oxidative stress
is uncertain, as results accompanying the loss of sigB range from hyperresistance
to hypersensitivity. Previously, these differences have been attributed to strain
variation. In this study, we show conclusively that unlike for all other
stresses, loss of sigB results in hyperresistance to H2O2 (more than 8 log CFU
ml(-1) compared to the wild type) in aerobically grown stationary-phase cultures
of L. monocytogenes strains 10403S and EGD-e. Furthermore, growth at 30 degrees C
resulted in higher resistance to oxidative stress than that at 37 degrees C.
Oxidative stress resistance seemed to be higher with higher levels of oxygen.
Under anaerobic conditions, the loss of SigB in 10403S did not affect survival
against H2O2, while in EGD-e, it resulted in a sensitive phenotype. During
exponential phase, minor differences occurred, and this result was expected due
to the absence of sigB transcription. Catalase tests were performed under all
conditions, and stronger catalase results corresponded well with a higher
survival rate, underpinning the important role of catalase in this phenotype.
Furthermore, we assessed the catalase activity in protein lysates, which
corresponded with the catalase tests and survival. In addition, reverse
transcription-PCR (RT-PCR) showed no differences in transcription between the
wild type and the DeltasigB mutant in various oxidative stress genes. Further
investigation of the molecular mechanism behind this phenotype and its possible
consequences for the overall phenotype of L. monocytogenes are under way.
IMPORTANCE: SigB is the most important stress gene regulator in L. monocytogenes
and other Gram-positive bacteria. Its increased expression during stationary
phase results in resistance to multiple stresses. However, despite its important
role in general stress resistance, its expression is detrimental for the cell in
the presence of oxidative stress, as it promotes hypersensitivity against
hydrogen peroxide. This peculiar phenotype is an important element of the
physiology of L. monocytogenes, and it might help us explain the behavior of this
organism in environments where oxidative stress is present.
PMID- 27208118
TI - Potential Mechanisms for Microbial Energy Acquisition in Oxic Deep-Sea Sediments.
AB - The South Pacific Gyre (SPG) possesses the lowest rates of sedimentation, surface
chlorophyll concentration, and primary productivity in the global oceans. As a
direct result, deep-sea sediments are thin and contain small amounts of labile
organic carbon. It was recently shown that the entire SPG sediment column is
oxygenated and may be representative of up to a third of the global marine
environment. To understand the microbial processes that contribute to the removal
of the labile organic matter at the water-sediment interface, a sediment sample
was collected and subjected to metagenomic sequencing and analyses. Analysis of
nine partially reconstructed environmental genomes, which represent approximately
one-third of the microbial community, revealed that the members of the SPG
surface sediment microbial community are phylogenetically distinct from
surface/upper-ocean organisms. These genomes represent a wide distribution of
novel organisms, including deep-branching Alphaproteobacteria, two novel
organisms within the Proteobacteria, and new members of the Nitrospirae,
Nitrospinae, and candidate phylum NC10. These genomes contain evidence for
microbially mediated metal (iron/manganese) oxidation and carbon fixation linked
to nitrification. Additionally, despite hypothesized energy limitation, members
of the SPG microbial community had motility and chemotaxis genes and possessed
mechanisms for the degradation of high-molecular-weight organic matter. This
study contributes to our understanding of the metabolic potential of
microorganisms in deep-sea oligotrophic sediments and their impact on local
carbon geochemistry. IMPORTANCE: This research provides insight into the
microbial metabolic potential of organisms inhabiting oxygenated deep-sea marine
sediments. Current estimates suggest that these environments account for up to a
third of the global marine sediment habitat. Nine novel deep-sea microbial
genomes were reconstructed from a metagenomic data set and expand the limited
number of environmental genomes from deep-sea sediment environments. This
research provides phylogeny-linked insight into critical metabolisms, including
carbon fixation associated with nitrification, which is assignable to members of
the marine group 1 Thaumarchaeota, Nitrospinae, and Nitrospirae and neutrophilic
metal (iron/manganese) oxidation assignable to a novel proteobacterium.
PMID- 27208119
TI - Dynamics of Biofilm Regrowth in Drinking Water Distribution Systems.
AB - The majority of biomass within water distribution systems is in the form of
attached biofilm. This is known to be central to drinking water quality
degradation following treatment, yet little understanding of the dynamics of
these highly heterogeneous communities exists. This paper presents original
information on such dynamics, with findings demonstrating patterns of material
accumulation, seasonality, and influential factors. Rigorous flushing operations
repeated over a 1-year period on an operational chlorinated system in the United
Kingdom are presented here. Intensive monitoring and sampling were undertaken,
including time-series turbidity and detailed microbial analysis using 16S rRNA
Illumina MiSeq sequencing. The results show that bacterial dynamics were
influenced by differences in the supplied water and by the material remaining
attached to the pipe wall following flushing. Turbidity, metals, and phosphate
were the main factors correlated with the distribution of bacteria in the
samples. Coupled with the lack of inhibition of biofilm development due to
residual chlorine, this suggests that limiting inorganic nutrients, rather than
organic carbon, might be a viable component in treatment strategies to manage
biofilms. The research also showed that repeat flushing exerted beneficial
selective pressure, giving another reason for flushing being a viable
advantageous biofilm management option. This work advances our understanding of
microbiological processes in drinking water distribution systems and helps inform
strategies to optimize asset performance. IMPORTANCE: This research provides
novel information regarding the dynamics of biofilm formation in real drinking
water distribution systems made of different materials. This new knowledge on
microbiological process in water supply systems can be used to optimize the
performance of the distribution network and to guarantee safe and good-quality
drinking water to consumers.
PMID- 27208120
TI - Fermented Milk Containing Lactobacillus casei Strain Shirota Preserves the
Diversity of the Gut Microbiota and Relieves Abdominal Dysfunction in Healthy
Medical Students Exposed to Academic Stress.
AB - Stress-induced abdominal dysfunction is an attractive target for probiotics. To
investigate the effects of the probiotic Lactobacillus casei strain Shirota on
abdominal dysfunction, a double-blind, placebo-controlled trial was conducted
with healthy medical students undertaking an authorized nationwide examination
for academic advancement. For 8 weeks, until the day before the examination, 23
and 24 subjects consumed an L. casei strain Shirota-fermented milk and a placebo
milk daily, respectively. In addition to assessments of abdominal symptoms,
psychophysical state, and salivary stress markers, gene expression changes in
peripheral blood leukocytes and composition of the gut microbiota were analyzed
using DNA microarray analysis and 16S rRNA gene amplicon sequence analysis,
respectively, before and after the intervention. Stress-induced increases in a
visual analog scale measuring feelings of stress, the total score of abdominal
dysfunction, and the number of genes with changes in expression of more than 2
fold in leukocytes were significantly suppressed in the L. casei strain Shirota
group compared with those in the placebo group. A significant increase in
salivary cortisol levels before the examination was observed only in the placebo
group. The administration of L. casei strain Shirota, but not placebo,
significantly reduced gastrointestinal symptoms. Moreover, 16S rRNA gene amplicon
sequencing demonstrated that the L. casei strain Shirota group had significantly
higher numbers of species, a marker of the alpha-diversity index, in their gut
microbiota and a significantly lower percentage of Bacteroidaceae than the
placebo group. Our findings indicate that the daily consumption of probiotics,
such as L. casei strain Shirota, preserves the diversity of the gut microbiota
and may relieve stress-associated responses of abdominal dysfunction in healthy
subjects exposed to stressful situations. IMPORTANCE: A novel clinical trial was
conducted with healthy medical students under examination stress conditions. It
was demonstrated that the daily consumption of lactic acid bacteria provided
health benefits to prevent the onset of stress-associated abdominal symptoms and
a good change of gut microbiota in healthy medical students.
PMID- 27208121
TI - Culturing Synechocystis sp. Strain PCC 6803 with N2 and CO2 in a Diel Regime
Reveals Multiphase Glycogen Dynamics with Low Maintenance Costs.
AB - Investigating the physiology of cyanobacteria cultured under a diel light regime
is relevant for a better understanding of the resulting growth characteristics
and for specific biotechnological applications that are foreseen for these
photosynthetic organisms. Here, we present the results of a multiomics study of
the model cyanobacterium Synechocystis sp. strain PCC 6803, cultured in a lab
scale photobioreactor in physiological conditions relevant for large-scale
culturing. The culture was sparged with N2 and CO2, leading to an anoxic
environment during the dark period. Growth followed the availability of light.
Metabolite analysis performed with (1)H nuclear magnetic resonance analysis
showed that amino acids involved in nitrogen and sulfur assimilation showed
elevated levels in the light. Most protein levels, analyzed through mass
spectrometry, remained rather stable. However, several high-light-response
proteins and stress-response proteins showed distinct changes at the onset of the
light period. Microarray-based transcript analysis found common patterns of ~56%
of the transcriptome following the diel regime. These oscillating transcripts
could be grouped coarsely into genes that were upregulated and downregulated in
the dark period. The accumulated glycogen was degraded in the anaerobic
environment in the dark. A small part was degraded gradually, reflecting basic
maintenance requirements of the cells in darkness. Surprisingly, the largest part
was degraded rapidly in a short time span at the end of the dark period. This
degradation could allow rapid formation of metabolic intermediates at the end of
the dark period, preparing the cells for the resumption of growth at the start of
the light period. IMPORTANCE: Industrial-scale biotechnological applications are
anticipated for cyanobacteria. We simulated large-scale high-cell-density
culturing of Synechocystis sp. PCC 6803 under a diel light regime in a lab-scale
photobioreactor. In BG-11 medium, Synechocystis grew only in the light.
Metabolite analysis grouped the collected samples according to the light and dark
conditions. Proteome analysis suggested that the majority of enzyme-activity
regulation was not hierarchical but rather occurred through enzyme activity
regulation. An abrupt light-on condition induced high-light-stress proteins.
Transcript analysis showed distinct patterns for the light and dark periods.
Glycogen gradually accumulated in the light and was rapidly consumed in the last
quarter of the dark period. This suggests that the circadian clock primed the
cellular machinery for immediate resumption of growth in the light.
PMID- 27208122
TI - Detection of Zoonotic Enteropathogens in Children and Domestic Animals in a
Semirural Community in Ecuador.
AB - Animals are important reservoirs of zoonotic enteropathogens, and transmission to
humans occurs more frequently in low- and middle-income countries (LMICs), where
small-scale livestock production is common. In this study, we investigated the
presence of zoonotic enteropathogens in stool samples from 64 asymptomatic
children and 203 domestic animals of 62 households in a semirural community in
Ecuador between June and August 2014. Multilocus sequence typing (MLST) was used
to assess zoonotic transmission of Campylobacter jejuni and atypical
enteropathogenic Escherichia coli (aEPEC), which were the most prevalent
bacterial pathogens in children and domestic animals (30.7% and 10.5%,
respectively). Four sequence types (STs) of C. jejuni and four STs of aEPEC were
identical between children and domestic animals. The apparent sources of human
infection were chickens, dogs, guinea pigs, and rabbits for C. jejuni and pigs,
dogs, and chickens for aEPEC. Other pathogens detected in children and domestic
animals were Giardia lamblia (13.1%), Cryptosporidium parvum (1.1%), and Shiga
toxin-producing E. coli (STEC) (2.6%). Salmonella enterica was detected in 5 dogs
and Yersinia enterocolitica was identified in 1 pig. Even though we identified 7
enteric pathogens in children, we encountered evidence of active transmission
between domestic animals and humans only for C. jejuni and aEPEC. We also found
evidence that C. jejuni strains from chickens were more likely to be transmitted
to humans than those coming from other domestic animals. Our findings demonstrate
the complex nature of enteropathogen transmission between domestic animals and
humans and stress the need for further studies. IMPORTANCE: We found evidence
that Campylobacter jejuni, Giardia, and aEPEC organisms were the most common
zoonotic enteropathogens in children and domestic animals in a region close to
Quito, the capital of Ecuador. Genetic analysis of the isolates suggests
transmission of some genotypes of C. jejuni and aEPEC from domestic animals to
humans in this region. We also found that the genotypes associated with C. jejuni
from chickens were present more often in children than were those from other
domestic animals. The potential environmental factors associated with
transmission of these pathogens to humans then are discussed.
PMID- 27208123
TI - Metabolic Pathway Involved in 6-Chloro-2-Benzoxazolinone Degradation by
Pigmentiphaga sp. Strain DL-8 and Identification of the Novel Metal-Dependent
Hydrolase CbaA.
AB - 6-Chloro-2-benzoxazolinone (CDHB) is a precursor of herbicide, insecticide, and
fungicide synthesis and has a broad spectrum of biological activity.
Pigmentiphaga sp. strain DL-8 can transform CDHB into 2-amino-5-chlorophenol
(2A5CP), which it then utilizes as a carbon source for growth. The CDHB hydrolase
(CbaA) was purified from strain DL-8, which can also hydrolyze 2-benzoxazolinone
(BOA), 5-chloro-2-BOA, and benzamide. The specific activity of purified CbaA was
5,900 U . mg protein(-1) for CDHB, with Km and kcat values of 0.29 mM and 8,500
s(-1), respectively. The optimal pH for purified CbaA was 9.0, the highest
activity was observed at 55 degrees C, and the inactive metal-free enzyme could
be reactivated by Mg(2+), Ni(2+), Ca(2+), or Zn(2+) Based on the results obtained
for the CbaA peptide mass fingerprinting and draft genome sequence of strain DL
8, cbaA (encoding 339 amino acids) was cloned and expressed in Escherichia coli
BL21(DE3). CbaA shared 18 to 21% identity with some metal-dependent hydrolases of
the PF01499 family and contained the signature metal-binding motif
Q127XXXQ131XD133XXXH137 The conserved amino acid residues His288 and Glu301
served as the proton donor and acceptor. E. coli BL21(DE3-pET-cbaA) resting cells
could transform 0.2 mM CDHB into 2A5CP. The mutant strain DL-8DeltacbaA lost the
ability to degrade CDHB but retained the ability to degrade 2A5CP, consistent
with strain DL-8. These results indicated that cbaA was the key gene responsible
for CDHB degradation by strain DL-8. IMPORTANCE: 2-Benzoxazolinone (BOA)
derivatives are widely used as synthetic intermediates and are also an important
group of allelochemicals acting in response to tissue damage or pathogen attack
in gramineous plants. However, the degradation mechanism of BOA derivatives by
microorganisms is not clear. In the present study, we reported the identification
of CbaA and metabolic pathway responsible for the degradation of CDHB in
Pigmentiphaga sp. DL-8. This will provide microorganism and gene resources for
the bioremediation of the environmental pollution caused by BOA derivatives.
PMID- 27208125
TI - Quantitative Distribution of Infectious F-Specific RNA Phage Genotypes in Surface
Waters.
AB - F-specific RNA phages (FRNAPHs) are considered potential viral indicators of
water pollution due to their occurrence and stability in water environments.
However, their suitability as viral indicators is not fully elucidated because
the characteristics of FRNAPHs are variable depending on the genotype. In this
study, for the characterization of infectious FRNAPH genotypes, integrated
culture reverse transcription-PCR coupled with the most probable number approach
was applied to surface water samples. Further, to recover low concentrations of
FRNAPH genotypes, an FRNAPH recovery method was developed. The novel FRNAPH
recovery method using a noncharged microfiltration membrane could effectively
recover FRNAPH strains without inactivation, while a method using an
electronegative microfiltration membrane resulted in the inactivation of some
strains. Infectious FRNAPH genotypes in surface water samples were successfully
quantified with an efficiency comparable to that of the conventional plaque
assay. Genotype I (GI) and GII FRNAPHs tended to be predominant at locations
impacted by treated and untreated municipal wastewater, respectively. The numbers
and proportions of infectious FRNAPHs tended to be higher during the winter
season when water temperature decreased. IMPORTANCE: Properties of FRNAPHs are
highly variable depending on their genotypes. Previous typing methods for FRNAPHs
are not quantitative and/or are based on molecular assays, which cannot
differentiate infective strains from inactive strains. Due to the reasons
mentioned above, the utility of FRNAPHs as viral indicators of water pollution
has not been fully validated. In this study, a quantitative genotyping method for
infectious FRNAPHs was developed and applied to surface water samples. The method
enabled characterization of infectious FRNAPH genotypes in terms of their
occurrence and seasonality. Moreover, comparison of the method to a conventional
molecular assay (reverse transcription-quantitative PCR) enabled characterization
of their stability. Our approach can provide novel findings for further
validation of FRNAPHs as viral indicators of water pollution.
PMID- 27208124
TI - In Vivo Application of Bacteriophage as a Potential Therapeutic Agent To Control
OXA-66-Like Carbapenemase-Producing Acinetobacter baumannii Strains Belonging to
Sequence Type 357.
AB - The increasing prevalence of carbapenem-resistant Acinetobacter baumannii (CRAB)
strains in intensive care units has caused major problems in public health
worldwide. Our aim was to determine whether this phage could be used as an
alternative therapeutic agent against multidrug-resistant bacterial strains,
specifically CRAB clinical isolates, using a mouse model. Ten bacteriophages that
caused lysis in CRAB strains, including blaOXA-66-like genes, were isolated.
YMC13/01/C62 ABA BP (phage Bphi-C62), which showed the strongest lysis activity,
was chosen for further study by transmission electron microscopy (TEM), host
range test, one-step growth and phage adsorption rate, thermal and pH stability,
bacteriolytic activity test, genome sequencing and bioinformatics analysis, and
therapeutic effect of phage using a mouse intranasal infection model. The phage
Bphi-C62 displayed high stability at various temperatures and pH values and
strong cell lysis activity in vitro The phage Bphi-C62 genome has a double
stranded linear DNA with a length of 44,844 bp, and known virulence genes were
not identified in silico. In vivo study showed that all mice treated with phage
Bphi-C62 survived after intranasal bacterial challenge. Bacterial clearance in
the lung was observed within 3 days after bacterial challenge, and histologic
damage also improved significantly; moreover, no side effects were observed.
IMPORTANCE: In our study, the novel A. baumannii phage Bphi-C62 was characterized
and evaluated in vitro, in silico, and in vivo These results, including strong
lytic activities and the improvement of survival rates, showed the therapeutic
potential of the phage Bphi-C62 as an antimicrobial agent. This study reports the
potential of a novel phage as a therapeutic candidate or nontoxic disinfectant
against CRAB clinical isolates in vitro and in vivo.
PMID- 27208126
TI - Degradation Pathways of 2- and 4-Nitrobenzoates in Cupriavidus sp. Strain ST-14
and Construction of a Recombinant Strain, ST-14::3NBA, Capable of Degrading 3
Nitrobenzoate.
AB - Strain ST-14, characterized as a member of the genus Cupriavidus, was capable of
utilizing 2- and 4-nitrobenzoates individually as sole sources of carbon and
energy. Biochemical studies revealed the assimilation of 2- and 4-nitrobenzoates
via 3-hydroxyanthranilate and protocatechuate, respectively. Screening of a
genomic fosmid library of strain ST-14 constructed in Escherichia coli identified
two gene clusters, onb and pob-pca, to be responsible for the complete
degradation of 2-nitrobenzoate and protocatechuate, respectively. Additionally, a
gene segment (pnb) harboring the genes for the conversion of 4-nitrobenzoate to
protocatechuate was unveiled by transposome mutagenesis. Reverse transcription
PCR analysis showed the polycistronic nature of the gene clusters, and their
importance in the degradation of 2- and 4-nitrobenzoates was ascertained by gene
knockout analysis. Cloning and expression of the relevant pathway genes revealed
the transformation of 2-nitrobenzoate to 3-hydroxyanthranilate and of 4
nitrobenzoate to protocatechuate. Finally, incorporation of functional 3
nitrobenzoate dioxygenase into strain ST-14 allowed the recombinant strain to
utilize 3-nitrobenzoate via the existing protocatechuate metabolic pathway,
thereby allowing the degradation of all three isomers of mononitrobenzoate by a
single bacterial strain. IMPORTANCE: Mononitrobenzoates are toxic chemicals
largely used for the production of various value-added products and enter the
ecosystem through industrial wastes. Bacteria capable of degrading
mononitrobenzoates are relatively limited. Unlike other contaminants, these man
made chemicals have entered the environment since the last century, and it is
believed that bacteria in nature evolved not quite efficiently to assimilate
these compounds; as a consequence, to date, there are only a few reports on the
bacterial degradation of one or more isomers of mononitrobenzoate. In the present
study, fortunately, we have been able to isolate a Cupriavidus sp. strain capable
of assimilating both 2- and 4-nitrobenzoates as the sole carbon source. Results
of the biochemical and molecular characterization of catabolic genes responsible
for the degradation of mononitrobenzoates led us to manipulate a single enzymatic
step, allowing the recombinant host organism to expand its catabolic potential to
assimilate 3-nitrobenzoate.
PMID- 27208127
TI - Cardiolipins Act as a Selective Barrier to Toll-Like Receptor 4 Activation in the
Intestine.
AB - Intestinal homeostasis mechanisms must protect the host intestinal tissue from
endogenous lipopolysaccharides (LPSs) produced by the intestinal microbiota. In
this report, we demonstrate that murine intestinal fecal lipids effectively block
Toll-like receptor 4 (TLR4) responses to naturally occurring Bacteroidetes sp.
LPS. Cardiolipin (CL) represents a significant proportion of the total intestinal
and fecal lipids and, furthermore, potently antagonizes TLR4 activation by
reducing LPS binding at the lipopolysaccharide binding protein (LBP), CD14, and
MD-2 steps of the TLR4 signaling pathway. It is further demonstrated that
intestinal lipids and CL are less effective at neutralizing more potent
Enterobacteriaceae-type LPS, which is enriched in feces obtained from mice with
dextran sodium sulfate (DSS)-treated inflammatory bowel disease. The selective
inhibition of naturally occurring LPS structures by intestinal lipids may
represent a novel homeostasis mechanism that blocks LPS activation in response to
symbiotic but not dysbiotic microbial communities. IMPORTANCE: The guts of
animals harbor a variety of Gram-negative bacteria associated with both states of
intestinal health and states of disease. Environmental factors, such as dietary
habits, can drive the microbial composition of the host animal's intestinal
bacterial community toward a more pathogenic state. Both beneficial and harmful
Gram-negative bacteria are capable of eliciting potentially damaging inflammatory
responses from the host intestinal tissues via a lipopolysaccharide (LPS)
dependent pathway. Physical mucosal barriers and antibodies produced by the
intestinal immune system protect against the undesired inflammatory effects of
LPS, although it is unknown why some bacteria are more effective at overcoming
the protective barriers than others. This report describes the discovery of a
lipid-type protective barrier in the intestine that reduces the deleterious
effects of LPSs from beneficial bacteria but is less effective in dampening the
inflammatory effects of LPSs from harmful bacteria, providing a novel mechanistic
insight into inflammatory intestinal disorders.
PMID- 27208128
TI - The Cooperative and Interdependent Roles of GerA, GerK, and Ynd in Germination of
Bacillus licheniformis Spores.
AB - When nutrients are scarce, Bacillus species form metabolically dormant and
extremely resistant spores that enable survival over long periods of time under
conditions not permitting growth. The presence of specific nutrients triggers
spore germination through interaction with germinant receptors located in the
spore's inner membrane. Bacillus licheniformis is a biotechnologically important
species, but it is also associated with food spoilage and food-borne disease. The
B. licheniformis ATCC 14580/DSM13 genome exhibits three gerA family operons
(gerA, gerK, and ynd) encoding germinant receptors. We show that spores of B.
licheniformis germinate efficiently in response to a range of different single l
amino acid germinants, in addition to a weak germination response seen with d
glucose. Mutational analyses revealed that the GerA and Ynd germination receptors
function cooperatively in triggering an efficient germination response with
single l-amino acid germinants, whereas the GerK germination receptor is
essential for germination with d-glucose. Mutant spores expressing only GerA and
GerK or only Ynd and GerK show reduced or severely impaired germination
responses, respectively, with single l-amino acid germinants. Neither GerA nor
Ynd could function alone in stimulating spore germination. Together, these
results functionally characterize the germination receptor operons present in B.
licheniformis We demonstrate the overlapping germinant recognition patterns of
the GerA and Ynd germination receptors and the cooperative functionalities
between GerA, Ynd, and GerK in inducing germination. IMPORTANCE: To ensure safe
food production and durable foods, there is an obvious need for more knowledge on
spore-forming bacteria. It is the process of spore germination that ultimately
leads to food spoilage and food poisoning. Bacillus licheniformis is a
biotechnologically important species that is also associated with food spoilage
and food-borne disease. Despite its importance, the mechanisms of spore
germination are poorly characterized in this species. This study provides novel
knowledge on germination of B. licheniformis spores. We characterize the
germinant recognition profiles of the three germinant receptors present in B.
licheniformis spores and demonstrate that the GerA germinant receptor cooperates
with the Ynd and GerK germinant receptors to enable an effective germination
response to l-amino acids. We also demonstrate that GerK is required for
germination in response to the single germinant glucose. This study demonstrates
the complex interactions between germinant receptors necessary for efficient
germination of B. licheniformis spores.
PMID- 27208129
TI - Comparative Analysis of the Antimicrobial Activities of Plant Defensin-Like and
Ultrashort Peptides against Food-Spoiling Bacteria.
AB - Antimicrobial peptides offer potential as novel therapeutics to combat food
spoilage and poisoning caused by pathogenic and nonpathogenic bacteria. Our
previous studies identified the peptide human beta-defensin 3 (HBD3) as a potent
antimicrobial agent against a wide range of beer-spoiling bacteria. Thus, HBD3 is
an excellent candidate for development as an additive to prevent food and
beverage spoilage. To expand the repertoire of peptides with antimicrobial
activity against bacteria associated with food spoilage and/or food poisoning, we
carried out an in silico discovery pipeline to identify peptides with structure
and activity similar to those of HBD3, focusing on peptides of plant origin.
Using a standardized assay, we compared the antimicrobial activities of nine
defensin-like plant peptides to the activity of HBD3. Only two of the peptides,
fabatin-2 and Cp-thionin-2, displayed antimicrobial activity; however, the
peptides differed from HBD3 in being sensitive to salt and were thermostable. We
also compared the activities of several ultrashort peptides to that of HBD3. One
of the peptides, the synthetic tetrapeptide O3TR, displayed biphasic
antimicrobial activity but had a narrower host range than HBD3. Finally, to
determine if the peptides might act in concert to improve antimicrobial activity,
we compared the activities of the peptides in pairwise combinations. The plant
defensin-like peptides fabatin-2 and Cp-thionin-2 displayed a synergistic effect
with HBD3, while O3TR was antagonistic. Thus, some plant defensin-like peptides
are effective antimicrobials and may act in concert with HBD3 to control bacteria
associated with food spoilage and food poisoning. IMPORTANCE: Food spoilage and
food poisoning caused by bacteria can have major health and economic implications
for human society. With the rise in resistance to conventional antibiotics, there
is a need to identify new antimicrobials to combat these outbreaks in our food
supply. Here we screened plant peptide databases to identify peptides that share
structural similarity with the human defensin peptide HBD3, which has known
antimicrobial activity against food-spoiling bacteria. We show that two of the
plant peptides display antimicrobial activity against bacteria associated with
food spoilage. When combined with HBD3, the peptides are highly effective. We
also analyzed the activity of an easily made ultrashort synthetic peptide, O3TR.
We show that this small peptide also displays antimicrobial activity against food
spoiling bacteria but is not as effective as HBD3 or the plant peptides. The
plant peptides identified are good candidates for development as natural
additives to prevent food spoilage.
PMID- 27208130
TI - Regulation of Polyhydroxybutyrate Synthesis in the Soil Bacterium Bradyrhizobium
diazoefficiens.
AB - Polyhydroxybutyrate (PHB) is a carbon and energy reserve polymer in various
prokaryotic species. We determined that, when grown with mannitol as the sole
carbon source, Bradyrhizobium diazoefficiens produces a homopolymer composed only
of 3-hydroxybutyrate units (PHB). Conditions of oxygen limitation (such as
microoxia, oxic stationary phase, and bacteroids inside legume nodules) were
permissive for the synthesis of PHB, which was observed as cytoplasmic granules.
To study the regulation of PHB synthesis, we generated mutations in the regulator
gene phaR and the phasin genes phaP1 and phaP4 Under permissive conditions,
mutation of phaR impaired PHB accumulation, and a phaP1 phaP4 double mutant
produced more PHB than the wild type, which was accumulated in a single, large
cytoplasmic granule. Moreover, PhaR negatively regulated the expression of phaP1
and phaP4 as well as the expression of phaA1 and phaA2 (encoding a 3-ketoacyl
coenzyme A [CoA] thiolases), phaC1 and phaC2 (encoding PHB synthases), and fixK2
(encoding a cyclic AMP receptor protein [CRP]/fumarate and nitrate reductase
regulator [FNR]-type transcription factor of genes for microoxic lifestyle). In
addition to the depressed PHB cycling, phaR mutants accumulated more
extracellular polysaccharides and promoted higher plant shoot dry weight and
competitiveness for nodulation than the wild type, in contrast to the phaC1
mutant strain, which is defective in PHB synthesis. These results suggest that
phaR not only regulates PHB granule formation by controlling the expression of
phasins and biosynthetic enzymes but also acts as a global regulator of excess
carbon allocation and symbiosis by controlling fixK2 IMPORTANCE: In this work, we
investigated the regulation of polyhydroxybutyrate synthesis in the soybean
nodulating bacterium Bradyrhizobium diazoefficiens and its influence in bacterial
free-living and symbiotic lifestyles. We uncovered a new interplay between the
synthesis of this carbon reserve polymer and the network responsible for
microoxic metabolism through the interaction between the gene regulators phaR and
fixK2 These results contribute to the understanding of the physiological
conditions required for polyhydroxybutyrate biosynthesis. The interaction between
these two main metabolic pathways is also reflected in the symbiotic phenotypes
of soybeans inoculated with phaR mutants, which were more competitive for
nodulation and enhanced dry matter production by the plants. Therefore, this
knowledge may be applied to the development of superior strains to be used as
improved inoculants for soybean crops.
PMID- 27208131
TI - Fast, Continuous, and High-Throughput (Bio)Chemical Activity Assay for N-Acyl-l
Homoserine Lactone Quorum-Quenching Enzymes.
AB - Quorum sensing, the bacterial cell-cell communication by small molecules,
controls important processes such as infection and biofilm formation. Therefore,
it is a promising target with several therapeutic and technical applications
besides its significant ecological relevance. Enzymes inactivating N-acyl-l
homoserine lactones, the most common class of communication molecules among Gram
negative proteobacteria, mainly belong to the groups of quorum-quenching
lactonases or quorum-quenching acylases. However, identification,
characterization, and optimization of these valuable biocatalysts are based on a
very limited number of fundamentally different methods with their respective
strengths and weaknesses. Here, a (bio)chemical activity assay is described,
which perfectly complements the other methods in this field. It enables
continuous and high-throughput activity measurements of purified and unpurified
quorum-quenching enzymes within several minutes. For this, the reaction products
released by quorum-quenching lactonases and quorum-quenching acylases are
converted either by a secondary enzyme or by autohydrolysis to l-homoserine. In
turn, l-homoserine is detected by the previously described calcein assay, which
is sensitive to alpha-amino acids with free N and C termini. Besides its
establishment, the method was applied to the characterization of three previously
undescribed quorum-quenching lactonases and variants thereof and to the
identification of quorum-quenching acylase-expressing Escherichia coli clones in
an artificial library. Furthermore, this study indicates that porcine
aminoacylase 1 is not active toward N-acyl-l-homoserine lactones as published
previously but instead converts the autohydrolysis product N-acyl-l-homoserine.
IMPORTANCE: In this study, a novel method is presented for the identification,
characterization, and optimization of quorum-quenching enzymes that are active
toward N-acyl-l-homoserine lactones. These are the most common communication
molecules among Gram-negative proteobacteria. The activity assay is a highly
valuable complement to the available analytical tools in this field. It will
facilitate studies on the environmental impact of quorum-quenching enzymes and
contribute to the development of therapeutic and technical applications of this
promising enzyme class.
PMID- 27208132
TI - Implications of Limited Thermophilicity of Nitrite Reduction for Control of
Sulfide Production in Oil Reservoirs.
AB - Nitrate reduction to nitrite in oil fields appears to be more thermophilic than
the subsequent reduction of nitrite. Concentrated microbial consortia from oil
fields reduced both nitrate and nitrite at 40 and 45 degrees C but only nitrate
at and above 50 degrees C. The abundance of the nirS gene correlated with
mesophilic nitrite reduction activity. Thauera and Pseudomonas were the dominant
mesophilic nitrate-reducing bacteria (mNRB), whereas Petrobacter and Geobacillus
were the dominant thermophilic NRB (tNRB) in these consortia. The mNRB Thauera
sp. strain TK001, isolated in this study, reduced nitrate and nitrite at 40 and
45 degrees C but not at 50 degrees C, whereas the tNRB Petrobacter sp. strain
TK002 and Geobacillus sp. strain TK003 reduced nitrate to nitrite but did not
reduce nitrite further from 50 to 70 degrees C. Testing of 12 deposited pure
cultures of tNRB with 4 electron donors indicated reduction of nitrate in 40 of
48 and reduction of nitrite in only 9 of 48 incubations. Nitrate is injected into
high-temperature oil fields to prevent sulfide formation (souring) by sulfate
reducing bacteria (SRB), which are strongly inhibited by nitrite. Injection of
cold seawater to produce oil creates mesothermic zones. Our results suggest that
preventing the temperature of these zones from dropping below 50 degrees C will
limit the reduction of nitrite, allowing more effective souring control.
IMPORTANCE: Nitrite can accumulate at temperatures of 50 to 70 degrees C, because
nitrate reduction extends to higher temperatures than the subsequent reduction of
nitrite. This is important for understanding the fundamentals of thermophilicity
and for the control of souring in oil fields catalyzed by SRB, which are strongly
inhibited by nitrite.
PMID- 27208133
TI - Effects of Intertidal Harvest Practices on Levels of Vibrio parahaemolyticus and
Vibrio vulnificus Bacteria in Oysters.
AB - Vibrio parahaemolyticus and Vibrio vulnificus can grow rapidly in shellfish
subjected to ambient air conditions, such as during intertidal exposure. In this
study, levels of total and pathogenic (tdh(+) and/or trh(+)) V. parahaemolyticus
and total V. vulnificus were determined in oysters collected from two study
locations where intertidal harvest practices are common. Samples were collected
directly off intertidal flats, after exposure (ambient air [Washington State] or
refrigerated [New Jersey]), and after reimmersion by natural tidal cycles.
Samples were processed using a most-probable-number (MPN) real-time PCR method
for total and pathogenic V. parahaemolyticus or V. vulnificus In Washington
State, the mean levels of V. parahaemolyticus increased 1.38 log MPN/g following
intertidal exposure and dropped 1.41 log MPN/g after reimmersion for 1 day, but
the levels were dependent upon the container type utilized. Pathogenic V.
parahaemolyticus levels followed a similar trend. However, V. vulnificus levels
increased 0.10 log MPN/g during intertidal exposure in Washington but decreased
by >1 log MPN/g after reimmersion. In New Jersey, initial levels of all vibrios
studied were not significantly altered during the refrigerated sorting and
containerizing process. However, there was an increase in levels after the first
day of reimmersion by 0.79, 0.72, 0.92, and 0.71 log MPN/g for total, tdh(+) and
trh(+) V. parahaemolyticus, and V. vulnificus, respectively. The levels of all
targets decreased to those similar to background after a second day of
reimmersion. These data indicate that the intertidal harvest and handling
practices for oysters that were studied in Washington and New Jersey do not
increase the risk of illness from V. parahaemolyticus or V. vulnificus
IMPORTANCE: Vibrio parahaemolyticus and Vibrio vulnificus are the leading causes
of seafood-associated infectious morbidity and mortality in the United States.
Vibrio spp. can grow rapidly in shellfish subjected to ambient air conditions,
such as during periods of intertidal exposure. When oysters are submersed with
the incoming tide, the vibrios can be purged. However, data on the rates of
increase and purging during intertidal harvest are scarce, which limits the
accuracy of risk assessments. The objective of this study was to help fill these
data gaps by determining the levels of total and pathogenic (tdh(+) and/or
trh(+)) V. parahaemolyticus and V. vulnificus in oysters from two locations where
intertidal harvest practices are common, using the current industry practices.
The data generated provide insight into the responses of Vibrio spp. to relevant
practices of the industry and public health, which can be incorporated into risk
management decisions.
PMID- 27208134
TI - Transcriptomic Responses of the Interactions between Clostridium cellulovorans
743B and Rhodopseudomonas palustris CGA009 in a Cellulose-Grown Coculture for
Enhanced Hydrogen Production.
AB - Coculturing dark- and photofermentative bacteria is a promising strategy for
enhanced hydrogen (H2) production. In this study, next-generation sequencing was
used to query the global transcriptomic responses of an artificial coculture of
Clostridium cellulovorans 743B and Rhodopseudomonas palustris CGA009. By
analyzing differentially regulated gene expression, we showed that, consistent
with the physiological observations of enhanced H2 production and cellulose
degradation, the nitrogen fixation genes in R. palustris and the cellulosomal
genes in C. cellulovorans were upregulated in cocultures. Unexpectedly, genes
related to H2 production in C. cellulovorans were downregulated, suggesting that
the enhanced H2 yield was contributed mainly by R. palustris A number of genes
related to biosynthesis of volatile fatty acids (VFAs) in C. cellulovorans were
upregulated, and correspondingly, a gene that mediates organic compound
catabolism in R. palustris was also upregulated. Interestingly, a number of genes
responsible for chemotaxis in R. palustris were upregulated, which might be
elicited by the VFA concentration gradient created by C. cellulovorans In
addition, genes responsible for sulfur and thiamine metabolism in C.
cellulovorans were downregulated in cocultures, and this could be due to a
response to pH changes. A conceptual model illustrating the interactions between
the two organisms was constructed based on the transcriptomic results.
IMPORTANCE: The findings of this study have important biotechnology applications
for biohydrogen production using renewable cellulose, which is an industrially
and economically important bioenergy process. Since the molecular characteristics
of the interactions of a coculture when cellulose is the substrate are still
unclear, this work will be of interest to microbiologists seeking to better
understand and optimize hydrogen-producing coculture systems.
PMID- 27208135
TI - Multilocus Sequence Typing of Pathogenic Treponemes Isolated from Cloven-Hoofed
Animals and Comparison to Treponemes Isolated from Humans.
AB - Treponema species are implicated in many diseases of humans and animals. Digital
dermatitis (DD) treponemes are reported to cause severe lesions in cattle, sheep,
pigs, goats, and wild elk, causing substantial global animal welfare issues and
economic losses. The fastidiousness of these spirochetes has previously precluded
studies investigating within-phylogroup genetic diversity. An archive of
treponemes that we isolated enabled multilocus sequence typing to quantify the
diversity and population structure of DD treponemes. Isolates (n = 121) were
obtained from different animal hosts in nine countries on three continents. The
analyses herein of currently isolated DD treponemes at seven housekeeping gene
loci confirm the classification of the three previously designated phylogroups:
the Treponema medium, Treponema phagedenis, and Treponema pedis phylogroups.
Sequence analysis of seven DD treponeme housekeeping genes revealed a generally
low level of diversity among the strains within each phylogroup, removing the
need for the previously used "-like" suffix. Surprisingly, all isolates within
each phylogroup clustered together, regardless of host or geographic origin,
suggesting that the same sequence types (STs) can infect different animals. Some
STs were derived from multiple animals from the same farm, highlighting probable
within-farm transmissions. Several STs infected multiple hosts from similar
geographic regions, identifying probable frequent between-host transmissions.
Interestingly, T. pedis appears to be evolving more quickly than the T. medium or
T. phagedenis DD treponeme phylogroup, by forming two unique ST complexes. The
lack of phylogenetic discrimination between treponemes isolated from different
hosts or geographic regions substantially contrasts with the data for other
clinically relevant spirochetes. IMPORTANCE: The recent expansion of the host
range of digital dermatitis (DD) treponemes from cattle to sheep, goats, pigs,
and wild elk, coupled with the high level of 16S rRNA gene sequence similarity
across hosts and with human treponemes, suggests that the same bacterial species
can cause disease in multiple different hosts. This multilocus sequence typing
(MLST) study further demonstrates that these bacteria isolated from different
hosts are indeed very similar, raising the potential for cross-species
transmission. The study also shows that infection spread occurs frequently, both
locally and globally, suggesting transmission by routes other than animal-animal
transmission alone. These results indicate that on-farm biosecurity is important
for controlling disease spread in domesticated species. Continued surveillance
and vigilance are important for ascertaining the evolution and tracking any
further host range expansion of these important pathogens.
PMID- 27208136
TI - Oral Administration of Probiotics Inhibits Absorption of the Heavy Metal Cadmium
by Protecting the Intestinal Barrier.
AB - The heavy metal cadmium (Cd) is an environmental pollutant that causes adverse
health effects in humans and animals. Our previous work demonstrated that oral
administration of probiotics can significantly inhibit Cd absorption in the
intestines of mice, but further evidence is needed to gain insights into the
related protection mode. The goal of this study was to evaluate whether
probiotics can inhibit Cd absorption through routes other than the Cd binding,
with a focus on gut barrier protection. In the in vitro assay, both the
intervention and therapy treatments of Lactobacillus plantarum CCFM8610
alleviated Cd-induced cytotoxicity in the human intestinal cell line HT-29 and
protected the disruption of tight junctions in the cell monolayers. In a mouse
model, probiotics with either good Cd-binding or antioxidative ability increased
fecal Cd levels and decreased Cd accumulation in the tissue of Cd-exposed mice.
Compared with the Cd-only group, cotreatment with probiotics also reversed the
disruption of tight junctions, alleviated inflammation, and decreased the
intestinal permeability of mice. L. plantarum CCFM8610, a strain with both good
Cd binding and antioxidative abilities, exhibited significantly better protection
than the other two strains. These results suggest that along with initial
intestinal Cd sequestration, probiotics can inhibit Cd absorption by protecting
the intestinal barrier, and the protection is related to the alleviation of Cd
induced oxidative stress. A probiotic with both good Cd-binding and antioxidative
capacities can be used as a daily supplement for the prevention of oral Cd
exposure. IMPORTANCE: The heavy metal cadmium (Cd) is an environmental pollutant
that causes adverse health effects in humans and animals. For the general
population, food and drinking water are the main sources of Cd exposure due to
the biomagnification of Cd within the food chain; therefore, the intestinal tract
is the first organ that is susceptible to Cd contamination. Moreover, Cd exposure
causes the disruption of the intestinal barrier and further induces the
amplification of Cd absorption. The present study confirms that, along with
initial intestinal Cd sequestration, oral administration of probiotics can
inhibit Cd absorption by protecting the intestinal barrier. A probiotic with both
good Cd-binding and antioxidative capacities can be used as a daily supplement
for the prevention of oral Cd exposure.
PMID- 27208137
TI - Antagonistic Donor Density Effect Conserved in Multiple Enterococcal Conjugative
Plasmids.
AB - Enterococcus faecalis, a common causative agent of hospital-acquired infections,
is resistant to many known antibiotics. Its ability to acquire and transfer
resistance genes and virulence determinants through conjugative plasmids poses a
serious concern for public health. In some cases, induction of transfer of E.
faecalis plasmids results from peptide pheromones produced by plasmid-free
recipient cells, which are sensed by the plasmid-bearing donor cells. These
plasmids generally encode an inhibitory peptide that competes with the pheromone
and suppresses self-induction of donors. We recently demonstrated that the
inhibitor peptide encoded on plasmid pCF10 is part of a unique quorum-sensing
system in which it functions as a "self-sensing signal," reducing the response to
the pheromone in a density-dependent fashion. Based on the similarities between
regulatory features controlling conjugation in pAD1 and pAM373 and those
controlling conjugation in pCF10, we hypothesized that these plasmids are likely
to exhibit similar quorum-sensing behaviors. Experimental findings indicate that
for both pAD1 and pAM373, high donor densities indeed resulted in decreased
induction of the conjugation operon and reduced conjugation frequencies. This
effect was restored by the addition of exogenous inhibitor, confirming that the
inhibitor serves as an indicator for donor density. Donor density also affects
cross-species conjugative plasmid transfer. Based on our experimental results, we
propose models for induction and shutdown of the conjugation operon in pAD1 and
pAM373. IMPORTANCE: Enterococcus faecalis is a leading cause of hospital-acquired
infections. Its ability to transfer antibiotic resistance and virulence
determinants by sharing its genetic material with other bacteria through direct
cell-cell contact via conjugation poses a serious threat. Two antagonistic
signaling peptides control the transfer of plasmids pAD1 and pAM373: a peptide
pheromone produced by plasmid-free recipients triggers the conjugative transfer
in plasmid-containing donors, and an inhibitor peptide encoded on the plasmid and
produced by donor cells serves to modulate the donor response in accordance with
the relative abundance of donors and recipients. We demonstrate that high donor
density reduces the conjugation frequency of both of these plasmids, which is a
consequence of increased inhibitor concentration in high-donor-density cultures.
While most antibiotic strategies end up selecting resistant strains and
disrupting the community balance, manipulating bacterial signaling mechanisms can
serve as an alternate strategy to prevent the spread of antibiotic resistance.
PMID- 27208138
TI - Hybrid Shiga Toxin-Producing and Enterotoxigenic Escherichia sp. Cryptic Lineage
1 Strain 7v Harbors a Hybrid Plasmid.
AB - Hybrid isolates of Shiga toxin-producing Escherichia coli (STEC) and
enterotoxigenic E. coli (ETEC) encoding heat-stable enterotoxin (ST) are being
reported with increasing frequency from a variety of sources. However,
information regarding the plasmids that these strains harbor is scarce. In this
study, we sequence and characterize a plasmid, p7v, from the STEC/ETEC hybrid
strain 7v. Whole-genome phylogenetic analyses of STEC/ETEC hybrid strains and
prototype E. coli isolates of other pathotypes placed 7v in the Escherichia sp.
cryptic lineage 1 (CL1) clade. The complete plasmid, p7v, was determined to be
229,275 bp and encodes putative virulence factors that are typically carried on
STEC plasmids as well as those often carried on ETEC plasmids, indicating that
the hybrid nature of the strain extends beyond merely encoding the two toxins.
Plasmid p7v carries two copies of sta with identical sequences, which were
discovered to be divergent from the sta sequences found in the prototype human
ETEC strains. Using a nomenclature scheme based on a phylogeny constructed from
sta and stb sequences, the sta encoded on p7v is designated STa4. In silico
analysis determined that p7v also encodes the K88 fimbria, a colonization factor
usually associated with porcine ETEC plasmids. The p7v sequence and the presence
of plasmid-encoded virulence factors are compared to those of other STEC/ETEC CL1
hybrid genomes and reveal gene acquisition/loss at the strain level. In addition,
the interrogation of 24 STEC/ETEC hybrid genomes for identification of plasmid
replicons, colonization factors, Stx and ST subtypes, and other plasmid-encoded
virulence genes highlights the diversity of these hybrid strains. IMPORTANCE:
Hybrid Shiga toxin-producing Escherichia coli/enterotoxigenic Escherichia coli
(STEC/ETEC) strains, which have been isolated from environmental, animal, and
human clinical samples, may represent an emerging threat as food-borne pathogens.
Characterization of these strains is important for assessing virulence potential,
aiding in the development of pathogen detection methods, and understanding how
the hybrid strains evolve to potentially have a greater impact on public health.
This study represents, to our knowledge, both the first characterization of a
closed plasmid sequence from a STEC/ETEC hybrid strain and the most comprehensive
phylogenetic analysis of available STEC/ETEC hybrid genomes to date. The results
demonstrate how the mobility of plasmid-associated virulence genes has resulted
in the creation of a diverse plasmid repertoire within the STEC/ETEC hybrid
strains.
PMID- 27208139
TI - Paralogous Regulators ArsR1 and ArsR2 of Pseudomonas putida KT2440 as a Basis for
Arsenic Biosensor Development.
AB - The remarkable metal resistance of many microorganisms is related to the presence
of multiple metal resistance operons. Pseudomonas putida KT2440 can be considered
a model for these microorganisms since its arsenic resistance is due to the
action of proteins encoded by the two paralogous arsenic resistance operons ARS1
and ARS2. Both operons contain the genes encoding the transcriptional regulators
ArsR1 and ArsR2 that control operon expression. We show here that purified ArsR1
and ArsR2 bind the trivalent salt of arsenic (arsenite) with similar affinities
(~30 MUM), whereas no binding is observed for the pentavalent salt (arsenate).
Furthermore, trivalent salts of bismuth and antimony showed binding to both
paralogues. The positions of cysteines, found to bind arsenic in other
homologues, indicate that ArsR1 and ArsR2 employ different modes of arsenite
recognition. Both paralogues are dimeric and possess significant thermal
stability. Both proteins were used to construct whole-cell, lacZ-based
biosensors. Whereas responses to bismuth were negligible, significant responses
were observed for arsenite, arsenate, and antimony. Biosensors based on the P.
putida arsB1 arsB2 arsenic efflux pump double mutant were significantly more
sensitive than biosensors based on the wild-type strain. This sensitivity
enhancement by pump mutation may be a convenient strategy for the construction of
other biosensors. A frequent limitation found for other arsenic biosensors was
their elevated background signal and interference by inorganic phosphate. The
constructed biosensors show no interference by inorganic phosphate, are
characterized by a very low background signal, and were found to be suitable to
analyze environmental samples. IMPORTANCE: Arsenic is at the top of the priority
list of hazardous compounds issued by the U.S. Agency for Toxic Substances and
Disease. The reason for the stunning arsenic resistance of many microorganisms is
the existence of paralogous arsenic resistance operons. Pseudomonas putida KT2440
is a model organism for such bacteria, and their duplicated ars operons and in
particular their ArsR transcription regulators have been studied in depth by in
vivo approaches. Here we present an analysis of both purified ArsR paralogues by
different biophysical techniques, and data obtained provide valuable insight into
their structure and function. Particularly insightful was the comparison of ArsR
effector profiles determined by in vitro and in vivo experimentation. We also
report the use of both paralogues to construct robust and highly sensitive
arsenic biosensors. Our finding that the deletion of both arsenic efflux pumps
significantly increases biosensor sensitivity is of general relevance in the
biosensor field.
PMID- 27208140
TI - In Situ Hydrogen Dynamics in a Hot Spring Microbial Mat during a Diel Cycle.
AB - Microbes can produce molecular hydrogen (H2) via fermentation, dinitrogen
fixation, or direct photolysis, yet the H2 dynamics in cyanobacterial communities
has only been explored in a few natural systems and mostly in the laboratory. In
this study, we investigated the diel in situ H2 dynamics in a hot spring
microbial mat, where various ecotypes of unicellular cyanobacteria (Synechococcus
sp.) are the only oxygenic phototrophs. In the evening, H2 accumulated rapidly
after the onset of darkness, reaching peak values of up to 30 MUmol H2 liter(-1)
at about 1-mm depth below the mat surface, slowly decreasing to about 11 MUmol H2
liter(-1) just before sunrise. Another pulse of H2 production, reaching a peak
concentration of 46 MUmol H2 liter(-1), was found in the early morning under dim
light conditions too low to induce accumulation of O2 in the mat. The light
stimulation of H2 accumulation indicated that nitrogenase activity was an
important source of H2 during the morning. This is in accordance with earlier
findings of a distinct early morning peak in N2 fixation and expression of
Synechococcus nitrogenase genes in mat samples from the same location.
Fermentation might have contributed to the formation of H2 during the night,
where accumulation of other fermentation products lowered the pH in the mat to
less than pH 6 compared to a spring source pH of 8.3. IMPORTANCE: Hydrogen is a
key intermediate in anaerobic metabolism, and with the development of a sulfide
insensitive microsensor for H2, it is now possible to study the microdistribution
of H2 in stratified microbial communities such as the photosynthetic microbial
mat investigated here. The ability to measure H2 profiles within the mat compared
to previous measurements of H2 emission gives much more detailed information
about the sources and sinks of H2 in such communities, and it was demonstrated
that the high rates of H2 formation in the early morning when the mat was exposed
to low light intensities might be explained by nitrogen fixation, where H2 is
formed as a by-product.
PMID- 27208141
TI - Isolation of Nontuberculous Mycobacteria from the Environment of Ghanian
Communities Where Buruli Ulcer Is Endemic.
AB - This study aimed to isolate nontuberculous mycobacterial species from
environmental samples obtained from some selected communities in Ghana. To
optimize decontamination, spiked environmental samples were used to evaluate four
decontamination solutions and supplemented media, after which the best
decontamination solution and media were used for the actual analysis. The
isolates obtained were identified on the basis of specific genetic sequences,
including heat shock protein 65, IS2404, IS2606, rpoB, and the ketoreductase
gene, as needed. Among the methods evaluated, decontamination with 1 M NaOH
followed by 5% oxalic acid gave the highest rate of recovery of mycobacteria
(50.0%) and the lowest rate of contamination (15.6%). The cultivation medium that
supported the highest rate of recovery of mycobacteria was polymyxin B
amphotericin B-nalidixic acid-trimethoprim-azlocillin-supplemented medium
(34.4%), followed by isoniazid-supplemented medium (28.1%). Among the 139 samples
cultivated in the main analysis, 58 (41.7%) yielded mycobacterial growth, 70
(50.4%) had no growth, and 11 (7.9%) had all inoculated tubes contaminated. A
total of 25 different mycobacterial species were identified. Fifteen species
(60%) were slowly growing (e.g., Mycobacterium ulcerans, Mycobacterium avium,
Mycobacterium mantenii, and Mycobacterium malmoense), and 10 (40%) were rapidly
growing (e.g., Mycobacterium chelonae, Mycobacterium fortuitum, and Mycobacterium
abscessus). The occurrence of mycobacterial species in the various environmental
samples analyzed was as follows: soil, 16 species (43.2%); vegetation, 14 species
(38.0%); water, 3 species (8.0%); moss, 2 species (5.4%); snail, 1 species
(2.7%); fungi, 1 species (2.7%). This study is the first to report on the
isolation of M. ulcerans and other medically relevant nontuberculous mycobacteria
from different environmental sources in Ghana. IMPORTANCE: Diseases caused by
mycobacterial species other than those that cause tuberculosis and leprosy are
increasing. Control is difficult because the current understanding of how the
organisms are spread and where they live in the environment is limited, although
this information is needed to design preventive measures. Growing these organisms
from the environment is also difficult, because the culture medium becomes
overgrown with other bacteria that also live in the environment, such as in soil
and water. We aimed to improve the methods for growing these organisms from
environmental sources, such as soil and water samples, for better understanding
of important mycobacterial ecology.
PMID- 27208142
TI - Outcomes of an extended-infusion piperacillin-tazobactam protocol implementation
in a community teaching hospital adult intensive care unit.
AB - OBJECTIVE: The purpose of this study is to evaluate the outcome differences
between patients receiving piperacillin-tazobactam pre- and post-implementation
of an extended infusion dosing protocol in a community teaching hospital adult
intensive care unit. METHODS: On December 19th, 2011, extended infusion dosing of
piperacillin-tazobactam was implemented at St. John's Hospital's intensive and
cardiac care units (ICU/CCU) following IRB-approval. This is a historical case
control cohort study involving review of electronic medical charts of patients
who received traditional or extended infusion therapy. Data was collected for
patients that received piperacillin-tazobactam in the ICU/CCU from December 19th,
2010 through March 19th, 2011 for traditional infusion and from December 19th,
2011 through March 19th, 2012 for extended infusion. Primary endpoints were
ICU/CCU mortality at discharge and length of stay. RESULTS: The study included
113 patients with 52 in the traditional-infusion group and 61 extended-infusion
group. There was no statistically significant difference in the primary end-point
of ICU/CCU mortality between the two groups (14.8% vs. 21.1%; p = 0.374). In the
extended infusion group, there was a shorter length of ICU and CCU stay (8.32 vs.
12.06 days; p = 0.025) and shorter length of hospital stay (11.32 vs. 19.7 days;
p = 0.006). The extended-infusion group showed a decrease in cost of therapy that
was statistically significant ($120.21 vs. $155.17; p = 0.035). Adverse drug
effects did not differ between the two study groups. CONCLUSION: This study
showed that treatment with extended-infusion piperacillin-tazobactam therapy
improved patient outcomes while maintaining patient safety and decreasing costs.
PMID- 27208143
TI - Reduction of immunization errors through practitioner education and addition of
age-specific alerts in the electronic prescribing system.
AB - PURPOSE: The reduction of immunization errors through the use of age-specific
alerts within the electronic medical record (EMR) and mandatory interactive
education for prescribers is described. METHODS: A health system-wide initiative
was implemented at an academic pediatric hospital to reduce the number of
immunization errors. The preimplementation period (January 1-December 31, 2013)
involved a baseline review of adverse drug events (ADEs) reported through a
voluntary event reporting system to determine the number and types of
immunization errors. During the prescribing phase of the medication-use process,
57% (43 of 75) of errors occurred. First, age-based restrictions were implemented
within the EMR. This was followed by mandatory immunization education for all
prescribers working in the primary care network. Data collection included all
reported vaccine errors within the voluntary event reporting system and
completion rates of education by physicians, nurse practitioners, and medical
residents. RESULTS: During the seven-month postimplementation period (January 1-
July 31, 2014), prescribing events decreased from 57% to 25%. Following
implementation of age-specific immunization alerts and mandatory prescriber
education, the hospital went 175 days without a vaccine ADE. CONCLUSION: The
implementation of age-specific alerts within the EMR and mandatory prescriber
education decreased the number of immunization errors within a pediatric health
system.
PMID- 27208144
TI - Interventions unrelated to anticoagulation in a pharmacist-managed
anticoagulation clinic.
AB - PURPOSE: Results of a study to determine the number of interventions unrelated to
anticoagulation made in a pharmacist-managed anticoagulation clinic are
presented. METHODS: A retrospective, single-center cohort was conducted in a
hospital-affiliated outpatient pharmacist-managed anticoagulation clinic.
Patients were >=18 years old and attended at least one face-to-face appointment
between January 1, 2012, and November 30, 2013. The primary outcome was the
number of interventions made outside of an anticoagulation clinic's primary
purpose. Interventions were classified based on predetermined criteria. Results
of selected interventions were determined along with the association between
patient factors and having an intervention. Descriptive statistics and relative
risk were used, when appropriate. RESULTS: A total of 268 patients were included,
and 5846 pharmacist encounters were reviewed. Investigators identified 2222
interventions. Patients having >10 medications were 17% more likely to have an
intervention compared with those having <5 medications. Patients attending at
least two primary care visits within one year prior to their first appointment
with a pharmacist were 12% more likely to have an intervention compared with
those attending fewer than two appointments. CONCLUSION: Pharmacists in an
anticoagulation clinic made a substantial number of interventions unrelated to
anticoagulation therapy, with most clinic patients having at least one such
intervention made on their behalf. The majority of these interventions were
related to medication reconciliation. The total number of medications being taken
and the number of physician visits were significantly associated with an
intervention taking place.
PMID- 27208145
TI - Evaluation of an updated insulin infusion protocol at a large academic medical
center.
AB - PURPOSE: The performance of an updated insulin infusion protocol was evaluated at
a large, academic medical center. METHODS: A retrospective medical record review
was performed after a one-month run-in period for all patients at a large,
academic, tertiary care medical center in whom the insulin infusion per protocol
was administered from January 1 through February 28, 2014. Data were evaluated to
determine the median blood glucose (BG) level, time to achieve BG in the target
range, number of BG checks per patient per day, time elapsed between each BG
check, and the frequency of hypoglycemia (BG concentration of <=70 mg/dL).
RESULTS: A total of 170 patients were included. The median preinfusion BG was 244
mg/dL (interquartile range [IQR], 204-304 mg/dL), which decreased to a median of
168 mg/dL (IQR, 147.5-199.5 mg/dL) when the protocol was utilized. However, 70
patients (41%) had a median BG concentration of >=180 mg/dL, and 25 patients'
(15%) BG value remained above 180 mg/dL. The median time to achieve the goal BG
value was 4.2 hours (95% confidence interval, 3.2-5.1 hours). BG checks were
performed a median of every 2.1 hours (IQR, 1.4-2.3 hours). Hypoglycemia was
rare, occurring in only 2 (1.2%) patients. CONCLUSION: The median BG with an
updated insulin infusion protocol approached the upper limit of the target BG
range, and 41% of patients had a median BG above the goal range. Protocol
specifications for the frequency of BG monitoring were not commonly followed, but
the frequency of hypoglycemia was extremely low.
PMID- 27208147
TI - Erratum.
PMID- 27208146
TI - Pharmacy residents' perception of preceptors as role models.
AB - PURPOSE: Pharmacy residents' perception of preceptors as role models was
evaluated. METHODS: A 55-item survey instrument was developed, pilot tested, and
distributed to pharmacy residents in Kentucky. Respondents used a 5-point Likert
scale to rate the importance of selected preceptor characteristics in current and
ideal preceptors. The percentage of residents who viewed their preceptors as role
models was the primary outcome. The secondary outcome was the lack of
concordance, if any, between each characteristic, quality, and component by
comparing characteristics valued by residents versus characteristics exemplified
by current preceptors. RESULTS: A total of 69 pharmacy residents (77% response
rate) responded to the survey. There was no significant difference between the
proportion of residents who thought it was important for a preceptor to be a role
model (67 of 69 residents [97%]) and the proportion who perceived their current
preceptor as a role model (59 of 69 residents [86%]) (p = 0.271). The mean
resident response showed that current preceptors exhibited clinical (p = 0.014)
and teaching (p < 0.001) qualities significantly less than did ideal preceptors.
The mean resident response showed no significant difference in ideal and current
preceptors' personal qualities. CONCLUSION: Pharmacy preceptors in Kentucky were
perceived by pharmacy residents to be role models with excellent personal
qualities but needing improvement in teaching and clinical qualities. Preceptors
with less than 10 years of experience were perceived to have better teaching and
clinical qualities and to be more effective when compared with preceptors with
more years of experience.
PMID- 27208148
TI - Inheritance and Molecular Mapping of an All-Stage Stripe Rust Resistance Gene
Derived from the Chinese Common Wheat Landrace "Yilongtuomai".
AB - Yellow or stripe rust, caused by Puccinia striiformis f. sp. tritici (Pst), is a
devastating foliar disease that affects common wheat (Triticum aestivum L.)
around the world. In China, common wheat landraces are potential sources of
disease and abiotic stress resistance genes for wheat improvement. Yilongtuomai
(YL), a wheat landrace from Yilong County, Sichuan Province, shows high levels of
resistance against most Chinese Pst races. In this study, the resistance of YL to
stripe rust disease was examined in detail. Parent strains, YL and Taichung 29, a
variety susceptible to Pst race CYR32, and their F1, F2, and F2:3 offspring, were
inoculated with CYR32 during the seedling stage in the field or adult-plant stage
in the greenhouse. Results indicated that resistance to CYR32 in YL is conferred
by a single dominant gene, designated YrYL The segregating F2 population (352
plants), was analyzed in terms of its resistance locus using simple sequence
repeats (SSRs), resistance gene analog polymorphisms (RGAPs), and sequence
related amplified polymorphism (SRAP). A linkage group of 6 SSRs, 2 RGAPs, and 1
SRAP was constructed for the YrYL gene. Using the identified SSRs associated with
physical mapping of RGAP using Chinese Spring nullisomic-tetrasomic stocks, the
YrYL gene was localized to the short arm of chromosome 7D. The gene was flanked
by 1 SSR marker, Xbarc92, and 1 RGAP marker, CLRRfor/Ptokin4, at genetic
distances of 5.35 and 9.86 cM, respectively. The YrYL gene was compared to other
stripe rust resistance genes reported on chromosome 7D by evaluating its reaction
patterns to CYR32 and its pedigree relationship. Our results suggest that the
YrYL gene is a new stripe rust resistance gene.
PMID- 27208149
TI - Conformation Traits and Gaits in the Icelandic Horse are Associated with Genetic
Variants in Myostatin (MSTN).
AB - Many genes are known to have an influence on conformation and performance traits;
however, the role of one gene, Myostatin (MSTN), has been highlighted in recent
studies on horses. Myostatin acts as a repressor in the development and
regulation of differentiation and proliferative growth of skeletal muscle.
Several studies have examined the link between MSTN, conformation, and
performance in racing breeds, but no studies have investigated the relationship
in Icelandic horses. Icelandic horses, a highly unique breed, are known both for
their robust and compact conformation as well as their additional gaits tolt and
pace. Three SNPs (g.65868604G>T [PR8604], g.66493737C>T [PR3737], and
g.66495826A>G [PR5826]) flanking or within equine MSTN were genotyped in 195
Icelandic horses. The SNPs and haplotypes were analyzed for association with
official estimated breeding values (EBV) for conformation traits (n = 11) and
gaits (n = 5). The EBV for neck, withers, and shoulders was significantly
associated with both PR8604 and PR3737 (P < 0.05). PR8604 was also associated
with EBV for total conformation (P = 0.05). These associations were all supported
by the haplotype analysis. However, while SNP PR5826 showed a significant
association with EBVs for leg stance and hooves (P < 0.05), haplotype analyses
for these traits failed to fully support these associations. This study
demonstrates the possible role of MSTN on both the form and function of horses
from non-racing breeds. Further analysis of Icelandic horses as well as other non
racing breeds would be beneficial and likely help to completely understand the
influence of MSTN on conformation and performance in horses.
PMID- 27208150
TI - Applying SNP-Derived Molecular Coancestry Estimates to Captive Breeding Programs.
AB - Captive breeding programs for wildlife species typically rely on pedigrees to
inform genetic management. Although pedigree-based breeding strategies are quite
effective at retaining long-term genetic variation, management of zoo-based
breeding programs continues to be hampered when pedigrees are poorly known. The
objective of this study was to evaluate 2 options for generating single
nucleotide polymorphism (SNP) data to resolve unknown relationships within
captive breeding programs. We generated SNP data for a zoo-based population of
addax (Addax nasomasculatus) using both the Illumina BovineHD BeadChip and double
digest restriction site-associated DNA (ddRAD) sequencing. Our results
demonstrated that estimates of allele sharing (AS) between pairs of individuals
exhibited low variances. Average AS variances were highest when using 50 loci
(SNPchipall = 0.00159; ddRADall = 0.0249), but fell below 0.0003 for the SNP chip
dataset when sampling >=250 loci and below 0.0025 for the ddRAD dataset when
sampling >=500 loci. Furthermore, the correlation between the SNPchipall and
ddRADall AS datasets was 0.88 (95%CI = 0.84-0.91) when subsampling 500 loci.
Collectively, our results indicated that both SNP genotyping methods produced
sufficient data for accurately estimating relationships, even within an extremely
bottlenecked population. Our results also suggested that analytic assumptions
historically integrated into the addax pedigree are not adversely impacting long
term pedigree-based management; kinships calculated from the analytic pedigree
were significantly correlated (P << 0.001) with AS estimates. Overall, our
conclusions are intended to serve as both a proof of concept and a model for
applying molecular data to the genetic management of captive breeding programs.
PMID- 27208151
TI - Genetic parameters for growth characteristics of free-range chickens under
univariate random regression models.
AB - Repeated measures from the same individual have been analyzed by using
repeatability and finite dimension models under univariate or multivariate
analyses. However, in the last decade, the use of random regression models for
genetic studies with longitudinal data have become more common. Thus, the aim of
this research was to estimate genetic parameters for body weight of four
experimental chicken lines by using univariate random regression models. Body
weight data from hatching to 84 days of age (n = 34,730) from four experimental
free-range chicken lines (7P, Caipirao da ESALQ, Caipirinha da ESALQ and Carijo
Barbado) were used. The analysis model included the fixed effects of contemporary
group (gender and rearing system), fixed regression coefficients for age at
measurement, and random regression coefficients for permanent environmental
effects and additive genetic effects. Heterogeneous variances for residual
effects were considered, and one residual variance was assigned for each of six
subclasses of age at measurement. Random regression curves were modeled by using
Legendre polynomials of the second and third orders, with the best model chosen
based on the Akaike Information Criterion, Bayesian Information Criterion, and
restricted maximum likelihood. Multivariate analyses under the same animal mixed
model were also performed for the validation of the random regression models. The
Legendre polynomials of second order were better for describing the growth curves
of the lines studied. Moderate to high heritabilities (h(2) = 0.15 to 0.98) were
estimated for body weight between one and 84 days of age, suggesting that
selection for body weight at all ages can be used as a selection criteria.
Genetic correlations among body weight records obtained through multivariate
analyses ranged from 0.18 to 0.96, 0.12 to 0.89, 0.06 to 0.96, and 0.28 to 0.96
in 7P, Caipirao da ESALQ, Caipirinha da ESALQ, and Carijo Barbado chicken lines,
respectively. Results indicate that genetic gain for body weight can be achieved
by selection. Also, selection for body weight at 42 days of age can be maintained
as a selection criterion.
PMID- 27208152
TI - Variability in amino acid digestibility of triticale grain from diverse genotypes
as studied in cecectomized laying hens.
AB - Triticale, an anthropogenic hybrid grain, is increasing in importance as a feed
grain for laying hens. However, our limited knowledge of its nutritional
qualities and their impact on hen performance prevents optimization of its use.
The present study investigated the digestibility of amino acids ( AA: ) in
triticale grain in laying hens, and additionally examined relationships between
AA digestibility and chemical and physical characteristics of the grain. Twenty
genotypes of triticale were grown under standardized agronomic and environmental
conditions and were characterized according to their physical properties
(thousand-seed weight, test weight, falling number, extract viscoelasticity),
chemical composition (proximate nutrients, non-starch polysaccharides, AA,
minerals, inositol phosphates) and gross energy concentration. Additionally, the
in vitro solubility of nitrogen was determined. The animal trial comprised 4
Latin Squares (6 * 6) distributed among 2 subsequent runs. Twelve cecectomized
LSL-Classic hens were individually housed in metabolism cages and either fed a
basal diet containing 500 g/kg cornstarch or one of 20 triticale diets, each
replacing the cornstarch with one triticale genotype, for 8 d. During the last 4
d, feed intake was recorded and excreta were collected quantitatively. Amino acid
digestibility of the triticale genotypes was calculated by linear regression. The
digestibility of all AA differed significantly between the 20 genotypes,
including Lys (digestibility range 68 to 80%), Met (77 to 86%), Thr (68 to 78%)
and Trp (74 to 83%). However, AA digestibility only correlated with
characteristics of the grain in few cases, without a consistent pattern among AA.
Equations to predict AA digestibility based on the grain's physical and chemical
characteristics were calculated by multiple linear regression. The explanatory
power (adjusted R2;) of these prediction equations was below 0.7 for most AA and
thus not sufficiently precise to be suitable for practical application. In
conclusion, AA digestibility of triticale grain is high overall in laying hens
but varies significantly between crop genotypes. This variation could not be well
explained by physical and chemical characteristics of the grain.
PMID- 27208153
TI - Northern fowl mite (Ornithonyssus sylviarum) effects on metabolism, body
temperatures, skin condition, and egg production as a function of hen MHC
haplotype.
AB - The northern fowl mite, Ornithonyssus sylviarum, is the most damaging
ectoparasite on egg-laying hens in the United States. One potential strategy for
management is breeding for mite resistance. Genes of white leghorn chickens
linked to the major histocompatibility complex (MHC) were previously identified
as conferring more (B21 haplotype) or less (B15 haplotype) mite resistance.
However, immune responses can be energetically costly to the host and affect the
economic damage incurred from mite infestations. We tested energy costs (resting
metabolic rate) of mite infestations on egg-laying birds of both MHC B
haplotypes. Resting metabolic rates were documented before (pre-) mite
infestation, during (mid-) infestation, and after peak (late) mite infestation.
Mite scores, economic parameters (egg production, feed consumption), and
physiological aspects such as skin inflammation and skin temperature were
recorded weekly. Across experiments and different infestation time points,
resting metabolic rates generally were not affected by mite infestation or
haplotype, although there were instances of lower metabolic rates in infested
versus control hens. Skin temperatures were recorded both at the site of mite
feeding damage (vent) and under the wing (no mites), which possibly would reflect
a systemic fever response. Ambient temperatures modified skin surface
temperature, which generally was not affected by mites or haplotype. Feed
conversion efficiency was significantly worse (4.9 to 17.0% depending on trial)
in birds infested with mites. Overall egg production and average egg weight were
not affected significantly, although there was a trend toward reduced egg
production (2 to 8%) by infested hens. The MHC haplotype significantly affected
vent skin inflammation. Birds with the mite-resistant B21 haplotype showed
earlier onset of inflammation, but a reduced overall area of inflammation
compared to mite-susceptible B15 birds. No significant differences in resting
energy expenditure related to mite infestation or immune responses were detected.
Potential breeding for resistance to mite infestation using these two haplotypes
appears to be neutral in terms of impact on hen energy costs or production
efficiency, and may be an attractive option for future mite control.
PMID- 27208154
TI - Evaluation of changes in serum chemistry in association with feed withdrawal or
high dose oral gavage with dextran sodium sulfate- (DSS-) induced gut leakage in
broiler chickens.
AB - Dextran sodium sulfate ( DSS: ) has been shown to be effective at inducing
enteric inflammation in broiler chickens, resulting in increased leakage of
orally administered fluorescein isothiocyanate dextran to circulation. In a
previous study, 2 doses of DSS (0.45 g/dose) administered as oral gavage resulted
in increased mucosal permeability. The main objective of the present study was to
compare serum turbidity in control and DSS treated birds plus with feed
restriction ( FR: ), and evaluate the associated serum chemistry. Three
independent experiments were conducted with different combinations of treatment
groups. In Experiment 1, control full-fed ( CON: ) and DSS full-fed ( FFD: ) with
n = 15 birds/group were evaluated, Experiment 2 had groups (n = 15/group) CON,
FFD, feed restriction ( FRS: for 34 h), and DSS with feed restriction ( FRD: ),
and Experiment 3 (n = 15/group) had CON, FFD, and FRS (29 h FRS). All DSS treated
birds received one or 2 doses of DSS by oral gavage (0.45 g/dose/bird). Results
showed that, compared to CON group, there was an increase (P < 0.05) in serum
turbidity in FFD birds, even though the difference between FRS and FRD was not
apparent (P > 0.05). Administration of DSS did not result in increase of serum
enzymes such as alanine aminotransferase, aspartate aminotransferase, and lactate
dehydrogenase ( LDH: ), nonetheless, the FFD showed lower (P < 0.05) LDH level
compared to CON in Experiment 2. Among the various serum chemistry parameters
evaluated triglycerides had the highest positive correlation (r2 = 0.85; P <
0.05) with serum turbidity. DSS administration resulted in decreased serum
protein levels, especially albumin. These results suggest that oral gavage with
DSS in broiler chicks could result in changes to serum chemistry parameters which
could be developed as potential marker/s for gut leakage.
PMID- 27208155
TI - Hospital Malnutrition in Latin America: Building a Culture of Nutrition Care: The
feedM.E. Global Study Group Response to "A Quick Fix for Hospital-Acquired
Malnutrition?".
PMID- 27208156
TI - JPEN Journal Club 19. The Data vs the Message.
PMID- 27208158
TI - Selective subepicardial localization of monocyte subsets in response to
progressive coronary artery constriction.
AB - Following myocardial infarction and atherosclerotic lesion development, monocytes
contribute to myocardial protection and repair, while also partaking in
myocardial ischemic injury. The balance of proinflammatory and reparative
monocyte subsets is crucial in governing these therapeutic and pathological
outcomes. Myocardial ischemic damage displays heterogeneity across the
myocardium, whereby the subendocardium shows greatest vulnerability to ischemic
damage. In this study we examined the transmural distribution of monocyte subsets
in response to gradual coronary artery occlusion. CD14(+) monocytes were isolated
from peripheral blood of New Zealand White rabbits and divided into two subgroups
based on the expression of CD62L. We employed a rabbit model of progressive
coronary artery obstruction to induce chronic myocardial ischemia and reinfused
fluorescently labeled autologous monocytes. The distribution of fluorescently
labeled autologous monocytes was examined with a high-resolution three
dimensional imaging cryomicrotome. The subepicardial layer contained the largest
infiltration of both monocyte subgroups, with a significantly greater proportion
of CD14(+)CD62L(+) monocytes at the time when the ischemic area was at a maximum.
By targeting CD13(+) angiogenic vessels, we confirmed the presence of
angiogenesis in epicardial and midmyocardial regions. These myocardial regions
demonstrated the highest level of infiltration of both monocyte subsets.
Furthermore, CD14(+)CD62L(+) monocytes showed significantly greater migration
towards monocyte chemoattractant protein-1, greater adhesive capacity, and higher
expression of C-C chemokine receptor type-2 relative to CD14(+)CD62L(-)
monocytes. In conclusion, we note selective subepicardial distribution of
monocyte subpopulations, with changes in proportion depending on the time after
onset of coronary narrowing. Selective homing is supported by divergent migratory
properties of each respective monocyte subgroup.
PMID- 27208159
TI - Improving stem cell therapy in cardiovascular diseases: the potential role of
microRNA.
AB - The initial promising prospect of autologous bone marrow-derived stem cell
therapy in the setting of cardiovascular diseases has been overshadowed by
functional shortcomings of the stem cell product. As powerful epigenetic
regulators of (stem) cell function, microRNAs are valuable targets for novel
therapeutic strategies. Indeed, modulation of specific miRNA expression could
contribute to improved therapeutic efficacy of stem cell therapy. First, this
review elaborates on the functional relevance of miRNA dysregulation in bone
marrow-derived progenitor cells in different cardiovascular diseases. Next, we
provide a comprehensive overview of the current evidence on the effect of
specific miRNA modulation in several types of progenitor cells on cardiac and/or
vascular regeneration. By elaborating on the cardioprotective regulation of
progenitor cells on cardiac miRNAs, more insight in the underlying mechanisms of
stem cell therapy is provided. Finally, some considerations are made regarding
the potential of circulating miRNAs as regulators of the miRNA signature of
progenitor cells in cardiovascular diseases.
PMID- 27208157
TI - Chronic vagal nerve stimulation prevents high-salt diet-induced endothelial
dysfunction and aortic stiffening in stroke-prone spontaneously hypertensive
rats.
AB - Parasympathetic activity is often reduced in hypertension and can elicit anti
inflammatory mechanisms. Thus we hypothesized that chronic vagal nerve
stimulation (VNS) may alleviate cardiovascular end-organ damage in stroke-prone
spontaneously hypertensive rats. Vagal nerve stimulators were implanted, a high
salt diet initiated, and the stimulators turned on (VNS, n = 10) or left off
(sham, n = 14) for 4 wk. Arterial pressure increased equally in both groups.
After 4 wk, endothelial function, assessed by in vivo imaging of the long
posterior ciliary artery (LPCA) after stimulation (pilocarpine) and inhibition
(N(omega)-nitro-l-arginine methyl ester) of endothelial nitric oxide synthase
(eNOS), had significantly declined (-2.3 +/- 1.2 MUm, P < 0.05) in sham, but was
maintained (-0.7 +/- 0.8 MUm, nonsignificant) in VNS. Furthermore, aortic eNOS
activation (phosphorylated to total eNOS protein content ratio) was greater in
VNS (0.83 +/- 0.07) than in sham (0.47 +/- 0.08, P < 0.05). After only 3 wk,
ultrasound imaging of the aorta demonstrated decreased aortic strain (-9.7 +/-
2.2%, P < 0.05) and distensibility (-2.39 +/- 0.49 1,000/mmHg, P < 0.05) and
increased pulse-wave velocity (+2.4 +/- 0.7 m/s, P < 0.05) in sham but not in VNS
(-3.8 +/- 3.8%, -0.70 +/- 1.4 1,000/mmHg, and +0.1 +/- 0.7 m/s, all
nonsignificant). Interleukin (IL)-6 serum concentrations tended to be higher in
VNS than in sham (34.3 +/- 8.3 vs. 16.1 +/- 4.6 pg/ml, P = 0.06), and positive
correlations were found between NO-dependent relaxation of the LPCA and serum
levels of IL-6 (r = +0.70, P < 0.05) and IL-10 (r = +0.56, P < 0.05) and between
aortic eNOS activation and IL-10 (r = +0.48, P < 0.05). In conclusion, chronic
VNS prevents hypertension-induced endothelial dysfunction and aortic stiffening
in an animal model of severe hypertension. We speculate that anti-inflammatory
mechanisms may contribute to these effects.
PMID- 27208162
TI - Alcohol binge drinking: getting to the heart of it.
PMID- 27208160
TI - MMP-9 signaling in the left ventricle following myocardial infarction.
AB - Following myocardial infarction (MI), the left ventricle (LV) undergoes a series
of cardiac wound healing responses that involve both the stimulation of robust
inflammation to clear necrotic myocytes and tissue debris and the induction of
extracellular matrix (ECM) protein synthesis to generate an infarct scar. The
collective changes in myocardial structure and function are termed LV remodeling,
and matrix metalloproteinase-9 (MMP-9) is a key instigator of post-MI LV
remodeling. Through direct molecular effects on ECM and inflammatory protein
turnover as well as indirect effects on major cell types that coordinate cardiac
wound healing, namely the infiltrating leukocytes and the cardiac fibroblasts,
MMP-9 coordinates multiple aspects of LV remodeling. In this review, we will
discuss recent research that has expanded our understanding of post-MI LV
remodeling, including recent proteomic advances focused on the ECM compartment to
provide novel functional and translational insights. This overview will summarize
how our understanding of MMP-9 has evolved over the last decade and will provide
insight into future directions that will drive our understanding of MMP-9
directed cardiac ECM turnover in the post-MI LV.
PMID- 27208161
TI - A class of their own: exploring the nondeacetylase roles of class IIa HDACs in
cardiovascular disease.
AB - Histone deacetylases (HDACs) play integral roles in many cardiovascular
biological processes ranging from transcriptional and translational regulation to
protein stabilization and localization. There are 18 known HDACs categorized into
4 classes that can differ on the basis of substrate targets, subcellular
localization, and regulatory binding partners. HDACs are classically known for
their ability to remove acetyl groups from histone and nonhistone proteins that
have lysine residues. However, despite their nomenclature and classical
functions, discoveries from many research groups over the past decade have
suggested that nondeacetylase roles exist for class IIa HDACs. This is not
surprising given that class IIa HDACs have, for example, relatively poor
deacetylase capabilities and are often shuttled in and out of nuclei upon
specific pathological and nonpathological cardiac events. This review aims to
consolidate and elucidate putative nondeacetylase roles for class IIa HDACs and,
where possible, highlight studies that provide evidence for their noncanonical
roles, especially in the context of cardiovascular maladies. There has been great
interest recently in exploring the pharmacological regulators of HDACs for use in
therapeutic interventions for treating cardiovascular diseases and inflammation.
Thus it is of interest to earnestly consider nonenzymatic and or nondeacetylase
roles of HDACs that might be key in potentiating or abrogating pathologies. These
noncanonical HDAC functions may possibly yield new mechanisms and targets for
drug discovery.
PMID- 27208163
TI - Purinergic dysregulation in pulmonary hypertension.
AB - Despite the fact that nucleotides and adenosine help regulate vascular tone
through purinergic signaling pathways, little is known regarding their
contributions to the pathobiology of pulmonary arterial hypertension, a condition
characterized by elevated pulmonary vascular resistance and remodeling. Even less
is known about the potential role that alterations in CD39 (ENTPD1), the
ectonucleotidase responsible for the conversion of the nucleotides ATP and ADP to
AMP, may play in pulmonary arterial hypertension. In this study we identified
decreased CD39 expression on the pulmonary endothelium of patients with
idiopathic pulmonary arterial hypertension. We next determined the effects of
CD39 gene deletion in mice exposed to normoxia or normobaric hypoxia (10%
oxygen). Compared with controls, hypoxic CD39(-/-) mice were found to have a
markedly elevated ATP-to-adenosine ratio, higher pulmonary arterial pressures,
more right ventricular hypertrophy, more arterial medial hypertrophy, and a pro
thrombotic phenotype. In addition, hypoxic CD39(-/-) mice exhibited a marked
increase in lung P2X1 receptors. Systemic reconstitution of ATPase and ADPase
enzymatic activities through continuous administration of apyrase decreased
pulmonary arterial pressures in hypoxic CD39(-/-) mice to levels found in hypoxic
CD39(+/+) controls. Treatment with NF279, a potent and selective P2X1 receptor
antagonist, lowered pulmonary arterial pressures even further. Our study is the
first to implicate decreased CD39 and resultant alterations in circulating
purinergic signaling ligands and cognate receptors in the pathobiology of
pulmonary arterial hypertension. Reconstitution and receptor blocking experiments
suggest that phosphohydrolysis of purinergic nucleotide tri- and diphosphates, or
blocking of the P2X1 receptor could serve as treatment for pulmonary arterial
hypertension.
PMID- 27208164
TI - Electrochemical Na+ and Ca2+ gradients drive coupled-clock regulation of
automaticity of isolated rabbit sinoatrial nodal pacemaker cells.
AB - Coupling of an intracellular Ca(2+) clock to surface membrane ion channels, i.e.,
a "membrane clock, " via coupling of electrochemical Na(+) and Ca(2+) gradients
(ENa and ECa, respectively) has been theorized to regulate sinoatrial nodal cell
(SANC) normal automaticity. To test this hypothesis, we measured responses of
[Na(+)]i, [Ca(2+)]i, membrane potential, action potential cycle length (APCL),
and rhythm in rabbit SANCs to Na(+)/K(+) pump inhibition by the digitalis
glycoside, digoxigenin (DG, 10-20 MUmol/l). Initial small but significant
increases in [Na(+)]i and [Ca(2+)]i and reductions in ENa and ECa in response to
DG led to a small reduction in maximum diastolic potential (MDP), significantly
enhanced local diastolic Ca(2+) releases (LCRs), and reduced the average APCL. As
[Na(+)]i and [Ca(2+)]i continued to increase at longer times following DG
exposure, further significant reductions in MDP, ENa, and ECa occurred; LCRs
became significantly reduced, and APCL became progressively and significantly
prolonged. This was accompanied by increased APCL variability. We also employed a
coupled-clock numerical model to simulate changes in ENa and ECa simultaneously
with ion currents not measured experimentally. Numerical modeling predicted that,
as the ENa and ECa monotonically reduced over time in response to DG, ion
currents (ICaL, ICaT, If, IKr, and IbNa) monotonically decreased. In parallel
with the biphasic APCL, diastolic INCX manifested biphasic changes; initial INCX
increase attributable to enhanced LCR ensemble Ca(2+) signal was followed by INCX
reduction as ENCX (ENCX = 3ENa - 2ECa) decreased. Thus SANC automaticity is
tightly regulated by ENa, ECa, and ENCX via a complex interplay of numerous key
clock components that regulate SANC clock coupling.
PMID- 27208166
TI - Congenital microcornea-cataract syndrome-causing mutation X253R increases betaB1
crystallin hydrophobicity to promote aggregate formation.
AB - The high solubility and lifelong stability of crystallins are crucial to the
maintenance of lens transparency and optical properties. Numerous crystallin
mutations have been linked to congenital cataract, which is one of the leading
causes of newborn blindness. Besides cataract, several crystallin mutations have
also been linked to syndromes such as congenital microcornea-cataract syndrome
(CMCC). However, the molecular mechanism of CMCC caused by crystallin mutations
remains elusive. In the present study, we investigated the mechanism of CMCC
caused by the X253R mutation in betaB1-crystallin. The exogenously expressed
X253R proteins were prone to form p62-negative aggregates in HeLa cells, strongly
inhibited cell proliferation and induced cell apoptosis. The intracellular X253R
aggregates could be successfully redissolved by lanosterol but not cholesterol.
The extra 26 residues at the C-terminus of betaB1-crystallin introduced by the
X253R mutation had little impact on betaB1-crystallin structure and stability,
but increased betaB1-crystallin hydrophobicity and decreased its solubility.
Interestingly, the X253R mutant fully abolished the aggregatory propensity of
betaB1- and betaA3/betaB1-crystallins at high temperatures, suggesting that X253R
was an aggregation-inhibition mutation of beta-crystallin homomers and heteromers
in dilute solutions. Our results suggest that an increase in hydrophobicity and a
decrease in solubility might be responsible for cataractogenesis induced by the
X253R mutation, while the cytotoxic effect of X253R aggregates might contribute
to the defects in ocular development. Our results also highlight that, at least
in some cases, the aggregatory propensity in dilute solutions could not fully
mimic the behaviours of mutated proteins in the crowded cytoplasm of the cells.
PMID- 27208165
TI - Small membrane permeable molecules protect against osmotically induced sealing of
t-tubules in mouse ventricular myocytes.
AB - Cardiac t-tubules are critical for efficient excitation-contraction coupling but
become significantly remodeled during various stress conditions. However, the
mechanisms by which t-tubule remodeling occur are poorly understood. Recently, we
demonstrated that recovery of mouse ventricular myocytes after hyposmotic shock
is associated with t-tubule sealing. In this study, we found that the application
of Small Membrane Permeable Molecules (SMPM) such as DMSO, formamide and
acetamide upon washout of hyposmotic solution significantly reduced the amount of
extracellular dextran trapped within sealed t-tubules. The SMPM protection
displayed sharp biphasic concentration dependence that peaks at ~140 mM leading
to >3- to 4-fold reduction in dextran trapping. Consistent with these data,
detailed analysis of the effects of DMSO showed that the magnitude of normalized
inward rectifier tail current (IK1,tail), an electrophysiological marker of t
tubular integrity, was increased ~2-fold when hyposmotic stress was removed in
the presence of 1% DMSO (~140 mM). Analysis of dynamics of cardiomyocytes
shrinking during resolution of hyposmotic stress revealed only minor increase in
shrinking rate in the presence of 1% DMSO, and cell dimensions returned fully to
prestress values in both control and DMSO groups. Application and withdrawal of
10% DMSO in the absence of preceding hyposmotic shock induced classical t-tubule
sealing. This suggests that the biphasic concentration dependence originated from
an increase in secondary t-tubule sealing when high SMPM concentrations are
removed. Overall, the data suggest that SMPM protect against sealing of t-tubules
following hyposmotic stress, likely through membrane modification and essentially
independent of their osmotic effects.
PMID- 27208167
TI - New insight on obesity and adipose-derived stem cells using comprehensive
metabolomics.
AB - Obesity affects the functional capability of adipose-derived stem cells (ASCs)
and their effective use in regenerative medicine through mechanisms that are
still poorly understood. In the present study we used a multiplatform [LC/MS,
GC/MS and capillary electrophoresis/MS (CE/MS)], metabolomics, untargeted
approach to investigate the metabolic alteration underlying the inequalities
observed in obesity-derived ASCs. The metabolic fingerprint (metabolites within
the cells) and footprint (metabolites secreted in the culture medium), from
obesity- and non-obesity-derived ASCs of humans or mice, were characterized to
provide valuable information. Metabolites associated with glycolysis, the
tricarboxylic acid cycle, the pentose phosphate pathway and the polyol pathway
were increased in the footprint of obesity-derived human ASCs, indicating
alterations in carbohydrate metabolism, whereas, from the murine model, deep
differences in lipid and amino acid catabolism were highlighted. Therefore, new
insights on the ASCs' metabolome were provided that enhance our understanding of
the processes underlying ASCs' stemness capacity and its relationship with
obesity, in different cell models.
PMID- 27208168
TI - Releasing the brakes in coagulation Factor IXa by co-operative maturation of the
substrate-binding site.
AB - Coagulation Factor IX is positioned at the merging point of the intrinsic and
extrinsic blood coagulation cascades. Factor IXa (activated Factor IX) serves as
the trigger for amplification of coagulation through formation of the so-called
Xase complex, which is a ternary complex of Factor IXa, its substrate Factor X
and the cofactor Factor VIIIa on the surface of activated platelets. Within the
Xase complex the substrate turnover by Factor IXa is enhanced 200000-fold;
however, the mechanistic and structural basis for this dramatic enhancement
remains only partly understood. A multifaceted approach using enzymatic,
biophysical and crystallographic methods to evaluate a key set of activity
enhanced Factor IXa variants has demonstrated a delicately balanced bidirectional
network. Essential molecular interactions across multiple regions of the Factor
IXa molecule co-operate in the maturation of the active site. This maturation is
specifically facilitated by long-range communication through the Ile(212)
Ile(213) motif unique to Factor IXa and a flexibility of the 170-loop that is
further dependent on the conformation in the Cys(168)-Cys(182) disulfide bond.
Ultimately, the network consists of compensatory brakes (Val(16) and Ile(213))
and accelerators (Tyr(99) and Phe(174)) that together allow for a subtle fine
tuning of enzymatic activity.
PMID- 27208169
TI - The role of fibrinogen glycation in ATTR: evidence for chaperone activity loss in
disease.
AB - Transthyretin amyloidosis (ATTR) belongs to a class of disorders caused by
protein misfolding and aggregation. ATTR is a disabling disorder of autosomal
dominant trait, where transthyretin (TTR) forms amyloid deposits in different
organs, causing dysfunction of the peripheral nervous system. We previously
discovered that amyloid fibrils from ATTR patients are glycated by methylglyoxal.
Even though no consensus has been reached about the actual role of methylglyoxal
derived advanced glycation end-products in amyloid diseases, evidence collected
so far points to a role for protein glycation in conformational abnormalities,
being ubiquitously found in amyloid deposits in Alzheimer's disease, dialysis
related amyloidosis and Parkinson's diseases. Human fibrinogen, an extracellular
chaperone, was reported to specifically interact with a wide spectrum of stressed
proteins and suppress their aggregation, being an interacting protein with TTR.
Fibrinogen is differentially glycated in ATTR, leading to its chaperone activity
loss. Here we show the existence of a proteostasis imbalance in ATTR linked to
fibrinogen glycation by methylglyoxal.
PMID- 27208170
TI - Structural basis of the signalling through a bacterial membrane receptor HasR
deciphered by an integrative approach.
AB - Bacteria use diverse signalling pathways to adapt gene expression to external
stimuli. In Gram-negative bacteria, the binding of scarce nutrients to membrane
transporters triggers a signalling process that up-regulates the expression of
genes of various functions, from uptake of nutrient to production of virulence
factors. Although proteins involved in this process have been identified, signal
transduction through this family of transporters is not well understood. In the
present study, using an integrative approach (EM, SAXS, X-ray crystallography and
NMR), we have studied the structure of the haem transporter HasR captured in two
stages of the signalling process, i.e. before and after the arrival of signalling
activators (haem and its carrier protein). We show for the first time that the
HasR domain responsible for signal transfer: (i) is highly flexible in two stages
of signalling; (ii) extends into the periplasm at approximately 70-90 A (1 A=0.1
nm) from the HasR beta-barrel; and (iii) exhibits local conformational changes in
response to the arrival of signalling activators. These features would favour the
signal transfer from HasR to its cytoplasmic membrane partners.
PMID- 27208171
TI - Characterization of a high-affinity sialic acid-specific CBM40 from Clostridium
perfringens and engineering of a divalent form.
AB - CBMs (carbohydrate-binding modules) are a class of polypeptides usually
associated with carbohydrate-active enzymatic sites. We have characterized a new
member of the CBM40 family, coded from a section of the gene NanI from
Clostridium perfringens Glycan arrays revealed its preference towards alpha(2,3)
linked sialosides, which was confirmed and quantified by calorimetric studies.
The CBM40 binds to alpha(2,3)-sialyl-lactose with a Kd of ~30 MUM, the highest
affinity value for this class of proteins. Inspired by lectins' structure and
their arrangement as multimeric proteins, we have engineered a dimeric form of
the CBM, and using SPR (surface plasmon resonance) we have observed 6-11-fold
binding increases due to the avidity affect. The structures of the CBM, resolved
by X-ray crystallography, in complex with alpha(2,3)- or alpha(2,6)-sialyl
lactose explain its binding specificity and unusually strong binding.
PMID- 27208172
TI - An asparagine residue mediates intramolecular communication in nucleotide
regulated pyrophosphatase.
AB - Many prokaryotic soluble PPases (pyrophosphatases) contain a pair of regulatory
adenine nucleotide-binding CBS (cystathionine beta-synthase) domains that act as
'internal inhibitors' whose effect is modulated by nucleotide binding. Although
such regulatory domains are found in important enzymes and transporters, the
underlying regulatory mechanism has only begun to come into focus. We reported
previously that CBS domains bind nucleotides co-operatively and induce positive
kinetic co-operativity (non-Michaelian behaviour) in CBS-PPases (CBS domain
containing PPases). In the present study, we demonstrate that a homodimeric
ehPPase (Ethanoligenens harbinense PPase) containing an inherent mutation in an
otherwise conserved asparagine residue in a loop near the active site exhibits
non-co-operative hydrolysis kinetics. A similar N312S substitution in 'co
operative' dhPPase (Desulfitobacterium hafniense PPase) abolished kinetic co
operativity while causing only minor effects on nucleotide-binding affinity and
co-operativity. However, the substitution reversed the effect of diadenosine
tetraphosphate, abolishing kinetic co-operativity in wild-type dhPPase, but
restoring it in the variant dhPPase. A reverse serine-to-asparagine replacement
restored kinetic co-operativity in ehPPase. Molecular dynamics simulations
revealed that the asparagine substitution resulted in a change in the hydrogen
bonding pattern around the asparagine residue and the subunit interface, allowing
greater flexibility at the subunit interface without a marked effect on the
overall structure. These findings identify this asparagine residue as lying at
the 'crossroads' of information paths connecting catalytic and regulatory domains
within a subunit and catalytic sites between subunits.
PMID- 27208173
TI - Actinin-1 binds to the C-terminus of A2B adenosine receptor (A2BAR) and enhances
A2BAR cell-surface expression.
AB - A2BAR (A2B adenosine receptor) has been implicated in several physiological
conditions, such as allergic or inflammatory disorders, vasodilation, cell growth
and epithelial electrolyte secretion. For mediating the protein-protein
interactions of A2BAR, the receptor's C-terminus is recognized to be crucial. In
the present study, we unexpectedly found that two point mutations in the A2BAR C
terminus (F297A and R298A) drastically impaired the expression of A2BAR protein
by accelerating its degradation. Thus we tested the hypothesis that these two
point mutations disrupt A2BAR's interaction with a protein essential for A2BAR
stability. Our results show that both mutations disrupted the interaction of
A2BAR with actinin-1, an actin-associated protein. Furthermore, actinin-1 binding
stabilized the global and cell-surface expression of A2BAR. By contrast, actinin
4, another non-muscle actinin isoform, did not bind to A2BAR. Thus our findings
reveal a previously unidentified regulatory mechanism of A2BAR abundance.
PMID- 27208174
TI - Catalytic and substrate promiscuity: distinct multiple chemistries catalysed by
the phosphatase domain of receptor protein tyrosine phosphatase.
AB - The presence of latent activities in enzymes is posited to underlie the natural
evolution of new catalytic functions. However, the prevalence and extent of such
substrate and catalytic ambiguity in evolved enzymes is difficult to address
experimentally given the order-of-magnitude difference in the activities for
native and, sometimes, promiscuous substrate/s. Further, such latent functions
are of special interest when the activities concerned do not fall into the domain
of substrate promiscuity. In the present study, we show a special case of such
latent enzyme activity by demonstrating the presence of two mechanistically
distinct reactions catalysed by the catalytic domain of receptor protein tyrosine
phosphatase isoform delta (PTPRdelta). The primary catalytic activity involves
the hydrolysis of a phosphomonoester bond (C?O?P) with high catalytic efficiency,
whereas the secondary activity is the hydrolysis of a glycosidic bond (C?O?C)
with poorer catalytic efficiency. This enzyme also displays substrate promiscuity
by hydrolysing diester bonds while being highly discriminative for its monoester
substrates. To confirm these activities, we also demonstrated their presence on
the catalytic domain of protein tyrosine phosphatase Omega (PTPROmega), a
homologue of PTPRdelta. Studies on the rate, metal-ion dependence, pH dependence
and inhibition of the respective activities showed that they are markedly
different. This is the first study that demonstrates a novel sugar hydrolase and
diesterase activity for the phosphatase domain (PD) of PTPRdelta and PTPROmega.
This work has significant implications for both understanding the evolution of
enzymatic activity and the possible physiological role of this new chemistry. Our
findings suggest that the genome might harbour a wealth of such alternative
latent enzyme activities in the same protein domain that renders our knowledge of
metabolic networks incomplete.
PMID- 27208175
TI - Domains of STIP1 responsible for regulating PrPC-dependent amyloid-beta oligomer
toxicity.
AB - Soluble oligomers of amyloid-beta peptide (AbetaO) transmit neurotoxic signals
through the cellular prion protein (PrP(C)) in Alzheimer's disease (AD). Secreted
stress-inducible phosphoprotein 1 (STIP1), an Hsp70 and Hsp90 cochaperone,
inhibits AbetaO binding to PrP(C) and protects neurons from AbetaO-induced cell
death. Here, we investigated the molecular interactions between AbetaO and STIP1
binding to PrP(C) and their effect on neuronal cell death. We showed that
residues located in a short region of PrP (90-110) mediate AbetaO binding and we
narrowed the major interaction in this site to amino acids 91-100. In contrast,
multiple binding sites on STIP1 (DP1, TPR1 and TPR2A) contribute to PrP binding.
DP1 bound the N-terminal of PrP (residues 23-95), whereas TPR1 and TPR2A showed
binding to the C-terminal of PrP (residues 90-231). Importantly, only TPR1 and
TPR2A directly inhibit both AbetaO binding to PrP and cell death. Furthermore,
our structural studies reveal that TPR1 and TPR2A bind to PrP through distinct
regions. The TPR2A interface was shown to be much more extensive and to partially
overlap with the Hsp90 binding site. Our data show the possibility of a PrP,
STIP1 and Hsp90 ternary complex, which may influence AbetaO-mediated cell death.
PMID- 27208176
TI - miR-30a can inhibit DNA replication by targeting RPA1 thus slowing cancer cell
proliferation.
AB - Cell proliferation was inhibited following forced over-expression of miR-30a in
the ovary cancer cell line A2780DX5 and the gastric cancer cell line SGC7901R.
Interestingly, miR-30a targets the DNA replication protein RPA1, hinders the
replication of DNA and induces DNA fragmentation. Furthermore, ataxia
telangiectasia mutated (ATM) and checkpoint kinase 2 (CHK2) were phosphorylated
after DNA damage, which induced p53 expression, thus triggering the S-phase
checkpoint, arresting cell cycle progression and ultimately initiating cancer
cell apoptosis. Therefore, forced miR-30a over-expression in cancer cells can be
a potential way to inhibit tumour development.
PMID- 27208178
TI - Nuclear localizations of phosphatidylinositol 5-phosphate 4-kinases alpha and
beta are dynamic and independently regulated during starvation-induced stress.
AB - The chicken B-cell line DT40 has two isoforms of phosphatidylinositol 5-phosphate
4-kinase (PI5P4K), alpha and beta, which are likely to exist as a mixture of
obligate homo- and hetero-dimers. Previous work has led us to speculate that an
important role of the beta isoform may be to target the more active PI5P4Kalpha
isoform to the nucleus. In the present study we expand upon that work by
genomically tagging the PI5P4Ks with fluorochromes in the presence or absence of
stable or acute depletions of PI5P4Kbeta. Consistent with our original hypothesis
we find that PI5P4Kalpha is predominantly (possible entirely) cytoplasmic when
PI5P4Kbeta is stably deleted from cells. In contrast, when PI5P4Kbeta is
inducibly removed within 1 h PI5P4Kalpha retains its wild-type distribution of
approximately 50:50 between cytoplasm and nucleus even through a number of cell
divisions. This leads us to speculate that PI5P4Kalpha is chromatin-associated.
We also find that when cells are in the exponential phase of growth PI5P4Kbeta is
primarily cytoplasmic but translocates to the nucleus upon growth into the
stationary phase or upon serum starvation. Once again this is not accompanied by
a change in PI5P4Kalpha localization and we show, using an in vitro model, that
this is possible because the dimerization between the two isoforms is dynamic.
Given this shift in PI5P4Kbeta upon nutrient deprivation we explore the phenotype
of PI5P4K B-null cells exposed to this stress and find that they can sustain a
greater degree of nutrient deprivation than their wild-type counterparts possibly
as a result of up-regulation of autophagy.
PMID- 27208177
TI - Selenophosphate synthetase 1 is an essential protein with roles in regulation of
redox homoeostasis in mammals.
AB - Selenophosphate synthetase (SPS) was initially detected in bacteria and was shown
to synthesize selenophosphate, the active selenium donor. However, mammals have
two SPS paralogues, which are designated SPS1 and SPS2. Although it is known that
SPS2 catalyses the synthesis of selenophosphate, the function of SPS1 remains
largely unclear. To examine the role of SPS1 in mammals, we generated a Sps1
knockout mouse and found that systemic SPS1 deficiency led to embryos that were
clearly underdeveloped by embryonic day (E)8.5 and virtually resorbed by E14.5.
The knockout of Sps1 in the liver preserved viability, but significantly affected
the expression of a large number of mRNAs involved in cancer, embryonic
development and the glutathione system. Particularly notable was the extreme
deficiency of glutaredoxin 1 (GLRX1) and glutathione transferase Omega 1 (GSTO1).
To assess these phenotypes at the cellular level, we targeted the removal of SPS1
in F9 cells, a mouse embryonal carcinoma (EC) cell line, which affected the
glutathione system proteins and accordingly led to the accumulation of hydrogen
peroxide in the cell. Furthermore, we found that several malignant
characteristics of SPS1-deficient F9 cells were reversed, suggesting that SPS1
played a role in supporting and/or sustaining cancer. In addition, the
overexpression of mouse or human GLRX1 led to a reversal of observed increases in
reactive oxygen species (ROS) in the F9 SPS1/GLRX1-deficient cells and resulted
in levels that were similar to those in F9 SPS1-sufficient cells. The results
suggested that SPS1 is an essential mammalian enzyme with roles in regulating
redox homoeostasis and controlling cell growth.
PMID- 27208181
TI - Delving Into the Type 1 Diabetic Islet: Evidence That B-Cell Infiltration of
Islets Is Linked to Local Hyperimmunity and Accelerated Progression to Disease.
PMID- 27208182
TI - Prostaglandin I2 Receptor Agonism for Proteinuria and Diabetes: Good for the
Goose and Good for the Gander?
PMID- 27208180
TI - Accurate Measurement of Postprandial Glucose Turnover: Why Is It Difficult and
How Can It Be Done (Relatively) Simply?
AB - Fasting hyperglycemia occurs when an excessive rate of endogenous glucose
production (EGP) is not accompanied by an adequate compensatory increase in the
rate of glucose disappearance (Rd). The situation following food ingestion is
more complex as the amount of glucose that reaches the circulation for disposal
is a function of the systemic rate of appearance of the ingested glucose
(referred to as the rate of meal appearance [Rameal]), the pattern and degree of
suppression of EGP, and the rapidity of stimulation of the Rd In an effort to
measure these processes, Steele et al. proposed what has come to be referred to
as the dual-tracer method in which the ingested glucose is labeled with one
tracer while a second tracer is infused intravenously at a constant rate.
Unfortunately, subsequent studies have shown that although this approach is
technically simple, the marked changes in plasma specific activity or the tracer
to-tracee ratio, if stable tracers are used, introduce a substantial error in the
calculation of Rameal, EGP, and Rd, thereby leading to incorrect and at times
misleading results. This Perspective discusses the causes of these so-called
"nonsteady-state" errors and how they can be avoided by the use of the triple
tracer approach.
PMID- 27208183
TI - Targeting miR-200c to Ameliorate Diabetes-Induced Endothelial Dysfunction.
PMID- 27208184
TI - A Role for the Host in the Roadmap to Diabetes Stem Cell Therapy.
PMID- 27208185
TI - Recruiting Brown Adipose Tissue in Human Obesity.
PMID- 27208186
TI - How to Make Mice Tell the Truth.
PMID- 27208187
TI - Statement of Retraction. Replication of Obesity and Associated Signaling Pathways
Through Transfer of Microbiota From Obese-Prone Rats. Diabetes 2014;63:1624-1636.
DOI: 10.2337/db13-1526.
PMID- 27208194
TI - Validation of a Novel 3-Dimensional Sonographic Method for Assessing Gastric
Accommodation in Healthy Adults.
AB - OBJECTIVES: A novel automated 3-dimensional (3D) sonographic method has been
developed for measuring gastric volumes. This study aimed to validate and assess
the reliability of this novel 3D sonographic method compared to the reference
standard in 3D gastric sonography: freehand magneto-based 3D sonography. METHODS:
A prospective study with 8 balloons (in vitro) and 16 stomachs of healthy
volunteers (in vivo) was performed. After a 500-mL liquid meal, 1 preprandial and
3 postprandial volume scans of the stomachs were performed by the novel 3D
sonographic method and the current reference-standard 3D sonographic method.
RESULTS: The in vitro study showed a mean volume difference between the novel
method and the true balloon volume of -1.3 mL; limits of agreement (LoA) were
small (-39.3 to12.3 mL), with an intraclass correlation coefficient (ICC) of
0.998. The in vivo study showed a mean gastric volume of 321 mL between the novel
method and the freehand magneto-based method, with a mean volume difference of
4.4 mL; LoA were -40.1 to 31.2 mL, and the ICC was 0.991. The intraobserver and
interobserver variability rates were low, at 0.8 mL (LoA, -24.0 to 25.6 mL), with
an ICC of 0.995, and 0.5 mL (LoA, of -26.8 to 27.8 mL), with an ICC of 0.999,
respectively. CONCLUSIONS: The novel 3D sonographic method with automated
acquisition showed good agreement with the current reference-standard gastric 3D
sonographic method, with low intraobserver and interobserver variability. This
novel 3D sonographic method is a valid and reliable technique for determining
gastric accommodation.
PMID- 27208195
TI - Improving the Prediction of Neonatal Outcomes in Isolated Left-Sided Congenital
Diaphragmatic Hernia by Direct and Indirect Sonographic Assessment of Liver
Herniation.
AB - OBJECTIVES: Liver herniation can be assessed sonographically by either a direct
(liver-to-thoracic area ratio) or an indirect (stomach position) method. Our
objective was to evaluate the utility of those methods to assess liver herniation
for the prediction of neonatal outcomes in patients with isolated left-sided
congenital diaphragmatic hernia (CDH). METHODS: We conducted a retrospective
cohort study of all patients with CDH who had prenatal assessment and were
delivered at Texas Children's Hospital between January 2004 and April 2014. The
predictive value of sonographic parameters for mortality and the need for
extracorporeal membrane oxygenation was evaluated by univariate, multivariate,
and factor analysis and by receiver operating characteristics curves. RESULTS: A
total of 77 fetuses with isolated left-sided CDH were analyzed. The lung-to-head
ratio, liver-to-thorax ratio, and stomach position (according to the
classifications of Kitano et al [Ultrasound Obstet Gynecol 2011; 37:277-282] and
Cordier et al [J Matern Fetal Neonatal Med 2015; 28:190-195]) were significantly
associated with both neonatal outcomes (P < .03). Significant correlations were
observed between all of these sonographic parameters. A combination of the liver
to-thorax ratio and stomach position (Kitano) or stomach position (Cordier) with
the lung-to-head ratio increased the area under the receiver operating
characteristic curve of the lung-to-head ratio for mortality prediction (0.86
[95% confidence interval, 0.74-0.98], 0.83 [0.72-0.95], and 0.83 [0.74-0.92],
respectively). CONCLUSIONS: Sonographic measurements of liver herniation (liver
to-thorax ratio and stomach position) are predictive of neonatal outcomes in
isolated left-sided congenital diaphragmatic hernia. Our study shows that the
combination of those sonographic measurements of liver herniation and lung size
improves the accuracy of predicting mortality in those fetuses.
PMID- 27208197
TI - Role of Doppler Sonography in Early Detection of Splenic Steal Syndrome.
AB - OBJECTIVES: To retrospectively investigate the role of Doppler sonography in the
early detection of splenic steal syndrome. METHODS: Fifty cases of splenic steal
syndrome after orthotopic liver transplantation were identified. A control group
was matched to the splenic steal syndrome group. Information was collected about
the clinical presentation, liver enzyme levels, Doppler sonographic results, and
follow-up after patients underwent splenic artery embolization. RESULTS: A
persistent hepatic arterial diastolic reversal waveform was observed in 25
patients with splenic steal syndrome versus 0 control patients. The mean hepatic
arterial resistive index (RI) values +/- SD were 0.95 +/- 0.09 in patients with
splenic steal syndrome and 0.80 +/- 0.10 in control patients (P < .0001). One
week after orthotopic liver transplantation, the area under the receiver
operating characteristic curve for the RI was 0.884 (95% confidence interval,
0.793-0.975; P = .001) for splenic steal syndrome diagnosis. After splenic artery
embolization, there was normalization of the reversal waveform, with an average
RI of 0.77 +/- 0.11 (P < .0001). CONCLUSIONS: Dynamic changes in the hepatic
arterial waveform and RI are keys to detecting splenic steal syndrome with
Doppler sonography.
PMID- 27208196
TI - Contribution of Contrast-Enhanced Sonography With Perfluorobutane Microbubbles
for Diagnosis of Recurrent Hepatocellular Carcinoma.
AB - OBJECTIVES: The role of contrast-enhanced sonography in the diagnosis of
recurrent hepatocellular carcinoma is still unclear. This study aimed to clarify
the usefulness and limitations of contrast-enhanced sonography with a
perfluorobutane microbubble contrast agent (Sonazoid; Daiichi-Sankyo, Tokyo,
Japan) after contrast-enhanced computed tomography (CT) for diagnosis of
recurrent hepatocellular carcinoma and to establish its optimal use. METHODS: A
total of 514 patients, who were suspected to have recurrent hepatocellular
carcinoma on contrast-enhanced CT, underwent contrast-enhanced sonography. Of 514
suspicious lesions, 484 were diagnosed as recurrent hepatocellular carcinomas,
including 142 recurrent hepatocellular carcinomas measuring 1 cm or smaller in
diameter. The largest lesion was evaluated in each patient. A final diagnosis of
recurrent hepatocellular carcinoma after contrast-enhanced CT was reached on the
basis of the typical hallmarks of hepatocellular carcinoma on any of the other
contrast imaging modalities or by resected tissue or tumor enlargement during
follow-up. RESULTS: The sensitivity, specificity, positive predictive value,
negative predictive value, and accuracy of contrast-enhanced CT were 68%, 93%,
99%, 15%, and 70%, respectively, and the values of contrast-enhanced sonography
were 91%, 100%, 100%, 31%, and 91%, excluding 60 unassessable lesions on contrast
enhanced sonography. The diagnostic rate for recurrent hepatocellular carcinoma
on contrast-enhanced sonography for lesions with an atypical enhancement pattern
on contrast-enhanced CT was 71%. On multivariate analysis of factors contributing
to the unassessability of contrast-enhanced sonography, lesion size, location,
and abdominal wall thickness were independent factors. CONCLUSIONS: Although the
assessability of contrast-enhanced sonography depends on lesion size, location,
and abdominal wall thickness, contrast-enhanced sonography after contrast
enhanced CT is useful for confirmative diagnosis of small recurrent
hepatocellular carcinoma with an atypical enhancement pattern on contrast
enhanced CT, even for lesions measuring 1 cm or smaller in diameter.
PMID- 27208198
TI - Diagnostic Performance of Shear Wave Elastography for Predicting Esophageal
Varices in Patients With Compensated Liver Cirrhosis.
AB - OBJECTIVES: The purpose of this study was to investigate the diagnostic
performance of shear wave elastography (SWE) for predicting the presence of
esophageal varices and high-risk esophageal varices in patients with compensated
cirrhosis and to compare it with other nonspecific predictors and according to
the presence of splenomegaly. METHODS: Clinical data from 103 patients with
compensated cirrhosis who underwent sonography, SWE, and endoscopy were collected
consecutively. Liver stiffness was measured by SWE. Comparisons of the accuracy
of prediction between groups were made by areas under the receiver operating
characteristic curves (AUROCs), and regression analyses were performed for the
multiple variables related to the presence of esophageal varices and high-risk
varices. RESULTS: The optimal cutoff values for predicting the presence of
esophageal varices and high-risk varices were 13.9 and 16.1 kPa, respectively.
The AUROC of liver stiffness for prediction of esophageal varices was
significantly higher than the AUROCs of platelet count, spleen diameter, and
platelet count/spleen diameter ratio (P = .025; P = .001; P = .027). For
predicting esophageal varices in patients without splenomegaly, the AUROC of
liver stiffness was higher than that of the platelet count/spleen diameter ratio.
In multivariate logistic regression analysis, liver stiffness and the platelet
count/spleen diameter ratio were independent predictors of esophageal varices (P
< .001; P = .038). For the presence of high-risk varices, only liver stiffness
was a statistically significant independent predictor (P = .012). CONCLUSIONS: In
patients with compensated cirrhosis, liver stiffness measured by SWE is a new
effective noninvasive diagnostic tool for predicting the presence of esophageal
varices. It is more accurate than the platelet count/spleen diameter ratio,
especially in patients without splenomegaly. In addition, the SWE value was the
only effective independent factor for predicting high-risk esophageal varices.
PMID- 27208199
TI - An Advantageous Role of Spectral Doppler Sonography in the Evaluation of Thyroid
Dysfunction During the Postpartum Period.
AB - OBJECTIVES: To evaluate the diagnostic value of spectral Doppler sonography in
women with thyroid dysfunction during the first postpartum year. METHODS: This
prospective observational clinical study included 83 consecutive untreated women:
32 with hyperthyroid postpartum thyroiditis, 32 with hypothyroid postpartum
thyroiditis, and 19 with Graves disease, which first appeared within 12 months
after delivery. Thyrotropin, free thyroid hormones, thyroid peroxidase
antibodies, thyroglobulin antibodies, and thyrotropin receptor antibodies were
measured. With a 7.5-MHz linear transducer, we measured the thyroid volume and
peak systolic velocity (PSV) at the level of intrathyroid arteries. RESULTS:
Hyperthyroid postpartum thyroiditis appeared significantly earlier (mean +/- SD,
4.4 +/- 1.9 months after delivery) than hypothyroid postpartum thyroiditis (6.5
+/- 2.1 months) and Graves disease (7.2 +/- 2.7 months; P< .001). The thyroid
volume in hyperthyroid postpartum thyroiditis (9.7 +/- 6.3 mL) was significantly
lower than in hypothyroid postpartum thyroiditis (14.7 +/- 10.2 mL; P = .030) and
Graves disease (19.4 +/- 10.2 mL; P< .001). The PSV in hyperthyroid postpartum
thyroiditis (9.4 +/- 3.4 cm/s) was significantly lower than in hypothyroid
postpartum thyroiditis (14.4 +/- 3.9 cm/s; P < .001) and Graves disease (19.8 +/-
7.0 cm/s; P < .001). With a cutoff level of 15 cm/s, the sensitivity and
specificity of the PSV as a predictor of the correct diagnosis in hyperthyroid
postpartum women were 94.7% and 96.8%, respectively. A multinomial logistic
regression revealed PSV and the time after delivery at which the disorders
presented as independent predictors of the differentiation between hyperthyroid
postpartum thyroiditis and Graves disease (P = .003; P = .022). CONCLUSIONS:
Spectral Doppler sonography was shown to be a useful and accurate method for
thyroid dysfunction evaluation during the postpartum period.
PMID- 27208200
TI - Sonographic Appearance of the Gallbladder in the Allogeneic Hematopoietic Stem
Cell Transplant Population.
AB - OBJECTIVES: To determine whether gallbladder sonographic findings in
hematopoietic stem cell (HSC) transplant patients can distinguish among
hepatobiliary complications of HSC transplants (graft-versus-host disease [GVHD]
and veno-occlusive disease) and biliary events (cholecystitis, cholangitis, and
choledocholithiasis). METHODS: All HSC transplant patients who underwent
gallbladder sonography from 2009 through 2012 were identified. Complications and
sonographic findings were recorded. RESULTS: Of 124 patients, 66 (53.2%) had an
event: 33 (50.0%) had GVHD; 22 (33.3%) had veno-occlusive disease; 6 (9.0%) had a
biliary event; 4 (6.0%) had veno-occlusive disease and GVHD; and 1 (1.5%) had
veno-occlusive disease and a biliary event. Of all patients, 115 (92.7%) had 1 or
more sonographic findings in the gallbladder, with sludge being most common in
all groups. In patients with veno-occlusive disease, the second and third most
common findings were pericholecystic fluid and wall thickening. In patients with
GVHD, distension was the second most common. Patients with biliary events all had
distension, and half had pericholecystic fluid. Patients with veno-occlusive
disease were significantly more likely to have wall thickening than those with
GVHD or no event (77.2% versus 36.3%; P= .005; and 77.2% versus 37.9%; P= .002)
and more likely to have pericholecystic fluid than patients with GVHD (95.5%
versus 45.5%; P = .0001), a biliary event (95.5% versus 50%; P = .02), or no
event (95.5% versus 29.3%; P = .0001). The positive predictive value of any
finding was low for all groups because findings were common in patients with no
event. CONCLUSIONS: Sonographic abnormalities of the gallbladder after HSC
transplants are common in patients with and without HSC transplant complications
and in those with biliary events. No sonographic finding is useful to distinguish
among complications in HSC transplant patients.
PMID- 27208201
TI - Quantitative Assessment of Left Ventricular Diastolic Stiffness Using Cardiac
Shear Wave Elastography: A Pilot Study.
AB - OBJECTIVES: The purpose of this study was to systematically investigate the
feasible echocardiographic views for human transthoracic cardiac shear wave
elastography (SWE) and the impact of myocardial anisotropy on myocardial
stiffness measurements. METHODS: A novel cardiac SWE technique using pulse
inversion harmonic imaging and time-aligned sequential tracking was developed for
this study. The technique can measure the quantitative local myocardial stiffness
noninvasively. Ten healthy volunteers were recruited and scanned by the proposed
technique 3 times on 3 different days. RESULTS: Seven combinations of
echocardiographic views and left ventricular (LV) segments were found to be
feasible for LV diastolic stiffness measurements: basal interventricular septum
under parasternal short- and long-axis views; mid interventricular septum under
parasternal short- and long-axis views; anterior LV free wall under parasternal
short- and long-axis views; and posterior LV free wall under a parasternal short
axis view. Statistical analyses showed good repeatability of LV diastolic
stiffness measurements among 3 different days from 70% of the participants for
the basal interventricular septum and posterior LV free wall short-axis views. On
the same LV segment, the mean diastolic shear wave speed measurements from the
short-axis view were statistically different from the long-axis measurements:
1.82 versus 1.29 m/s for the basal interventricular septum; 1.81 versus 1.45 m/s
for mid interventricular septum; and 1.96 versus 1.77 m/s for the anterior LV
free wall, indicating that myocardial anisotropy plays a substantial role in LV
diastolic stiffness measurements. CONCLUSIONS: These results establish the
preliminary normal range of LV diastolic stiffness under different scan views and
provide important guidance for future clinical studies using cardiac SWE.
PMID- 27208202
TI - Sonography of Responsive Versus Nonresponsive Ectopic Pregnancies.
AB - This case series describes changes in size, vascularity, and cul-de-sac fluid in
30 patients with ectopic pregnancies who were treated with systemic methotrexate.
Pretreatment and posttreatment transvaginal sonography of the ectopic pregnancies
was performed with color Doppler imaging, and the images were assessed for
changes in size, vascularity, and cul-de-sac free fluid. There was a trend for
nonresponders to show increased vascularity on serial examinations, although this
finding was also seen in a single responder. There was also a trend for
nonresponders with increased vascularity to be associated with a greater increase
in beta-human chorionic gonadotropin levels and responders with decreased
vascularity to be associated with a greater decrease in beta-human chorionic
gonadotropin levels.
PMID- 27208203
TI - Bedside Ultrasound Education in Primary Care.
PMID- 27208204
TI - Molecular findings from 537 individuals with inherited retinal disease.
AB - BACKGROUND: Inherited retinal diseases (IRDs) are a clinically and genetically
heterogeneous set of disorders, for which diagnostic second-generation sequencing
(next-generation sequencing, NGS) services have been developed worldwide.
METHODS: We present the molecular findings of 537 individuals referred to a 105
gene diagnostic NGS test for IRDs. We assess the diagnostic yield, the spectrum
of clinical referrals, the variant analysis burden and the genetic heterogeneity
of IRD. We retrospectively analyse disease-causing variants, including an
assessment of variant frequency in Exome Aggregation Consortium (ExAC). RESULTS:
Individuals were referred from 10 clinically distinct classifications of IRD. Of
the 4542 variants clinically analysed, we have reported 402 mutations as a cause
or a potential cause of disease in 62 of the 105 genes surveyed. These variants
account or likely account for the clinical diagnosis of IRD in 51% of the 537
referred individuals. 144 potentially disease-causing mutations were identified
as novel at the time of clinical analysis, and we further demonstrate the
segregation of known disease-causing variants among individuals with IRD. We show
that clinically analysed variants indicated as rare in dbSNP and the Exome
Variant Server remain rare in ExAC, and that genes discovered as a cause of IRD
in the post-NGS era are rare causes of IRD in a population of clinically surveyed
individuals. CONCLUSIONS: Our findings illustrate the continued powerful utility
of custom-gene panel diagnostic NGS tests for IRD in the clinic, but suggest
clear future avenues for increasing diagnostic yields.
PMID- 27208205
TI - Complementation of hypersensitivity to DNA interstrand crosslinking agents
demonstrates that XRCC2 is a Fanconi anaemia gene.
AB - BACKGROUND: Fanconi anaemia (FA) is a heterogeneous inherited disorder clinically
characterised by progressive bone marrow failure, congenital anomalies and a
predisposition to malignancies. OBJECTIVE: Determine, based on correction of
cellular phenotypes, whether XRCC2 is a FA gene. METHODS: Cells (900677A) from a
previously identified patient with biallelic mutation of XRCC2, among other
mutations, were genetically complemented with wild-type XRCC2. RESULTS: Wild-type
XRCC2 corrects each of three phenotypes characteristic of FA cells, all related
to the repair of DNA interstrand crosslinks, including increased sensitivity to
mitomycin C (MMC), chromosome breakage and G2-M accumulation in the cell cycle.
Further, the p.R215X mutant of XRCC2, which is harboured by the patient, is
unstable. This provides an explanation for the pathogenesis of this mutant, as
does the fact that 900677A cells have reduced levels of other proteins in the
XRCC2-RAD51B-C-D complex. Also, FANCD2 monoubiquitination and foci formation, but
not assembly of RAD51 foci, are normal in 900677A cells. Thus, XRCC2 acts late in
the FA-BRCA pathway as also suggested by hypersensitivity of 900677A cells to
ionising radiation. These cells also share milder sensitivities towards olaparib
and formaldehyde with certain other FA cells. CONCLUSIONS: XRCC2/FANCU is a FA
gene, as is another RAD51 paralog gene, RAD51C/FANCO. Notably, similar to a
subset of FA genes that act downstream of FANCD2, biallelic mutation of
XRCC2/FANCU has not been associated with bone marrow failure. Taken together, our
results yield important insights into phenotypes related to FA and its genetic
origins.
PMID- 27208207
TI - Deficiency of HTRA2/Omi is associated with infantile neurodegeneration and 3
methylglutaconic aciduria.
AB - BACKGROUND: Cell survival critically depends on the integrity of mitochondria,
which play a pivotal role during apoptosis. Extensive mitochondrial damage
promotes release of pro-apoptotic factors from the intermembrane space of
mitochondria. Released mitochondrial proteins include Smac/DIABLO and HTRA2/Omi,
which inhibit the cytosolic E3 ubiquitin ligase XIAP and other inhibitors of
apoptosis proteins. AIMS: Here we investigated the cause of extreme hypertonia at
birth, alternating with hypotonia, with the subsequent appearance of
extrapyramidal symptoms, lack of psychomotor development, microcephaly,
intractable seizures and early death in four patients from two unrelated
families. The patients showed lactic acidemia, 3-methylglutaconic aciduria,
intermittent neutropenia, evolving brain atrophy and disturbed cristae structure
in muscle mitochondria. METHODS AND RESULTS: Using whole-exome sequencing, we
identified missplicing mutation and a 5 bp deletion in HTRA2, encoding HTRA2/Omi.
This protein was completely absent from the patients' fibroblasts, whose growth
was impaired and which were hypersensitive to apoptosis. Expression of HtrA2/Omi
or of the proteolytically inactive HTRA2/Omi protein restored the cells'
apoptotic resistance. However, cell growth was only restored by the
proteolytically active protein. CONCLUSIONS: This is the first report of
recessive deleterious mutations in HTRA2 in human. The clinical phenotype, the
increased apoptotic susceptibility and the impaired cell growth recapitulate
those observed in the Htra2 knockout mice and in mutant mice with proteolytically
inactive HTRA2/Omi. Together, they underscore the importance of both chaperone
and proteolytic activities of HTRA2/Omi for balanced apoptosis sensitivity and
for brain development. Absence of HTRA2/Omi is associated with severe
neurodegenerative disorder of infancy, abnormal mitochondria, 3-methylglutaconic
aciduria and increased sensitivity to apoptosis.
PMID- 27208206
TI - New paradigms for BRCA1/BRCA2 testing in women with ovarian cancer: results of
the Genetic Testing in Epithelial Ovarian Cancer (GTEOC) study.
AB - BACKGROUND: Over recent years genetic testing for germline mutations in
BRCA1/BRCA2 has become more readily available because of technological advances
and reducing costs. OBJECTIVE: To explore the feasibility and acceptability of
offering genetic testing to all women recently diagnosed with epithelial ovarian
cancer (EOC). METHODS: Between 1 July 2013 and 30 June 2015 women newly diagnosed
with EOC were recruited through six sites in East Anglia, UK into the Genetic
Testing in Epithelial Ovarian Cancer (GTEOC) study. Eligibility was irrespective
of patient age and family history of cancer. The psychosocial arm of the study
used self-report, psychometrically validated questionnaires (Depression Anxiety
and Stress Scale (DASS-21); Impact of Event Scale (IES)) and cost analysis was
performed. RESULTS: 232 women were recruited and 18 mutations were detected (12
in BRCA1, 6 in BRCA2), giving a mutation yield of 8%, which increased to 12% in
unselected women aged <70 years (17/146) but was only 1% in unselected women aged
>=70 years (1/86). IES and DASS-21 scores in response to genetic testing were
significantly lower than equivalent scores in response to cancer diagnosis
(p<0.001). Correlation tests indicated that although older age is a protective
factor against any traumatic impacts of genetic testing, no significant
correlation exists between age and distress outcomes. CONCLUSIONS: The mutation
yield in unselected women diagnosed with EOC from a heterogeneous population with
no founder mutations was 8% in all ages and 12% in women under 70. Unselected
genetic testing in women with EOC was acceptable to patients and is potentially
less resource-intensive than current standard practice.
PMID- 27208208
TI - GATOR1 complex: the common genetic actor in focal epilepsies.
AB - The mammalian or mechanistic target of rapamycin (mTOR) signalling pathway has
multiple roles in regulating physiology of the whole body and, particularly, the
brain. Deregulation of mTOR signalling has been associated to various
neurological conditions, including epilepsy. Mutations in genes encoding
components of Gap Activity TOward Rags 1 (GATOR1) (DEPDC5, NPRL2 and NPRL3), a
complex involved in the inhibition of the mTOR complex 1 (mTORC1), have been
recently implicated in the pathogenesis of a wide spectrum of focal epilepsies
(FEs), both lesional and non-lesional. The involvement of DEPDC5, NPRL2 and NRPL3
in about 10% of FEs is in contrast to the concept that specific seizure semiology
points to the main involvement of a distinct brain area. The hypothesised
pathogenic mechanism underlying epilepsy is the loss of the inhibitory function
of GATOR1 towards mTORC1. The identification of the correct therapeutic strategy
in patients with FE is challenging, especially in those with refractory epilepsy
and/or malformations of cortical development (MCDs). In such cases, surgical
excision of the epileptogenic zone is a curative option, although the long-term
outcome is still undefined. The GATOR1/mTOR signalling represents a promising
therapeutic target in FEs due to mutations in mTOR pathway genes, as in tuberous
sclerosis complex, another MCD-associated epilepsy caused by mTOR signalling
hyperactivation.
PMID- 27208209
TI - Identification of genomic deletions causing inherited retinal degenerations by
coverage analysis of whole exome sequencing data.
AB - BACKGROUND: Inherited retinal degenerations (IRDs) are a common cause of visual
disturbance with a high clinical and genetic heterogeneity. Recent sequencing
techniques such as whole exome sequencing (WES) contribute to the discovery of
novel genes. The aim of the current study was to use WES data to identify large
deletions that include at least one exon in known IRD genes. METHODS: Patients
diagnosed with IRDs underwent a comprehensive ophthalmic evaluation. WES was
performed using the NimbleGen V2 paired-end kit and HiSeq 2000. An analysis of
exon coverage data was performed on 60 WES samples. Exonic deletions were
verified by 'PCR walking' analysis. RESULTS: We analysed data obtained from 60
WES samples of index patients with IRDs. By calculating the average coverage for
all exons in the human genome, we were able to identify homozygous and hemizygous
deletions of at least one exon in six families (10%), including a single-exon
deletion in EYS, deletions of three consecutive exons in MYO7A and NPHP4,
deletions of four and eight consecutive exons in RPGR and a multigene deletion on
the X-chromosome, including CHM. By using PCR-walking analysis, we were able to
identify the borders of five of the deletions and to screen our set of patients
for these deletions. CONCLUSIONS: We performed here a comprehensive analysis of
WES data as a tool for identifying large genomic deletions in patients with IRDs.
Our analysis indicates that large deletions are relatively frequent (about 10% of
our WES cohort) and should be screened when analysing WES data.
PMID- 27208210
TI - When chromatin organisation floats astray: the Srcap gene and Floating-Harbor
syndrome.
AB - Floating-Harbor syndrome (FHS) is a rare human disease characterised by delayed
bone mineralisation and growth deficiency, often associated with mental
retardation and skeletal and craniofacial abnormalities. FHS was first described
at Boston's Floating Hospital 42 years ago, but the causative gene, called Srcap,
was identified only recently. Truncated SRCAP protein variants have been
implicated in the mechanism of FHS, but the molecular bases underlying the
disease must still be elucidated and investigating the molecular defects leading
to the onset of FHS remains a challenge. Here we comprehensively review recent
work and provide alterative hypotheses to explain how the Srcap truncating
mutations lead to the onset of FHS.
PMID- 27208211
TI - Mutations in CEP120 cause Joubert syndrome as well as complex ciliopathy
phenotypes.
AB - BACKGROUND: Ciliopathies are an extensive group of autosomal recessive or X
linked disorders with considerable genetic and clinical overlap, which
collectively share multiple organ involvement and may result in lethal or viable
phenotypes. In large numbers of cases the genetic defect remains yet to be
determined. The aim of this study is to describe the mutational frequency and
phenotypic spectrum of the CEP120 gene. METHODS: Exome sequencing was performed
in 145 patients with Joubert syndrome (JS), including 15 children with oral
facial-digital syndrome type VI (OFDVI) and 21 Meckel syndrome (MKS) fetuses.
Moreover, exome sequencing was performed in one fetus with tectocerebellar
dysraphia with occipital encephalocele (TCDOE), molar tooth sign and additional
skeletal abnormalities. As a parallel study, 346 probands with a phenotype
consistent with JS or related ciliopathies underwent next-generation sequencing
based targeted sequencing of 120 previously described and candidate ciliopathy
genes. RESULTS: We present six probands carrying nine distinct mutations (of
which eight are novel) in the CEP120 gene, previously found mutated only in Jeune
asphyxiating thoracic dystrophy (JATD). The CEP120-associated phenotype ranges
from mild classical JS in four patients to more severe conditions in two fetuses,
with overlapping features of distinct ciliopathies that include TCDOE, MKS, JATD
and OFD syndromes. No obvious correlation is evident between the type or location
of identified mutations and the ciliopathy phenotype. CONCLUSION: Our findings
broaden the spectrum of phenotypes caused by CEP120 mutations that account for
nearly 1% of patients with JS as well as for more complex ciliopathy phenotypes.
The lack of clear genotype-phenotype correlation highlights the relevance of
comprehensive genetic analyses in the diagnostics of ciliopathies.
PMID- 27208215
TI - Pneumothorax and deep sulcus sign.
PMID- 27208214
TI - Primary pulmonary myxoma.
PMID- 27208216
TI - Facial swelling.
PMID- 27208212
TI - The arterial microenvironment: the where and why of atherosclerosis.
AB - The formation of atherosclerotic plaques in the large and medium sized arteries
is classically driven by systemic factors, such as elevated cholesterol and blood
pressure. However, work over the past several decades has established that
atherosclerotic plaque development involves a complex coordination of both
systemic and local cues that ultimately determine where plaques form and how
plaques progress. Although current therapeutics for atherosclerotic
cardiovascular disease primarily target the systemic risk factors, a large array
of studies suggest that the local microenvironment, including arterial mechanics,
matrix remodelling and lipid deposition, plays a vital role in regulating the
local susceptibility to plaque development through the regulation of vascular
cell function. Additionally, these microenvironmental stimuli are capable of
tuning other aspects of the microenvironment through collective adaptation. In
this review, we will discuss the components of the arterial microenvironment, how
these components cross-talk to shape the local microenvironment, and the effect
of microenvironmental stimuli on vascular cell function during atherosclerotic
plaque formation.
PMID- 27208217
TI - Pulmonary mesothelioma.
PMID- 27208218
TI - Scrub typhus.
PMID- 27208213
TI - Chemical ubiquitination for decrypting a cellular code.
AB - The modification of proteins with ubiquitin (Ub) is an important regulator of
eukaryotic biology and deleterious perturbation of this process is widely linked
to the onset of various diseases. The regulatory capacity of the Ub signal is
high and, in part, arises from the capability of Ub to be enzymatically
polymerised to form polyubiquitin (polyUb) chains of eight different linkage
types. These distinct polyUb topologies can then be site-specifically conjugated
to substrate proteins to elicit a number of cellular outcomes. Therefore, to
further elucidate the biological significance of substrate ubiquitination,
methodologies that allow the production of defined polyUb species, and substrate
proteins that are site-specifically modified with them, are essential to progress
our understanding. Many chemically inspired methods have recently emerged which
fulfil many of the criteria necessary for achieving deeper insight into Ub
biology. With a view to providing immediate impact in traditional biology
research labs, the aim of this review is to provide an overview of the techniques
that are available for preparing Ub conjugates and polyUb chains with focus on
approaches that use recombinant protein building blocks. These approaches either
produce a native isopeptide, or analogue thereof, that can be hydrolysable or non
hydrolysable by deubiquitinases. The most significant biological insights that
have already been garnered using such approaches will also be summarized.
PMID- 27208219
TI - Double jeopardy - concurrent lung abscess and pleural empyema.
PMID- 27208220
TI - Comment on: real-life effectiveness of canakinumab in cryopyrin-associated
periodic syndrome: reply.
PMID- 27208221
TI - A Light Switch Based on Protein S-Nitrosylation Fine-Tunes Photosynthetic Light
Harvesting in Chlamydomonas.
AB - Photosynthetic eukaryotes are challenged by a fluctuating light supply, demanding
for a modulated expression of nucleus-encoded light-harvesting proteins
associated with photosystem II (LHCII) to adjust light-harvesting capacity to the
prevailing light conditions. Here, we provide clear evidence for a regulatory
circuit that controls cytosolic LHCII translation in response to light quantity
changes. In the green unicellular alga Chlamydomonas reinhardtii, the cytosolic
RNA-binding protein NAB1 represses translation of certain LHCII isoform mRNAs.
Specific nitrosylation of Cys-226 decreases NAB1 activity and could be
demonstrated in vitro and in vivo. The less active, nitrosylated form of NAB1 is
found in cells acclimated to limiting light supply, which permits accumulation of
light-harvesting proteins and efficient light capture. In contrast, elevated
light supply causes its denitrosylation, thereby activating the repression of
light-harvesting protein synthesis, which is needed to control excitation
pressure at photosystem II. Denitrosylation of recombinant NAB1 is efficiently
performed by the cytosolic thioredoxin system in vitro. To our knowledge, NAB1 is
the first example of stimulus-induced denitrosylation in the context of
photosynthetic acclimation. By identifying this novel redox cross-talk pathway
between chloroplast and cytosol, we add a new key element required for drawing a
precise blue print of the regulatory network of light harvesting.
PMID- 27208222
TI - Two Redundant Receptor-Like Cytoplasmic Kinases Function Downstream of Pattern
Recognition Receptors to Regulate Activation of SA Biosynthesis.
AB - Salicylic acid (SA) serves as a critical signaling molecule in plant defense. Two
transcription factors, SARD1 and CBP60g, control SA biosynthesis through
regulating pathogen-induced expression of Isochorismate Synthase1, which encodes
a key enzyme for SA biosynthesis. Here, we report that Pattern-Triggered Immunity
Compromised Receptor-like Cytoplasmic Kinase1 (PCRK1) and PCRK2 function as key
regulators of SA biosynthesis. In the pcrk1 pcrk2 double mutant, pathogen-induced
expression of SARD1, CBP60g, and ICS1 is greatly reduced. The pcrk1 pcrk2 double
mutant, but neither of the single mutants, exhibits reduced accumulation of SA
and enhanced disease susceptibility to bacterial pathogens. Both PCRK1 and PCRK2
interact with the pattern recognition receptor FLS2, and treatment with pathogen
associated molecular patterns leads to rapid phosphorylation of PCRK2. Our data
suggest that PCRK1 and PCRK2 function downstream of pattern recognition receptor
in a signal relay leading to the activation of SA biosynthesis.
PMID- 27208223
TI - Differential Effects of Nitrogen Forms on Cell Wall Phosphorus Remobilization Are
Mediated by Nitric Oxide, Pectin Content, and Phosphate Transporter Expression.
AB - NH4 (+) is a major source of inorganic nitrogen for rice (Oryza sativa), and NH4
(+) is known to stimulate the uptake of phosphorus (P). However, it is unclear
whether NH4 (+) can also stimulate P remobilization when rice is grown under P
deficient conditions. In this study, we use the two rice cultivars 'Nipponbare'
and 'Kasalath' that differ in their cell wall P reutilization, to demonstrate
that NH4 (+) positively regulates the pectin content and activity of pectin
methylesterase in root cell walls under -P conditions, thereby remobilizing more
P from the cell wall and increasing soluble P in roots and shoots. Interestingly,
our results show that more NO (nitric oxide) was produced in the rice root when
NH4 (+) was applied as the sole nitrogen source compared with the NO3 (-) The
effect of NO on the reutilization of P from the cell walls was further
demonstrated through the application of the NO donor SNP (sodium nitroprusside)
and c-PTIO (NO scavenger 2-(4-carboxyphenyl)-4, 4, 5, 5-tetramethylimidazoline-1
oxyl-3-oxide). What's more, the P-transporter gene OsPT2 is up-regulated under
NH4 (+) supplementation and is therefore involved in the stimulated P
remobilization. In conclusion, our data provide novel (to our knowledge) insight
into the regulatory mechanism by which NH4 (+) stimulates Pi reutilization in
cell walls of rice.
PMID- 27208224
TI - ZEAXANTHIN EPOXIDASE Activity Potentiates Carotenoid Degradation in Maturing
Seed.
AB - Elucidation of the carotenoid biosynthetic pathway has enabled altering the
composition and content of carotenoids in various plants, but to achieve desired
nutritional impacts, the genetic components regulating carotenoid homeostasis in
seed, the plant organ consumed in greatest abundance, must be elucidated. We used
a combination of linkage mapping, genome-wide association studies (GWAS), and
pathway-level analysis to identify nine loci that impact the natural variation of
seed carotenoids in Arabidopsis (Arabidopsis thaliana). ZEAXANTHIN EPOXIDASE
(ZEP) was the major contributor to carotenoid composition, with mutants lacking
ZEP activity showing a remarkable 6-fold increase in total seed carotenoids
relative to the wild type. Natural variation in ZEP gene expression during seed
development was identified as the underlying mechanism for fine-tuning carotenoid
composition, stability, and ultimately content in Arabidopsis seed. We previously
showed that two CAROTENOID CLEAVAGE DIOXYGENASE enzymes, CCD1 and CCD4, are the
primary mediators of seed carotenoid degradation, and here we demonstrate that
ZEP acts as an upstream control point of carotenoid homeostasis, with ZEP
mediated epoxidation targeting carotenoids for degradation by CCD enzymes.
Finally, four of the nine loci/enzymatic activities identified as underlying
natural variation in Arabidopsis seed carotenoids also were identified in a
recent GWAS of maize (Zea mays) kernel carotenoid variation. This first
comparison of the natural variation in seed carotenoids in monocots and dicots
suggests a surprising overlap in the genetic architecture of these traits between
the two lineages and provides a list of likely candidates to target for selecting
seed carotenoid variation in other species.
PMID- 27208225
TI - Negative Regulation of Autophagy by Sulfide Is Independent of Reactive Oxygen
Species.
AB - Accumulating experimental evidence in mammalian, and recently plant, systems has
led to a change in our understanding of the role played by hydrogen sulfide in
life processes. In plants, hydrogen sulfide mitigates stress and regulates
important plant processes such as photosynthesis, stomatal movement, and
autophagy, although the underlying mechanism is not well known. In this study, we
provide new experimental evidence that, together with our previous findings,
demonstrates the role of hydrogen sulfide in regulating autophagy. We used green
fluorescent protein fluorescence associated with autophagic bodies and immunoblot
analysis of the ATG8 protein to show that sulfide (and no other molecules such as
sulfur-containing molecules or ammonium) was able to inhibit the autophagy
induced in Arabidopsis (Arabidopsis thaliana) roots under nitrogen deprivation.
Our results showed that sulfide was unable to scavenge reactive oxygen species
generated by nitrogen limitation, in contrast to well-established reducers. In
addition, reducers were unable to inhibit the accumulation of autophagic bodies
and ATG8 protein forms to the same extent as sulfide. Therefore, we conclude that
sulfide represses autophagy via a mechanism that is independent of redox
conditions.
PMID- 27208226
TI - A Homolog of Blade-On-Petiole 1 and 2 (BOP1/2) Controls Internode Length and
Homeotic Changes of the Barley Inflorescence.
AB - Inflorescence architecture in small-grain cereals has a direct effect on yield
and is an important selection target in breeding for yield improvement. We
analyzed the recessive mutation laxatum-a (lax-a) in barley (Hordeum vulgare),
which causes pleiotropic changes in spike development, resulting in (1) extended
rachis internodes conferring a more relaxed inflorescence, (2) broadened base of
the lemma awns, (3) thinner grains that are largely exposed due to reduced
marginal growth of the palea and lemma, and (4) and homeotic conversion of
lodicules into two stamenoid structures. Map-based cloning enforced by mapping-by
sequencing of the mutant lax-a locus enabled the identification of a homolog of
BLADE-ON-PETIOLE1 (BOP1) and BOP2 as the causal gene. Interestingly, the recently
identified barley uniculme4 gene also is a BOP1/2 homolog and has been shown to
regulate tillering and leaf sheath development. While the Arabidopsis
(Arabidopsis thaliana) BOP1 and BOP2 genes act redundantly, the barley genes
contribute independent effects in specifying the developmental growth of
vegetative and reproductive organs, respectively. Analysis of natural genetic
diversity revealed strikingly different haplotype diversity for the two
paralogous barley genes, likely affected by the respective genomic environments,
since no indication for an active selection process was detected.
PMID- 27208228
TI - VIPP1 Has a Disordered C-Terminal Tail Necessary for Protecting Photosynthetic
Membranes against Stress.
AB - Integrity of biomembranes is vital to living organisms. In bacteria, PspA is
considered to act as repairing damaged membrane by forming large supercomplexes
in Arabidopsis (Arabidopsis thaliana). Vulnerable to oxidative stress,
photosynthetic organisms also contain a PspA ortholog called VIPP1, which has an
additional C-terminal tail (Vc). In this study, Vc was shown to coincide with an
intrinsically disordered region, and the role of VIPP1 in membrane protection
against stress was investigated. We visualized VIPP1 by fusing it to GFP (VIPP1
GFP that fully complemented lethal vipp1 mutations), and investigated its
behavior in vivo with live imaging. The intrinsically disordered nature of Vc
enabled VIPP1 to form what appeared to be functional particles along envelopes,
whereas the deletion of Vc caused excessive association of the VIPP1 particles,
preventing their active movement for membrane protection. Expression of VIPP1
lacking Vc complemented vipp1 mutation, but exhibited sensitivity to heat shock
stress. Conversely, transgenic plants over-expressing VIPP1 showed enhanced
tolerance against heat shock, suggesting that Vc negatively regulates VIPP1
particle association and acts in maintaining membrane integrity. Our data thus
indicate that VIPP1 is involved in the maintenance of photosynthetic membranes.
During evolution, chloroplasts have acquired enhanced tolerance against membrane
stress by incorporating a disordered C-terminal tail into VIPP1.
PMID- 27208227
TI - Circadian and Plastid Signaling Pathways Are Integrated to Ensure Correct
Expression of the CBF and COR Genes during Photoperiodic Growth.
AB - The circadian clock synchronizes a wide range of biological processes with the
day/night cycle, and correct circadian regulation is essential for photosynthetic
activity and plant growth. We describe here a mechanism where a plastid signal
converges with the circadian clock to fine-tune the regulation of nuclear gene
expression in Arabidopsis (Arabidopsis thaliana). Diurnal oscillations of
tetrapyrrole levels in the chloroplasts contribute to the regulation of the
nucleus-encoded transcription factors C-REPEAT BINDING FACTORS (CBFs). The
plastid signal triggered by tetrapyrrole accumulation inhibits the activity of
cytosolic HEAT SHOCK PROTEIN90 and, as a consequence, the maturation and
stability of the clock component ZEITLUPE (ZTL). ZTL negatively regulates the
transcription factor LONG HYPOCOTYL5 (HY5) and PSEUDO-RESPONSE REGULATOR5 (PRR5).
Thus, low levels of ZTL result in a HY5- and PRR5-mediated repression of CBF3 and
PRR5-mediated repression of CBF1 and CBF2 expression. The plastid signal thereby
contributes to the rhythm of CBF expression and the downstream COLD RESPONSIVE
expression during day/night cycles. These findings provide insight into how
plastid signals converge with, and impact upon, the activity of well-defined
clock components involved in circadian regulation.
PMID- 27208229
TI - Control of Floret Symmetry by RAY3, SvDIV1B, and SvRAD in the Capitulum of
Senecio vulgaris
AB - All members of Asteraceae, the largest flowering family, have a unique compressed
inflorescence known as a capitulum, which resembles a solitary flower. The
capitulum often consists of bilateral (zygomorphic) ray florets and radial
(actinomorphic) disc florets. In Antirrhinum majus, floral zygomorphy is
established by the interplay between dorsal petal identity genes, CYCLOIDEA (CYC)
and RADIALIS (RAD), and a ventral gene DIVARICATA (DIV). To investigate the role
of CYC, RAD, and DIV in the development of ray and disc florets within a
capitulum, we isolated homologs of these genes from an Asteraceae species,
Senecio vulgaris (common groundsel). After initial uniform expression of RAY3
(CYC), SvRAD, and SvDIV1B in ray florets only, RAY3 and SvRAD were exclusively
expressed in the ventral petals of the ray florets. Our functional analysis
further showed that RAY3 promotes and SvDIV1B represses petal growth, confirming
their roles in floral zygomorphy. Our results highlight that while floral
symmetry genes such as RAY3 and SvDIV1B appear to have a conserved role in petal
growth in both Senecio and Antirrhinum, the regulatory relationships and
expression domains are divergent, allowing ventral petal elongation in Senecio
versus dorsal petal elongation in Antirrhinum In S vulgaris, diversification of
CYC genes has led to novel interactions; SvDIV1B inhibits RAY3 and SvRAD, and may
activate RAY2 This highlights how recruitment of floral symmetry regulators into
dynamic networks was crucial for creating a complex and elaborate structure such
as the capitulum.
PMID- 27208230
TI - Down-Regulation of a Nicotinate Phosphoribosyltransferase Gene, OsNaPRT1, Leads
to Withered Leaf Tips.
AB - Premature leaf senescence affects plant growth and yield in rice. NAD plays
critical roles in cellular redox reactions and remains at a sufficient level in
the cell to prevent cell death. Although numerous factors affecting leaf
senescence have been identified, few involving NAD biosynthetic pathways have
been described for plants. Here, we report the cloning and characterization of
Leaf Tip Senescence 1 (LTS1) in rice (Oryza sativa), a recessive mutation in the
gene encoding O. sativa nicotinate phosphoribosyltransferase (OsNaPRT1) in the
NAD salvage pathway. A point mutation in OsNaPRT1 leads to dwarfism and the
withered leaf tip phenotype, and the lts1 mutant displays early leaf senescence
compared to the wild type. Leaf nicotinate and nicotinamide contents are elevated
in lts1, while NAD levels are reduced. Leaf tissue of lts1 exhibited significant
DNA fragmentation and H2O2 accumulation, along with up-regulation of genes
associated with senescence. The lts1 mutant also showed reduced expression of
SIR2-like genes (OsSRT1 and OsSRT2) and increased acetylation of histone H3K9.
Down-regulation of OsSRTs induced histone H3K9 acetylation of senescence-related
genes. These results suggest that deficiency in the NAD salvage pathway can
trigger premature leaf senescence due to transcriptional activation of senescence
related genes.
PMID- 27208231
TI - The bZIP Protein VIP1 Is Involved in Touch Responses in Arabidopsis Roots.
AB - VIP1 is a bZIP transcription factor in Arabidopsis (Arabidopsis thaliana). VIP1
transiently accumulates in the nucleus when cells are exposed to hypoosmotic
conditions, but its physiological relevance is unclear. This is possibly because
Arabidopsis has approximately 10 close homologs of VIP1 and they function
redundantly. To examine their physiological roles, transgenic plants
overexpressing a repression domain-fused form of VIP1 (VIP1-SRDXox plants), in
which the gene activation mediated by VIP1 is expected to be repressed, were
generated. Because hypoosmotic stress can mimic mechanical stimuli (e.g. touch),
the touch-induced root-waving phenotypes and gene expression patterns in those
transgenic plants were examined. VIP1-SRDXox plants exhibited more severe root
waving and lower expression of putative VIP1 target genes. The expression of the
VIP1-green fluorescent protein (GFP) fusion protein partially suppressed the VIP1
SRDX-induced increase in root waving when expressed in the VIP1-SRDXox plants.
These results suggest that VIP1 can suppress the touch-induced root waving. The
VIP1-SRDX-induced increase in root waving was also suppressed when the synthetic
auxin 2,4-dichlorophenoxy acetic acid or the ethylene precursor 1
aminocyclopropane-1-carboxylic acid, which is known to activate auxin
biosynthesis, was present in the growth medium. Root cap cells with the auxin
marker DR5rev::GFP were more abundant in the VIP1-SRDXox background than in the
wild-type background. Auxin is transported via the root cap, and the conditions
of outermost root cap layers were abnormal in VIP1-SRDXox plants. These results
raise the possibility that VIP1 influences structures of the root cap and thereby
regulates the local auxin responses in roots.
PMID- 27208233
TI - Production and Scavenging of Reactive Oxygen Species and Redox Signaling during
Leaf and Flower Senescence: Similar But Different.
AB - Reactive oxygen species (ROS) play a key role in the regulation of many
developmental processes, including senescence, and in plant responses to biotic
and abiotic stresses. Several mechanisms of ROS generation and scavenging are
similar, but others differ between senescing leaves and petals, despite these
organs sharing a common evolutionary origin. Photosynthesis-derived ROS, nutrient
remobilization, and reversibility of senescence are necessarily distinct features
of the progression of senescence in the two organs. Furthermore, recent studies
have revealed specific redox signaling processes that act in concert with
phytohormones and transcription factors to regulate senescence-associated genes
in leaves and petals. Here, we review some of the recent advances in our
understanding of the mechanisms underpinning the production and elimination of
ROS in these two organs. We focus on unveiling common and differential aspects of
redox signaling in leaf and petal senescence, with the aim of linking
physiological, biochemical, and molecular processes. We conclude that the
spatiotemporal impact of ROS in senescing tissues differs between leaves and
flowers, mainly due to the specific functionalities of these organs.
PMID- 27208232
TI - The GSK3/Shaggy-Like Kinase ASKalpha Contributes to Pattern-Triggered Immunity.
AB - The first layer of immunity against pathogenic microbes relies on the detection
of conserved pathogen-associated molecular patterns (PAMPs) that are recognized
by pattern recognition receptors (PRRs) to activate pattern-triggered immunity
(PTI). Despite the increasing knowledge of early PTI signaling mediated by PRRs
and their associated proteins, many downstream signaling components remain
elusive. Here, we identify the Arabidopsis (Arabidopsis thaliana) GLYCOGEN
SYNTHASE KINASE3 (GSK3)/Shaggy-like kinase ASKalpha as a positive regulator of
plant immune signaling. The perception of several unrelated PAMPs rapidly induced
ASKalpha kinase activity. Loss of ASKalpha attenuated, whereas its overexpression
enhanced, diverse PTI responses, ultimately affecting susceptibility to the
bacterial pathogen Pseudomonas syringae Glucose-6-phosphate dehydrogenase (G6PD),
the key enzyme of the oxidative pentose phosphate pathway, provides reducing
equivalents important for defense responses and is a direct target of ASKalpha.
ASKalpha phosphorylates cytosolic G6PD6 on an evolutionarily conserved threonine
residue, thereby stimulating its activity. Plants deficient for or overexpressing
G6PD6 showed a modified immune response, and the insensitivity of g6pd6 mutant
plants to PAMP-induced growth inhibition was complemented by a phosphomimetic but
not by a phosphonegative G6PD6 version. Overall, our data provide evidence that
ASKalpha and G6PD6 constitute an immune signaling module downstream of PRRs,
linking protein phosphorylation cascades to metabolic regulation.
PMID- 27208234
TI - Pectin Methylesterification Impacts the Relationship between Photosynthesis and
Plant Growth.
AB - Photosynthesis occurs in mesophyll cells of specialized organs such as leaves.
The rigid cell wall encapsulating photosynthetic cells controls the expansion and
distribution of cells within photosynthetic tissues. The relationship between
photosynthesis and plant growth is affected by leaf area. However, the underlying
genetic mechanisms affecting carbon partitioning to different aspects of leaf
growth are not known. To fill this gap, we analyzed Arabidopsis plants with
altered levels of pectin methylesterification, which is known to modulate cell
wall plasticity and plant growth. Pectin methylesterification levels were varied
through manipulation of cotton Golgi-related (CGR) 2 or 3 genes encoding two
functionally redundant pectin methyltransferases. Increased levels of
methylesterification in a line over-expressing CGR2 (CGR2OX) resulted in highly
expanded leaves with enhanced intercellular air spaces; reduced
methylesterification in a mutant lacking both CGR-genes 2 and 3 (cgr2/3) resulted
in thin but dense leaf mesophyll that limited CO2 diffusion to chloroplasts.
Leaf, root, and plant dry weight were enhanced in CGR2OX but decreased in cgr2/3.
Differences in growth between wild type and the CGR-mutants can be explained by
carbon partitioning but not by variations in area-based photosynthesis.
Therefore, photosynthesis drives growth through alterations in carbon
partitioning to new leaf area growth and leaf mass per unit leaf area; however,
CGR-mediated pectin methylesterification acts as a primary factor in this
relationship through modulation of the expansion and positioning of the cells in
leaves, which in turn drive carbon partitioning by generating dynamic carbon
demands in leaf area growth and leaf mass per unit leaf area.
PMID- 27208235
TI - The Bark-Beetle-Associated Fungus, Endoconidiophora polonica, Utilizes the
Phenolic Defense Compounds of Its Host as a Carbon Source.
AB - Norway spruce (Picea abies) is periodically attacked by the bark beetle Ips
typographus and its fungal associate, Endoconidiophora polonica, whose infection
is thought to be required for successful beetle attack. Norway spruce produces
terpenoid resins and phenolics in response to fungal and bark beetle invasion.
However, how the fungal associate copes with these chemical defenses is still
unclear. In this study, we investigated changes in the phenolic content of Norway
spruce bark upon E. polonica infection and the biochemical factors mediating
these changes. Although genes encoding the rate-limiting enzymes in Norway spruce
stilbene and flavonoid biosynthesis were actively transcribed during fungal
infection, there was a significant time-dependent decline of the corresponding
metabolites in fungal lesions. In vitro feeding experiments with pure phenolics
revealed that E. polonica transforms both stilbenes and flavonoids to muconoid
type ring-cleavage products, which are likely the first steps in the degradation
of spruce defenses to substrates that can enter the tricarboxylic acid cycle.
Four genes were identified in E. polonica that encode catechol dioxygenases
carrying out these reactions. These enzymes catalyze the cleavage of phenolic
rings with a vicinal dihydroxyl group to muconoid products accepting a wide range
of Norway spruce-produced phenolics as substrates. The expression of these genes
and E. polonica utilization of the most abundant spruce phenolics as carbon
sources both correlated positively with fungal virulence in several strains.
Thus, the pathways for the degradation of phenolic compounds in E. polonica,
initiated by catechol dioxygenase action, are important to the infection, growth,
and survival of this bark beetle-vectored fungus and may play a major role in the
ability of I. typographus to colonize spruce trees.
PMID- 27208236
TI - Functional Characterization of the Subunits N, H, J, and O of the NAD(P)H
Dehydrogenase Complexes in Synechocystis sp. Strain PCC 6803.
AB - The cyanobacterial NAD(P)H dehydrogenase (NDH-1) complexes play crucial roles in
variety of bioenergetic reactions such as respiration, CO2 uptake, and cyclic
electron transport around PSI. Recently, substantial progress has been made in
identifying the composition of subunits of NDH-1 complexes. However, the
localization and the physiological roles of several subunits in cyanobacteria are
not fully understood. Here, by constructing fully segregated ndhN, ndhO, ndhH,
and ndhJ null mutants in Synechocystis sp. strain PCC 6803, we found that
deletion of ndhN, ndhH, or ndhJ but not ndhO severely impaired the accumulation
of the hydrophilic subunits of the NDH-1 in the thylakoid membrane, resulting in
disassembly of NDH-1MS, NDH-1MS', as well as NDH-1L, finally causing the severe
growth suppression phenotype. In contrast, deletion of NdhO affected the growth
at pH 6.5 in air. In the cytoplasm, either NdhH or NdhJ deleted mutant, but
neither NdhN nor NdhO deleted mutant, failed to accumulate the NDH-1 assembly
intermediate consisting of NdhH, NdhJ, NdhK, and NdhM. Based on these results, we
suggest that NdhN, NdhH, and NdhJ are essential for the stability and the
activities of NDH-1 complexes, while NdhO for NDH-1 functions under the condition
of inorganic carbon limitation in Synechocystis sp. strain PCC 6803. We discuss
the roles of these subunits and propose a new NDH-1 model.
PMID- 27208237
TI - X-Ray Computed Tomography Reveals the Response of Root System Architecture to
Soil Texture.
AB - Root system architecture (RSA) impacts plant fitness and crop yield by
facilitating efficient nutrient and water uptake from the soil. A better
understanding of the effects of soil on RSA could improve crop productivity by
matching roots to their soil environment. We used x-ray computed tomography to
perform a detailed three-dimensional quantification of changes in rice (Oryza
sativa) RSA in response to the physical properties of a granular substrate. We
characterized the RSA of eight rice cultivars in five different growth substrates
and determined that RSA is the result of interactions between genotype and growth
environment. We identified cultivar-specific changes in RSA in response to
changing growth substrate texture. The cultivar Azucena exhibited low RSA
plasticity in all growth substrates, whereas cultivar Bala root depth was a
function of soil hardness. Our imaging techniques provide a framework to study
RSA in different growth environments, the results of which can be used to improve
root traits with agronomic potential.
PMID- 27208238
TI - A Mutation in the Catalytic Subunit of the Glycosylphosphatidylinositol
Transamidase Disrupts Growth, Fertility, and Stomata Formation.
AB - GPI-anchored proteins (GPI-APs) are essential for plant growth and development;
knockout mutations in enzymes responsible for anchor biosynthesis or attachment
are gametophyte or embryo lethal. In a genetic screen targeted to identify genes
regulating stomata formation, we discovered a missense mutation in the
Arabidopsis (Arabidopsis thaliana) homolog of GPI8/PIG-K, a Cys protease that
transfers an assembled GPI anchor to proteins. The Arabidopsis genome has a
single copy of AtGPI8, and the atgpi8-1 mutation reduces the efficiency of this
enzyme, leading to reduced accumulation of GPI-anchored proteins. While the
atgpi8-1 mutation strongly disrupts plant growth, it is not lethal. Phenotypic
analysis of atgpi8-1 mutants suggests that GPI-APs are important for root and
shoot growth, stomata formation, apical dominance, transition to flowering, and
male gametophyte viability. In addition, atgpi8-1 mutants accumulate higher
levels of callose and have reduced plasmodesmata permeability. Genetic
interactions of atgpi8-1 with mutations in ERECTA family (ERf) genes suggest the
existence of a GPI-AP in a branch of the ERf signaling pathway that regulates
stomata formation. Activation of the ERf signal transduction cascade by
constitutively active YODA rescues stomata clustering in atgpi8-1, indicating
that a GPI-AP functions upstream of the MAP kinase cascade. TOO MANY MOUTHS (TMM)
is a receptor-like protein that is able to form heterodimers with ERfs. Our
analysis demonstrates that tmm-1 is epistatic to atgpi8-1, indicating that either
TMM is a GPI-AP or there is another GPI-AP regulating stomata development whose
function is dependent upon TMM.
PMID- 27208239
TI - Of the Nine Cytidine Deaminase-Like Genes in Arabidopsis, Eight Are Pseudogenes
and Only One Is Required to Maintain Pyrimidine Homeostasis in Vivo.
AB - CYTIDINE DEAMINASE (CDA) catalyzes the deamination of cytidine to uridine and
ammonia in the catabolic route of C nucleotides. The Arabidopsis (Arabidopsis
thaliana) CDA gene family comprises nine members, one of which (AtCDA) was shown
previously in vitro to encode an active CDA. A possible role in C-to-U RNA
editing or in antiviral defense has been discussed for other members. A
comprehensive bioinformatic analysis of plant CDA sequences, combined with
biochemical functionality tests, strongly suggests that all Arabidopsis CDA
family members except AtCDA are pseudogenes and that most plants only require a
single CDA gene. Soybean (Glycine max) possesses three CDA genes, but only two
encode functional enzymes and just one has very high catalytic efficiency. AtCDA
and soybean CDAs are located in the cytosol. The functionality of AtCDA in vivo
was demonstrated with loss-of-function mutants accumulating high amounts of
cytidine but also CMP, cytosine, and some uridine in seeds. Cytidine hydrolysis
in cda mutants is likely caused by NUCLEOSIDE HYDROLASE1 (NSH1) because cytosine
accumulation is strongly reduced in a cda nsh1 double mutant. Altered responses
of the cda mutants to fluorocytidine and fluorouridine indicate that a dual
specific nucleoside kinase is involved in cytidine as well as uridine salvage.
CDA mutants display a reduction in rosette size and have fewer leaves compared
with the wild type, which is probably not caused by defective pyrimidine
catabolism but by the accumulation of pyrimidine catabolism intermediates
reaching toxic concentrations.
PMID- 27208240
TI - Gains and Losses of Cis-regulatory Elements Led to Divergence of the Arabidopsis
APETALA1 and CAULIFLOWER Duplicate Genes in the Time, Space, and Level of
Expression and Regulation of One Paralog by the Other.
AB - How genes change their expression patterns over time is still poorly understood.
Here, by conducting expression, functional, bioinformatic, and evolutionary
analyses, we demonstrate that the differences between the Arabidopsis
(Arabidopsis thaliana) APETALA1 (AP1) and CAULIFLOWER (CAL) duplicate genes in
the time, space, and level of expression were determined by the presence or
absence of functionally important transcription factor-binding sites (TFBSs) in
regulatory regions. In particular, a CArG box, which is the autoregulatory site
of AP1 that can also be bound by the CAL protein, is a key determinant of the
expression differences. Because of the CArG box, AP1 is both autoregulated and
cross-regulated (by AP1 and CAL, respectively), and its relatively high-level
expression is maintained till to the late stages of sepal and petal development.
The observation that the CArG box was gained recently further suggests that the
autoregulation and cross-regulation of AP1, as well as its function in sepal and
petal development, are derived features. By comparing the evolutionary histories
of this and other TFBSs, we further indicate that the divergence of AP1 and CAL
in regulatory regions has been markedly asymmetric and can be divided into
several stages. Specifically, shortly after duplication, when AP1 happened to be
the paralog that maintained the function of the ancestral gene, CAL experienced
certain degrees of degenerate evolution, in which several functionally important
TFBSs were lost. Later, when functional divergence allowed the survival of both
paralogs, CAL remained largely unchanged in expression, whereas the functions of
AP1 were gradually reinforced by gains of the CArG box and other TFBSs.
PMID- 27208241
TI - Banana Transcription Factor MaERF11 Recruits Histone Deacetylase MaHDA1 and
Represses the Expression of MaACO1 and Expansins during Fruit Ripening.
AB - Phytohormone ethylene controls diverse developmental and physiological processes
such as fruit ripening via modulation of ethylene signaling pathway. Our previous
study identified that ETHYLENE RESPONSE FACTOR11 (MaERF11), a transcription
factor in the ethylene signaling pathway, negatively regulates the ripening of
banana, but the mechanism for the MaERF11-mediated transcriptional regulation
remains largely unknown. Here we showed that MaERF11 has intrinsic
transcriptional repression activity in planta. Electrophoretic mobility shift
assay and chromatin immunoprecipitation analyses demonstrated that MaERF11 binds
to promoters of three ripening-related Expansin genes, MaEXP2, MaEXP7 and MaEXP8,
as well as an ethylene biosynthetic gene MaACO1, via the GCC-box motif.
Furthermore, expression patterns of MaACO1, MaEXP2, MaEXP7, and MaEXP8 genes are
correlated with the changes of histone H3 and H4 acetylation level during fruit
ripening. Moreover, we found that MaERF11 physically interacts with a histone
deacetylase, MaHDA1, which has histone deacetylase activity, and the interaction
significantly strengthens the MaERF11-mediated transcriptional repression of
MaACO1 and Expansins Taken together, these findings suggest that MaERF11 may
recruit MaHDA1 to its target genes and repress their expression via histone
deacetylation.
PMID- 27208242
TI - The Symbiosis-Related ERN Transcription Factors Act in Concert to Coordinate
Rhizobial Host Root Infection.
AB - Legumes improve their mineral nutrition through nitrogen-fixing root nodule
symbioses with soil rhizobia. Rhizobial infection of legumes is regulated by a
number of transcription factors, including ERF Required for Nodulation1 (ERN1).
Medicago truncatula plants defective in ERN1 are unable to nodulate, but still
exhibit early symbiotic responses including rhizobial infection. ERN1 has a close
homolog, ERN2, which shows partially overlapping expression patterns. Here we
show that ern2 mutants exhibit a later nodulation phenotype than ern1, being able
to form nodules but with signs of premature senescence. Molecular
characterization of the ern2-1 mutation reveals a key role for a conserved
threonine for both DNA binding and transcriptional activity. In contrast to
either single mutant, the double ern1-1 ern2-1 line is completely unable to
initiate infection or nodule development. The strong ern1-1 ern2-1 phenotype
demonstrates functional redundancy between these two transcriptional regulators
and reveals the essential role of ERN1/ERN2 to coordinately induce rhizobial
infection and nodule organogenesis. While ERN1/ERN2 act in concert in the root
epidermis, only ERN1 can efficiently allow the development of mature nodules in
the cortex, probably through an independent pathway. Together, these findings
reveal the key roles that ERN1/ERN2 play at the very earliest stages of root
nodule development.
PMID- 27208243
TI - The Peroxisomal NAD Carrier from Arabidopsis Imports NAD in Exchange with AMP.
AB - Cofactors such as NAD, AMP, and Coenzyme A (CoA) are essential for a diverse set
of reactions and pathways in the cell. Specific carrier proteins are required to
distribute these cofactors to different cell compartments, including peroxisomes.
We previously identified a peroxisomal transport protein in Arabidopsis
(Arabidopsis thaliana) called the peroxisomal NAD carrier (PXN). When assayed in
vitro, this carrier exhibits versatile transport functions, e.g. catalyzing the
import of NAD or CoA, the exchange of NAD/NADH, and the export of CoA. These
observations raise the question about the physiological function of PXN in
plants. Here, we used Saccharomyces cerevisiae to address this question. First,
we confirmed that PXN, when expressed in yeast, is active and targeted to yeast
peroxisomes. Secondl, detailed uptake analyses revealed that the CoA transport
function of PXN can be excluded under physiological conditions due to its low
affinity for this substrate. Third, we expressed PXN in diverse mutant yeast
strains and investigated the suppression of the mutant phenotypes. These studies
provided strong evidences that PXN was not able to function as a CoA transporter
or a redox shuttle by mediating a NAD/NADH exchange, but instead catalyzed the
import of NAD into peroxisomes against AMP in intact yeast cells.
PMID- 27208244
TI - The Raf-like Kinase ILK1 and the High Affinity K+ Transporter HAK5 Are Required
for Innate Immunity and Abiotic Stress Response.
AB - Plant perception of pathogen-associated molecular patterns (PAMPs) and other
environmental stresses trigger transient ion fluxes at the plasma membrane. Apart
from the role of Ca(2+) uptake in signaling, the regulation and significance of
PAMP-induced ion fluxes in immunity remain unknown. We characterized the
functions of INTEGRIN-LINKED KINASE1 (ILK1) that encodes a Raf-like MAP2K kinase
with functions insufficiently understood in plants. Analysis of ILK1 mutants
impaired in the expression or kinase activity revealed that ILK1 contributes to
plant defense to bacterial pathogens, osmotic stress sensitivity, and cellular
responses and total ion accumulation in the plant upon treatment with a bacterial
derived PAMP, flg22. The calmodulin-like protein CML9, a negative modulator of
flg22-triggered immunity, interacted with, and suppressed ILK1 kinase activity.
ILK1 interacted with and promoted the accumulation of HAK5, a putative
(H(+))/K(+) symporter that mediates a high-affinity uptake during K(+)
deficiency. ILK1 or HAK5 expression was required for several flg22 responses
including gene induction, growth arrest, and plasma membrane depolarization.
Furthermore, flg22 treatment induced a rapid K(+) efflux at both the plant and
cellular levels in wild type, while mutants with impaired ILK1 or HAK5 expression
exhibited a comparatively increased K(+) loss. Taken together, our results
position ILK1 as a link between plant defense pathways and K(+) homeostasis.
PMID- 27208245
TI - Auxin Biosynthesis: Are the Indole-3-Acetic Acid and Phenylacetic Acid
Biosynthesis Pathways Mirror Images?
AB - The biosynthesis of the main auxin in plants (indole-3-acetic acid [IAA]) has
been elucidated recently and is thought to involve the sequential conversion of
Trp to indole-3-pyruvic acid to IAA However, the pathway leading to a less well
studied auxin, phenylacetic acid (PAA), remains unclear. Here, we present
evidence from metabolism experiments that PAA is synthesized from the amino acid
Phe, via phenylpyruvate. In pea (Pisum sativum), the reverse reaction,
phenylpyruvate to Phe, is also demonstrated. However, despite similarities
between the pathways leading to IAA and PAA, evidence from mutants in pea and
maize (Zea mays) indicate that IAA biosynthetic enzymes are not the main enzymes
for PAA biosynthesis. Instead, we identified a putative aromatic aminotransferase
(PsArAT) from pea that may function in the PAA synthesis pathway.
PMID- 27208246
TI - Maize Tricin-Oligolignol Metabolites and Their Implications for Monocot
Lignification.
AB - Lignin is an abundant aromatic plant cell wall polymer consisting of
phenylpropanoid units in which the aromatic rings display various degrees of
methoxylation. Tricin [5,7-dihydroxy-2-(4-hydroxy-3,5-dimethoxyphenyl)-4H-chromen
4-one], a flavone, was recently established as a true monomer in grass lignins.
To elucidate the incorporation pathways of tricin into grass lignin, the
metabolites of maize (Zea mays) were extracted from lignifying tissues and
profiled using the recently developed 'candidate substrate product pair'
algorithm applied to ultra-high-performance liquid chromatography and Fourier
transform-ion cyclotron resonance-mass spectrometry. Twelve tricin-containing
products (each with up to eight isomers), including those derived from the
various monolignol acetate and p-coumarate conjugates, were observed and
authenticated by comparisons with a set of synthetic tricin-oligolignol dimeric
and trimeric compounds. The identification of such compounds helps establish that
tricin is an important monomer in the lignification of monocots, acting as a
nucleation site for starting lignin chains. The array of tricin-containing
products provides further evidence for the combinatorial coupling model of
general lignification and supports evolving paradigms for the unique nature of
lignification in monocots.
PMID- 27208247
TI - The Mobile bypass Signal Arrests Shoot Growth by Disrupting Shoot Apical Meristem
Maintenance, Cytokinin Signaling, and WUS Transcription Factor Expression.
AB - The bypass1 (bps1) mutant of Arabidopsis (Arabidopsis thaliana) produces a root
sourced compound (the bps signal) that moves to the shoot and is sufficient to
arrest growth of a wild-type shoot; however, the mechanism of growth arrest is
not understood. Here, we show that the earliest shoot defect arises during
germination and is a failure of bps1 mutants to maintain their shoot apical
meristem (SAM). This finding suggested that the bps signal might affect
expression or function of SAM regulatory genes, and we found WUSCHEL (WUS)
expression to be repressed in bps1 mutants. Repression appears to arise from the
mobile bps signal, as the bps1 root was sufficient to rapidly down-regulate WUS
expression in wild-type shoots. Normally, WUS is regulated by a balance between
positive regulation by cytokinin (CK) and negative regulation by CLAVATA (CLV).
In bps1, repression of WUS was independent of CLV, and, instead, the bps signal
down-regulates CK responses. Cytokinin treatment of bps1 mutants restored both
WUS expression and activity, but only in the rib meristem. How the bps signal
down-regulates CK remains unknown, though the bps signal was sufficient to
repress expression of one CK receptor (AHK4) and one response regulator (AHP6).
Together, these data suggest that the bps signal pathway has the potential for
long-distance regulation through modification of CK signaling and altering gene
expression.
PMID- 27208249
TI - Analysis of Chromatin Regulators Reveals Specific Features of Rice DNA
Methylation Pathways.
AB - Plant DNA methylation that occurs at CG, CHG, and CHH sites (H = A, C, or T) is a
hallmark of the repression of repetitive sequences and transposable elements
(TEs). The rice (Oryza sativa) genome contains about 40% repetitive sequence and
TEs and displays specific patterns of genome-wide DNA methylation. The mechanism
responsible for the specific methylation patterns is unclear. Here, we analyzed
the function of OsDDM1 (Deficient in DNA Methylation 1) and OsDRM2 (Deficient in
DNA Methylation 1) in genome-wide DNA methylation, TE repression, small RNA
accumulation, and gene expression. We show that OsDDM1 is essential for high
levels of methylation at CHG and, to a lesser extent, CG sites in heterochromatic
regions and also is required for CHH methylation that mainly locates in the genic
regions of the genome. In addition to a large member of TEs, loss of OsDDM1 leads
to hypomethylation and up-regulation of many protein-coding genes, producing very
severe growth phenotypes at the initial generation. Importantly, we show that
OsDRM2 mutation results in a nearly complete loss of CHH methylation and
derepression of mainly small TE-associated genes and that OsDDM1 is involved in
facilitating OsDRM2-mediated CHH methylation. Thus, the function of OsDDM1 and
OsDRM2 defines distinct DNA methylation pathways in the bulk of DNA methylation
of the genome, which is possibly related to the dispersed heterochromatin across
chromosomes in rice and suggests that DNA methylation mechanisms may vary among
different plant species.
PMID- 27208248
TI - Sorting Motifs Involved in the Trafficking and Localization of the PIN1 Auxin
Efflux Carrier.
AB - In contrast with the wealth of recent reports about the function of MU-adaptins
and clathrin adaptor protein (AP) complexes, there is very little information
about the motifs that determine the sorting of membrane proteins within clathrin
coated vesicles in plants. Here, we investigated putative sorting signals in the
large cytosolic loop of the Arabidopsis (Arabidopsis thaliana) PIN-FORMED1 (PIN1)
auxin transporter, which are involved in binding MU-adaptins and thus in PIN1
trafficking and localization. We found that Phe-165 and Tyr-280, Tyr-328, and Tyr
394 are involved in the binding of different MU-adaptins in vitro. However, only
Phe-165, which binds MUA(MU2)- and MUD(MU3)-adaptin, was found to be essential
for PIN1 trafficking and localization in vivo. The PIN1:GFP-F165A mutant showed
reduced endocytosis but also localized to intracellular structures containing
several layers of membranes and endoplasmic reticulum (ER) markers, suggesting
that they correspond to ER or ER-derived membranes. While PIN1:GFP localized
normally in a MUA (MU2)-adaptin mutant, it accumulated in big intracellular
structures containing LysoTracker in a MUD (MU3)-adaptin mutant, consistent with
previous results obtained with mutants of other subunits of the AP-3 complex. Our
data suggest that Phe-165, through the binding of MUA (MU2)- and MUD (MU3)
adaptin, is important for PIN1 endocytosis and for PIN1 trafficking along the
secretory pathway, respectively.
PMID- 27208250
TI - Phosphorylation of a NAC Transcription Factor by a Calcium/Calmodulin-Dependent
Protein Kinase Regulates Abscisic Acid-Induced Antioxidant Defense in Maize.
AB - Calcium/calmodulin-dependent protein kinase (CCaMK) has been shown to play an
important role in abscisic acid (ABA)-induced antioxidant defense and enhance the
tolerance of plants to drought stress. However, its downstream molecular events
are poorly understood. Here, we identify a NAC transcription factor, ZmNAC84, in
maize (Zea mays), which physically interacts with ZmCCaMK in vitro and in vivo.
ZmNAC84 displays a partially overlapping expression pattern with ZmCCaMK after
ABA treatment, and H2O2 is required for ABA-induced ZmNAC84 expression.
Functional analysis reveals that ZmNAC84 is essential for ABA-induced antioxidant
defense in a ZmCCaMK-dependent manner. Furthermore, ZmCCaMK directly
phosphorylates Ser-113 of ZmNAC84 in vitro, and Ser-113 is essential for the ABA
induced stimulation of antioxidant defense by ZmCCaMK. Moreover, overexpression
of ZmNAC84 in tobacco (Nicotiana tabacum) can improve drought tolerance and
alleviate drought-induced oxidative damage of transgenic plants. These results
define a mechanism for ZmCCaMK function in ABA-induced antioxidant defense, where
ABA-produced H2O2 first induces expression of ZmCCaMK and ZmNAC84 and activates
ZmCCaMK. Subsequently, the activated ZmCCaMK phosphorylates ZmNAC84 at Ser-113,
thereby inducing antioxidant defense by activating downstream genes.
PMID- 27208251
TI - Maize Homologs of CCoAOMT and HCT, Two Key Enzymes in Lignin Biosynthesis, Form
Complexes with the NLR Rp1 Protein to Modulate the Defense Response.
AB - Disease resistance (R) genes encode nucleotide binding Leu-rich-repeat (NLR)
proteins that confer resistance to specific pathogens. Upon pathogen recognition
they trigger a defense response that usually includes a so-called hypersensitive
response (HR), a rapid localized cell death at the site of pathogen infection.
Intragenic recombination between two maize (Zea mays) NLRs, Rp1-D and Rp1-dp2,
resulted in the formation of a hybrid NLR, Rp1-D21, which confers an autoactive
HR in the absence of pathogen infection. From a previous quantitative trait loci
and genome-wide association study, we identified genes encoding two key enzymes
in lignin biosynthesis, hydroxycinnamoyltransferase (HCT) and caffeoyl CoA O
methyltransferase (CCoAOMT), adjacent to the nucleotide polymorphisms that were
highly associated with variation in the severity of Rp1-D21-induced HR We have
previously shown that the two maize HCT homologs suppress the HR conferred by Rp1
D21 in a heterologous system, very likely through physical interaction. Here, we
show, similarly, that CCoAOMT2 suppresses the HR induced by either the full
length or by the N-terminal coiled-coil domain of Rp1-D21 also likely via
physical interaction and that the metabolic activity of CCoAOMT2 is unlikely to
be necessary for its role in suppressing HR. We also demonstrate that CCoAOMT2,
HCTs, and Rp1 proteins can form in the same complexes. A model is derived to
explain the roles of CCoAOMT and HCT in Rp1-mediated defense resistance.
PMID- 27208252
TI - Defining the SUMO System in Maize: SUMOylation Is Up-Regulated during Endosperm
Development and Rapidly Induced by Stress.
AB - In response to abiotic and biotic challenges, plants rapidly attach small
ubiquitin-related modifier (SUMO) to a large collection of nuclear proteins, with
studies in Arabidopsis (Arabidopsis thaliana) linking SUMOylation to stress
tolerance via its modification of factors involved in chromatin and RNA dynamics.
Despite this importance, little is known about SUMOylation in crop species. Here,
we describe the plant SUMO system at the phylogenetic, biochemical, and
transcriptional levels with a focus on maize (Zea mays). In addition to canonical
SUMOs, land plants encode a loosely constrained noncanonical isoform and a
variant containing a long extension upstream of the signature beta-grasp fold,
with cereals also expressing a novel diSUMO polypeptide bearing two SUMO beta
grasp domains in tandem. Maize and other cereals also synthesize a unique SUMO
conjugating enzyme variant with more restricted expression patterns that is
enzymatically active despite a distinct electrostatic surface. Maize SUMOylation
primarily impacts nuclear substrates, is strongly induced by high temperatures,
and displays a memory that suppresses subsequent conjugation. Both in-depth
transcript and conjugate profiles in various maize organs point to tissue/cell
specific functions for SUMOylation, with potentially significant roles during
embryo and endosperm maturation. Collectively, these studies define the
organization of the maize SUMO system and imply important functions during seed
development and stress defense.
PMID- 27208253
TI - An Effective Strategy for Reliably Isolating Heritable and Cas9-Free Arabidopsis
Mutants Generated by CRISPR/Cas9-Mediated Genome Editing.
AB - Mutations generated by CRISPR/Cas9 in Arabidopsis (Arabidopsis thaliana) are
often somatic and are rarely heritable. Isolation of mutations in Cas9-free
Arabidopsis plants can ensure the stable transmission of the identified mutations
to next generations, but the process is laborious and inefficient. Here, we
present a simple visual screen for Cas9-free T2 seeds, allowing us to quickly
obtain Cas9-free Arabidopsis mutants in the T2 generation. To demonstrate this in
principle, we targeted two sites in the AUXIN-BINDING PROTEIN1 (ABP1) gene, whose
function as a membrane-associated auxin receptor has been challenged recently. We
obtained many T1 plants with detectable mutations near the target sites, but only
a small fraction of T1 plants yielded Cas9-free abp1 mutations in the T2
generation. Moreover, the mutations did not segregate in Mendelian fashion in the
T2 generation. However, mutations identified in the Cas9-free T2 plants were
stably transmitted to the T3 generation following Mendelian genetics. To further
simplify the screening procedure, we simultaneously targeted two sites in ABP1 to
generate large deletions, which can be easily identified by PCR. We successfully
generated two abp1 alleles that contained 1,141- and 711-bp deletions in the ABP1
gene. All of the Cas9-free abp1 alleles we generated were stable and heritable.
The method described here allows for effectively isolating Cas9-free heritable
CRISPR mutants in Arabidopsis.
PMID- 27208254
TI - Transcriptomes of Eight Arabidopsis thaliana Accessions Reveal Core Conserved,
Genotype- and Organ-Specific Responses to Flooding Stress.
AB - Climate change has increased the frequency and severity of flooding events, with
significant negative impact on agricultural productivity. These events often
submerge plant aerial organs and roots, limiting growth and survival due to a
severe reduction in light reactions and gas exchange necessary for photosynthesis
and respiration, respectively. To distinguish molecular responses to the compound
stress imposed by submergence, we investigated transcriptomic adjustments to
darkness in air and under submerged conditions using eight Arabidopsis
(Arabidopsis thaliana) accessions differing significantly in sensitivity to
submergence. Evaluation of root and rosette transcriptomes revealed an early
transcriptional and posttranscriptional response signature that was conserved
primarily across genotypes, although flooding susceptibility-associated and
genotype-specific responses also were uncovered. Posttranscriptional regulation
encompassed darkness- and submergence-induced alternative splicing of transcripts
from pathways involved in the alternative mobilization of energy reserves. The
organ-specific transcriptome adjustments reflected the distinct physiological
status of roots and shoots. Root-specific transcriptome changes included marked
up-regulation of chloroplast-encoded photosynthesis and redox-related genes,
whereas those of the rosette were related to the regulation of development and
growth processes. We identified a novel set of tolerance genes, recognized mainly
by quantitative differences. These included a transcriptome signature of more
pronounced gluconeogenesis in tolerant accessions, a response that included
stress-induced alternative splicing. This study provides organ-specific molecular
resolution of genetic variation in submergence responses involving interactions
between darkness and low-oxygen constraints of flooding stress and demonstrates
that early transcriptome plasticity, including alternative splicing, is
associated with the ability to cope with a compound environmental stress.
PMID- 27208255
TI - Comparative Proteomics Analysis of Phloem Exudates Collected during the Induction
of Systemic Acquired Resistance.
AB - Systemic acquired resistance (SAR) is a plant defense response that provides long
lasting, broad-spectrum pathogen resistance to uninfected systemic leaves
following an initial localized infection. In Arabidopsis (Arabidopsis thaliana),
local infection with virulent or avirulent strains of Pseudomonas syringae pv
tomato generates long-distance SAR signals that travel from locally infected to
distant leaves through the phloem to establish SAR In this study, a proteomics
approach was used to identify proteins that accumulate in phloem exudates in
response to the induction of SAR To accomplish this, phloem exudates collected
from mock-inoculated or SAR-induced leaves of wild-type Columbia-0 plants were
subjected to label-free quantitative liquid chromatography-tandem mass
spectrometry proteomics. Comparing mock- and SAR-induced phloem exudate
proteomes, 16 proteins were enriched in phloem exudates collected from SAR
induced plants, while 46 proteins were suppressed. SAR-related proteins
THIOREDOXIN h3, ACYL-COENZYME A-BINDING PROTEIN6, and PATHOGENESIS-RELATED1 were
enriched in phloem exudates of SAR-induced plants, demonstrating the strength of
this approach and suggesting a role for these proteins in the phloem during SAR
To identify novel components of SAR, transfer DNA mutants of differentially
abundant phloem proteins were assayed for SAR competence. This analysis
identified a number of new proteins (m-type thioredoxins, major latex protein
like protein, ULTRAVIOLET-B RESISTANCE8 photoreceptor) that contribute to the SAR
response. The Arabidopsis SAR phloem proteome is a valuable resource for
understanding SAR long-distance signaling and the dynamic nature of the phloem
during plant-pathogen interactions.
PMID- 27208256
TI - Is Change in Ovary Carbon Status a Cause or a Consequence of Maize Ovary Abortion
in Water Deficit during Flowering?
AB - Flower or grain abortion causes large yield losses under water deficit. In maize
(Zea mays), it is often attributed to a carbon limitation via the disruption of
sucrose cleavage by cell wall invertases in developing ovaries. We have tested
this hypothesis versus another linked to the expansive growth of ovaries and
silks. We have measured, in silks and ovaries of well-watered or moderately
droughted plants, the transcript abundances of genes involved in either tissue
expansion or sugar metabolism, together with the concentrations and amounts of
sugars, and with the activities of major enzymes of carbon metabolism.
Photosynthesis and indicators of sugar export, measured during water deprivation,
suggested sugar export maintained by the leaf. The first molecular changes
occurred in silks rather than in ovaries and involved genes affecting expansive
growth rather than sugar metabolism. Changes in the concentrations and amounts of
sugars and in the activities of enzymes of sugar metabolism occurred in apical
ovaries that eventually aborted, but probably after the switch to abortion of
these ovaries. Hence, we propose that, under moderate water deficits
corresponding to most European drought scenarios, changes in carbon metabolism
during flowering time are a consequence rather than a cause of the beginning of
ovary abortion. A carbon-driven ovary abortion may occur later in the cycle in
the case of carbon shortage or under very severe water deficits. These findings
support the view that, until the end of silking, expansive growth of reproductive
organs is the primary event leading to abortion, rather than a disruption of
carbon metabolism.
PMID- 27208257
TI - An Improved Variant of Soybean Type 1 Diacylglycerol Acyltransferase Increases
the Oil Content and Decreases the Soluble Carbohydrate Content of Soybeans.
AB - Kinetically improved diacylglycerol acyltransferase (DGAT) variants were created
to favorably alter carbon partitioning in soybean (Glycine max) seeds. Initially,
variants of a type 1 DGAT from a high-oil, high-oleic acid plant seed, Corylus
americana, were screened for high oil content in Saccharomyces cerevisiae Nearly
all DGAT variants examined from high-oil strains had increased affinity for
oleoyl-CoA, with S0.5 values decreased as much as 4.7-fold compared with the wild
type value of 0.94 um Improved soybean DGAT variants were then designed to
include amino acid substitutions observed in promising C. americana DGAT
variants. The expression of soybean and C. americana DGAT variants in soybean
somatic embryos resulted in oil contents as high as 10% and 12%, respectively,
compared with only 5% and 7.6% oil achieved by overexpressing the corresponding
wild-type DGATs. The affinity for oleoyl-CoA correlated strongly with oil
content. The soybean DGAT variant that gave the greatest oil increase contained
14 amino acid substitutions out of a total of 504 (97% sequence identity with
native). Seed-preferred expression of this soybean DGAT1 variant increased oil
content of soybean seeds by an average of 3% (16% relative increase) in highly
replicated, single-location field trials. The DGAT transgenes significantly
reduced the soluble carbohydrate content of mature seeds and increased the seed
protein content of some events. This study demonstrated that engineering of the
native DGAT enzyme is an effective strategy to improve the oil content and value
of soybeans.
PMID- 27208258
TI - mRNA Decay of Most Arabidopsis miRNA Targets Requires Slicer Activity of AGO1.
AB - MicroRNAs (miRNAs) are key posttranscriptional regulators of gene expression in
animals and plants. They guide RNA-induced silencing complexes to complementary
target mRNA, thereby mediating mRNA degradation or translational repression.
ARGONAUTE (AGO) proteins bind directly to miRNAs and may catalyze cleavage
(slicing) of target mRNAs. In animals, miRNA target degradation via slicing
occurs only exceptionally, and target mRNA decay is induced via AGO-dependent
recruitment of deadenylase complexes. Conversely, plant miRNAs generally direct
slicing of their targets, but it is unclear whether slicer-independent mechanisms
of target mRNA decay also exist, and, if so, how much they contribute to miRNA
induced mRNA decay. Here, we compare phenotypes and transcript profiles of ago1
null and slicer-deficient mutants in Arabidopsis (Arabidopsis thaliana). We also
construct conditional loss-of-function mutants of AGO1 to allow transcript
profiling in true leaves. Although phenotypic differences between ago1 null and
slicer-deficient mutants can be discerned, the results of both transcript
profiling approaches indicate that slicer activity is required for mRNA
repression of the vast majority of miRNA targets. A set of genes exhibiting up
regulation specifically in ago1 null, but not in ago1 slicer-deficient mutants
was also identified, leaving open the possibility that AGO1 may have functions in
gene regulation independent of small RNAs.
PMID- 27208260
TI - Maize OXIDATIVE STRESS2 Homologs Enhance Cadmium Tolerance in Arabidopsis through
Activation of a Putative SAM-Dependent Methyltransferase Gene.
AB - Previously the Arabidopsis (Arabidopsis thaliana) zinc finger protein OXIDATIVE
STRESS2 (AtOXS2) and four OXS2-like (AtO2L) family members were described to play
a role in stress tolerance and stress escape. For stress escape, SOC1 was a
target of AtOXS2. However, for stress tolerance, the downstream targets were not
identified. We cloned two OXS2 homolog genes from sweet corn, ZmOXS2b and ZmO2L1
Both genes are transiently inducible by Cd treatment. When expressed in
Arabidopsis, each enhances tolerance against cadmium. Further analysis showed
that ZmOXS2b and ZmO2L1 proteins enhance Cd tolerance in Arabidopsis by
activating at least one target gene, that encoding a putative S-adenosyl-l-Met
dependent methyltransferase superfamily protein (AT5G37990), which we named CIMT1
This activation involves the in vivo interaction with a segment of the CIMT1
promoter that contains a BOXS2 motif previously identified as the binding element
for AtOXS2. More importantly, CIMT1 is induced by Cd treatment, and
overexpression of this gene alone was sufficient to enhance Cd tolerance in
Arabidopsis. The connection of ZmOXS2b and ZmO2L1 to Arabidopsis CIMT1 suggests a
similar network may exist in maize (Zea mays) and may provide a clue to possibly
using a CIMT1 maize homolog to engineer stress tolerance in a major crop.
PMID- 27208259
TI - A WRKY Transcription Factor Regulates Fe Translocation under Fe Deficiency.
AB - Iron (Fe) deficiency affects plant growth and development, leading to reduction
of crop yields and quality. Although the regulation of Fe uptake under Fe
deficiency has been well studied in the past decade, the regulatory mechanism of
Fe translocation inside the plants remains unknown. Here, we show that a WRKY
transcription factor WRKY46 is involved in response to Fe deficiency. Lack of
WRKY46 (wrky46-1 and wrky46-2 loss-of-function mutants) significantly affects Fe
translocation from root to shoot and thus causes obvious chlorosis on the new
leaves under Fe deficiency. Gene expression analysis reveals that expression of a
nodulin-like gene (VACUOLAR IRON TRANSPORTER1-LIKE1 [VITL1]) is dramatically
increased in wrky46-1 mutant. VITL1 expression is inhibited by Fe deficiency,
while the expression of WRKY46 is induced in the root stele. Moreover, down
regulation of VITL1 expression can restore the chlorosis phenotype on wrky46-1
under Fe deficiency. Further yeast one-hybrid and chromatin immunoprecipitation
experiments indicate that WRKY46 is capable of binding to the specific W-boxes
present in the VITL1 promoter. In summary, our results demonstrate that WRKY46
plays an important role in the control of root-to-shoot Fe translocation under Fe
deficiency condition via direct regulation of VITL1 transcript levels.
PMID- 27208262
TI - Characterization of Function of the GlgA2 Glycogen/Starch Synthase in
Cyanobacterium sp. Clg1 Highlights Convergent Evolution of Glycogen Metabolism
into Starch Granule Aggregation.
AB - At variance with the starch-accumulating plants and most of the glycogen
accumulating cyanobacteria, Cyanobacterium sp. CLg1 synthesizes both glycogen and
starch. We now report the selection of a starchless mutant of this cyanobacterium
that retains wild-type amounts of glycogen. Unlike other mutants of this type
found in plants and cyanobacteria, this mutant proved to be selectively defective
for one of the two types of glycogen/starch synthase: GlgA2. This enzyme is
phylogenetically related to the previously reported SSIII/SSIV starch synthase
that is thought to be involved in starch granule seeding in plants. This suggests
that, in addition to the selective polysaccharide debranching demonstrated to be
responsible for starch rather than glycogen synthesis, the nature and properties
of the elongation enzyme define a novel determinant of starch versus glycogen
accumulation. We show that the phylogenies of GlgA2 and of 16S ribosomal RNA
display significant congruence. This suggests that this enzyme evolved together
with cyanobacteria when they diversified over 2 billion years ago. However,
cyanobacteria can be ruled out as direct progenitors of the SSIII/SSIV ancestral
gene found in Archaeplastida. Hence, both cyanobacteria and plants recruited
similar enzymes independently to perform analogous tasks, further emphasizing the
importance of convergent evolution in the appearance of starch from a preexisting
glycogen metabolism network.
PMID- 27208261
TI - The Conformation of a Plasma Membrane-Localized Somatic Embryogenesis Receptor
Kinase Complex Is Altered by a Potato Aphid-Derived Effector.
AB - Somatic embryogenesis receptor kinases (SERKs) are transmembrane receptors
involved in plant immunity. Tomato (Solanum lycopersicum) carries three SERK
members. One of these, SlSERK1, is required for Mi-1.2-mediated resistance to
potato aphids (Macrosiphum euphorbiae). Mi-1.2 encodes a coiled-coil nucleotide
binding leucine-rich repeat protein that in addition to potato aphids confers
resistance to two additional phloem-feeding insects and to root-knot nematodes
(Meloidogyne spp.). How SlSERK1 participates in Mi-1.2-mediated resistance is
unknown, and no Mi-1.2 cognate pest effectors have been identified. Here, we
study the mechanistic involvement of SlSERK1 in Mi-1.2-mediated resistance. We
show that potato aphid saliva and protein extracts induce the Mi-1.2 defense
marker gene SlWRKY72b, indicating that both saliva and extracts contain a Mi-1.2
recognized effector. Resistant tomato cultivar Motelle (Mi-1.2/Mi-1.2) plants
overexpressing SlSERK1 were found to display enhanced resistance to potato
aphids. Confocal microscopy revealed that Mi-1.2 localizes at three distinct
subcellular compartments: the plasma membrane, cytoplasm, and nucleus.
Coimmunoprecipitation experiments in these tomato plants and in Nicotiana
benthamiana transiently expressing Mi-1.2 and SlSERK1 showed that Mi-1.2 and
SlSERK1 colocalize only in a microsomal complex. Interestingly, bimolecular
fluorescence complementation analysis showed that the interaction of Mi-1.2 and
SlSERK1 at the plasma membrane distinctively changes in the presence of potato
aphid saliva, suggesting a model in which a constitutive complex at the plasma
membrane participates in defense signaling upon effector binding.
PMID- 27208264
TI - Linking Turgor with ABA Biosynthesis: Implications for Stomatal Responses to
Vapor Pressure Deficit across Land Plants.
AB - Stomatal responses to changes in vapor pressure deficit (VPD) constitute the
predominant form of daytime gas-exchange regulation in plants. Stomatal closure
in response to increased VPD is driven by the rapid up-regulation of foliar
abscisic acid (ABA) biosynthesis and ABA levels in angiosperms; however, very
little is known about the physiological trigger for this increase in ABA
biosynthesis at increased VPD Using a novel method of modifying leaf cell turgor
by the application of external pressures, we test whether changes in turgor
pressure can trigger increases in foliar ABA levels over 20 min, a period of time
most relevant to the stomatal response to VPD We found in angiosperm species that
the biosynthesis of ABA was triggered by reductions in leaf turgor, and in two
species tested, that a higher sensitivity of ABA synthesis to leaf turgor
corresponded with a higher stomatal sensitivity to VPD In contrast,
representative species from nonflowering plant lineages did not show a rapid
turgor-triggered increase in foliar ABA levels, which is consistent with previous
studies demonstrating passive stomatal responses to changes in VPD in these
lineages. Our method provides a new tool for characterizing the response of
stomata to water availability.
PMID- 27208263
TI - Membrane-Induced Folding of the Plant Stress Dehydrin Lti30.
AB - Dehydrins are disordered proteins that are expressed in plants as a response to
embryogenesis and water-related stress. The molecular function and structural
action of the dehydrins are yet elusive, but increasing evidence points to a role
in protecting the structure and functional dynamics of cell membranes. An
intriguing example is the cold-induced dehydrin Lti30 that binds to membranes by
its conserved K segments. Moreover, this binding can be regulated by pH and
phosphorylation and shifts the membrane phase transition to lower temperatures,
consistent with the protein's postulated function in cold stress. In this study,
we reveal how the Lti30-membrane interplay works structurally at atomic level
resolution in Arabidopsis (Arabidopsis thaliana). Nuclear magnetic resonance
analysis suggests that negatively charged lipid head groups electrostatically
capture the protein's disordered K segments, which locally fold up into alpha
helical segments on the membrane surface. Thus, Lti30 conforms to the general
theme of structure-function relationships by folding upon binding, in spite of
its disordered, atypically hydrophilic and repetitive sequence signatures.
Moreover, the fixed and well-defined structure of the membrane-bound K segments
suggests that dehydrins have the molecular prerequisites for higher level binding
specificity and regulation, raising new questions about the complexity of their
biological function.
PMID- 27208265
TI - Loss of Mitochondrial Malate Dehydrogenase Activity Alters Seed Metabolism
Impairing Seed Maturation and Post-Germination Growth in Arabidopsis.
AB - Mitochondrial malate dehydrogenase (mMDH; EC 1.1.1.37) has multiple roles; the
most commonly described is its catalysis of the interconversion of malate and
oxaloacetate in the tricarboxylic acid cycle. The roles of mMDH in Arabidopsis
(Arabidopsis thaliana) seed development and germination were investigated in
mMDH1 and mMDH2 double knockout plants. A significant proportion of mmdh1mmdh2
seeds were nonviable and developed only to torpedo-shaped embryos, indicative of
arrested seed embryo growth during embryogenesis. The viable mmdh1mmdh2 seeds had
an impaired maturation process that led to slow germination rates as well as
retarded post-germination growth, shorter root length, and decreased root
biomass. During seed development, mmdh1mmdh2 showed a paler green phenotype than
the wild type and exhibited deficiencies in reserve accumulation and reduced
final seed biomass. The respiration rate of mmdh1mmdh2 seeds was significantly
elevated throughout their maturation, consistent with the previously reported
higher respiration rate in mmdh1mmdh2 leaves. Mutant seeds showed a consistently
higher content of free amino acids (branched-chain amino acids, alanine, serine,
glycine, proline, and threonine), differences in sugar and sugar phosphate
levels, and lower content of 2-oxoglutarate. Seed-aging assays showed that
quiescent mmdh1mmdh2 seeds lost viability more than 3 times faster than wild-type
seeds. Together, these data show the important role of mMDH in the earliest
phases of the life cycle of Arabidopsis.
PMID- 27208267
TI - In Situ Visualization of the Dynamics in Xylem Embolism Formation and Removal in
the Absence of Root Pressure: A Study on Excised Grapevine Stems.
AB - Gas embolisms formed during drought can disrupt long-distance water transport
through plant xylem vessels, but some species have the ability to remove these
blockages. Despite evidence suggesting that embolism removal is linked to the
presence of vessel-associated parenchyma, the underlying mechanism remains
controversial and is thought to involve positive pressure generated by roots.
Here, we used in situ x-ray microtomography on excised grapevine stems to
determine if embolism removal is possible without root pressure, and if the
embolism formation/removal affects vessel functional status after sample
excision. Our data show that embolism removal in excised stems was driven by
water droplet growth and was qualitatively identical to refilling in intact
plants. When stem segments were rehydrated with H2O after excision, vessel
refilling occurred rapidly (<1 h). The refilling process was substantially slower
when polyethylene glycol was added to the H2O source, thereby providing new
support for an osmotically driven refilling mechanism. In contrast, segments not
supplied with H2O showed no refilling and increased embolism formation. Dynamic
changes in liquid/wall contact angles indicated that the processes of embolism
removal (i.e. vessel refilling) by water influx and embolism formation by water
efflux were directly linked to the activity of vessel-associated living tissue.
Overall, our results emphasize that root pressure is not required as a driving
force for vessel refilling, and care should be taken when performing hydraulics
measurements on excised plant organs containing living vessel-associated tissue,
because the vessel behavior may not be static.
PMID- 27208266
TI - Deciphering the Molecular Mechanisms Underpinning the Transcriptional Control of
Gene Expression by Master Transcriptional Regulators in Arabidopsis Seed.
AB - In Arabidopsis (Arabidopsis thaliana), transcriptional control of seed maturation
involves three related regulators with a B3 domain, namely LEAFY COTYLEDON2
(LEC2), ABSCISIC ACID INSENSITIVE3 (ABI3), and FUSCA3 (ABI3/FUS3/LEC2 [AFLs]).
Although genetic analyses have demonstrated partially overlapping functions of
these regulators, the underlying molecular mechanisms remained elusive. The
results presented here confirmed that the three proteins bind RY DNA elements
(with a 5'-CATG-3' core sequence) but with different specificities for flanking
nucleotides. In planta as in the moss Physcomitrella patens protoplasts, the
presence of RY-like (RYL) elements is necessary but not sufficient for the
regulation of the OLEOSIN1 (OLE1) promoter by the B3 AFLs. G box-like domains,
located in the vicinity of the RYL elements, also are required for proper
activation of the promoter, suggesting that several proteins are involved.
Consistent with this idea, LEC2 and ABI3 showed synergistic effects on the
activation of the OLE1 promoter. What is more, LEC1 (a homolog of the NF-YB
subunit of the CCAAT-binding complex) further enhanced the activation of this
target promoter in the presence of LEC2 and ABI3. Finally, recombinant LEC1 and
LEC2 proteins produced in Arabidopsis protoplasts could form a ternary complex
with NF-YC2 in vitro, providing a molecular explanation for their functional
interactions. Taken together, these results allow us to propose a molecular model
for the transcriptional regulation of seed genes by the L-AFL proteins, based on
the formation of regulatory multiprotein complexes between NF-YBs, which carry a
specific aspartate-55 residue, and B3 transcription factors.
PMID- 27208268
TI - A Cytoplasmic Protein Ssl3829 Is Important for NDH-1 Hydrophilic Arm Assembly in
Synechocystis sp. Strain PCC 6803.
AB - Despite significant progress in clarifying the subunit compositions and functions
of the multiple NDH-1 complexes in cyanobacteria, the assembly factors and their
roles in assembling these NDH-1 complexes remain elusive. Two mutants sensitive
to high light for growth and impaired in NDH-1-dependent cyclic electron
transport around photosystem I were isolated from Synechocystis sp. strain PCC
6803 transformed with a transposon-tagged library. Both mutants were tagged in
the ssl3829 gene encoding an unknown protein, which shares significant similarity
with Arabidopsis (Arabidopsis thaliana) CHLORORESPIRATORY REDUCTION7. The ssl3829
product was localized in the cytoplasm and associates with an NDH-1 hydrophilic
arm assembly intermediate (NAI) of about 300 kD (NAI300) and an NdhI maturation
factor, Slr1097. Upon deletion of Ssl3829, the NAI300 complex was no longer
visible on gels, thereby impeding the assembly of the NDH-1 hydrophilic arm. The
deletion also abolished Slr1097 and consequently reduced the amount of mature
NdhI in the cytoplasm, which repressed the dynamic assembly process of the NDH-1
hydrophilic arm because mature NdhI was essential to stabilize all functional
NAIs. Therefore, Ssl3829 plays an important role in the assembly of the NDH-1
hydrophilic arm by accumulating the NAI300 complex and Slr1097 protein in the
cytoplasm.
PMID- 27208269
TI - BIOGENESIS FACTOR REQUIRED FOR ATP SYNTHASE 3 Facilitates Assembly of the
Chloroplast ATP Synthase Complex.
AB - Thylakoid membrane-localized chloroplast ATP synthases use the proton motive
force generated by photosynthetic electron transport to produce ATP from ADP.
Although it is well known that the chloroplast ATP synthase is composed of more
than 20 proteins with alpha3beta3gamma1epsilon1delta1I1II1III14IV1 stoichiometry,
its biogenesis process is currently unclear. To unravel the molecular mechanisms
underlying the biogenesis of chloroplast ATP synthase, we performed extensive
screening for isolating ATP synthase mutants in Arabidopsis (Arabidopsis
thaliana). In the recently identified bfa3 (biogenesis factors required for ATP
synthase 3) mutant, the levels of chloroplast ATP synthase subunits were reduced
to approximately 25% of wild-type levels. In vivo labeling analysis showed that
assembly of the CF1 component of chloroplast ATP synthase was less efficient in
bfa3 than in the wild type, indicating that BFA3 is required for CF1 assembly.
BFA3 encodes a chloroplast stromal protein that is conserved in higher plants,
green algae, and a few species of other eukaryotic algae, and specifically
interacts with the CF1beta subunit. The BFA3 binding site was mapped to a region
in the catalytic site of CF1beta. Several residues highly conserved in eukaryotic
CF1beta are crucial for the BFA3-CF1beta interaction, suggesting a coevolutionary
relationship between BFA3 and CF1beta. BFA3 appears to function as a molecular
chaperone that transiently associates with unassembled CF1beta at its catalytic
site and facilitates subsequent association with CF1alpha during assembly of the
CF1 subcomplex of chloroplast ATP synthase.
PMID- 27208270
TI - Regulation of MicroRNA-Mediated Developmental Changes by the SWR1 Chromatin
Remodeling Complex.
AB - The ATP-dependent SWR1 chromatin remodeling complex (SWR1-C) exchanges the
histone H2A-H2B dimer with the H2A.Z-H2B dimer, producing variant nucleosomes.
Arabidopsis thaliana SWR1-C contributes to the active transcription of many
genes, but also to the repression of genes that respond to environmental and
developmental stimuli. Unlike other higher eukaryotic H2A.Z deposition mutants
(which are embryonically lethal), Arabidopsis SWR1-C component mutants, including
arp6, survive and display a pleiotropic developmental phenotype. However, the
molecular mechanisms of early flowering, leaf serration, and the production of
extra petals in arp6 have not been completely elucidated. We report here that
SWR1-C is required for miRNA-mediated developmental control via transcriptional
regulation. In the mutants of the components of SWR1-C such as arp6, sef, and
pie1, miR156 and miR164 levels are reduced at the transcriptional level, which
results in the accumulation of target mRNAs and associated morphological changes.
Sequencing of small RNA libraries confirmed that many miRNAs including miR156
decreased in arp6, though some miRNAs increased. The arp6 mutation suppresses the
accumulation of not only unprocessed primary miRNAs, but also miRNA-regulated
mRNAs in miRNA processing mutants, hyl1 and serrate, which suggests that arp6 has
a transcriptional effect on both miRNAs and their targets. We consistently
detected that the arp6 mutant exhibits increased nucleosome occupancy at the
tested MIR gene promoters, indicating that SWR1-C contributes to transcriptional
activation via nucleosome dynamics. Our findings suggest that SWR1-C contributes
to the fine control of plant development by generating a balance between miRNAs
and target mRNAs at the transcriptional level.
PMID- 27208271
TI - Cytokinin Determines Thiol-Mediated Arsenic Tolerance and Accumulation.
AB - The presence of arsenic in soil and water is a constant threat to plant growth in
many regions of the world. Phytohormones act in the integration of growth control
and stress response, but their role in plant responses to arsenic remains to be
elucidated. Here, we show that arsenate [As(V)], the most prevalent arsenic
chemical species in nature, causes severe depletion of endogenous cytokinins
(CKs) in the model plant Arabidopsis (Arabidopsis thaliana). We found that CK
signaling mutants and transgenic plants with reduced endogenous CK levels showed
an As(V)-tolerant phenotype. Our data indicate that in CK-depleted plants exposed
to As(V), transcript levels of As(V)/phosphate-transporters were similar or even
higher than in wild-type plants. In contrast, CK depletion provoked the
coordinated activation of As(V) tolerance mechanisms, leading to the accumulation
of thiol compounds such as phytochelatins and glutathione, which are essential
for arsenic sequestration. Transgenic CK-deficient Arabidopsis and tobacco lines
show a marked increase in arsenic accumulation. Our findings indicate that CK is
an important regulatory factor in plant adaptation to arsenic stress.
PMID- 27208272
TI - Alternative Splicing of Rice WRKY62 and WRKY76 Transcription Factor Genes in
Pathogen Defense.
AB - The WRKY family of transcription factors (TFs) functions as transcriptional
activators or repressors in various signaling pathways. In this study, we
discovered that OsWRKY62 and OsWRKY76, two genes of the WRKY IIa subfamily,
undergo constitutive and inducible alternative splicing. The full-length
OsWRKY62.1 and OsWRKY76.1 proteins formed homocomplexes and heterocomplexes, and
the heterocomplex dominates in the nuclei when analyzed in Nicotiana benthamiana
leaves. Transgenic overexpression of OsWRKY62.1 and OsWRKY76.1 in rice (Oryza
sativa) enhanced plant susceptibility to the blast fungus Magnaporthe oryzae and
the leaf blight bacterium Xanthomonas oryzae pv oryzae, whereas RNA interference
and loss-of-function knockout plants exhibited elevated resistance. The dsOW62/76
and knockout lines of OsWRKY62 and OsWRKY76 also showed greatly increased
expression of defense-related genes and the accumulation of phytoalexins. The
ratio of full-length versus truncated transcripts changed in dsOW62/76 plants as
well as in response to pathogen infection. The short alternative OsWRKY62.2 and
OsWRKY76.2 isoforms could interact with each other and with full-length proteins.
OsWRKY62.2 showed a reduced repressor activity in planta, and two sequence
determinants required for the repressor activity were identified in the amino
terminus of OsWRKY62.1. The amino termini of OsWRKY62 and OsWRKY76 splice
variants also showed reduced binding to the canonical W box motif. These results
not only enhance our understanding of the DNA-binding property, the repressor
sequence motifs, and the negative feedback regulation of the IIa subfamily of
WRKYs but also provide evidence for alternative splicing of WRKY TFs during the
plant defense response.
PMID- 27208273
TI - Genome-Wide Inference of Protein-Protein Interaction Networks Identifies
Crosstalk in Abscisic Acid Signaling.
AB - Protein-protein interactions (PPIs) are essential to almost all cellular
processes. To better understand the relationships of proteins in Arabidopsis
(Arabidopsis thaliana), we have developed a genome-wide protein interaction
network (AraPPINet) that is inferred from both three-dimensional structures and
functional evidence and that encompasses 316,747 high-confidence interactions
among 12,574 proteins. AraPPINet exhibited high predictive power for discovering
protein interactions at a 50% true positive rate and for discriminating positive
interactions from similar protein pairs at a 70% true positive rate. Experimental
evaluation of a set of predicted PPIs demonstrated the ability of AraPPINet to
identify novel protein interactions involved in a specific process at an
approximately 100-fold greater accuracy than random protein-protein pairs in a
test case of abscisic acid (ABA) signaling. Genetic analysis of an experimentally
validated, predicted interaction between ARR1 and PYL1 uncovered cross talk
between ABA and cytokinin signaling in the control of root growth. Therefore, we
demonstrate the power of AraPPINet (http://netbio.sjtu.edu.cn/arappinet/) as a
resource for discovering gene function in converging signaling pathways and
complex traits in plants.
PMID- 27208274
TI - Distinguishing the Roles of Thylakoid Respiratory Terminal Oxidases in the
Cyanobacterium Synechocystis sp. PCC 6803.
AB - Various oxygen-utilizing electron sinks, including the soluble flavodiiron
proteins (Flv1/3), and the membrane-localized respiratory terminal oxidases
(RTOs), cytochrome c oxidase (Cox) and cytochrome bd quinol oxidase (Cyd), are
present in the photosynthetic electron transfer chain of Synechocystis sp. PCC
6803. However, the role of individual RTOs and their relative importance compared
with other electron sinks are poorly understood, particularly under light. Via
membrane inlet mass spectrometry gas exchange, chlorophyll a fluorescence, P700
analysis, and inhibitor treatment of the wild type and various mutants deficient
in RTOs, Flv1/3, and photosystem I, we investigated the contribution of these
complexes to the alleviation of excess electrons in the photosynthetic chain. To
our knowledge, for the first time, we demonstrated the activity of Cyd in oxygen
uptake under light, although it was detected only upon inhibition of electron
transfer at the cytochrome b6f site and in ?flv1/3 under fluctuating light
conditions, where linear electron transfer was drastically inhibited due to
impaired photosystem I activity. Cox is mostly responsible for dark respiration
and competes with P700 for electrons under high light. Only the ?cox/cyd double
mutant, but not single mutants, demonstrated a highly reduced plastoquinone pool
in darkness and impaired gross oxygen evolution under light, indicating that
thylakoid-based RTOs are able to compensate partially for each other. Thus, both
electron sinks contribute to the alleviation of excess electrons under
illumination: RTOs continue to function under light, operating on slower time
ranges and on a limited scale, whereas Flv1/3 responds rapidly as a light-induced
component and has greater capacity.
PMID- 27208275
TI - Genomic DNA Methylation Analyses Reveal the Distinct Profiles in Castor Bean
Seeds with Persistent Endosperms.
AB - Investigations of genomic DNA methylation in seeds have been restricted to a few
model plants. The endosperm genomic DNA hypomethylation has been identified in
angiosperm, but it is difficult to dissect the mechanism of how this
hypomethylation is established and maintained because endosperm is ephemeral and
disappears with seed development in most dicots. Castor bean (Ricinus communis),
unlike Arabidopsis (Arabidopsis thaliana), endosperm is persistent throughout
seed development, providing an excellent model in which to dissect the mechanism
of endosperm genomic hypomethylation in dicots. We characterized the DNA
methylation-related genes encoding DNA methyltransferases and demethylases and
analyzed their expression profiles in different tissues. We examined genomic
methylation including CG, CHG, and CHH contexts in endosperm and embryo tissues
using bisulfite sequencing and revealed that the CHH methylation extent in
endosperm and embryo was, unexpectedly, substantially higher than in previously
studied plants, irrespective of the CHH percentage in their genomes. In
particular, we found that the endosperm exhibited a global reduction in CG and
CHG methylation extents relative to the embryo, markedly switching global gene
expression. However, CHH methylation occurring in endosperm did not exhibit a
significant reduction. Combining with the expression of 24-nucleotide small
interfering RNAs (siRNAs) mapped within transposable element (TE) regions and
genes involved in the RNA-directed DNA methylation pathway, we demonstrate that
the 24-nucleotide siRNAs played a critical role in maintaining CHH methylation
and repressing the activation of TEs in persistent endosperm development. This
study discovered a novel genomic DNA methylation pattern and proposes the
potential mechanism occurring in dicot seeds with persistent endosperm.
PMID- 27208276
TI - Enzymatic Activity of Xyloglucan Xylosyltransferase 5.
AB - Xyloglucan, the most abundant hemicellulosic component of the primary cell wall
of flowering plants, is composed of a beta-(1,4)-glucan backbone decorated with d
xylosyl residues. Three xyloglucan xylosyltransferases (XXTs) participate in
xyloglucan biosynthesis in Arabidopsis (Arabidopsis thaliana). Two of these, XXT1
and XXT2, have been shown to be active in vitro, whereas the catalytic activity
of XXT5 has yet to be demonstrated. By optimizing XXT2 expression in a
prokaryotic system and in vitro activity assay conditions, we demonstrate that
nonglycosylated XXT2 lacking its cytosolic amino-terminal and transmembrane
domain displays high catalytic activity. Using this optimized procedure for the
expression of XXT5, we report, to our knowledge for the first time, that
recombinant XXT5 shows enzymatic activity in vitro, although at a significantly
slower rate than XXT1 and XXT2. Kinetic analysis showed that XXT5 has a 7-fold
higher Km and 9-fold lower kcat compared with XXT1 and XXT2. Activity assays
using XXT5 in combination with XXT1 or XXT2 indicate that XXT5 is not specific
for their products. In addition, mutagenesis experiments showed that the in vivo
function and in vitro catalytic activity of XXT5 require the aspartate-serine
aspartate motif. These results demonstrate that XXT5 is a catalytically active
xylosyltransferase involved in xylosylation of the xyloglucan backbone.
PMID- 27208277
TI - Phosphate-Dependent Root System Architecture Responses to Salt Stress.
AB - Nutrient availability and salinity of the soil affect the growth and development
of plant roots. Here, we describe how inorganic phosphate (Pi) availability
affects the root system architecture (RSA) of Arabidopsis (Arabidopsis thaliana)
and how Pi levels modulate responses of the root to salt stress. Pi starvation
reduced main root length and increased the number of lateral roots of Arabidopsis
Columbia-0 seedlings. In combination with salt, low Pi dampened the inhibiting
effect of mild salt stress (75 mm) on all measured RSA components. At higher salt
concentrations, the Pi deprivation response prevailed over the salt stress only
for lateral root elongation. The Pi deprivation response of lateral roots
appeared to be oppositely affected by abscisic acid signaling compared with the
salt stress response. Natural variation in the response to the combination
treatment of salt and Pi starvation within 330 Arabidopsis accessions could be
grouped into four response patterns. When exposed to double stress, in general,
lateral roots prioritized responses to salt, while the effect on main root traits
was additive. Interestingly, these patterns were not identical for all accessions
studied, and multiple strategies to integrate the signals from Pi deprivation and
salinity were identified. By genome-wide association mapping, 12 genomic loci
were identified as putative factors integrating responses to salt stress and Pi
starvation. From our experiments, we conclude that Pi starvation interferes with
salt responses mainly at the level of lateral roots and that large natural
variation exists in the available genetic repertoire of accessions to handle the
combination of stresses.
PMID- 27208278
TI - Regulatory Role of a Receptor-Like Kinase in Specifying Anther Cell Identity.
AB - In flowering plants, sequential formation of anther cell types is a highly
ordered process that is essential for successful meiosis and sexual reproduction.
Differentiation of meristematic cells and cell-cell communication are proposed to
coordinate anther development. Among the proposed mechanisms of cell fate
specification are cell surface-localized Leu-rich repeat receptor-like kinases
(LRR-RLKs) and their putative ligands. Here, we present the genetic and
biochemical evidence that a rice (Oryza sativa) LRR-RLK, MSP1 (MULTIPLE
SPOROCYTE1), interacts with its ligand OsTDL1A (TPD1-like 1A), specifying the
cell identity of anther wall layers and microsporocytes. An in vitro assay
indicates that the 21-amino acid peptide of OsTDL1A has a physical interaction
with the LRR domain of MSP1. The ostdl1a msp1 double mutant showed the defect in
lacking middle layers and tapetal cells and having an increased number of
microsporocytes similar to the ostdl1a or msp1 single mutant, indicating the same
pathway of OsTDL1A-MSP1 in regulating anther development. Genome-wide expression
profiles showed the altered expression of genes encoding transcription factors,
particularly basic helix-loop-helix and basic leucine zipper domain transcription
factors in ostdl1a and msp1 Among these reduced expressed genes, one putatively
encodes a TGA (TGACGTCA cis-element-binding protein) factor OsTGA10, and another
one encodes a plant-specific CC-type glutaredoxin OsGrx_I1. OsTGA10 was shown to
interact with OsGrx_I1, suggesting that OsTDL1A-MSP1 signaling specifies anther
cell fate directly or indirectly affecting redox status. Collectively, these data
point to a central role of the OsTDL1A-MSP1 signaling pathway in specifying
somatic cell identity and suppressing overproliferation of archesporial cells in
rice.
PMID- 27208279
TI - RNA-Seq Links the Transcription Factors AINTEGUMENTA and AINTEGUMENTA-LIKE6 to
Cell Wall Remodeling and Plant Defense Pathways.
AB - AINTEGUMENTA (ANT) and AINTEGUMENTA-LIKE6 (AIL6) are two related transcription
factors in Arabidopsis (Arabidopsis thaliana) that have partially overlapping
roles in several aspects of flower development, including floral organ
initiation, identity specification, growth, and patterning. To better understand
the biological processes regulated by these two transcription factors, we
performed RNA sequencing (RNA-Seq) on ant ail6 double mutants. We identified
thousands of genes that are differentially expressed in the double mutant
compared with the wild type. Analyses of these genes suggest that ANT and AIL6
regulate floral organ initiation and growth through modifications to the cell
wall polysaccharide pectin. We found reduced levels of demethylesterified
homogalacturonan and altered patterns of auxin accumulation in early stages of
ant ail6 flower development. The RNA-Seq experiment also revealed cross
regulation of AIL gene expression at the transcriptional level. The presence of a
number of overrepresented Gene Ontology terms related to plant defense in the set
of genes differentially expressed in ant ail6 suggest that ANT and AIL6 also
regulate plant defense pathways. Furthermore, we found that ant ail6 plants have
elevated levels of two defense hormones: salicylic acid and jasmonic acid, and
show increased resistance to the bacterial pathogen Pseudomonas syringae These
results suggest that ANT and AIL6 regulate biological pathways that are critical
for both development and defense.
PMID- 27208280
TI - Bacterial AvrRpt2-Like Cysteine Proteases Block Activation of the Arabidopsis
Mitogen-Activated Protein Kinases, MPK4 and MPK11.
AB - To establish infection, pathogens deliver effectors into host cells to target
immune signaling components, including elements of mitogen-activated protein
kinase (MPK) cascades. The virulence function of AvrRpt2, one of the first
identified Pseudomonas syringae effectors, involves cleavage of the plant defense
regulator, RPM1-INTERACTING PROTEIN4 (RIN4), and interference with plant auxin
signaling. We show now that AvrRpt2 specifically suppresses the flagellin-induced
phosphorylation of Arabidopsis (Arabidopsis thaliana) MPK4 and MPK11 but not MPK3
or MPK6. This inhibition requires the proteolytic activity of AvrRpt2, is
associated with reduced expression of some plant defense genes, and correlates
with enhanced pathogen infection in AvrRpt2-expressing transgenic plants. Diverse
AvrRpt2-like homologs can be found in some phytopathogens, plant-associated and
soil bacteria. Employing these putative bacterial AvrRpt2 homologs and inactive
AvrRpt2 variants, we can uncouple the inhibition of MPK4/MPK11 activation from
the cleavage of RIN4 and related members from the so-called nitrate-induced
family as well as from auxin signaling. Thus, this selective suppression of
specific mitogen-activated protein kinases is independent of the previously known
AvrRpt2 targets and potentially represents a novel virulence function of AvrRpt2.
PMID- 27208281
TI - Subcellular Lipid Droplets in Vanilla Leaf Epidermis and Avocado Mesocarp Are
Coated with Oleosins of Distinct Phylogenic Lineages.
AB - Subcellular lipid droplets (LDs) in diverse plant cells and species are coated
with stabilizing oleosins of at least five phylogenic lineages and perform
different functions. We examined two types of inadequately studied LDs for coated
oleosins and their characteristics. The epidermis but not mesophyll of leaves of
vanilla (Vanilla planifolia) and most other Asparagales species contained
solitary and clustered LDs (<0.5 MUm), some previously studied by electron
microscopy and speculated to be for cuticle formation. In vanilla leaves,
transcripts of oleosins of the U lineage were present in both epidermis and
mesophyll, but oleosin occurred only in epidermis. Immuno-confocal laser scanning
microscopy revealed that the LDs were coated with oleosins. LDs in isolated
fractions did not coalesce, and the fractions contained heterogeneous proteins
including oleosins and diverse lipids. These findings reflect the in situ
structure and possible functions of the LDs. Fruit mesocarp of avocado (Persea
americana) and other Lauraceae species possessed large LDs, which likely function
in attracting animals for seed dispersal. They contained transcripts of oleosin
of a novel M phylogenic lineage. Each avocado mesocarp fatty cell possessed one
to several large LDs (5 to 20 MUm) and at their periphery, numerous small LDs
(<0.5 MUm). Immuno-confocal laser scanning microscopy revealed that oleosin was
present mostly on the small LDs. LDs in isolated fractions coalesced rapidly, and
the fraction contained oleosin and several other proteins and triacylglycerols as
the main lipids. These two new types of oleosin-LDs exemplify the evolutionary
plasticity of oleosins-LDs in generating novel functions in diverse cell types
and species.
PMID- 27208282
TI - Sequence-Specific Protein Aggregation Generates Defined Protein Knockdowns in
Plants.
AB - Protein aggregation is determined by short (5-15 amino acids) aggregation-prone
regions (APRs) of the polypeptide sequence that self-associate in a specific
manner to form beta-structured inclusions. Here, we demonstrate that the sequence
specificity of APRs can be exploited to selectively knock down proteins with
different localization and function in plants. Synthetic aggregation-prone
peptides derived from the APRs of either the negative regulators of the
brassinosteroid (BR) signaling, the glycogen synthase kinase 3/Arabidopsis SHAGGY
like kinases (GSK3/ASKs), or the starch-degrading enzyme alpha-glucan water
dikinase were designed. Stable expression of the APRs in Arabidopsis (Arabidopsis
thaliana) and maize (Zea mays) induced aggregation of the target proteins, giving
rise to plants displaying constitutive BR responses and increased starch content,
respectively. Overall, we show that the sequence specificity of APRs can be
harnessed to generate aggregation-associated phenotypes in a targeted manner in
different subcellular compartments. This study points toward the potential
application of induced targeted aggregation as a useful tool to knock down
protein functions in plants and, especially, to generate beneficial traits in
crops.
PMID- 27208284
TI - The Putative O-Linked N-Acetylglucosamine Transferase SPINDLY Inhibits Class I
TCP Proteolysis to Promote Sensitivity to Cytokinin.
AB - Arabidopsis (Arabidopsis thaliana) SPINDLY (SPY) is a putative serine and
threonine O-linked N-acetylglucosamine transferase (OGT). While SPY has been
shown to suppress gibberellin signaling and to promote cytokinin (CK) responses,
its catalytic OGT activity was never demonstrated and its effect on protein fate
is not known. We previously showed that SPY interacts physically and functionally
with TCP14 and TCP15 to promote CK responses. Here, we aimed to identify how SPY
regulates TCP14/15 activities and how these TCPs promote CK responses. We show
that SPY activity is required for TCP14 stability. Mutation in the putative OGT
domain of SPY (spy-3) stimulated TCP14 proteolysis by the 26S proteasome, which
was reversed by mutation in CULLIN1 (CUL1), suggesting a role for SKP, CUL1, F
box E3 ubiquitin ligase in TCP14 proteolysis. TCP14 proteolysis in spy-3
suppressed all TCP14 misexpression phenotypes, including the enhanced CK
responses. The increased CK activity in TCP14/15-overexpressing flowers resulted
from increased sensitivity to the hormone and not from higher CK levels. TCP15
overexpression enhanced the response of the CK-induced synthetic promoter pTCS to
CK, suggesting that TCP14/15 affect early steps in CK signaling. We propose that
posttranslational modification of TCP14/15 by SPY inhibits their proteolysis and
that the accumulated proteins promote the activity of the CK phosphorelay cascade
in developing Arabidopsis leaves and flowers.
PMID- 27208283
TI - ROS Regulation of Polar Growth in Plant Cells.
AB - Root hair cells and pollen tubes, like fungal hyphae, possess a typical tip or
polar cell expansion with growth limited to the apical dome. Cell expansion needs
to be carefully regulated to produce a correct shape and size. Polar cell growth
is sustained by oscillatory feedback loops comprising three main components that
together play an important role regulating this process. One of the main
components are reactive oxygen species (ROS) that, together with calcium ions
(Ca(2+)) and pH, sustain polar growth over time. Apoplastic ROS homeostasis
controlled by NADPH oxidases as well as by secreted type III peroxidases has a
great impact on cell wall properties during cell expansion. Polar growth needs to
balance a focused secretion of new materials in an extending but still rigid cell
wall in order to contain turgor pressure. In this review, we discuss the gaps in
our understanding of how ROS impact on the oscillatory Ca(2+) and pH signatures
that, coordinately, allow root hair cells and pollen tubes to expand in a
controlled manner to several hundred times their original size toward specific
signals.
PMID- 27208286
TI - Different Functions of the Paralogs to the N-Terminal Domain of the Orange
Carotenoid Protein in the Cyanobacterium Anabaena sp. PCC 7120.
AB - The photoactive Orange Carotenoid Protein (OCP) is involved in cyanobacterial
photoprotection. Its N-terminal domain (NTD) is responsible for interaction with
the antenna and induction of excitation energy quenching, while the C-terminal
domain is the regulatory domain that senses light and induces photoactivation. In
most nitrogen-fixing cyanobacterial strains, there are one to four paralogous
genes coding for homologs to the NTD of the OCP. The functions of these proteins
are unknown. Here, we study the expression, localization, and function of these
genes in Anabaena sp. PCC 7120. We show that the four genes present in the genome
are expressed in both vegetative cells and heterocysts but do not seem to have an
essential role in heterocyst formation. This study establishes that all four
Anabaena NTD-like proteins can bind a carotenoid and the different paralogs have
distinct functions. Surprisingly, only one paralog (All4941) was able to interact
with the antenna and to induce permanent thermal energy dissipation. Two of the
other Anabaena paralogs (All3221 and Alr4783) were shown to be very good singlet
oxygen quenchers. The fourth paralog (All1123) does not seem to be involved in
photoprotection. Structural homology modeling allowed us to propose specific
features responsible for the different functions of these soluble carotenoid
binding proteins.
PMID- 27208285
TI - Characterization of a New Pink-Fruited Tomato Mutant Results in the
Identification of a Null Allele of the SlMYB12 Transcription Factor.
AB - The identification and characterization of new tomato (Solanum lycopersicum)
mutants affected in fruit pigmentation and nutritional content can provide
valuable insights into the underlying biology, as well as a source of new alleles
for breeding programs. To date, all characterized pink-pigmented tomato fruit
mutants appear to result from low SlMYB12 transcript levels in the fruit skin.
Two new mutant lines displaying a pink fruit phenotype (pf1 and pf2) were
characterized in this study. In the pf mutants, SlMYB12 transcripts accumulated
to wild-type levels but exhibited the same truncation, which resulted in the
absence of the essential MYB activation domain coding region. Allelism and
complementation tests revealed that both pf mutants were allelic to the y locus
and showed the same recessive null allele in homozygosis: Deltay A set of
molecular and metabolic effects, reminiscent of those observed in the Arabidopsis
(Arabidopsis thaliana) myb11 myb12 myb111 triple mutant, were found in the tomato
Deltay mutants. To our knowledge, these have not been described previously, and
our data support the idea of their being null mutants, in contrast to previously
described transcriptional hypomorphic pink fruit lines. We detected a reduction
in the expression of several flavonol glycosides and some associated glycosyl
transferases. Transcriptome analysis further revealed that the effects of the pf
mutations extended beyond the flavonoid pathway into the interface between
primary and secondary metabolism. Finally, screening for Myb-binding sites in the
candidate gene promoter sequences revealed that 141 of the 152 co-down-regulated
genes may be direct targets of SlMYB12 regulation.
PMID- 27208287
TI - Albino Leaf1 That Encodes the Sole Octotricopeptide Repeat Protein Is Responsible
for Chloroplast Development.
AB - Chloroplast, the photosynthetic organelle in plants, plays a crucial role in
plant development and growth through manipulating the capacity of photosynthesis.
However, the regulatory mechanism of chloroplast development still remains
elusive. Here, we characterized a mutant with defective chloroplasts in rice
(Oryza sativa), termed albino leaf1 (al1), which exhibits a distinct albino
phenotype in leaves, eventually leading to al1 seedling lethality. Electronic
microscopy observation demonstrated that the number of thylakoids was reduced and
the structure of thylakoids was disrupted in the al1 mutant during rice
development, which eventually led to the breakdown of chloroplast. Molecular
cloning revealed that AL1 encodes the sole octotricopeptide repeat protein (RAP)
in rice. Genetic complementation of Arabidopsis (Arabidopsis thaliana) rap
mutants indicated that the AL1 protein is a functional RAP. Further analysis
illustrated that three transcript variants were present in the AL1 gene, and the
altered splices occurred at the 3' untranslated region of the AL1 transcript. In
addition, our results also indicate that disruption of the AL1 gene results in an
altered expression of chloroplast-associated genes. Consistently, proteomic
analysis demonstrated that the abundance of photosynthesis-associated proteins is
altered significantly, as is that of a group of metabolism-associated proteins.
More specifically, we found that the loss of AL1 resulted in altered abundances
of ribosomal proteins, suggesting that RAP likely also regulates the homeostasis
of ribosomal proteins in rice in addition to the ribosomal RNA. Taken together,
we propose that AL1, particularly the AL1a and AL1c isoforms, plays an essential
role in chloroplast development in rice.
PMID- 27208288
TI - The Second Subunit of DNA Polymerase Delta Is Required for Genomic Stability and
Epigenetic Regulation.
AB - DNA polymerase delta plays crucial roles in DNA repair and replication as well as
maintaining genomic stability. However, the function of POLD2, the second small
subunit of DNA polymerase delta, has not been characterized yet in Arabidopsis
(Arabidopsis thaliana). During a genetic screen for release of transcriptional
gene silencing, we identified a mutation in POLD2. Whole-genome bisulfite
sequencing indicated that POLD2 is not involved in the regulation of DNA
methylation. POLD2 genetically interacts with Ataxia Telangiectasia-mutated and
Rad3-related and DNA polymerase alpha The pold2-1 mutant exhibits genomic
instability with a high frequency of homologous recombination. It also exhibits
hypersensitivity to DNA-damaging reagents and short telomere length. Whole-genome
chromatin immunoprecipitation sequencing and RNA sequencing analyses suggest that
pold2-1 changes H3K27me3 and H3K4me3 modifications, and these changes are
correlated with the gene expression levels. Our study suggests that POLD2 is
required for maintaining genome integrity and properly establishing the
epigenetic markers during DNA replication to modulate gene expression.
PMID- 27208289
TI - Integration of Hormonal and Nutritional Cues Orchestrates Progressive Corolla
Opening.
AB - Flower opening is essential for pollination and thus successful sexual
reproduction; however, the underlying mechanisms of its timing control remain
largely elusive. We identify a unique cucumber (Cucumis sativus) line '6457' that
produces normal ovaries when nutrients are under-supplied, and super ovaries
(87%) with delayed corolla opening when nutrients are oversupplied. Corolla
opening in both normal and super ovaries is divided into four distinct phases,
namely the green bud, green-yellow bud, yellow bud, and flowering stages, along
with progressive color transition, cytological tuning, and differential
expression of 14,282 genes. In the super ovary, cell division and cell expansion
persisted for a significantly longer period of time; the expressions of genes
related to photosynthesis, protein degradation, and signaling kinases were
dramatically up-regulated, whereas the activities of most transcription factors
and stress-related genes were significantly down-regulated; concentrations of
cytokinins (CKs) and gibberellins were higher in accordance with reduced
cytokinin conjugation and degradation and increased expression of gibberellin
biosynthesis genes. Exogenous CK application was sufficient for the genesis of
super ovaries, suggesting a decisive role of CKs in controlling the timing of
corolla opening. Furthermore, 194 out of 11,127 differentially expressed genes
identified in pairwise comparisons, including critical developmental, signaling,
and cytological regulators, contained all three types of cis-elements for CK,
nitrate, and phosphorus responses in their promoter regions, indicating that the
integration of hormone modulation and nutritional regulation orchestrated the
precise control of corolla opening in cucumber. Our findings provide a valuable
framework for dissecting the regulatory pathways for flower opening in plants.
PMID- 27208290
TI - Arabidopsis NATA1 Acetylates Putrescine and Decreases Defense-Related Hydrogen
Peroxide Accumulation.
AB - Biosynthesis of the polyamines putrescine, spermidine, and spermine is induced in
response to pathogen infection of plants. Putrescine, which is produced from Arg,
serves as a metabolic precursor for longer polyamines, including spermidine and
spermine. Polyamine acetylation, which has important regulatory functions in
mammalian cells, has been observed in several plant species. Here we show that
Arabidopsis (Arabidopsis thaliana) N-ACETYLTRANSFERASE ACTIVITY1 (NATA1)
catalyzes acetylation of putrescine to N-acetylputrescine and thereby competes
with spermidine synthase for a common substrate. NATA1 expression is strongly
induced by the plant defense signaling molecule jasmonic acid and coronatine, an
effector molecule produced by DC3000, a Pseudomonas syringae strain that
initiates a virulent infection in Arabidopsis ecotype Columbia-0. DC3000 growth
is reduced in nata1 mutant Arabidopsis, suggesting a role for NATA1-mediated
putrescine acetylation in suppressing antimicrobial defenses. During infection by
P. syringae and other plant pathogens, polyamine oxidases use spermidine and
spermine as substrates for the production of defense-related H2O2 Compared to
wild-type Columbia-0 Arabidopsis, the response of nata1mutants to P. syringae
infection includes reduced accumulation of acetylputrescine, greater abundance of
nonacetylated polyamines, elevated H2O2 production by polyamine oxidases, and
higher expression of genes related to pathogen defense. Together, these results
are consistent with a model whereby P. syringae growth is improved in a targeted
manner through coronatine-induced putrescine acetylation by NATA1.
PMID- 27208291
TI - Thylakoid-Bound FtsH Proteins Facilitate Proper Biosynthesis of Photosystem I.
AB - Thylakoid membrane-bound FtsH proteases have a well-characterized role in
degradation of the photosystem II (PSII) reaction center protein D1 upon repair
of photodamaged PSII. Here, we show that the Arabidopsis (Arabidopsis thaliana)
var1 and var2 mutants, devoid of the FtsH5 and FtsH2 proteins, respectively, are
capable of normal D1 protein turnover under moderate growth light intensity.
Instead, they both demonstrate a significant scarcity of PSI complexes. It is
further shown that the reduced level of PSI does not result from accelerated
photodamage of the PSI centers in var1 or var2 under moderate growth light
intensity. On the contrary, radiolabeling experiments revealed impaired synthesis
of the PsaA/B reaction center proteins of PSI, which was accompanied by the
accumulation of PSI-specific assembly factors. psaA/B transcript accumulation and
translation initiation, however, occurred in var1 and var2 mutants as in wild
type Arabidopsis, suggesting problems in later stages of PsaA/B protein
expression in the two var mutants. Presumably, the thylakoid membrane-bound FtsH5
and FtsH2 have dual functions in the maintenance of photosynthetic complexes. In
addition to their function as a protease in the degradation of the photodamaged
D1 protein, they also are required, either directly or indirectly, for early
assembly of the PSI complexes.
PMID- 27208292
TI - The De-Etiolated 1 Homolog of Arabidopsis Modulates the ABA Signaling Pathway and
ABA Biosynthesis in Rice.
AB - DEETIOLATED1 (DET1) plays a critical role in developmental and environmental
responses in many plants. To date, the functions of OsDET1 in rice (Oryza sativa)
have been largely unknown. OsDET1 is an ortholog of Arabidopsis (Arabidopsis
thaliana) DET1 Here, we found that OsDET1 is essential for maintaining normal
rice development. The repression of OsDET1 had detrimental effects on plant
development, and leaded to contradictory phenotypes related to abscisic acid
(ABA) in OsDET1 interference (RNAi) plants. We found that OsDET1 is involved in
modulating ABA signaling in rice. OsDET1 RNAi plants exhibited an ABA
hypersensitivity phenotype. Using yeast two-hybrid (Y2H) and bimolecular
fluorescence complementation assays, we determined that OsDET1 interacts
physically with DAMAGED-SPECIFIC DNA-BINDING PROTEIN1 (OsDDB1) and CONSTITUTIVE
PHOTOMORPHOGENIC10 (COP10); DET1- and DDB1-ASSOCIATED1 binds to the ABA receptors
OsPYL5 and OsDDB1. We found that the degradation of OsPYL5 was delayed in OsDET1
RNAi plants. These findings suggest that OsDET1 deficiency disturbs the COP10
DET1-DDB1 complex, which is responsible for ABA receptor (OsPYL) degradation,
eventually leading to ABA sensitivity in rice. Additionally, OsDET1 also
modulated ABA biosynthesis, as ABA biosynthesis was inhibited in OsDET1 RNAi
plants and promoted in OsDET1-overexpressing transgenic plants. In conclusion,
our data suggest that OsDET1 plays an important role in maintaining normal
development in rice and mediates the cross talk between ABA biosynthesis and ABA
signaling pathways in rice.
PMID- 27208293
TI - Cell Death Control by Matrix Metalloproteinases.
AB - In contrast to mammalian matrix metalloproteinases (MMPs) that play important
roles in the remodeling of the extracellular matrix in animals, the proteases
responsible for dynamic modifications of the plant cell wall are largely unknown.
A possible involvement of MMPs was addressed by cloning and functional
characterization of Sl2-MMP and Sl3-MMP from tomato (Solanum lycopersicum). The
two tomato MMPs were found to resemble mammalian homologs with respect to
gelatinolytic activity, substrate preference for hydrophobic amino acids on both
sides of the scissile bond, and catalytic properties. In transgenic tomato
seedlings silenced for Sl2/3-MMP expression, necrotic lesions were observed at
the base of the hypocotyl. Cell death initiated in the epidermis and proceeded to
include outer cortical cell layers. In later developmental stages, necrosis
spread, covering the entire stem and extending into the leaves of MMP-silenced
plants. The subtilisin-like protease P69B was identified as a substrate of Sl2-
and Sl3-MMP. P69B was shown to colocalize with Sl-MMPs in the apoplast of the
tomato hypocotyl, it exhibited increased stability in transgenic plants silenced
for Sl-MMP activity, and it was cleaved and inactivated by Sl-MMPs in vitro. The
induction of cell death in Sl2/3-MMP-silenced plants depended on P69B, indicating
that Sl2- and Sl3-MMP act upstream of P69B in an extracellular proteolytic
cascade that contributes to the regulation of cell death in tomato.
PMID- 27208294
TI - ROS, Calcium, and Electric Signals: Key Mediators of Rapid Systemic Signaling in
Plants.
PMID- 27208295
TI - The Glycerol-3-Phosphate Acyltransferase GPAT6 from Tomato Plays a Central Role
in Fruit Cutin Biosynthesis.
AB - The thick cuticle covering and embedding the epidermal cells of tomato (Solanum
lycopersicum) fruit acts not only as a protective barrier against pathogens and
water loss but also influences quality traits such as brightness and postharvest
shelf-life. In a recent study, we screened a mutant collection of the miniature
tomato cultivar Micro-Tom and isolated several glossy fruit mutants in which the
abundance of cutin, the polyester component of the cuticle, was strongly reduced.
We employed a newly developed mapping-by-sequencing strategy to identify the
causal mutation underlying the cutin deficiency in a mutant thereafter named
gpat6-a (for glycerol-3-phosphate acyltransferase6). To this end, a backcross
population (BC1F2) segregating for the glossy trait was phenotyped. Individuals
displaying either a wild-type or a glossy fruit trait were then pooled into
bulked populations and submitted to whole-genome sequencing prior to mutation
frequency analysis. This revealed that the causal point mutation in the gpat6-a
mutant introduces a charged amino acid adjacent to the active site of a GPAT6
enzyme. We further showed that this mutation completely abolished the GPAT
activity of the recombinant protein. The gpat6-a mutant showed perturbed pollen
formation but, unlike a gpat6 mutant of Arabidopsis (Arabidopsis thaliana), was
not male sterile. The most striking phenotype was observed in the mutant fruit,
where cuticle thickness, composition, and properties were altered. RNA sequencing
analysis highlighted the main processes and pathways that were affected by the
mutation at the transcriptional level, which included those associated with
lipid, secondary metabolite, and cell wall biosynthesis.
PMID- 27208296
TI - Starch Biosynthesis in Guard Cells But Not in Mesophyll Cells Is Involved in CO2
Induced Stomatal Closing.
AB - Starch metabolism is involved in stomatal movement regulation. However, it
remains unknown whether starch-deficient mutants affect CO2-induced stomatal
closing and whether starch biosynthesis in guard cells and/or mesophyll cells is
rate limiting for high CO2-induced stomatal closing. Stomatal responses to [CO2]
shifts and CO2 assimilation rates were compared in Arabidopsis (Arabidopsis
thaliana) mutants that were either starch deficient in all plant tissues (ADP-Glc
pyrophosphorylase [ADGase]) or retain starch accumulation in guard cells but are
starch deficient in mesophyll cells (plastidial phosphoglucose isomerase [pPGI]).
ADGase mutants exhibited impaired CO2-induced stomatal closure, but pPGI mutants
did not, showing that starch biosynthesis in guard cells but not mesophyll
functions in CO2-induced stomatal closing. Nevertheless, starch-deficient ADGase
mutant alleles exhibited partial CO2 responses, pointing toward a starch
biosynthesis-independent component of the response that is likely mediated by
anion channels. Furthermore, whole-leaf CO2 assimilation rates of both ADGase and
pPGI mutants were lower upon shifts to high [CO2], but only ADGase mutants caused
impairments in CO2-induced stomatal closing. These genetic analyses determine the
roles of starch biosynthesis for high CO2-induced stomatal closing.
PMID- 27208298
TI - The Small Molecule Hyperphyllin Enhances Leaf Formation Rate and Mimics Shoot
Meristem Integrity Defects Associated with AMP1 Deficiency.
AB - ALTERED MERISTEM PROGRAM1 (AMP1) is a member of the M28 family of
carboxypeptidases with a pivotal role in plant development and stress adaptation.
Its most prominent mutant defect is a unique hypertrophic shoot phenotype
combining a strongly increased organ formation rate with enhanced meristem size
and the formation of ectopic meristem poles. However, so far the role of AMP1 in
shoot development could not be assigned to a specific molecular pathway nor is
its biochemical function resolved. In this work we evaluated the level of
functional conservation between AMP1 and its human homolog HsGCPII, a tumor
marker of medical interest. We show that HsGCPII cannot substitute AMP1 in planta
and that an HsGCPII-specific inhibitor does not evoke amp1-specific phenotypes.
We used a chemical genetic approach to identify the drug hyperphyllin (HP), which
specifically mimics the shoot defects of amp1, including plastochron reduction
and enlargement and multiplication of the shoot meristem. We assessed the
structural requirements of HP activity and excluded that it is a cytokinin
analog. HP-treated wild-type plants showed amp1-related tissue-specific changes
of various marker genes and a significant transcriptomic overlap with the mutant.
HP was ineffective in amp1 and elevated the protein levels of PHAVOLUTA,
consistent with the postulated role of AMP1 in miRNA-controlled translation,
further supporting an AMP1-related mode of action. Our work suggests that plant
and animal members of the M28 family of proteases adopted unrelated functions.
With HP we provide a tool to characterize the plant-specific functions of this
important class of proteins.
PMID- 27208297
TI - Reactive Oxygen Species in the Regulation of Stomatal Movements.
AB - Guard cells form stomatal pores that optimize photosynthetic carbon dioxide
uptake with minimal water loss. Stomatal movements are controlled by complex
signaling networks that respond to environmental and endogenous signals.
Regulation of stomatal aperture requires coordinated activity of reactive oxygen
species (ROS)-generating enzymes, signaling proteins, and downstream executors
such as ion pumps, transporters, and plasma membrane channels that control guard
cell turgor pressure. Accumulation of ROS in the apoplast and chloroplasts is
among the earliest hallmarks of stomatal closure. Subsequent increase in
cytoplasmic Ca(2+) concentration governs the activity of multiple kinases that
regulate the activity of ROS-producing enzymes and ion channels. In parallel, ROS
directly regulate the activity of multiple proteins via oxidative
posttranslational modifications to fine-tune guard cell signaling. In this
review, we summarize recent advances in the role of ROS in stomatal closure and
discuss the importance of ROS in regulation of signal amplification and
specificity in guard cells.
PMID- 27208299
TI - The WD-Repeat Protein CsTTG1 Regulates Fruit Wart Formation through Interaction
with the Homeodomain-Leucine Zipper I Protein Mict.
AB - The cucumber (Cucumis sativus) fruit is covered with bloom trichomes and warts
(composed of spines and tubercules), which have an important impact on the
commercial value of the crop. However, little is known about the regulatory
mechanism underlying their formation. Here, we reported that the cucumber WD
repeat homolog CsTTG1, which is localized in the nucleus and cytomembrane, plays
an important role in the formation of cucumber fruit bloom trichomes and warts.
Functional characterization of CsTTG1 revealed that it is mainly expressed in the
epidermis of cucumber ovary and that its overexpression in cucumber alters the
density of fruit bloom trichomes and spines, thereby promoting the warty fruit
trait. Conversely, silencing CsTTG1 expression inhibits the initiation of fruit
spines. Molecular and genetic analyses showed that CsTTG1 acts in parallel to
Mict/CsGL1, a key trichome formation factor, to regulate the initiation of fruit
trichomes, including fruit bloom trichomes and spines, and that the further
differentiation of fruit spines and formation of tubercules regulated by CsTTG1
is dependent on Mict Using yeast two-hybrid assay and bimolecular fluorescence
complementation assay, we determined that CsTTG1 directly interacts with Mict.
Collectively, our results indicate that CsTTG1 is an important component of the
molecular network that regulates fruit bloom trichome and wart formation in
cucumber.
PMID- 27208300
TI - Molecular Characterization of Arabidopsis GAL4/UAS Enhancer Trap Lines Identifies
Novel Cell-Type-Specific Promoters.
AB - Cell-type-specific gene expression is essential to distinguish between the
numerous cell types of multicellular organism. Therefore, cell-type-specific gene
expression is tightly regulated and for most genes RNA transcription is the
central point of control. Thus, transcriptional reporters are broadly used
markers for cell identity. In Arabidopsis (Arabidopsis thaliana), a recognized
standard for cell identities is a collection of GAL4/UAS enhancer trap lines.
Yet, while greatly used, very few of them have been molecularly characterized.
Here, we have selected a set of 21 frequently used GAL4/UAS enhancer trap lines
for detailed characterization of expression pattern and genomic insertion
position. We studied their embryonic and postembryonic expression domains and
grouped them into three groups (early embryo development, late embryo
development, and embryonic root apical meristem lines) based on their dominant
expression. We show that some of the analyzed lines are expressed in a domain
often broader than the one that is reported. Additionally, we present an overview
of the location of the T-DNA inserts of all lines, with one exception. Finally,
we demonstrate how the obtained information can be used for generating novel cell
type-specific marker lines and for genotyping enhancer trap lines. The knowledge
could therefore support the extensive use of these valuable lines.
PMID- 27208301
TI - Insights on the Impact of Arbuscular Mycorrhizal Symbiosis on Tomato Tolerance to
Water Stress.
AB - Arbuscular mycorrhizal (AM) fungi, which form symbioses with the roots of the
most important crop species, are usually considered biofertilizers, whose
exploitation could represent a promising avenue for the development in the future
of a more sustainable next-generation agriculture. The best understood function
in symbiosis is an improvement in plant mineral nutrient acquisition, as exchange
for carbon compounds derived from the photosynthetic process: this can enhance
host growth and tolerance to environmental stresses, such as water stress (WS).
However, physiological and molecular mechanisms occurring in arbuscular
mycorrhiza-colonized plants and directly involved in the mitigation of WS effects
need to be further investigated. The main goal of this work is to verify the
potential impact of AM symbiosis on the plant response to WS To this aim, the
effect of two AM fungi (Funneliformis mosseae and Rhizophagus intraradices) on
tomato (Solanum lycopersicum) under the WS condition was studied. A combined
approach, involving ecophysiological, morphometric, biochemical, and molecular
analyses, has been used to highlight the mechanisms involved in plant response to
WS during AM symbiosis. Gene expression analyses focused on a set of target genes
putatively involved in the plant response to drought, and in parallel, we
considered the expression changes induced by the imposed stress on a group of
fungal genes playing a key role in the water-transport process. Taken together,
the results show that AM symbiosis positively affects the tolerance to WS in
tomato, with a different plant response depending on the AM fungi species
involved.
PMID- 27208302
TI - Nonsyntenic Genes Drive Tissue-Specific Dynamics of Differential, Nonadditive,
and Allelic Expression Patterns in Maize Hybrids.
AB - Distantly related maize (Zea mays) inbred lines display an exceptional degree of
genomic diversity. F1 progeny of such inbred lines are often more vigorous than
their parents, a phenomenon known as heterosis. In this study, we investigated
how the genetic divergence of the maize inbred lines B73 and Mo17 and their F1
hybrid progeny is reflected in differential, nonadditive, and allelic expression
patterns in primary root tissues. In pairwise comparisons of the four genotypes,
the number of differentially expressed genes between the two parental inbred
lines significantly exceeded those of parent versus hybrid comparisons in all
four tissues under analysis. No differentially expressed genes were detected
between reciprocal hybrids, which share the same nuclear genome. Moreover,
hundreds of nonadditive and allelic expression ratios that were different from
the expression ratios of the parents were observed in the reciprocal hybrids. The
overlap of both nonadditive and allelic expression patterns in the reciprocal
hybrids significantly exceeded the expected values. For all studied types of
expression - differential, nonadditive, and allelic - substantial tissue-specific
plasticity was observed. Significantly, nonsyntenic genes that evolved after the
last whole genome duplication of a maize progenitor from genes with synteny to
sorghum (Sorghum bicolor) were highly overrepresented among differential,
nonadditive, and allelic expression patterns compared with the fraction of these
genes among all expressed genes. This observation underscores the role of
nonsyntenic genes in shaping the transcriptomic landscape of maize hybrids during
the early developmental manifestation of heterosis in root tissues of maize
hybrids.
PMID- 27208303
TI - Peroxisomes Extend Peroxules in a Fast Response to Stress via a Reactive Oxygen
Species-Mediated Induction of the Peroxin PEX11a.
AB - Peroxisomes are highly dynamic and metabolically active organelles that play an
important role in cellular functions, including reactive oxygen species (ROS)
metabolism. Peroxisomal dynamics, such as the proliferation, movement, and
production of dynamic extensions called peroxules, have been associated with ROS
in plant cells. However, the function and regulation of peroxules are largely
unknown. Using confocal microscopy, we have shown that treatment of Arabidopsis
leaves with the heavy metal cadmium produces time course-dependent changes in
peroxisomal dynamics, starting with peroxule formation, followed by peroxisome
proliferation, and finally returning to the normal morphology and number. These
changes during Cd treatment were regulated by NADPH oxidase (C and F)-related ROS
production. Peroxule formation is a general response to stimuli such as arsenic
and is regulated by peroxin 11a (PEX11a), as Arabidopsis pex11a RNAi lines are
unable to produce peroxules under stress conditions. The pex11a line showed
higher levels of lipid peroxidation content and lower expression of genes
involved in antioxidative defenses and signaling, suggesting that these
extensions are involved in regulating ROS accumulation and ROS-dependent gene
expression in response to stress. Our results demonstrate that PEX11a and
peroxule formation play a key role in regulating stress perception and fast cell
responses to environmental cues.
PMID- 27208304
TI - Mitochondrial and Chloroplast Stress Responses Are Modulated in Distinct Touch
and Chemical Inhibition Phases.
AB - Previous studies have identified a range of transcription factors that modulate
retrograde regulation of mitochondrial and chloroplast functions in Arabidopsis
(Arabidopsis thaliana). However, the relative importance of these regulators and
whether they act downstream of separate or overlapping signaling cascades is
still unclear. Here, we demonstrate that multiple stress-related signaling
pathways, with distinct kinetic signatures, converge on overlapping gene sets
involved in energy organelle function. The transcription factor ANAC017 is almost
solely responsible for transcript induction of marker genes around 3 to 6 h after
chemical inhibition of organelle function and is a key regulator of mitochondrial
and specific types of chloroplast retrograde signaling. However, an independent
and highly transient gene expression phase, initiated within 10 to 30 min after
treatment, also targets energy organelle functions, and is related to touch and
wounding responses. Metabolite analysis demonstrates that this early response is
concurrent with rapid changes in tricarboxylic acid cycle intermediates and large
changes in transcript abundance of genes encoding mitochondrial dicarboxylate
carrier proteins. It was further demonstrated that transcription factors AtWRKY15
and AtWRKY40 have repressive regulatory roles in this touch-responsive gene
expression. Together, our results show that several regulatory systems can
independently affect energy organelle function in response to stress, providing
different means to exert operational control.
PMID- 27208306
TI - Unraveling K63 Polyubiquitination Networks by Sensor-Based Proteomics.
AB - The polybiquitination of proteins can take on different topologies depending on
the residue from ubiquitin involved in the chain formation. Although the role of
lysine-48 (K48) polyubiquitination in proteasome-mediated degradation is fairly
well characterized, much less is understood about the other types of ubiquitin
chains and proteasome-independent functions. To overcome this, we developed a K63
polyubiquitin-specific sensor-based approach to track and isolate K63
polyubiquitinated proteins in plants. Proteins carrying K63 polyubiquitin chains
were found to be enriched in diverse membrane compartments as well as in nuclear
foci. Using liquid chromatography-tandem mass spectrometry, we identified over
100 proteins from Arabidopsis (Arabidopsis thaliana) that are modified with K63
polyubiquitin chains. The K63 ubiquitinome contains critical factors involved in
a wide variety of biological processes, including transport, metabolism, protein
trafficking, and protein translation. Comparison of the proteins found in this
study with previously published nonresolutive ubiquitinomes identified about 70
proteins as ubiquitinated and specifically modified with K63-linked chains. To
extend our knowledge about K63 polyubiquitination, we compared the K63
ubiquitinome with K63 ubiquitination networks based on the Arabidopsis
interactome. Altogether, this work increases our resolution of the cellular and
biological roles associated with this poorly characterized posttranslational
modification and provides a unique insight into the networks of K63
polyubiquitination in plants.
PMID- 27208305
TI - A Single Amino-Acid Substitution in the Sodium Transporter HKT1 Associated with
Plant Salt Tolerance.
AB - A crucial prerequisite for plant growth and survival is the maintenance of
potassium uptake, especially when high sodium surrounds the root zone. The
Arabidopsis HIGH-AFFINITY K(+) TRANSPORTER1 (HKT1), and its homologs in other
salt-sensitive dicots, contributes to salinity tolerance by removing Na(+) from
the transpiration stream. However, TsHKT1;2, one of three HKT1 copies in
Thellungiella salsuginea, a halophytic Arabidopsis relative, acts as a K(+)
transporter in the presence of Na(+) in yeast (Saccharomyces cerevisiae). Amino
acid sequence comparisons indicated differences between TsHKT1;2 and most other
published HKT1 sequences with respect to an Asp residue (D207) in the second pore
loop domain. Two additional T salsuginea and most other HKT1 sequences contain
Asn (n) in this position. Wild-type TsHKT1;2 and altered AtHKT1 (AtHKT1(N-D))
complemented K(+)-uptake deficiency of yeast cells. Mutant hkt1-1 plants
complemented with both AtHKT1(N) (-) (D) and TsHKT1;2 showed higher tolerance to
salt stress than lines complemented by the wild-type AtHKT1 Electrophysiological
analysis in Xenopus laevis oocytes confirmed the functional properties of these
transporters and the differential selectivity for Na(+) and K(+) based on the n/d
variance in the pore region. This change also dictated inward-rectification for
Na(+) transport. Thus, the introduction of Asp, replacing Asn, in HKT1-type
transporters established altered cation selectivity and uptake dynamics. We
describe one way, based on a single change in a crucial protein that enabled some
crucifer species to acquire improved salt tolerance, which over evolutionary time
may have resulted in further changes that ultimately facilitated colonization of
saline habitats.
PMID- 27208307
TI - MicroRNA858 Is a Potential Regulator of Phenylpropanoid Pathway and Plant
Development.
AB - MicroRNAs (miRNAs) are endogenous, noncoding small RNAs that function as critical
regulators of gene expression. In plants, miRNAs have shown their potential as
regulators of growth, development, signal transduction, and stress tolerance.
Although the miRNA-mediated regulation of several processes is known, the
involvement of miRNAs in regulating secondary plant product biosynthesis is
poorly understood. In this study, we functionally characterized Arabidopsis
(Arabidopsis thaliana) miR858a, which putatively targets R2R3-MYB transcription
factors involved in flavonoid biosynthesis. Overexpression of miR858a in
Arabidopsis led to the down-regulation of several MYB transcription factors
regulating flavonoid biosynthesis. In contrast to the robust growth and early
flowering of miR858OX plants, reduction of plant growth and delayed flowering
were observed in Arabidopsis transgenic lines expressing an artificial miRNA
target mimic (MIM858). Genome-wide expression analysis using transgenic lines
suggested that miR858a targets a number of regulatory factors that modulate the
expression of downstream genes involved in plant development and hormonal and
stress responses. Furthermore, higher expression of MYBs in MIM858 lines leads to
redirection of the metabolic flux towards the synthesis of flavonoids at the cost
of lignin synthesis. Altogether, our study has established the potential role of
light-regulated miR858a in flavonoid biosynthesis and plant growth and
development.
PMID- 27208308
TI - Intracellular Redox Compartmentation and ROS-Related Communication in Regulation
and Signaling.
AB - Recent years have witnessed enormous progress in understanding redox signaling
related to reactive oxygen species (ROS) in plants. The consensus view is that
such signaling is intrinsic to many developmental processes and responses to the
environment. ROS-related redox signaling is tightly wedded to compartmentation.
Because membranes function as barriers, highly redox-active powerhouses such as
chloroplasts, peroxisomes, and mitochondria may elicit specific signaling
responses. However, transporter functions allow membranes also to act as bridges
between compartments, and so regulated capacity to transmit redox changes across
membranes influences the outcome of triggers produced at different locations. As
well as ROS and other oxidizing species, antioxidants are key players that
determine the extent of ROS accumulation at different sites and that may
themselves act as signal transmitters. Like ROS, antioxidants can be transported
across membranes. In addition, the intracellular distribution of antioxidative
enzymes may be modulated to regulate or facilitate redox signaling appropriate to
the conditions. Finally, there is substantial plasticity in organellar shape,
with extensions such as stromules, peroxules, and matrixules playing potentially
crucial roles in organelle-organelle communication. We provide an overview of the
advances in subcellular compartmentation, identifying the gaps in our knowledge
and discussing future developments in the area.
PMID- 27208309
TI - Members of BTB Gene Family of Scaffold Proteins Suppress Nitrate Uptake and
Nitrogen Use Efficiency.
AB - Development of crops with improved nitrogen use efficiency (NUE) is essential for
sustainable agriculture. However, achieving this goal has proven difficult since
NUE is a complex trait encompassing physiological and developmental processes. We
thought to tackle this problem by taking a systems biology approach to identify
candidate target genes. First, we used a supervised machine-learning algorithm to
predict a NUE gene network in Arabidopsis (Arabidopsis thaliana). Second, we
identified BT2, a member of the Bric-a-Brac/Tramtrack/Broad gene family, as the
most central and connected gene in the NUE network. Third, we experimentally
tested BT2 for a role in NUE. We found NUE decreased in plants overexpressing BT2
gene compared to wild-type plants under limiting nitrate conditions. In addition,
NUE increased compared to wild-type plants under low nitrate conditions in double
mutant plants in bt2 and its closely related homolog bt1, indicating a functional
redundancy of BT1 and BT2 for NUE. Expression of the nitrate transporter genes
NRT2.1 and NRT2.4 increased in the bt1/bt2 double mutant compared to wild-type
plants, with a concomitant 65% increase in nitrate uptake under low nitrate
conditions. Similar to Arabidopsis, we found that mutation of the BT1/BT2
ortholog gene in rice (Oryza sativa) OsBT increased NUE by 20% compared to wild
type rice plants under low nitrogen conditions. These results indicate BT gene
family members act as conserved negative regulators of nitrate uptake genes and
NUE in plants and highlight them as prime targets for future strategies to
improve NUE in crops.
PMID- 27208311
TI - A Foxtail mosaic virus Vector for Virus-Induced Gene Silencing in Maize.
AB - Plant viruses have been widely used as vectors for foreign gene expression and
virus-induced gene silencing (VIGS). A limited number of viruses have been
developed into viral vectors for the purposes of gene expression or VIGS in
monocotyledonous plants, and among these, the tripartite viruses Brome mosaic
virus and Cucumber mosaic virus have been shown to induce VIGS in maize (Zea
mays). We describe here a new DNA-based VIGS system derived from Foxtail mosaic
virus (FoMV), a monopartite virus that is able to establish systemic infection
and silencing of endogenous maize genes homologous to gene fragments inserted
into the FoMV genome. To demonstrate VIGS applications of this FoMV vector
system, four genes, phytoene desaturase (functions in carotenoid biosynthesis),
lesion mimic22 (encodes a key enzyme of the porphyrin pathway), iojap (functions
in plastid development), and brown midrib3 (caffeic acid O-methyltransferase),
were silenced and characterized in the sweet corn line Golden * Bantam.
Furthermore, we demonstrate that the FoMV infectious clone establishes systemic
infection in maize inbred lines, sorghum (Sorghum bicolor), and green foxtail
(Setaria viridis), indicating the potential wide applications of this viral
vector system for functional genomics studies in maize and other monocots.
PMID- 27208312
TI - SUPERKILLER Complex Components Are Required for the RNA Exosome-Mediated Control
of Cuticular Wax Biosynthesis in Arabidopsis Inflorescence Stems.
AB - ECERIFERUM7 (CER7)/AtRRP45B core subunit of the exosome, the main cellular 3'-to
5' exoribonuclease, is a positive regulator of cuticular wax biosynthesis in
Arabidopsis (Arabidopsis thaliana) inflorescence stems. CER7-dependent exosome
activity determines stem wax load by controlling transcript levels of the wax
related gene CER3 Characterization of the second-site suppressors of the cer7
mutant revealed that small interfering RNAs (siRNAs) are direct effectors of CER3
expression. To explore the relationship between the exosome and
posttranscriptional gene silencing (PTGS) in regulating CER3 transcript levels,
we investigated two additional suppressor mutants, wax restorer1 (war1) and war7.
We show that WAR1 and WAR7 encode Arabidopsis SUPERKILLER3 (AtSKI3) and AtSKI2,
respectively, components of the SKI complex that associates with the exosome
during cytoplasmic 3'-to-5' RNA degradation, and that CER7-dependent regulation
of wax biosynthesis also requires participation of AtSKI8. Our study further
reveals that it is the impairment of the exosome-mediated 3'-5' decay of CER3
transcript in the cer7 mutant that triggers extensive production of siRNAs and
efficient PTGS of CER3. This identifies PTGS as a general mechanism for
eliminating highly abundant endogenous transcripts that is activated when 3'-to
5' mRNA turnover by the exosome is disrupted. Diminished efficiency of PTGS in
ski mutants compared with cer7, as evidenced by lower accumulation of CER3
related siRNAs, suggests that reduced amounts of CER3 transcript are available
for siRNA synthesis, possibly because CER3 mRNA that does not interact with SKI
is degraded by 5'-to-3' XRN4 exoribonuclease.
PMID- 27208313
TI - Modeling and gene knockdown to assess the contribution of nonsense-mediated
decay, premature termination, and selenocysteine insertion to the selenoprotein
hierarchy.
AB - The expression of selenoproteins, a specific group of proteins that incorporates
selenocysteine, is hierarchically regulated by the availability of Se, with some,
but not all selenoprotein mRNA transcripts decreasing in abundance with
decreasing Se. Selenocysteine insertion into the peptide chain occurs during
translation following recoding of an internal UGA stop codon. There is increasing
evidence that this UGA recoding competes with premature translation termination,
which is followed by nonsense-mediated decay (NMD) of the transcript. In this
study, we tested the hypothesis that the susceptibility of different
selenoprotein mRNAs to premature termination during translation and differential
sensitivity of selenoprotein transcripts to NMD are major factors in the
selenoprotein hierarchy. Selenoprotein transcript abundance was measured in Caco
2 cells using real-time PCR under different Se conditions and the data obtained
fitted to mathematical models of selenoprotein translation. A calibrated model
that included a combination of differential sensitivity of selenoprotein
transcripts to NMD and different frequency of non-NMD related premature
translation termination was able to fit all the measurements. The model
predictions were tested using SiRNA to knock down expression of the crucial NMD
factor UPF1 (up-frameshift protein 1) and selenoprotein mRNA expression. The
calibrated model was able to predict the effect of UPF1 knockdown on gene
expression for all tested selenoproteins, except SPS2 (selenophosphate
synthetase), which itself is essential for selenoprotein synthesis. These results
indicate an important role for NMD in the hierarchical regulation of
selenoprotein mRNAs, with the exception of SPS2 whose expression is likely
regulated by a different mechanism.
PMID- 27208310
TI - Techniques for the Analysis of Protein-Protein Interactions in Vivo.
AB - Identifying key players and their interactions is fundamental for understanding
biochemical mechanisms at the molecular level. The ever-increasing number of
alternative ways to detect protein-protein interactions (PPIs) speaks volumes
about the creativity of scientists in hunting for the optimal technique. PPIs
derived from single experiments or high-throughput screens enable the decoding of
binary interactions, the building of large-scale interaction maps of single
organisms, and the establishment of cross-species networks. This review provides
a historical view of the development of PPI technology over the past three
decades, particularly focusing on in vivo PPI techniques that are inexpensive to
perform and/or easy to implement in a state-of-the-art molecular biology
laboratory. Special emphasis is given to their feasibility and application for
plant biology as well as recent improvements or additions to these established
techniques. The biology behind each method and its advantages and disadvantages
are discussed in detail, as are the design, execution, and evaluation of PPI
analysis. We also aim to raise awareness about the technological considerations
and the inherent flaws of these methods, which may have an impact on the
biological interpretation of PPIs. Ultimately, we hope this review serves as a
useful reference when choosing the most suitable PPI technique.
PMID- 27208314
TI - The piRNA pathway is developmentally regulated during spermatogenesis in
Drosophila.
AB - PIWI-interacting RNAs (piRNAs) are predominantly produced in animal gonads to
suppress transposons during germline development. Our understanding about the
piRNA biogenesis and function is predominantly from studies of the Drosophila
female germline. piRNA pathway function in the male germline, however, remains
poorly understood. To study overall and stage-specific features of piRNAs during
spermatogenesis, we analyzed small RNAs extracted from entire wild-type testes
and stage-specific arrest mutant testes enriched with spermatogonia or primary
spermatocytes. We show that most active piRNA clusters in the female germline do
not majorly contribute to piRNAs in testes, and abundance patterns of piRNAs
mapping to different transposon families also differ between male and female
germlines. piRNA production is regulated in a stage-specific manner during
spermatogenesis. The piRNAs in spermatogonia-enriched testes are predominantly
transposon-mapping piRNAs, and almost half of those exhibit a ping-pong
signature. In contrast, the primary spermatocyte-enriched testes have a
dramatically high amount of piRNAs targeting repeats like suppressor of stellate
and AT-chX The transposon-mapping piRNAs in the primary spermatocyte stages
lacking Argonaute3 expression also show a ping-pong signature, albeit to a lesser
extent. Consistently, argonaute3 mutant testes also retain ping-pong signature
bearing piRNAs, suggesting that a noncanonical ping-pong cycle might act during
spermatogenesis. Our study shows stage-specific regulation of piRNA biogenesis
during spermatogenesis: An active ping-pong cycle produces abundant transposon
mapping piRNAs in spermatogonia, while in primary spermatocytes, piRNAs act to
suppress the repeats and transposons.
PMID- 27208315
TI - Activation of PKR by short stem-loop RNAs containing single-stranded arms.
AB - Protein kinase R (PKR) is a central component of the innate immunity antiviral
pathway and is activated by dsRNA. PKR contains a C-terminal kinase domain and
two tandem dsRNA binding domains. In the canonical activation model, binding of
multiple PKR monomers to dsRNA enhances dimerization of the kinase domain,
leading to enzymatic activation. A minimal dsRNA of 30 bp is required for
activation. However, short (~15 bp) stem-loop RNAs containing flanking single
stranded tails (ss-dsRNAs) are capable of activating PKR. Activation was reported
to require a 5'-triphosphate. Here, we characterize the structural features of ss
dsRNAs that contribute to activation. We have designed a model ss-dsRNA
containing 15-nt single-stranded tails and a 15-bp stem and made systematic
truncations of the tail and stem regions. Autophosphorylation assays and
analytical ultracentrifugation experiments were used to correlate activation and
binding affinity. PKR activation requires both 5'- and 3'-single-stranded tails
but the triphosphate is dispensable. Activation potency and binding affinity
decrease as the ssRNA tails are truncated and activation is abolished in cases
where the binding affinity is strongly reduced. These results indicate that the
single-stranded regions bind to PKR and support a model where ss-dsRNA induced
dimerization is required but not sufficient to activate the kinase. The length of
the duplex regions in several natural RNA activators of PKR is below the minimum
of 30 bp required for activation and similar interactions with single-stranded
regions may contribute to PKR activation in these cases.
PMID- 27208317
TI - Two- and Four-Hour Tests Differ in Capture of C-Peptide Responses to a Mixed Meal
in Type 1 Diabetes.
PMID- 27208316
TI - Multinational Home Use of Closed-Loop Control Is Safe and Effective.
AB - OBJECTIVE: To evaluate the efficacy of a portable, wearable, wireless artificial
pancreas system (the Diabetes Assistant [DiAs] running the Unified Safety System)
on glucose control at home in overnight-only and 24/7 closed-loop control (CLC)
modes in patients with type 1 diabetes. RESEARCH DESIGN AND METHODS: At six
clinical centers in four countries, 30 participants 18-66 years old with type 1
diabetes (43% female, 96% non-Hispanic white, median type 1 diabetes duration 19
years, median A1C 7.3%) completed the study. The protocol included a 2-week
baseline sensor-augmented pump (SAP) period followed by 2 weeks of overnight-only
CLC and 2 weeks of 24/7 CLC at home. Glucose control during CLC was compared with
the baseline SAP. RESULTS: Glycemic control parameters for overnight-only CLC
were improved during the nighttime period compared with baseline for hypoglycemia
(time <70 mg/dL, primary end point median 1.1% vs. 3.0%; P < 0.001), time in
target (70-180 mg/dL: 75% vs. 61%; P < 0.001), and glucose variability
(coefficient of variation: 30% vs. 36%; P < 0.001). Similar improvements for
day/night combined were observed with 24/7 CLC compared with baseline: 1.7% vs.
4.1%, P < 0.001; 73% vs. 65%, P < 0.001; and 34% vs. 38%, P < 0.001,
respectively. CONCLUSIONS: CLC running on a smartphone (DiAs) in the home
environment was safe and effective. Overnight-only CLC reduced hypoglycemia and
increased time in range overnight and increased time in range during the day;
24/7 CLC reduced hypoglycemia and increased time in range both overnight and
during the day. Compared with overnight-only CLC, 24/7 CLC provided additional
hypoglycemia protection during the day.
PMID- 27208318
TI - Benefits for Type 2 Diabetes of Interrupting Prolonged Sitting With Brief Bouts
of Light Walking or Simple Resistance Activities.
AB - OBJECTIVE: To determine whether interrupting prolonged sitting with brief bouts
of light-intensity walking (LW) or simple resistance activities (SRA) improves
postprandial cardiometabolic risk markers in adults with type 2 diabetes (T2D).
RESEARCH DESIGN AND METHODS: In a randomized crossover trial, 24 inactive
overweight/obese adults with T2D (14 men 62 +/- 6 years old) underwent the
following 8-h conditions on three separate days (with 6-14 days washout):
uninterrupted sitting (control) (SIT), sitting plus 3-min bouts of LW (3.2 km .
h(-1)) every 30 min, and sitting plus 3-min bouts of SRA (half-squats, calf
raises, gluteal contractions, and knee raises) every 30 min. Standardized meals
were consumed during each condition. Incremental areas under the curve (iAUCs)
for glucose, insulin, C-peptide, and triglycerides were compared between
conditions. RESULTS: Compared with SIT, both activity-break conditions
significantly attenuated iAUCs for glucose (SIT mean 24.2 mmol . h . L(-1) [95%
CI 20.4-28.0] vs. LW 14.8 [11.0-18.6] and SRA 14.7 [10.9-18.5]), insulin (SIT
3,293 pmol . h . L(-1) [2,887-3,700] vs. LW 2,104 [1,696-2,511] and SRA 2,066
[1,660-2,473]), and C-peptide (SIT 15,641 pmol . h . L(-1) [14,353-16,929] vs. LW
11,504 [10,209-12,799] and SRA 11,012 [9,723-12,301]) (all P < 0.001). The iAUC
for triglycerides was significantly attenuated for SRA (P < 0.001) but not for LW
(SIT 4.8 mmol . h . L(-1) [3.6-6.0] vs. LW 4.0 [2.8-5.1] and SRA 2.9 [1.7-4.1]).
CONCLUSIONS: Interrupting prolonged sitting with brief bouts of LW or SRA
attenuates acute postprandial glucose, insulin, C-peptide, and triglyceride
responses in adults with T2D. With poor adherence to structured exercise, this
approach is potentially beneficial and practical.
PMID- 27208319
TI - Continuous Glucose Monitoring in Patients With Type 1 Diabetes Using Insulin
Injections.
PMID- 27208322
TI - Sustained Efficacy of Insulin Pump Therapy in Type 2 Diabetes: 9-Year Follow-up
in a Cohort of 161 Patients.
PMID- 27208320
TI - Glucose Variability in a 26-Week Randomized Comparison of Mealtime Treatment With
Rapid-Acting Insulin Versus GLP-1 Agonist in Participants With Type 2 Diabetes at
High Cardiovascular Risk.
AB - OBJECTIVE: A1C is associated with diabetes complications but does not reflect
glycemic variability (GV), which may worsen outcomes by inducing inflammation,
oxidative stress, and cardiac arrhythmias. We tested whether a glucagon-like
peptide 1 agonist-based regimen can reduce GV and cardiometabolic risk markers
while maintaining similar A1C levels in people with insulin-requiring type 2
diabetes and high cardiovascular risk. RESEARCH DESIGN AND METHODS: After run-in
on metformin and basal-bolus insulin (BBI), 102 participants continued metformin
and basal insulin and were randomized to exenatide dosing before the two largest
meals (glucacon-like peptide-1 receptor agonist and insulin [GLIPULIN group]) or
continuation of rapid-acting insulin analogs (BBI group). Indices of GV by
continuous glucose monitoring (CGM), hypoglycemia, weight, risk markers, and
cardiac arrhythmias were assessed. The primary end point was change in glucose
coefficients of variation (CV) by CGM from baseline to 26 weeks. RESULTS: At
randomization, the median A1C was 7.3% (57 mmol/mol) for GLIPULIN and 7.4% (56.3
mmol/mol) for BBI, and glucose CVs were 30.3 for BBI and 31.9 for GLIPULIN. At 26
weeks, A1C levels were similar (7.1% [54 mmol/mol] vs. 7.2% [55 mmol/mol]),
whereas mean CV improved with GLIPULIN (-2.4 vs. 0.4, P = 0.047). Other GV
indices followed similar nonsignificant patterns of improvement with GLIPULIN.
There were no differences in hypoglycemic events during CGM or arrhythmias during
electrocardiographic monitoring. On-trial changes in body weight (-4.8 kg vs.
+0.7 kg, P < 0.001), alanine aminotransferase (P = 0.0002), and serum amyloid A
(P = 0.023) favored GLIPULIN. CONCLUSIONS: GLIPULIN reduced GV, weight, and some
cardiometabolic risk markers while maintaining equivalent A1C levels versus BBI
and might improve clinical outcomes in a larger trial.
PMID- 27208321
TI - Long-term Variability in Glycemic Control Is Associated With White Matter
Hyperintensities in APOE4 Genotype Carriers With Type 2 Diabetes.
AB - OBJECTIVE: We assessed whether the apolipoprotein epsilon4 (APOE4) genotype
affects the relationship of variability in long-term glycemic control (measured
by HbA1c SD of multiple measurements) with white matter hyperintensities (WMHs)
in elderly patients with type 2 diabetes (T2D). RESEARCH DESIGN AND METHODS: WMH
volume was generated from structural T1 and fluid-attenuated inversion recovery
MRI in each subject. The analysis included 124 subjects; 27 (21.8%) had one or
more APOE4 alleles. RESULTS: HbA1c variability was associated with significantly
higher WMH in APOE4 carriers (r = 0.47, P = 0.03), controlling for age, sex, mean
HbA1c, number of follow-up years, and a composite of cardiovascular risk factors,
but not in noncarriers (r = -0.04, P = 0.71; P for interaction = 0.050).
CONCLUSIONS: The results suggest that the APOE4 genotype affects the relationship
of long-term glycemic control with WMH load so that APOE4 carriers may be more
vulnerable to the insults of poor control.
PMID- 27208323
TI - Maternal Serum Prolactin and Prediction of Postpartum beta-Cell Function and Risk
of Prediabetes/Diabetes.
AB - OBJECTIVE: The insulin resistance of mid- to late pregnancy poses a physiologic
stress test for the pancreatic beta-cells, which must respond by markedly
increasing their secretion of insulin. This response is achieved through an
expansion of beta-cell mass induced by the hormones prolactin and human placental
lactogen (HPL). Conversely, the furan fatty acid metabolite 3-carboxy-4-methyl-5
propyl-2-furanpropanoic acid (CMPF) has recently emerged as a negative regulator
of beta-cell function in pregnancy. Given their respective roles in the beta-cell
response to the stress test of gestation, we hypothesized that antepartum
prolactin, HPL, and CMPF may relate to a woman's underlying glucoregulatory
physiology and hence to her metabolic status after pregnancy. RESEARCH DESIGN AND
METHODS: Three hundred and sixty-seven women underwent measurement of fasting
serum prolactin, HPL, and CMPF in the late-2nd/early-3rd trimester, followed by
an oral glucose tolerance test (OGTT) at 3 months postpartum that enabled
assessment of glucose tolerance, insulin sensitivity/resistance, and beta-cell
function (Insulin Secretion-Sensitivity Index-2 [ISSI-2]). RESULTS: The
postpartum OGTT identified 301 women with normal glucose tolerance (NGT) and 66
with prediabetes or diabetes. Serum prolactin in pregnancy was higher in women
with postpartum NGT compared with those with postpartum prediabetes/diabetes
(mean 98.2 vs. 80.2 ng/mL, P = 0.0003), whereas HPL and CMPF did not differ
between the groups. On multiple linear regression analyses, antepartum prolactin
was an independent determinant of postpartum ISSI-2 (beta = 0.0016, t = 2.96, P =
0.003). Furthermore, higher serum prolactin in pregnancy independently predicted
a lower risk of postpartum prediabetes/diabetes (odds ratio 0.50, 95% CI 0.35
0.72, P = 0.0002). CONCLUSIONS: Serum prolactin in pregnancy predicts postpartum
beta-cell function and risk of prediabetes/diabetes.
PMID- 27208325
TI - Age-Specific Trends From 2000-2011 in All-Cause and Cause-Specific Mortality in
Type 1 and Type 2 Diabetes: A Cohort Study of More Than One Million People.
AB - OBJECTIVE: To analyze changes by age-group in all-cause and cause-specific
mortality rates from 2000-2011 in people with diabetes. RESEARCH DESIGN AND
METHODS: A total of 1,189,079 (7.3% with type 1 diabetes) Australians with
diabetes registered on the National Diabetes Service Scheme between 2000 and 2011
were linked to the National Death Index. Mortality rates in the total population
were age standardized to the 2001 Australian population. Mortality rates were
calculated for the following age-groups: 0 to <40 years, >= 40 to <60 years, and
>=60 to <=85 years. Annual mortality rates were fitted using a Poisson regression
model including calendar year as a covariate and age and sex where appropriate,
with Ptrend reported. RESULTS: For type 1 diabetes, all-cause, cardiovascular
disease (CVD), and diabetes age-standardized mortality rates (ASMRs) decreased
each year by 0.61, 0.35, and 0.14 per 1,000 person-years (PY), respectively,
between 2000 and 2011, Ptrend < 0.05, while cancer mortality remained unchanged.
By age, significant decreases in all-cause, CVD, and diabetes mortality rates
were observed in all age-groups, excluding diabetes mortality in age-group 0-40
years. For type 2 diabetes, all-cause, CVD, and diabetes ASMRs decreased per year
by 0.18, 0.15, and 0.03 per 1,000 PY, respectively, Ptrend < 0.001, while cancer
remained unchanged. By age, these decreases were observed in all age-groups,
excluding 0-40 years, where significant increases in all-cause and cancer
mortality were noted and no change was seen for CVD and diabetes mortality.
CONCLUSIONS: All-cause, CVD, and diabetes ASMRs in type 1 and type 2 diabetes
decreased between 2000 and 2011, while cancer ASMRs remained unchanged. However,
younger populations are not benefiting from the same improvements as older
populations. In addition, the absence of a decline in cancer mortality warrants
urgent attention.
PMID- 27208324
TI - HLA-A*24 Carrier Status and Autoantibody Surges Posttransplantation Associate
With Poor Functional Outcome in Recipients of an Islet Allograft.
AB - OBJECTIVE: We investigated whether changes in islet autoantibody profile and
presence of HLA risk markers, reported to predict rapid beta-cell loss in pre
type 1 diabetes, associate with poor functional outcome in islet allograft
recipients. RESEARCH DESIGN AND METHODS: Forty-one patients received >=2.3
million beta-cells/kg body wt in one to two intraportal implantations. Outcome
after 6-18 months was assessed by C-peptide (random and stimulated), insulin
dose, and HbA1c. RESULTS: Patients carrying HLA-A*24-positive or experiencing a
significant autoantibody surge within 6 months after the first transplantation (n
= 19) had lower C-peptide levels (P <= 0.003) and higher insulin needs (P <
0.001) despite higher HbA1c levels (P <= 0.018). They became less often insulin
independent (16% vs. 68%, P = 0.002) and remained less often C-peptide positive
(47% vs. 100%, P < 0.001) than recipients lacking both risk factors. HLA-A*24
positivity or an autoantibody surge predicted insulin dependence (P = 0.007).
CONCLUSIONS: HLA-A*24 and early autoantibody surge after islet implantation
associate with poor functional graft outcome.
PMID- 27208326
TI - Development of Early Adiposity in Infants of Mothers With Gestational Diabetes
Mellitus.
AB - OBJECTIVE: Infants born to mothers with gestational diabetes mellitus (GDM) are
at greater risk of later adverse metabolic health. We examined plausible
candidate mediators, adipose tissue (AT) quantity and distribution and
intrahepatocellular lipid (IHCL) content, comparing infants of mothers with GDM
and without GDM (control group) over the first 3 postnatal months. RESEARCH
DESIGN AND METHODS: We conducted a prospective longitudinal study using MRI and
spectroscopy to quantify whole-body and regional AT volumes, and IHCL content,
within 2 weeks and 8-12 weeks after birth. We adjusted for infant size and sex
and maternal prepregnancy BMI. Values are reported as the mean difference (95%
CI). RESULTS: We recruited 86 infants (GDM group 42 infants; control group 44
infants). Mothers with GDM had good pregnancy glycemic control. Infants were
predominantly breast-fed up to the time of the second assessment (GDM group 71%;
control group 74%). Total AT volumes were similar in the GDM group compared with
the control group at a median age of 11 days (-28 cm(3) [95% CI -121, 65], P =
0.55), but were greater in the GDM group at a median age of 10 weeks (247 cm(3)
[56, 439], P = 0.01). After adjustment for size, the GDM group had significantly
greater total AT volume at 10 weeks than control group infants (16.0% [6.0,
27.1], P = 0.002). AT distribution and IHCL content were not significantly
different at either time point. CONCLUSIONS: Adiposity in GDM infants is
amplified in early infancy, despite good maternal glycemic control and
predominant breast-feeding, suggesting a potential causal pathway to later
adverse metabolic health. Reduction in postnatal adiposity may be a therapeutic
target to reduce later health risks.
PMID- 27208327
TI - Association Between Use of Lipid-Lowering Therapy and Cardiovascular Diseases and
Death in Individuals With Type 1 Diabetes.
AB - OBJECTIVE: To evaluate the effect of lipid-lowering therapy (LLT) in primary
prevention on cardiovascular disease (CVD) and death in type 1 diabetes. RESEARCH
DESIGN AND METHODS: We used the Swedish National Diabetes Register (NDR) to
perform a propensity score-based study. Propensity scores for treatment with LLT
were calculated from 32 baseline clinical and socioeconomic variables. The
propensity score was used to estimate the effect of LLT in the overall cohort (by
stratification). We estimated risk of acute myocardial infarction, stroke,
coronary heart disease, and cardiovascular and all-cause mortality in individuals
with and without LLT using Cox regression. A total of 24,230 individuals included
in 2006-2008 NDR with type 1 diabetes without a history of CVD were followed
until 31 December 2012; 18,843 were untreated and 5,387 treated with LLT (97%
statins). The mean follow-up was 6.0 years. RESULTS: The propensity score allowed
balancing of all 32 covariates, with no differences between treated and untreated
after accounting for propensity score. Hazard ratios (HRs) for treated versus
untreated were as follows: cardiovascular death 0.60 (95% CI 0.50-0.72), all
cause death 0.56 (0.48-0.64), fatal/nonfatal stroke 0.56 (0.46-0.70),
fatal/nonfatal acute myocardial infarction 0.78 (0.66-0.92), fatal/nonfatal
coronary heart disease 0.85 (0.74-0.97), and fatal/nonfatal CVD 0.77 (0.69-0.87).
CONCLUSIONS: This observational study shows that LLT is associated with 22-44%
reduction in the risk of CVD and cardiovascular death among individuals with type
1 diabetes without history of CVD and underlines the importance of primary
prevention with LLT to reduce cardiovascular risk in type 1 diabetes.
PMID- 27208328
TI - Omentin-1, Adiponectin, and the Risk of Developing Type 2 Diabetes.
PMID- 27208329
TI - Exclusion of Women of Childbearing Potential in Clinical Trials of Type 2
Diabetes Medications: A Review of Protocol-Based Barriers to Enrollment.
AB - OBJECTIVE: Women of childbearing potential are often excluded from participating
in clinical trials owing to concerns about adverse fetal effects of treatment.
This study aims to determine the prevalence of fertility-related exclusion
criteria in clinical trials of type 2 diabetes medications and to determine
whether these criteria are commensurate with drug risk. RESEARCH DESIGN AND
METHODS: ClinicalTrials.gov was queried for trials of type 2 diabetes medications
that were phase 2 or 3, were based in the U.S., and enrolled participants 18-40
years old. Six hundred eighty-eight trials met criteria. Information collected
about each trial included enrollment, trial length, exclusion and inclusion
criteria, trial sponsor, and pregnancy category of drug(s) administered. RESULTS:
Most studies (59%) included one or more fertility-related exclusion criteria,
most often excluding current pregnancy (55%) and breast-feeding (44%). Trials of
medications with increased fetal risk were not more restrictive: trials of
category C drugs (evidence of fetal risks in animals) were less likely to exclude
pregnancy compared with trials of category B drugs (no known human or animal
fetal risks) (45.6% vs. 69.8%, odds ratio [OR] 0.37 [95% CI 0.20, 0.65], P =
0.0005) or to require contraceptive use (29.9% vs. 57.1%, OR 0.32 [95% CI 0.18,
0.56], P = 0.001). CONCLUSIONS: In clinical trials of type 2 diabetes
medications, exclusion criteria affecting women of childbearing potential are
often disproportionate to risk to the participant and fetus. These criteria have
the potential to impede young women's access to clinical trials and may hinder
the acquisition of clinical knowledge critical for improving the care of women
with diabetes.
PMID- 27208331
TI - Day-and-Night Closed-Loop Glucose Control in Patients With Type 1 Diabetes Under
Free-Living Conditions: Results of a Single-Arm 1-Month Experience Compared With
a Previously Reported Feasibility Study of Evening and Night at Home.
AB - OBJECTIVE: After testing of a wearable artificial pancreas (AP) during evening
and night (E/N-AP) under free-living conditions in patients with type 1 diabetes
(T1D), we investigated AP during day and night (D/N-AP) for 1 month. RESEARCH
DESIGN AND METHODS: Twenty adult patients with T1D who completed a previous
randomized crossover study comparing 2-month E/N-AP versus 2-month sensor
augmented pump (SAP) volunteered for 1-month D/N-AP nonrandomized extension. AP
was executed by a model predictive control algorithm run by a modified smartphone
wirelessly connected to a continuous glucose monitor (CGM) and insulin pump. CGM
data were analyzed by intention-to-treat with percentage time-in-target (3.9-10
mmol/L) over 24 h as the primary end point. RESULTS: Time-in-target (mean +/- SD,
%) was similar over 24 h with D/N-AP versus E/N-AP: 64.7 +/- 7.6 vs. 63.6 +/- 9.9
(P = 0.79), and both were higher than with SAP: 59.7 +/- 9.6 (P = 0.01 and P =
0.06, respectively). Time below 3.9 mmol/L was similarly and significantly
reduced by D/N-AP and E/N-AP versus SAP (both P < 0.001). SD of blood glucose
concentration (mmol/L) was lower with D/N-AP versus E/N-AP during whole daytime:
3.2 +/- 0.6 vs. 3.4 +/- 0.7 (P = 0.003), morning: 2.7 +/- 0.5 vs. 3.1 +/- 0.5 (P
= 0.02), and afternoon: 3.3 +/- 0.6 vs. 3.5 +/- 0.8 (P = 0.07), and was lower
with D/N-AP versus SAP over 24 h: 3.1 +/- 0.5 vs. 3.3 +/- 0.6 (P = 0.049).
Insulin delivery (IU) over 24 h was higher with D/N-AP and SAP than with E/N-AP:
40.6 +/- 15.5 and 42.3 +/- 15.5 vs. 36.6 +/- 11.6 (P = 0.03 and P = 0.0004,
respectively). CONCLUSIONS: D/N-AP and E/N-AP both achieved better glucose
control than SAP under free-living conditions. Although time in the different
glycemic ranges was similar between D/N-AP and E/N-AP, D/N-AP further reduces
glucose variability.
PMID- 27208330
TI - Differences in Hemoglobin A1c Between Hispanics/Latinos and Non-Hispanic Whites:
An Analysis of the Hispanic Community Health Study/Study of Latinos and the 2007
2012 National Health and Nutrition Examination Survey.
AB - OBJECTIVE: To determine whether, after adjustment for glycemia and other selected
covariates, hemoglobin A1c (HbA1c) differed among adults from six Hispanic/Latino
heritage groups (Central American, Cuban, Dominican, Mexican, Puerto Rican, and
South American) and between Hispanic/Latino and non-Hispanic white adults without
self-reported diabetes. RESEARCH DESIGN AND METHODS: We performed a cross
sectional analysis of data from 13,083 individuals without self-reported diabetes
from six Hispanic/Latino heritage groups, enrolled from 2008 to 2011 in the
Hispanic Community Health Study/Study of Latinos, and 2,242 non-Hispanic white
adults enrolled during the 2007-2012 cycles of the National Health and Nutrition
Examination Survey. We compared HbA1c levels among Hispanics/Latinos and between
Hispanics/Latinos and non-Hispanic whites before and after adjustment for age,
sex, fasting (FPG) and 2-h post-oral glucose tolerance test (2hPG) glucose,
anthropometric measurements, and selected biochemical and hematologic variables
and after stratification by diabetes status: unrecognized diabetes (FPG >=7.1
mmol/L or 2hPG >=11.2 mmol/L), prediabetes (FPG 5.6-7.0 mmol/L or 2hPG 7.8-11.1
mmol/L), and normal glucose tolerance (FPG <5.6 mmol/L and 2hPG <7.8 mmol/L).
RESULTS: Adjusted mean HbA1c differed significantly across all seven groups (P <
0.001). Non-Hispanic whites had significantly lower HbA1c (P < 0.05) than each
individual Hispanic/Latino heritage group. Upon stratification by diabetes
status, statistically significant differences (P < 0.001) in adjusted mean HbA1c
persisted across all seven groups. CONCLUSIONS: HbA1c differs among
Hispanics/Latinos of diverse heritage groups and between non-Hispanic whites and
Hispanics/Latinos after adjustment for glycemia and other covariates. The
clinical significance of these differences is unknown.
PMID- 27208333
TI - Accelerated Fetal Growth Prior to Diagnosis of Gestational Diabetes Mellitus: A
Prospective Cohort Study of Nulliparous Women.
AB - OBJECTIVE: To determine whether fetal overgrowth precedes the diagnosis of
gestational diabetes mellitus (GDM) and to quantify the interrelationships among
fetal overgrowth, GDM, and maternal obesity. RESEARCH DESIGN AND METHODS: We
conducted a prospective cohort study of unselected nulliparous women and
performed ultrasonic measurement of the fetal abdominal circumference (AC) and
head circumference (HC) at 20 and 28 weeks of gestational age (wkGA). Exposures
were diagnosis of GDM >=28 wkGA and maternal obesity. The risk of AC >90th and HC
to-AC ratio <10th percentile was modeled using log-binomial regression, adjusted
for maternal characteristics. RESULTS: Of 4,069 women, 171 (4.2%) were diagnosed
with GDM at >=28 wkGA. There was no association between fetal biometry at 20 wkGA
and subsequent maternal diagnosis of GDM. However, at 28 wkGA, there was an
increased risk of AC >90th percentile (adjusted relative risk 2.05 [95% CI 1.37
3.07]) and HC-to-AC ratio <10th percentile (1.97 [1.30-2.99]). Maternal obesity
showed similar associations at 28 wkGA (2.04 [1.62-2.56] and 1.46 [1.12-1.90],
respectively). The combination of GDM and obesity was associated with an
approximately fivefold risk of AC >90th (4.52 [2.98-6.85]) and approximately
threefold risk of HC-to-AC ratio <10th percentile (2.80 [1.64-4.78]) at 28 wkGA.
Fetal AC >90th percentile at 28 weeks was associated with an approximately
fourfold risk of being large for gestational age at birth. CONCLUSIONS: Diagnosis
of GDM is preceded by excessive growth of the fetal AC between 20 and 28 wkGA,
and its effects on fetal growth are additive with the effects of maternal
obesity.
PMID- 27208332
TI - Mitigating Meal-Related Glycemic Excursions in an Insulin-Sparing Manner During
Closed-Loop Insulin Delivery: The Beneficial Effects of Adjunctive Pramlintide
and Liraglutide.
AB - OBJECTIVE: Closed-loop (CL) insulin delivery effectively maintains glucose
overnight but struggles when challenged with meals. Use of single-day, 30
MUg/meal pramlintide lowers meal excursions during CL. We sought to further
elucidate the potential benefits of adjunctive agents after 3-4 weeks of
outpatient dose titration. RESEARCH DESIGN AND METHODS: Two CL studies were
conducted: one evaluating adjunctive pramlintide and the other liraglutide. Ten
subjects (age 16-23 years; A1C 7.2 +/- 0.6% [55 +/- 6.6 mmol/mol]) completed two
24-h sessions: one on CL alone and one on CL plus 60-MUg pramlintide (CL + P),
after a 3-4-week outpatient dose escalation. Eleven subjects (age 18-27 years;
A1C 7.5 +/- 0.9% [58 +/- 9.8 mmol/mol]) were studied before and after treatment
with 1.8 mg liraglutide (CL + L) after a similar 3-4-week dose escalation period.
Timing and content of meals during CL were identical within experiments; meals
were not announced. RESULTS: Pramlintide delayed the time to peak plasma glucose
(PG) excursion (CL 1.6 +/- 0.5 h vs. CL + P 2.6 +/- 0.9 h, P < 0.001) with
concomitant blunting of peak postprandial increments in PG (P < 0.0001) and
reductions in postmeal incremental PG area under the curve (AUC) (P = 0.0002). CL
+ L also led to reductions in PG excursions (P = 0.05) and incremental PG AUC (P
= 0.004), with a 28% reduction in prandial insulin delivery. Outpatient
liraglutide therapy led to a weight loss of 3.2 +/- 1.8 kg, with a 26% reduction
in total daily insulin dose. CONCLUSIONS: Adjunctive pramlintide and liraglutide
treatment mitigated postprandial hyperglycemia during CL control; liraglutide
demonstrated the additional benefit of weight loss in an insulin-sparing manner.
Further investigations of these and other adjunctive agents in long-term
outpatient CL studies are needed.
PMID- 27208334
TI - Seasonal Changes in the Prevalence of Gestational Diabetes Mellitus.
AB - OBJECTIVE: To determine the effect of different seasons on the prevalence of
gestational diabetes mellitus (GDM) by using World Health Organization criteria.
RESEARCH DESIGN AND METHODS: The results of all pregnancy glucose tolerance tests
(GTTs) were prospectively collected over a 3-year period in a temperate climate,
and the results were grouped by season. RESULTS: The results of 7,369 pregnancy
GTTs were available for consideration. In winter, the median 1-h and 2-h glucose
results after GTT were significantly (P < 0.0001) lower than the overall 1-h and
2-h results. The prevalence of GDM at the 1-h diagnostic level was 29% higher in
summer and 27% lower in winter than the overall prevalence (P = 0.02). The
prevalence of GDM at the 2-h diagnostic level was 28% higher in summer and 31%
lower in winter than the overall prevalence (P = 0.01). CONCLUSIONS: The
prevalence of GDM varies according to seasons, which leads to the possible
overdiagnosis of GDM in summer and/or underdiagnosis in winter. Further research
into standardization of the GTT or seasonal adjustment of the results may need to
be considered.
PMID- 27208335
TI - Randomized Summer Camp Crossover Trial in 5- to 9-Year-Old Children: Outpatient
Wearable Artificial Pancreas Is Feasible and Safe.
AB - OBJECTIVE: The Pediatric Artificial Pancreas (PedArPan) project tested a children
specific version of the modular model predictive control (MMPC) algorithm in 5-
to 9-year-old children during a camp. RESEARCH DESIGN AND METHODS: A total of 30
children, 5- to 9-years old, with type 1 diabetes completed an outpatient, open
label, randomized, crossover trial. Three days with an artificial pancreas (AP)
were compared with three days of parent-managed sensor-augmented pump (SAP).
RESULTS: Overnight time-in-hypoglycemia was reduced with the AP versus SAP,
median (25(th)-75(th) percentiles): 0.0% (0.0-2.2) vs. 2.2% (0.0-12.3) (P =
0.002), without a significant change of time-in-target, mean: 56.0% (SD 22.5) vs.
59.7% (21.2) (P = 0.430), but with increased mean glucose 173 mg/dL (36) vs. 150
mg/dL (39) (P = 0.002). Overall, the AP granted a threefold reduction of time-in
hypoglycemia (P < 0.001) at the cost of decreased time-in-target, 56.8% (13.5)
vs. 63.1% (11.0) (P = 0.022) and increased mean glucose 169 mg/dL (23) vs. 147
mg/dL (23) (P < 0.001). CONCLUSIONS: This trial, the first outpatient single
hormone AP trial in a population of this age, shows feasibility and safety of
MMPC in young children. Algorithm retuning will be performed to improve efficacy.
PMID- 27208336
TI - IADPSG and WHO 2013 Gestational Diabetes Mellitus Criteria Identify Obese Women
With Marked Insulin Resistance in Early Pregnancy.
PMID- 27208337
TI - Diabetic Ketoacidosis Following Bariatric Surgery in Patients With Type 2
Diabetes.
PMID- 27208338
TI - Nocturnal Hypoglycemia and Physical Activity in Children With Diabetes: New
Insights by Continuous Glucose Monitoring and Accelerometry.
PMID- 27208339
TI - Cognitive Dysfunction: Part and Parcel of the Diabetic Foot.
AB - OBJECTIVE: The presence of a foot ulcer increases the self-treatment burden
imposed on the individual with diabetes. Additionally, this condition increases
the cognitive demands needed for adherence to medical recommendations. A
potential gap could exist between medical recommendations and the individual's
ability to implement them. Hence, the goal of this study was to examine whether
the cognitive profile of people with diabetic foot ulcers differs from that of
people with diabetes without this complication. RESEARCH DESIGN AND METHODS: This
was a case-control study. Ninety-nine individuals with diabetic foot ulcers (case
patients) and 95 individuals with type 2 diabetes (control subjects) (age range
45-75 years), who were matched for diabetes duration and sex, underwent extensive
neuropsychological evaluation using a NeuroTrax computerized battery, digit
symbol, and verbal fluency tests. A global cognitive score after standardization
for age and education was computed as well as scores in the following six
cognitive domains: memory, executive function, reaction time, attention,
psychomotor abilities, and estimated premorbid cognition. RESULTS: Individuals
with diabetic foot ulcers had significantly (P < 0.001) lower cognitive scores
than individuals with diabetes without this complication, in all tested cognitive
domains, excluding estimated premorbid cognition. Individuals with diabetic foot
ulcers demonstrated a significant difference between precognitive and current
cognitive abilities, as opposed to the nonsignificant difference among control
subjects. The differences persisted in multivariable analysis after adjusting for
depression and smoking. CONCLUSIONS: Individuals with diabetic foot ulcers were
found to possess fewer cognitive resources than individuals with diabetes without
this complication. Thus, they appear to face more self-treatment challenges,
while possessing significantly fewer cognitive resources.
PMID- 27208340
TI - Heterogeneous Contribution of Insulin Sensitivity and Secretion Defects to
Gestational Diabetes Mellitus.
AB - OBJECTIVE: To characterize physiologic subtypes of gestational diabetes mellitus
(GDM). RESEARCH DESIGN AND METHODS: Insulin sensitivity and secretion were
estimated in 809 women at 24-30 weeks' gestation, using oral glucose tolerance
test-based indices. In women with GDM (8.3%), defects in insulin sensitivity or
secretion were defined below the 25th percentile in women with normal glucose
tolerance (NGT). GDM subtypes were defined based on the defect(s) present.
RESULTS: Relative to women with NGT, women with predominant insulin sensitivity
defects (51% of GDM) had higher BMI and fasting glucose, larger infants (birth
weight z score 0.57 [-0.01 to 1.37] vs. 0.03 [-0.53 to 0.52], P = 0.001), and
greater risk of GDM-associated adverse outcomes (57.6 vs. 28.2%, P = 0.003);
differences were independent of BMI. Women with predominant insulin secretion
defects (30% of GDM) had BMI, fasting glucose, infant birth weights, and risk of
adverse outcomes similar to those in women with NGT. CONCLUSIONS: Heterogeneity
of physiologic processes underlying hyperglycemia exists among women with GDM.
GDM with impaired insulin sensitivity confers a greater risk of adverse outcomes.
PMID- 27208342
TI - alpha-Hydroxybutyric Acid Is a Selective Metabolite Biomarker of Impaired Glucose
Tolerance.
AB - OBJECTIVE: Plasma metabolites that distinguish isolated impaired glucose
tolerance (iIGT) from isolated impaired fasting glucose (iIFG) may be useful
biomarkers to predict IGT, a high-risk state for the development of type 2
diabetes. RESEARCH DESIGN AND METHODS: Targeted metabolomics with 23 metabolites
previously associated with dysglycemia was performed with fasting plasma samples
from subjects without diabetes at time 0 of an oral glucose tolerance test (OGTT)
in two observational cohorts: RISC (Relationship Between Insulin Sensitivity and
Cardiovascular Disease) and DMVhi (Diabetes Mellitus and Vascular Health
Initiative). Odds ratios (ORs) for a one-SD change in the metabolite level were
calculated using multiple logistic regression models controlling for age, sex,
and BMI to test for associations with iIGT or iIFG versus normal. Selective
biomarkers of iIGT were further validated in the Botnia study. RESULTS: alpha
Hydroxybutyric acid (alpha-HB) was most strongly associated with iIGT in RISC (OR
2.54 [95% CI 1.86-3.48], P value 5E-9) and DMVhi (2.75 [1.81-4.19], 4E-5) while
having no significant association with iIFG. In Botnia, alpha-HB was selectively
associated with iIGT (2.03 [1.65-2.49], 3E-11) and had no significant association
with iIFG. Linoleoyl-glycerophosphocholine (L-GPC) and oleic acid were also found
to be selective biomarkers of iIGT. In multivariate IGT prediction models,
addition of alpha-HB, L-GPC, and oleic acid to age, sex, BMI, and fasting glucose
significantly improved area under the curve in all three cohorts. CONCLUSIONS:
alpha-HB, L-GPC, and oleic acid were shown to be selective biomarkers of iIGT,
independent of age, sex, BMI, and fasting glucose, in 4,053 subjects without
diabetes from three European cohorts. These biomarkers can be used in predictive
models to identify subjects with IGT without performing an OGTT.
PMID- 27208341
TI - Prognostic Classification Factors Associated With Development of Multiple
Autoantibodies, Dysglycemia, and Type 1 Diabetes-A Recursive Partitioning
Analysis.
AB - OBJECTIVE: To define prognostic classification factors associated with the
progression from single to multiple autoantibodies, multiple autoantibodies to
dysglycemia, and dysglycemia to type 1 diabetes onset in relatives of individuals
with type 1 diabetes. RESEARCH DESIGN AND METHODS: Three distinct cohorts of
subjects from the Type 1 Diabetes TrialNet Pathway to Prevention Study were
investigated separately. A recursive partitioning analysis (RPA) was used to
determine the risk classes. Clinical characteristics, including genotype,
antibody titers, and metabolic markers were analyzed. RESULTS: Age and GAD65
autoantibody (GAD65Ab) titers defined three risk classes for progression from
single to multiple autoantibodies. The 5-year risk was 11% for those subjects >16
years of age with low GAD65Ab titers, 29% for those <=16 years of age with low
GAD65Ab titers, and 45% for those subjects with high GAD65Ab titers regardless of
age. Progression to dysglycemia was associated with islet antigen 2 Ab titers,
and 2-h glucose and fasting C-peptide levels. The 5-year risk is 28%, 39%, and
51% for respective risk classes defined by the three predictors. Progression to
type 1 diabetes was associated with the number of positive autoantibodies, peak C
peptide level, HbA1c level, and age. Four risk classes defined by RPA had a 5
year risk of 9%, 33%, 62%, and 80%, respectively. CONCLUSIONS: The use of RPA
offered a new classification approach that could predict the timing of
transitions from one preclinical stage to the next in the development of type 1
diabetes. Using these RPA classes, new prevention techniques can be tailored
based on the individual prognostic risk characteristics at different preclinical
stages.
PMID- 27208346
TI - Plant-herbivore interactions: Thinking beyond larval growth and mortality.
PMID- 27208343
TI - Addition of Liraglutide to Insulin in Patients With Type 1 Diabetes: A Randomized
Placebo-Controlled Clinical Trial of 12 Weeks.
AB - OBJECTIVE: To investigate whether addition of three different doses of
liraglutide to insulin in patients with type 1 diabetes (T1D) results in
significant reduction in glycemia, body weight, and insulin dose. RESEARCH DESIGN
AND METHODS: We randomized 72 patients (placebo = 18, liraglutide = 54) with T1D
to receive placebo and 0.6, 1.2, and 1.8 mg liraglutide daily for 12 weeks.
RESULTS: In the 1.2-mg and 1.8-mg groups, the mean weekly reduction in average
blood glucose was -0.55 +/- 0.11 mmol/L (10 +/- 2 mg/dL) and -0.55 +/- 0.05
mmol/L (10 +/- 1 mg/dL), respectively (P < 0.0001), while it remained unchanged
in the 0.6-mg and placebo groups. In the 1.2-mg group, HbA1c fell significantly (
0.78 +/- 15%, -8.5 +/- 1.6 mmol/mol, P < 0.01), while it did not in the 1.8-mg
group (-0.42 +/- 0.15%, -4.6 +/- 1.6 mmol/mol, P = 0.39) and 0.6-mg group (-0.26
+/- 0.17%, -2.8 +/- 1.9 mmol/mol, P = 0.81) vs. the placebo group (-0.3 +/-
0.15%, -3.3 +/- 1.6 mmol/mol). Glycemic variability was reduced by 5 +/- 1% (P <
0.01) in the 1.2-mg group only. Total daily insulin dose fell significantly only
in the 1.2-mg and 1.8-mg groups (P < 0.05). There was a 5 +/- 1 kg weight loss in
the two higher-dose groups (P < 0.05) and by 2.7 +/- 0.6 kg (P < 0.01) in the 0.6
mg group vs. none in the placebo group. In the 1.2- and 1.8-mg groups,
postprandial plasma glucagon concentration fell by 72 +/- 12% and 47 +/- 12%,
respectively (P < 0.05). Liraglutide led to higher gastrointestinal adverse
events (P < 0.05) and <=1% increases (not significant) in percent time spent in
hypoglycemia (<55 mg/dL, 3.05 mmol/L). CONCLUSIONS: Addition of 1.2 mg and 1.8 mg
liraglutide to insulin over a 12-week period in overweight and obese patients
with T1D results in modest reductions of weekly mean glucose levels with
significant weight loss, small insulin dose reductions, and frequent
gastrointestinal side effects. These findings do not justify the use of
liraglutide in all patients with T1D.
PMID- 27208347
TI - Past, future, and present of state-dependent models of diversification.
PMID- 27208348
TI - Phenotypic plasticity of leaves enhances water-stress tolerance and promotes
hydraulic conductivity in a tall conifer.
AB - PREMISE OF THE STUDY: Leaves respond to environmental signals and acclimate to
local conditions until their ecological limits are reached. Understanding the
relationships between anatomical variation in leaves and the availability of
water and light improves our ability to predict ecosystem-level impacts of foliar
response to climate change, as it expands our knowledge of tree physiology.
METHODS: We examined foliar anatomy and morphology of the largest plant species,
Sequoiadendron giganteum, from leafy shoot samples collected throughout crowns of
trees up to 95 m tall and assessed the functionality of within-crown variation
with a novel drought/recovery experiment. KEY RESULTS: We found phenotypic
variation in response to water availability in 13 anatomical traits of
Sequoiadendron leaves. Shoot expansion was constrained by the hydrostatic
gradient of maximum water potential, while functional traits supporting
succulence and toughness were associated with sites of peak hydraulic limitation.
Water-stress tolerance in experimental shoots increased dramatically with height.
CONCLUSION: We propose a heat-sink function for transfusion tissue and uncover a
suite of traits suggesting rapid hydraulic throughput and flexibility in water
stress tolerance investments as strategies that help this montane species reach
such enormous size. Responses to water stress alter the amount of carbon stored
in foliage and the rate of the eventual release of carbon.
PMID- 27208344
TI - Phase 3 Trial of Transplantation of Human Islets in Type 1 Diabetes Complicated
by Severe Hypoglycemia.
AB - OBJECTIVE: Impaired awareness of hypoglycemia (IAH) and severe hypoglycemic
events (SHEs) cause substantial morbidity and mortality in patients with type 1
diabetes (T1D). Current therapies are effective in preventing SHEs in 50-80% of
patients with IAH and SHEs, leaving a substantial number of patients at risk. We
evaluated the effectiveness and safety of a standardized human pancreatic islet
product in subjects in whom IAH and SHEs persisted despite medical treatment.
RESEARCH DESIGN AND METHODS: This multicenter, single-arm, phase 3 study of the
investigational product purified human pancreatic islets (PHPI) was conducted at
eight centers in North America. Forty-eight adults with T1D for >5 years, absent
stimulated C-peptide, and documented IAH and SHEs despite expert care were
enrolled. Each received immunosuppression and one or more transplants of PHPI,
manufactured on-site under good manufacturing practice conditions using a common
batch record and standardized lot release criteria and test methods. The primary
end point was the achievement of HbA1c <7.0% (53 mmol/mol) at day 365 and freedom
from SHEs from day 28 to day 365 after the first transplant. RESULTS: The primary
end point was successfully met by 87.5% of subjects at 1 year and by 71% at 2
years. The median HbA1c level was 5.6% (38 mmol/mol) at both 1 and 2 years.
Hypoglycemia awareness was restored, with highly significant improvements in
Clarke and HYPO scores (P > 0.0001). No study-related deaths or disabilities
occurred. Five of the enrollees (10.4%) experienced bleeds requiring transfusions
(corresponding to 5 of 75 procedures), and two enrollees (4.1%) had infections
attributed to immunosuppression. Glomerular filtration rate decreased
significantly on immunosuppression, and donor-specific antibodies developed in
two patients. CONCLUSIONS: Transplanted PHPI provided glycemic control,
restoration of hypoglycemia awareness, and protection from SHEs in subjects with
intractable IAH and SHEs. Safety events occurred related to the infusion
procedure and immunosuppression, including bleeding and decreased renal function.
Islet transplantation should be considered for patients with T1D and IAH in whom
other, less invasive current treatments have been ineffective in preventing SHEs.
PMID- 27208350
TI - Are trait-scaling relationships invariant across contrasting elevations in the
widely distributed treeline species Nothofagus pumilio?
AB - PREMISE OF THE STUDY: The study of scaling examines the relative dimensions of
diverse organismal traits. Understanding whether global scaling patterns are
paralleled within species is key to identify causal factors of universal scaling.
I examined whether the foliage-stem (Corner's rules), the leaf size-number, and
the leaf mass-leaf area scaling relationships remained invariant and isometric
with elevation in a wide-distributed treeline species in the southern Chilean
Andes. METHODS: Mean leaf area, leaf mass, leafing intensity, and twig cross
sectional area were determined for 1-2 twigs of 8-15 Nothofagus pumilio
individuals across four elevations (including treeline elevation) and four
locations (from central Chile at 36 degrees S to Tierra del Fuego at 54 degrees
S). Mixed effects models were fitted to test whether the interaction term between
traits and elevation was nonsignificant (invariant). KEY RESULTS: The leaf-twig
cross-sectional area and the leaf mass-leaf area scaling relationships were
isometric (slope = 1) and remained invariant with elevation, whereas the leaf
size-number (i.e., leafing intensity) scaling was allometric (slope ? -1) and
showed no variation with elevation. Leaf area and leaf number were consistently
negatively correlated across elevation. CONCLUSIONS: The scaling relationships
examined in the current study parallel those seen across species. It is plausible
that the explanation of intraspecific scaling relationships, as trait
combinations favored by natural selection, is the same as those invoked to
explain across species patterns. Thus, it is very likely that the global
interspecific Corner's rules and other leaf-leaf scaling relationships emerge as
the aggregate of largely parallel intraspecific patterns.
PMID- 27208349
TI - Plasticity to salinity and transgenerational effects in the nonnative shrub
Baccharis halimifolia: Insights into an estuarine invasion.
AB - PREMISE OF THE STUDY: Abiotic constraints act as selection filters for plant
invasion in stressful habitats. Adaptive phenotypic plasticity and
transgenerational effects play a major role in colonization of heterogeneous
habitats when the scale of environmental variation is smaller than that of gene
flow. We investigated how plasticity and parental salinity conditions influence
the performance of the invasive dioecious shrub Baccharis halimifolia, which
replaces heterogeneous estuarine communities in Europe with monospecific and
continuous stands. METHODS: In two greenhouse experiments, we grew plants derived
from seeds and cuttings collected through interspersed patches differing in
edaphic salinity from an invasive population. We estimated parental environmental
salinity from leaf Na(+) content in parental plants, and we measured fitness and
ion homeostasis of the offspring grown in contrasting salinity conditions. KEY
RESULTS: Baccharis halimifolia tolerates high salinity but experiences drastic
biomass reduction at moderate salinity. At moderate salinity, responses to
salinity are affected by the parental salinity: flowering initiation in seedlings
and male cuttings is positively correlated with parental leaf Na(+) content, and
biomass is positively correlated with maternal leaf Na(+) in female cuttings and
seedlings. Plant height, leaf production, specific leaf area, and ionic
homeostasis at the low part of the gradient are also affected by parental
salinity, suggesting enhanced shoot growth as parental salinity increases.
CONCLUSIONS: Our results support plasticity to salinity and transgenerational
effects as factors with great potential to contribute to the invasive ability of
B. halimifolia through estuarine communities of high conservation value.
PMID- 27208351
TI - Yucca brevifolia fruit production, predispersal seed predation, and fruit removal
by rodents during two years of contrasting reproduction.
AB - PREMISE OF THE STUDY: The distribution of Yucca brevifolia, a keystone species of
the Mojave Desert, may contract with climate change, yet reproduction and
dispersal are poorly understood. We tracked reproduction, seed predation, and
fruit dispersal for two years and discuss whether Y. brevifolia is a masting
species. METHODS: Fruit maturation, seed predation (larval yucca moths), and
fruit dispersal (rodents) were monitored on a random sample of panicles during
2013 and 2014, which were years of high and low reproduction, respectively. Fates
of fruits placed on the ground and in canopies were also tracked. Rodents were
live-trapped to assess abundance and species composition. KEY RESULTS: In 2013,
66% of inflorescences produced fruit of which 53% escaped larval predation; 19.5%
of seeds were destroyed in infested fruits. Total seed production was estimated
to be >100 times greater in 2013 than 2014. One-third of the fruit crop fell to
the ground and was removed by rodents over the course of 120 d. After ground
fruits became scarce, rodents exploited canopy fruits. Rodent numbers were low in
2013, so fruits remained in canopies for 370 d. In 2014, fruit production was
approximately 20% lower. Larvae infested the majority of fruits, and almost twice
the number of seeds were damaged. Fruits were exploited by rodents within 65 d.
CONCLUSIONS: High fertilization, prolific seed production, and low predispersal
predation in 2013 suggests that pollinator attraction and satiation of seed
predators influence masting in Y. brevifolia. Abundant, prolonged fruit
availability to seed-dispersing rodents likely extends recruitment opportunities
during mast years.
PMID- 27208352
TI - Geographic structuring and transgenerational maternal effects shape germination
in native, but not introduced, populations of a widespread plant invader.
AB - PREMISE OF THE STUDY: Germination is critical in determining species
distributions and invasion dynamics. However, is it unclear how often invasive
populations evolve germination characteristics different from native populations,
because few studies have isolated genetic variation by using seed from garden
grown plants. Additionally, while herbivore-induced transgenerational effects are
common, it is unknown whether maternal herbivory differentially shapes
germination in native and introduced offspring. METHODS: We explored germination
in native and introduced populations of the North American invader Verbascum
thapsus using seed from garden-grown maternal plants, half of which were
protected from herbivores. To elucidate (1) germination niche breadth and (2)
whether germination conditions affected expression of genetic structuring among
populations, we germinated seed under four ecologically relevant temperature
regimes. KEY RESULTS: Native populations had a wide germination niche breadth,
germinating as well as or better than introduced populations. At cooler
temperatures, native populations exhibited a genetically based environmental
cline indicative of local adaptation, with populations from warmer locales
germinating better than populations from cooler locales. However, this cline was
obscured when maternal plants were attacked by herbivores, revealing that local
stressors can override the expression of geographic structuring. Introduced
populations did not exhibit clinal variation, suggesting its disruption during
the introduction process. CONCLUSIONS: Native and introduced populations have
evolved genetic differences in germination. The result of this difference
manifests in a wider germination niche breadth in natives, suggesting that the
invasive behavior of V. thapsus in North America is attributable to other
factors.
PMID- 27208353
TI - A site for sori: Ecophysiology of fertile-sterile leaf dimorphy in ferns.
AB - PREMISE OF THE STUDY: Reproduction often requires significant investment and can
move resources away from growth and maintenance; maintaining a balance between
reproduction and growth can involve trade-offs. Extreme functional specialization
has separated reproduction and photosynthesis in most seed plants, yet ferns use
the laminar surface of their fronds for both reproduction and photosynthesis.
This dual function selects for a variety of frond morphologies that range from no
specialization (monomorphy) to extreme dimorphy between fertile and sterile
fronds (holodimorphy). Here we examined the ecological and physiological
consequences of variation in frond dimorphy in ferns, evaluated reproductive
trade-offs across a dimorphy gradient, and speculate on factors controlling the
occurrence of holodimorphy. METHODS: Ecophysiological measurements of
photosynthetic rate, water potential, hydraulic conductivity, and gross
morphological comparisons of frond area and angle were used to evaluate
differences between fertile and sterile fronds. We examined three temperate and
three tropical fern species that vary in degree of fertile-sterile dimorphy. KEY
RESULTS: Holodimorphic species produced fewer fertile fronds, which had
significantly higher respiratory rates than in sterile fronds on the same plant
or in any frond produced on monomorphic species; hemidimorphic species were
frequently intermediate. We found no differences in vulnerability to cavitation
between fertile and sterile fronds. In dimorphic species, fertile fronds had
higher (less negative) water potential and lower stipe hydraulic conductivity
relative than in sterile fronds. CONCLUSIONS: Fertile-sterile dimorphy in ferns
appears to come at considerable carbon cost in holodimorohic species. It is
possible that the relative costs of this reproductive system are offset by
increased spore dispersal, yet such trade-offs require further exploration.
PMID- 27208354
TI - Moss antheridia are desiccation tolerant: Rehydration dynamics influence sperm
release in Bryum argenteum.
AB - PREMISE OF THE STUDY: Free-living sperm of mosses are known to be partially
desiccation tolerant. We hypothesized that mature moss antheridia should also
tolerate desiccation and that rehydration to partial turgor (prehydration) or
rehydration to full turgor (rehydration) before immersion in water is required
for full recovery from any damaging effects of prior desiccation. METHODS: Bryum
argenteum (silvery-thread moss) was grown in continuous culture for several
months, produced mature perigonia (clusters of antheridia), and these were
subjected to a slow rate of drying (~36 h from full turgor to desiccation) and
equilibration with 50% relative humidity. Perigonia were prehydrated (exposed to
a saturated atmosphere) or rehydrated (planted upright in saturated media) for 0,
45, 90, 135, 180, and 1440 min, then immersed in sterile water. Time to first
sperm mass release, number of antheridia releasing sperm masses, and the
integrity of the first sperm mass released were assessed. KEY RESULTS:
Rehydration of dried antheridia for at least 3 h before immersion in water
resulted in antheridia functioning similar to control undried antheridia.
Compared with rehydration, prehydration was not effective in the recovery of
antheridia from desiccation. CONCLUSIONS: For the first time, moss antheridia are
shown to be fully desiccation tolerant at a functional level, capable of
releasing fully functional sperm following a slow drying event provided the
antheridia are allowed to rehydrate at least 3 h before immersion in water.
PMID- 27208355
TI - Hiding in plain sight: Koshicola spirodelophila gen. et sp. nov.
(Chaetopeltidales, Chlorophyceae), a novel green alga associated with the aquatic
angiosperm Spirodela polyrhiza.
AB - PREMISE OF THE STUDY: Discovery and morphological characterization of a novel
epiphytic aquatic green alga increases our understanding of Chaetopeltidales, a
poorly known order in Chlorophyceae. Chloroplast genomic data from this taxon
reveals an unusual architecture previously unknown in green algae. METHODS: Using
light and electron microscopy, we characterized the morphology and ultrastructure
of a novel taxon of green algae. Bayesian phylogenetic analyses of nuclear and
plastid genes were used to test the hypothesized membership of this taxon in
order Chaetopeltidales. With next-generation sequence data, we assembled the
plastid genome of this novel taxon and compared its gene content and architecture
to that of related species to further investigate plastid genome traits. KEY
RESULTS: The morphology and ultrastructure of this alga are consistent with
placement in Chaetopeltidales (Chlorophyceae), but a distinct trait combination
supports recognition of this alga as a new genus and species-Koshicola
spirodelophila gen. et sp. nov. Its placement in the phylogeny as a descendant of
a deep division in the Chaetopeltidales is supported by analysis of molecular
data sets. The chloroplast genome is among the largest reported in green algae
and the genes are distributed on three large (rather than a single) chromosome,
in contrast to other studied green algae. CONCLUSIONS: The discovery of Koshicola
spirodelophila gen. et sp. nov. highlights the importance of investigating even
commonplace habitats to explore new microalgal diversity. This work expands our
understanding of the morphological and chloroplast genomic features of green
algae, and in particular those of the poorly studied Chaetopeltidales.
PMID- 27208356
TI - Phylogeographic analyses and genetic structure illustrate the complex
evolutionary history of Phragmites australis in Mexico.
AB - PREMISE OF THE STUDY: Genetic data suggest that three lineages of Phragmites
australis are found in North America: the Native North American lineage, the Gulf
Coast lineage, and the Invasive lineage. In Mexico, P. australis is a common
species, but nothing is known about the distribution or ecology of these
lineages. We examined the phylogeography of P. australis to analyze the current
geographic distribution of genetic variation, demographic history, and dispersal
patterns to better understand its evolutionary history in Mexico. METHODS: We
sampled 427 individuals from 28 populations. We used two noncoding regions of
chloroplast DNA to estimate the levels of genetic variation and identified the
genetic groups across the species' geographical range in Mexico. We compared the
genealogical relationships among haplotypes with those previously reported. A
hypothesis of demographic expansion was also tested for the Mexican P. australis
lineages. KEY RESULTS: We found 13 new haplotypes native to Mexico that might be
undergoing an active process of expansion and diversification. Genealogical
analyses provided evidence that two independent lineages of P. australis are
present in Mexico. The invasive lineage was not detected with our sampling. Our
estimates of population expansions in Mexico ranged from 0.202 to 0.726 mya.
CONCLUSIONS: Phragmites australis is a native species that has been in Mexico for
thousands of years. Genetic data suggest that climatic changes during the
Pleistocene played an important role in the demographic expansion of the
populations that constitute the different genetic groups of P. australis in
Mexico.
PMID- 27208357
TI - Hidden in plain view: Cryptic diversity in the emblematic Araucaria of New
Caledonia.
AB - PREMISE OF THE STUDY: Cryptic species represent a conservation challenge, because
distributions and threats cannot be accurately assessed until species are
recognized and defined. Cryptic species are common in diminutive and
morphologically simple organisms, but are rare in charismatic and/or highly
visible groups such as conifers. New Caledonia, a small island in the southern
Pacific is a hotspot of diversity for the emblematic conifer genus Araucaria
(Araucariaceae, Monkey Puzzle trees) where 13 of the 19 recognized species are
endemic. METHODS: We sampled across the entire geographical distribution of two
closely related species (Araucaria rulei and A. muelleri) and screened them for
genetic variation at 12 nuclear and 14 plastid microsatellites and one plastid
minisatellite; a subset of the samples was also examined using leaf
morphometrics. KEY RESULTS: The genetic data show that populations of the
endangered A. muelleri fall into two clearly distinct genetic groups: one
corresponding to montane populations, the other corresponding to trees from lower
elevation populations from around the Goro plateau. These Goro plateau
populations are more closely related to A. rulei, but are sufficiently
genetically and morphological distinct to warrant recognition as a new species.
CONCLUSIONS: Our study shows the presence of a previously unrecognized species in
this flagship group, and that A. muelleri has 30% fewer individuals than
previously thought. Combined, this clarification of species diversity and
distributions provides important information to aid conservation planning for New
Caledonian Araucaria.
PMID- 27208358
TI - Key innovations and climatic niche divergence as drivers of diversification in
subtropical Gentianinae in southeastern and eastern Asia.
AB - PREMISE OF THE STUDY: Geological and climatic changes associated with the uplift
of the Qinghai-Tibet Plateau (QTP) have been suggested as drivers for biological
diversification locally and in neighboring regions. To test this hypothesis, we
investigated the niche evolution of Tripterospermum (Gentianaceae) and related
Asian genera through time. METHODS: We conducted Species Distribution Modeling
using Maximum Entropy Modeling (MaxEnt). Furthermore, we performed stochastic
character mapping and produced disparity-through-time plots, and examined
putative key innovations using the binary state speciation and extinction
approach (BISSE). KEY RESULTS: Kuepferia and Sinogentiana prefer the coolest and
driest habitat, having rather conserved niches. Despite a tendency for niche
evolution, Crawfurdia and Metagentiana are probably restricted to a narrow
distribution range because of their poor dispersal ability. In contrast,
Tripterospermum has the broadest niche and occurs under the warmest and wettest
conditions. A higher degree of niche evolution and a more efficient dispersal
mechanism allowed this genus to diversify more and occupy a broader distribution
range. CONCLUSIONS: The QTP genera producing dry capsules, whether displaying
niche conservatism (Kuepferia and Sinogentiana) or a tendency for niche evolution
(Crawfurdia and Metagentiana), are less species-rich and have a more restricted
distribution than Tripterospermum (stronger niche evolution and berry-like
fruits). The evolution of berry-like fruits corresponds to increased speciation
rates, and could therefore be viewed as a key innovation. In contrast to the
majority of studies on plants occurring around the QTP, we find that speciation
was probably mediated by niche breadth and dispersal ability rather than
geophysical changes.
PMID- 27208360
TI - Phylogenetic diversification of Early Cretaceous seed plants: The compound seed
cone of Doylea tetrahedrasperma.
AB - PREMISE OF THE STUDY: Discovery of cupulate ovules of Doylea tetrahedrasperma
within a compact, compound seed cone highlights the rich diversity of
fructification morphologies, pollination biologies, postpollination enclosure of
seeds, and systematic diversity of Early Cretaceous gymnosperms. METHODS:
Specimens were studied using the cellulose acetate peel technique, three
dimensional reconstructions (in AVIZO), and morphological phylogenetic analyses
(in TNT). KEY RESULTS: Doylea tetrahedrasperma has bract/fertile short shoot
complexes helically arranged within a compact, compound seed cone. Complexes
diverge from the axis as a single unit and separate distally into a free bract
tip and two sporophylls. Each sporophyll bears a single, abaxial seed, recurved
toward the cone axis, that is enveloped after pollinaton by sporophyll tissue,
forming a closed cupule. Ovules are pollinated by bisaccate grains captured by
micropylar pollination horns. CONCLUSIONS: The unique combination of characters
shown by D. tetrahedrasperma includes the presence of cupulate seeds borne in
conifer-like compound seed cones, an ovuliferous scale analogue structurally
equivalent to the ovulate stalk of Ginkgo biloba, gymnospermous pollination, and
nearly complete enclosure of mature seeds. These features characterize the
Doyleales ord. nov., clearly distinguish it from the seed fern order
Corystospermales, and allow for recognition of another recently described Early
Cretaceous seed plant as a second species in genus Doylea. A morphological
phylogenetic analysis highlights systematic relationships of the Doyleales ord.
nov. and emphasizes the explosive phylogenetic diversification of gymnosperms
that was underway at the time when flowering plants may have originated and/or
first began to radiate.
PMID- 27208359
TI - Multiplexed shotgun genotyping resolves species relationships within the North
American genus Penstemon.
AB - PREMISE OF THE STUDY: Evolutionary radiations provide opportunities to examine
large-scale patterns in diversification and character evolution, yet are often
recalcitrant to phylogenetic resolution due to rapid speciation events. The plant
genus Penstemon has been difficult to resolve using Sanger sequence-based
markers, leading to the hypothesis that it represents a recent North American
radiation. The current study demonstrates the utility of multiplexed shotgun
genotyping (MSG), a style of restriction site-associated DNA sequencing (RADseq),
to infer phylogenetic relationships within a subset of species in this genus and
provide insight into evolutionary patterns. METHODS: We sampled genomic DNA,
primarily from herbarium material, and subjected it to MSG library preparation
and Illumina sequencing. The resultant sequencing reads were clustered into
homologous loci, aligned, and concatenated into data matrices that differed
according to clustering similarity and amount of missing data. We performed
phylogenetic analyses on these matrices using maximum likelihood (RAxML) and a
species tree approach (SVDquartets). KEY RESULTS: MSG data provide a highly
resolved estimate of species relationships within Penstemon. While most species
relationships were highly supported, the position of certain taxa remains
ambiguous, suggesting that increased taxonomic sampling or additional
methodologies may be required. The data confirm that evolutionary shifts from
hymenopteran- to hummingbird-adapted flowers have occurred independently many
times. CONCLUSIONS: This study demonstrates that phylogenomic approaches yielding
thousands of variable sites can greatly improve species-level resolution of
recent and rapid radiations. Similar to other studies, we found that less
conservative similarity and missing data thresholds resulted in more highly
supported topologies.
PMID- 27208361
TI - Hedycarya macrofossils and associated Planarpollenites pollen from the early
Miocene of New Zealand.
AB - PREMISE OF THE STUDY: The history of the basal angiosperm family Monimiaceae is
based largely on fossil wood and leaf and floral fossils of uncertain affinity.
Fossilized leaves with a well-preserved cuticle and Hedycarya-like flowers,
including one with in situ pollen tetrads and fruits from an early Miocene
lacustrine diatomite deposit in southern New Zealand implies a long record for
Hedycarya in New Zealand. The flowers contain pollen grains that are very similar
to those of the modern New Zealand species Hedycarya arborea and the Australian
H. angustifolia but are considerably smaller. METHODS: We undertook comparative
studies of the leaf, flower and fruit morphology of the newly discovered
macrofossils and compared the in situ pollen grains from the flower with
dispersed pollen grains from extant species. KEY RESULTS: The leaves are referred
to a new, extinct species, Hedycarya pluvisilva Bannister, Conran, Mildenh. &
D.E.Lee, (Monimiaceae), and associated with fossilized Hedycarya-like flowers
that include in situ pollen and an infructescence of three drupes from the same
site. Phylogenetic analysis placed the fossil into Hedycarya, sister to H.
angustifolia in a clade with H. arborea and Levieria acuminata. A new name,
Planarpollenites fragilis Mildenh., is proposed for dispersed fossil pollen
tetrads at the site and those associated with the flower. CONCLUSIONS: The
fossils are similar to those of modern Australian and New Zealand Hedycarya
species, suggesting that the genus and related taxa have been significant
components of the rainforests of Australia and the former Zealandian subcontinent
for most of the Cenozoic.
PMID- 27208362
TI - Waterfowl endozoochory: An overlooked long-distance dispersal mode for Cuscuta
(dodder).
AB - PREMISE OF THE STUDY: Dispersal of parasitic Cuscuta species (dodders) worldwide
has been assumed to be largely anthropomorphic because their seeds do not match
any previously known dispersal syndrome and no natural dispersal vectors have
been reliably documented. However, the genus has a subcosmopolitan distribution
and recent phylogeographic results have indicated that at least18 historical
cases of long-distance dispersal (LDD) have occurred during its evolution. The
objective of this study is to report the first LDD biological vector for Cuscuta
seeds. METHODS: Twelve northern pintails (Anas acuta) were collected from Suisun
Marsh, California and the contents of their lowest part of the large intestine
(rectum) were extracted and analyzed. Seed identification was done both
morphologically and using a molecular approach. Extracted seeds were tested for
germination and compared to seeds not subjected to gut passage to determine the
extent of structural changes caused to the seed coat by passing through the
digestive tract. KEY RESULTS: Four hundred and twenty dodder seeds were found in
the rectum of four northern pintails. From these, 411 seeds were identified as
Cuscuta campestris and nine as most likely C. pacifica. The germination rate of
C. campestris seeds after gut passage was 55%. Structural changes caused by the
gut passage in both species were similar to those caused by an acid
scarification. CONCLUSIONS: Endozoochory by waterbirds may explain the historical
LDD cases in the evolution of Cuscuta. This also suggests that current border
quarantine measures may be insufficient to stopping spreading of dodder pests
along migratory flyways.
PMID- 27208363
TI - Light acclimation strategies change from summer green to spring ephemeral as wild
leek plants age.
AB - PREMISE OF THE STUDY: Spring-ephemeral forest-herbs emerge early to take
advantage of the high-light conditions preceding canopy closure; they complete
their life cycle in a few weeks, then senesce as the tree canopy closes. Summer
greens acclimate their leaves to shade and thus manage to maintain a net carbon
gain throughout summer. Differences in phenology among life stages within a
species have been reported in tree saplings, whose leaf activity may extend
beyond the period of shade conditions caused by mature trees. Similar
phenological acclimation has seldom been studied in forest herbs. METHODS: We
compared wild-leek bulb growth and leaf phenology among plants from seedling to
maturity and from under 4 to 60% natural light availability. We also compared
leaf chlorophyll content and chl a/b ratio among seedlings and adult plants in a
natural population as an indicator of photosynthetic capacity and acclimation to
light environment. KEY RESULTS: Overall, younger plants senesced later than
mature ones. Increasing light availability delayed senescence in mature plants,
while hastening seedling senescence. In natural populations, only seedlings
acclimated to the natural reduction in light availability through time.
CONCLUSIONS: Wild-leek seedlings exhibit a summer-green phenology, whereas mature
plants behave as true spring ephemerals. Growth appears to be more source-limited
in seedlings than in mature plants. This modulation of phenological strategy, if
confirmed in other species, would require a review of the current classification
of species as either spring ephemerals, summer greens, wintergreens, or
evergreens.
PMID- 27208365
TI - Lessons From Peglispro: IMAGINE How to Improve Drug Development and
Affordability.
PMID- 27208367
TI - Type 1 Diabetes and Polycystic Ovary Syndrome: Systematic Review and Meta
analysis.
AB - BACKGROUND: A few small studies have reported increased prevalences of polycystic
ovary syndrome (PCOS) and symptoms of androgen excess in women with type 1
diabetes. PURPOSE: We performed a systematic review and meta-analysis of studies
evaluating androgen excess symptoms and PCOS in women with type 1 diabetes. DATA
SOURCES: The Entrez-PubMed and Scopus electronic databases were used. STUDY
SELECTION: We selected studies addressing androgen excess signs, symptoms, and
disorders in girls, adolescents, and adult women with type 1 diabetes. DATA
EXTRACTION: The main outcome measures were prevalences of PCOS,
hyperandrogenemia, hirsutism, menstrual dysfunction, and polycystic ovarian
morphology (PCOM). DATA SYNTHESIS: Nine primary studies involving 475 adolescent
or adult women with type 1 diabetes were included. The prevalences of PCOS and
associated traits in women with type 1 diabetes were 24% (95% CI 15-34) for PCOS,
25% (95% CI 17-33) for hyperandrogenemia, 25% (95% CI 16-36) for hirsutism, 24%
(95% CI 17-32) for menstrual dysfunction, and 33% (95% CI 24-44) for PCOM. These
figures are considerably higher than those reported earlier in the general
population without diabetes. LIMITATIONS: The data collected in the original
studies were heterogeneous in age, race, ethnicity, and criteria used for the
diagnosis of PCOS; yet, we used a quality-effects model in the meta-analyses to
overcome this limitation. CONCLUSIONS: PCOS and its related traits are frequent
findings in women with type 1 diabetes. PCOS may contribute to the subfertility
of these women by a mechanism that does not directly depend on glycemic/metabolic
control among other negative consequences for their health. Hence, screening for
PCOS and androgen excess should be included in current guidelines for the
management of type 1 diabetes in women.
PMID- 27208369
TI - Comment on Anjana et al. Incidence of Diabetes and Prediabetes and Predictors of
Progression Among Asian Indians: 10-Year Follow-up of the Chennai Urban Rural
Epidemiology Study (CURES). Diabetes Care 2015;38:1441-1448.
PMID- 27208366
TI - Glucose Variability: Timing, Risk Analysis, and Relationship to Hypoglycemia in
Diabetes.
AB - Glucose control, glucose variability (GV), and risk for hypoglycemia are
intimately related, and it is now evident that GV is important in both the
physiology and pathophysiology of diabetes. However, its quantitative assessment
is complex because blood glucose (BG) fluctuations are characterized by both
amplitude and timing. Additional numerical complications arise from the asymmetry
of the BG scale. In this Perspective, we focus on the acute manifestations of GV,
particularly on hypoglycemia, and review measures assessing the amplitude of GV
from routine self-monitored BG data, as well as its timing from continuous
glucose monitoring (CGM) data. With availability of CGM, the latter is not only
possible but also a requirement-we can now assess rapid glucose fluctuations in
real time and relate their speed and magnitude to clinically relevant outcomes.
Our primary message is that diabetes control is all about optimization and
balance between two key markers-frequency of hypoglycemia and HbA1c reflecting
average BG and primarily driven by the extent of hyperglycemia. GV is a primary
barrier to this optimization, including to automated technologies such as the
"artificial pancreas." Thus, it is time to standardize GV measurement and thereby
streamline the assessment of its two most important components-amplitude and
timing.
PMID- 27208370
TI - Response to Comment on Anjana et al. Incidence of Diabetes and Prediabetes and
Predictors of Progression Among Asian Indians: 10-Year Follow-up of the Chennai
Urban Rural Epidemiology Study (CURES). Diabetes Care 2015;38:1441-1448.
PMID- 27208373
TI - Getting to the "Heart" of the Matter on Diabetic Cardiovascular Disease: "Thanks
for the Memory".
PMID- 27208372
TI - Type 2 Diabetes: Why We Are Winning the Battle but Losing the War? 2015 Kelly
West Award Lecture.
AB - Diabetes is among the biggest of the 21st-century global health challenges. In
the U.S. and other high-income countries, thanks to investments in science,
dedication to implementing these findings, and measurement of quality of care,
there have been improvements in diabetes management and declines in rate of
diabetes complications and mortality. This good news, however, is overshadowed by
the ever-increasing absolute numbers of people with diabetes and its
complications and the unprecedented growth of diabetes in low- and middle-income
countries of the world. To comprehensively win the war against diabetes requires
1) concerted attention to prevention and 2) expansion of global research to
better inform population-level policies to curb diabetes but also to better
understand individual- and population-level variations in pathophysiology and
phenotypes globally so that prevention and treatment can be tailored. For
example, preliminary data show that thin people in low- and middle-income
countries such as India commonly experience type 2 diabetes. Global studies
comparing these thin Asian Indians with other high-risk groups such as Pima
Indians, a population with a high mean BMI, suggest that type 2 diabetes may not
be a single pathophysiological entity. Pima Indians may represent the well
studied phenotype of poor insulin action (type 2A), whereas Asian Indians
represent the grossly understudied phenotype of poor insulin secretion (type 2B).
This has major implications for diagnosis, prevention, and treatment and
highlights the mismatch between where diabetes burdens occur (i.e., low- and
middle-income countries) and where research happens (i.e., high-income
countries). Correcting this imbalance will advance our knowledge and arsenal to
win the global war against diabetes.
PMID- 27208376
TI - DPP-4 Inhibitors and Heart Failure: Some Reassurance, Some Uncertainty.
PMID- 27208374
TI - Cardiovascular Risk Factor Targets and Cardiovascular Disease Event Risk in
Diabetes: A Pooling Project of the Atherosclerosis Risk in Communities Study,
Multi-Ethnic Study of Atherosclerosis, and Jackson Heart Study.
AB - OBJECTIVE: Controlling cardiovascular disease (CVD) risk factors in diabetes
mellitus (DM) reduces the number of CVD events, but the effects of multifactorial
risk factor control are not well quantified. We examined whether being at targets
for blood pressure (BP), LDL cholesterol (LDL-C), and glycated hemoglobin (HbA1c)
together are associated with lower risks for CVD events in U.S. adults with DM.
RESEARCH DESIGN AND METHODS: We studied 2,018 adults, 28-86 years of age with DM
but without known CVD, from the Atherosclerosis Risk in Communities (ARIC) study,
Multi-Ethnic Study of Atherosclerosis (MESA), and Jackson Heart Study (JHS). Cox
regression examined coronary heart disease (CHD) and CVD events over a mean 11
year follow-up in those individuals at BP, LDL-C, and HbA1c target levels, and by
the number of controlled risk factors. RESULTS: Of 2,018 DM subjects (43% male,
55% African American), 41.8%, 32.1%, and 41.9% were at target levels for BP, LDL
C, and HbA1c, respectively; 41.1%, 26.5%, and 7.2% were at target levels for any
one, two, or all three factors, respectively. Being at BP, LDL-C, or HbA1c target
levels related to 17%, 33%, and 37% lower CVD risks and 17%, 41%, and 36% lower
CHD risks, respectively (P < 0.05 to P < 0.0001, except for BP in CHD risk);
those subjects with one, two, or all three risk factors at target levels (vs.
none) had incrementally lower adjusted risks of CVD events of 36%, 52%, and 62%,
respectively, and incrementally lower adjusted risks of CHD events of 41%, 56%,
and 60%, respectively (P < 0.001 to P < 0.0001). Propensity score adjustment
showed similar findings. CONCLUSIONS: Optimal levels of BP, LDL-C, and HbA1c
occurring together in individuals with DM are uncommon, but are associated with
substantially lower risk of CHD and CVD.
PMID- 27208375
TI - SGLT2 Inhibitors and Cardiovascular Risk: Lessons Learned From the EMPA-REG
OUTCOME Study.
AB - Although cardiovascular (CV) mortality is the principal cause of death in
individuals with type 2 diabetes (T2DM), reduction of plasma glucose
concentration has little effect on CV disease (CVD) risk. Thus, novel strategies
to reduce CVD risk in T2DM patients are needed. The recently published BI 10773
(Empagliflozin) Cardiovascular Outcome Event Trial in Type 2 Diabetes Mellitus
Patients (EMPA-REG OUTCOME) study demonstrated that in T2DM patients with high
CVD risk empagliflozin reduced the primary major adverse cardiac event end point
(CV death, nonfatal myocardial infarction, nonfatal stroke) by 14%. This
beneficial effect was driven by a 38% reduction in CV mortality with no
significant decrease in nonfatal myocardial infarction or stroke. Empagliflozin
also caused a 35% reduction in hospitalization for heart failure without
affecting hospitalization for unstable angina. Although sodium-glucose
cotransporter 2 inhibitors exert multiple metabolic benefits (decreases in HbA1c,
body weight, and blood pressure and an increase in HDL cholesterol), all of which
could reduce CVD risk, it is unlikely that the reduction in CV mortality can be
explained by empagliflozin's metabolic effects. More likely, hemodynamic effects,
specifically reduced blood pressure and decreased extracellular volume, are
responsible for the reduction in CV mortality and heart failure hospitalization.
In this Perspective, we will discuss possible mechanisms for these beneficial
effects of empagliflozin and their implications for the care of T2DM patients.
PMID- 27208377
TI - Evaluating the Cardiovascular Safety of New Medications for Type 2 Diabetes: Time
to Reassess?
AB - The U.S. Food and Drug Administration (FDA) issued a Guidance for Industry in
2008 defining preapproval and postapproval requirements for the demonstration of
cardiovascular safety for all new medications developed for glycemic management
in type 2 diabetes. Seventeen large, prospective, randomized, controlled clinical
trials involving more than 140,000 subjects thus far have been completed or are
ongoing in accordance with this guidance. All five of the completed trials,
involving three different drug classes, have met their primary objective to
exclude an unacceptable level of ischemic cardiovascular risk as defined in the
FDA guidance. Additionally, one trial found an increased risk of hospitalization
for heart failure, and another demonstrated decreases in cardiovascular mortality
and hospitalization for heart failure. Given that a heightened risk of
cardiovascular ischemic events has not been demonstrated across several classes
of new diabetes drugs, we believe it is time for the scientific community and the
FDA to consider a more targeted approach to what is, in effect, a global
cardiovascular safety trial requirement for all new type 2 diabetes medications
in development.
PMID- 27208379
TI - Smoking and the Risk of LADA: Results From a Swedish Population-Based Case
Control Study.
AB - OBJECTIVE: Smoking is an established risk factor for type 2 diabetes. In
contrast, it has been proposed that smoking may reduce the risk of latent
autoimmune diabetes in adults (LADA), but studies are scarce. We aimed to study
the impact of smoking on LADA and type 2 diabetes risks. RESEARCH DESIGN AND
METHODS: We used data from a Swedish case-control study including incident case
patients with LADA (GAD antibody [GADA] positive, n = 377) and type 2 diabetes
(GADA negative, n = 1,188) and control subjects randomly selected from the
population (n = 1,472). We calculated odds ratios (ORs) with 95% CIs by logistic
regression, adjusted for age, sex, BMI, family history of diabetes, and alcohol
consumption. RESULTS: There was no indication of reduced risk of LADA in smokers;
instead, heavy smoking was associated with an increased risk of LADA (OR 1.37,
95% CI 1.02-1.84). Heavy smokers had higher levels of HOMA of insulin resistance
(9.89 vs. 4.38, P = 0.0479) and HOMA of beta-cell function (55.7 vs. 42.5, P =
0.0204), but lower levels of GADA (75 vs. 250, P = 0.0445), compared with never
smokers. Smokers also displayed an increased risk of type 2 diabetes (OR in ever
smokers 1.53, 95% CI 1.25-1.88). CONCLUSIONS: In this large population of LADA
patients, we did not observe a protective effect of smoking on autoimmunity and
the risk of LADA. A protective effect could possibly be masked by a smoking
induced aggravation of insulin resistance, akin to the diabetogenic effect seen
in individuals with type 2 diabetes.
PMID- 27208378
TI - Brain and White Matter Hyperintensity Volumes After 10 Years of Random Assignment
to Lifestyle Intervention.
AB - OBJECTIVE: Type 2 diabetes increases the accumulation of brain white matter
hyperintensities and loss of brain tissue. Behavioral interventions to promote
weight loss through dietary changes and increased physical activity may delay
these adverse consequences. We assessed whether participation in a successful 10
year lifestyle intervention was associated with better profiles of brain
structure. RESEARCH DESIGN AND METHODS: At enrollment in the Action for Health in
Diabetes clinical trial, participants had type 2 diabetes, were overweight or
obese, and were aged 45-76 years. They were randomly assigned to receive 10 years
of lifestyle intervention, which included group and individual counseling, or to
a control group receiving diabetes support and education through group sessions
on diet, physical activity, and social support. Following this intervention, 319
participants from three sites underwent standardized structural brain magnetic
resonance imaging and tests of cognitive function 10-12 years after
randomization. RESULTS: Total brain and hippocampus volumes were similar between
intervention groups. The mean (SE) white matter hyperintensity volume was 28%
lower among lifestyle intervention participants compared with those receiving
diabetes support and education: 1.59 (1.11) vs. 2.21 (1.11) cc (P = 0.02). The
mean ventricle volume was 9% lower: 28.93 (1.03) vs. 31.72 (1.03) cc (P = 0.04).
Assignment to lifestyle intervention was not associated with consistent
differences in cognitive function compared with diabetes support and education.
CONCLUSIONS: Long-term weight loss intervention may reduce the adverse impact of
diabetes on brain structure. Determining whether this eventually delays cognitive
decline and impairment requires further research.
PMID- 27208382
TI - Recommendation to Exclude Bile-Duct-Cannulated Rats with Hyperbilirubinemia for
Proper Conduct of Biliary Drug Excretion Studies.
AB - Hyperbilirubinemia (HB) is sometimes encountered following bile-duct cannulation
in rats. It possibly originates from the reduced functioning of multidrug
resistance-associated protein 2 (Mrp2) and subsequent adaptive alterations in the
expression of Mrp3 and the organic anion transporting polypeptides (Oatps). Our
aim was to clarify the importance of excluding bile-duct-cannulated (BDC) rats
with HB for proper conduct of drug excretion studies. We detected HB [serum total
bilirubin concentration (TBIL) >=0.20 mg/dl] in 16% of all BDC rats prepared. The
serum activities of aspartate aminotransferase, alanine aminotransferase, leucine
aminopeptidase, and alkaline phosphatase were within the respective normal ranges
in the BDC rats with mild HB (TBIL, 0.20-0.79 mg/dl), indicating the absence of
hepatic failure. In the pharmacokinetics of pravastatin, an Oatps/Mrp2 probe drug
in the BDC rats, the apparent volume of distribution and the clearance were
smaller in the mild HB group as compared with the normal group, suggesting the
reduction of apparent hepatic uptake and hepatobiliary elimination. The biliary
excretion (percentage of dose) was significantly reduced by 54%, suggesting that
the biliary efflux activity via Mrp2 was reduced to a greater extent relative to
metabolic activity in hepatocytes. The serum gamma-glutamyltransferase (GGT)
activity correlated with TBIL and inversely correlated with biliary excretion of
pravastatin, a finding which could serve as a clue to uncover the regulatory
system involving cooperation between GGT and Mrp2. In conclusion, BDC rats with
HB, however mild, should be excluded from drug excretion studies to avoid the
risk of underestimation of the biliary excretion of drugs.
PMID- 27208380
TI - Metabolomics in Prediabetes and Diabetes: A Systematic Review and Meta-analysis.
AB - OBJECTIVE: To conduct a systematic review of cross-sectional and prospective
human studies evaluating metabolite markers identified using high-throughput
metabolomics techniques on prediabetes and type 2 diabetes. RESEARCH DESIGN AND
METHODS: We searched MEDLINE and EMBASE databases through August 2015. We
conducted a qualitative review of cross-sectional and prospective studies.
Additionally, meta-analyses of metabolite markers, with data estimates from at
least three prospective studies, and type 2 diabetes risk were conducted, and
multivariable-adjusted relative risks of type 2 diabetes were calculated per
study-specific SD difference in a given metabolite. RESULTS: We identified 27
cross-sectional and 19 prospective publications reporting associations of
metabolites and prediabetes and/or type 2 diabetes. Carbohydrate (glucose and
fructose), lipid (phospholipids, sphingomyelins, and triglycerides), and amino
acid (branched-chain amino acids, aromatic amino acids, glycine, and glutamine)
metabolites were higher in individuals with type 2 diabetes compared with control
subjects. Prospective studies provided evidence that blood concentrations of
several metabolites, including hexoses, branched-chain amino acids, aromatic
amino acids, phospholipids, and triglycerides, were associated with the incidence
of prediabetes and type 2 diabetes. We meta-analyzed results from eight
prospective studies that reported risk estimates for metabolites and type 2
diabetes, including 8,000 individuals of whom 1,940 had type 2 diabetes. We found
36% higher risk of type 2 diabetes per study-specific SD difference for
isoleucine (pooled relative risk 1.36 [1.24-1.48]; I(2) = 9.5%), 36% for leucine
(1.36 [1.17-1.58]; I(2) = 37.4%), 35% for valine (1.35 [1.19-1.53]; I(2) =
45.8%), 36% for tyrosine (1.36 [1.19-1.55]; I(2) = 51.6%), and 26% for
phenylalanine (1.26 [1.10-1.44]; I(2) = 56%). Glycine and glutamine were
inversely associated with type 2 diabetes risk (0.89 [0.81-0.96] and 0.85 [0.82
0.89], respectively; both I(2) = 0.0%). CONCLUSIONS: In studies using high
throughput metabolomics, several blood amino acids appear to be consistently
associated with the risk of developing type 2 diabetes.
PMID- 27208383
TI - Establishment of In Silico Prediction Models for CYP3A4 and CYP2B6 Induction in
Human Hepatocytes by Multiple Regression Analysis Using Azole Compounds.
AB - Drug-drug interactions (DDIs) via cytochrome P450 (P450) induction are one
clinical problem leading to increased risk of adverse effects and the need for
dosage adjustments and additional therapeutic monitoring. In silico models for
predicting P450 induction are useful for avoiding DDI risk. In this study, we
have established regression models for CYP3A4 and CYP2B6 induction in human
hepatocytes using several physicochemical parameters for a set of azole compounds
with different P450 induction as characteristics as model compounds. To obtain a
well-correlated regression model, the compounds for CYP3A4 or CYP2B6 induction
were independently selected from the tested azole compounds using principal
component analysis with fold-induction data. Both of the multiple linear
regression models obtained for CYP3A4 and CYP2B6 induction are represented by
different sets of physicochemical parameters. The adjusted coefficients of
determination for these models were of 0.8 and 0.9, respectively. The fold
induction of the validation compounds, another set of 12 azole-containing
compounds, were predicted within twofold limits for both CYP3A4 and CYP2B6. The
concordance for the prediction of CYP3A4 induction was 87% with another
validation set, 23 marketed drugs. However, the prediction of CYP2B6 induction
tended to be overestimated for these marketed drugs. The regression models show
that lipophilicity mostly contributes to CYP3A4 induction, whereas not only the
lipophilicity but also the molecular polarity is important for CYP2B6 induction.
Our regression models, especially that for CYP3A4 induction, might provide useful
methods to avoid potent CYP3A4 or CYP2B6 inducers during the lead optimization
stage without performing induction assays in human hepatocytes.
PMID- 27208385
TI - Some augmentation strategies improve outcome but increase discontinuation in
adults with treatment-resistant depression.
PMID- 27208384
TI - Dihydroartemisinin-piperaquine holds promise as an option for malaria prevention
in pregnancy.
PMID- 27208387
TI - Preconceptional Counselling of IBD Patients.
PMID- 27208386
TI - Clinical Effects of a Topically Applied Toll-like Receptor 9 Agonist in Active
Moderate-to-Severe Ulcerative Colitis.
AB - BACKGROUND AND AIMS: Toll-like receptors [TLRs] are potential drug targets for
immunomodulation. We determined the safety and efficacy of the TLR-9 agonist DNA
based immunomodulatory sequence 0150 [DIMS0150] in ulcerative colitis [UC]
patients refractory to standard therapy. METHODS: In this randomized, double
blind, placebo-controlled trial, 131 patients with moderate-to-severe active UC
were randomized to receive two single doses of the oligonucleotide DIMS0150 [30
mg] or placebo administered topically during lower GI endoscopy at baseline and
Week 4. The primary endpoint was clinical remission, defined as Clinical Activity
Index [CAI] <=4, at Week 12. Secondary endpoints included mucosal healing and
symptomatic remission of key patient-reported outcomes [absence of blood in stool
and weekly stool frequency <35]. RESULTS: There was no statistical significant
difference between the groups in the induction of clinical remission at Week 12,
with 44.4% in the DIMS0150 group vs. 46.5% in the placebo group. However, the
proportion of patients who achieved symptomatic remission was 32.1% in the
DIMS0150 group vs. 14.0% in the placebo group at Week 4 [p = 0.020], and 44.4%
vs. 27.9% at Week 8 [p = 0.061]. More patients on DIMS0150 compared with those on
placebo had mucosal healing [34.6% vs. 18.6%; p = 0.09] and histological
improvement regarding the Geboes score [30.9% vs. 9.3%; p = 0.0073] at Week 4.
Significantly more patients on DIMS0150 were in clinical remission with mucosal
healing at Week 4: 21% vs. 4.7% in the placebo group [p = 0.02]. DIMS0150 was
well tolerated, and no safety signals compared with placebo were evident.
CONCLUSIONS: Therapy with the topically applied TLR-9 agonist DIMS0150 is a
promising and well-tolerated novel therapeutic option for treatment-refractory,
chronic active UC patients, warranting further clinical trials.
PMID- 27208388
TI - Asymptomatic Parasitic Infection in a Crohn's Disease Patient on Anti-TNFalpha
Therapy: An Alert for Our Patients?
PMID- 27208389
TI - Curcumin rescues high fat diet-induced obesity and insulin sensitivity in mice
through regulating SREBP pathway.
AB - Obesity and its major co-morbidity, type 2 diabetes, have reached an alarming
epidemic prevalence without an effective treatment available. It has been
demonstrated that inhibition of SREBP pathway may be a useful strategy to treat
obesity with type 2 diabetes. Sterol regulatory element-binding proteins (SREBPs)
are major transcription factors regulating the expression of genes involved in
biosynthesis of cholesterol, fatty acid and triglyceride. In current study, we
identified a small molecule, curcumin, inhibited the SREBP expression in vitro.
The inhibition of SREBP by curcumin decreased the biosynthesis of cholesterol and
fatty acid. In vivo, curcumin ameliorated HFD-induced body weight gain and fat
accumulation in liver or adipose tissues, and improved serum lipid levels and
insulin sensitivity in HFD-induced obese mice. Consistently, curcumin regulates
SREBPs target genes and metabolism associated genes in liver or adipose tissues,
which may directly contribute to the lower lipid level and improvement of insulin
resistance. Take together, curcumin, a major active component of Curcuma longa
could be a potential leading compound for development of drugs for the prevention
of obesity and insulin resistance.
PMID- 27208390
TI - Secondary syphilis in the oral cavity and the role of the dental surgeon in STD
prevention, diagnosis and treatment: a case series study.
AB - Syphilis is an infectious disease caused by the bacterium Treponema pallidum.
Syphilis has three clinical stages and may present various oral manifestations,
mainly at the secondary stage. The disease mimics other more common oral mucosa
lesions, going undiagnosed and with no proper treatment. Despite the advancements
in medicine toward prevention, diagnosis, and treatment syphilis remains a public
health problem worldwide. In this sense, dental surgeons should be able to
identify the most common manifestations of the disease in the oral cavity,
pointing to the role of this professional in prevention and diagnosis. This study
describes a case series of seven patients with secondary syphilis presenting
different oral manifestations.
PMID- 27208391
TI - Ontogenesis secretion and senescence of Tocoyena bullata (Vell.) Mart.
(Rubiacaeae) colleters.
AB - Colleters are secretory structure present on many families including Rubiaceae.
Particular characteristics have been described about colleters secretory cells,
however senescence process are still under debate. Tocoyena bullata (Vell.) Mart.
(Rubiaceae) shoot apex were collected at Jardim Botanico do Rio de Janeiro,
RJ/Brazil. Stipules were separated and fragments were fixed in 2.5%
glutaraldehyde and 4.0% formaldehyde in 0.05 m sodium cacodylate buffer, pH 7.2,
post fixed in 1.0% osmium tetroxide in the same buffer, dehydrated in acetone,
critical-point-drying, sputtered coated and observed. For light microscopy
fragments were fixed and dehydrated, infiltrated with historesin and stained with
1% toluidine blue. For transmission electron microscopy, the samples were
infiltrated with Epoxi resin. Colleters are present on stipule adaxial surface.
On the beginning of development, these structures are recognized as small
projections. Later on, colleters differentiated and secrete by cuticle rupture.
The colleters senescence occurs in a concomitant and indissoluble way of
programmed cell death. Ultrastructural analyses during the process strongly
suggest the senescence is based on a non-autolitic programmed cell death. T.
bullata colleters, present at stipule abaxial surface are cylindrical secretory
structures. Colleters secretory cells originated as stipule projections;
differentiate; secrete and senesce by programmed cell death. The secretion and
the cell dead occurs in a concomitantly and indissoluble way.
PMID- 27208392
TI - Integrated design workflow and a new tool for urban rainwater management.
AB - Low Impact Development (LID) practices provide more sustainable solutions than
traditional piping and storm ponds in stormwater management. However, architects
are not equipped with the knowledge to perform runoff calculations at early
design stage. In response to this dilemma, we have developed an open-source
stormwater runoff evaluation and management tool, Rainwater+. It is seamlessly
integrated into computer-aided design (CAD) software to receive instant estimate
on the stormwater runoff volume of architecture and landscape designs. Designers
can thereby develop appropriate rainwater management strategies based on local
precipitation data, specific standards, site conditions and economic
considerations. We employed Rainwater+ to conduct two case studies illustrating
the importance of considering stormwater runoff in the early design stage. The
first case study showed that integrating rainwater management into design
modeling is critical for determining LID practice at any specific site. The
second case study demonstrated the need of visualizing runoff flow direction in
assisting the placement of LID practices at proper locations when the terrain is
of great complexity.
PMID- 27208393
TI - Comparing homologous microscopic sections from multiple embryos using HREM.
PMID- 27208394
TI - Redeployment of germ layers related TFs shows regionalized expression during two
non-embryonic developments.
AB - In all non-vertebrate metazoan phyla, species that evolved non-embryonic
developmental pathways as means of propagation or regeneration can be found. In
this context, new bodies arise through asexual reproduction processes (such as
budding) or whole body regeneration, that lack the familiar temporal and spatial
cues classically associated with embryogenesis, like maternal determinants, or
gastrulation. The molecular mechanisms underlying those non-embryonic
developments (i.e., regeneration and asexual reproduction), and their
relationship to those deployed during embryogenesis are poorly understood. We
have addressed this question in the colonial ascidian Botryllus schlosseri, which
undergoes an asexual reproductive process via palleal budding (PB), as well as a
whole body regeneration by vascular budding (VB). We identified early
regenerative structures during VB and then followed the fate of differentiating
tissues during both non-embryonic developments (PB and VB) by monitoring the
expression of genes known to play key functions in germ layer specification with
well conserved expression patterns in solitary ascidian embryogenesis. The
expression patterns of FoxA1, GATAa, GATAb, Otx, Bra, Gsc and Tbx2/3 were
analysed during both PB and VB. We found that the majority of these transcription
factors were expressed during both non-embryonic developmental processes,
revealing a regionalization of the palleal and vascular buds. Knockdown of GATAa
by siRNA in palleal buds confirmed that preventing the correct development of one
of these regions blocks further tissue specification. Our results indicate that
during both normal and injury-induced budding, a similar alternative
developmental program operates via early commitment of epithelial regions.
PMID- 27208395
TI - Do Multiple Concussions Lead to Cumulative Cognitive Deficits? A Literature
Review.
AB - : A concussion is an important health concern for children and adolescents,
particularly in the context of sporting injuries. Some research suggests a
cumulative effect from multiple concussions (also referred to as mild traumatic
brain injury), which creates a dilemma when considering how to manage children
and young persons who may experience multiple concussive events within a sporting
season. However, there is very little research regarding the outcomes of multiple
concussions and their optimal management. The purpose of this review is to
evaluate the evidence regarding the cognitive outcomes of multiple concussions.
After assessing the eligibility of the articles from the literature search, 7
studies were identified and included in the review. In most of the available
literature, the cognitive outcomes related to multiple concussions are measured
during the same developmental age as when the injuries happened. Moreover, most
studies that investigated multiple concussions are focused on sports-related
injuries, and only some are conducted in children and adolescents in the general
population. The current evidence is inconclusive; whereas some studies reported
adverse outcomes, others reported null findings. The studies that reported
adverse or cumulative effects based their findings on worse cognitive outcomes,
more subjective symptoms, and prolonged recovery postinjury. LEVEL OF EVIDENCE:
II.
PMID- 27208397
TI - Screening for History of Traumatic Brain Injury Among Women Exposed to Intimate
Partner Violence.
AB - : Traumatic brain injury (TBI) is a common chronically debilitating consequence
of intimate-partner violence (IPV). Diagnosis and effective treatment are
precluded by poor detection and lack of uniform practice guidelines for TBI
screening in IPV. Although there are several TBI-screening tools commonly used in
clinical and research practices, their applicability to this unique and
vulnerable population is unclear. In this review paper, we propose a
theoretically based framework for screening for history of TBI in women exposed
to IPV and apply it to investigate the applicability of TBI-screening
instruments. The framework was developed by examining existing guidelines for
working with IPV survivors and applied to evaluate the content of nine currently
available TBI screening instruments to determine the extent to which each offers
(1) events that can lead to TBI in an IPV situation; (2) safe (without increasing
the risk of retaliation) endorsement of an event; and (3) ease of administration.
Our evaluation of the currently available TBI-screening tools determined that no
instrument met the proposed framework standards and only 2 (Brain Injury
Screening Questionnaire and Ohio State University TBI Identification Method) came
close, requiring only minor adjustments to meet the postulated criteria. We make
specific content and interview-based recommendations for revising TBI screening
instruments to minimize the weaknesses of currently available screening tools
among women exposed to IPV and the knowledge gaps about TBI in this context. The
proposed framework and recommendations are intended to guide future work in this
area to enhance the capacity of TBI screening tools to safely detect TBI in this
population. LEVEL OF EVIDENCE: V.
PMID- 27208396
TI - Aerobic Exercise Combined With Noninvasive Positive Pressure Ventilation
Increases Serum Brain-Derived Neurotrophic Factor in Healthy Males.
AB - BACKGROUND: Brain-derived neurotrophic factor (BDNF) has been implicated in
enhancing neuronal health. Exercise and noninvasive positive-pressure ventilation
(NPPV) are known to independently alter BDNF levels in patients with depression,
dementia, type 2 diabetes, chronic obstructive pulmonary disease, and obstructive
sleep apnea syndrome. However, the combined effects of exercise and NPPV on serum
BDNF in normal subjects are unknown. OBJECTIVE: To determine the effects of the
combination of acute aerobic exercise under NPPV on serum BDNF in normal adults.
DESIGN: Cross-over design study. SETTING: Wakayama Medical University.
PARTICIPANTS: Ten healthy young men. INTERVENTION: The subjects exercised on a
cycle ergometer at 60% of pretraining maximal oxygen uptake (V.O2max) for 30
minutes daily for 5 consecutive days with or without NPPV (12 cmH2O). The 5-day
exercise protocol was repeated after a 3-week washout period with or without
NPPV. MAIN OUTCOME MEASUREMENTS: Serum BDNF, plasma cortisol, and platelet,
lymphocyte, and monocyte counts were measured at 24 hours before the first day
exercise with or without NPPV and 24 hour after last day exercise with or without
NPPV at resting condition. Measurements were also made on the first day exercise;
serum BDNF level was measured immediately before and immediately after exercise,
as well as at 1, 2, and 3 hours after exercise with or without NPPV. RESULTS: The
5-day exercise protocol significantly (P < .05) increased serum BDNF when
combined with NPPV, but not without NPPV, and did not change plasma cortisol
level, platelet, or lymphocyte counts, with or without NPPV. The 5-day exercise
protocol also significantly (P < .05) decreased monocyte count without NPPV, but
not with NPPV, relative to baseline. Changes noticed immediately after the first
day of exercise included significant (P < .05) increase in serum BDNF compared
with immediately before the exercise, with or without NPPV. CONCLUSIONS: The
results indicated that a 5-day exercise protocol combined with NPPV increased
serum BDNF, suggesting that NPPV synergistically enhances the effect of aerobic
exercise on serum BDNF level. LEVEL OF EVIDENCE: II.
PMID- 27208398
TI - Corticospinal Excitability and Inhibition of the Soleus in Individuals With
Chronic Ankle Instability.
AB - BACKGROUND: Understanding the mechanisms of neurophysiological alterations with
chronic ankle instability (CAI) may be essential in the development of the most
effective intervention programs to treat neuromuscular dysfunction in patients
with CAI. Specifically, the presence of CAI may be associated with an altered
supraspinal mechanism within the central nervous system to inhibit neural drive
to the undamaged soleus surrounding the injured ankle joint. OBJECTIVE: To
investigate the influence of CAI on corticospinal excitability and inhibition of
the soleus. DESIGN: A single-blinded, case-control study. SETTING: Research
laboratory. PARTICIPANTS: Sixteen participants with self-reported CAI and 17
healthy control participants volunteered. METHODS: Transcranial magnetic
stimulation was used to assess corticospinal excitability and inhibition of the
soleus muscle. Active motor threshold (AMT), defined as the lowest stimulator
intensity required to elicit a peak-to-peak motor-evoked potential (MEP)
amplitude >=100 MUV in at least four of 8 trials, was found to assess
corticospinal excitability of the soleus. Eight stimuli were delivered at 120% of
AMT, and peak-to-peak MEP amplitudes were recorded for each trial. The amplitude
of 8 MEPs at 120% of AMP were averaged and normalized to the maximum M-response.
Cortical silent period (CSP) was measured as the distance from the end of the MEP
to a return of the mean electromyographic signal plus 2 times the standard
deviation of the baseline (prestimulus) electromyographic signal. The ratio of
the CSP to the MEP at 120% AMT (CSP:MEP120) were calculated to assess
corticospinal inhibition. RESULTS: The CAI group had a greater CSP:MEP120 ratio
compared with the control group (P = .02). No significant differences between
groups were observed for AMT (P = .67) and normalized MEP at 120% of AMT (P =
.42). CONCLUSIONS: The greater CSP:MEP120 ratio in participants with CAI suggests
an altered balance in corticospinal inhibition and excitability to the soleus of
the CAI cohort. Future research is needed to determine the role of corticospinal
inhibition in physical and self-reported function in patients with CAI. LEVEL OF
EVIDENCE: IV.
PMID- 27208399
TI - A high-density lipoprotein-mediated drug delivery system.
AB - High-density lipoprotein (HDL) is a comparatively dense and small lipoprotein
that can carry lipids as a multifunctional aggregate in plasma. Several studies
have shown that increasing the levels or improving the functionality of HDL is a
promising target for treating a wide variety of diseases. Among lipoproteins, HDL
particles possess unique physicochemical properties, including naturally
synthesized physiological components, amphipathic apolipoproteins, lipid-loading
and hydrophobic agent-incorporating characteristics, specific protein-protein
interactions, heterogeneity, nanoparticles, and smaller size. Recently, the
feasibility and superiority of using HDL particles as drug delivery vehicles have
been of great interest. In this review, we summarize the structure, constituents,
biogenesis, remodeling, and reconstitution of HDL drug delivery systems, focusing
on their delivery capability, characteristics, applications, manufacturing, and
drug-loading and drug-targeting characteristics. Finally, the future prospects
are presented regarding the clinical application and challenges of using HDL as a
pharmacodelivery carrier.
PMID- 27208401
TI - Preface to the BBA special issue "heart lipid metabolism".
PMID- 27208400
TI - Lateral flow-based antibody testing for Chlamydia trachomatis.
AB - We describe here a lateral flow-based assay (LFA) for the detection of antibodies
against immunodominant antigen Pgp3 from Chlamydia trachomatis, the causative
agent of urogenital chlamydia infection and ocular trachoma. Optimal signal
detection was achieved when the gold-conjugate and test line contained Pgp3,
creating a dual sandwich capture assay. The LFA yielded positive signals with
serum and whole blood but not with eluted dried blood spots. For serum, the
agreement of the LFA with the non-reference multiplex assay was 96%, the
specificity using nonendemic pediatric sera was 100%, and the inter-rater
agreement was kappa=0.961. For whole blood, the agreement of LFA with multiplex
was 81.5%, the specificity was 100%, and the inter-rater agreement was
kappa=0.940. The LFA was tested in a field environment and yielded similar
results to those from laboratory-based testing. These data show the successful
development of a lateral flow assay for detection of antibodies against Pgp3 with
reliable use in field settings, which would make antibody-based testing for
trachoma surveillance highly practical, especially after cessation of trachoma
elimination programs.
PMID- 27208402
TI - Green tea (-)-epigallocatechin gallate induced growth inhibition of human
placental choriocarcinoma cells.
AB - This study investigated the pathways involved in the effect of green tea
epigallocatechin gallate (EGCG) on mitogenesis in BeWo, JEG-3, and JAR placental
choriocarcinoma cells. EGCG inhibited cell proliferation in dose-dependent and
time-dependent manners, as indicated by the number of cells and incorporation of
bromodeoxyuridine (BrdU). A catechin-specific effect of green tea was evident;
EGCG was more effective than epicatechin, epicatechin gallate, and
epigallocatechin in suppressing cell growth. When all three of the mitogen
activated protein kinase (MAPK) subfamilies, i.e., ERK, p38, and JNK, were
examined, EGCG significantly increased levels of phospho-ERK1/2 (pERK1/2) and
phospho-p38 (pp38) and did not alter the total protein levels of ERK1/2, p38
MAPK, JNK, and phospho-JNK. EGCG-induced increases in the levels of pERK1/2 and
pp38 proteins were prevented by pre-treatment with specific inhibitors of ERK1/2
MAPK and p38 MAPK, respectively. These inhibitors also suppressed EGCG-induced
decreases in both cell number and BrdU incorporation. Moreover, pre-treatment
with an AMP-activated protein kinase (AMPK) inhibitor prevented the actions of
EGCG on proliferation and AMPK phosphorylation. These data suggest that EGCG
mediates choriocarcinoma cell growth via the AMPK, ERK, and p38 pathways, but not
JNK pathway.
PMID- 27208403
TI - Early studies of placental ultrastructure by electron microscopy.
AB - BACKGROUND: Transmission electron microscopy (TEM) was first applied to study
placental ultrastructure in the 1950's. We review those early studies and mention
the scientists that employed or encouraged the use of TEM. FINDINGS: Among the
pioneers Edward W. Dempsey was a key figure who attracted many other scientists
to Washington University in St. Louis. Work on human placental ultrastructure was
initiated at Cambridge and Kyoto whilst domestic animals were initially studied
by Bjorkman in Stockholm and electron micrographs of bat placenta were published
by Wimsatt of Cornell University. CONCLUSIONS: Prior to the introduction of
better fixation techniques, TEM images were of modest technical quality.
Nevertheless they gave important insights into placental ultrastructure,
particularly the nature of the maternal-fetal interface.
PMID- 27208404
TI - Markers of early endothelial dysfunction in intrauterine growth restriction
derived human umbilical vein endothelial cells revealed by 2D-DIGE and mass
spectrometry analyses.
AB - Intrauterine growth restriction (IUGR) associates with fetal and placental
vascular dysfunction, and increased cardiovascular risk later on life. We
hypothesize that endothelial cells derived from IUGR umbilical veins present
significant changes in the proteome which could be involved in the endothelial
dysfunction associated to this conditions. To address this the proteome profile
of human umbilical endothelial cells (HUVEC) isolated from control and IUGR
pregnancies was compared by 2D-Differential In Gel Electrophoresis (DIGE) and
further protein identification by MALDI-TOF MS. Using 2D-DIGE 124 spots were
identified as differentially expressed between control and IUGR HUVEC,
considering a cut-off of 2 fold change, which represented ~10% of the total spots
detected. Further identification by MALDI-TOF MS and in silico clustering of the
proteins showed that those differentially expressed proteins between control and
IUGR HUVEC were mainly related with cytoskeleton organization, proteasome
degradation, oxidative stress response, mRNA processing, chaperones and vascular
function. Finally Principal Component analysis of the identified proteins showed
that differentially expressed proteins allow distinguishing between control and
IUGR HUVEC based on their proteomic profile. This study demonstrates for the
first time that IUGR-derived HUVEC maintained in primary culture conditions
present an altered proteome profile, which could reflect an abnormal programming
of endothelial function in this fetal condition.
PMID- 27208405
TI - Macrophage migration inhibitory factor induces phosphorylation of Mdm2 mediated
by phosphatidylinositol 3-kinase/Akt kinase: Role of this pathway in decidual
cell survival.
AB - The phosphatidylinositol 3-kinase (PI3K)/Akt pathway has an anti-apoptotic effect
through several downstream targets, which includes activation of the transformed
mouse 3T3 cell double-minute 2 (Mdm2) protein, its translocation to the nucleus
and degradation of the tumor suppressor p53. We show that Mif, the Macrophage
Migration Inhibitory Factor, an important cytokine at the maternal fetal
interface in several species, triggers phosphorylation of Mdm2 protein in a
PI3K/Akt-dependent manner, thereby preventing apoptosis in cultured mouse
decidual cells. Inhibition of Akt and PI3K suppresses the pathway. Mif treatment
also changes the nuclear translocation of p53 and interferes with the apoptotic
fate of these cells when challenged with reactive oxygen species. In conclusion,
an important mechanism has been found underlying decidual cell survival through
Akt signaling pathway activated by Mif, suggesting a role for this cytokine in
decidual homeostasis and in the integrity of the maternal-fetal barrier that is
essential for successful gestation.
PMID- 27208406
TI - Macroscopic and histological characteristics of retained placenta: A
prospectively collected case-control study.
AB - INTRODUCTION: Retained placenta is a potentially fatal obstetric disorder due to
postpartum hemorrhage, its pathophysiology is however unknown. We aimed to assess
if retained placenta was associated with increased macroscopic and histological
signs of placental maternal underperfusion, a pattern otherwise seen in
preeclampsia and other disorders of defective placentation. METHODS: This was a
case-control study of retained (n = 49) and non-retained (n = 47) placentas,
collected from full-term singleton and otherwise healthy pregnancies, carried out
at a tertiary level obstetric department. Macroscopic and histological analysis
was performed. Signs of maternal placental underperfusion and signs of placental
inflammation, fetal vascular thrombo-occlusive disease and increased placental
attachment were recorded in a primary and secondary analysis respectively.
Variables were compared groupwise using unconditional logistic regression or
comparison of median or mean values. RESULTS: Compared to non-retained placentas
retained placentas had a significantly smaller surface area (p = 0.05), were more
oblong in shape (OR 5.24 95% CI:1.34-20.21) and showed overall more signs of
maternal underperfusion (OR 2.52 95% CI: 1.07-5.87). There was no significant
difference in signs of placental inflammation, fetal vascular thrombo-occlusive
disease or placenta accreta but basal plate myometrial fibers were more common
among retained placentas. CONCLUSION: In regard to shape, surface area and
histological signs of maternal placental underperfusion, retained placentas
showed a histological pattern similar to that seen in preeclamptic placentas.
PMID- 27208407
TI - Curcumin improves LPS-induced preeclampsia-like phenotype in rat by inhibiting
the TLR4 signaling pathway.
AB - INTRODUCTION: Abnormal inflammation mediated by Toll-like receptor 4 (TLR4)
signaling pathway contributes to preeclampsia (PE). Because curcumin can inhibit
TLR4 signaling pathway, we investigated its effects on a PE rat model. METHODS:
Twenty-one pregnant rats were randomly divided into three groups: 1) seven rats
were injected 0.5 MUg/kg lipopolysaccharide (LPS) on gestational day (GD) 5 to
create a PE model (LPS-treated group), 2) seven rats were injected with a similar
dosage of LPS and further treated with curcumin (0.36 mg/kg) (LPS-curcumin
treated group), 3) seven rats received saline (control group). Blood pressure and
urinary protein level were observed. Immunostaining and periodic acid-Schiff
staining of placenta were conducted. TLR4 and downstream Nuclear Factor-kappaB
(NF-kappaB) expressions of placenta were analyzed by Western blot and
immunohistochemistry. IL-6 and MCP-1 in rat serum and placenta were determined by
ELISA and qRT-PCR. RESULTS: Compared to LPS-treated group, LPS-curcumin-treated
group had decreased blood pressure and urinary protein level, similar to control
group. Furthermore, deficient trophoblast invasion and spiral artery remodeling
induced by LPS were improved by curcumin. Increased TLR4, NF-kappaB and IL-6, MCP
1 protein expressions in LPS-treated group were significantly decreased after
curcumin administration. DISCUSSION: Curcumin improves the PE-like phenotype in
rat model by reducing abnormal inflammation related to TLR4 signaling pathway.
PMID- 27208409
TI - miR-15b-AGO2 play a critical role in HTR8/SVneo invasion and in a model of
angiogenesis defects related to inflammation.
AB - INTRODUCTION: microRNAs (miRs) have been shown to play critical roles in the
regulation of trophoblast and endothelial cell functions, and one significant
finding concerning the miR-15/16 family is that most members of this family are
highly expressed in endothelial cells and contribute to functions, such as tube
formation. The interaction between trophoblast and endothelial cell play an
important role in normal placentation process. Therefore, the aims of this study
were to investigate the expression of miR-15b in human placenta and to uncover
the potential role of miR-15b as well as its target functional loop in
trophoblast and endothelial cells. Whether inflammation could modulate the
expression of miR-15b and its down-stream target was further investigated.
Additionally, the potential link between miR-15b deregulation and preeclampsia
was also explored in the placenta of patients diagnosed with preeclampsia.
METHODS: The expression of miR-15b was studied in the placental tissue of a
normal pregnancy using in situ hybridization, and the effects of miR-15b on
proliferation, invasion, and angiogenesis were further explored in vitro using
HTR-8/SVneo and HUVEC cell line models. A Lipopolysaccharides (LPS) treatment
model in HTR-8/SVneo cell was utilized to explore the mechanism of how LPS
treatment could lead to the activation of miR-15b expression. Western blot was
used to detect the expression of proteins related to miR-15b mediated pathway in
preeclamptic placentas. RESULTS: miR-15b inhibits trophoblast cell invasion and
endothelial cell tube formation by suppressing the expression of Argonaute 2
(AGO2), a major miRNA effecter protein. AGO2 is specifically localized to human
placenta cytotrophoblast and endothelial cells, and it plays important roles in
trophoblast cell invasion and endothelial cell tube formation. LPS treatment may
lead to the overexpression of miR-15b and down-regulation of AGO2, which may be
involved in shallow trophoblast cell invasion associated with the pathogenesis of
preeclampsia. Chromatin immunoprecipitation assay indicates that increased
occupancy of AGO2 to miR-15b promoter is responsible for the increased expression
of miR-15b under the condition of LPS treatment. Furthermore, preeclamptic
placentas have decreased expression of AGO2, but increased expression of miR-15b
and TLR-4 compared to normal controls. DISCUSSION: This is the first report about
the function of AGO2 in human trophoblast and endothelial cells in the placenta.
The data indicates that the aberrant expression of miR-15b contributes to
abnormal placentation by targeting AGO2 mRNA. This study provides insight into
the potential role of the miR-15b and AGO2 functional loop in the placentation
process.
PMID- 27208408
TI - Systemic and placental alpha-klotho: Effects of preeclampsia in the last
trimester of gestation.
AB - INTRODUCTION: alpha-klotho is an anti-aging protein, potentially important in
preeclampsia (PE). Produced by kidney, brain and placenta, and by mRNA splicing
is both a full-length membrane-bound and a truncated soluble protein in the
circulation. The membrane-bound protein is an obligate co-receptor for fibroblast
growth factor 23 (FGF23) and its action on receptor (FGFR), but ADAM proteinases
also cause its shedding. The aims of this study were to investigate levels of
maternal plasma, placental, and fetal membrane alpha-Klotho and their association
with placental accelerated villous maturation (AVM) in PE. In addition, placental
and membrane levels of ADAM17 and FGFR were measured in the same patients.
METHODS: Maternal blood, placenta and fetal membranes from 61 women (31 with PE
and 30 controls) between 32 and 40 weeks gestation were collected. Plasma alpha
klotho was measured by ELISA, and quantitative immunohistochemistry used for
alpha-klotho, ADAM17 and FGFR1 in tissues. Placental AVM was histologically
assessed. RESULTS: Maternal plasma levels of alpha-Klotho were higher in PE
compared to controls (p = 0.01) and patients with the highest levels had
significantly less AVM (p = 0.03). alpha-Klotho, ADAM17, and FGFR were all
present in syncytiotrophoblast and cytotrophoblast of membranes. Between 32 and
40 weeks gestation, all placental levels decreased in controls respectively (p =
0.04, p = 0.004, p = 0.05), but not in PE. Fetal membrane levels were unchanged.
DISCUSSION: Maternal plasma alpha-Klotho was increased in PE and its levels
associated with reduced placental AVM. Changes in placental alpha-Klotho, ADAM17,
and FGFR suggest their involvement in the pathophysiology of PE.
PMID- 27208410
TI - Comparing human and macaque placental transcriptomes to disentangle preterm birth
pathology from gestational age effects.
AB - INTRODUCTION: A major issue in the transcriptomic study of spontaneous preterm
birth (sPTB) in humans is the inability to collect healthy control tissue at the
same gestational age (GA) to compare with pathologic preterm tissue. Thus, gene
expression differences identified after the standard comparison of sPTB and term
tissues necessarily reflect differences in both sPTB pathology and GA. One
potential solution is to use GA-matched controls from a closely related species
to tease apart genes that are dysregulated during sPTB from genes that are
expressed differently as a result of GA effects. METHODS: To disentangle genes
whose expression levels are associated with sPTB pathology from those linked to
GA, we compared RNA sequencing data from human preterm placentas, human term
placentas, and rhesus macaque placentas at 80% completed gestation (serving as
healthy non-human primate GA-matched controls). We first compared sPTB and term
human placental transcriptomes to identify significantly differentially expressed
genes. We then overlaid the results of the comparison between human sPTB and
macaque placental transcriptomes to identify sPTB-specific candidates. Finally,
we overlaid the results of the comparison between human term and macaque
placental transcriptomes to identify GA-specific candidates. RESULTS: Examination
of relative expression for all human genes with macaque orthologs identified 267
candidate genes that were significantly differentially expressed between preterm
and term human placentas. 29 genes were identified as sPTB-specific candidates
and 37 as GA-specific candidates. Altogether, the 267 differentially expressed
genes were significantly enriched for a variety of developmental, metabolic,
reproductive, immune, and inflammatory functions. Although there were no notable
differences between the functions of the 29 sPTB-specific and 37 GA-specific
candidate genes, many of these candidates have been previously shown to be
dysregulated in diverse pregnancy-associated pathologies. DISCUSSION: By
comparing human sPTB and term transcriptomes with GA-matched control
transcriptomes from a closely related species, this study disentangled the
confounding effects of sPTB pathology and GA, leading to the identification of 29
promising sPTB-specific candidate genes and 37 genes potentially related to GA
effects. The apparent similarity in functions of the sPTB and GA candidates may
suggest that the effects of sPTB and GA do not correspond to biologically
distinct processes. Alternatively, it may reflect the poor state of knowledge of
the transcriptional landscape underlying placental development and disease.
PMID- 27208412
TI - Dysregulated stress signal sensitivity and inflammatory disinhibition as a
pathophysiological mechanism of stress-related chronic fatigue.
AB - Chronic stress and its subsequent effects on biological stress systems have long
been recognized as predisposing and perpetuating factors in chronic fatigue,
although the exact mechanisms are far from being completely understood. In this
review, we propose that sensitivity of immune cells to glucocorticoids (GCs) and
catecholamines (CATs) may be the missing link in elucidating how stress turns
into chronic fatigue. We searched for in vitro studies investigating the impact
of GCs or CATs on mitogen-stimulated immune cells in chronically stressed or
fatigued populations, with 34 original studies fulfilling our inclusion criteria.
Besides mixed cross-sectional findings for stress- and fatigue-related changes of
GC sensitivity under basal conditions or acute stress, longitudinal studies
indicate a decrease with ongoing stress. Research on CATs is still scarce, but
initial findings point towards a reduction of CAT sensitivity under chronic
stress. In the long run, resistance of immune cells to stress signals under
conditions of chronic stress might translate into self-maintaining inflammation
and inflammatory disinhibition under acute stress, which in turn lead to fatigue.
PMID- 27208413
TI - Ecdysone signaling regulates soldier-specific cuticular pigmentation in the
termite Zootermopsis nevadensis.
AB - Termite caste differentiation requires hormonal regulation, but understanding of
the role of ecdysone is limited. Here, we investigated the expression and
function of ecdysone-related genes during soldier differentiation in the damp
wood termite Zootermopsis nevadensis. Ecdysone receptor gene (EcR) was highly
expressed in the head just after the presoldier molt. Knockdown of EcR expression
in the early presoldier period inhibited the molts into soldiers. However,
knockdown in the middle period affected tyrosine metabolic gene expression and
inhibited soldier-specific cuticular tanning. These results suggest that ecdysone
activation is involved in soldier-specific cuticle formation.
PMID- 27208411
TI - Ascending mechanisms of stress integration: Implications for brainstem regulation
of neuroendocrine and behavioral stress responses.
AB - In response to stress, defined as a real or perceived threat to homeostasis or
well-being, brain systems initiate divergent physiological and behavioral
processes that mobilize energy and promote adaptation. The brainstem contains
multiple nuclei that engage in autonomic control and reflexive responses to
systemic stressors. However, brainstem nuclei also play an important role in
neuroendocrine responses to psychogenic stressors mediated by the hypothalamic
pituitary-adrenocortical axis. Further, these nuclei integrate neuroendocrine
responses with stress-related behaviors, significantly impacting mood and
anxiety. The current review focuses on the prominent brainstem monosynaptic
inputs to the endocrine paraventricular hypothalamic nucleus (PVN), including the
periaqueductal gray, raphe nuclei, parabrachial nuclei, locus coeruleus, and
nucleus of the solitary tract (NTS). The NTS is a particularly intriguing area,
as the region contains multiple cell groups that provide neurochemically-distinct
inputs to the PVN. Furthermore, the NTS, under regulatory control by
glucocorticoid-mediated feedback, integrates affective processes with
physiological status to regulate stress responding. Collectively, these brainstem
circuits represent an important avenue for delineating interactions between
stress and health.
PMID- 27208414
TI - Tools for the analysis of metabolic flux through the sphingolipid pathway.
AB - Discerning the complex regulation of the enzymatic steps necessary for
sphingolipid biosynthesis is facilitated by the utilization of tracers that allow
a time-resolved analysis of the pathway dynamics without affecting the metabolic
flux. Different strategies have been used and new tools are continuously being
developed to probe the various enzymatic conversions that occur within this
complex pathway. Here, we provide a short overview of the divergent fungal and
mammalian sphingolipid biosynthetic routes, and of the tracers and methods that
are frequently employed to follow the flux of intermediates throughout these
pathways.
PMID- 27208416
TI - Diffusion-mediated nuclear spin phase decoherence in cylindrically porous
materials.
AB - In NMR or MRI of complex materials, including biological tissues and porous
materials, magnetic susceptibility differences within the material result in
local magnetic field inhomogeneities, even if the applied magnetic field is
homogeneous. Mobile nuclear spins move though the inhomogeneous field, by
translational diffusion and other mechanisms, resulting in decoherence of nuclear
spin phase more rapidly than transverse relaxation alone. The objective of this
paper is to simulate this diffusion-mediated decoherence and demonstrate that it
may substantially reduce coherence lifetimes of nuclear spin phase, in an
anisotropic fashion. We do so using a model of cylindrical pores within an
otherwise homogeneous material, and calculate the resulting magnetic field
inhomogeneities. Our simulations show that diffusion-mediated decoherence in a
system of parallel cylindrical pores is anisotropic, with coherence lifetime
minimised when the array of cylindrical pores is perpendicular to B0. We also
show that this anisotropy of coherence lifetime is reduced if the orientations of
cylindrical pores are disordered within the system. In addition we characterise
the dependence on B0, the magnetic susceptibility of the cylindrical pores
relative to the surroundings, the diffusion coefficient and cylinder wall
thickness. Our findings may aid in the interpretation of NMR and MRI relaxation
data.
PMID- 27208417
TI - Mapping three-dimensional oil distribution with pi-EPI MRI measurements at low
magnetic field.
AB - Magnetic resonance imaging (MRI) is a robust tool to image oil saturation
distribution in rock cores during oil displacement processes. However, a lengthy
measurement time for 3D measurements at low magnetic field can hinder monitoring
the displacement. 1D and 2D MRI measurements are instead often undertaken to
monitor the oil displacement since they are faster. However, 1D and 2D images may
not completely reflect the oil distribution in heterogeneous rock cores. In this
work, a high-speed 3D MRI technique, pi Echo Planar Imaging (pi-EPI), was
employed at 0.2T to monitor oil displacement. Centric scan interleaved sampling
with view sharing in k-t space was employed to improve the temporal resolution of
the pi-EPI measurements. A D2O brine was employed to distinguish the hydrocarbon
and water phases. A relatively homogenous glass bead pack and a heterogeneous
Spynie core plug were employed to show different oil displacement behaviors. High
quality 3D images were acquired with pi-EPI MRI measurements. Fluid
quantification with pi-EPI compared favorably with FID, CPMG, 1D-DHK-SPRITE, 3D
Fast Spin Echo (FSE) and 3D Conical SPRITE measurements. pi-EPI greatly reduced
the gradient duty cycle and improved sensitivity, compared to FSE and Conical
SPRITE measurements, enabling dynamic monitoring of oil displacement processes.
For core plug samples with sufficiently long lived T2, T2(*), pi-EPI is an ideal
method for rapid 3D saturation imaging.
PMID- 27208415
TI - Oral trehalose supplementation improves resistance artery endothelial function in
healthy middle-aged and older adults.
AB - We hypothesized that supplementation with trehalose, a disaccharide that reverses
arterial aging in mice, would improve vascular function in middle-aged and older
(MA/O) men and women. Thirty-two healthy adults aged 50-77 years consumed 100
g/day of trehalose (n=15) or maltose (n=17, isocaloric control) for 12 weeks
(randomized, double-blind). In subjects with Deltabody mass less than 2.3kg (5
lb.), resistance artery endothelial function, assessed by forearm blood flow to
brachial artery infusion of acetylcholine (FBFACh), increased ~30% with trehalose
(13.3+/-1.0 vs. 10.5+/-1.1 AUC, P=0.02), but not maltose (P=0.40). This
improvement in FBFACh was abolished when endothelial nitric oxide (NO) production
was inhibited. Endothelium-independent dilation, assessed by FBF to sodium
nitroprusside (FBFSNP), also increased ~30% with trehalose (155+/-13 vs. 116+/-12
AUC, P=0.03) but not maltose (P=0.92). Changes in FBFACh and FBFSNP with
trehalose were not significant when subjects with Deltabody mass >= 2.3kg were
included. Trehalose supplementation had no effect on conduit artery endothelial
function, large elastic artery stiffness or circulating markers of oxidative
stress or inflammation (all P>0.1) independent of changes in body weight. Our
findings demonstrate that oral trehalose improves resistance artery
(microvascular) function, a major risk factor for cardiovascular diseases, in
MA/O adults, possibly through increasing NO bioavailability and smooth muscle
sensitivity to NO.
PMID- 27208419
TI - Bone morphogenetic protein 2/SMAD signalling in human ligamentocytes of
degenerated and aged anterior cruciate ligaments.
AB - OBJECTIVE: Anterior cruciate ligament (ACL) degeneration leads to knee
instability and favors osteoarthritis (OA) progression. During ageing the growth
factor sensitivity of ligaments changes but nothing is known about BMP2
signalling and -sensitivity in degenerated ACLs. This study addressed the
question whether a dysregulated BMP2 signalling might contribute to age- and OA
dependent ACL degeneration. METHOD: ACL samples from patients with/without OA of
different ages (<60 and >=60 years, males, females) were graded
histopathologically (n = 45). After stimulation of cultured ACL fibroblasts with
5 nM BMP2 for different time points, phosphorylation of SMAD1/5/8 and gene
expression of crucial BMP2 signalling proteins, ligamentogenic and chondrogenic
transcription factors, scleraxis (SCX) and SOX9, were analyzed. RESULTS: ACL
samples displayed different grades of degeneration, often associated with
synovitis and calcium deposits. Degeneration correlated significantly with
synovitis. ACL fibroblasts expressed BMP type I receptors ALK3 and ALK6 and the
BMP type II receptor BMPRII. Donors could be divided into "responders" and "non
responders" since their BMP2 mediated SMAD1/5/8 phosphorylation level differed.
Basal ID1 expression was lower in cells derived from OA compared with non-OA
patients and BMP2 led to an ID1 induction in both. Irrespective of BMP2
stimulation, the donor age significantly influenced the expression profile of
BMP6 and SCX but not BMP signalling. The BMP2-mediated SMAD6 expression differed
between OA and healthy ACL fibroblasts. CONCLUSION: Our data indicate that the
expression level of BMP2/SMAD target genes such as ID1 and SMAD6 was reduced in
ACL fibroblasts derived from OA compared with non OA patients.
PMID- 27208418
TI - Culturally inconsistent spatial structure reduces learning.
AB - Human adults tend to use a spatial continuum to organize any information they
consider to be well-ordered, with a sense of initial and final position. The
directionality of this spatial mapping is mediated by the culture of the subject,
largely as a function of the prevailing reading and writing habits (for example,
from left-to-right for English speakers or right-to-left for Hebrew speakers). In
the current study, we tasked American and Israeli subjects with encoding and
recalling a set of arbitrary pairings, consisting of frequently ordered stimuli
(letters with shapes: Experiment 1) or infrequently ordered stimuli (color terms
with shapes: Experiment 2), that were serially presented in a left-to-right,
right-to-left, or central-only manner. The subjects were better at recalling
information that contained ordinal stimuli if the spatial flow of presentation
during encoding matched the dominant directionality of the subjects' culture,
compared to information encoded in the non-dominant direction. This phenomenon
did not extend to infrequently ordered stimuli (e.g., color terms). These
findings suggest that adults implicitly harness spatial organization to support
memory, and this harnessing process is culturally mediated in tandem with our
spatial biases.
PMID- 27208421
TI - Changing of the guard: OAC in transition.
PMID- 27208420
TI - The anti-NGF antibody muMab 911 both prevents and reverses pain behaviour and
subchondral osteoclast numbers in a rat model of osteoarthritis pain.
AB - OBJECTIVE: Nerve growth factor (NGF) has a pivotal role in peripheral
hyperalgesia and inflammation; anti-NGF antibodies attenuate pain responses in
inflammatory pain models, and in people with osteoarthritis (OA) or low back
pain. The aim of this study was to characterise the peripheral mechanisms
contributing to the analgesic effects of anti-NGF antibody treatment in an
established model of joint pain, which mimics key clinical features of OA.
DESIGN: Effects of preventative vs therapeutic treatment with an anti-NGF
antibody (monoclonal antibody 911: muMab 911 (10 mg/kg, s.c.)) on pain behaviour
(weight bearing asymmetry and hindpaw withdrawal thresholds (PWT)), cartilage
damage, synovitis and numbers of subchondral osteoclasts were investigated in the
monosodium iodoacetate (MIA) model. Potential direct effects of NGF on receptor
activator of nuclear factor kappa-B ligand (RANKL) mediated osteoclastogenesis
were investigated in cultured human osteoclasts. RESULTS: Intra-articular MIA
injection resulted in significant pain behaviour, cartilage damage, synovitis and
increased numbers of subchondral osteoclasts. Both preventative and therapeutic
treatment with muMab 911 significantly prevented, or reversed, MIA-induced pain
behaviour, but did not alter cartilage or synovial pathology quantified at the
end of the treatment period. NGF did not facilitate RANKL driven osteoclast
differentiation in vitro, but preventative or therapeutic muMab 911 reduced
numbers of TRAP positive osteoclasts in the subchondral bone. CONCLUSIONS: We
demonstrate that anti-NGF antibody treatment attenuates OA pain behaviour despite
permitting cartilage damage and synovitis. Indirect effects on subchondral bone
remodelling may contribute to the analgesic effects of NGF blockade.
PMID- 27208422
TI - Comparative study of the effects of PM1-induced oxidative stress on autophagy and
surfactant protein B and C expressions in lung alveolar type II epithelial MLE-12
cells.
AB - BACKGROUND: There is a strong link between smaller air pollution particles and a
range of serious health conditions. Thus, there is a need for understanding the
impacts of airborne fine particulate matter (PM) with an aerodynamic diameter of
<1MUm (PM1) on lung alveolar epithelial cells. In the present study, mouse lung
epithelial type II cell MLE-12 cells were used to examine the intracellular
oxidative responses and the surfactant protein expressions after exposure to
various concentrations of PM1 collected from an urban site and a steel-factory
site (referred as uPM1 and sPM1 hereafter, respectively). METHODS:
Physicochemical characterization of PM1 was performed by using scanning electron
microscopy and transmission electron microscopy. Cytotoxicity and autophagy
induced by PM1 were assessed by using comprehensive approaches after MLE-12 cells
were exposed to different concentrations of PM1 for various times. Expression of
surfactant proteins B and C in MLE-12 cells was determined by Western blotting.
RESULTS: All of the tested PM1 induced cytotoxicity evidenced by significant
decrease of cell viability and increase of lactate dehydrogenase (LDH) release in
a time- and concentration-dependent manner in the exposed cells compared with the
unexposed cells. A similar pattern of increase of intercellular reactive oxygen
species (ROS) generation and decrease of superoxide dismutase (SOD) and catalase
(CAT) activities was also observed. PM1-induced autophagy was evidenced by an
increase in microtubule-associated protein light chain-3 (LC3) puncta,
accumulation of LC3II, and increased levels of beclin1. Data from Western
blotting showed significant decrease of surfactant protein B and C expressions.
Relatively high concentrations of transition metals, including Fe, Cu and Mn, may
be responsible for the higher toxicity of sPM1 compared with uPM1. Moreover,
pretreatment with N-acetylcysteine (NAC) or Chelex (a metal chelating agent,
which removes a large suite of metals from PM1) prevented the increase of PM1
inudced ROS generation and autophagy, and down-regulated the expression of
surfactant proteins B and C. CONCLUSION: PM1, particularly PM1 with high
concentrations of transition metals, such as Fe, Cu and Mn, induces oxidative
damage and autophagy, as well as inhibits surfactant protein B and C expressions
in lung alveolar type II epithelial cells. GENERAL SIGNIFICANCE: This study will
help to understand the mechanism underlying the toxicological effects of PM1 in
lung alveolar type II epithelial cells. This article is part of a Special Issue
entitled Air Pollution, edited by Wenjun Ding, Andrew J. Ghio and Weidong Wu.
PMID- 27208423
TI - TRAF3 signaling: Competitive binding and evolvability of adaptive viral molecular
mimicry.
AB - BACKGROUND: The tumor necrosis factor receptor (TNFR) associated factor 3 (TRAF3)
is a key node in innate and adaptive immune signaling pathways. TRAF3 negatively
regulates the activation of the canonical and non-canonical NF-kappaB pathways
and is one of the key proteins in antiviral immunity. SCOPE OF REVIEW: Here we
provide a structural overview of TRAF3 signaling in terms of its competitive
binding and consequences to the cellular network. For completion, we also include
molecular mimicry of TRAF3 physiological partners by some viral proteins. MAJOR
CONCLUSIONS: By out-competing host partners, viral proteins aim to subvert TRAF3
antiviral action. Mechanistically, dynamic, competitive binding by the organism's
own proteins and same-site adaptive pathogen mimicry follow the same
conformational selection principles. GENERAL SIGNIFICANCE: Our premise is that
irrespective of the eliciting event - physiological or acquired pathogenic trait
pathway activation (or suppression) may embrace similar conformational
principles. However, even though here we largely focus on competitive binding at
a shared site, similar to physiological signaling other pathogen subversion
mechanisms can also be at play. This article is part of a Special Issue entitled
"System Genetics" Guest Editor: Dr. Yudong Cai and Dr. Tao Huang.
PMID- 27208424
TI - Investigation of the roles of trace elements during hepatitis C virus infection
using protein-protein interactions and a shortest path algorithm.
AB - BACKGROUND: Hepatitis is a type of infectious disease that induces inflammation
of the liver without pinpointing a particular pathogen or pathogenesis. Type C
hepatitis, as a type of hepatitis, has been reported to induce cirrhosis and
hepatocellular carcinoma within a very short amount of time. It is a great threat
to human health. Some studies have revealed that trace elements are associated
with infection with and immune rejection against hepatitis C virus (HCV).
However, the mechanism underlying this phenomenon is still unclear. METHODS: In
this study, we aimed to expand our knowledge of this phenomenon by designing a
computational method to identify genes that may be related to both HCV and trace
element metabolic processes. The searching procedure included three stages.
First, a shortest path algorithm was applied to a large network, constructed by
protein-protein interactions, to identify potential genes of interest. Second, a
permutation test was executed to exclude false discoveries. Finally, some rules
based on the betweenness and associations between candidate genes and HCV and
trace elements were built to select core genes among the remaining genes.
RESULTS: 12 lists of genes, corresponding to 12 types of trace elements, were
obtained. These genes are deemed to be associated with HCV infection and trace
elements metabolism. CONCLUSIONS: The analyses indicate that some genes may be
related to both HCV and trace element metabolic processes, further confirming the
associations between HCV and trace elements. The method was further tested on
another set of HCV genes, the results indicate that this method is quite
robustness. GENERAL SIGNIFICANCE: The newly found genes may partially reveal
unknown mechanisms between HCV infection and trace element metabolism. This
article is part of a Special Issue entitled "System Genetics" Guest Editor: Dr.
Yudong Cai and Dr. Tao Huang.
PMID- 27208425
TI - Analysis of the chemical toxicity effects using the enrichment of Gene Ontology
terms and KEGG pathways.
AB - BACKGROUND: Chemical toxicity is one of the major barriers for designing and
detecting new chemical entities during drug discovery. Unexpected toxicity of an
approved drug may lead to withdrawal from the market and significant loss of the
associated costs. Better understanding of the mechanisms underlying various
toxicity effects can help eliminate unqualified candidate drugs in early stages,
allowing researchers to focus their attention on other more viable candidates.
METHODS: In this study, we aimed to understand the mechanisms underlying several
toxicity effects using Gene Ontology (GO) terms and KEGG pathways. GO term and
KEGG pathway enrichment theories were adopted to encode each chemical, and the
minimum redundancy maximum relevance (mRMR) was used to analyze the GO terms and
the KEGG pathways. Based on the feature list obtained by the mRMR method, the
most related GO terms and KEGG pathways were extracted. RESULTS: Some important
GO terms and KEGG pathways were uncovered, which were concluded to be significant
for determining chemical toxicity effects. CONCLUSIONS: Several GO terms and KEGG
pathways are highly related to all investigated toxicity effects, while some are
specific to a certain toxicity effect. GENERAL SIGNIFICANCE: The findings in this
study have the potential to further our understanding of different chemical
toxicity mechanisms and to assist scientists in developing new chemical toxicity
prediction algorithms. This article is part of a Special Issue entitled "System
Genetics" Guest Editor: Dr. Yudong Cai and Dr. Tao Huang.
PMID- 27208427
TI - Preparation of ribosomes for smFRET studies: A simplified approach.
AB - During the past decade, single-molecule studies of the ribosome have
significantly advanced our understanding of protein synthesis. The broadest
application of these methods has been towards the investigation of ribosome
conformational dynamics using single-molecule Forster resonance energy transfer
(smFRET). The recent advances in fluorescently labeled ribosomes and translation
components have resulted in success of smFRET experiments. Various methods have
been employed to target fluorescent dyes to specific locations within the
ribosome. Primarily, these methods have involved additional steps including
subunit dissociation and/or full reconstitution, which could result in ribosomes
of reduced activity and translation efficiency. In addition, substantial time and
effort are required to produce limited quantities of material. To enable rapid
and large-scale production of highly active, fluorescently labeled ribosomes, we
have developed a procedure that combines partial reconstitution with His-tag
purification. This allows for a homogeneous single-step purification of mutant
ribosomes and subsequent integration of labeled proteins. Ribosomes produced with
this method are shown to be as active as ribosomes purified using classical
methods. While we have focused on two labeling sites in this report, the method
is generalizable and can in principle be extended to any non-essential ribosomal
protein.
PMID- 27208428
TI - Opposing roles of TGF-beta and EGF in the regulation of TRAIL-induced apoptosis
in human breast epithelial cells.
AB - Transforming growth factor-beta (TGF-beta) induces the epithelial to mesenchymal
transition (EMT) in breast epithelial cells and plays an important role in
mammary morphogenesis and breast cancer. In non-transformed breast epithelial
cells TGF-beta antagonizes epidermal growth factor (EGF) action and induces
growth inhibition. Tumor necrosis factor-related apoptosis-inducing ligand
(TRAIL) has been reported to participate in lumen formation during morphogenesis
of human breast epithelial cells. Our previous work indicated that sensitivity of
human breast epithelial cells to TRAIL can be modulated through the activation of
the epidermal growth factor receptor-1 (EGFR). Here, we show that TGF-beta
opposes EGF-mediated sensitization to TRAIL-induced caspase-8 activation and
apoptosis in non-transformed breast epithelial cells. Death-inducing signalling
complex (DISC) formation by TRAIL was significantly reduced in cells treated with
TGF-beta. TGF-beta treatment activates cytoprotective autophagy and down
regulates TRAIL-R2 expression at the cell surface by promoting the intracellular
accumulation of this receptor. Lastly, we demonstrate that EMT is not involved in
the inhibitory effect of TGF-beta on apoptosis by TRAIL. Together, the data
reveal a fine regulation by EGF and TGF-beta of sensitivity of human breast
epithelial cells to TRAIL which may be relevant during morphogenesis.
PMID- 27208429
TI - Issues in diagnosis of small B cell lymphoid neoplasms involving the bone marrow
and peripheral blood. Report on the Bone Marrow Workshop of the XVIIth meeting of
the European Association for Haematopathology and the Society for
Hematopathology.
AB - Small B cell lymphoid neoplasms are the most common lymphoproliferative disorders
involving peripheral blood (PB) and bone marrow (BM). The Bone Marrow Workshop
(BMW) organized by the European Bone Marrow Working Group (EBMWG) of the European
Association for Haematopathology (EAHP) during the XVIIth EAHP Meeting in
Istanbul, October 2014, was dedicated to discussion of cases illustrating how the
recent advances in immunophenotyping, molecular techniques and cytogenetics
provide better understanding and classification of these entities. Submitted
cases were grouped into following categories: (i) cases illustrating diagnostic
difficulties in chronic lymphocytic leukaemia (CLL); (ii) cases of BM
manifestations of small B cell lymphoid neoplasms other than CLL; (iii)
transformation of small B cell lymphoid neoplasms in the BM; and (iv)
multiclonality and composite lymphomas in the BM. This report summarizes
presented cases and conclusions of the BMW and provides practical recommendations
for classification of the BM manifestations of small B cell lymphoid neoplasms
based on the current state of knowledge.
PMID- 27208430
TI - Natural killer cells contribute to hepatic injury and help in viral persistence
during progression of hepatitis B e-antigen-negative chronic hepatitis B virus
infection.
AB - Hepatitis B e-antigen negative (e(-)) chronic HBV infection (CHI) encompasses a
heterogeneous clinical spectrum ranging from inactive carrier (IC) state to e(-)
chronic hepatitis B (CHB), cirrhosis and hepatic decompensation. In the backdrop
of dysfunctional virus-specific T cells, natural killer (NK) cells are emerging
as innate effectors in CHI. We characterized CD3(-) CD56(+) NK cells in
clinically well-defined, treatment-naive e(-) patients in IC, e(-)CHB or
decompensated liver cirrhosis (LC) phase to appraise their role in disease
progression. The NK cell frequencies increased progressively with disease
severity (IC 8.2%, e(-)CHB 13.2% and LC 14.4%). Higher proportion of NK cells
from LC/e(-)CHB expressed CD69, NKp46, NKp44, TRAIL and perforin, the last two
being prominent features of CD56(bright) and CD56(dim) NK subsets, respectively.
The frequencies of CD3(-) CD56(+) NK cells together with TRAIL(+) CD56(bright)
and Perforin(+) CD56(dim) NK cells correlated positively with serum alanine
transaminase levels in e(-)CHB/LC. K562 cell-stimulated NK cells from e(-)CHB/LC
exhibited significantly greater degranulation but diminished interferon-gamma
production than IC. Further, Perforin(+) NK cell frequency inversely correlated
with autologous CD4(+) T-cell count in e(-) patients and ligands of NK receptors
were over-expressed in CD4(+) T cells from e(-)CHB/LC relative to IC. Co-culture
of sorted CD56(dim) NK cells and CD4(+) T cells from e(-)CHB showed enhanced
CD4(+) T-cell apoptosis, which was reduced by perforin inhibitor, concanamycin A,
suggesting a possible perforin-dependent NK cell-mediated CD4(+) T-cell
depletion. Moreover, greater incidence of perforin-expressing NK cells and
decline in CD4(+) T cells were noticed intrahepatically in e(-)CHB than IC.
Collectively, NK cells contribute to the progression of e(-)CHI by enhanced TRAIL
and perforin-dependent cytolytic activity and by restraining anti-viral immunity
through reduced interferon-gamma secretion and perforin-mediated CD4(+) T-cell
lysis.
PMID- 27208426
TI - Live-cell imaging approaches for the investigation of xenobiotic-induced oxidant
stress.
AB - BACKGROUND: Oxidant stress is arguably a universal feature in toxicology.
Research studies on the role of oxidant stress induced by xenobiotic exposures
have typically relied on the identification of damaged biomolecules using a
variety of conventional biochemical and molecular techniques. However, there is
increasing evidence that low-level exposure to a variety of toxicants
dysregulates cellular physiology by interfering with redox-dependent processes.
SCOPE OF REVIEW: The study of events involved in redox toxicology requires
methodology capable of detecting transient modifications at relatively low signal
strength. This article reviews the advantages of live-cell imaging for redox
toxicology studies. MAJOR CONCLUSIONS: Toxicological studies with xenobiotics of
supra-physiological reactivity require careful consideration when using
fluorogenic sensors in order to avoid potential artifacts and false negatives.
Fortunately, experiments conducted for the purpose of validating the use of these
sensors in toxicological applications often yield unexpected insights into the
mechanisms through which xenobiotic exposure induces oxidant stress. GENERAL
SIGNIFICANCE: Live-cell imaging using a new generation of small molecule and
genetically encoded fluorophores with excellent sensitivity and specificity
affords unprecedented spatiotemporal resolution that is optimal for redox
toxicology studies. This article is part of a Special Issue entitled Air
Pollution, edited by Wenjun Ding, Andrew J. Ghio and Weidong Wu.
PMID- 27208431
TI - Erythropoietin exerts direct immunomodulatory effects on the cytokine production
by activated human T-lymphocytes.
AB - The effect of erythropoietin-beta (Epo-beta) on the functional profile of
activated human T-lymphocytes remains largely unknown, which hinders clinical
application of Epo as an immunomodulatory agent. We studied the direct impact of
Epo on the activation status of human T lymphocytes following activation by
particles loaded with antibodies (Abs) against human CD2, CD3, and CD28. T cell
activation was assessed by the surface expression of CD38 activation marker. Epo
did not significantly affect activation status of both CD4(+) and CD4(-) T cells,
as well as of naive (CD45RA(+)CD197(+)), central memory (CD45RA(-)CD197(+)),
effector memory (CD45RA(-)CD197(-)), and terminally-differentiated
(CD45RA(+)CD197(-)) T cells. However, Epo markedly augmented production of IL-2,
IL-4 and IL10 by activated T cells with concomitant reduction in IFN-gamma
secretion. Taken together, our data showed that Epo could directly down-regulate
pro-inflammatory T cell responses without affecting T cell activation status.
PMID- 27208432
TI - PI3k/Akt signalling pathway plays a crucial role in the anti-inflammatory effects
of curcumin in LPS-activated microglia.
AB - Microglia are resident macrophages in the central nervous system (CNS) deputed to
defend against pathogens. Persistent or acute inflammation of microglia leads to
CNS disorders, so regulation of pro-inflammatory responses of microglial cells is
thought to be a promising therapeutic strategy to attenuate abnormal inflammatory
responses observed in neurodegenerative disease. We hypothesized that curcumin
supplementation could reduce the inflammatory responses of activated microglial
cells modulating PI3K/Akt pathway. Different curcumin concentrations were
administered as BV-2 microglia pre-treatment 1h prior to LPS stimulation. Nitric
oxide (NO) and inducible nitric oxide synthase (iNOS) expression were determined
by Griess reagent and western blotting, respectively. Inflammatory cytokines
release was evaluated by ELISA and qRT-PCR. PI3K/Akt expression was analyzed by
western blotting analysis. Curcumin significantly attenuated, in a dose-dependent
manner, LPS-induced release of NO and pro-inflammatory cytokines, as well as iNOS
expression. Interestingly, curcumin was able to reduce, again in a dose-dependent
manner, PI3K/Akt phosphorylation as well as NF-kappaB activation in LPS-activated
microglial cells. Overall these results suggest that curcumin plays an important
role in the attenuation of LPS-induced inflammatory responses in microglial cells
and that the mechanisms involve down-regulation of the PI3K/Akt signalling.
PMID- 27208434
TI - Structural characterization of the major ampullate silk spidroin-2 protein
produced by the spider Nephila clavipes.
AB - Major ampullate spidroin-2 (MaSp2) is one of the most important spider silk
protein, but up to now no information is available regarding the post
translational modifications (PTMs) of this protein. A gel-based mass spectrometry
strategy using collision-induced dissociation (CID) and electron-transfer
dissociation (ETD) fragmentation methods was used to sequence Nephila clavipes
MaSp2 (including the N- and C-terminal non-repetitive domains, and the great part
of the central core), and to assign a series of post-translational modifications
(PTMs) on to the MaSp2 sequence. Two forms of this protein were identified, with
different levels of phosphorylation along their sequences. These findings provide
a basis for understanding mechanoelastic properties and can support the future
design of recombinant spider silk proteins for biotechnological applications.
PMID- 27208433
TI - Targeting cytokines as a treatment for patients with sepsis: A lost cause or a
strategy still worthy of pursuit?
AB - Despite often knowing the aetiology of sepsis and its clinical course there has
not been the anticipated advances in treatment strategies. Cytokines are
influential mediators of immune/inflammatory reactions and in patients with
sepsis high circulating levels are implicated in the onset and perpetuation of
organ failure. Antagonising the activities of pro-inflammatory cytokines enhances
survival in animal models of sepsis but, so far, such a therapeutic strategy has
not improved patient outcome. This article addresses the questions of why
encouraging laboratory findings have failed to be translated into successful
treatments of critically ill patients and whether modifying cytokine activity
still remains a promising avenue for therapeutic advance in severe sepsis. In
pursuing this task we have selected reports that we believe provide an incisive,
critical and balanced view of the topic.
PMID- 27208436
TI - Revisiting the Stober method: Design of nitrogen-doped porous carbon spheres from
molecular precursors of different chemical structures.
AB - Porous polymer resins and carbon spheres have been successfully prepared by an
extended Stober method using phenol derivatives of different functionality.
Herein, the Stober method is revised and used for the preparation of phenolic
resin spheres from a series of hetero-atoms containing phenol derivatives (such
as nitrophenol, aminophenol and halide-substituted phenols), which upon
carbonization are converted to heteroatom-doped carbon spheres. The use of 4
amino-3-nitrophenol affords monodispersed carbon spheres with unprecedentedly
high nitrogen content of about 11.9wt%. In this synthesis phenolic resin is
initially formed by polymerizing formaldehyde with one of the aforementioned
phenol derivatives, which acts as a resin precursor and source of heteroatoms to
be doped. When amino group in aminophenol is in meta position the monodisperse
phenolic resin and subsequently converted-doped carbon spheres are obtained. The
resultant carbon spheres were examined as potential CO2 adsorbents and electrode
materials for supercapacitors, reaching CO2 uptake of 89cm(3) STP/g (at 273K and
1.0bar), and the electrochemical capacitance of 127 F/g under basic conditions,
respectively. This study provides some guidelines for design of carbon spheres by
selecting phenolic resin precursors with desired molecular structures and
functionalities for specific applications.
PMID- 27208435
TI - A novel systems pharmacology platform to dissect action mechanisms of traditional
Chinese medicines for bovine viral diarrhea disease.
AB - Due to the large direct and indirect productivity losses in the livestock
industry caused by bovine viral diarrhea (BVD) and the lack of effective
pharmacological therapies, developing an efficient treatment is extremely urgent.
Traditional Chinese medicines (TCMs) that simultaneously address multiple targets
have been proven to be effective therapies for BVD. However, the potential
molecular action mechanisms of TCMs have not yet been systematically explored. In
this work, take the example of a herbal remedy Huangqin Zhizi (HQZZ) for BVD
treatment in China, a systems pharmacology approach combining with the
pharmacokinetics and pharmacodynamics evaluation was developed to screen out the
active ingredients, predict the targets and analyze the networks and pathways.
Results show that 212 active compounds were identified. Utilizing these lead
compounds as probes, we predicted 122 BVD related-targets. And in vitro
experiments were conducted to evaluate the reliability of some vital active
compounds and targets. Network and pathway analysis displayed that HQZZ was
effective in the treatment of BVD by inhibiting inflammation, enhancing immune
responses in hosts toward virus infection. In summary, the analysis of the
complete profile of the pharmacological activities, as well as the elucidation of
targets, networks and pathways can further elucidate the underlying anti
inflammatory, antiviral and immune regulation mechanisms of HQZZ against BVD.
PMID- 27208437
TI - Identification of the major allergenic epitopes of Eriocheir sinensis roe
hemocyanin: A novel tool for food allergy diagnoses.
AB - Crab meat and roe are highly nutritious delicacies in China. While extensive
research has been conducted for allergens derived from crab-meat, data relevant
to the allergenic potential of crab roe derived proteins, of which hemocyanin is
a principal contender, are almost entirely absent. Using bioinformatics
prediction and IgE-binding assays, the three principal immunodominant epitopes of
hemocyanin were identified and then combined as a single recombinant fusion
protein (rHc). This together with the full-length recombinant protein (Hc) were
expressed in Escherichia coli and subsequently identified by SDS-PAGE and
immunoblotting. Ninety-five percent of our patients were found to carry rHc
specific IgE antibodies by ELISA. Dot-blot inhibition, together with ELISA
inhibition studies, showed that pre-incubation of patient sera with the
recombinant epitope protein could inhibit26% to 63% (mean: 50%) of IgE binding to
immobilized, full-length Hc and the dose-response curve represents as a sigmoid
shape. The recombinant protein (rHc) represents a versatile biologic tool with
which to diagnose and investigate therapies for E. sinensis allergy.
PMID- 27208438
TI - A biokinetic model for nickel released from cardiovascular devices.
AB - Many alloys used in cardiovascular device applications contain high levels of
nickel, which if released in sufficient quantities, can lead to adverse health
effects. While nickel release from these devices is typically characterized
through the use of in-vitro immersion tests, it is unclear if the rate at which
nickel is released from a device during in-vitro testing is representative of the
release rate following implantation in the body. To address this uncertainty, we
have developed a novel biokinetic model that combines a traditional toxicokinetic
compartment model with a physics-based model to estimate nickel release from an
implanted device. This model links the rate of in-vitro nickel release from a
cardiovascular device to serum nickel concentrations, an easily measured
endpoint, to estimate the rate and extent of in-vivo nickel release from an
implanted device. The model was initially parameterized using data in the
literature on in-vitro nickel release from a nickel-containing alloy (nitinol)
and baseline serum nickel levels in humans. The results of this first step were
then used to validate specific components of the model. The remaining unknown
quantities were fit using serum values reported in patients following
implantation with nitinol atrial occluder devices. The model is not only
consistent with levels of nickel in serum and urine of patients following
treatment with the atrial occluders, but also the optimized parameters in the
model were all physiologically plausible. The congruity of the model with
available data suggests that it can provide a framework to interpret nickel
biomonitoring data and use data from in-vitro nickel immersion tests to estimate
in-vivo nickel release from implanted cardiovascular devices.
PMID- 27208439
TI - Regulatory bioinformatics for food and drug safety.
AB - "Regulatory Bioinformatics" strives to develop and implement a standardized and
transparent bioinformatic framework to support the implementation of existing and
emerging technologies in regulatory decision-making. It has great potential to
improve public health through the development and use of clinically important
medical products and tools to manage the safety of the food supply. However, the
application of regulatory bioinformatics also poses new challenges and requires
new knowledge and skill sets. In the latest Global Coalition on Regulatory
Science Research (GCRSR) governed conference, Global Summit on Regulatory Science
(GSRS2015), regulatory bioinformatics principles were presented with respect to
global trends, initiatives and case studies. The discussion revealed that
datasets, analytical tools, skills and expertise are rapidly developing, in many
cases via large international collaborative consortia. It also revealed that
significant research is still required to realize the potential applications of
regulatory bioinformatics. While there is significant excitement in the
possibilities offered by precision medicine to enhance treatments of serious
and/or complex diseases, there is a clear need for further development of
mechanisms to securely store, curate and share data, integrate databases, and
standardized quality control and data analysis procedures. A greater
understanding of the biological significance of the data is also required to
fully exploit vast datasets that are becoming available. The application of
bioinformatics in the microbiological risk analysis paradigm is delivering clear
benefits both for the investigation of food borne pathogens and for decision
making on clinically important treatments. It is recognized that regulatory
bioinformatics will have many beneficial applications by ensuring high quality
data, validated tools and standardized processes, which will help inform the
regulatory science community of the requirements necessary to ensure the safe
introduction and effective use of these applications.
PMID- 27208440
TI - Electrosprayed nanocomposites based on hyaluronic acid derivative and Soluplus
for tumor-targeted drug delivery.
AB - Nanocomposite (NC) based on hyaluronic acid-ceramide (HACE) and Soluplus (SP) was
fabricated by electrospraying for the tumor-targeted delivery of resveratrol
(RSV). Amphiphilic property of both HACE and SP has been used to entrap RSV in
the internal cavity of NC. Electrospraying with established experimental
conditions produced HACE/SP/RSV NC with 230nm mean diameter, narrow size
distribution, negative zeta potential, and >80% drug entrapment efficiency.
Sustained and pH-dependent drug release profiles were observed in drug release
test. Cellular uptake efficiency of HACE/SP NC was higher than that of SP NC,
mainly based on HA-CD44 receptor interaction, in MDA-MB-231 (CD44 receptor
positive human breast cancer) cells. Selective tumor targetability of HACE/SP NC,
compared to SP NC, was also confirmed in MDA-MB-231 tumor-xenograted mouse model
using a near-infrared fluorescence (NIRF) imaging. According to the results of
pharmacokinetic study in rats, decreased in vivo clearance and increased half
life of RSV in NC group, compared to drug solution group, were shown. Given that
these experimental results, developed HACE/SP NC can be a promising theranostic
nanosystem for CD44 receptor-expressed cancers.
PMID- 27208441
TI - Zwitterionic polymer brushes via dopamine-initiated ATRP from PET sheets for
improving hemocompatible and antifouling properties.
AB - A low-fouling zwitterionic surface strategy has been proven to be promising and
effective for repelling nonspecific adsorption of proteins, cells and bacteria,
which may eventually induce adverse pathogenic problems such as thrombosis and
infection. Herein, a multi-step process was developed by a combination of mussel
inspired chemistry and surface-initiated atom transfer radical polymerization (SI
ATRP) technique for improving hemocompatible and anti-biofouling properties.
Polyethylene terephthalate (PET) sheets were first treated with dopamine, and
then the bromoalkyl initiators were immobilized on the poly(dopamine)
functionalized surfaces, followed by surface-initiated activators regenerated by
electron transfer atom transfer radical polymerization (ARGET ATRP) of 2
(dimethylamino) ethyl methacrylate (DMAEMA) monomer. Subsequently, the resulting
PET sheets were ring-opening reacted with 1,3-propiolactone (PL) and 1,3
propanesultone (PS) to afford polycarboxybetaine and polysulfobetaine brushes,
respectively. Characterizations of the PET sheets were undertaken by attenuated
total reflection Fourier transform infrared spectroscopy (ATR-FTIR), atomic force
microscope (AFM), water contact angle (WCA) measurements, and X-ray photoelectron
spectroscopy (XPS) analysis, respectively. The conversion rates of PDMAEMA to
polyzwitterions were evaluated by XPS analysis. The remained PDMAEMA(weak
cationic) and formed zwitterions(neutral) would form a synergetic antifouling and
antibacterial surface. Hemocompatible and anti-biofouling properties were
evaluated by total adsorption of protein as well as the adhesion of platelet,
cell and bacterium. Zwitterionic polymer brushes grafted PET sheets showed
outstanding hemocompatibility featured on reduced platelet adhesion and repelled
protein adsorption. Meanwhile, the grafted PET sheets exerted excellent anti
biofouling property characterized by the resisted adhesion of Escherichia coli
and 3T3 cells. In summary, zwitterionic polymer brushed modified PET sheets have
a great potential for biomedical applications.
PMID- 27208442
TI - Formation of bioactive N-doped TiO2 on Ti with visible light-induced
antibacterial activity using NaOH, hot water, and subsequent ammonia atmospheric
heat treatment.
AB - Titanium (Ti) treated with NaOH and hot water, and heated in an ammmonia (NH3)
gas atmosphere for 1 or 3h exhibited in vitro apatite formation within 7days when
soaked in simulated body fluid (SBF). Moreover, the treated Ti decomposed
methylene blue and showed excellent bactericidal activity against Escherichia
coli under visible light irradiation. The surface treatment resulted in the
formation of a fine network of N-doped anatase-type titania (TiO2-xNx) on the Ti
surface, which was responsible for both the apatite formation in SBF and the
visible light-induced antibacterial activity. These preliminary results highlight
the efficacy of our simple method for producing novel bioactive Ti with visible
light-induced antibacterial activity, which could be applied to orthopaedic and
dental implants without the risk of infection.
PMID- 27208443
TI - Surface modification with zwitterionic cysteine betaine for nanoshell-assisted
near-infrared plasmonic hyperthermia.
AB - Nanoparticles decorated with biocompatible coatings have received considerable
attention in recent years for their potential biomedical applications. However,
the desirable properties of nanoparticles for in vivo uses, such as colloidal
stability, biodistribution, and pharmacokinetics, require further research. In
this work, we report a bio-derived zwitterionic surface ligand, cysteine betaine
(Cys-b) for the modification of hollow gold-silver nanoshells, giving rise to
hyperthermia applications. Cys-b coatings on planar substrates and nanoshells
were compared to conventional (11-mercaptoundecyl)tri(ethylene glycol) (OEG
thiol) to investigate their effects on the fouling resistance, colloidal
stability, environmental tolerance, and photothermal properties. The results
found that Cys-b and OEG-thiol coatings exhibited comparable antifouling
properties against bacteria of gram-negative Pseudomonas aeruginosa (P.
aeruginosa) and gram-positive Staphylococcus epidermidis (S. epidermidis), NIH
3T3 fibroblasts, and bovine serum albumin. However, when the modified nanoshells
were suspended at a temperature of 50 degrees C in aqueous 3M NaCl solutions,
shifts in the extinction maximum of the OEG-capped nanoshells with time were
observed, while the corresponding spectra of nanoshells capped with Cys-b
generally remained unchanged. In addition, when the nanoshells were continuously
exposed to NIR irradiation, the temperature of the solution containing nanoshells
capped with Cys-b increased to a plateau of 54 degrees C, while that of the OEG
capped nanoshells gradually decreased after reaching a peak temperature.
Accordingly, the Cys-b nanoshells were conjugated with anti-HER2 antibodies for
targeted delivery to HER2-positive MDA-MB-453 breast cancer cells for
hyperthermia treatment. The results showed the selective delivery and effective
photothermal cell ablation with the antibody-conjugated Cys-b nanoshells.
Therefore, this work demonstrated the promise of bio-derived zwitterionic Cys-b
as a stable and biocompatible surface coating for materials in nanomedicine.
PMID- 27208444
TI - Assessing the potential of surface-immobilized molecular logic machines for
integration with solid state technology.
AB - Molecular computation with DNA has great potential for low power, highly parallel
information processing in a biological or biochemical context. However,
significant challenges remain for the field of DNA computation. New technology is
needed to allow multiplexed label-free readout and to enable regulation of
molecular state without addition of new DNA strands. These capabilities could be
provided by hybrid bioelectronic systems in which biomolecular computing is
integrated with conventional electronics through immobilization of DNA machines
on the surface of electronic circuitry. Here we present a quantitative
experimental analysis of a surface-immobilized OR gate made from DNA and driven
by strand displacement. The purpose of our work is to examine the performance of
a simple representative surface-immobilized DNA logic machine, to provide
valuable information for future work on hybrid bioelectronic systems involving
DNA devices. We used a quartz crystal microbalance to examine a DNA monolayer
containing approximately 5*10(11)gatescm(-2), with an inter-gate separation of
approximately 14nm, and we found that the ensemble of gates took approximately
6min to switch. The gates could be switched repeatedly, but the switching
efficiency was significantly degraded on the second and subsequent cycles when
the binding site for the input was near to the surface. Otherwise, the switching
efficiency could be 80% or better, and the power dissipated by the ensemble of
gates during switching was approximately 0.1nWcm(-2), which is orders of
magnitude less than the power dissipated during switching of an equivalent array
of transistors. We propose an architecture for hybrid DNA-electronic systems in
which information can be stored and processed, either in series or in parallel,
by a combination of molecular machines and conventional electronics. In this
architecture, information can flow freely and in both directions between the
solution-phase and the underlying electronics via surface-immobilized DNA
machines that provide the interface between the molecular and electronic domains.
PMID- 27208445
TI - Brain SPECT guided repetitive transcranial magnetic stimulation (rTMS) in
treatment resistant major depressive disorder.
AB - Repetitive transcranial magnetic stimulation (rTMS) has emerged as a potential
treatment in treatment resistant major depressive disorder (MDD). However, there
is no consensus about the exact site of stimulation for rTMS. Single-photon
emission computed tomography (SPECT) offers a potential technique in deciding the
site of stimulation. The present study was conducted to assess the difference in
outcome of brain SPECT assisted rTMS versus standard protocol of twenty sessions
of high frequency rTMS as add on treatment in 20 patients with treatment
resistant MDD, given over a period of 4 weeks. Thirteen subjects (group I)
received high frequency rTMS over an area of hypoperfusion in the prefrontal
cortex, as identified on SPECT, whereas 7 subjects (group II) were administered
rTMS in the left dorsoslateral prefrontal cortex (DLPFC) area. Improvement was
monitored using standardized instruments. Patients in the group I showed a
significantly better response compared to those in the group II. In group I, 46%
of the subjects were responders on MADRS, 38% on BDI and 77% on CGI. The parallel
figures of responders in Group II were 0% on MADRS, 14% on BDI and 43% on CGI.
There were no remitters in the study. No significant untoward side effects were
noticed. The study had limitations of a small sample size and non-controlled
design, and all the subjects were also receiving the standard antidepressant
therapy. Administration of rTMS over brain SPECT specified area of hypoperfusion
may have a better clinical outcome compared to the standard protocol.
PMID- 27208446
TI - Internet snapshot survey: A novel methodology to monitor novel psychotropic
substances and its need in Asia.
AB - Recently there has been upsurge in the use of novel psychoactive substances,
commonly known as legal highs. There is limited data available on the use and
availability of these substances. Internet snapshot methodology has been
successfully used in Europe and America to understand rapidly adapting internet
based drug market but no data is available from Asian region. Hence there is need
of application of similar methodology in Asia to explore and gauge the problem
statement about these substances.
PMID- 27208447
TI - The How I Think questionnaire: Assessing its psychometric properties in
Bangladeshi culture.
AB - There is growing importance of the How I Think (HIT) questionnaire in clinical
practice and cognitive research. Since the development of the HIT (Barriga and
Gibbs, Aggress. Behav., 1996; 22: 333-343), a number of validation studies have
been done in various cultures. The aim of the present study was to translate the
instrument into Bangla and validate in Bangladeshi culture. A total of 200
adolescents participated in the study. Exploratory factor analysis (EFA) of the
data from 191 participants (88 girls and 103 boys; who provided complete
responses) identified a four-factor structure of the HIT with 27 items. The four
factors namely 'Catastrophizing and mislabeling', 'Emotional reasoning', 'Self
centeredness and blaming' and 'Overgeneralization' together explained 39.611% of
the total variance. In line with the original scale we also defined four types of
antisocial behavior. The HIT and its factors showed acceptable to good internal
consistency (Cronbach's alpha=.83 for the HIT, and .40-.77 for its factors), and
strong construct validity as revealed by the evidence of convergent and
discriminant validity. Thus the Bangla version HIT appears to be valid and
reliable, and therefore may be used in further research on cognitive distortions
and antisocial behaviors in Bangladesh.
PMID- 27208448
TI - Comparing mirror neuron system activity between sporadic and familial cases of
schizophrenia.
AB - Schizophrenia is a heterogenous disorder, and has often been subtyped on the
basis of family history of psychotic disorders. Compared to those without, a
positive family history is associated with an earlier age of onset, greater
structural brain abnormalities and poorer clinical course. Given recent emphasis
on mirror neuron system (MNS) in attempting to explain psychopathology in
schizophrenia; present analysis tried to tease out differences in MNS functioning
between these two groups. With ethical approval, 10 consenting right-handed
patients with schizophrenia (ICD-10-DCR; M=8; Drug-naive=2) were recruited and
divided into two groups of five each (M=4, F=1): those with (age 29.40+/-5.85
years, duration of illness 50.80+/-30.84 months) and without (age 29.60+/-5.77
years, duration of illness 43.20+/-43.76 months) family history of schizophrenic
illness (group difference p>0.05). MNS activity was assessed using event-related
desynchronization of EEG Mu waves in response to biological motion on 192-channel
EEG Neurofax EEG-1100K. On comparison, while patients had significantly lower mu
suppression compared to controls (p<0.001); two schizophrenia groups did not
differ between themselves, neither on MNS activity nor on psychopathology
(p>0.05). Present study replicates finding of a dysfunctional MNS in
schizophrenia patients, and represents a preliminary attempt at comparing two
groups of symptomatic schizophrenia patients. In both these groups, MNS
dysfunctions were comparable, and commensurate with respect to psychopathology.
Thus, MNS dysfunction in schizophrenia might either be inherited or acquired.
However, this abnormality forms a common base, and ultimate vulnerability marker,
for development of psychopathology during active disease states.
PMID- 27208449
TI - FDA changes clozapine monitoring guidelines: Implications for worldwide practice.
AB - US FDA decision to change their clozapine monitoring guidelines in 2015 for the
first time. The changes proposed are as follows: lowering the neutrophil count
before ceasing clozapine from 1.5 to 1.0*10(9)/l, allowing the potential for re
challenge following severe neutropenia (<1.0*10(9)/l) and allowing those with
benign ethnic neutropenia the opportunity to be commenced on clozapine. These
changes will allow a greater number of patients with schizophrenia in USA to be
continued on clozapine. In our correspondence we summarize the evidence that
support these changes. The FDA changes will likely have impact on clozapine
monitoring protocols in other countries.
PMID- 27208450
TI - Comedy to sleazy horror: No end to cinema's stigmatizing and ridiculing attitude
towards mental illness and psychiatry.
PMID- 27208451
TI - Psychiatric comorbities in comorbid bipolar disorder and obsessive-compulsive
disorder patients.
PMID- 27208453
TI - Use of transcranial direct current stimulation (tDCS) in a woman with behavioral
variant fronto-temporal dementia.
PMID- 27208452
TI - Male sex may be associated with higher metabolic risk in first-episode
schizophrenia patients: A preliminary study.
AB - BACKGROUND: High incidence of metabolic syndrome has been evidenced in
schizophrenia patients. However, gender-specific relationship with risk of
metabolic disorders in first-episode schizophrenia has received poor systematic
study. AIM: We aimed at exploring the impact of sex difference on the parameters
of glucolipid metabolism in first-episode psychosis schizophrenia (FEP) patients.
METHODS: We performed a post hoc analysis of data from our previously performed
clinical trial. A total of 60 FEP patients and 28 healthy sex- and age-matched
volunteers were included. Blood glucose and lipid metabolic profiles, as well as
schizophrenia-related clinical symptoms were assessed. The body mass index, level
of blood insulin and the homeostasis model assessment-insulin resistance index
(HOMA-IR) were measured. RESULTS: The FEP patients demonstrated significant
increases in blood insulin concentration, insulin resistance and blood
triglyceride when compared with healthy controls. In FEP patients, there were no
differences in psychopathology measurements between the genders. BMI and HOMA-IR
were significantly greater in male vs female FEP patients. In addition, a more
severe dyslipidemia was also observed in male FEP patients, including an
increased triglyceride level, an augmented LDL content and a decreased HDL
concentration. Multivariate linear regression analysis demonstrated that the
gender was significantly correlated to HOMA-IR. CONCLUSION: These preliminary
results suggest that male FEP patients may be more predisposed to insulin
resistance and dyslipidemia than female FEP patients. These results could
contribute to the understanding of prevention and treatment of metabolic syndrome
in FEP patients.
PMID- 27208454
TI - Bipolar spectrum disorders in substance use disorders.
PMID- 27208455
TI - An exploratory clinical study of disruptive mood dysregulation disorder in
children and adolescents from India.
AB - BACKGROUND: Children with chronic non-episodic irritability were frequently
diagnosed as suffering from pediatric bipolar disorder. Therefore in DSM-5 a new
diagnosis of Disruptive Mood Dysregulation Disorder (DMDD) was included for such
children. AIMS: This study aimed to identify DMDD in children and adolescents in
Indian child and adolescent psychiatry clinic and elicit its phenomenology and co
morbidities. METHODS: Children of 6-16 years, presenting with irritability for
more than one year were assessed using DSM 5 diagnostic criteria to make a
diagnosis of DMDD. Severity of irritability was assessed using Affective
Reactivity index (ARI). Co-morbidities were screened on Kiddie Schedule for
Affective Disorders and Schizophrenia present and lifetime version (KSADS-PL) and
diagnosed as per DSM-IV TR criteria. Overall behavioral problems were assessed on
Conner's parent symptom questionnaire (CPSQ) and impairment in functioning on
children's global assessment of functioning scale(C-GAS). RESULTS: 21 subjects
were diagnosed with DMDD. Majority of the subjects (15, 71.4%) were in the age
group 6-12 years (mean age11.1+/-2.9 years) and were males (16, 76.2%). Most
common presenting complaints were, frequent irritability and anger outbursts in
21 (100%) and inattention towards studies in 20 (95.2%) subjects. Most of the
subjects (18, 85.7%) had moderate to severe irritability. 13 (61.9%) subjects had
co-morbidities. Mean CGAS was 46.1+/-6.9. CONCLUSION: DMDD can be diagnosed in
Indian children using DSM 5 criteria. Such children presented with complaints of
irritability and problems in studies. They commonly had co-morbidities and had
moderate impairment of functioning.
PMID- 27208456
TI - The relationship between personality traits and AIDS in patients with human
immunodeficiency virus.
AB - This study carried out to survey the relationship between personality traits and
Acquired Immunodeficiency Syndrome (AIDS) in patients with human immunodeficiency
virus. This case-control study was conducted on 79 AIDS patients of Triangle
Clinic in Arak (case group) and 80 healthy people of Valiasr Hospital in Arak
(control group). Demographic information checklist and Cloninger' Temperament and
Character inventory (TCI) were two instruments applied in the study. SPSS
software V.19 and tests independent t-tests, Chi squared and Spearman correlation
coefficient were used for data analysis with significant level of <0.05. The
average of innovativeness variables (M:74.12), harm avoidance (M: 65.17), reward
dependence (M:50.030), and self-directedness (M:35.02) in case group in
comparison with control group was significantly higher, and there was a
significant difference between two groups variables (P-0.000). The novelty
seeking had the highest average in the AIDS patients with a history of addiction
(M:74.00), and there was statistically significant difference between
perseverance variable (P-0.021) and cooperativeness variable (P-0.041) in the two
groups of AIDS patients. There was a significant relationship between novelty
seeking and age at the onset of AIDS (P-0.038), harm avoidance and age at the
onset of addiction (P-0.046), persistence and age at the onset of AIDS (P-0.035)
and the time infected with HIV (P-0.033). It is found that two groups are
different due to the personalities, so it is essential to consider the
personality traits in order to prevent AIDS and also successfully treat patients
suffering from AIDS.
PMID- 27208457
TI - Smartphone apps based psychotherapy in India: Potential benefits and pitfalls.
PMID- 27208458
TI - Is there a bi-directional relationship between depression and obesity among adult
men and women? Systematic review and bias-adjusted meta analysis.
AB - The rapidly increasing prevalence of both obesity and depression represent two
major public health concerns worldwide. But the evidence regarding the direction
and strength of the association between these two disorders, for both adult men
and women, are remain inconclusive. We systematically reviewed publications from
five different databases: Pubmed, Embase, BIOSIS, CINAHL and PsychINFO. A total
of 21 articles were included for the systematic review and 19 of them for the
meta-analysis using a bias-adjusted (quality effect) model. This resulted in the
inclusion of approximately 226,063 (33.7% men) participants. Those who were
depressed had a 37% (RR: 1.37, 95% CI: 1.17, 1.48) increased risk of being obese,
and who were obese had an 18% increased risk of being depressed (RR: 1.18, 95%
CI: 1.04, 1.35). Those who were depressed had a 2% (RD: 0.02, 95% CI: 0.01, 0.03)
excess risk of obesity, however, the reciprocal associations were not
significant. The association between overweight and depression was not found
significant in either direction. Both men and women were at risk of obesity and
depression bi-directionally. In sensitivity analyses bi-directional associations
were more pronounced among young and middle aged adults and in studies with
longer follow-up. The findings of this study suggest that the strength of the
association is greater for the direction leading from depression to obesity and
this link was more pronounced for young and middle aged women.
PMID- 27208459
TI - The promises and challenges of brain stimulation in psychiatry.
PMID- 27208460
TI - How reliable are ear measurements as a measure of outcome after pinnaplasty? A
prospective study of inter-rater reliability in 20 pinnaplasty patients.
PMID- 27208461
TI - On the necessity of new decision-making methods for cancer-associated,
symptomatic, pulmonary embolism.
AB - BACKGROUND: Acute symptomatic pulmonary embolism (PE) varies in its clinical
manifestations in patients with cancer and entails specific issues. The objective
is to assess the performance of five scores (PESI, sPESI, GPS, POMPE, and RIETE)
and a clinical decision rule to predict 30-day mortality. METHODS: This is an
ambispective, observational, multicenter study that collected episodes of PE in
patients with cancer from 13 Spanish centers. The main criterion for comparing
scales was the c-indices and 95% confidence intervals (CIs) of the models for
predicting 30-day mortality. RESULTS: 585 patients with acute symptomatic PE were
recruited. The 30-day mortality rate was 21.3 (95% CI; 18.2-24.8%). The specific
scales (POMPE-C and RIETE) were equally effective in discriminating prognosis (c
index of 0.775 and 0.757, respectively). None of these best performing scales was
superior to the ECOG-PS with a c-index of 0.724. The remaining scores (PESI,
sPESI, and GPS) performed worse, with c-indexes of 0.719, 0.705, and 0.722,
respectively. The dichotomic "clinical decision rule" for ambulatory therapy was
at least equally reliable in defining a low risk group: in the absence of all
exclusion criteria, 30-day mortality was 2%, compared to 5% and 4% in the POMPE-C
and RIETE low-risk categories, respectively. CONCLUSION: The accuracy of the five
scales examined was not high enough to rely on to predict 30-day mortality and
none of them contribute significantly to qualitative clinical judgment.
PMID- 27208462
TI - Incidence of venous thromboembolism in patients with non-Hodgkin lymphoma.
AB - INTRODUCTION: Patients with non-Hodgkin lymphoma (NHL) have an increased risk of
venous thromboembolism (VTE). Current risk-prediction models classify NHL as a
single entity. We aimed to quantify the difference in VTE risk in follicular
lymphoma (FL) versus diffuse large B cell lymphoma (DLBCL). METHODS: Using a
prospective cohort study, we identified 2730 patients (2037 DLBCL; 693 FL) within
the Veteran's Administration Central Cancer Registry. A competing risk model
assessed the association between VTE risk and histology in the first year after
NHL diagnosis. We assessed the effect of additional risk factors for VTE in NHL.
RESULTS: In univariate analysis, DLBCL was associated with increased risk of VTE
compared to FL in the first year after diagnosis; this association was no longer
significant in adjusted analysis (adjusted hazard ratio (aHR) 1.52; 95% CI 0.97
2.40). Major risk factors for VTE included history of VTE before NHL diagnosis
(aHR 4.73, p<=0.0001) and time period during chemotherapy administration (aHR
7.60, p<=0.0001). Additional risk factors included: stage III/IV disease
(p=0.02), BMI>=30 (p=0.02), B-symptoms (p=0.02), and doxorubicin (p=0.04). The
cumulative incidence of VTE was highest in the period following diagnosis and
decreased over time for both histologies. CONCLUSION: DLBCL is associated with
increased risk of VTE compared to FL. This risk is markedly attenuated when
adjusting for additional risk factors. The strongest predictors for development
of VTE included: time period during chemotherapy administration (especially
doxorubicin) and history of VTE. This knowledge can assist clinicians in
identifying NHL patients at high risk for VTE.
PMID- 27208463
TI - Pulmonary function tests correlated with thoracic volumes in adolescent
idiopathic scoliosis.
AB - Scoliosis deformity has been linked with deleterious changes in the thoracic
cavity that affect pulmonary function. The causal relationship between spinal
deformity and pulmonary function has yet to be fully defined. It has been
hypothesized that deformity correction improves pulmonary function by restoring
both respiratory muscle efficiency and increasing the space available to the
lungs. This research aims to correlate pulmonary function and thoracic volume
before and after scoliosis correction. Retrospective correlational analysis
between thoracic volume modeling from plain x-rays and pulmonary function tests
was conducted. Adolescent idiopathic scoliosis patients enrolled in a multicenter
database were sorted by pre-operative Total Lung Capacities (TLC) % predicted
values from their Pulmonary Function Tests (PFT). Ten patients with the best and
ten patients with the worst TLC values were included. Modeled thoracic volume and
TLC values were compared before and 2 years after surgery. Scoliosis correction
resulted in an increase in the thoracic volume for patients with the worst
initial TLCs (11.7%) and those with the best initial TLCs (12.5%). The
adolescents with the most severe pulmonary restriction prior to surgery strongly
correlated with post-operative change in total lung capacity and thoracic volume
(r2 = 0.839; p < 0.001). The mean increase in thoracic volume in this group was
373.1 cm3 (11.7%) which correlated with a 21.2% improvement in TLC. Scoliosis
correction in adolescents was found to increase thoracic volume and is strongly
correlated with improved TLC in cases with severe restrictive pulmonary function,
but no correlation was found in cases with normal pulmonary function. (c) 2016
Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop Res
35:175-182, 2017.
PMID- 27208464
TI - Cerebral Embolism During Transcatheter Aortic Valve Replacement: The BRAVO-3 MRI
Study.
AB - BACKGROUND: Cerebral embolization is a frequent complication after transcatheter
aortic valve replacement (TAVR). We hypothesized that cerebral embolization may
be reduced by anticoagulation with bivalirudin during TAVR. OBJECTIVES: This
study sought to determine the proportion of patients with new cerebral embolus
after TAVR and to investigate whether parenteral procedural anticoagulation
strategies affect cerebral embolization. METHODS: The BRAVO (Effect of
Bivalirudin on Aortic Valve Intervention Outcomes)-3 randomized trial compared
bivalirudin with unfractionated heparin in patients undergoing transfemoral TAVR.
A prospective cerebral magnetic resonance imaging (MRI) substudy was conducted in
4 sites; 60 patients were imaged with brain MRI after TAVR. Primary endpoint was
proportion of patients with new cerebral emboli on MRI. Secondary endpoints
included quantitative MRI analyses of cerebral lesions and neurological outcomes
at 48 h and 30 days. RESULTS: Patients were randomized to bivalirudin (n = 29)
versus heparin (n = 31). The proportion of patients with new cerebral emboli on
MRI did not differ between bivalirudin and heparin groups (65.5% vs. 58.1%; p =
0.55). Groups were similar for median number of emboli per patient (1
[interquartile range (IQR): 0 to 3] vs. 1 [IQR: 0 to 1]; p = 0.08), total volume
of emboli (45 [IQR: 0 to 175] mm(3) vs. 33 [IQR: 0 to 133] mm(3); p = 0.86), or
proportion of patients with a clinical neurological deficit at 48 h or 30 days.
All patients who presented clinically with stroke had evidence of new emboli on
MRI. CONCLUSIONS: This study documented cerebral embolization in nearly two
thirds of patients during contemporary TAVR. There were no significant
differences in cerebral embolization for bivalirudin versus heparin
anticoagulation during TAVR. (Open-Label, Randomized Trial in Patients Undergoing
TAVR to Determine Safety and Efficacy of Bivalrudin vs. UFH [BRAVO-2/3];
NCT01651780).
PMID- 27208465
TI - Schoolchildren's antioxidation genotypes are susceptible factors for reduced lung
function and airway inflammation caused by air pollution.
AB - BACKGROUND: We recently reported the relationship between exposure to ambient air
pollutants and changes in lung function and nasal inflammation among
schoolchildren. A study was conducted to investigate whether antioxidation
genotypes influence these associations. METHODS: A follow-up study of 97
schoolchildren was conducted in New Taipei City, Taiwan. A structured respiratory
health questionnaire was administered in September 2007, followed by monthly
spirometry and measurement of nasal inflammation from October 2007 to November
2009. During the study period, complete daily monitoring data for air pollutants
were obtained from the Environmental Protection Administration monitoring station
and Aerosol Supersite. The genotypes of glutathione S-transferase (GST) subunits
M1, T1, P1 and superoxide dismutases subunit 2 (SOD2) were characterized. Mixed
effects models were used, adjusting for known confounders. RESULT: GSTM1 null
children had significant PM2.5-related increment in leukocyte (8.52%; 95%
confidence interval (CI): 3.13-13.92%) and neutrophil (9.68%; 95% CI: 4.51
14.85%) in nasal lavage. Ozone levels were significantly and inversely associated
with forced expiratory flow at 25% of forced vital capacity (FEF25%) (-0.43L/s;
95% CI: -0.58,-0.28L/s) in SOD2 Ala16 variant children. CONCLUSION: In this
longitudinal study of schoolchildren. Our data provide evidence that
antioxidation genotype modifies the airway inflammation caused by PM2.5.
Antioxidation genotype also acts as an effect modifier, but not strong, in ozone
related small airway function response.
PMID- 27208466
TI - Low-level exposure to lead, blood pressure, and hypertension in a population
based cohort.
AB - BACKGROUND: Environmental lead exposure is a possible causative factor for
increased blood pressure and hypertension, but large studies at low-level
exposure are scarce, and results inconsistent. OBJECTIVE: We aimed to examine the
effects of environmental exposure to lead in a large population-based sample.
METHODS: We assessed associations between blood lead and systolic/diastolic blood
pressure and hypertension in 4452 individuals (46-67 years) living in Malmo,
Sweden, in 1991-1994. Blood pressure was measured using a mercury
sphygmomanometer after 10min supine rest. Hypertension was defined as high
systolic (>=140mmHg) or diastolic (>=90mmHg) blood pressure and/or current use of
antihypertensive medication. Blood lead was calculated from lead in erythrocytes
and haematocrit. Multivariable associations between blood lead and blood pressure
or hypertension were assessed by linear and logistic regression. Two-thirds of
the cohort was re-examined 16 years later. RESULTS: At baseline, mean blood
pressure was 141/87mmHg, 16% used antihypertensive medication, 63% had
hypertension, and mean blood lead was 28ug/L. Blood lead in the fourth quartile
was associated with significantly higher systolic and diastolic blood pressure
(point estimates: 1-2mmHg) and increased prevalence of hypertension (odds ratio:
1.3, 95% confidence interval: 1.1-1.5) versus the other quartiles after
adjustment for sex, age, smoking, alcohol, waist circumference, and education.
Associations were also significant with blood lead as a continuous variable.
Blood lead at baseline, having a half-life of about one month, was not associated
with antihypertensive treatment at the 16-year follow-up. CONCLUSIONS: Low-level
lead exposure increases blood pressure and may increase the risk of hypertension.
PMID- 27208467
TI - Chlorpyrifos exposure in farmers and urban adults: Metabolic characteristic,
exposure estimation, and potential effect of oxidative damage.
AB - Chlorpyrifos is a widely used organophosphorus pesticide that efficiently
protects crops against pests. However, recent studies suggest that severe
exposure to chlorpyrifos may present adverse health effects in human. To analyze
the exposure level and metabolic characteristics of chlorpyrifos pesticide in
urban adults and farmers with/without occupation pesticide contact, the
occurrence of urinary chlorpyrifos and methyl chlorpyrifos (CP-me), as well as
their metabolite, 3,5,6-trichloro-2-pyridinol (TCPy), was determined in farmers
of an agricultural village in China, and in urban adults of a nearby town. The
geometric mean (GM) concentrations of TCPy, which is the major marker of
chlorpyrifos exposure, were 4.29 and 7.57MUg/g-creatinine in urban adults and
farmers before pesticide application, respectively. Chlorpyrifos spraying
significantly increased the concentrations of urinary TCPy. In the first day
after spraying, a GM concentration of 43.7MUg/g-creatinine was detected in the
urine specimens from farmers, which decreased to 38.1 and 22.8MUg/g-creatinine in
the second and third day after chlorpyrifos spraying. The ratio of TCPy and its
parent compounds, i.e. chlorpyrifos and CP-me, was positively associated with the
sum concentration of urinary chlorpyrifos, CP-me, and TCPy, suggesting the
increasing metabolic efficiency of chlorpyrifos to TCPy at higher chlorpyrifos
exposure levels. To estimate the farmers' occupational exposure to chlorpyrifos
pesticide, a new model based on the fitted first-order elimination kinetics of
TCPy was established. Occupational chlorpyrifos exposure in a farmer was
estimated to be 3.70MUg/kg-bw/day (GM), which is an exposure level that is higher
than the recommended guideline levels. Significant increase of urinary 8
hydroxydeoxyguanosine (8-OHdG) was observed on the first day after chlorpyrifos
spraying, which indicates a potential oxidative damage in farmers. However,
urinary 8-OHdG returned to its baseline level within two days.
PMID- 27208468
TI - Perfluoroalkyl substance serum concentrations and immune response to FluMist
vaccination among healthy adults.
AB - Perfluoroalkyl substances (PFAS) were shown to be immunotoxic in laboratory
animals. There is some epidemiological evidence that PFAS exposure is inversely
associated with vaccine-induced antibody concentration. We examined immune
response to vaccination with FluMist intranasal live attenuated influenza vaccine
in relation to four PFAS (perfluorooctanoate, perfluorononanoate, perfluorooctane
sulfonate, perfluorohexane sulfonate) serum concentrations among 78 healthy
adults vaccinated during the 2010-2011 influenza season. We measured anti-A H1N1
antibody response and cytokine and chemokine concentrations in serum pre
vaccination, 3 days post-vaccination, and 30 days post-vaccination. We measured
cytokine, chemokine, and mucosal IgA concentration in nasal secretions 3 days
post-vaccination and 30 days post-vaccination. Adults with higher PFAS
concentrations were more likely to seroconvert after FluMist vaccination as
compared to adults with lower PFAS concentrations. The associations, however,
were imprecise and few participants seroconverted as measured either by
hemagglutination inhibition (9%) or immunohistochemical staining (25%). We
observed no readily discernable or consistent pattern between PFAS concentration
and baseline cytokine, chemokine, or mucosal IgA concentration, or between PFAS
concentration and change in these immune markers between baseline and FluMist
response states. The results of this study do not support a reduced immune
response to FluMist vaccination among healthy adults in relation to serum PFAS
concentration. Given the study's many limitations, however, it does not rule out
impaired vaccine response to other vaccines or vaccine components in either
children or adults.
PMID- 27208470
TI - Dietary acrylamide intake during pregnancy and anthropometry at birth in the
French EDEN mother-child cohort study.
AB - BACKGROUND AND AIM: Acrylamide is a contaminant formed in a wide variety of
carbohydrate-containing foods during frying or baking at high temperatures.
Recent studies have suggested reduced foetal growth after exposure to high levels
of acrylamide during pregnancy. OBJECTIVE: To study the relationship between
maternal dietary acrylamide intake during pregnancy and their offspring's
anthropometry at birth. DESIGN: In our population of 1471 mother-child pairs from
two French cities, Nancy and Poitiers, dietary acrylamide intake during pregnancy
was assessed by combining maternal food frequency questionnaires with data on
food contamination at the national level, provided by the second "French Total
Diet Study". Newborns weighing less than the 10th percentile, according to a
customised definition, were defined as small for gestational age (SGA). Linear
and logistic regression models were used to study continuous and binary outcomes
respectively, adjusting for the study centre, maternal age at delivery, height,
education, parity, smoking during pregnancy, the newborn's gestational age at
birth and sex. RESULTS: The median and interquartile range of dietary acrylamide
intake were 19.2MUg/day (IQR, 11.8;30.3). Each 10MUg/day increase in acrylamide
intake was associated with an odds-ratio for SGA of 1.11 (95% Confidence
Interval: 1.03,1.21), birth length change of -0.05cm (95% CI: -0.11,0.00) and
birth weight change of -9.8g (95% CI: -21.3,1.7). CONCLUSIONS: Our results,
consistent with both experimental and epidemiological studies, add to the
evidence of an effect of acrylamide exposure on the risk of SGA and suggest an
effect on foetal growth, for both weight and length.
PMID- 27208471
TI - Development of multiplex polymerase chain reaction assay for simultaneous
detection of clostero-, badna- and mandari-viruses along with huanglongbing
bacterium in citrus trees.
AB - Citrus trees harbor a large number of viral and bacterial pathogens. Citrus
yellow vein clearing virus (CYVCV), Indian citrus ringspot virus (ICRSV), Citrus
yellow mosaic virus (CYMV), Citrus tristeza virus (CTV) and a bacterium,
Candidatus Liberibacter asiaticus (CLa) associated with huanglongbing (HLB)
disease, the most prevalent pathogens in citrus orchards of different regions in
India and are responsible for debilitating citriculture. For detection of these
viral and bacterial pathogens a quick, sensitive and cost effective detection
method is required. With this objective a multiplex polymerase chain reaction
(mPCR) assay was developed for simultaneous detection of four viruses and a
bacterium in citrus. Several sets of primers were designed for each virus based
on the retrieved reference sequences from the GenBank. A primer pair published
previously was used for greening bacterium. Each pair of primers was evaluated
for their sensitivity and differentiation by simplex and mPCR. The constant
amplified products were identified on the basis of molecular size in mPCR and
were compared with standard PCR. The amplicons were cloned and results were
confirmed with sequencing analysis. The mPCR assay was validated using naturally
infected field samples for one or more citrus viruses and the huanglongbing
bacterium. The mPCR assay developed here will aid in the production of virus free
planting materials and rapid indexing for certification of citrus budwood
programme.
PMID- 27208469
TI - Thyroid antagonists and thyroid indicators in U.S. pregnant women in the Vanguard
Study of the National Children's Study.
AB - The sodium iodide-symporter (NIS) mediates uptake of iodide into thyroid
follicular cells. This key step in thyroid hormone synthesis is inhibited by
perchlorate, thiocyanate (SCN) and nitrate (NO3) anions. When these exposures
occur during pregnancy the resulting decreases in thyroid hormones may adversely
affect neurodevelopment of the human fetus. Our objectives were to describe and
examine the relationship of these anions to the serum thyroid indicators, thyroid
stimulating hormone (TSH) and free thyroxine (FT4), in third trimester women from
the initial Vanguard Study of the National Children's Study (NCS); and to compare
urine perchlorate results with those in pregnant women from the National Health
and Nutritional Examination Survey (NHANES). Urinary perchlorate, SCN, NO3, and
iodine, serum TSH, FT4, and cotinine were measured and a food frequency
questionnaire (FFQ) was administered to pregnant women enrolled in the initial
Vanguard Study. We used multiple regression models of FT4 and TSH that included
perchlorate equivalent concentration (PEC, which estimates combined inhibitory
effects of the anions perchlorate, SCN, and NO3 on the NIS). We used multiple
regression to model predictors of each urinary anion, using FFQ results, drinking
water source, season of year, smoking status, and demographic characteristics.
Descriptive statistics were calculated for pregnant women in NHANES 2001-2012.
The geometric mean (GM) for urinary perchlorate was 4.04ug/L, for TSH 1.46mIU/L,
and the arithmetic mean for FT4 1.11ng/dL in 359 NCS women. In 330 women with
completed FFQs, consumption of leafy greens, winter season, and Hispanic
ethnicity were significant predictors of higher urinary perchlorate, which
differed significantly by study site and primary drinking water source, and
bottled water was associated with higher urinary perchlorate compared to filtered
tap water. Leafy greens consumption was associated with higher urinary NO3 and
higher urinary SCN. There was no association between urinary perchlorate or PEC
and TSH or FT4, even for women with urinary iodine <100ug/L. GM urinary
perchlorate concentrations in the full sample (n=494) of third trimester NCS
women (4.03ug/L) were similar to pregnant women in NHANES (3.58ug/L).
PMID- 27208472
TI - Molecularly imprinted fluorescent hollow nanoparticles as sensors for rapid and
efficient detection lambda-cyhalothrin in environmental water.
AB - Molecularly imprinted fluorescent polymers have shown great promise in biological
or chemical separations and detections, due to their high stability, selectivity
and sensitivity. In this work, molecularly imprinted fluorescent hollow
nanoparticles, which could rapidly and efficiently detect lambda-cyhalothrin (a
toxic insecticide) in water samples, was reported. The molecularly imprinted
fluorescent sensor showed excellent sensitivity (the limit of detection low to
10.26nM), rapid detection rate (quantitative detection of lambda-cyhalothrin
within 8min), regeneration ability (maintaining good fluorescence properties
after 8 cycling operation) and appreciable selectivity over several structural
analogs. Moreover, the fluorescent sensor was further used to detect lambda
cyhalothrin in real samples form the Beijing-Hangzhou Grand Canal Water. Despite
the relatively complex components of the environmental water, the molecularly
imprinted fluorescent hollow nanosensor still showed good recovery, clearly
demonstrating the potential value of this smart sensor nanomaterial in
environmental monitoring.
PMID- 27208473
TI - Dual channel sensor for detection and discrimination of heavy metal ions based on
colorimetric and fluorescence response of the AuNPs-DNA conjugates.
AB - We have presented an extensible, facile and sensitive multidimensional sensor
based on DNA-gold nanoparticle (DNA-AuNP) conjugates for heavy metal ions (Ag(+),
Hg(2+), Cr(3+), Sn(4+), Cd(2+), Cu(2+), Pb(2+), Zn(2+), and Mn(2+))
discrimination. In the presence of metal ions, the excluded effect of DNA and
AuNPs with the same negative charges is disrupted, and the amount of FAM-labeled
DNA adsorbed on AuNP surfaces increases, resulting in a more obvious fluorescence
quenching effect. With the addition of NH2OH and HAuCl4, AuNPs grow into
morphologically varied nanostructures (spherical to branched) depending on the
resulting aptamer coverage, which gives rise to different colored solutions
(reddish blush, purple and blue) observed by naked eyes. By simply changing the
DNA sequences, three sensing elements can be easily obtained and added into this
dual-channel multidimensional sensor. 9 heavy metal ions are distinguished by
linear discriminant analysis (LDA) and primary component analysis (PCA). A highly
sensitive discrimination of metal ion targets with the detection limit as low as
50nM with 100% identification accuracy is obtained. Remarkably, Cu(2+) and Hg(2+)
ions with similar catalytic performance at various concentrations (300nM, 400nM,
500nM, respectively) and the mixture of the two metal ions with different volume
ratios (total metal ion concentration: 500nM) can be successfully discriminated.
In addition, nine heavy metal ions are also well-distinguished in river samples,
and the accuracy of discrimination of these metal ions samples reaches 100%.
Therefore, it will broaden the application field of DNA-AuNP conjugates-based
multidimensional sensors.
PMID- 27208474
TI - Robust nanoplasmonic substrates for aptamer macroarrays with single-step
detection of PDGF-BB.
AB - An aptamer macroarray on a robust nanoplasmonic substrate with fluorescence
enhancement is developed for a single-step sensitive detection of human platelet
derived growth factor-BB (PDGF-BB), a predominant cancer biomarker in cancer
angiogenesis. A hybrid Au-nanoparticles-poly (dimethylsiloxane) (PDMS) as
nanoplasmonic substrate is prepared via the in-situ reduction of AuCl4(-) ions in
PDMS matrixes onto 96 or 384 well plates. In the absence of target molecules,
unfolded PDGF-BB aptamer conjugated with dye TAMRA is electrostatically bound to
a positively charged poly-L-lysine (PLL)-coated Au nanocomposites film surface,
and the fluorescence enhancement effects can be optimized by varying the distance
between TAMRA and the Au nanocomposites film, which is easily adjusted by varying
the thickness of the biocompatible poly-(acrylic acid) (PAA/PLL) multilayers, and
thus metal-enhanced fluorescence of dye TAMRA conjugated with the aptamer is
generated up to 15.2-fold. The interaction of the aptamer to its target induces
the reversible conformation change of the aptamer, and consequently, the
electrostatic potential is overcome by binding force. As a result, the target
binding interaction of the aptamer causes the irreversible detachment of the
aptamer from the nanostructured Au film surface to decrease fluorescence of
TAMRA. The aptamer macroarray provides not only the appropriate sensitivity for
clinical diagnostics with a wide range of linear detection from 10pg/mL to
10MUg/mL, high specificity for PDGF-BB against VEGF-165, VEGF-121, NaCl and IgG,
and temporal biological stability, but also a single-step detection. We envision
that the efficient and robust aptamer macroarray can be extended to the detection
of other biomarkers.
PMID- 27208475
TI - Development of new peptide-based receptor of fluorescent probe with femtomolar
affinity for Cu(+) and detection of Cu(+) in Golgi apparatus.
AB - Developing fluorescent probes for monitoring intracellular Cu(+) is important for
human health and disease, whereas a few types of their receptors showing a
limited range of binding affinities for Cu(+) have been reported. In the present
study, we first report a novel peptide receptor of a fluorescent probe for the
detection of Cu(+). Dansyl-labeled tripeptide probe (Dns-LLC) formed a 1:1
complex with Cu(+) and showed a turn-on fluorescent response to Cu(+) in aqueous
buffered solutions. The dissociation constant of Dns-LLC for Cu(+) was determined
to be 12 fM, showing that Dns-LLC had more potent binding affinity for Cu(+) than
those of previously reported chemical probes for Cu(+). The binding mode study
showed that the thiol group of the peptide receptor plays a critical role in
potent binding with Cu(+) and the sulfonamide and amide groups of the probe might
cooperate to form a complex with Cu(+). Dns-LLC detected Cu(+) selectively by a
turn-on response among various biologically relevant metal ions, including Cu(2+)
and Zn(2+). The selectivity of the peptide-based probe for Cu(+) was strongly
dependent on the position of the cysteine residue in the peptide receptor part.
The fluorescent peptide-based probe penetrated the living RKO cells and
successfully detected Cu(+) in the Golgi apparatus in live cells by a turn-on
response. Given the growing interest in imaging Cu(+) in live cells, a novel
peptide receptor of Cu(+) will offer the potential for developing a variety of
fluorescent probes for Cu(+) in the field of copper biochemistry.
PMID- 27208476
TI - Ultrasensitive aptamer biosensor for malathion detection based on cationic
polymer and gold nanoparticles.
AB - In this work, we have demonstrated a novel sensing strategy for an
organophosphorus pesticide namely, malathion, employing unmodified gold
nanoparticles, aptamer and a positively charged, water-soluble polyelectrolyte
Polydiallyldimethylammonium chloride (PDDA). The PDDA when associated with the
aptamer prevents the aggregation of the gold-nanoparticles while no such
inhibition is observed when the aptamer specific pesticide is added to the
solution, thereby changing the color of the solution from red to blue. This type
of biosensor is quite simple and straightforward and can be completed in a few
minutes without the need of any expensive equipment or trained personnel. The
proposed method was linear in the concentration range of 0.5-1000pM with 0.06pM
as the limit of detection. Moreover, the proposed assay selectively recognized
malathion in the presence of other interfering substances and thus, can be
applied to real samples for the rapid screening of malathion.
PMID- 27208477
TI - A novel nanocatalytic SERS detection of trace human chorionic gonadotropin using
labeled-free Vitoria blue 4R as molecular probe.
AB - In pH 7.4 Na2HPO4-NaH2PO4 buffer solution containing the peptide probes for human
chorionic gonadotropin (hCG), silver nanoparticles (AgNPs) were aggregated to big
AgNPs clusters that exhibited very weak catalytic effect on the gold nanoparticle
reaction of H2O2-HAuCl4. When hCG was present in the peptide probe solution, the
AgNPs did not aggregate and it had strong catalytic effect on the gold
nanoparticle reaction with a strong resonance Rayleigh scattering (RRS) peak at
370nm and a strong surface enhanced Raman scattering (SERS) peak at 1615cm(-1) in
the presence of molecular probe of Victoria blue 4R (VB4R). With the increase of
the hCG concentration, the catalysis enhanced due to the nanocatalyst of AgNPs
increasing, and the RRS intensity increased at 370nm. The increased RRS intensity
was linear to the hCG concentration in 0.05-10ng/mL, with a linear regression
equation of DeltaI370nm=409.8C +294. And the SERS intensity at 1615cm(-1)
increased linearly with the hCG concentration in the range of 0.05-20ng/mL, with
a linear regression equation of DeltaI1615cm-1=142C+134. Based on this, two new
methods of nanocatalytic SERS and RRS were proposed for the determination of
trace hCG.
PMID- 27208478
TI - Polyacrylic acid-coated cerium oxide nanoparticles: An oxidase mimic applied for
colorimetric assay to organophosphorus pesticides.
AB - It is important and urgent to develop reliable and highly sensitive methods that
can provide on-site and rapid detection of extensively used organophosphorus
pesticides (OPs) for their neurotoxicity. In this study, we developed a novel
colorimetric assay for the detection of OPs based on polyacrylic acid-coated
cerium oxide nanoparticles (PAA-CeO2) as an oxidase mimic and OPs as inhibitors
to suppress the activity of acetylcholinesterase (AChE). Firstly, highly
dispersed PAA-CeO2 was prepared in aqueous solution, which could catalyze the
oxidation of TMB to produce a color reaction from colorless to blue. And the
enzyme of AChE was used to catalyze the substrate of acetylthiocholine (ATCh) to
produce thiocholine (TCh). As a thiol-containing compound with reducibility, TCh
can decrease the oxidation of TMB catalyzed by PAA-CeO2. Upon incubated with OPs,
the enzymatic activity of AChE was inhibited to produce less TCh, resulting in
more TMB catalytically oxidized by PAA-CeO2 to show an increasing blue color. The
two representative OPs, dichlorvos and methyl-paraoxon, were tested using our
proposed assay. The novel assay showed notable color change in a concentration
dependent manner, and as low as 8.62 ppb dichlorvos and 26.73 ppb methyl-paraoxon
can be readily detected. Therefore, taking advantage of such oxidase-like
activity of PAA-CeO2, our proposed colorimetric assay can potentially be a
screening tool for the precise and rapid evaluation of the neurotoxicity of a
wealth of OPs.
PMID- 27208479
TI - A dual-color fluorescent biosensing platform based on WS2 nanosheet for detection
of Hg(2+) and Ag(.).
AB - In this work, an effective dual-color fluorescent biosensing platform based on
WS2 nanosheets was developed for homogeneous detection of Hg(2+) and Ag(+). This
sensing platform was constituted by exploiting the fluorescence quenching ability
of WS2 nanosheets and the interactions between WS2 nanosheets and DNA molecules.
In the absence of additional any masking agents, the biosensor could achieve
detection of Hg(2+) and Ag(+) in the same solution by monitoring fluorescence
intensity changes at 525nm and 583nm, respectively. Hg(2+) and Ag(+) were
selectively detected in the concentration range from 6.0-650.0nM and 5.0
1000.0nM, respectively, with the detection limit of 3.3nM and 1.2nM,
respectively. It was also demonstrated that the WS2 nanosheet-based sensing
platform was suitable for the simultaneous detection of Hg(2+) and Ag(+) in
drinking water, serum and cell lysate samples. Moreover, the possible mechanism
of fluorescence quenching by WS2 nanosheets was revealed to be related to static
quenching, dynamic quenching, and Forster resonant energy transfer (FRET). This
work extended the application of WS2 nanosheets to environmental monitoring and
medical diagnosis.
PMID- 27208480
TI - A label-free and cascaded dual-signaling amplified electrochemical aptasensing
platform for sensitive prion assay.
AB - Prion proteins, as an important biomarker of prion disease, are responsible for
the transmissible spongiform encephalopathies (a group of fatal neurodegenerative
diseases). Hence, the sensitive detection of prion protein is very essential for
biological studies and medical diagnostics. In this paper, a novel label-free and
cascaded dual-signaling amplified electrochemical strategy was developed for
sensitive and selective analysis of cellular prion protein (PrP(C)). The
recognition elements included double-stranded DNA consisted of PrP(C)-binding
aptamer (DNA1) and its partially complementary DNA (DNA2), and ordered mesoporous
carbon probe (OMCP) fabricated by sealing the electroactive ferrocenecarboxylic
acid (Fc) into its inner pores and then using single-stranded DNA (DNA3) as the
gatekeeper. In the presence of PrP(C), DNA1 could bind the target protein and
free DNA2. More importantly, DNA2 could hybridize with DNA3 to form a rigid
duplex DNA and thus triggered the exonuclease III (Exo III) cleavage process to
realize the DNA2 recycling, accompanied by opening more biogates and releasing
more Fc. The released Fc could be further used as a competitive guest of beta
cyclodextrin (beta-CD) to displace the Rhodamine B (RhB) on the electrode. As a
result, an amplified oxidation peak current of Fc (RhB) increased (decreased)
with the increase of PrP(C) concentration. When "DeltaI=DeltaIFc+|DeltaIRhB|"
(DeltaIFc and DeltaIRhB were the change values of the oxidation peak currents of
Fc and RhB, respectively.) was used as the response signal for quantitative
determination of PrP(C), the detection limit was 7.6fM (3sigma), which was much
lower than that of the most reported methods for PrP(C) assay. This strategy
provided a simple and sensitive approach for the detection of PrP(C) and has a
great potential for bioanalysis, disease diagnostics, and clinical biomedicine
applications.
PMID- 27208481
TI - Academic well-being and smoking among 14- to 17-year-old schoolchildren in six
European cities.
AB - It is well established that poor academic performance is related to smoking, but
the association between academic well-being and smoking is less known. We
measured academic well-being by school burnout and schoolwork engagement and
studied their associations with smoking among 14- to 17-year-old schoolchildren
in Belgium, Germany, Finland, Italy, the Netherlands, and Portugal. A classroom
survey (2013 SILNE survey, N = 11,015) was conducted using the Short School
Burnout Inventory and the Schoolwork Engagement Inventory. Logistic regression,
generalized linear mixed models, and ANOVA were used. Low schoolwork engagement
and high school burnout increased the odds for daily smoking in all countries.
Academic performance was correlated with school burnout and schoolwork
engagement, and adjusting for it slightly decreased the odds for smoking.
Adjusting for socioeconomic factors and school level had little effect. Although
high school burnout and low schoolwork engagement correlate with low academic
performance, they are mutually independent risk factors for smoking.
PMID- 27208482
TI - Comparative study of oxidative stress biomarkers in urine of cooks exposed to
three types of cooking-related particles.
AB - OBJECTIVES: To evaluate how exposure to deep-frying oils, repeated frying oil
(RFO) and restaurant waste oil (RWO) affects emission of polycyclic aromatic
hydrocarbons (PAHs) and oxidative stress in male restaurant workers. METHODS: The
study participants included 236 male restaurant workers in 12 restaurants in
Shenzhen. Airborne particulate PAHs were measured over 12h on each of two
consecutive work days. Urinary 1-hydroxypyrene (1-OHP) measurements were used to
indicate cooking oil fumes (COF) exposure, and urinary malondialdehyde (MDA) and
8-hydroxy-2'-deoxyguanosine (8-OHdG) were adopted as oxidative stress markers.
RESULTS: The production and emission rates of ultrafine particles (UFPs) and
PM2.5 were higher in the exposed groups than in the control group. The
concentrations of summed PAHs were in the order of RFO-frying group>RWO-frying
group>deep-frying group>unexposed control group. Urinary 1-OHP was found to be a
significant predictor of elevated urinary MDA and 8-OHdG concentrations (all,
P<0.05). UFPs were a significant predictor of elevated urinary 8-OHdG for
restaurant workers (P<0.05). The RFO- and RWO-frying groups had higher mean
urinary concentrations of 1-OHP, MDA and 8-OHdG than the control group (P<0.05).
RFO exposure was found to be a significant risk factor for elevated urinary 8
OHdG and RWO exposure was found to be a significant risk factor for elevated
urinary MDA (both, P<0.001). CONCLUSIONS: Concentrations of urinary 1-OHP, MDA
and 8-OHdG reflect occupational exposure to PAHs from COFs and oxidative stress
in restaurants workers. Exposure to RFO may cause increased oxidative DNA damage,
and exposure to RWO might cause increased lipid peroxidation.
PMID- 27208483
TI - The protective role of Nrf2-Gadd45b against antimony-induced oxidative stress and
apoptosis in HEK293 cells.
AB - Antimony (Sb) is one of the most prevalent heavy metals and frequently causes
biological toxicity. However, the specific mechanisms by which Sb elicits its
toxic effects remains to be fully elucidated. In this study, we found antimony
trioxide (Sb2O3) caused a dose-dependent cytotoxicity against HEK293 cells, and
Sb2O3-induced excessive reactive oxygen species (ROS) was closely correlated with
increased cell apoptosis. Mechanistic investigation manifested that nuclear
factor NF-E2-related factor 2 (Nrf2) expression and nuclear translocation were
significantly induced under Sb2O3 treatment in HEK293 cells, and Nrf2 knockdown
aggregated Sb2O3-induced cell apoptosis. Moreover, elevated Gadd45b expression
actives the phosphorylation of MAPKs upon Sb2O3 exposure, whereas Gadd45b
knockdown diminished Sb2O3-induced activation of MAPKs and promoted cell
apoptosis. In the meantime, however, the antioxidant N-acetylcysteine (NAC) was
found to ameliorate Nrf2 expression and nuclear translocation as well as Gadd45b
expression and MAPKs activation by repressing Sb2O3-induced ROS production. More
importantly, we found Gadd45b was transcriptionally enhanced by Nrf2 through
binding to three canonical antioxidant response elements (AREs) within its
promoter region. Either Sb2O3 or TBHQ (a selective Nrf2 activator) treatment,
Gadd45b expression was significantly increased by luciferase assay. Nrf2
inhibition greatly diminished Gadd45b expression due to reduced binding of Nrf2
in Gadd45b promoter under Sb2O3 treatment. To summarize, this study demonstrated
the Nrf2-Gadd45b signaling axis exhibited a protective role in Sb-induced cell
apoptosis.
PMID- 27208484
TI - Genetic divergence and isolation by thermal environment in geothermal populations
of an aquatic invertebrate.
AB - Temperature is one of the most influential forces of natural selection impacting
all biological levels. In the face of increasing global temperatures, studies
over small geographic scales allowing investigations on the effects of gene flow
are of great value for understanding thermal adaptation. Here, we investigated
genetic population structure in the freshwater gastropod Radix balthica
originating from contrasting thermal habitats in three areas of geothermal
activity in Iceland. Snails from 32 sites were genotyped at 208 AFLP loci. Five
AFLPs were identified as putatively under divergent selection in Lake Myvatn, a
geothermal lake with an almost 20 degrees C difference in mean temperature
across a distance of a few kilometres. In four of these loci, variation across
all study populations was correlated with temperature. We found significant
population structure in neutral markers both within and between the areas.
Cluster analysis using neutral markers classified the sites mainly by geography,
whereas analyses using markers under selection differentiated the sites based on
temperature. Isolation by distance was stronger in the neutral than in the
outlier loci. Pairwise differences based on outlier FST were significantly
correlated with temperature at different spatial scales, even after correcting
for geographic distance or neutral pairwise FST differences. In general, genetic
variation decreased with increasing environmental temperature, possibly
suggesting that natural selection had reduced the genetic diversity in the warm
origin sites. Our results emphasize the influence of environmental temperature on
the genetic structure of populations and suggest local thermal adaptation in
these geothermal habitats.
PMID- 27208487
TI - Understanding and targeting dynamic stress responses of the brain: What we have
learned and how to improve neurocognitive outcome following neurotoxic insult.
PMID- 27208485
TI - Developmental cigarette smoke exposure II: Kidney proteome profile alterations in
6 month old adult offspring.
AB - Cigarette smoke exposure (CSE) during gestation and early development suppresses
the growth trajectory in offspring. In prior studies utilizing a mouse model of
'active' developmental CSE (GD1-PD21), low birth weight induced by CSE persisted
throughout the neonatal period and was present at the cessation of exposure at
weaning with proportionally smaller kidney mass that was accompanied by
impairment of carbohydrate metabolism. In the present study, littermates of those
characterized in the prior study were maintained until 6 months of age at which
time the impact of developmental CSE on the abundance of proteins associated with
cellular metabolism in the kidney was examined. Kidney protein abundances were
examined by 2D-SDS-PAGE based proteome profiling with statistical analysis by
Partial Least Squares-Discriminant Analysis. Key findings of this study include a
persistence of impact of developmental CSE past the original exposure period on
the nucleic acid and carbohydrate metabolism networks and oxidant scavenging
pathways.
PMID- 27208486
TI - Developmental cigarette smoke exposure II: Hippocampus proteome and metabolome
profiles in adult offspring.
AB - Exposure to cigarette smoke during development is linked to neurodevelopmental
delays and cognitive impairment including impulsivity, attention deficit
disorder, and lower IQ. Utilizing a murine experimental model of "active"
inhalation exposure to cigarette smoke spanning the entirety of gestation and
through human third trimester equivalent hippocampal development [gestation day 1
(GD1) through postnatal day 21 (PD21)], we examined hippocampus proteome and
metabolome alterations present at a time during which developmental cigarette
smoke exposure (CSE)-induced behavioral and cognitive impairments are evident in
adult animals from this model system. At six month of age, carbohydrate
metabolism and lipid content in the hippocampus of adult offspring remained
impacted by prior exposure to cigarette smoke during the critical period of
hippocampal ontogenesis indicating limited glycolysis. These findings indicate
developmental CSE-induced systemic glucose availability may limit both organism
growth and developmental trajectory, including the capacity for learning and
memory.
PMID- 27208488
TI - Evaluation of mean diffusion and kurtosis MRI mismatch in subacute ischemic
stroke: Comparison with NIHSS score.
AB - Neurological deterioration (ND) is a devastating complication following ischemic
stroke. This study aimed to identify the differences in lesion characteristics in
subacute ischemic stroke patients with and without ND using diffusional kurtosis
imaging (DKI), as well as to confirm the responsible lesions that may lead to ND,
as assessed by the National Institutes of Health Stroke Scale (NIHSS) score.
Seventy-nine patients with subacute cerebral infarction were allocated to the ND
(-) and ND (+) groups according to the NIHSS score and lesion number. The mean
diffusion (MD) lesions were significantly larger than the mean kurtosis (MK)
deficits in the ND (+) group (P<0.05); however, there was no significant
difference in the ND (-) group (P>0.05). The MD and MK in the lesion recovered to
normal levels over time; however, the recovery trends in the ND (+) group were
substantially slower than the ND (-) group. The differences between the two
groups were only significant regarding the MK (p<0.05). Furthermore, multiple
infarction lesions exhibited good consistency in the ND (-) group, but were non
homogeneous in the ND (+) group. To the best of our knowledge, this is the first
study to demonstrate that a significant MD/MK mismatch and heterogeneity of
multiple ischemic lesions on MK in subacute ischemic stroke may represent a new
expansion of an ischemic lesion or acute reinfarction, which is closely related
to ND.
PMID- 27208491
TI - Review on Cowan WM, Gottlieb DI, Hendrickson AE, Price JL, Woolsey TA. 1972. The
autoradiographic demonstration of axonal connections in the central nervous
system. Brain Res 37: 21-51.
AB - Axoplasmically transported proteins synthesized in neuronal somata labeled by
radioactively labeled amino acids (tritium), following local targeted injections
for tracing of pathways in the central nervous system using autoradiography.
Results from a number of neuronal systems, including: the rat olfactory bulb;
cortico-thalamic projections in the mouse; commissural connections of the rat
hippocampus; and retinal projections in the monkey and chick are documented.
Pathway origins are clear, as the number and distribution of the labeled cells
and the normal structure of the injection site is preserved. Light and electron
microscopic autoradiography shows that proteins are transported, at two rates:
rapid transport (>100mm/day) of fewer proteins accumulating in axon terminals;
and, slow transport (1-5mm/day) of the bulk of labeled proteins distributed along
the length of axons. Different survival times can be selected to evaluate
terminal projection field(s) or pathways from origin to termination. The clarity
of autoradiographic labeling of pathways and their terminations is comparable to
other techniques (such as the Nauta-Gygax and the Fink-Heimer methods and the
electron microscopy of terminal degeneration). Labeled amino acids do not label
molecules in fibers of passage and there is no retrograde transport of labeled
material from the axon terminals. The functional polarity of fiber pathways can
be easily established. We summarize the merits of this technique is based upon an
established physiological properties of neurons that are summarized in contrast
to currently used techniques dependent upon pathological changes in neurons,
axons, or axonal terminals. ARTICLE ABSTRACT: This article considers a heavily
cited Brain Research article that reported an extremely important turning point
in the ability to demonstrate neuroanatomical pathways in the central nervous
system. Using radioactive leucine microinjections into the brain, neurons
synthesized proteins from this amino acid that were transported down their axons
to the terminal synapses on the target neurons. Tracing the transport of the
labeled protein by autoradiography permitted quantitative analysis of projections
and pathways. As a result, pathway analysis was transformed from studying the
degenerating processes of lesioned neurons to the study of intact pathways in non
manipulated brains. The classical protocol has since been widely applied and used
to investigate countless brain circuits. This article is part of a Special Issue
entitled SI:50th Anniversary Issue.
PMID- 27208489
TI - Subtle learning and memory impairment in an idiopathic rat model of Alzheimer's
disease utilizing cholinergic depletions and beta-amyloid.
AB - Alzheimer's disease (AD) is a disease of complex etiology, involving multiple
risk factors. When these risk factors are presented concomitantly, cognition and
brain pathology are more severely compromised than if those risk factors were
presented in isolation. Reduced cholinergic tone and elevated amyloid-beta
(Abeta) load are pathological hallmarks of AD. The present study sought to
investigate brain pathology and alterations in learning and memory when these two
factors were presented together in rats. Rats received either sham surgeries,
cholinergic depletions of the medial septum, intracerebroventricular Abeta25-35
injections, or both cholinergic depletion and Abeta25-35 injections (Abeta+ACh
group). The Abeta+ACh rats were unimpaired in a striatal dependent visual
discrimination task, but had impaired acquisition in the standard version of the
Morris water task. However, these rats displayed normal Morris water task
retention and no impairment in acquisition of a novel platform location during a
single massed training session. Abeta+ACh rats did not have exacerbated brain
pathology as indicated by activated astroglia, activated microglia, or
accumulation of Abeta. These data suggest that cholinergic depletions and Abeta
injections elicit subtle cognitive deficits when behavioural testing is conducted
shortly after the presentation of these factors. These factors might have altered
hippocampal synaptic plasticity and thus resemble early AD pathology.
PMID- 27208493
TI - Evidence for the involvement of neuropeptide Y in the antidepressant effect of
imipramine in type 2 diabetes.
AB - Depression is a major comorbidity factor of diabetes and the outcome of one
disorder influences the other. Our aim is to scrutinize the link between the two,
if any. Since neuropeptide Y (NPY) system plays an important role in regulating
central glucose sensing mechanisms, and also depression-related behavior, we test
the involvement of NPY in the modulation of depression in type 2 diabetic mice.
The mice were fed on high-fat diet and administered with low dose of
streptozotocin to induce type 2 diabetes. These animals showed augmented plasma
glucose and increased immobility time in tail suspension test (TST) suggesting
induction of diabetes and depression. Intracerebroventricular (icv) treatment
with NPY or NPY Y1 receptor agonist [Leu(31), Pro(34)]-NPY and intraperitoneal
treatment with imipramine decreased immobility time. However, opposite effect was
produced by NPY Y1 receptor antagonist BIBP3226 (icv). Moreover, reduced
immobility time by imipramine was potentiated by NPY and [Leu(31), Pro(34)]-NPY,
but attenuated by BIBP3226. Immunohistochemical analysis of the different nuclei
of the extended amygdala, the region primarily involved in affective disorders,
was undertaken. A significant reduction in NPY immunoreactivity in the central
nucleus of amygdala, nucleus accumbens shell and lateral division of bed nucleus
of stria terminalis of the diabetic mice was noticed; the response was
ameliorated in imipramine treated animals. The results suggest that decreased NPY
expression in the extended amygdala might be causally linked with the depression
induced following type 2 diabetes and that the antidepressant action of
imipramine in diabetic mice might be mediated by NPY-NPY Y1 receptor system.
PMID- 27208492
TI - Na(+), K(+)-ATPase dysfunction causes cerebrovascular endothelial cell
degeneration in rat prefrontal cortex slice cultures.
AB - Cerebrovascular endothelial cell dysfunction resulting in imbalance of cerebral
blood flow contributes to the onset of psychiatric disorders such as depression,
schizophrenia and bipolar disorder. Although decrease in Na(+), K(+)-ATPase
activity has been reported in the patients with schizophrenia and bipolar
disorder, the contribution of Na(+), K(+)-ATPase to endothelial cell dysfunction
remains poorly understood. Here, by using rat neonatal prefrontal cortex slice
cultures, we demonstrated that pharmacological inhibition of Na(+), K(+)-ATPase
by ouabain induced endothelial cell injury. Treatment with ouabain significantly
decreased immunoreactive area of rat endothelial cell antigen-1 (RECA-1), a
marker of endothelial cells, in a time-dependent manner. Ouabain also decreased
Bcl-2/Bax ratio and phosphorylation level of glycogen synthase kinase 3beta
(GSK3beta) (Ser9), which were prevented by lithium carbonate. On the other hand,
ouabain-induced endothelial cell injury was exacerbated by concomitant treatment
with LY294002, an inhibitor of phosphoinositide 3- (PI3-) kinase. We also found
that xestospongin C, an inhibitor of inositol triphosphate (IP3) receptor, but
not SEA0400, an inhibitor of Na(+), Ca(2+) exchanger (NCX), protected endothelial
cells from cytotoxicity of ouabain. These results suggest that cerebrovascular
endothelial cell degeneration induced by Na(+), K(+)-ATPase inhibition resulting
in Ca(2+) release from endoplasmic reticulum (ER) and activation of GSK3beta
signaling underlies pathogenesis of these psychiatric disorders.
PMID- 27208494
TI - Striatum and globus pallidus control the electrical activity of reticular
thalamic nuclei.
AB - Through GABAergic fibers, globus pallidus (GP) coordinates basal ganglia global
function. Electrical activity of GP neurons depends on their membrane properties
and afferent fibers, including GABAergic fibers from striatum. In pathological
conditions, abnormal electrical activity of GP neurons is associated with motor
deficits. There is a GABAergic pathway from the GP to the reticular thalamic
nucleus (RTn) whose contribution to RTn neurons electrical activity has received
little attention. This fact called our attention because the RTn controls the
overall information flow of thalamic nuclei to cerebral cortex. Here, we study
the spontaneous electrical activity of RTn neurons recorded in vivo in
anesthetized rats and under pharmacological activation or inhibition of the GP.
We found that activation of GP predominantly diminishes the spontaneous RTn
neurons firing rate and its inhibition increases their firing rate; however, both
activation and inhibition of GP did not modified the burst index (BI) or the
coefficient of variation (CV) of RTn neurons. Moreover, stimulation of striatum
predominantly diminishes the spiking rate of GP cells and increases the spiking
rate in RTn neurons without modifying the BI or CV in reticular neurons. Our data
suggest a GP tight control over RTn spiking activity.
PMID- 27208490
TI - Amphetamine withdrawal differentially affects hippocampal and peripheral
corticosterone levels in response to stress.
AB - Amphetamine withdrawal is associated with heightened anxiety-like behavior, which
is directly driven by blunted stress-induced glucocorticoid receptor-dependent
serotonin release in the ventral hippocampus. This suggests that glucocorticoid
availability in the ventral hippocampus during stress may be reduced during
amphetamine withdrawal. Therefore, we tested whether amphetamine withdrawal
alters either peripheral or hippocampal corticosterone stress responses. Adult
male rats received amphetamine (2.5mg/kg, ip) or saline for 14 days followed by 2
weeks of withdrawal. Contrary to our prediction, microdialysis samples from
freely-moving rats revealed that restraint stress-induced corticosterone levels
in the ventral hippocampus are enhanced by amphetamine withdrawal relative to
controls. In separate groups of rats, plasma corticosterone levels increased
immediately after 20min of restraint and decreased to below stress-naive levels
after 1h, indicating negative feedback regulation of corticosterone following
stress. However, plasma corticosterone responses were similar in amphetamine
withdrawn and control rats. Neither amphetamine nor stress exposure significantly
altered protein expression or enzyme activity of the steroidogenic enzymes 11beta
hydroxysteroid dehydrogenase (11beta-HSD1) or hexose-6-phosphate dehydrogenase
(H6PD) in the ventral hippocampus. Our findings demonstrate for the first time
that amphetamine withdrawal potentiates stress-induced corticosterone in the
ventral hippocampus, which may contribute to increased behavioral stress
sensitivity previously observed during amphetamine withdrawal. However, this is
not mediated by either changes in plasma corticosterone or hippocampal
steroidogenic enzymes. Establishing enhanced ventral hippocampal corticosterone
as a direct cause of greater stress sensitivity may identify the glucocorticoid
system as a novel target for treating behavioral symptoms of amphetamine
withdrawal.
PMID- 27208495
TI - Spatiotemporal changes in blood-brain barrier permeability, cerebral blood flow,
T2 and diffusion following mild traumatic brain injury.
AB - The blood-brain barrier (BBB) can be impaired following traumatic brain injury
(TBI), however the spatiotemporal dynamics of BBB leakage remain incompletely
understood. In this study, we evaluated the spatiotemporal evolution of BBB
permeability using dynamic contrast-enhanced MRI and measured the volume transfer
coefficient (K(trans)), a quantitative measure of contrast agent leakage across
the blood and extravascular compartment. Measurements were made in a controlled
cortical impact (CCI) model of mild TBI in rats from 1h to 7 days following TBI.
The results were compared with cerebral blood flow, T2 and diffusion MRI from the
same animal. Spatially, K(trans) changes were localized to superficial cortical
layers within a 1mm thickness, which was dramatically different from the changes
in cerebral blood flow, T2 and diffusion, which were localized to not only the
superficial layers but also to brain regions up to 2.2mm from the cortical
surface. Temporally, K(trans) changes peaked at day 3, similar to CBF and ADC
changes, but differed from T2 and FA, whose changes peaked on day 2. The pattern
of superficial cortical layer localization of K(trans) was consistent with
patterns revealed by Evans Blue extravasation. Collectively, these results
suggest that BBB disruption, edema formation, blood flow disturbance and
diffusion changes are related to different components of the mechanical impact,
and may play different roles in determining injury progression and tissue fate
processes following TBI.
PMID- 27208496
TI - Methane attenuates retinal ischemia/reperfusion injury via anti-oxidative and
anti-apoptotic pathways.
AB - Retinal ischemia/reperfusion injury (IRI) may cause incurable visual impairment
due to neural regeneration limits. Methane was shown to exert a protective effect
against IRI in many organs. This study aims to explore the possible protective
effects of methane-rich saline against retinal IRI in rat. Retinal IRI was
performed on the right eyes of male Sprague-Dawley rats, which were immediately
injected intraperitoneally with methane-saturated saline (25ml/kg). At one week
after surgery, the number of retinal ganglion cells (RGCs), total retinal
thickness, visual function were measured by hematoxylin and eosin staining,
FluoroGold anterograde labeling and flash visual evoked potentials. The levels of
8-hydroxy-2-deoxyguanosine (8-OHdG), 4-Hydroxy-2-nonenal (4-HNE), malondialdehyde
(MDA), superoxide dismutase (SOD), catalase (CAT), glutathione peroxidase (GPx),
caspase-3, caspase-9, B cell lymphoma/leukemia-2 (Bcl-2) and Bcl-2 associated X
protein (Bax) in retinas were assessed by immunofluorescence staining, enzyme
linked immunosorbent assay and quantitative polymerase chain reaction. As
expected, methane treatment significantly improved the retinal IRI-induced RGC
loss, total retinal layer thinning and visual dysfunction. Moreover, methane
treatment significantly reduced the levels of oxidative stress biomarkers (8
OHdG, 4-HNE, MDA) and increased the antioxidant enzyme activities (SOD, CAT, GPx)
in the retinas with IRI. Meanwhile, methane treatment significantly increased the
anti-apoptotic gene (Bcl-2) expression and decreased the pro-apoptotic gene (Bax)
expression, accompanied by the suppression of caspase-3 and caspase-9 activity.
Thus, these data demonstrated that methane can exert a neuroprotective role
against retinal IRI through anti-oxidative and anti-apoptotic pathways.
PMID- 27208497
TI - Sustained alterations in neuroimmune gene expression after daily, but not
intermittent, alcohol exposure.
AB - Acute ethanol intoxication is associated with Rapid Alterations in Neuroimmune
Gene Expression (RANGE), including increased Interleukin (IL)-6 and Nuclear
factor of kappa light polypeptide gene enhancer in B-cells inhibitor, alpha
(IkappaBalpha), and suppressed IL-1beta and Tumor necrosis factor (TNF) alpha,
yet little is known about adaptations in cytokines across the first few ethanol
exposures. Thus, the present studies examined central cytokines during
intoxication (3h post-ethanol) following 2, 4 or 6 intragastric ethanol
challenges (4g/kg) delivered either daily or every-other-day (EOD). Subsequent
analyses of blood ethanol concentrations (BECs) and corticosterone were performed
to determine whether the schedule of ethanol delivery would alter the
pharmacokinetics of, or general sensitivity to, subacute ethanol exposure. As
expected, ethanol led to robust increases in IL-6 and IkappaBalpha gene
expression in hippocampus, amygdala and bed nucleus of the stria terminalis
(BNST), whereas IL-1beta and TNFalpha were suppressed, thereby replicating our
prior work. Ethanol-dependent increases in IL-6 and IkappaBalpha remained
significant in all structures - even after 6 days of ethanol. When these doses
were administered EOD, modest IL-6 increases in BNST were observed, with TNFalpha
and IL-1beta suppressed exclusively in the hippocampus. Analysis of BECs revealed
a small but significant reduction in ethanol after 4 EOD exposures - an effect
which was not observed when ethanol was delivered after 6 daily intubations.
These findings suggest that ethanol-induced RANGE effects are not simply a
function of ethanol load per se, and underscore the critical role that ethanol
dosing interval plays in determining the neuroimmune consequences of alcohol.
PMID- 27208499
TI - Mood and neuropsychological effects of different doses of ketamine in
electroconvulsive therapy for treatment-resistant depression.
AB - BACKGROUND: Treatment-resistant depression (TRD) is a growing clinical challenge.
Electroconvulsive therapy (ECT) is an effective tool for TRD treatment. However,
there remains a subset of patients who do not respond to this treatment with
common anesthetic agent. Ketamine, a noteworthy anesthetic agent, has emerged as
an augmentation to enhance the antidepressant efficacy of ECT. Trials of i.v.
ketamine in TRD indicated dose-related mood enhancing efficacy. We aimed to
explore anesthetic and subanesthetic concentrations of ketamine in ECT for TRD
with respect to their impact on mood and neuropsychological effects. METHODS:
Ninety TRD patients (36 males, 54 females; average age, 30.6 years old) were
randomly assigned to receive either ketamine (0.8mg/kg) (n=30), subanesthetic
ketamine (0.5mg/kg) plus propofol (0.5mg/kg) (n=30) or propofol (0.8mg/kg) (n=30)
as an anesthetic and underwent 8 ECT sessions. The primary outcome measures were
the 17-item Hamilton Depression Rating Scale (HDRS-17), cognitive assessments and
seizure parameters. RESULTS: The ketamine group had an earlier improvement in
HDRS-17, longer seizure duration, lower electric quantity, a higher remission
rate, and a lower degree of executive cognitive impairment compared to the
ketamine+propofol and propofol groups. The ketamine+propofol group showed earlier
improvement in the HDRS-17, a longer seizure duration and a different seizure
energy index when compared to the propofol group. LIMITATIONS: The postoperative
dissociative side effect was not assessed. CONCLUSIONS: Both anesthetic and
subanesthetic concentrations of ketamine have rapid mood enhancing actions in ECT
for TRD, while anesthetic concentrations results in larger magnitudes of
antidepression and cognitive protection. ECT with ketamine anesthesia might be an
optimized therapy for patients with TRD.
PMID- 27208498
TI - Brexpiprazole as adjunctive treatment of major depressive disorder with anxious
distress: Results from a post-hoc analysis of two randomised controlled trials.
AB - BACKGROUND: Anxiety symptoms are prevalent in major depressive disorder (MDD) and
are associated with greater illness severity, suicidality, impaired functioning
and poor response to antidepressant treatment (ADT). The efficacy and safety of
brexpiprazole - a serotonin-dopamine activity modulator - as adjunctive treatment
in patients with MDD was recently evaluated in two phase 3 studies. We here
present a post-hoc analysis of the efficacy of adjunctive brexpiprazole in
patients with MDD and symptoms of anxious distress, defined using proxies for DSM
5 criteria. METHODS: Eligible patients were randomized to 2mg brexpiprazole+ADT
or placebo+ADT (NCT01360645); or 1mg brexpiprazole+ADT, 3mg brexpiprazole+ADT, or
placebo+ADT (NCT01360632), respectively. Patients were defined as having anxious
distress if they had >=2 of the symptoms tension (MADRS item 3 score >=3),
restlessness (IDS item 24 score >=2), concentration (MADRS item 6 score >=3), or
apprehension (HAM-D item 10 score >=3). Primary efficacy endpoint was change in
MADRS total score from baseline to Week 6. RESULTS: 55% of the patients had
anxious distress at baseline. Adjunctive brexpiprazole showed greater improvement
than adjunctive placebo on the primary efficacy endpoint in both patients with
(least square mean difference to placebo+ADT: 2mg+ADT: -2.95, p=0.0023; 3mg+ADT:
2.81, p=0.0027); and without anxious distress (1mg+ADT: -2.37, p=0.0093; 3mg+ADT:
-2.23, p=0.0131). Brexpiprazole in patients with anxious distress was not
associated with an increased incidence of activating adverse events (e.g.,
akathisia). CONCLUSIONS: Adjunctive brexpiprazole 2-3mg may be efficacious in
reducing depressive symptoms and is well tolerated, in patients with MDD and
anxious distress.
PMID- 27208500
TI - Effect of valproic acid on dementia onset in patients with bipolar disorder.
AB - BACKGROUND: Valproic acid (VPA) is widely used for treating patients with bipolar
disorder; however, it has adverse effects on cognitive function. This study
investigated the effect of VPA on the risk of dementia in patients with bipolar
disorder. METHODS: We analyzed data from Taiwan's Longitudinal Health Insurance
Database 2010. Patients with bipolar disorder who were prescribed VPA for 28 days
or at least once per month for 3 consecutive months after the index date were
classified as the VPA-treated group, whereas those who did not receive VPA were
classified as the VPA-untreated group. Both groups were tracked until the end of
2013 or until loss to follow-up to identify new-onset dementia events.
Multivariable Cox proportional hazards models were used to estimate the hazard
ratio (HR) of subsequent dementia associated with VPA treatment after adjustment
for confounding variables. RESULTS: The study comprised 5158 patients with
bipolar disorder. The multivariable-adjusted HR for newly diagnosed dementia was
1.73 (95% confidence interval [CI], 1.24-2.41, P=0.001) for the VPA-treated group
compared with the VPA-untreated group after adjustment for potential confounders.
The VPA-treated group had a higher risk than did the VPA-untreated group after
propensity score adjustment (HR=1.95, 95% CI=1.42-2.67, P<0.001). LIMITATION:
Certain variables that may affect the incidence of dementia were unavailable in
the claims database and thus could not be considered. CONCLUSION: Treating
bipolar disorder with VPA increases the risk of dementia by 73-95%.
PMID- 27208501
TI - Shifting p53-induced senescence to cell death by TIS21(/BTG2/Pc3) gene through
posttranslational modification of p53 protein.
AB - Cellular senescence and apoptosis can be regulated by p53 activity, although the
underlying mechanism of the switch between the two events remains largely
unknown. Cells exposed to cancer chemotherapy can escape to senescence phenotype
rather than undergoing apoptosis. By employing adenoviral transduction of p53 or
TIS21 genes, we observed shifting of p53 induced-senescence to apoptosis in EJ
bladder cancer cells, which express H-RasV12 and mutant p53; transduction of p53
increased H-RasV12 expression along with senescence phenotypes, whereas
coexpression with TIS21 (p53+TIS21) induced cell death rather than senescence.
The TIS21-mediated switch of senescence to apoptosis was accompanied by nuclear
translocation of p53 protein and its modifications on Ser-15 and Ser-46
phosphorylation and acetylations on Lys-120, -320, -373 and -382 residues.
Mechanistically, TIS21(/BTG2) regulated posttranslational modification of p53 via
enhancing miR34a and Bax expressions as opposed to inhibiting SIRT1 and Bcl2
expression. At the same time, TIS21 increased APAF-1 and p53AIP1 expressions, but
inhibited the interaction of p53 with iASPP. In vitro tumorigenicity was
significantly reduced in the p53+TIS21 expresser through inhibiting micro-colony
proliferation by TIS21. Effect of TIS21 on the regulation of p53 activity was
confirmed by knockdown of TIS21 expression by RNA interference. Therefore, we
suggest TIS21 expression as an endogenous cell death inducer at the downstream of
p53 gene, which might be useful for intractable cancer chemotherapy.
PMID- 27208502
TI - Identification of bone morphogenetic protein 9 (BMP9) as a novel profibrotic
factor in vitro.
AB - Upregulated synthesis of extracellular matrix (ECM) proteins by myofibroblasts is
a common phenomenon in the development of fibrosis. Although the role of TGF-beta
in fibrosis development has been extensively studied, the involvement of other
members of this superfamily of cytokines, the bone morphogenetic proteins (BMPs)
in organ fibrosis has given contradictory results. BMP9 is the main ligand for
activin receptor-like kinase-1 (ALK1) TGF-beta1 type I receptor and its effect on
fibrosis development is unknown. Our purpose was to study the effect of BMP9 in
ECM protein synthesis in fibroblasts, as well as the involved receptors and
signaling pathways. In cultured mice fibroblasts, BMP9 induces an increase in
collagen, fibronectin and connective tissue growth factor expression, associated
with Smad1/5/8, Smad2/3 and Erk1/2 activation. ALK5 inhibition with SB431542 or
ALK1/2/3/6 with dorsomorphin-1, inhibition of Smad3 activation with SIS3, and
inhibition of the MAPK/Erk1/2 with U0126, demonstrates the involvement of these
pathways in BMP9-induced ECM synthesis in MEFs. Whereas BMP9 induced Smad1/5/8
phosphorylation through ALK1, it also induces Smad2/3 phosphorylation through
ALK5 but only in the presence of ALK1. Summarizing, this is the first study that
accurately identifies BMP9 as a profibrotic factor in fibroblasts that promotes
ECM protein expression through ALK1 and ALK5 receptors.
PMID- 27208503
TI - Mobilization of storage materials during light-induced germination of tomato
(Solanum lycopersicum) seeds.
AB - The aim of this study was to analyze the metabolism of storage materials in
germinating tomato (Solanum lycopersicum) seeds and to determine whether it is
regulated by light via phytochromes. Wild type, single and multiple phytochrome
A, B1 and B2 mutants were investigated. Imbibed seeds were briefly irradiated
with far-red or far-red followed by red light, and germinated in darkness.
Triacylglycerols and starch were quantified using biochemical assays in
germinating seeds and seedlings during the first 5 days of growth. To investigate
the process of fat-carbohydrate transformation, the activity of the glyoxylate
cycle was assessed. Our results confirm the role of phytochrome in the control of
tomato seed germination. Phytochromes A and B2 were shown to play specific roles,
acting antagonistically in far-red light. While the breakdown of triacylglycerols
proceeded independently of light, phytochrome control was visible in the next
stages of the lipid-carbohydrate transformation. The key enzymes of the
glyoxylate cycle, isocitrate lyase and malate synthase, were regulated by
phytochrome(s). This was reflected in a greater increase of starch content during
seedling growth in response to additional red light treatment. This study is the
first attempt to build a comprehensive image of storage material metabolism
regulation by light in germinating dicotyledonous seeds.
PMID- 27208504
TI - Modulation of NADPH-oxidase gene expression in rolB-transformed calli of
Arabidopsis thaliana and Rubia cordifolia.
AB - Expression of rol genes from Agrobacterium rhizogenes induces reprogramming of
transformed plant cells and provokes pleiotropic effects on primary and secondary
metabolism. We have previously established that the rolB and rolC genes impair
reactive oxygen species (ROS) generation in transformed cells of Rubia cordifolia
and Arabidopsis thaliana. In the present investigation, we tested whether this
effect is associated with changes in the expression levels of NADPH oxidases,
which are considered to be the primary source of ROS during plant-microbe
interactions. We identified two full-length NADPH oxidase genes from R.
cordifolia and examined their expression in non-transformed and rolB-transformed
calli. In addition, we examined the expression of their homologous genes from A.
thaliana in non-transformed and rolB-expressing cells. The expression of Rboh
isoforms was 3- to 7-fold higher in both R. cordifolia and A. thaliana rolB
transformed cells compared with non-transformed cells. Our results for the first
time show that Agrobacterium rolB gene regulates particular NADPH oxidase
isoforms.
PMID- 27208505
TI - A diagnostic approach to mild bleeding disorders.
AB - Mild inherited bleeding disorders are relatively common in the general
population. Despite recent advances in diagnostic approaches, mild inherited
bleeding disorders still pose a significant diagnostic challenge. Hemorrhagic
diathesis can be caused by disorders in primary hemostasis (von Willebrand
disease, inherited platelet function disorders), secondary hemostasis (hemophilia
A and B, other (rare) coagulant factor deficiencies) and fibrinolysis, and in
connective tissue or vascular formation. This review summarizes the currently
available diagnostic methods for mild bleeding disorders and their pitfalls, from
structured patient history to highly specialized laboratory diagnosis. A
comprehensive framework for a diagnostic approach to mild inherited bleeding
disorders is proposed.
PMID- 27208506
TI - Emerging roles for extracellular vesicles in parasitic infections.
AB - Extracellular vesicles (EVs) are released by cells and contain a complex mixture
of proteins, genetic information and lipids. EVs mediate cell:cell communication
by transferring their molecular cargo between cells. EVs, initially discovered in
mammalian systems, have been demonstrated to play critical role in immunology and
cancer biology. More recently, EVs have been identified in a broad range of both
unicellular and multicellular parasites. In this review we focus on the emerging
roles for EVs in parasitic infections. Parasite-derived EVs can transfer
virulence factors and drug-resistance markers, modify host cell gene expression
and promote parasite adherence and host cell proliferation. EVs can also suppress
or stimulate host immune responses. Thus, EVs are likely important in determining
the outcome of parasitic infections.
PMID- 27208507
TI - Why does bone have TERM limits?
PMID- 27208509
TI - Childhood epidermolysis bullosa acquisita during squaric acid dibutyl ester
immunotherapy for alopecia areata.
AB - Epidermolysis bullosa acquisita (EBA) is a rare acquired subepidermal blistering
disease associated with autoantibodies against type VII collagen. Although EBA
manifests more frequently in adults, it can occur in childhood. We describe a 6
year-old boy who developed the inflammatory variant of EBA shortly after
initiation of immunotherapy with squaric acid dibutyl ester (SADBE) for scalp
alopecia areata. The disease rapidly regressed following SADBE discontinuation
and starting combined steroid and dapsone therapy, and never recurred after
treatment tapering and withdrawal. The association of EBA with other autoimmune
diseases is common, but EBA occurring during alopecia areata has not been
described previously. The development of EBA during SADBE treatment is also
notable: the clinical history and therapeutic response in our patient point to a
possible role of SADBE in EBA onset.
PMID- 27208508
TI - Identification of human RNA editing sites: A historical perspective.
AB - A-to-I RNA editing is an essential gene regulatory mechanism. Once thought to be
a rare phenomenon only occurring in a few transcripts, the emergence of high
throughput RNA sequencing has facilitated the identification of over 2 million
RNA editing sites in the human transcriptome. In this review, we survey the
current RNA-seq based methods as well as historical methods used to identify RNA
editing sites.
PMID- 27208511
TI - Hospital utilization outcome of an assertive outreach model for schizophrenic
patients - results of a quasi-experimental study.
AB - We assessed whether an Assertive Outreach (AO) program for patients with
schizophrenia implemented in German routine care in rural areas reduces
psychiatric hospital admissions and/or psychiatric hospital days. We conducted a
quasi-experimental controlled study with 5 assessments in 12 months. Data
collection included health care utilization (Client Sociodemographic and Service
Receipt Inventory), and clinical parameters. The assessments took place in the
practices of the psychiatrists. Admission incidence rates were calculated. For
bivariate group comparison, we used U-tests, T-tests and Chi(2)-Tests,
multivariate analysis was conducted using zero-inflated regression models. For
hospital outcomes, data of 295 patients was analysed. No statistically
significant differences between AO and TAU patients in terms of hospital
admissions or hospital days were found. Overall hospital utilization was low
(8%). Advantages of AO over TAU referring to hospital utilization were not found.
However, a spill-over effect might have reduced hospital utilization in both
groups. Further research should differentiate patient subgroups. These two appear
to be key factors to explain effects or absence of effects and to draw
conclusions for the mental health care delivery.
PMID- 27208510
TI - Influence of structural load-bearing scaffolds on mechanical load- and BMP-2
mediated bone regeneration.
AB - A common design constraint in functional tissue engineering is that scaffolds
intended for use in load-bearing sites possess similar mechanical properties to
the replaced tissue. Here, we tested the hypothesis that in vivo loading would
enhance bone morphogenetic protein-2 (BMP-2)-mediated bone regeneration in the
presence of a load-bearing PLDL scaffold, whose pores and central core were
filled with BMP-2-releasing alginate hydrogel. First, we evaluated the effects of
in vivo mechanical loading on bone regeneration in the structural scaffolds.
Second, we compared scaffold-mediated bone regeneration, independent of
mechanical loading, with alginate hydrogel constructs, without the structural
scaffold, that have been shown previously to facilitate in vivo mechanical
stimulation of bone formation. Contrary to our hypothesis, mechanical loading had
no effect on bone formation, distribution, or biomechanical properties in
structural scaffolds. Independent of loading, the structural scaffolds reduced
bone formation compared to non-structural alginate, particularly in regions in
which the scaffold was concentrated, resulting in impaired functional
regeneration. This is attributable to a combination of stress shielding by the
scaffold and inhibition of cellular infiltration and tissue ingrowth.
Collectively, these data question the necessity of scaffold similarity to mature
tissue at the time of implantation and emphasize development of an environment
conducive to cellular activation of matrix production and ultimate functional
regeneration.
PMID- 27208512
TI - Study of the tetraspanin 18 association with schizophrenia in a Han Chinese
population.
AB - A genome-wide association study of Han Chinese samples identified three single
nucleotide polymorphisms in the tetraspanin 18 (TSPAN18) gene to be associated
with schizophrenia. However, the replication of the TSPAN18 association was
inconsistent across studies. To explore the possible reason for poor replication,
we conducted a case-control study to validate the TSPAN18 finding in an
independent Chinese sample. The frequency of rs11038167 minor allele (A) was
significantly higher only in female patients with thought disorder. Our result
suggested that the TSPAN18 gene may be involved in the development of psychotic
symptoms and contribute to clinical heterogeneity of schizophrenia.
PMID- 27208514
TI - Is plasma GABA level a biomarker of Post-Traumatic Stress Disorder (PTSD)
severity? A preliminary study.
AB - OBJECTIVES: An increased reactivity to the environment is observed in Post
Traumatic Stress Disorder (PTSD). It would be related to impairment of the Gamma
Amino Butyric Acid (GABA) neurotransmission. The study aimed to evaluate plasma
GABA concentration as a candidate for PTSD severity biomarker. METHODS: This
hypothesis was studied in 17 PTSD patients and 17 healthy Controls using classic
and emotional Stroop paradigms. Plasma GABA concentrations were assessed before
and after both Stroop tests to evaluate GABA basal tone and GABA reactivity
(change in GABAp), respectively. RESULTS: During baseline, PTSD had lower plasma
GABA concentrations than the Controls. After the Stroop conflicts GABA reactivity
was also lower in PTSD than in the Controls. The GABA baseline tone was
negatively correlated with the severity of the PTSD symptoms. This relation was
only marginally observed for GABA reactivity. The results produced a trend due to
the small size of the sample compared to the number of statistical results given.
CONCLUSION: Altogether, the reduced GABA concentration observed in PTSD could be
considered as a possible biomarker for PTSD severity.
PMID- 27208513
TI - Examining affect and perfectionism in relation to eating disorder symptoms among
women with anorexia nervosa.
AB - This study examined personality and affective variables in relation to eating
disorder symptoms in anorexia nervosa (AN). Women (N=118) with DSM-IV AN
completed baseline questionnaires (Beck Depression Inventory, Frost
Multidimensional Perfectionism Scale) and interviews (Eating Disorder
Examination, Yale-Brown-Cornell Eating Disorder Scale), followed by two weeks of
ecological momentary assessment (EMA) involving multiple daily reports of
affective states and eating disorder behaviors. Hierarchical regression analyses
were conducted using eating disorder symptoms as dependent variables (i.e., EMA
binge eating, EMA self-induced vomiting, eating disorder rituals, eating disorder
preoccupations, dietary restraint). Predictor variables were maladaptive
perfectionism (baseline), depressive symptoms (baseline), and affect lability
(EMA). Results revealed that affect lability was independently associated with
binge eating, whereas depressive symptoms were independently associated with self
induced vomiting. Depressive symptoms were independently associated with eating
disorder rituals, whereas both depressive symptoms and maladaptive perfectionism
were independently associated with eating disorder preoccupations. Finally,
maladaptive perfectionism and affect lability were both independently associated
with dietary restraint. This pattern of findings suggests the importance of
affective and personality constructs in relation to eating disorder symptoms in
AN and may highlight the importance of targeting these variables in the context
of treatment.
PMID- 27208515
TI - Determinants of Survival for Adolescents and Young Adults with Urothelial Bladder
Cancer: Results from the California Cancer Registry.
AB - PURPOSE: Bladder cancer is a common malignancy often diagnosed in older adults.
Previous studies have reported racial/ethnic disparities in bladder cancer
survival outcomes but have not focused on younger patients. We identified whether
factors influencing cause specific survival in adolescents and young adults (ages
15 to 39) differed from older adults, and defined prognostic factors specifically
in adolescents and young adults using the California Cancer Registry. MATERIALS
AND METHODS: Patients diagnosed with bladder cancer between 1988 through 2012
were included in the study. The primary outcome measure was cause specific
survival. A multivariable Cox proportional hazards regression model was used to
evaluate predictors of cause specific survival in patients of all ages and in
adolescents/young adults. Interactions of age and other variables between younger
and older adult patients were assessed. RESULTS: Of 104,974 patients with bladder
cancer we identified 1,688 adolescent and young adult patients (1.6%). Compared
to older patients these patients had a 58% reduced risk of bladder cancer death
(HR 0.42, p <0.001). Significant age interactions were identified involving
race/ethnicity and histology. Among adolescents and young adults, nonHispanic
African-American patients with low socioeconomic status had poor cause specific
(HR 7.1, p <0.001) and overall (HR 5.02, p <0.001) survival. CONCLUSIONS:
Racial/ethnic and socioeconomic disparities exist in adolescent and young adult
patients with bladder cancer in California. Further studies are warranted to
identify the underlying causes in order to overcome these disparities.
PMID- 27208517
TI - Complex wavelet based quality assessment for AS-OCT images with application to
Angle Closure Glaucoma diagnosis.
AB - BACKGROUND AND OBJECTIVES: Angle closure disease in the eye can be detected using
time-domain Anterior Segment Optical Coherence Tomography (AS-OCT). The Anterior
Chamber (AC) characteristics can be quantified from AS-OCT image, which is
dependent on the image quality at the image acquisition stage. To date, to the
best of our knowledge there are no objective or automated subjective measurements
to assess the quality of AS-OCT images. METHODS: To address AS-OCT image quality
assessment issue, we define a method for objective assessment of AS-OCT images
using complex wavelet based local binary pattern features. These features are
pooled using the Naive Bayes classifier to obtain the final quality parameter. To
evaluate the proposed method, a subjective assessment has been performed by
clinical AS-OCT experts, who graded the quality of AS-OCT images on a scale of
good, fair, and poor. This was done based on the ability to identify the AC
structures including the position of the scleral spur. RESULTS: We compared the
results of the proposed objective assessment with the subjective assessments.
From this comparison, it is validated that the proposed objective assessment has
the ability of differentiating the good and fair quality AS-OCT images for
glaucoma diagnosis from the poor quality AS-OCT images. CONCLUSIONS: This
proposed algorithm is an automated approach to evaluate the AS-OCT images with
the intention for collecting of high quality data for further medical diagnosis.
Our proposed quality index has the ability of automatic objective and
quantitative assessment of AS-OCT image quality and this quality index is similar
to glaucoma specialist.
PMID- 27208516
TI - Quantification of knee vibroarthrographic signal irregularity associated with
patellofemoral joint cartilage pathology based on entropy and envelope amplitude
measures.
AB - BACKGROUND AND OBJECTIVE: Injury of knee joint cartilage may result in
pathological vibrations between the articular surfaces during extension and
flexion motions. The aim of this paper is to analyze and quantify
vibroarthrographic (VAG) signal irregularity associated with articular cartilage
degeneration and injury in the patellofemoral joint. METHODS: The symbolic
entropy (SyEn), approximate entropy (ApEn), fuzzy entropy (FuzzyEn), and the
mean, standard deviation, and root-mean-squared (RMS) values of the envelope
amplitude, were utilized to quantify the signal fluctuations associated with
articular cartilage pathology of the patellofemoral joint. The quadratic
discriminant analysis (QDA), generalized logistic regression analysis (GLRA), and
support vector machine (SVM) methods were used to perform signal pattern
classifications. RESULTS: The experimental results showed that the patients with
cartilage pathology (CP) possess larger SyEn and ApEn, but smaller FuzzyEn, over
the statistical significance level of the Wilcoxon rank-sum test (p<0.01), than
the healthy subjects (HS). The mean, standard deviation, and RMS values computed
from the amplitude difference between the upper and lower signal envelopes are
also consistently and significantly larger (p<0.01) for the group of CP patients
than for the HS group. The SVM based on the entropy and envelope amplitude
features can provide superior classification performance as compared with QDA and
GLRA, with an overall accuracy of 0.8356, sensitivity of 0.9444, specificity of
0.8, Matthews correlation coefficient of 0.6599, and an area of 0.9212 under the
receiver operating characteristic curve. CONCLUSIONS: The SyEn, ApEn, and FuzzyEn
features can provide useful information about pathological VAG signal
irregularity based on different entropy metrics. The statistical parameters of
signal envelope amplitude can be used to characterize the temporal fluctuations
related to the cartilage pathology.
PMID- 27208518
TI - Copper oxide nanoparticles analysis with water as base fluid for peristaltic flow
in permeable tube with heat transfer.
AB - The peristaltic flow of a copper oxide water fluid investigates the effects of
heat generation and magnetic field in permeable tube is studied. The mathematical
formulation is presented, the resulting equations are solved exactly. The
obtained expressions for pressure gradient, pressure rise, temperature, velocity
profile are described through graphs for various pertinent parameters. It is
found that pressure gradient is reduce with enhancement of particle concentration
and velocity profile is upturn, beside it is observed that temperature increases
as more volume fraction of copper oxide. The streamlines are drawn for some
physical quantities to discuss the trapping phenomenon.
PMID- 27208519
TI - A new method of detecting micro-calcification clusters in mammograms using
contourlet transform and non-linking simplified PCNN.
AB - BACKGROUND AND OBJECTIVES: Mammography analysis is an effective technology for
early detection of breast cancer. Micro-calcification clusters (MCs) are a vital
indicator of breast cancer, so detection of MCs plays an important role in
computer aided detection (CAD) system, this paper proposes a new hybrid method to
improve MCs detection rate in mammograms. METHODS: The proposed method comprises
three main steps: firstly, remove label and pectoral muscle adopting the largest
connected region marking and region growing method, and enhance MCs using the
combination of double top-hat transform and grayscale-adjustment function;
secondly, remove noise and other interference information, and retain the
significant information by modifying the contourlet coefficients using nonlinear
function; thirdly, we use the non-linking simplified pulse-coupled neural network
to detect MCs. RESULTS: In our work, we choose 118 mammograms including 38
mammograms with micro-calcification clusters and 80 mammograms without micro
calcification to demonstrate our algorithm separately from two open and common
database including the MIAS and JSMIT; and we achieve the higher specificity of
94.7%, sensitivity of 96.3%, AUC of 97.0%, accuracy of 95.8%, MCC of 90.4%, MCC
PS of 61.3% and CEI of 53.5%, these promising results clearly demonstrate that
the proposed approach outperforms the current state-of-the-art algorithms. In
addition, this method is verified on the 20 mammograms from the People's Hospital
of Gansu Province, the detection results reveal that our method can accurately
detect the calcifications in clinical application. CONCLUSIONS: This proposed
method is simple and fast, furthermore it can achieve high detection rate, it
could be considered used in CAD systems to assist the physicians for breast
cancer diagnosis in the future.
PMID- 27208520
TI - The evaluation of a novel haptic-enabled virtual reality approach for computer
aided cephalometry.
AB - BACKGROUND AND OBJECTIVE: In oral and maxillofacial surgery, conventional
radiographic cephalometry is one of the standard auxiliary tools for diagnosis
and surgical planning. While contemporary computer-assisted cephalometric systems
and methodologies support cephalometric analysis, they tend neither to be
practical nor intuitive for practitioners. This is particularly the case for 3D
methods since the associated landmarking process is difficult and time consuming.
In addition to this, there are no 3D cephalometry norms or standards defined;
therefore new landmark selection methods are required which will help facilitate
their establishment. This paper presents and evaluates a novel haptic-enabled
landmarking approach to overcome some of the difficulties and disadvantages of
the current landmarking processes used in 2D and 3D cephalometry. METHOD: In
order to evaluate this new system's feasibility and performance, 21 dental
surgeons (comprising 7 Novices, 7 Semi-experts and 7 Experts) performed a range
of case studies using a haptic-enabled 2D, 21/2D and 3D digital cephalometric
analyses. RESULTS: The results compared the 2D, 21/2D and 3D cephalometric
values, errors and standard deviations for each case study and associated group
of participants and revealed that 3D cephalometry significantly reduced
landmarking errors and variability compared to 2D methods. CONCLUSIONS: Through
enhancing the process by providing a sense of touch, the haptic-enabled 3D
digital cephalometric approach was found to be feasible and more intuitive than
its counterparts as well effective at reducing errors, the variability of the
measurements taken and associated task completion times.
PMID- 27208521
TI - Congestive heart failure detection using random forest classifier.
AB - BACKGROUND AND OBJECTIVES: Automatic electrocardiogram (ECG) heartbeat
classification is substantial for diagnosing heart failure. The aim of this paper
is to evaluate the effect of machine learning methods in creating the model which
classifies normal and congestive heart failure (CHF) on the long-term ECG time
series. METHODS: The study was performed in two phases: feature extraction and
classification phase. In feature extraction phase, autoregressive (AR) Burg
method is applied for extracting features. In classification phase, five
different classifiers are examined namely, C4.5 decision tree, k-nearest
neighbor, support vector machine, artificial neural networks and random forest
classifier. The ECG signals were acquired from BIDMC Congestive Heart Failure and
PTB Diagnostic ECG databases and classified by applying various experiments.
RESULTS: The experimental results are evaluated in several statistical measures
(sensitivity, specificity, accuracy, F-measure and ROC curve) and showed that the
random forest method gives 100% classification accuracy. CONCLUSIONS: Impressive
performance of random forest method proves that it plays significant role in
detecting congestive heart failure (CHF) and can be valuable in expressing
knowledge useful in medicine.
PMID- 27208523
TI - Automatic cardiac T2* relaxation time estimation from magnetic resonance images
using region growing method with automatically initialized seed points.
AB - BACKGROUND AND OBJECTIVE: Heart failure due to iron-overload cardiomyopathy is
one of the main causes of mortality. The cardiomyopathy is reversible if
intensive iron chelation treatment is done in time, but the diagnosis is often
delayed because the cardiac iron deposition is unpredictable and the symptoms are
lately detected. There are many ways to assess iron-overload. However, the widely
used and approved method is by using MRI which is performed by calculating the
T2* (T2-star). In order to compute the T2* value, the region of interest (ROI) is
manually selected by an expert which may require considerable time and skills.
The aim of this work is hence to develop the cardiac T2* measurement by using
region growing algorithm for automatically segmenting the ROI in cardiac MR
images. Mathematical morphologies are also used to reduce some errors. METHODS:
Thirty MR images with free-breathing and respiratory-trigger technique were used
in this work. The segmentation algorithm yields good results when compared with
the manual segmentation performed by two experts. RESULTS: The averages of
positive predictive value, the sensitivity, the Hausdorff distance, and the Dice
similarity coefficient are 0.76, 0.84, 7.78 pixels, and 0.80 when compared with
the two experts' opinions. The T2* values were carried out based on the
automatically segmented ROI's. The mean difference of T2* values between the
proposed technique and the experts' opinion is about 1.40ms. CONCLUSIONS: The
results demonstrate the accuracy of the proposed method in T2* value estimation.
Some previous methods were implemented for comparisons. The results show that the
proposed method yields better segmentation and T2* value estimation performances.
PMID- 27208522
TI - Automated anterior segment OCT image analysis for Angle Closure Glaucoma
mechanisms classification.
AB - BACKGROUND AND OBJECTIVES: Angle closure glaucoma (ACG) is an eye disease
prevalent throughout the world. ACG is caused by four major mechanisms:
exaggerated lens vault, pupil block, thick peripheral iris roll, and plateau
iris. Identifying the specific mechanism in a given patient is important because
each mechanism requires a specific medication and treatment regimen. Traditional
methods of classifying these four mechanisms are based on clinically important
parameters measured from anterior segment optical coherence tomography (AS-OCT)
images, which rely on accurate segmentation of the AS-OCT image and
identification of the scleral spur in the segmented AS-OCT images by clinicians.
METHODS: In this work, a fully automated method of classifying different ACG
mechanisms based on AS-OCT images is proposed. Since the manual diagnosis mainly
based on the morphology of each mechanism, in this study, a complete set of
morphological features is extracted directly from raw AS-OCT images using
compound image transforms, from which a small set of informative features with
minimum redundancy are selected and fed into a Naive Bayes Classifier (NBC).
RESULTS: We achieved an overall accuracy of 89.2% and 85.12% with a leave-one-out
cross-validation and 10-fold cross-validation method, respectively. This study
proposes a fully automated way for the classification of different ACG
mechanisms, which is without intervention of doctors and less subjective when
compared to the existing methods. CONCLUSIONS: We directly extracted the compound
image transformed features from the raw AS-OCT images without any segmentation
and parameter measurement. Our method provides a completely automated and
efficient way for the classification of different ACG mechanisms.
PMID- 27208524
TI - Different medical data mining approaches based prediction of ischemic stroke.
AB - AIM: Medical data mining (also called knowledge discovery process in medicine)
processes for extracting patterns from large datasets. In the current study, we
intend to assess different medical data mining approaches to predict ischemic
stroke. MATERIALS AND METHODS: The collected dataset from Turgut Ozal Medical
Centre, Inonu University, Malatya, Turkey, comprised the medical records of 80
patients and 112 healthy individuals with 17 predictors and a target variable. As
data mining approaches, support vector machine (SVM), stochastic gradient
boosting (SGB) and penalized logistic regression (PLR) were employed. 10-fold
cross validation resampling method was utilized, and model performance evaluation
metrics were accuracy, area under ROC curve (AUC), sensitivity, specificity,
positive predictive value and negative predictive value. The grid search method
was used for optimizing tuning parameters of the models. RESULTS: The accuracy
values with 95% CI were 0.9789 (0.9470-0.9942) for SVM, 0.9737 (0.9397-0.9914)
for SGB and 0.8947 (0.8421-0.9345) for PLR. The AUC values with 95% CI were
0.9783 (0.9569-0.9997) for SVM, 0.9757 (0.9543-0.9970) for SGB and 0.8953 (0.8510
0.9396) for PLR. CONCLUSIONS: The results of the current study demonstrated that
the SVM produced the best predictive performance compared to the other models
according to the majority of evaluation metrics. SVM and SGB models explained in
the current study could yield remarkable predictive performance in the
classification of ischemic stroke.
PMID- 27208525
TI - A novel benchmark model for intelligent annotation of spectral-domain optical
coherence tomography scans using the example of cyst annotation.
AB - BACKGROUND AND OBJECTIVES: The lack of benchmark data in computational
ophthalmology contributes to the challenging task of applying disease assessment
and evaluate performance of machine learning based methods on retinal spectral
domain optical coherence tomography (SD-OCT) scans. Presented here is a general
framework for constructing a benchmark dataset for retinal image processing tasks
such as cyst, vessel, and subretinal fluid segmentation and as a result, a
benchmark dataset for cyst segmentation has been developed. METHOD: First, a
dataset captured by different SD-OCT vendors with different numbers of scans and
pathology qualities are selected. Then a robust and intelligent method is used to
evaluate performance of readers, partitioning the dataset into subsets. Subsets
are then assigned to complementary readers for annotation with respect to a novel
confidence based annotation protocol. Finally, reader annotations are combined
based on their performance to generate final annotations. RESULT: The generated
benchmark dataset for cyst segmentation comprises 26 SD-OCT scans with differing
cyst qualities, collected from 4 different SD-OCT vendors to cover a wide variety
of data. The dataset is partitioned into three subsets which are annotated by
complementary readers based on a confidence based annotation protocol.
Experimental results show annotations of complementary readers are combined
efficiently with respect to their performance, generating accurate annotations.
CONCLUSION: Our results facilitate the process of generating benchmark datasets.
Moreover the generated benchmark data set for cyst segmentation can be used
reliably to train and test machine learning based methods.
PMID- 27208526
TI - Numerical modeling of cell differentiation and proliferation in force-induced
substrates via encapsulated magnetic nanoparticles.
AB - BACKGROUND AND OBJECTIVE: Cell migration, differentiation, proliferation and
apoptosis are the main processes in tissue regeneration. Mesenchymal Stem Cells
have the potential to differentiate into many cell phenotypes such as tissue- or
organ-specific cells to perform special functions. Experimental observations
illustrate that differentiation and proliferation of these cells can be regulated
according to internal forces induced within their Extracellular Matrix. The
process of how exactly they interpret and transduce these signals is not well
understood. METHODS: A previously developed three-dimensional (3D) computational
model is here extended and employed to study how force-free substrates and force
induced substrate control cell differentiation and/or proliferation during the
mechanosensing process. Consistent with experimental observations, it is assumed
that cell internal deformation (a mechanical signal) in correlation with the cell
maturation state directly triggers cell differentiation and/or proliferation. The
Extracellular Matrix is modeled as Neo-Hookean hyperelastic material assuming
that cells are cultured within 3D nonlinear hydrogels. RESULTS: In agreement with
well-known experimental observations, the findings here indicate that within
neurogenic (0.1-1kPa), chondrogenic (20-25kPa) and osteogenic (30-45kPa)
substrates, Mesenchymal Stem Cells differentiation and proliferation can be
precipitated by inducing the substrate with an internal force. Therefore, cells
require a longer time to grow and maturate within force-free substrates than
within force-induced substrates. In the instance of Mesenchymal Stem Cells
differentiation into a compatible phenotype, the magnitude of the net traction
force increases within chondrogenic and osteogenic substrates while it reduces
within neurogenic substrates. This is consistent with experimental studies and
numerical works recently published by the same authors. However, in all cases the
magnitude of the net traction force considerably increases at the instant of cell
proliferation because of cell-cell interaction. CONCLUSIONS: The present model
provides new perspectives to delineate the role of force-induced substrates in
remotely controlling the cell fate during cell-matrix interaction, which open the
door for new tissue regeneration methodologies.
PMID- 27208527
TI - Automated stratification of liver disease in ultrasound: An online accurate
feature classification paradigm.
AB - PURPOSE: Fatty liver disease (FLD) is one of the most common diseases in liver.
Early detection can improve the prognosis considerably. Using ultrasound for FLD
detection is highly desirable due to its non-radiation nature, low cost and easy
use. However, the results can be slow and ambiguous due to manual detection. The
lack of computer trained systems leads to low image quality and inefficient
disease classification. Thus, the current study proposes novel, accurate and
reliable detection system for the FLD using computer-based training system.
MATERIALS AND METHODS: One hundred twenty-four ultrasound sample images were
selected retrospectively from a database of 62 patients consisting of normal and
cancerous. The proposed training system was generated offline parameters using
training liver image database. The classifier applied transformation parameters
to an online system in order to facilitate real-time detection during the
ultrasound scan. The system utilized six sets of features (a total of 128
features), namely Haralick, basic geometric, Fourier transform, discrete cosine
transform, Gupta transform and Gabor transform. These features were extracted for
both offline training and online testing. Levenberg-Marquardt back propagation
network (BPN) classifier was used to classify the liver disease into normal and
abnormal categories. RESULTS: Random partitioning approach was adapted to
evaluate the classifier performance and compute its accuracy. Utilizing all the
six sets of 128 features, the computer aided diagnosis (CAD) system achieved
classification accuracy of 97.58%. Furthermore, the four performance metrics
consisting of sensitivity, specificity, positive predictive value (PPV), and
negative predictive value (NPV) realized 98.08%, 97.22%, 96.23%, and 98.59%,
respectively. CONCLUSION: The proposed system was successfully able to detect and
classify the FLD. Furthermore, the proposed system was benchmarked against
previous methods. The comparison established an advanced set of features in the
Levenberg-Marquardt back propagation network reports a significant improvement
compared to the existing techniques.
PMID- 27208528
TI - Automated resolution of chromatographic signals by independent component analysis
orthogonal signal deconvolution in comprehensive gas chromatography/mass
spectrometry-based metabolomics.
AB - Comprehensive gas chromatography-mass spectrometry (GC*GC-MS) provides a
different perspective in metabolomics profiling of samples. However, algorithms
for GC*GC-MS data processing are needed in order to automatically process the
data and extract the purest information about the compounds appearing in complex
biological samples. This study shows the capability of independent component
analysis-orthogonal signal deconvolution (ICA-OSD), an algorithm based on blind
source separation and distributed in an R package called osd, to extract the
spectra of the compounds appearing in GC*GC-MS chromatograms in an automated
manner. We studied the performance of ICA-OSD by the quantification of 38
metabolites through a set of 20 Jurkat cell samples analyzed by GC*GC-MS. The
quantification by ICA-OSD was compared with a supervised quantification by
selective ions, and most of the R(2) coefficients of determination were in good
agreement (R(2)>0.90) while up to 24 cases exhibited an excellent linear relation
(R(2)>0.95). We concluded that ICA-OSD can be used to resolve co-eluted compounds
in GC*GC-MS.
PMID- 27208529
TI - Hybrid analysis for indicating patients with breast cancer using temperature time
series.
AB - Breast cancer is the most common cancer among women worldwide. Diagnosis and
treatment in early stages increase cure chances. The temperature of cancerous
tissue is generally higher than that of healthy surrounding tissues, making
thermography an option to be considered in screening strategies of this cancer
type. This paper proposes a hybrid methodology for analyzing dynamic infrared
thermography in order to indicate patients with risk of breast cancer, using
unsupervised and supervised machine learning techniques, which characterizes the
methodology as hybrid. The dynamic infrared thermography monitors or
quantitatively measures temperature changes on the examined surface, after a
thermal stress. In the dynamic infrared thermography execution, a sequence of
breast thermograms is generated. In the proposed methodology, this sequence is
processed and analyzed by several techniques. First, the region of the breasts is
segmented and the thermograms of the sequence are registered. Then, temperature
time series are built and the k-means algorithm is applied on these series using
various values of k. Clustering formed by k-means algorithm, for each k value, is
evaluated using clustering validation indices, generating values treated as
features in the classification model construction step. A data mining tool was
used to solve the combined algorithm selection and hyperparameter optimization
(CASH) problem in classification tasks. Besides the classification algorithm
recommended by the data mining tool, classifiers based on Bayesian networks,
neural networks, decision rules and decision tree were executed on the data set
used for evaluation. Test results support that the proposed analysis methodology
is able to indicate patients with breast cancer. Among 39 tested classification
algorithms, K-Star and Bayes Net presented 100% classification accuracy.
Furthermore, among the Bayes Net, multi-layer perceptron, decision table and
random forest classification algorithms, an average accuracy of 95.38% was
obtained.
PMID- 27208530
TI - The monitoring and managing application of cloud computing based on Internet of
Things.
AB - Cloud computing and the Internet of Things are the two hot points in the Internet
application field. The application of the two new technologies is in hot
discussion and research, but quite less on the field of medical monitoring and
managing application. Thus, in this paper, we study and analyze the application
of cloud computing and the Internet of Things on the medical field. And we manage
to make a combination of the two techniques in the medical monitoring and
managing field. The model architecture for remote monitoring cloud platform of
healthcare information (RMCPHI) was established firstly. Then the RMCPHI
architecture was analyzed. Finally an efficient PSOSAA algorithm was proposed for
the medical monitoring and managing application of cloud computing. Simulation
results showed that our proposed scheme can improve the efficiency about 50%.
PMID- 27208532
TI - Assessing respiratory mechanics using pressure reconstruction method in
mechanically ventilated spontaneous breathing patient.
AB - BACKGROUND: Respiratory system modelling can aid clinical decision making during
mechanical ventilation (MV) in intensive care. However, spontaneous breathing
(SB) efforts can produce entrained "M-wave" airway pressure waveforms that
inhibit identification of accurate values for respiratory system elastance and
airway resistance. A pressure wave reconstruction method is proposed to
accurately identify respiratory mechanics, assess the level of SB effort, and
quantify the incidence of SB effort without uncommon measuring devices or
interruption to care. METHODS: Data from 275 breaths aggregated from all
mechanically ventilated patients at Christchurch Hospital were used in this
study. The breath specific respiratory elastance is calculated using a time
varying elastance model. A pressure reconstruction method is proposed to
reconstruct pressure waves identified as being affected by SB effort. The area
under the curve of the time-varying respiratory elastance (AUC Edrs) are
calculated and compared, where unreconstructed waves yield lower AUC Edrs. The
difference between the reconstructed and unreconstructed pressure is denoted as a
surrogate measure of SB effort. RESULTS: The pressure reconstruction method
yielded a median AUC Edrs of 19.21 [IQR: 16.30-22.47]cmH2Os/l. In contrast, the
median AUC Edrs for unreconstructed M-wave data was 20.41 [IQR: 16.68
22.81]cmH2Os/l. The pressure reconstruction method had the least variability in
AUC Edrs assessed by the robust coefficient of variation (RCV)=0.04 versus 0.05
for unreconstructed data. Each patient exhibited different levels of SB effort,
independent from MV setting, indicating the need for non-invasive, real time
assessment of SB effort. CONCLUSION: A simple reconstruction method enables more
consistent real-time estimation of the true, underlying respiratory system
mechanics of a SB patient and provides the surrogate of SB effort, which may be
clinically useful for clinicians in determining optimal ventilator settings to
improve patient care.
PMID- 27208531
TI - Morphometric information to reduce the semantic gap in the characterization of
microscopic images of thyroid nodules.
AB - BACKGROUND: The analyses of several systems for medical-imaging processing
typically support the extraction of image attributes, but do not comprise some
information that characterizes images. For example, morphometry can be applied to
find new information about the visual content of an image. The extension of
information may result in knowledge. Subsequently, results of mappings can be
applied to recognize exam patterns, thus improving the accuracy of image
retrieval and allowing a better interpretation of exam results. Although
successfully applied in breast lesion images, the morphometric approach is still
poorly explored in thyroid lesions due to the high subjectivity thyroid
examinations. OBJECTIVE: This paper presents a theoretical-practical study,
considering Computer Aided Diagnosis (CAD) and Morphometry, to reduce the
semantic discontinuity between medical image features and human interpretation of
image content. METHOD: The proposed method aggregates the content of microscopic
images characterized by morphometric information and other image attributes
extracted by traditional object extraction algorithms. This method carries out
segmentation, feature extraction, image labeling and classification. Morphometric
analysis was included as an object extraction method in order to verify the
improvement of its accuracy for automatic classification of microscopic images.
RESULTS: To validate this proposal and verify the utility of morphometric
information to characterize thyroid images, a CAD system was created to classify
real thyroid image-exams into Papillary Cancer, Goiter and Non-Cancer. Results
showed that morphometric information can improve the accuracy and precision of
image retrieval and the interpretation of results in computer-aided diagnosis.
For example, in the scenario where all the extractors are combined with the
morphometric information, the CAD system had its best performance (70% of
precision in Papillary cases). CONCLUSION: Results signalized a positive use of
morphometric information from images to reduce semantic discontinuity between
human interpretation and image characterization.
PMID- 27208533
TI - iDEAS: A web-based system for dry eye assessment.
AB - BACKGROUND AND OBJECTIVES: Dry eye disease is a public health problem, whose
multifactorial etiology challenges clinicians and researchers making necessary
the collaboration between different experts and centers. The evaluation of the
interference patterns observed in the tear film lipid layer is a common clinical
test used for dry eye diagnosis. However, it is a time-consuming task with a high
degree of intra- as well as inter-observer variability, which makes the use of a
computer-based analysis system highly desirable. This work introduces iDEAS (Dry
Eye Assessment System), a web-based application to support dry eye diagnosis.
METHODS: iDEAS provides a framework for eye care experts to collaboratively work
using image-based services in a distributed environment. It is composed of three
main components: the web client for user interaction, the web application server
for request processing, and the service module for image analysis. Specifically,
this manuscript presents two automatic services: tear film classification, which
classifies an image into one interference pattern; and tear film map, which
illustrates the distribution of the patterns over the entire tear film. RESULTS:
iDEAS has been evaluated by specialists from different institutions to test its
performance. Both services have been evaluated in terms of a set of performance
metrics using the annotations of different experts. Note that the processing time
of both services has been also measured for efficiency purposes. CONCLUSIONS:
iDEAS is a web-based application which provides a fast, reliable environment for
dry eye assessment. The system allows practitioners to share images, clinical
information and automatic assessments between remote computers. Additionally, it
save time for experts, diminish the inter-expert variability and can be used in
both clinical and research settings.
PMID- 27208535
TI - Automated classification of fatty liver disease using ultrasound images.
PMID- 27208534
TI - Proposed model for a Romanian register of chronic diseases in children.
AB - BACKGROUND AND OBJECTIVES: The prevalence of chronic diseases at children is
increasing due to the progress made in medicine, which radically changed the
evolution and the prognostics. Disease registers became important tools to
register and monitor the chronic patients in order to asses their needs and
improve their care. Disease registers are also very important for adjusting to
population needs the actions in the public health field. The main objective for
this research is to develop a model for a chronic disease register of children in
Romania, taking into account the current situation of chronic diseases'
registration and reporting, the specific requirements for such medical database
and the particularities of the information systems in the Romanian healthcare
system. METHODS: Our study was based on interviews with specialists and Health
Ministry representatives, field observations, and analysis of published and
unpublished data). RESULTS: We developed a platform for a chronic diseases
register of children based on open EHR specifications and architecture, which
respects the requirements for data security and the particularities of Romania's
healthcare system. The model created is functioning on two levels. The first one
is local and corresponds to a medical unit, while the second one represents the
connection and the communication method within the entire national medical
system. In order to achieve the highest level of interoperability at the semantic
level, we used, for the communication module of a National Disease Register
system, only EN 13606 or HL7 v3 and / or HL7 v3 CDA. CONCLUSIONS: This model is
functional and can be applied initially at a clinical level for medical and
administrative purposes. Its design allows a future development, integrated with
the current informational systems and implemented at regional and national level.
This will significantly improve the knowledge that may support good medical
practice in this field, will enhance the coherence of data collection and, as a
consequence, will impact positevely on the health of children diagnosed with
chronic diseases.
PMID- 27208536
TI - Primary and acquired platinum-resistance among women with high grade serous
ovarian cancer.
AB - OBJECTIVE: Women with primary platinum resistant (PPR) high grade serous ovarian
cancer (HGSOC) are known to have a poor prognosis. Less is known regarding
outcomes in patients with acquired platinum resistance (APR). The goal of this
study was to evaluate survival in both PPR and APR patients. METHODS: A
retrospective review of HGSOC patients diagnosed between 2000 and 2010 was
performed. Descriptive statistics summarized clinical characteristics and
demographics. The Kaplan-Meier method estimated progression free survival (PFS)
and overall survival (OS). The association of OS and clinical factors was modeled
using Cox proportional-hazards. RESULTS: Of the 330 patients identified, 81 (25%)
had PPR. Of the remaining women, 55 (22%) developed APR. Median PFS of PPR
patients was 4.2months and median OS was 17.8months. On multivariate analysis,
the number of biologic agents received was the only predictor of OS. Patients
with APR had a median PFS of 14.2months and a median OS of 56months. OS from the
date of platinum resistance was 21.9months, though this was not different than
PPR patients (p=0.19). Multivariate analysis found cancer stage and clinical
trial participation to be associated with OS. CONCLUSIONS: Platinum resistance
confers a poor prognosis in the APR and PPR setting. The number of biologic
agents received is the strongest predictor of OS among women with PPR. Cancer
stage and clinical trial participation predicts OS in patients with APR.
Providing opportunities to participate in clinical trials, especially those
involving targeted therapy, should be a priority in these populations.
PMID- 27208537
TI - Impact of chemotherapy in uterine sarcoma (UtS): review of 13 clinical trials
from the EORTC Soft Tissue and Bone Sarcoma Group (STBSG) involving
advanced/metastatic UtS compared to other soft tissue sarcoma (STS) patients
treated with first line chemotherapy.
AB - OBJECTIVE: UtS are a group of uncommon tumors representing 1% of malignant
neoplasms of the female genital tract, and 7% of sarcomas. The objective of this
study was to evaluate the factors associated with the clinical behavior UtS.
METHODS: Information on 269 patients with advanced or metastatic first line UtS
treated by chemotherapy was available in a database containing information on
3270 patients with advanced soft tissue sarcomas (STS) entered in EORTC-STBSG
clinical trials between 1977 and 2010. The chemotherapy was aggregated in 4
categories: anthracyclines alone, ifosfamide alone, the combination of
doxorubicin and ifosfamide, and CYVADIC. RESULTS: Among the 269 UtS pts, there
were 231 deaths (median OS 10.4months, 95% CI: 9.1-11.9) and 257 progressions
and/or deaths (median PFS 4.1months, 95% CI: 3.5-4.9). Multivariate analyses
reported PS (p<0.001) only to be a statistically significant prognostic factor
for OS in UtS; for PFS, LMS histology (p=0.025) is associated with a better
outcome. There was no relationship between the 4 groups of chemotherapy regimens
and impact on clinical outcomes. Histological subtype was significantly
correlated with response to chemotherapy (RR: LMS 19% vs other 33%, p=0.026).
Ifosfamide single agent yielded only 5% of RR. CONCLUSIONS: Clearly, UtS are very
aggressive neoplasms with poor outcome when treated with chemotherapy consisting
of anthracyclines with or without ifosfamide or cyclophosphamide. New strategies
are urgently needed.
PMID- 27208538
TI - The revised 2014 FIGO staging system for epithelial ovarian cancer: Is a
subclassification into FIGO stage IVA and IVB justified?
AB - OBJECTIVE: The revised 2014 FIGO staging system for epithelial ovarian cancer
(EOC) included many changes of the previous system, particularly dividing FIGO
stage IV in two subgroups. We evaluated if classifying patients with EOC in FIGO
stage IVA and IVB has any prognostic implication.
PMID- 27208539
TI - 'States of Mind: Tracing the edges of consciousness'.
PMID- 27208540
TI - The growing story of (ARABIDOPSIS) CRINKLY 4.
AB - Receptor kinases play important roles in plant growth and development, but only
few of them have been functionally characterized in depth. Over the past decade
CRINKLY 4 (CR4)-related research has peaked as a result of a newly discovered
role of ARABIDOPSIS CR4 (ACR4) in the root. Here, we comprehensively review the
available (A)CR4 literature and describe its role in embryo, seed, shoot, and
root development, but we also flag an unexpected role in plant defence. In
addition, we discuss ACR4 domains and protein structure, describe known ACR4
interacting proteins and substrates, and elaborate on the transcriptional
regulation of ACR4 Finally, we address the missing knowledge in our understanding
of ACR4 signalling.
PMID- 27208541
TI - Localization and interactions between Arabidopsis auxin biosynthetic enzymes in
the TAA/YUC-dependent pathway.
AB - The growth regulator auxin is involved in all key developmental processes in
plants. A complex network of a multiplicity of potential biosynthetic pathways as
well as transport, signalling plus conjugation and deconjugation lead to a
complex and multifaceted system system for auxin function. This raises the
question how such a system can be effectively organized and controlled. Here we
report that a subset of auxin biosynthetic enzymes in the TAA/YUC route of auxin
biosynthesis is localized to the endoplasmic reticulum (ER). ER microsomal
fractions also contain a significant percentage of auxin biosynthetic activity.
This could point toward a model of auxin function using ER membrane location and
subcellular compartmentation for supplementary layers of regulation. Additionally
we show specific protein-protein interactions between some of the enzymes in the
TAA/YUC route of auxin biosynthesis.
PMID- 27208542
TI - Involvement of endogenous salicylic acid in iron-deficiency responses in
Arabidopsis.
AB - Several phytohormones have been demonstrated to be involved in iron (Fe)
homeostasis. We took advantage of a salicylic acid (SA) biosynthesis defective
mutant phytoalexin deficient 4 (pad4: T-DNA Salk_089936) to explore the possible
effects of endogenous SA on the morphological and physiological responses to Fe
deprivation. The morphological and physiological analysis was carried out between
Col-0 and the pad4 mutant. Under an Fe-deficiency treatment, Col-0 showed more
severe leaf chlorosis and root growth inhibition compared with the pad4 mutant.
The soluble Fe concentrations were significantly higher in pad4 than in Col-0
under the Fe-deficiency treatment. Fe deficiency significantly induced SA
accumulation in Col-0 and the loss-of-function of PAD4 blocked this process. The
requirement of endogenous SA accumulation for Fe-deficiency responses was
confirmed using a series of SA biosynthetic mutants and transgenic lines.
Furthermore, a comparative RNA sequencing analysis of the whole seedling
transcriptomes between Col-0 and the pad4 mutant was also performed. Based on the
transcriptome data, the expression levels of many auxin- and ethylene-response
genes were altered in pad4 compared with Col-0. Fe deficiency increases SA
contents which elevates auxin and ethylene signalling, thereby activating Fe
translocation via the bHLH38/39-mediated transcriptional regulation of downstream
Fe genes.
PMID- 27208543
TI - SHIP prevents metastasis.
PMID- 27208545
TI - Zika virus update II: Recent development of animal models-Proofs of association
with human pathogenesis.
AB - Three recent studies in pregnant mice and one ongoing study in rhesus macaques
evaluating the effect of ZIKV infection have provided important information about
maternal-fetus transmission and ZIKV-related pathogenesis, confirming a causal
role of ZIKV in neurological problems observed in humans. Here, we present an
update of these works published in the past few weeks. J. Med. Virol. 88:1657
1658, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27208544
TI - Descriptive epidemiology of veterinary events in flat racing Thoroughbreds in
Great Britain (2000 to 2013).
AB - BACKGROUND: To date, no large scale studies have reported race-day events
requiring veterinary attention in British Thoroughbreds racing on the flat.
Quantifying and describing common injuries and health conditions affecting
racehorses will enable targeted risk factor analysis aimed at reducing their
occurrence. OBJECTIVE: To describe the type and incidence of race-day veterinary
events experienced by Thoroughbred racehorses participating in flat racing in the
UK. STUDY DESIGN: Retrospective cohort study (2000 to 2013). METHODS: Veterinary
events recorded by race-day veterinarians were retrieved and linked to race start
data. Race-day veterinary events were described by type, location and anatomical
structure(s) affected and whether the outcome was fatal or not. Incidence per
1000 starts was calculated, both overall and by year. Stratified incidence rates
were calculated for selected event categories by specific course- and horse-level
variables. RESULTS: There were 7993 events experienced by 6727 horses, with an
incidence of 9.37 events per 1000 starts. Soft tissue injuries other than tendon
and ligament injuries were the most commonly occurring veterinary events (24.1%),
followed by gait observations (21.2%) and respiratory conditions (21.2%). In
total, 13.8% of events were bone injuries. The incidence of fatality (n = 628)
was 0.76 per 1000 starts. Most (485/628, 77.2%) fatal events were bone injuries,
64 were due to cardiac conditions and 54 due to tendon and ligament injuries. All
weather tracks had a higher incidence of veterinary events and fatalities than
turf tracks. Firmer (turf) or faster (all-weather) going were associated with a
higher incidence of all veterinary events. MAIN LIMITATIONS: Events were based on
presumptive, rather than definitive, veterinary diagnosis. CONCLUSION: The most
common events experienced by racehorses on race-day were relatively minor and not
career-ending. Although more severe bone, joint, tendon and ligament injuries
were less common, they had a greater impact on whether the outcome of the event
was fatal.
PMID- 27208546
TI - Comparison of prostate cancer survival in Germany and the USA: can differences be
attributed to differences in stage distributions?
AB - OBJECTIVES: To better understand the influence of prostate-specific antigen (PSA)
screening and other health system determinants on prognosis of prostate cancer,
up-to-date relative survival (RS), stage distributions, and trends in survival
and incidence in Germany were evaluated and compared with the United States of
America (USA). PATIENTS AND METHODS: Incidence and mortality rates for Germany
and the USA for the period 1999-2010 were obtained from the Centre for Cancer
Registry Data at the Robert Koch Institute and the USA Surveillance Epidemiology
and End Results (SEER) database. For analyses on stage and survival, data from 12
population-based cancer registries in Germany and from the SEER-13 database were
analysed. Patients (aged >= 15 years) diagnosed with prostate cancer (1997-2010)
and mortality follow-up to December 2010 were included. The 5- and 10-year RS and
survival trends (2002-2010) were calculated using standard and model-based period
analysis. RESULTS: Between 1999 and 2010, prostate cancer incidence decreased in
the USA but increased in Germany. Nevertheless, incidence remained higher in the
USA throughout the study period (99.8 vs 76.0 per 100,000 in 2010). The
proportion of localised disease significantly increased from 51.9% (1998-2000) to
69.6% (2007-2010) in Germany and from 80.5% (1998-2000) to 82.6% (2007-2010) in
the USA. Mortality slightly decreased in both countries (1999-2010). Overall, 5-
and 10-year RS was lower in Germany (93.3%; 90.7%) than in the USA (99.4%; 99.6%)
but comparable after adjustment for stage. The same patterns were seen in age
specific analyses. Improvements seen in prostate cancer survival between 2002
2004 and 2008-2010 (5-year RS: 87.4% and 91.2%; +3.8% units) in Germany
disappeared after adjustment for stage (P = 0.8). CONCLUSION: The survival
increase in Germany and the survival advantage in the USA might be explained by
differences in incidence and stage distributions over time and across countries.
Effects of early detection or a lead-time bias due to the more widespread
utilisation and earlier introduction of PSA testing in the USA are likely to
explain the observed patterns.
PMID- 27208547
TI - Bacterial adhesion to suture material in a contaminated wound model: Comparison
of monofilament, braided, and barbed sutures.
AB - Contaminated suture material plays an important role in the physiopathology of
surgical site infections. Recently, suture material has been developed
characterized by barbs projecting from a monofilament base. Claimed advantages
for barbed sutures are a shortened wound closure time and reduced maximum wound
tension. It has also been suggested that these sutures would be advantageous
microbiologically. The aim of this study was to test the microbiological
characteristics of the barbed Quill in comparison to the monofilament Ethilon II
and the braided sutures Vicryl and triclosan-coated Vicryl Plus. In our study,
sutures were cultivated on color-change agar with Staphylococcus aureus,
Staphylococcus epidermidis, Enterococcus faecium, Escherichia coli, and
Pseudomonas aeruginosa and the halo size was measured. In a second study arm with
longer cultivation bacterial growth was followed by antibiotic treatment. Ethilon
II and Quill showed good comparable results, whereas large halos were found
around Vicryl. Vicryl Plus results depended on triclosan sensitivity. After
longer bacterial cultivation and antibiotic treatment, halos were up to 3.6 times
smaller on Quill than on Vicryl (p < 0.001), but 1.4 times larger than on Ethilon
II (p < 0.001) regarding S. aureus. Confocal microscopy analysis showed bacterial
colonization between the braided filaments on Vicryl and beneath the barbs on
Quill. From a microbiological perspective, barbed sutures can be recommended in
aseptic surgery, but should only be used carefully in septic surgery. (c) 2016
Orthopaedic Research Society. Published by Wiley Periodicals, Inc. J Orthop Res
35:925-933, 2017.
PMID- 27208548
TI - Prevalence and management of recurrent respiratory papillomatosis (RRP) in the
UK: cross-sectional study.
AB - OBJECTIVES: To estimate the number of patients with recurrent respiratory
papillomatosis currently managed in secondary and tertiary health care in the UK
and the frequency of its treatment with radiofrequency cold ablation (CoblationTM
). DESIGN: Cross-sectional survey of ENT consultants in the UK with validation
using Hospital Episode Statistics (HES) inpatient data. SETTING: Online survey.
PARTICIPANTS: ENT consultants in the UK. MAIN OUTCOME MEASURES: Number of
recurrent respiratory papillomatosis patients currently managed in acute care in
the UK and frequency of use of Coblation. RESULTS: A total of 283 ENT consultants
from 128 UK NHS healthcare trusts and health boards completed the online survey.
Responses were received from 86% of surveyed organisations, and an estimated 45%
of all ENT consultants in UK. The estimated number of recurrent respiratory
papillomatosis patients from the cross-sectional survey was 918 (at August 2015)
which included 730 patients in England. The number of recurrent respiratory
papillomatosis patients in England estimated from Hospital Episode Statistics
(2014/15 financial year) was up to 741. A total of 42 Coblation procedures
conducted in the UK were identified from the cross-sectional survey; 36 were
conducted in England compared with 34 identified from Hospital Episode
Statistics. CONCLUSIONS: The numbers of recurrent respiratory papillomatosis
patients and Coblation procedures identified in England from a cross-sectional
survey and Hospital Episode Statistics were in broad agreement. Our study
estimated 1.42 recurrent respiratory papillomatosis patients per 100 000 in the
general UK population. We also estimated that Coblation procedures accounted for
3% of interventional treatments conducted in the UK recurrent respiratory
papillomatosis population.
PMID- 27208549
TI - Infection risk dictates immunological divergence among populations in a
Mediterranean lizard.
AB - The ability of vertebrates to evolve different defence strategies in response to
varying parasitism regimes remains poorly understood. Hosts may adopt two
different strategies to defend themselves against parasites: tolerance (hosts
alleviate the negative fitness consequences of parasite infection) and resistance
(hosts strengthen their immune response as parasite burden increases). Both
strategies are effective, but fitness has been reported to decline faster in less
tolerant individuals. Here, we assessed the number of splenocytes and the cell
mediated response (proxies for resistance) and body condition (a proxy for
tolerance) in four populations of a Greek endemic lizard (Podarcis gaigeae), each
exposed to different infection risks (defined as the cumulative effect of
parasite burden and duration of exposure). We anticipated that populations with
heavy parasite burden would enhance the efficacy of their immune response
(resistance) compared to lizards deriving from parasite-poor habitats. We also
predicted that populations with longer exposure to parasites would be adopted and
be more tolerant. Each factor (duration of exposure and parasite burden) had a
distinct effect on the immune response, and thus, our results were rather
complicated. Lizards with heavy parasite burden and aperiodic exposure
demonstrated resistance, whereas lizards with heavy parasite burden and chronic
exposure were more tolerant. Populations with low parasite burden and minimal
exposure were more resistant. Our results suggest that the development of some
immunological strategies may be differentiated under different infection risks,
even within the same species.
PMID- 27208551
TI - Correction.
PMID- 27208550
TI - Downregulation of STAT3/NF-kappaB potentiates gemcitabine activity in pancreatic
cancer cells.
AB - There is an unmet need to develop new agents or strategies against therapy
resistant pancreatic cancer (PanCA). Recent studies from our laboratory showed
that STAT3 negatively regulates NF-kappaB and that inhibition of this crosstalk
using Nexrutine(r) (Nx) reduces transcriptional activity of COX-2. Inhibition of
these molecular interactions impedes pancreatic cancer cell growth as well as
reduces fibrosis in a preclinical animal model. Nx is an extract derived from the
bark of Phellodendron amurense and has been utilized in traditional Chinese
medicine as antidiarrheal, astringent, and anti-inflammatory agent for centuries.
We hypothesized that "Nx-mediated inhibition of survival molecules like STAT3 and
NF-kappaB in pancreatic cancer cells will improve the efficacy of the
conventional chemotherapeutic agent, gemcitabine (GEM)." Therefore, we explored
the utility of Nx, one of its active constituents berberine and its derivatives,
to enhance the effects of GEM. Using multiple human pancreatic cancer cells we
found that combination treatment with Nx and GEM resulted in significant
alterations of proteins in the STAT3/NF-kappaB signaling axis culminating in
growth inhibition in a synergistic manner. Furthermore, GEM resistant cells were
more sensitive to Nx treatment than their parental GEM-sensitive cells.
Interestingly, although berberine, the Nx active component used, and its
derivatives were biologically active in GEM sensitive cells they did not
potentiate GEM activity when used in combination. Taken together, these results
suggest that the natural extract, Nx, but not its active component, berberine,
has the potential to improve GEM sensitivity, perhaps by down regulating STAT3/NF
kappaB signaling. (c) 2016 Wiley Periodicals, Inc.
PMID- 27208553
TI - Challenging our current concept of futility.
PMID- 27208552
TI - Therapeutic effects of naringin on degenerative human nucleus pulposus cells for
discogenic low back pain.
AB - BACKGROUND: Over half the population of the world will suffer from moderate or
severe low back pain (LBP) during their life span. Studies have shown that
naringin, a major flavonoid in grapefruit and an active compound extracted from a
Chinese herbal medicine (Rhizoma Drynariae) possesses many pharmacological
effects. PURPOSE: The aim of this study was to evaluate the influence of naringin
on the growth of degenerative human nucleus pulposus (NP) cells, and its repair
effects on protein and gene expressions of the cells. STUDY DESIGN/SETTING: This
was an in vitro investigation of the human NP cells isolated from degenerated
intervertebral discs that were interacted with various concentrated of naringin.
METHOD: This study was exempted by the institutional Human Subjects Committee-2,
University of Kansas School of Medicine-Wichita. Degenerative human NP cells were
isolated from intervertebral discs of patients with discogenic LBP and cultured
at 37 degrees C with 5% CO2. The proliferation of NP cells was determined
following treatment with various concentrations of naringin. The protein
expressions of tumor necrosis factor-alpha (TNF-alpha) and Bone morphogenetic
protein 2 (BMP-2) were tested using enzyme-linked immunosorbent assay. Aggrecan
and type II collagen levels were measured by immunohistological staining. Further
examination of the gene expression of aggrecan, Sox6, and MMP3 was performed
after intervention with naringin for 3 days. RESULTS: The human NP cells were
successfully propagated in culture and stained positive with toluidine blue
staining. Naringin effectively enhanced the cell proliferation at an optimal
concentration of 20 ug/mL. Naringin treatment resulted in significant inhibition
of TNF-alpha, but elevated protein expressions of BMP-2, collagen II, and
aggrecan. Naringin also increased disc matrix gene activity including aggrecan
and Sox6, and decreased the gene expression of MMP3. CONCLUSION: Naringin
effectively promotes the proliferation of degenerative human NP cells and
improves the recuperation of the cells from degeneration by increasing expression
of aggrecan, BMP-2, and Sox6 while inhibiting the expression of TNF-alpha and
MMP3. This study suggests that naringin may represent an alternative therapeutic
agent for disc degeneration.
PMID- 27208554
TI - A systematic review of basic life support training targeted to family members of
high-risk cardiac patients.
AB - AIM: Targeting basic life support (BLS) training to bystanders who are most
likely to witness an out of hospital cardiac arrest (OHCA) is an important public
health intervention. We performed a systematic review examining the evidence of
the effectiveness of providing BLS training to family members of high-risk
cardiac patients. METHODS: A search of Ovid MEDLINE, CINAL, EMBASE, Informit,
Cochrane Library, Web of Science, Scopus, ERIC and ProQuest Dissertations and
Theses Global was conducted. We included all studies training adult family
members of high-risk cardiac patients regardless of methods used for
cardiopulmonary resuscitation (CPR) or BLS training. Two reviewers independently
extracted data and evaluated the quality of evidence using GRADE (Grades of
Recommendation, Assessment, Development and Evaluation). RESULTS: We included 26
of the 1172 studies identified. The majority of studies were non-randomised
controlled trials (n=18), of very low to moderate quality. Currently, there is
insufficient evidence to indicate a benefit of this intervention for patients;
largely because of low numbers of OHCA events and high loss to follow-up.
However, the majority of trained individuals were able to competently perform BLS
skills, reported a willingness to use these skills and experienced lower anxiety.
CONCLUSION: Whilst there is no current evidence for improvement in patient
outcomes from targeted BLS training for family members, this group are willing
and capable to learn these skills. Future research may need to examine longer
periods of follow-up using alternate methods (e.g. cardiac arrest registries),
and examine the effectiveness of training in the modern era.
PMID- 27208555
TI - Simultaneous quantification of 17-hydroxyprogesterone, androstenedione,
testosterone and cortisol in human serum by LC-MS/MS using TurboFlow online
sample extraction.
AB - BACKGROUND: The simultaneous quantification of a steroid hormones panel provides
more clinical information than a single steroid assay. Traditionally, steroids
have been quantified with immunoassays which are characterized by high rate of
positive results. Aim of this work, was to develop a TurboFlow-LC-MS/MS method
for the simultaneous quantification of 17-hydroxyprogesterone, androstenedione,
cortisol and testosterone in serum. METHODS: To 100MUL of serum, 100MUL of
internal standard solution in methanol were added; after centrifugation the
supernatant was injected in the TurboFlow for further purification. Steroids were
determined using a TSQ Vantage operating with an atmospheric pressure chemical
ionization source. Method was fully validated and results compared with
immunoassay methods. RESULTS: Limit of quantification ranged from 0.02ng/mL to
1ng/mL. The precision was lower than 11% and accuracy ranged from 93.5 to 121.6%.
The correlation was acceptable for all analytes except for low levels of
testosterone. However, the Bland-Altman plots display a positive bias for
androstenedione and 17-hydroxyprogesterone, and a negative bias for cortisol and
testosterone. CONCLUSIONS: TurboFlow analysis provides a simple and effective
clean-up procedure minimizing the interference of the matrix. The presented
method is selective, precise, and sensitive being suitable in a clinical
laboratory.
PMID- 27208557
TI - A dual-monoclonal, sandwich immunoassay specific for glucagon like peptide-19
36/7 (GLP-19-36/7).
AB - OBJECTIVE: Glucagon-like peptide-1 (GLP-1) is a peptide hormone secreted by
intestinal L-cells which stimulates glucose-dependent insulin secretion. GLP-1 is
initially secreted as the active peptide GLP-17-36/7, but rapidly undergoes
cleavage by dipeptidyl peptidase 4 (DPP4) to yield the inactive form, GLP-19
36/7. Despite a reduced affinity for the GLP-1 receptor, GLP-19-36/7 may have
cardioprotective properties. There is currently no described immunoassay capable
of specifically measuring GLP-19-36/7. DESIGN AND METHODS: We generated a
monoclonal antibody specific for the N-terminal neoepitope of GLP-19-36/7. After
affinity maturation, we paired this capture antibody with an anti-total GLP-1
monoclonal detection antibody to create a sandwich ELISA specific for GLP-19
36/7. RESULTS: The sandwich ELISA was highly specific for GLP-19-36/7 and did not
recognize GLP-17-36 or GLP-17-37. The ELISA exhibited a broad dynamic range and a
lower limit of detection (LLOD) of 3.17ng/L. In healthy volunteers,
concentrations of GLP-19-36/7 increased dramatically in the postprandial state
compared to the fasted state and were markedly elevated at both 30 and 120-minute
postprandial time points. CONCLUSIONS: The optimization of an N-terminal-specific
monoclonal antibody for GLP-19-36/7 enabled the development of a sensitive and
specific sandwich ELISA assay capable of measuring physiological concentrations
of GLP-19-36/7. This ELISA may have the potential to help expand our knowledge of
GLP-1 biology.
PMID- 27208556
TI - Two-step thyroid screening strategy in the critical patient.
AB - BACKGROUND: Thyroid function biochemical tests are known for their usefulness in
prognosis of long-term critical patients, although current data are controversial
regarding the clinical benefit of both free triiodothyronine and thyroxine as
prognostic thyroid markers during the first 48h after Intensive Care Unit (ICU)
admission. METHODS: The aim of this study was to evaluate the usefulness of two
strategies for thyroid function assessment in the first 48h after admission at
the ICU. The usefulness of a two-step biochemical thyroid strategy (initial
isolated TSH determination, followed by subsequent fT4 and fT3) was compared with
a complete one-step biochemical profile (TSH+fT4+fT3). RESULTS: No significant
differences were found between the rates of thyroid dysfunction detection when
using both strategies (2.8% vs. 2.4%; p=0.71). Using the two-step strategy and a
2.5MUUI/mL cut-off value for TSH, sensitivity and negative predictive value were
100%. Among patients with an altered fT3 only, mortality was 14% if
TSH<=2.5MUUI/mL, whereas it was 7% if TSH>2.5MUUI/mL (p=0.008). CONCLUSIONS: For
patients with critical illness, the early two-step thyroid screening strategy
(starting with an isolated TSH determination between 24 and 48h after admission)
led to a saving of 50% in fT4 and fT3 tests, with a false-negative rate of 1.3%.
This represents an improved diagnostic approach, hence avoiding the performance
of unnecessary complementary biochemical measurements.
PMID- 27208558
TI - Low specificity of urinary 3-methoxytyramine in screening of dopamine-secreting
pheochromocytomas and paragangliomas.
PMID- 27208559
TI - Validation and comparison of a rapid liquid chromatography tandem mass
spectrometry method for serum 25OHD with the efficiency of separating 3-epi
25OHD3.
AB - OBJECTIVES: To develop a rapid liquid chromatography tandem mass spectrometry (LC
MS/MS) method with ability to separate 3-epi 25OHD3 (EPI-LC-MS/MS) from 25OHD3,
and evaluate the effects of 3-epi 25OHD3 on routine LC-MS/MS that cannot separate
3-epi 25OHD3 (NEPI-LC-MS/MS). DESIGN AND METHODS: Performance of the newly built
EPI-LC-MS/MS was validated, and 982 samples were analyzed and compared by the two
methods. RESULTS: Both methods showed a linearity coefficient correlation
exceeding 0.999 in the 6.25-500nmol/L range for 25OHD2 and 25OHD3. Moreover, they
showed a between run coefficient variation (CV) and total CV of < 5% for 25OHD2
and 25OHD3. The results of the accuracy test showed that the bias was below 6.19%
in the absence of 3-epi 25OHD3. Comparison of the 25OHD results obtained by the
two methods for 982 patients (age 1-100years) revealed excellent clinical
agreement (Cohen's kappa=0.875) and correlation (R2=0.973). Among the
982patients, only 73patients had 3-epi 25OHD3 (>6.25nmol/L); out of these
73patients, the 3-epi 25OHD3 level in 58patients was between 6.25 and 12.5nmol/L.
In patients with <375nmol/L 25OHD (25OHD2+25OHD3), only 8 had 3-epi 25OHD3 levels
exceeding 12.5nmol/L (range: 13.3 - 27.5nmol/L). Among samples containing 3-epi
25OHD3, only three were separated into different 25OHD-deficiency groups using
the above methods. CONCLUSION: A rapid and precise EPI-LC-MS/MS method for
measuring 25OHD with efficient separation of 3-epi 25OHD3 was developed. Our
results showed that 3-epi 25OHD3 had little effect on the routinely used NEPI-LC
MS/MS.
PMID- 27208561
TI - Aspirin resistance may be identified by miR-92a in plasma combined with platelet
distribution width.
AB - OBJECTIVE: Aspirin is a widely used drug for prevention of thrombotic events in
cardiovascular patients, but approximately 25% of patients experience
insufficient platelet inhibition due to aspirin, and remain in risk of
cardiovascular events. This study aimed to investigate the value of circulating
miR-92a and platelet size as biomarkers of the individual response to aspirin
therapy. METHODS: Blood samples were collected from 50 healthy blood donors
without antithrombotic medication and 50 patients with intermittent claudication
on daily aspirin therapy. Based on results from the arachidonic acid stimulated
aggregation test on Multiplate(r)analyzer (ASPItest), patients were defined as
aspirin resistant (n=10) or aspirin responders (n=40). Plasma levels of miR-92a
were evaluated by RT-qPCR analysis and platelet distribution width (PDW) was used
to assess platelet size variability. Receiver operating characteristic curves for
miR-92a levels and PDW were used to set cut-off values for discrimination between
aspirin responding and aspirin resistant patients. RESULTS: When defining aspirin
resistance as an ASPItest >=30U, the optimal cut-off values for discrimination of
aspirin responders and aspirin resistant patients were found to be PDW>11.8fL and
a relative expression level of miR-92a>4.5. Using these cut-off values we could
define a PDW/miR-92a-score with a specificity of 97.5% and a sensitivity of 80.0%
in relation to detect aspirin resistance. The corresponding positive and negative
predictive values were found to be 88.9% and 95.1%, respectively. CONCLUSION:
Aspirin resistance can potentially be identified by miR-92a levels in plasma
combined with PDW.
PMID- 27208560
TI - Quantifying MMA by SLE LC-MS/MS: Unexpected challenges in assay development.
AB - OBJECTIVES: Analysis of serum/plasma methylmalonic acid (MMA) is important for
the diagnosis and management of methylmalonic acidemia in pediatric populations.
This work focuses on developing and validating a liquid chromatography tandem
mass spectrometry (LC-MS/MS) method to monitor methylmalonic acidemia using a
simple method preparation. DESIGN AND METHODS: MMA and stable isotope labeled d3
MMA was extracted using supported liquid extraction (SLE). Assay imprecision,
bias, linearity, recovery and carryover were determined. The relationship between
MMA and propionyl acylcarnitine (C3-acylcarnitine) was also evaluated using
historical paired results from 51 unique individuals. RESULTS: Baseline
separation between MMA and succinic acid was completed in 7min. The assay was
linear from 0.1 to 500MUM. The intra-day and inter-day imprecision CV ranged from
4.1 to 13.2% (0.3 to 526MUM) and 5.0 to 15.7% (0.3 to 233MUM), respectively.
Recovery ranged from 93 to 125%. The correlation with a national reference
laboratory LC-MS/MS assay showed a Deming regression of 1.026 and intercept of
1.335. Carryover was determined to be <0.04%. Patient-specific correlation was
observed between MMA and C3-acylcarnitine. CONCLUSION: This report describes the
first LC-MS/MS method using SLE for MMA extraction. In addition, we illustrate
the challenges encountered during this method development that should be assessed
and resolved by any laboratory implementing a SLE LC-MS/MS assay designed to
quantify analytes across several orders of magnitude.
PMID- 27208562
TI - Hemodiafiltration affects NT-proBNP but not ST2 serum concentration in end-stage
renal disease patients.
PMID- 27208563
TI - Epigenetic regulation of neurodevelopmental genes in response to in utero
exposure to phthalate plastic chemicals: How can we delineate causal effects?
AB - Accumulating evidence, from animal models and human observational studies,
implicates the in utero (and early postnatal) environment in the 'programming' of
risk for a variety of adverse outcomes and health trajectories. The modern
environment is replete with man-made compounds such as plastic product chemicals
(PPC), including phenols and phthalates. Evidence from several human cohorts
implicates exposure to these chemicals in adverse offspring neurodevelopment,
though a direct causal relationship has not been firmly established. In this
review we consider a potential causal pathway that encompasses epigenetic human
variation, and how we might test this mechanistic hypothesis in human studies. In
the first part of this report we outline how PPCs induce epigenetic change,
focusing on the brain derived neurotrophic factor (BDNF) gene, a key regulator of
neurodevelopment. Further, we discuss the role of the epigenetics of BDNF and
other genes in neurodevelopment and the emerging human evidence of an association
between phthalate exposure and adverse offspring neurodevelopment. We discuss
aspects of epidemiological and molecular study design and analysis that could be
employed to strengthen the level of human evidence to infer causality. We
undertake this using an exemplar recent research example: maternal prenatal
smoking, linked to methylation change at the aryl hydrocarbon receptor repressor
(AHRR) gene at birth, now shown to mediate some of the effects of maternal
smoking on birth weight. Characterizing the relationship between the modern
environment and the human molecular pathways underpinning its impact on early
development is paramount to understanding the public health significance of
modern day chemical exposures.
PMID- 27208565
TI - Comment on: "Validation of interphase fluorescence in situ hybridization (iFISH)
of CD138 positive cells in multiple myeloma".
PMID- 27208564
TI - Use of biomarkers in the management of febrile neutropenia episodes in children
with cancer.
PMID- 27208566
TI - Comment on: "Oral health-related quality of life in children and teens with
sickle cell disease".
PMID- 27208567
TI - Allogeneic hematopoietic stem cell transplantation in patients with advanced
indolent lymphoproliferative disorders.
AB - BACKGROUND: The role of allogeneic hematopoietic stem cell transplantation for
advanced indolent lymphoproliferative disorders remains to be established.
OBJECTIVE: This paper aims to describe the results of allogeneic hematopoietic
stem cell transplantation in patients with advanced indolent lymphoproliferative
disorders. METHODS: This article reports on 29 adult patients submitted to
allogeneic transplantations from 1997 to 2010. RESULTS: Most had follicular non
Hodgkin lymphoma (n=14) or chronic lymphocytic leukemia (n=12). The median age
was 44 years (range: 24-53 years) and 65% of patients were male. Only 21% had had
access to rituximab and 45% to fludarabine. All had advanced disease (stage IV)
with partial response or stable disease. Most underwent myeloablative
conditioning n=17-59%). In this scenario, refractory disease was observed in
seven (24%) patients, the 100-day mortality rate was 17% (n=5) and relapse
occurred in four patients (18%). The main cause of death throughout the follow up
was refractory disease in six of the 12 patients who died. Moderate and severe
chronic graft-versus-host disease was frequent; about 41% of 24 patients
analyzed. The overall survival rates and disease free survival at 42 months were
56.7% and 45.4%, respectively. According to Kaplan-Meyer analysis, the median
time from diagnosis to transplant predicted the overall survival; however age,
gender and conditioning regimen did not predict the prognosis. It was impossible
to reach other conclusions because of the small sample size in this study.
CONCLUSIONS: The role of allogeneic transplantations should be re-evaluated in
the era of targeted therapy.
PMID- 27208568
TI - Oral health-related quality of life of children and teens with sickle cell
disease.
AB - BACKGROUND: Children with sickle cell disease may have their quality of life
affected by oral alterations. However, there is still little data on oral health
related quality of life in these children. The aim of this study was to
investigate the influence of sickle cell disease, socioeconomic characteristics,
and oral conditions on oral health-related quality of life of children and teens.
METHOD: One hundred and six children and teens with sickle cell disease were
compared to a similar sample of 385 healthy peers. Data were collected through
oral examinations, interviews to assess quality of life (Child Perceptions
Questionnaire for children aged 8-10 and 11-14) and questionnaires containing
questions on socioeconomic status. RESULTS: There were no statistically
significant differences in the total scores of the Child Perceptions
Questionnaires or domain scores comparing sickle cell disease patients to control
subjects. When sub-scales were compared, oral symptoms and functional limitations
had a greater negative impact on the quality of life of adolescents with sickle
cell disease (p-value <0.001 and p-value <0.01, respectively) when compared to
healthy controls. The only statistically significant determinants of negative
impact on oral health-related quality of life in the overall sample was home
overcrowding (more than two people/room) in the younger children's group, and
dental malocclusion among teens. CONCLUSION: There was no significant difference
in the negative impact on the oral health-related quality of life between the
group with sickle cell disease and the control group. Of the oral alterations,
there was a significant difference in the oral health-related quality of life
between adolescents with sickle cell disease and controls only in relation to
malocclusion. Among the socioeconomic characteristics, only overcrowding was
significantly associated with a negative impact on oral health-related quality of
life.
PMID- 27208569
TI - Validation of interphase fluorescence in situ hybridization (iFISH) for multiple
myeloma using CD138 positive cells.
AB - BACKGROUND: Multiple myeloma is a plasma cell neoplasm with acquired genetic
abnormalities of clinical and prognostic importance. Multiple myeloma differs
from other hematologic malignancies due to a high fraction of low proliferating
malignant plasma cells and the paucity of plasma cells in bone marrow aspiration
samples, making cytogenetic analysis a challenge. An abnormal karyotype is found
in only one-third of patients with multiple myeloma and interphase fluorescence
in situ hybridization is the most useful test for studying the chromosomal
abnormalities present in almost 90% of cases. However, it is necessary to study
the genetic abnormalities in plasma cells after their identification or selection
by morphology, immunophenotyping or sorting. Other challenges are the selection
of the most informative FISH panel and determining cut-off levels for FISH
probes. This study reports the validation of interphase fluorescence in situ
hybridization using CD138 positive cells, according to proposed guidelines
published by the European Myeloma Network (EMN) in 2012. METHOD: Bone marrow
samples from patients with multiple myeloma were used to standardize a panel of
five probes [1q amplification, 13q14 deletion, 17p deletion, t(4;14), and
t(14;16)] in CD138(+) cells purified by magnetic cell sorting. RESULTS: This test
was validated with a low turnaround time and good reproducibility. Five of six
samples showed genetic abnormalities. Monosomy/deletion 13 plus t(4;14) were
found in two cases. CONCLUSION: This technique together with magnetic cell
sorting is effective and can be used in the routine laboratory practice. In
addition, magnetic cell sorting provides a pure plasma cell population that
allows other molecular and genomic studies.
PMID- 27208570
TI - Mature B cell neoplasms: retrospective analysis of 93 cases diagnosed between
2011 and 2014 in a University Hospital in southern Brazil.
AB - BACKGROUND: According to the 2008 World Health Organization classification,
mature B-cell neoplasms are a heterogeneous group of diseases that include B-cell
lymphomas and plasma cell disorders. These neoplasms can have very different
clinical behaviors, from highly aggressive to indolent, and therefore require
diverse treatment strategies. OBJECTIVE: The aim of this study was to assess the
profile of 93 patients diagnosed with mature B-cell neoplasms monitored between
2011 and 2014. METHODS: A review of patients' charts was performed and laboratory
results were obtained using the online system of the Universidade Federal de
Santa Catarina. RESULTS: The study included 93 adult patients with mature B-cell
neoplasms. The most frequent subtypes were multiple myeloma, chronic lymphocytic
leukemia, diffuse large B-cell lymphoma, follicular lymphoma, and Burkitt's
lymphoma. The median age at diagnosis was 58 years with a male-to-female ratio of
1.3:1. There were statistical differences in terms of age at diagnosis, lactate
dehydrogenase activity and Ki-67 expression among the subtypes of B-cell
lymphoma. According to the prognostic indexes, the majority of multiple myeloma
patients were categorized as high risk, while the majority of chronic lymphocytic
leukemia patients were classified as low risk. CONCLUSIONS: This study
demonstrates the profile of patients diagnosed with mature B-cell neoplasms in a
south Brazilian university hospital. Of the B-cell lymphoma, Burkitt's lymphoma
presented particular features regarding lactate dehydrogenase activity levels, Ki
67 expression, age at diagnosis, and human immunodeficiency virus infection.
PMID- 27208571
TI - l-Amino acid oxidase isolated from Calloselasma rhodostoma snake venom induces
cytotoxicity and apoptosis in JAK2V617F-positive cell lines.
AB - BACKGROUND: Myeloproliferative neoplasms are Philadelphia chromosome-negative
diseases characterized by hyperproliferation of mature myeloid cells, associated
or not with the Janus kinase 2 tyrosine kinase mutation, JAK2V617F. As there is
no curative therapy, researchers have been investigating new drugs to treat
myeloproliferative neoplasms, including l-amino acid oxidase from Calloselasma
rhodostoma snake venom (CR-LAAO), which is a toxin capable of eliciting apoptosis
in several tumor cell lines. OBJECTIVE: To evaluate the effects of l-amino acid
oxidase from C. rhodostoma snake venom in the apoptotic machinery of JAK2-mutated
cell lines. METHODS: The HEL 92.1.7 and SET-2 cell lines were cultured with l
amino acid oxidase and catalase for 12h at 37 degrees C in 5% carbon dioxide. The
cell viability was assessed by the multi-table tournament method, the level of
apoptosis was measured by flow cytometry, and the expression of cysteine
dependent aspartate-specific proteases and cleaved Poly(ADP-ribose) polymerase
were analyzed by Western blotting. RESULTS: l-Amino acid oxidase from C.
rhodostoma snake venom was cytotoxic to HEL 92.1.7 and SET-2 cells (50%
inhibitory concentration=0.15MUg/mL and 1.5MUg/mL, respectively) and induced
apoptosis in a concentration-dependent manner. Cell treatment with catalase
mitigated the l-amino acid oxidase toxicity, indicating that hydrogen peroxide is
a key component of its cytotoxic effect.The activated caspases 3 and 8 expression
and cleaved PARP in HEL 92.1.7 and SET-2 cells confirmed the apoptosis activation
by CR-LAAO. CONCLUSIONS: l-Amino acid oxidase from C. rhodostoma snake venom is a
potential antineoplastic agent against HEL 92.1.7 and SET-2 JAK2V617F-positive
cells as it activates the extrinsic apoptosis pathway.
PMID- 27208572
TI - The F309S mutation increases factor VIII secretion in human cell line.
AB - OBJECTIVES: The capacity of a human cell line to secrete recombinant factor VIII
with a F309S point mutation was investigated, as was the effect of the addition
of chemical chaperones (betaine and sodium-4-phenylbutyrate) on the secretion of
factor VIII. METHODS: This work used a vector with a F309S mutation in the A1
domain to investigate FVIII production in the HEK 293 human cell line. Factor
VIII activity was measured by chromogenic assay. Furthermore, the effects of
chemical drugs on the culture were evaluated. RESULTS: The addition of the F309S
mutation to a previously described FVIII variant increased FVIII secretion by 4.5
fold. Moreover, the addition of betaine or sodium-4-phenylbutyrate increased the
secretion rate of FVIIIDeltaB proteins in HEK 293 cells, but the same effect was
not seen for FVIIIDeltaB-F309S indicating that all the recombinant protein
produced had been efficiently secreted. CONCLUSION: Bioengineering factor VIII
expressed in human cells may lead to an efficient production of recombinant
factor VIII and contribute toward low-cost coagulation factor replacement therapy
for hemophilia A. FVIII-F309S produced in human cells can be effective in vivo.
PMID- 27208573
TI - Descriptive study of the prevalence of anemia, hypertension, diabetes and quality
of life in a randomly selected population of elderly subjects from Sao Paulo.
AB - BACKGROUND: The rapid increase in the aged population has resulted in a growing
number of cases of chronic diseases. This increase is an important demographic
change that low- and middle-income countries have to face and poses a new
challenge to health services. One of the first steps to formulate public policies
is to understand the reality of each country's aging population. This study
describes the prevalence of anemia, hypertension and diabetes and the overall
health status in pre-elderly and elderly subjects enrolled in two primary health
care clinics, Eldorado and Piraporinha, in the city of Diadema, Sao Paulo.
METHOD: A cross-sectional study was conducted with 373 participants. Clinical
data were collected from patient charts and the degree of disability and common
mental disorders, as well as demographic data were obtained by interviews.
RESULTS: The prevalence of anemia was approximately 11% and hypertension was 70%
and 81% in Eldorado and Piraporinha, respectively. The frequency of diabetes was
52% in Eldorado and 30% in Piraporinha. The subjects of both health care clinics
reported having difficulties in some of their daily physical and instrumental
activities, with physical symptoms and emotional disorders. CONCLUSION: Anemia,
hypertension and diabetes are prevalent in the studied population, and patients
showed degrees of dependency and impaired health status.
PMID- 27208575
TI - Involvement of cranial nerves in a patient with secondary central nervous system
lymphoma.
PMID- 27208576
TI - Is antenatal RhIg completely safe?
PMID- 27208574
TI - Guidelines on neonatal screening and painful vaso-occlusive crisis in sickle cell
disease: Associacao Brasileira de Hematologia, Hemoterapia e Terapia Celular:
Project guidelines: Associacao Medica Brasileira - 2016.
PMID- 27208577
TI - Immune thrombocytopenia associated with pleural and pericardial tuberculosis:
case report.
PMID- 27208578
TI - Bilateral breast plasmacytoma: a clinical case report.
PMID- 27208579
TI - Supraglottic primary B-cell lymphoma by fluorine-18 fluorodeoxyglucose positron
emission tomography-computed tomography (18F-FDG-PET/CT).
PMID- 27208580
TI - Trends in mortality due to myeloid leukemia in regions of Brazil and the country
as a whole between 1980 and 2012.
PMID- 27208581
TI - Development of a questionnaire to assess knowledge regarding blood donation in a
Brazilian population.
PMID- 27208582
TI - Perceptual belongingness determines the direction of lightness induction
depending on grouping stability and intentionality.
AB - Contrast and assimilation are two opposite perceptual phenomena deriving from the
relationships among perceptual elements in a visual field. In contrast,
perceptual differences are enhanced; while, in assimilation, they are decreased.
Indeed, if contrast or assimilation occurs depends on various factors.
Interestingly, Gestalt scientists explained both phenomena as the result of
perceptual belongingness, giving rise to an intriguing paradox. Benary suggested
that belongingness determines contrast; conversely, Fuchs suggested that it
determines assimilation. This paradox can be related both to the grouping
stability (stable/multi-stable) and to the grouping intentionality
(intentional/non-intentional). In the present work we ran four experiments to
test whether the contrast/assimilation outcomes depend on the above-mentioned
variables. We found that, intentionality and multi-stability elicit assimilation;
while, non-intentionality and stability elicit contrast.
PMID- 27208583
TI - Effect of acidic electrolyzed water-induced bacterial inhibition and injury in
live clam (Venerupis philippinarum) and mussel (Mytilus edulis).
AB - The effect of acidic electrolyzed water (AEW) on inactivating Escherichia coli
O104:H4, Listeria monocytogenes, Aeromonas hydrophila, Vibrio parahaemolyticus
and Campylobacter jejuni in laboratory contaminated live clam (Venerupis
philippinarum) and mussel (Mytilus edulis) was investigated. The initial levels
of bacterial contamination were: in clam 4.9 to 5.7log10CFU/g, and in mussel 5.1
to 5.5log10CFU/g. Two types of AEW were used for treatment time intervals of 1
and 2h: strong (SAEW) with an available chlorine concentration (ACC) of 20mg/L,
pH=3.1, and an oxidation-reduction potential (ORP) of 1150mV, and weak (WAEW) at
ACC of 10mg/L, pH=3.55 and ORP of 950mV. SAEW and WAEW exhibited significant
inhibitory activity against inoculated bacteria in both shellfish species with
significant differences compared to saline solutions treatments (1-2% NaCl) and
untreated controls (0h). SAEW showed the largest inhibitory activity, the extent
of reduction (log10CFU/g) ranged from 1.4-1.7 for E. coli O104:H4; 1.0-1.6 for L.
monocytogenes; 1.3-1.6 for A. hydrophila; 1.0-1.5 for V. parahaemolyticus; and
1.5-2.2 for C. jejuni in both types of shellfish. In comparison, significantly
(P<0.05) lower inhibitory effect of WAEW was achieved compared to SAEW, where the
extent of reduction (log10CFU/g) ranged from 0.7-1.1 for E. coli O104:H4; 0.6-0.9
for L. monocytogenes; 0.6-1.3 for A. hydrophila; 0.7-1.3 for V. parahaemolyticus;
and 0.8-1.9 for C. jejuni in both types of shellfish. Among all bacterial strains
examined in this study, AEW induced less bacterial injury (~0.1-1.0log10CFU/g)
and more inactivation effect. This study revealed that AEW (10-20mg/L ACC) could
be used to reduce bacterial contamination in live clam and mussel, which may help
control possible unhygienic practices during production and processing of
shellfish without apparent changes in the quality of the shellfish.
PMID- 27208584
TI - Fish-oil-derived n-3 polyunsaturated fatty acids reduce NLRP3 inflammasome
activity and obesity-related inflammatory cross-talk between adipocytes and
CD11b(+) macrophages.
AB - Adipocyte-macrophage cross-talk propagates immune responses in obese adipose
tissue (AT). Long-chain n-3 polyunsaturated fatty acids (LC n-3 PUFA) mitigate
inflammation, partly through up-regulation of adiponectin; however, specific
mechanisms are unclear. We determined if adipocyte-macrophage cross-talk could be
mitigated by dietary LC n-3 PUFA and if this was dependent on adiponectin
mediated signaling. We utilized an in vitro co-culture model mimicking the ratio
of adipocytes:macrophages in obese AT, whereby 3T3-L1 adipocytes were co-cultured
with splenic CD11b(+) macrophages from C57BL/6 mice fed high-fat control (HF-CON;
34% w/w fat) or fish oil diets (HF-FO; 34% w/w fat containing 7.6% w/w FO), as
well as mice fed low-fat control (LF-CON; 10% w/w fat) or FO diets (LF-FO; 10%
w/w fat containing 3% w/w FO). Co-culture conditions tested effects of soluble
mediator-driven mechanisms (trans-well system), cell contact and low-dose
lipopolysaccharide (LPS) mimicking acute or chronic inflammatory conditions. HF
FO macrophages from acute LPS-stimulated trans-well co-cultures had decreased
mRNA expression of Casp1, Il1beta and Il18, as well as cellular caspase-1
activity compared to HF-CON macrophages (P<=.05). Moreover, adipocytes from acute
LPS-stimulated HF-FO co-cultures had decreased caspase-1 activity and decreased
IL-1beta/IL-18 levels following chronic LPS pretreatment compared to HF-CON co
cultures (P<=.05). Additionally, in contact co-cultures with adiponectin
neutralizing antibody, the FO-mediated modulation of NFkappaB activity and
decrease in phosphorylated p65 NFkappaB, expression of NLRP3 inflammasome genes,
M1 macrophage marker genes and inflammatory cytokine/chemokine secretion were
controlled partly through adiponectin, while cellular caspase-1 activity and IL
1beta/1L-18 levels were decreased independently of adiponectin (P<=.05). LC n-3
PUFA may decrease the intensity of adipocyte-macrophage cross-talk to mitigate
obesity-associated pathologies.
PMID- 27208586
TI - Special lecture "Strategies for the widespread application of vaccination".
PMID- 27208585
TI - ZLN005 protects cardiomyocytes against high glucose-induced cytotoxicity by
promoting SIRT1 expression and autophagy.
AB - Diabetic cardiomyopathy increases the risk for the development of heart failure
independent of coronary artery disease and hypertension. Either type 1 or type 2
diabetes is often accompanied by varying degrees of hyperglycemia, which has been
proven to induce myocardial apoptosis in animal models. Recently, a novel small
molecule, ZLN005, has been reported to show antidiabetic efficacy in a mouse
model, possibly by induction of PGC-1alpha expression. In this study, we
investigated whether ZLN005 protects cardiomyocytes against high glucose-induced
cytotoxicity and the mechanisms involved. Neonatal mouse cardiomyocytes were
incubated with media containing 5.5 or 33mM glucose for 24h in the presence or
absence of ZLN005. ZLN005 treatment led to ameliorated cardiomyocyte oxidative
injury, enhanced cell viability, and reduced apoptosis in the high glucose
environment. Western blot analysis revealed that high glucose suppressed
cardiomyocyte autophagy, whereas ZLN005 increased the expression of autophagy
marker proteins ATG5, beclin1, and LC3 II/LC3 I; this increase was accompanied by
increased expression of SIRT1. Furthermore, EX527, a SIRT1-specific inhibitor,
weakened the protective effects of ZLN005 on cardiomyocytes subjected to high
glucose. Taken together, these results suggest that ZLN005 suppresses high
glucose-induced cardiomyocyte injury by promoting SIRT1 expression and autophagy.
PMID- 27208587
TI - Emergency deployment of genetically engineered veterinary vaccines in Europe.
AB - On the 9th of November 2015, preceding the World Veterinary Vaccine Congress, a
workshop was held to discuss how veterinary vaccines can be deployed more rapidly
to appropriately respond to future epizootics in Europe. Considering their
potential and unprecedented suitability for surge production, the workshop
focussed on vaccines based on genetically engineered viruses and replicon
particles. The workshop was attended by academics and representatives from
leading pharmaceutical companies, regulatory experts, the European Medicines
Agency and the European Commission. We here outline the present regulatory
pathways for genetically engineered vaccines in Europe and describe the incentive
for the organization of the pre-congress workshop. The participants agreed that
existing European regulations on the deliberate release of genetically engineered
vaccines into the environment should be updated to facilitate quick deployment of
these vaccines in emergency situations.
PMID- 27208588
TI - Dengue vaccine acceptance and associated factors in Indonesia: A community-based
cross-sectional survey in Aceh.
AB - BACKGROUND: The first dengue vaccine (DV) has been licensed in some countries,
but an assessment of the public's acceptance of DV is widely lacking. This study
aimed to explore and understand DV acceptance and its associated explanatory
variables among healthy inhabitants of Aceh, Indonesia. METHODS: A community
based cross-sectional survey was conducted from November 2014 to March 2015 in
nine regencies of Aceh that were selected randomly. A set of validated
questionnaires covering a range of explanatory variables and DV acceptance was
used to conduct the interviews. A multi-step logistic regression analysis and
Spearman's rank correlation were employed to assess the role of explanatory
variables in DV acceptance. RESULTS: We included 652 community members in the
final analysis and found that 77.3% of them were willing to accept the DV.
Gender, monthly income, socioeconomic status (SES), attitude toward dengue fever
(DF) and attitude toward vaccination practice were associated with DV acceptance
in bivariate analyses (P<0.05). A correlation analysis confirmed that attitude
toward vaccination practice and attitude toward DF were strongly correlated with
DV acceptance, rs=0.41 and rs=0.39, respectively (P<0.001). The multivariate
analysis revealed that a high monthly income, high SES, and a good attitude
toward vaccination practice and toward DF were independent predictors of DV
acceptance. CONCLUSION: The acceptance rate of the DV among inhabitants of Aceh,
Indonesia was relatively high, and the strongest associated factors of higher
support for the DV were a good attitude toward vaccination practices and a good
attitude toward DF.
PMID- 27208590
TI - The multidimensional driving style inventory a decade later: Review of the
literature and re-evaluation of the scale.
AB - The Multidimensional Driving Style Inventory (MDSI; Taubman - Ben-Ari,
Mikulincer, & Gillath, 2004a), a self-report questionnaire assessing four broad
driving styles, has been in use for the last ten years. During that time,
numerous studies have explored the associations between the MDSI factors and
sociodemographic and driving-related variables. The current paper employs two
large data sets to summarize the accumulated knowledge, examining MDSI factors in
samples of young drivers aged 17-21 (Study 1, n=1436) and older drivers aged 22
84 (Study 2, n=3409). Findings indicate that driving-related indicators are
coherently and systematically related to the four driving styles in the expected
directions, revalidating the structure of the MDSI. The results also help clarify
the relationships between the driving styles and variables such as gender,
ethnicity, car ownership, age, and experience, and suggest that driving styles
are largely unaffected by sociodemographic characteristics, except for gender and
ethnicity, and appear to represent a relatively stable and universal trait. The
two studies highlight the validity and reliability of the MDSI, attesting to its
practical value as a tool for purposes of research, evaluation, and intervention.
PMID- 27208589
TI - Effect of a community-based pedestrian injury prevention program on driver
yielding behavior at marked crosswalks.
AB - BACKGROUND: Few studies have comprehensively evaluated the effectiveness of multi
faceted interventions intended to improve pedestrian safety. "Watch for Me NC" is
a multi-faceted, community-based pedestrian safety program that includes
widespread media and public engagement in combination with enhanced law
enforcement activities (i.e., police outreach and targeted pedestrian safety
operations conducted at marked crosswalks) and low-cost engineering improvements
at selected crossings. The purpose of this study was to estimate the effect of
the law enforcement and engineering improvement components of the program on
motor vehicle driver behavior, specifically in terms of increased driver yielding
to pedestrians in marked crosswalks. METHODS: The study used a pre-post design
with a control group, comparing crossing locations receiving enforcement and low
cost engineering treatments (enhanced locations) with locations that did not
(standard locations) to examine changes in driver yielding over a 6-month period
from 2013 to 2014. A total of 24,941 drivers were observed in 11,817 attempted
crossing events at 16 crosswalks in five municipalities that were participating
in the program. Observations of real pedestrians attempting to use the crosswalks
("naturalistic" crossing) were supplemented by observations of trained research
staff attempting the same crossings following an established protocol ("staged"
crossings). Generalized estimating equations (GEE) were used to model driver
yielding rates, accounting for repeated observations at the crossing locations
and controlling other factors that affect driver behavior in yielding to
pedestrians in marked crosswalks. RESULTS: At crossings that did not receive
enhancements (targeted police operations or low-cost engineering improvements),
driver yielding rates did not change from before to after the Watch for Me NC
program. However, yielding rates improved significantly (between 4 and 7
percentage points on average) at the enhanced locations. This was true for both
naturalistic and staged crossings. CONCLUSIONS: This study provides evidence that
enhanced enforcement and low-cost engineering improvements, as a part of a
broader program involving community-based outreach, can increase driver yielding
to pedestrians in marked crosswalks. These data are important for the staff and
decision-makers involved in pedestrian safety programs to gain a better
understanding of the different engineering and behavioral mechanisms that could
be used to improve driver yielding rates.
PMID- 27208591
TI - Assessing the stochastic variability of the Benefit-Cost ratio in roadway safety
management.
AB - Road Agencies set quantitative targets and adopt related road safety strategies
within the priorities and the available resources at the time of an economic
crisis. In this framework, benefit-cost analyses (BCA) are carried out to support
the decision making process and alternative measures are ranked according to
their expected benefit and benefit-cost ratio calculated using a Safety
Performance Function (SPF) and Crash Modification Factors (CMFs) as predictors of
future safety performances. Due to the variance of CMFs and crash frequency we
are uncertain what the benefits of some future actions will be. The chance of
making wrong decisions depends on the size of the standard deviation of the
probability distribution of the considered stochastic variables. To deal with the
uncertainty inherent in the decision making process, a reliability based
assessment of benefits must be performed introducing a stochastic approach. In
the paper the variability of the CMFs, the predicted number of crashes and the
crash costs are taken into account in a reliability based BCA to address
improvements and issues of an accurate probabilistic approach when compared to
the deterministic results or other approximated procedures. A case study is
presented comparing different safety countermeasures selected to reduce crash
frequency and severity on sharp curves in motorways. These measures include
retrofitting of old safety barriers, delineation systems and shoulder rumble
strips. The methodology was applied using the Monte Carlo simulations to
calculate the probability of failure of BCA statements. Results and comparisons
with alternative approaches, like the one proposed in the HSM, are presented
showing remarkable differences in the evaluation of outcomes which can be
achieved.
PMID- 27208592
TI - C-reactive protein, obesity, and the risk of arterial and venous thrombosis.
AB - Essentials We performed repeated measurements of C-reactive protein (CRP) and
obesity in a cohort study. CRP was associated with risk of myocardial infarction
and venous thromboembolism. CRP was a mediator for risk of myocardial infarction
in obese men and women. CRP was a partial mediator for risk of venous
thromboembolism in obese women, but not in men. SUMMARY: Background Low-grade
inflammation in obesity may be a shared pathway for the risk of venous
thromboembolism (VTE) and myocardial infarction (MI). Objectives To investigate
the associations between repeated measurements of C-reactive protein (CRP) and
the risks of MI and VTE, and to explore whether CRP mediated these risks in obese
subjects. Methods CRP and obesity measures were collected from 15 134 subjects
who participated in one or more surveys of the Tromso study in 1994-1995, 2001
2002, or 2007-2008. Incident VTEs and MIs were registered until 1 January 2011.
Time-varying Cox regression models were used to calculate hazard ratios of MI and
VTE according to categories of CRP and obesity measures. Results There were 291
VTEs and 920 MIs during follow-up. High levels of CRP (>= 3 mg L(-1) versus < 1
mg L(-1) ) were associated with increased risks of MI (hazard ratio [HR] 1.73;
95% confidence interval [CI] 1.32-2.26) and VTE (HR 1.84; 95% CI 1.22-2.78) in
women, but only with MI in men (HR 1.93; 95% CI 1.53-2.44). All obesity measures
showed stronger associations with CRP in women than in men. In obese women (body
mass index [BMI] of >= 30 kg m(-2) versus < 25 kg m(-2) ), adjustment for CRP
attenuated the risk estimate for VTE by 22%, whereas the incidence rates of VTE
increased with combined categories of higher BMI and CRP. No association was
found in men. Conclusions Our findings suggest that low-grade inflammation,
assessed by measurement of CRP, is associated with the risks of MI and VTE, and
may be a shared pathway for MI and VTE in obesity.
PMID- 27208594
TI - Binge eating & childhood emotional abuse: The mediating role of anger.
AB - Recent studies reveal that childhood emotional abuse (CEA) is the trauma most
clearly associated with adult eating pathology. Yet, relatively little is
understood about psychological mechanisms linking these distal experiences.
Anger's mediational role in the relationship between CEA and adult binge eating
(BE) is explored in a community-based sample of 498 adult women (mean age 44).
Detailed telephone interviews assess BE (7 items), CEA (single item), and
unresolved anger (single item) along with self-criticism (modified Rosenberg self
esteem scale), depression and anxiety symptoms (BSI sub-scales). Statistical
analyses include Pearson correlations, Baron and Kenny's steps for mediation, and
Preacher and Hayes bootstrapping method to test proposed multiple mediators
simultaneously. Findings reveal significantly more respondents (n = 476 with
complete data) with serious BE behaviors report a history of CEA compared to
women with considerable and/or minimal BE (53% vs 37%, p = 0.002 respectively).
Significant correlations are found among all study variables. Mediation analyses
focus on anger together with self-criticism, depression and anxiety. Findings
reveal anger and self-criticism fully mediate the CEA-BE relationship. In
contrast, depression and anxiety symptoms are not significant mediators in a
model that includes anger and self-criticism. Although additional research is
warranted to more fully understand complex causal processes, in the interim,
treatment interventions should be broadened to include assessments of anger among
adult women with BE behaviors, especially those with histories of childhood
abuse. Additionally, prevention strategies that incorporate learning how to
express anger directly and positively may be particularly effective in reducing
various disordered eating behaviors among women and girls.
PMID- 27208593
TI - Impulse control and restrained eating among young women: Evidence for
compensatory cortical activation during a chocolate-specific delayed discounting
task.
AB - Theory and associated research indicate that people with elevated restrained
eating (RE) scores have higher risk for binge eating, future bulimic symptom
onset and weight gain. Previous imaging studies have suggested hyper-responsive
reward brain area activation in response to food cues contributes to this risk
but little is known about associated neural impulse control mechanisms,
especially when considering links between depleted cognitive resources related to
unsuccessful RE. Towards illuminating this issue, we used a chocolate-specific
delayed discounting (DD) task to investigate relations between RE scores,
behavior impulsivity, and corresponding neural impulse control correlates in a
functional magnetic resonance imaging (fMRI) study of 27 young women.
Specifically, participants were required to choose between more immediate,
smaller versus delayed, larger hypothetical chocolate rewards following initial
consumption of a chocolate. As predicted, RE scores were correlated positively
with behavior impulse control levels. More critically, higher RE scores were
associated with stronger activation in impulse control region, the dorsal-lateral
prefrontal cortex (DLPFC) during the completion of difficult decision trials
reflecting higher cognitive demands and resource depletion relative to easy
decision trials. Exploratory analyses revealed a positive correlation between RE
scores and activity in a reward system hub, the right striatum. Moreover, a
positive correlation between left DLPFC and striatum activation was posited to
reflect, in part, impulse control region compensation in response to stronger
reward signal among women with RE elevations. Findings suggested impulse control
lapses may contribute to difficulties in maintaining RE, particularly when
cognitive demands are high.
PMID- 27208595
TI - Juggling the five dimensions of food access: Perceptions of rural low income
residents.
AB - Using focus groups (n = 6) from six West Virginia counties we assessed how low
income, rural women (n = 30) enrolled in the Special Supplemental Nutrition
Program for Women, Infants and Children (WIC) program perceived the food
environment and the ability to access healthy food. For WIC clients who are at
risk for nutrition problems and live at or below 185% of poverty, challenges with
food access threaten the positive aspects and impacts of the WIC program. We
undertook a qualitative analysis by coding the focus group data on rural food
access, into three themes. Our analysis demonstrated how the three major themes
interact with five dimensions of food access and underscores the issues with food
access that decrease the effectiveness of the food packages and nutrition
education that low income WIC participants receive. To increase food access we
recommend creating a formal structure where vendors and low income clients may
discuss concerns; encouraging greater investment in rural communities through
state issued incentives to build full service grocery stores or informal
transportation networks; and additional research on the status of low income
clients as social change agents capable of addressing issues that act as barriers
to their shopping experiences. However, even with the data and prior literature,
the pathways by which these environmental factors shape nutrition remain unclear
entangled - much like the issues that low income, rural residents must juggle
when they make grocery shopping and nutrition decisions.
PMID- 27208596
TI - Can evaluative conditioning decrease soft drink consumption?
AB - The present study examined the effect of a picture-picture evaluative
conditioning (EC) procedure on soft drink (soda) outcomes, including negative
implicit attitudes, consumption during a taste test, and real-world consumption
reported during the week after the intervention. In the EC condition (n = 43),
soda images were paired with disgust images and water images were paired with
pleasant images, whereas in the control condition (n = 41), the same images were
viewed without pairing. The EC condition showed a larger reduction in real-world
soda consumption across the week following the intervention. However, individuals
in the EC condition did not consume less soda during a taste test immediately
following the intervention. EC only significantly increased negative implicit
attitudes towards soda among individuals who already had relatively higher
baseline negative attitudes. These findings generally favored the potential for
EC to impact soda drinking habits, but suggest that a brief EC intervention may
not be strong enough to change attitudes towards a well-known brand unless
negative attitudes are already present.
PMID- 27208597
TI - Cloning and expression profile of ionotropic receptors in the parasitoid wasp
Microplitis mediator (Hymenoptera: Braconidae).
AB - Ionotropic receptors (IRs) mainly detect the acids and amines having great
importance in many insect species, representing an ancient olfactory receptor
family in insects. In the present work, we performed RNAseq of Microplitis
mediator antennae and identified seventeen IRs. Full-length MmedIRs were cloned
and sequenced. Phylogenetic analysis of the Hymenoptera IRs revealed that ten
MmedIR genes encoded "antennal IRs" and seven encoded "divergent IRs". Among the
IR25a orthologous groups, two genes, MmedIR25a.1 and MmedIR25a.2, were found in
M. mediator. Gene structure analysis of MmedIR25a revealed a tandem duplication
of IR25a in M. mediator. The tissue distribution and development specific
expression of the MmedIR genes suggested that these genes showed a broad
expression profile. Quantitative gene expression analysis showed that most of the
genes are highly enriched in adult antennae, indicating the candidate
chemosensory function of this family in parasitic wasps. Using
immunocytochemistry, we confirmed that one co-receptor, MmedIR8a, was expressed
in the olfactory sensory neurons. Our data will supply fundamental information
for functional analysis of the IRs in parasitoid wasp chemoreception.
PMID- 27208598
TI - Higher-order conditioning and the retrosplenial cortex.
AB - The retrosplenial cortex (RSC) is known to contribute to contextual and spatial
learning and memory. This is consistent with its well-established connectivity;
the RSC is located at the interface of visuo-spatial association areas and the
parahippocampal-hippocampal memory system. However, the RSC also contributes to
learning and memory for discrete cues. For example, both permanent lesions and
temporary inactivation of the RSC have been shown to impair sensory
preconditioning, a form of higher-order conditioning. The purpose of the present
experiment was to examine the role of the RSC in a closely related higher-order
conditioning paradigm: second-order conditioning. Sham and RSC lesioned rats
received first-order conditioning in which one visual stimulus (V1) was paired
with footshock and one visual stimulus (V2) was not. Following first-order
conditioning, one auditory stimulus (A1) was then paired with V1 and a second
auditory stimulus (A2) was paired with V2. Although lesions of the RSC impaired
the first-order discrimination, they had no impact on the acquisition of second
order conditioning. Thus, the RSC does not appear necessary for
acquisition/expression of second-order fear conditioning. The role of the RSC in
higher-order conditioning, as well as a possible dissociation from the
hippocampus, is discussed.
PMID- 27208599
TI - Therapeutic Fetal Vesicoamniotic Shunt Placement.
PMID- 27208600
TI - The Safety of Home Birth.
PMID- 27208601
TI - Determination of Gestational Age by Ultrasound.
PMID- 27208602
TI - Gestational Weight Gain and Perinatal Outcomes in Adolescent Mothers: A
Retrospective Cohort Study.
AB - OBJECTIVE: Optimal gestational weight gain (GWG) may differ for adolescents since
they themselves may continue to grow throughout pregnancy. We examined the
associations between GWG and perinatal outcomes among adolescents aged < 20 years
and determined whether they differed from the associations among adult women aged
20 to 35 years. METHODS: We conducted a retrospective cohort study of live born,
singleton deliveries to 3725 adolescents and 50 400 adult women in Nova Scotia
between 2003 and 2014. GWG was categorized as below, within, or above the 2009
Institute of Medicine recommendations. Primary outcomes were preterm birth (< 37
weeks), small for gestational age (SGA; < 10(th) percentile), large for
gestational age (LGA; > 90th percentile), and delivery by Caesarean section.
Adjusted odds ratios (OR) with 95% confidence intervals (CI) were estimated from
logistic regression models. RESULTS: Adolescent mothers with GWG above the
recommendations (relative to mothers who gained within the recommendations) had
higher odds of having an LGA neonate (OR 2.20; 95% CI 1.62 to 2.99) and having a
Caesarean section (OR 1.33; 95% CI 1.07 to 1.64), but lower odds of having an SGA
neonate (OR 0.65; 95% CI 0.51 to 0.84). Adolescent mothers with low GWG had
decreased odds of having an LGA neonate (OR 0.58; 95% CI 0.34 to 0.98) and
increased odds of having an SGA neonate (OR 1.72; 95% CI 1.30 to 2.27).
CONCLUSION: Among adolescents, GWG was significantly associated with several
perinatal outcomes. More research considering other outcomes is needed to
determine the optimal GWG for adolescent women.
PMID- 27208603
TI - Periodontal Disease and Adverse Pregnancy Outcomes: A Prospective Study in a Low
Risk Population.
AB - BACKGROUND: Periodontal disease has been associated with systemic inflammation
and adverse pregnancy outcomes, including preeclampsia and preterm birth.
OBJECTIVE: To examine the relationship between periodontal disease in early
pregnancy and the risk of amniotic inflammation, preterm birth, and preeclampsia.
METHODS: We performed a prospective cohort study of women undergoing
amniocentesis for fetal karyotype between 15 and 24 weeks' gestation.
Participants underwent periodontal examination by a certified dentist, and a
sample of amniotic fluid was collected. Periodontal disease was defined as the
presence of one or more sites with probing depths >= 4 mm and >= 10% bleeding on
probing. Matrix metalloproteinase-8 and interleukin-6 concentrations in the
amniotic fluid were measured. Medical charts were reviewed for perinatal
outcomes. Univariate and multivariate logistic regression analyses were used to
assess the association between periodontal disease and adverse pregnancy
outcomes. RESULTS: We recruited 273 women at a median gestational age of 16 weeks
(range 15 to 24), and 258 (95%) agreed to undergo periodontal examination.
Periodontal disease was observed in 117 of the participants (45%). We observed no
significant association between periodontal disease and preterm birth (relative
risk [RR] 2.27; 95% CI 0.74 to 6.96) or spontaneous preterm birth (RR 0.90; 95%
CI 0.20 to 4.11). However, women with periodontal disease were more likely to
develop preeclampsia, and this association remained significant after adjustment
for potential confounders (adjusted RR 5.89; 95% CI 1.24 to 28.05). Periodontal
disease was not associated with significant differences in the intra-amniotic
concentration of matrix metalloproteinase-8 (13.0 +/- 46.6 vs 5.7 +/- 10.4 ng/mL,
P = 0.098) or interleukin-6 (3.3 +/- 20.3 vs 1.0 +/- 1.6 ng/mL, P = 0.23),
although a non-significant trend was observed. CONCLUSION: Periodontal disease is
associated with preeclampsia but not with spontaneous preterm birth. The current
study cannot exclude an association between periodontal disease and intra
amniotic inflammation.
PMID- 27208604
TI - Decreasing Diagnostic Hysteroscopy Performed in the Operating Room: A Quality
Improvement Initiative.
AB - OBJECTIVES: At our institution, diagnostic hysteroscopy (DH), often combined with
uterine curettage, commonly has been performed in the main OR with the patient
under general anaesthesia. Our objective was to create targeted interventions
aimed at decreasing the number of DHs performed in the OR by 75% over one year.
METHODS: This quality improvement initiative had a quasi-experimental (time
series) design. To obtain baseline numbers of DHs performed each month, we
conducted a retrospective chart audit at a university teaching hospital. We
implemented the following three groups of interventions: (1) staff education and
case review, (2) accessible sonohysterography, and (3) an operative hysteroscopy
education program. Procedures were tracked prospectively over a 12-month
intervention period and an additional 12-month maintenance period. RESULTS: One
hundred eleven DHs were performed at baseline. During the intervention period, 33
DHs were performed, a 70% reduction from baseline. This resulted in related
savings of $126 984 and 12.5 surgical days. In the final quarter of the
intervention period, there was an 81% reduction in the number of DHs with
adequate preoperative evaluation compared with baseline. Twenty DHs were
performed in the maintenance period, an 82% reduction from baseline. The absolute
number of complications from DH remained constant during the study period.
CONCLUSION: Carefully planned and targeted interventions to change the culture at
our institution decreased the number of DHs performed in the main OR. These
initiatives improved patient care, saved costs, and improved OR utilization. Long
term follow-up showed maintenance of the improvements in the year subsequent to
the interventions.
PMID- 27208605
TI - Ovarian Reserve After Ultrasound-Guided High-Intensity Focused Ultrasound for
Uterine Fibroids: Preliminary Experience.
AB - OBJECTIVE: To determine the effect on ovarian reserve of ultrasound-guided high
intensity focused ultrasound (HIFU) in the treatment of uterine fibroids.
METHODS: We performed a mid-study analysis of markers of ovarian reserve using
data from a prospective cohort study evaluating the safety of ultrasound-guided
HIFU for uterine fibroids. Blood samples obtained from 12 women with uterine
fibroids less than one week before treatment were used for measurement of serum
anti-Mullerian hormone (AMH), and this testing was repeated in the first, third,
sixth, and 12th month after ultrasound-guided HIFU treatment. RESULTS: Fourteen
fibroids from 12 patients were treated using ultrasound-guided HIFU. The median
baseline fibroid volume was 101.2 cm(3) (range 18.5 to 349.2 cm(3)). The median
treatment time was 140.5 minutes (46 to 192 minutes), and median sonication time
was 1449 seconds (range 541 to 2445 seconds). The median energy delivered was 575
521.5 joules (range 216 400 to 898 273 joules). The median AMH levels (ng/mL)
before treatment and at one, three, six, and 12 months after treatment were 0.3
(range 0.01 to 1.94), 0.47 (0.01 to 1.43), 0.205 (0.01 to 1.81), 0.26 (0 to
2.37), and 0.06 (0.02 to 1.04), respectively. There was no significant difference
between the AMH levels before and at any time after treatment. No patient became
amenorrheic or reported symptoms suggestive of menopause after treatment.
CONCLUSION: Our preliminary experience suggests that ovarian reserve does not
seem to be affected by ultrasound-guided HIFU in the treatment of uterine
fibroids.
PMID- 27208606
TI - Parasitic Myomas and an Adenomyoma Obstructing the Ureter After Power
Morcellation of Myomas and Endometriotic Nodule Resection.
AB - BACKGROUND: The use of power morcellation (PM) in abdominal and pelvic surgery
has been discouraged and even banned in some institutions because of the risk of
spreading malignant cells, although some authorities maintain that PM can be an
appropriate tool for selected patients deemed to be at low risk of malignancy.
CASE: A 42-year-old woman developed parasitic myomas and an adenomyoma
obstructing the right ureter after laparoscopic excision of multiple myomas and
deep infiltrating endometriosis using PM. Laparoscopic excision of the parasitic
myomas and removal of the adenomyoma relieved the obstruction of the ureter.
CONCLUSION: Although there is reasonable concern about the use of PM spreading
malignant disease, benign disease can also be spread by PM and can cause
significant complications. Use of PM should be restricted as much as possible.
PMID- 27208609
TI - Erratum.
PMID- 27208607
TI - Medical Abortion.
AB - OBJECTIVE: This guideline reviews the evidence relating to the provision of first
trimester medical induced abortion, including patient eligibility, counselling,
and consent; evidence-based regimens; and special considerations for clinicians
providing medical abortion care. INTENDED USERS: Gynaecologists, family
physicians, registered nurses, midwives, residents, and other healthcare
providers who currently or intend to provide pregnancy options counselling,
medical abortion care, or family planning services. TARGET POPULATION: Women with
an unintended first trimester pregnancy. EVIDENCE: Published literature was
retrieved through searches of PubMed, MEDLINE, and Cochrane Library between July
2015 and November 2015 using appropriately controlled vocabulary (MeSH search
terms: Induced Abortion, Medical Abortion, Mifepristone, Misoprostol,
Methotrexate). Results were restricted to systematic reviews, randomized
controlled trials, clinical trials, and observational studies published from June
1986 to November 2015 in English. Additionally, existing guidelines from other
countries were consulted for review. A grey literature search was not required.
VALUES: The quality of evidence in this document was rated using the criteria
described in the Report of the Canadian Task Force for Preventive Medicine rating
scale (Table 1). BENEFITS, HARMS AND/OR COSTS: Medical abortion is safe and
effective. Complications from medical abortion are rare. Access and costs will be
dependent on provincial and territorial funding for combination
mifepristone/misoprostol and provider availability. SUMMARY STATEMENTS:
Introduction Pre-procedure care Medical abortion regimens Providing medical
abortion Post-abortion care RECOMMENDATIONS: Introduction Pre-procedure care
Medical abortion regimens Providing medical abortion Post-abortion care.
PMID- 27208611
TI - Quantification and human health risk assessment of by-products of photo catalytic
oxidation of ethylbenzene, xylene and toluene in indoor air of analytical
laboratories.
AB - The by-products of TiO2-based photocatalytic oxidation (PCO) of ethylbenze, p,m
xylene, o-xylene and toluene (EXT) in vapour phase and those adsorbed on the
catalyst surface (solid phase) were identified and quantified on GC/GC-MS. A
factor was developed in terms of MUg of by-product produced per mg of EXT removed
per sq-meter surface area of catalyst for estimating the mass of by-products
produced. The by-products quantified were: acetone, hexane, cyclohexane, benzene,
crotonaldehyde, toulene, 1,4-benzoquinone, benzaldehyde, phenol, benzylalcohol,
cresol, hydroquinone and benzoic acid. The by-products accounted for 2.3-4.2% of
the total mass of EXT treated. For treating concentrations of 220MUg/m(3)
(ethylbenzene), 260MUg/m(3) (p,m-xylene), 260MUg/m(3) (o-xylene) and 320MUg/m(3)
(toluene), at a flow rate of 7L/min for 12h in a laboratory of volume 195m(3),
the estimated cancer risks of by-products to the occupants were 1.51*10(-6),
1.06*10(-6), 4.69*10(-7), and 1.58*10(-9) respectively. The overall hazard index
(HI) of the by-products for EXT was of the order 10(-4); which is much less than
desired level of 1.0. The estimated risks were within the acceptable level. This
study has also suggested the photocatalytic degradation pathways for EX which are
through formation of toluene.
PMID- 27208610
TI - Text mining, a race against time? An attempt to quantify possible variations in
text corpora of medical publications throughout the years.
AB - BACKGROUND: The continuous growth of medical sciences literature indicates the
need for automated text analysis. Scientific writing which is neither unitary,
transcending social situation nor defined by a timeless idea is subject to
constant change as it develops in response to evolving knowledge, aims at
different goals, and embodies different assumptions about nature and
communication. The objective of this study was to evaluate whether publication
dates should be considered when performing text mining. METHODS: A search of
PUBMED for combined references to chemokine identifiers and particular cancer
related terms was conducted to detect changes over the past 36 years. Text
analyses were performed using freeware available from the World Wide Web. TOEFL
Scores of territories hosting institutional affiliations as well as various
readability indices were investigated. Further assessment was conducted using
Principal Component Analysis. Laboratory examination was performed to evaluate
the quality of attempts to extract content from the examined linguistic features.
RESULTS: The PUBMED search yielded a total of 14,420 abstracts (3,190,219 words).
The range of findings in laboratory experimentation were coherent with the
variability of the results described in the analyzed body of literature.
Increased concurrence of chemokine identifiers together with cancer related terms
was found at the abstract and sentence level, whereas complexity of sentences
remained fairly stable. CONCLUSIONS: The findings of the present study indicate
that concurrent references to chemokines and cancer increased over time whereas
text complexity remained stable.
PMID- 27208613
TI - Investigations on the heating effect of PE-LD induced by high-intensity focused
ultrasound.
AB - High-intensity focused ultrasound is widely applied in tissue treatment as well
as for heating of solid polymer materials. Previous studies investigating the
heating effect in polymer materials utilized sound transmission through water or
other fluids at low HIFU power. In this study, the ultrasonic transducer
possesses a solid sound conductor made of aluminum and a high HIFU power of above
100W was applied to heat solid PE-LD samples. Temperature measurements were
performed by calibrated non-invasive infrared thermal imaging. A strong heating
effect with heating above melting temperature and evaporation temperature within
less than 1s of irradiation was observed. Furthermore, the acoustic coupling
defined by the force applied by the ultrasonic applicator to the polymer material
was found to be fundamental to induce the heating effect. This investigation
reveals HIFU for new applications in the field of polymer processing.
PMID- 27208612
TI - A novel adsorbent TEMPO-mediated oxidized cellulose nanofibrils modified with
PEI: Preparation, characterization, and application for Cu(II) removal.
AB - This study describes the preparation of a novel adsorbent based on cellulose
nanofibrils by first TEMPO mediated oxidation and then PEI grafting (TOCN-PEI)
for heavy metal removal. FTIR results demonstrated the successful introduction of
the adsorption functional groups (carboxyl and amino groups), and the elemental
analysis and acid base titration were used to quantify the contents of these
introduced groups. The kinetics curve suited the pseudo-second-order model better
and the equilibrium data well fitted the Langmuir model, with the maximum Cu(II)
uptake of 52.32mgg(-1). Kinetic study showed that the PEI grafting increased the
initial adsorption rate of the TOCN-PEI compared with the adsorbents without PEI.
Thermodynamic study was carried out through isothermal titration calorimetry
(ITC) measurement and the binding reaction was found to be exothermic and driven
by enthalpy change. The adsorption process by TOCN-PEI was pH dependent, and
decreasing pH would lead to desorption of Cu(II) ions, thus make the reuse of the
absorbent more convenient through adsorption-desorption cycles.
PMID- 27208614
TI - Sex and estrous cycle influence diazepam effects on anxiety and memory: Possible
role of progesterone.
AB - Studies with rodents and humans show the relationship between female sex hormones
and cognitive/emotional tasks. However, despite the greater incidence of anxiety
disorders in women, the data are still inconclusive regarding the mechanisms
related to this phenomenon. We evaluated the effects of a classical
anxiolytic/amnestic drug (diazepam; DZP) on female (at different estrous cycle
phases) and male rats tested in the plus-maze discriminative avoidance task
(PMDAT), that allows the concomitant evaluation of memory and anxiety-like
behavior. Further, in order to investigate the role of progesterone and its
metabolites in the effects of DZP in the PMDAT, female rats were pre-treated with
the progesterone receptor antagonist mifepristone or the 5-alpha-reductase
inhibitor finasteride. The main findings were: (1) DZP caused memory impairment
and anxiolysis in both sexes, but only the highest dose induced the anxiolytic
effect in females; (2) females in proestrus did not present the amnestic and
anxiolytic effects of DZP (at 2.0 and 4.0mg/kg, respectively) and (3) the co
administration of mifepristone reestablished both amnestic and anxiolytic effects
of DZP, while finasteride reinstated the amnestic effect in proestrus female
rats. These results suggest that changes in the endogenous levels of progesterone
and its metabolites are important in the modulation of emotional/cognitive
behavior in female rats. Based on the influence on different aspects of DZP
action, the mechanisms related to this modulation are probably linked to
GABAergic transmission, but this point remains to be investigated. Further, the
variation in therapeutic and adverse effects of DZP depending on sex and hormonal
state is of great relevance considering the higher prevalence of anxiety
disorders in women.
PMID- 27208615
TI - Iron-induced neuronal damage in a rat model of post-traumatic stress disorder.
AB - Previous studies have shown that iron redistribution and deposition in the brain
occurs in some neurodegenerative diseases, and oxidative damage due to abnormal
iron level is a primary cause of neuronal death. In the present study, we used
the single prolonged stress (SPS) model to mimic post-traumatic stress disorder
(PTSD), and examined whether iron was involved in the progression of PTSD. The
anxiety-like behaviors of the SPS group were assessed by the elevated plus maze
(EPM) and open field tests, and iron levels were measured by inductively coupled
plasma optical emission spectrometer (ICP-OES). Expression of glucocorticoid
receptors and transferrin receptor 1 (TfR1) and ferritin (Fn) was detected by
Western blot and immunohistochemistry in selected brain areas; TfR1 and Fn mRNA
expression were detected by quantitative-polymerase chain reaction (Q-PCR).
Ultrastructures of the hippocampus were observed under a transmission electron
microscope. Our results showed that SPS exposure induced anxiety-like symptoms
and increased the level of serum cortisol and the concentration of iron in key
brain areas such as the hippocampus, prefrontal cortex, and striatum. The stress
induced region-specific changes in both protein and mRNA levels of TfR1 and Fn.
Moreover, swelling mitochondria and cell apoptosis were observed in neurons in
brain regions with iron accumulation. We concluded that SPS stress increased iron
in some cognition-related brain regions and subsequently cause neuronal injury,
indicating that the iron may function in the pathology of PTSD.
PMID- 27208616
TI - Two distinct subpopulations of neurons in the thalamic intergeniculate leaflet
identified by subthreshold currents.
AB - The intergeniculate leaflet (IGL) is a flat retinorecipient thalamic structure
implicated in orchestrating circadian rhythm, historically considered to be a
subdivision of the neighboring ventrolateral geniculate nucleus (VLG). IGL
consists of two main neuronal subpopulations: enkephalinergic and neuropeptide Y
(NPY)-synthesizing cells. These cell types have different functions, connectivity
and firing pattern in vivo, which suggest that they have different membrane
currents to support their functional differences. We therefore performed patch
clamp experiments combined with immunohistochemical staining to clarify possible
differences in the subthreshold currents of IGL neurons. Our results suggest that
IGL neurons can be divided into two subpopulations based on two ionic currents. A
T-type calcium current (IT) was identified in neurons that do not synthesise NPY,
whereas all NPY-positive neurons were found to express a marked A-type potassium
current (IA). Due to the fact that the clear electrophysiological discriminants
between IGL and VLG are lacking, we decided to compare the amplitudes of the
identified currents between those two structures. Our data suggest that VLG
neurons can be characterized by a high amplitude IT and a low IA. Finally, we
compared both currents with WAG/Rij rats, a well-established model of absence
epilepsy, with co-occurring retinal pathologies, sleep-onset disturbances, and
seizures exhibiting circadian rhythmicity. Data presented in this study uncovered
pathologies in the IT exhibiting neurons of the IGL and VLG. In conclusion, the
data presented here suggest that different subthreshold current expression
supports the functional differences of thalamic nuclei. Those differences are
promising for possible pharmacological manipulations of specified cell types in
pathophysiologies including absence epilepsy.
PMID- 27208617
TI - Short-term environmental enrichment enhances synaptic plasticity in hippocampal
slices from aged rats.
AB - Age-associated changes in cognition are mirrored by impairments in cellular
models of memory and learning, such as long-term potentiation (LTP) and long-term
depression (LTD). In young rodents, environmental enrichment (EE) can enhance
memory, alter LTP and LTD, as well as reverse cognitive deficits induced by
aging. Whether short-term EE can benefit cognition and synaptic plasticity in
aged rodents is unclear. Here, we tested if short-term EE could overcome age
associated impairments in induction of LTP and LTD. LTP and LTD could not be
induced in the CA1 region of hippocampal slices in control, aged rats using
standard stimuli that are highly effective in young rats. However, exposure of
aged littermates to EE for three weeks enabled successful induction of LTP and
LTD. EE-facilitated LTP was dependent upon N-methyl-d-aspartate receptors
(NMDARs). These alterations in synaptic plasticity occurred with elevated levels
of phosphorylated cAMP response element-binding protein and vascular endothelial
growth factor, but in the absence of changes in several other synaptic and
cellular markers. Importantly, our study suggests that even a relatively short
period of EE is sufficient to alter synaptic plasticity and molecular markers
linked to cognitive function in aged animals.
PMID- 27208620
TI - Diet-induced cellular neuroinflammation in the hypothalamus: Mechanistic insights
from investigation of neurons and microglia.
AB - Diet-induced obesity can lead to detrimental chronic disorders. The severity of
this global epidemic has encouraged ongoing research to characterize the
mechanisms underlying obesity and its comorbidities. Recent evidence suggests
that saturated fatty acids (SFA) in high-fat diets rapidly generate inflammation
in the arcuate nucleus of the hypothalamus (ARC), which centrally regulates whole
body energy homeostasis. Herein, we will review the roles of hypothalamic neurons
and resident microglia in the initiation of SFA-induced hypothalamic
inflammation. Particularly, we focus on neuronal and microglial free fatty acid
sensing and capacity to produce inflammatory signaling. We also outline a
potential role of peripherally-derived monocytes in this inflammation. And
finally, we explore synaptic plasticity as a mechanism through which hypothalamic
inflammation can modulate ARC circuitry, and thus disrupt energy homeostasis.
PMID- 27208619
TI - Single exposure to cocaine impairs aspartate uptake in the pre-frontal cortex via
dopamine D1-receptor dependent mechanisms.
AB - Dopamine and glutamate play critical roles in the reinforcing effects of cocaine.
We demonstrated that a single intraperitoneal administration of cocaine induces a
significant decrease in [(3)H]-d-aspartate uptake in the pre-frontal cortex
(PFC). This decrease is associated with elevated dopamine levels, and requires
dopamine D1-receptor signaling (D1R) and adenylyl cyclase activation. The effect
was observed within 10min of cocaine administration and lasted for up to 30min.
This rapid response is related to D1R-mediated cAMP-mediated activation of PKA
and phosphorylation of the excitatory amino acid transporters EAAT1, EAAT2 and
EAAT3. We also demonstrated that cocaine exposure increases extracellular d
aspartate, l-glutamate and d-serine in the PFC. Our data suggest that cocaine
activates dopamine D1 receptor signaling and PKA pathway to regulate EAATs
function and extracellular EAA level in the PFC.
PMID- 27208618
TI - Prohormone convertase 2 (PC2) null mice have increased mu opioid receptor levels
accompanied by altered morphine-induced antinociception, tolerance and
dependence.
AB - Chronic morphine treatment increases the levels of prohormone convertase 2 (PC2)
in brain regions involved in nociception, tolerance and dependence. Thus, we
tested if PC2 null mice exhibit altered morphine-induced antinociception,
tolerance and dependence. PC2 null mice and their wild-type controls were tested
for baseline hot plate latency, injected with morphine (1.25-10mg/kg) and tested
for antinociception 30min later. For tolerance studies, mice were tested in the
hot plate test before and 30min following morphine (5mg/kg) on day 1. Mice then
received an additional dose so that the final dose of morphine was 10mg/kg on
this day. On days 2-4, mice received additional doses of morphine (20, 40 and
80mg/kg on days 1, 2, 3, and 4, respectively). On day 5, mice were tested in the
hot plate test before and 30min following morphine (5mg/kg). For withdrawal
studies, mice were treated with the escalating doses of morphine (10, 20, 40 and
80mg/kg) for 4days, implanted with a morphine pellet on day 5 and 3 days later
injected with naloxone (1mg/kg) and signs of withdrawal were recorded. Morphine
dose-dependently induced antinociception and the magnitude of this response was
greater in PC2 null mice. Tolerance to morphine was observed in wild-type mice
and this phenomenon was blunted in PC2 null mice. Withdrawal signs were also
reduced in PC2 null mice. Immunohistochemical studies showed up-regulation of the
mu opioid receptor (MOP) protein expression in the periaqueductal gray area,
ventral tegmental area, lateral hypothalamus, medial hypothalamus, nucleus
accumbens, and somatosensory cortex in PC2 null mice. Likewise, naloxone specific
binding was increased in the brains of these mice compared to their wild-type
controls. The results suggest that the PC2-derived peptides may play a functional
role in morphine-induced antinociception, tolerance and dependence.
Alternatively, lack of opioid peptides led to up-regulation of the MOP and
altered morphine-induced antinociception, tolerance and dependence.
PMID- 27208621
TI - Maternal testosterone and placental function: Effect of electroacupuncture on
placental expression of angiogenic markers and fetal growth.
AB - Women with polycystic ovary syndrome (PCOS) have elevated circulating androgens
during pregnancy and are at an increased risk of adverse pregnancy outcomes. Here
we tested the hypotheses that maternal androgen excess decrease placental and
fetal growth, and placental expression of markers of steroidogenesis,
angiogenesis and sympathetic activity, and that acupuncture with low-frequency
electrical stimulation prevents these changes. Pregnant rats were exposed to
vehicle or testosterone on gestational day (GD)15-19. Low-frequency
electroacupuncture (EA) or handling, as a control for the EA procedure, was given
to control or testosterone exposed dams on GD16-20. On GD21, blood pressure was
measured and maternal blood, fetuses and placentas collected. Placental steroid
receptor expression and proteins involved in angiogenic, neurotrophic and
adrenergic signaling were analyzed. EA did not affect any variables in control
rats except maternal serum corticosterone, which was reduced. EA in testosterone
exposed dams compared with controls increased systolic pressure by 30%, decreased
circulating norepinephrine and corticosterone, fetal and placental weight and
placental VEGFR1 and proNGF protein expression, and increased the VEGFA/VEGFR1
ratio, mature NGF (mNGF) and the mNGF/proNGF ratio. In conclusion, low-frequency
EA in control animals did not have any negative influence on any of the studied
variables. In contrast, EA in pregnant dams exposed to testosterone increased
blood pressure and impaired placental growth and function, leading to decreased
fetal growth.
PMID- 27208622
TI - Plasma metabolomics combined with lipidomics profiling reveals the potential
antipyretic mechanisms of Qingkailing injection in a rat model.
AB - Qingkailing injection (QKLI) has a notable antipyretic effect and is widely used
in China as a clinical emergency medicine. To elucidate the pharmacological
action thoroughly, following the investigation of the urine metabolome and
hypothalamus metabolome, plasma metabolomics combined with lipidomics profiling
of the QKLI antipyretic effect in a rat model is described in this paper.
Compared with pure metabolomics profiling, this non-targeted plasma metabolomics
combined with lipidomics profiling based on ultra-performance liquid
chromatography-coupled with quadrupole time-of-flight mass spectrometry (UPLC Q
TOF/MS) could be used for a large-scale detection of features in plasma samples.
The results showed that 15 metabolites at the 1 h time point and 19 metabolites
at the 2 h time point after QKLI administration were associated with the
antipyretic effect of QKLI, including amino acid, phosphatidylcholine and
lysophosphatidylcholine. The metabolism pathway analysis revealed that the
potential biomarkers, which were important for the antipyretic mechanism of QKLI,
were closely responsible for correcting the perturbed pathways of amino acid
metabolism and lipid metabolism. In conclusion, the use of complementary UPLC Q
TOF/MS based metabolomics and lipidomics allows for the discovery of new
potential plasma biomarkers in the QKLI antipyretic process and the associated
pathways, and aided in advancing the understanding of the holism and synergism of
the Chinese drug.
PMID- 27208624
TI - A simple and sensitive method to analyze genotoxic impurity hydrazine in
pharmaceutical materials.
AB - Hydrazine (N2H4) is a known genotoxic impurity that typically needs to be
controlled down to low ppm level in pharmaceutical development. Hydrazine,
however, is a challenging molecule to analyze using conventional analytical
techniques due to its physical and chemical properties (e.g. lack of chromophore,
absence of any carbon atom, low molecular weight, high polarity and volatility).
Additionally, analysis in pharmaceutical samples commonly encounters significant
interference from matrix components that greatly overshadow the response of
hydrazine. This work describes a simple, accurate and sensitive reversed-phase
liquid chromatography-UV derivatization method for determination of trace amount
hydrazine in pharmaceutical materials featuring three prominent strategies to
address the problems associated with hydrazine analysis. First, the
derivatization reaction attaches chromophores to hydrazine, which greatly
increases its sensitivity by UV-vis detection. Secondly, the derivatization
reaction generates a lambda max that is well-shifted away from the absorption
wavelengths of pharmaceutical matrix interferences. Thirdly, from a separation
standpoint, the derivatization further removes matrix interference effects
through chromatography by achieving higher resolution of the derivative product
from the active pharmaceutical ingredient (API) and its related impurities for
accurate quantitation for trace level of genotoxic impurities (GTIs). 2-Hydroxy-1
Naphthalaldehyde (HNA) was chosen as the derivatizing reagent, and the resulting
hydrazone product has a maximum UV absorbance at wavelength of 406/424nm which is
in the visible range. Since most drug substance and impurities have UV absorbance
ranging from 190 to 380nm, interference from the matrix was minimized and the
appropriate selectivity was obtained, the detection limit is 0.25ppm (0.25MUg/g
API). This method was validated and applied as a generic method to determine
hydrazine for pharmaceutical process control and drug material release.
PMID- 27208623
TI - Development and validation of a specific and sensitive HPLC-ESI-MS method for
quantification of lysophosphatidylinositols and evaluation of their levels in
mice tissues.
AB - Increasing evidence suggests that lysophosphatidylinositols (LPIs), a subspecies
of lysophospholipids, are important endogenous mediators. Although LPIs long
remained among the less studied lysophospholipids, the identification of GPR55 as
their molecular target sparked a renewed interest in the study of these bioactive
lipids. Furthermore, increasing evidence points towards a role for LPIs in cancer
development. However, a better understanding of the role and functions of LPIs in
physiology and disease requires methods that allow for the quantification of LPI
levels in cells and tissues. Because dedicated efficient methods for quantifying
LPIs were missing, we decided to develop and validate an HPLC-ESI-MS method for
the quantification of LPI species from tissues. LPIs are extracted from tissues
by liquid/liquid extraction, pre-purified by solid-phase extraction, and finally
analyzed by HPLC-ESI-MS. We determined the method's specificity and selectivity,
we established calibration curves, determined the carry over (< 2%), LOD and LLOQ
(between 0.116-7.82 and 4.62-92.5pmol on column, respectively), linearity
(0.988 80%), intermediate
precision (CV<20%) as well as the recovery from tissues. We then applied the
method to determine the relative abundance of the LPI species in 15 different
mouse tissues. Finally, we quantified the absolute LPI levels in six different
mouse tissues. We found that while 18:0 LPI represents more than 60% of all the
LPI species in the periphery (e.g. liver, gastrointestinal tract, lungs, spleen)
it is much less abundant in the central nervous system where the levels of 20:4
LPI are significantly higher. Thus this validated HPLC-ESI-MS method for
quantifying LPIs represents a powerful tool that will facilitate the
comprehension of the pathophysiological roles of LPIs.
PMID- 27208625
TI - Baby babbling at five months linked to sex hormone levels in early infancy.
AB - Gender-dependent differentiation of the brain at morphological, neurochemical and
functional levels of organization have been shown to be primarily controlled by
sex differences in gonadal hormone concentrations during pre- and early postnatal
development. Indeed, previous studies have reported that pre- and perinatal
hormonal environments influence brain development and, consequently, affect sex
specific long-term language outcomes. Herein, we investigated whether postnatal
surges of estrogen (estradiol) and androgen (testosterone) may predict properties
of pre-speech babbling at five months. This study is the first attempt to
investigate a possible correlation between sex hormones and infants' articulatory
skills during the typical postnatal period of extended hormonal activity known as
'mini-puberty.' A hierarchical, multiple regression approach revealed a
significant, robust positive relationship between 4-week concentrations of
estradiol and individual articulatory skills. In contrast, testosterone
concentrations at five months negatively correlated with articulatory skills at
the same age in both boys and girls. Our findings reinforce the assumption of the
importance of sex hormones for auditory-vocal development towards language in
human infants.
PMID- 27208626
TI - The UVB1 Vitamin D analogue inhibits colorectal carcinoma progression.
AB - Vitamin D has been shown to display a wide variety of antitumour effects, but
their therapeutic use is limited by its severe side effects. We have designed and
synthesized a Gemini vitamin D analogue of calcitriol (UVB1) which has shown to
display antineoplastic effects on different cancer cell lines without causing
hypercalcemia. The aim of this work has been to investigate, by employing in
silico, in vitro, and in vivo assays, whether UVB1 inhibits human colorectal
carcinoma progression. We demonstrated that UVB1 induces apoptotic cell death and
retards cellular migration and invasion of HCT116 colorectal carcinoma cells.
Moreover, the analogue reduced the tumour volume in vivo, and modulated the
expression of Bax, E-cadherin and nuclear beta-catenin in tumour animal tissues
without producing toxic effects. In silico analysis showed that UVB1 exhibits
greater affinity for the ligand binding domain of vitamin D receptor than
calcitriol, and that several characteristics in the three-dimensional
conformation of VDR may influence the biological effects. These results
demonstrate that the Gemini vitamin D analogue affects the growth of the
colorectal cancer and suggest that UVB1 is a potential chemotherapeutic agent for
treatment of this disease.
PMID- 27208627
TI - Endogenous glucocorticoid analysis by liquid chromatography-tandem mass
spectrometry in routine clinical laboratories.
AB - Liquid chromatography-tandem mass spectrometry (LC-MS/MS) is a powerful
analytical technique that offers exceptional selectivity and sensitivity. Used
optimally, LC-MS/MS provides accurate and precise results for a wide range of
analytes at concentrations that are difficult to quantitate with other
methodologies. Its implementation into routine clinical biochemistry laboratories
has revolutionised our ability to analyse small molecules such as
glucocorticoids. Whereas immunoassays can suffer from matrix effects and cross
reactivity due to interactions with structural analogues, the selectivity offered
by LC-MS/MS has largely overcome these limitations. As many clinical guidelines
are now beginning to acknowledge the importance of the methodology used to
provide results, the advantages associated with LC-MS/MS are gaining wider
recognition. With their integral role in both the diagnosis and management of
hypo- and hyperadrenal disorders, coupled with their widespread pharmacological
use, the accurate measurement of glucocorticoids is fundamental to effective
patient care. Here, we provide an up-to-date review of the LC-MS/MS techniques
used to successfully measure endogenous glucocorticoids, particular reference is
made to serum, urine and salivary cortisol.
PMID- 27208628
TI - Lipid deregulation in UV irradiated skin cells: Role of 25-hydroxycholesterol in
keratinocyte differentiation during photoaging.
AB - Skin photoaging due to UV irradiation is a degenerative process that appears more
and more as a growing concern. Lipids, including oxysterols, are involved in
degenerative processes; as skin cells contain various lipids, the aim of our
study was to evaluate first, changes in keratinocyte lipid levels induced by UV
exposure and second, cellular effects of oxysterols in cell morphology and
several hallmarks of keratinocyte differentiation. Our mass spectrometry results
demonstrated that UV irradiation induces changes in lipid profile of cultured
keratinocytes; in particular, ceramides and oxysterols, specifically 25
hydroxycholesterol (25-OH), were increased. Using holography and confocal
microscopy analyses, we highlighted cell thickening and cytoskeletal disruption
after incubation of keratinocytes with 25-OH. These alterations were associated
with keratinocyte differentiation patterns: autophagy stimulation and
intracellular calcium increase as measured by cytofluorometry, and increased
involucrin level detected by immunocytochemistry. To conclude, oxysterol
deregulation could be considered as a common marker of degenerative disorders.
During photoaging, 25-OH seems to play a key role inducing morphological changes
and keratinocyte differentiation.
PMID- 27208629
TI - The effects of amphetamine exposure on juvenile rats on the neuronal morphology
of the limbic system at prepubertal, pubertal and postpubertal ages.
AB - Amphetamines (AMPH) are psychostimulants widely used for therapy as well as for
recreational purposes. Previous results of our group showed that AMPH exposure in
pregnant rats induces physiological and behavioral changes in the offspring at
prepubertal and postpubertal ages. In addition, several reports have shown that
AMPH are capable of modifying the morphology of neurons in some regions of the
limbic system. These modifications can cause some psychiatric conditions.
However, it is still unclear if there are changes to behavioral and morphological
levels when low doses of AMPH are administered at a juvenile age. The aim of this
study was to assess the effect of AMPH administration (1mg/kg) in Sprague-Dawley
rats (postnatal day, PD21-PD35) on locomotor activity in a novel environment and
compare the neuronal morphology of limbic system areas at three different ages:
prepubertal (PD 36), pubertal (PD50) and postpubertal (PD 62). We found that AMPH
altered locomotor activity in the prepubertal group, but did not have an effect
on the other two age groups. The Golgi-Cox staining method was used to describe
the neural morphology of five limbic regions: (Layers 3 and 5) the medial
prefrontal cortex (mPFC), the dorsal and ventral hippocampus, the nucleus
accumbens and the amygdala, showing that AMPH induced changes at pubertal ages in
arborization and spine density of these neurons, but interestingly these changes
did not persist at postpubertal ages. Our findings suggest that even early-life
AMPH exposure does not induce long-term behavioral and morphological changes,
however it causes alterations at pubertal ages in the limbic system networks, a
stage of life strongly associated with the development of substance abuse
behaviors.
PMID- 27208631
TI - Randomized controlled trials for influenza drugs and vaccines: a review of
controlled human infection studies.
AB - OBJECTIVES: Controlled human infection, the intentional infection of healthy
volunteers, allows disease pathogenesis to be studied and vaccines and
therapeutic interventions to be evaluated in a controlled setting. A systematic
review of randomized controlled trials of countermeasures for influenza that used
the experimental human infection platform was performed. The primary objective
was to document the scope of trials performed to date and the main efficacy
outcome in the trials. The secondary objective was to assess safety and identify
serious adverse events. METHODS: The PubMed database was searched for randomized
controlled influenza human challenge studies with predetermined search terms.
Review papers, papers without outcomes, community-acquired infections, duplicated
data, pathogenesis studies, and observational studies were excluded. RESULTS:
Twenty-six randomized controlled trials published between 1947 and 2014 fit the
study inclusion criteria. Two-thirds of these trials investigated antivirals and
one-third investigated influenza vaccines. Among 2462 subjects inoculated with
influenza virus, the incidence of serious adverse events was low (0.04%). These
challenge studies helped to down-select three antivirals and one vaccine that
were subsequently approved by the US Food and Drug Administration (FDA).
CONCLUSIONS: Controlled human infection studies are an important research tool in
assessing promising influenza vaccines and antivirals. These studies are
performed quickly and are cost-effective and safe, with a low incidence of
serious adverse events.
PMID- 27208632
TI - Mapping Zika in municipalities of one coastal department of Colombia (Sucre)
using geographic information systems during the 2015-2016 outbreak: implications
for public health and travel advice.
PMID- 27208630
TI - Ablation of the mitochondrial complex IV assembly protein Surf1 leads to
increased expression of the UPR(MT) and increased resistance to oxidative stress
in primary cultures of fibroblasts.
AB - Mice deficient in the electron transport chain (ETC) complex IV assembly protein
SURF1 have reduced assembly and activity of cytochrome c oxidase that is
associated with an upregulation of components of the mitochondrial unfolded
protein response (UPR(MT)) and increased mitochondrial number. We hypothesized
that the upregulation of proteins associated with the UPR(MT) in response to
reduced cytochrome c oxidase activity in Surf1(-/-) mice might contribute to
increased stress resistance. To test this hypothesis we asked whether primary
cultures of fibroblasts from Surf1(-/-) mice exhibit enhanced resistance to
stressors compared to wild-type fibroblasts. Here we show that primary dermal
fibroblasts isolated from Surf1(-/-) mice have increased expression of UPR(MT)
components ClpP and Hsp60, and increased expression of Lon protease. Fibroblasts
from Surf1(-/-) mice are significantly more resistant to cell death caused by
oxidative stress induced by paraquat or tert-Butyl hydroperoxide compared to
cells from wild-type mice. In contrast, Surf1(-/-) fibroblasts show no difference
in sensitivity to hydrogen peroxide stress. The enhanced cell survival in
response to paraquat or tert-Butyl hydroperoxide in Surf1(-/-) fibroblasts
compared to wild-type fibroblasts is associated with induced expression of Lon,
ClpP, and Hsp60, increased maximal respiration, and increased reserve capacity as
measured using the Seahorse Extracellular Flux Analyzer. Overall these data
support a protective role for the activation of the UPR(MT) in cell survival.
PMID- 27208633
TI - Zika virus, vectors, reservoirs, amplifying hosts, and their potential to spread
worldwide: what we know and what we should investigate urgently.
AB - OBJECTIVES: The widespread epidemic of Zika virus infection in South and Central
America and the Caribbean in 2015, along with the increased incidence of
microcephaly in fetuses born to mothers infected with Zika virus and the
potential for worldwide spread, indicate the need to review the current
literature regarding vectors, reservoirs, and amplification hosts. VECTORS: The
virus has been isolated in Africa in mosquitoes of the genera Aedes, Anopheles,
and Mansonia, and in Southeast Asia and the Pacific area in mosquitoes of the
genus Aedes. Aedes albopictus has invaded several countries in Central Africa and
all Mediterranean countries, and continues to spread throughout Central and
Northern Europe. The wide distribution of the virus in animal hosts and vectors
favors the emergence of recombinants. ANIMAL HOSTS: The virus has been isolated
in monkeys, and antibodies have been detected in domestic sheep, goats, horses,
cows, ducks, rodents, bats, orangutans, and carabaos. CONCLUSIONS: It is a public
health imperative to define the domestic and wild animal reservoirs,
amplification hosts, and vector capacity of the genera Aedes, Anopheles, and
Mansonia. These variables will define the geographic distribution of Zika virus
along with the indicated timing and scale of the environmental public health
interventions worldwide.
PMID- 27208634
TI - Efficiency of interleukin 6 and interferon gamma in the differentiation of
invasive pulmonary aspergillosis and pneumocystis pneumonia in pediatric oncology
patients.
AB - OBJECTIVE: Invasive pulmonary aspergillosis (IPA) and Pneumocystis pneumonia
(PCP) are two types of pulmonary fungal infection that are not easy to
differentiate. The purpose of this study was to investigate the role of
inflammatory cytokines in the differential diagnosis of IPA and PCP. METHODS: A
total of 227 pediatric oncology patients diagnosed with acute pneumonia were
enrolled. They were divided into three groups: IPA, PCP, and 'others'. The
cytokine levels in these groups were compared, including interferon (IFN)-gamma,
tumor necrosis factor (TNF)-alpha, interleukin (IL)-10, IL-6, IL-4, and IL-2.
RESULTS: Of the six cytokines, only IL-6 and IFN-gamma levels were elevated in
patients with acute pneumonia. IL-6 was comparable between patients with IPA and
PCP (52.0 pg/ml vs. 25.8 pg/ml, p=0.092), while IFN-gamma was much higher in
patients with PCP (19.9 pg/ml vs. 8.9 pg/ml, p=0.001). The accuracy of IL-6 and
the ratio of IL-6/IFN-gamma in predicting IPA were 69.0% and 72.0%, respectively,
while the accuracy of IFN-gamma to predict PCP was 67.2%. IL-6 >140 pg/ml and IL
6/IFN-gamma >9.0 presented specificities of 90% in predicting IPA, while IFN
gamma >40 pg/ml presented specificity of 90% in predicting PCP. CONCLUSIONS: IL-6
is predominantly elevated in IPA, while IFN-gamma is significantly increased in
PCP. These are helpful tools for the differential diagnosis of IPA and PCP.
PMID- 27208635
TI - Communicable disease surveillance and control in the context of conflict and mass
displacement in Syria.
AB - OBJECTIVES: To describe trends in major communicable diseases in Syria during the
ongoing conflict, and the challenges to communicable disease surveillance and
control in the context of dynamic, large-scale population displacement, unplanned
mass gatherings, and disruption to critical infrastructure. METHODS: A rapid
review of the peer-reviewed and non-peer-reviewed literature from 2005 to 2015
was performed, augmented by secondary analysis of monitoring data from two
disease early warning systems currently operational in Syria, focusing mainly on
three diseases: tuberculosis (TB), measles, and polio. RESULTS: Trend data show
discrepancies in case report numbers between government and non-government
controlled areas, especially for TB, but interpretation is hampered by
uncertainties over sentinel surveillance coverage and base population numbers.
Communicable disease control has been undermined by a combination of governance
fragmentation, direct and indirect damage to facilities and systems, and health
worker flight. CONCLUSIONS: Five years into the crisis, some progress has been
made in disease surveillance, but governance and coordination problems, variable
immunization coverage, and the dynamic and indiscriminate nature of the conflict
continue to pose a serious threat to population health in Syria and surrounding
countries. The risk of major cross-border communicable disease outbreaks is high,
and challenges for health in a post-conflict Syria are formidable.
PMID- 27208636
TI - Severe manifestations of chikungunya virus in critically ill patients during the
2013-2014 Caribbean outbreak.
AB - OBJECTIVES: A chikungunya epidemic occurred in 2013-2014 in the Caribbean and
Americas. Although the disease is usually benign, some patients required
admission to the intensive care unit (ICU). The characteristics and outcomes of
patients with chikungunya virus (CHIKV) infection admitted to an ICU during this
epidemic are reported. METHODS: An observational study of consecutive patients
with confirmed CHIKV infection admitted to ICUs in Martinique and Guadeloupe,
French West Indies, between January and November 2014, was performed. In
addition, patients with CHIKV-related manifestations were compared with those
whose manifestations were not specifically related to CHIKV infection. RESULTS:
Sixty-five patients were admitted to the ICU with CHIKV infection. Fifty-four
(83%) had a pre-existing underlying disease and 27 (41.5%) were admitted due to
exacerbation of a comorbidity. Thirty-seven (57%) patients were mechanically
ventilated. ICU and hospital mortality rates were 26% and 27%, respectively.
CHIKV-related manifestations were observed in 28 (18%) patients and were mainly
encephalitis, Guillain-Barre syndrome, and severe sepsis. These patients less
frequently had chronic arterial hypertension and diabetes and more frequently had
autoimmune diseases compared with patients without CHIKV-related manifestations.
CONCLUSIONS: Most patients admitted to the ICU with CHIKV infection had a pre
existing comorbidity. However, severe manifestations such as Guillain-Barre
syndrome, encephalitis, and severe sepsis could be specifically related to CHIKV.
PMID- 27208637
TI - Fatal nosocomial meningitis caused by Mycoplasma hominis in an adult patient:
case report and review of the literature.
AB - Meningitis due to Mycoplasma hominis in adults is rarely described, with only
three cases having been reported to date. A case of fatal meningitis in a 39-year
old patient after a neurosurgical procedure for a subarachnoid haemorrhage is
reported herein. Identification and treatment were significantly delayed because
of the rarity of the aetiology and difficulty identifying this organism with the
routinely used conventional methods, such as Gram staining and agar growth on
standard agar plates. Clinical procedures and the treatment of 'culture-negative'
central nervous system infections is a real challenge for clinical
microbiologists and clinicians, and M. hominis has to be considered as a
potential, although very uncommon, pathogen.
PMID- 27208638
TI - Yellow fever vaccination status and safety in hemodialysis patients.
AB - BACKGROUND: The adverse effects of yellow fever (YF) vaccine in dialysis patients
are not well known. There is concern about the risks and benefits of the vaccine
in immunocompromised patients living in endemic areas, particularly given the
risk of resurgence of urban YF with the spread of Aedes aegypti mosquitoes. The
purpose of this study was to assess the coverage and safety of YF vaccine in
chronic dialysis patients. METHODS: A cross-sectional study of 130 chronic
dialysis patients was performed. Data were collected on clinical characteristics
and YF vaccine status. Patients not vaccinated against YF or without a booster
vaccination within the last 10 years were referred to receive the vaccine, and
adverse effects were monitored. RESULTS: Previous vaccination was verified in 44
patients within the last 10 years and in 26 patients at more than 10 years ago,
with no mention of adverse effects. Thirty-six patients had never been vaccinated
and 24 had an unknown vaccination status. Of the total 86 patients referred for
immunization, 45 actually received the YF vaccine, with 24.4% experiencing mild
local adverse effects and 4.4% experiencing fever. No serious adverse effects
attributable to YF vaccine were observed (anaphylaxis, neurological or
viscerotropic disease). CONCLUSIONS: YF vaccine coverage among hemodialysis
patients is low, and the vaccine appeared to be safe in this population with a
small sample size.
PMID- 27208639
TI - Collagen-collagen interactions mediated by plant-derived proanthocyanidins: A
spectroscopic and atomic force microscopy study.
AB - Collagen cross-linkings are determinant of biological tissue stability and
function. Plant-derived proanthocyanidins (PACs) mimic different hierarchical
levels of collagen cross-links by non-enzymatic interactions resulting in the
enhancement to the biomechanics and biostability of collagen-rich tissues such as
dentin. This study investigated the interaction of PACs from Vitis vinifera grape
seed extract with type I collagen in solubilized form and in the demineralized
dentin matrix (DDM) by fluorescence spectral analysis; collagen-collagen binding
forces in presence of cross-linking solutions by atomic force microscopy (AFM);
and spectroscopic analysis of the DDM using attenuated total reflectance Fourier
transform-infrared spectroscopy (ATR-FTIR). Glutaraldehyde (GA) and carbodiimide
hydrochloride (EDC) with known cross-linking mechanisms were selected for
comparative analyses. Changes in fluorescence upon interaction of solubilized
type I collagen with PACs, EDC and GA reflected pronounced modifications in
collagen conformation. PACs also promoted stronger collagen-collagen fibrils
interaction than EDC and GA. A new feature was observed using ATR-FTIR
spectroscopic analysis in PACs-treated collagen and DDM. The findings suggest
covalent interactions between collagen and PACs. The mechanisms of interaction
between PACs-collagen hold attractive and promising tissue-tailored biomedical
applications and the binding forces that potentially drive such interaction were
characterized. STATEMENT OF SIGNIFICANCE: Connective tissues such as skin, bone
and dentin are mainly composed of type I collagen, which is cross-linked to
promote tissue stability, strength and function. Novel therapies using substances
that mimic cross-links have been proposed to promote repair of collagen-based
tissues. In dentistry, naturally occurring proanthocyanidins (PACs) have the
potential to enhance dentin mechanical properties and reduce its enzymatic
degradation, but their mechanisms of cross-linking are unclear. The present study
investigated the specific interactions between PACs-type I collagen in purified
and dentin collagen and compared to the well described cross-linking mechanisms
promoted by synthetic chemical substances. Findings reveal that covalent-like
bonds are induced by plant PACs in type I collagen as well as in complex dental
native tissue, promoting strong collagen-collagen interactions.
PMID- 27208640
TI - Substrate stiffness orchestrates epithelial cellular heterogeneity with
controlled proliferative pattern via E-cadherin/beta-catenin mechanotransduction.
AB - Epithelial cellular heterogeneity has been observed in pathological tissues with
abnormal matrix stiffness and cells cultured on rigid substrates. However, it
remains unclear how matrix stiffness influences cellular heterogeneity formation
in multi-cellular population. Here, we demonstrated that cellular heterogeneity
regulated by substrate stiffness is evident starting from the initial single-cell
stage (indicated by cellular Young's modulus and morphology) until the resulting
multi-cellular stage (indicated by cellular functions) through distinguished
proliferative patterns. Epithelial cells on soft substrate proliferated in a
neighbor-dependent manner with stronger E-cadherin expression and more
homogeneous E-cadherin/beta-catenin localization compared to those on coverslips,
which resulted in reduced heterogeneity in downstream cellular functions of the
multi-cellular population. In particular, decreased heterogeneity in human
embryonic stem cells upon expansion and endodermal induction was achieved on soft
substrate. Overall, our work provides new insights on mechanotransduction during
epithelial proliferation which regulates the formation of cellular heterogeneity
and potentially provides a highly efficient approach to regulate stem cell fate
by fine-tuning substrate stiffness. STATEMENT OF SIGNIFICANCE: This study
demonstrates that cellular heterogeneity regulated by substrate stiffness is
evident starting from the initial single-cell stage until the resulting multi
cellular stage through distinguished proliferative patterns. During this process,
E-cadherin/beta-catenin mechanotransduction is found to play important role in
substrate stiffness-regulated epithelial cellular heterogeneity formation. In
particular, decreased heterogeneity in human embryonic stem cells upon expansion
and endodermal induction is achieved on soft substrate. Hence, we believe that
this work not only provides new insights on mechanotransduction of E
cadherin/beta-catenin which regulates the formation of cellular heterogeneity
during proliferation, but also potentially provides a highly efficient approach
to regulate stem cell fate by fine-tuning substrate stiffness.
PMID- 27208642
TI - Metabolic pathways of benzimidazole anthelmintics in harebell (Campanula
rotundifolia).
AB - Benzimidazoles anthelmintics, which enter into environment primarily through
excretion in the feces or urine of treated animals, can affect various organisms
and disrupt ecosystem balance. The present study was designed to test the
phytotoxicity and biotransformation of the three benzimidazole anthelmintics
albendazole (ABZ), fenbendazole (FBZ) and flubendazole (FLU) in the harebell
(Campanula rotundifolia). This meadow plant commonly grows in pastures and comes
into contact with anthelmintics through the excrements of treated animals.
Suspensions of harebell cells in culture medium were used as an in vitro model
system. ABZ, FLU and FBZ were not found to be toxic for harebell cells, which
were able to metabolize ABZ, FLU and FBZ via the formation of a wide scale of
metabolites. Ultrahigh-performance liquid chromatography coupled with high mass
accuracy tandem mass spectrometry (UHPLC-MS/MS) led to the identification of 24,
18 and 29 metabolites of ABZ, FLU and FBZ, respectively. Several novel
metabolites were identified for the first time. Based on the obtained results,
the schemes of the metabolic pathways of these anthelmintics were proposed. Most
of these metabolites can be considered deactivation products, but a substantial
portion of them may readily be decomposed to biologically active substances which
could negatively affect ecosystems.
PMID- 27208643
TI - Mycorrhiza and heavy metal resistant bacteria enhance growth, nutrient uptake and
alter metabolic profile of sorghum grown in marginal soil.
AB - The main challenge for plants growing in nutrient poor, contaminated soil is
biomass reduction, nutrient deficiency and presence of heavy metals. Our aim is
to overcome these challenges using different microbial combinations in mining
impacted soil and focus on their physiological and biochemical impacts on a model
plant system, which has multiple applications. In the current study, sorghum
BTx623 seedlings grown in mining-impacted soil in greenhouse were subjected to
plant growth promoting bacteria (PGPB or B) alone, PGPB with arbuscular
mycorrhizal fungi (My), My alone and control group with no treatment. Root
biomass and uptake of most of the elements showed significant increase in all
treatment groups in comparison with control. Mycorrhiza group showed the best
effect followed by My + B and B groups for uptake of majority of the elements by
roots. On the contrary, biomass of both shoot and root was more influenced by B
treatment than My + B and My treatments. Metabolomics identified compounds whose
levels changed in roots of treatment groups significantly in comparison to
control. Upregulation of stearic acid, sorbitol, sebacic acid and ferulic acid
correlated positively with biomass and uptake of almost all elements. Two
biochemical pathways, fatty acid biosynthesis and galactose metabolism, were
regulated in all treatment groups. Three common pathways were upregulated only in
My and My + B groups. Our results suggest that PGPB enhanced metabolic activities
which resulted in increase in element uptake and sorghum root biomass whether
accompanied with mycorrhiza or used solely.
PMID- 27208641
TI - Effects of developmental alcohol and valproic acid exposure on play behavior of
ferrets.
AB - Exposure to alcohol and valproic acid (VPA) during pregnancy can lead to fetal
alcohol spectrum disorders and fetal valproate syndrome, respectively. Altered
social behavior is a hallmark of both these conditions and there is ample
evidence showing that developmental exposure to alcohol and VPA affect social
behavior in rodents. However, results from rodent models are somewhat difficult
to translate to humans owing to the substantial differences in brain development,
morphology, and connectivity. Since the cortex folding pattern is closely related
to its specialization and that social behavior is strongly influenced by cortical
structures, here we studied the effects of developmental alcohol and VPA exposure
on the play behavior of the ferret, a gyrencephalic animal known for its playful
nature. Animals were injected with alcohol (3.5g/kg, i.p.), VPA (200mg/kg, i.p.)
or saline (i.p) every other day during the brain growth spurt period, between
postnatal days 10 and 30. The play behavior of pairs of the same experimental
group was evaluated 3 weeks later. Both treatments induced significant behavioral
differences compared to controls. Alcohol and VPA exposed ferrets played less
than saline treated ones, but while animals from the alcohol group displayed a
delay in start playing with each other, VPA treated ones spent most of the time
close to one another without playing. These findings not only extend previous
results on the effects of developmental exposure to alcohol and VPA on social
behavior, but make the ferret a great model to study the underlying mechanisms of
social interaction.
PMID- 27208644
TI - Maternal phthalate exposure during the first trimester and serum thyroid hormones
in pregnant women and their newborns.
AB - Animal and human studies have suggested that phthalate alters thyroid hormone
concentrations. This study investigated the associations between phthalate
exposure during the first trimester and thyroid hormones in pregnant women and
their newborns. Pregnant women were enrolled from the prospective Ma'anshan Birth
Cohort study in China. A standard questionnaire was completed by the women at the
first antenatal visit. Seven phthalate metabolites were measured in one-spot
urine at enrolment (10.0 +/- 2.1 gestational weeks), as were thyroid hormone
levels in maternal and cord sera. Multivariable linear regression showed that 1
standard deviation (SD) increase in natural log (ln)-transformed mono(2
ethylhexyl) phthalate (MEHP) and mono(2-ethyl-5-hydroxyhexyl) phthalate (MEHHP)
was associated with 0.163 MUg/dL (p = 0.001) and 0.173 MUg/dL (p = 0.001)
decreases in maternal total thyroxine (TT4). Both MEHP and MEHHP were negatively
associated with maternal free thyroxine (FT4; beta: -0.013, p < 0.001 and beta:
0.011, p = 0.001, respectively) and positively associated with maternal thyroid
stimulating hormone (beta: 0.101, p < 0.001; beta: 0.132, p < 0.001,
respectively). An inverse association was observed between monobenzyl phthalate
and maternal TT4 and FT4. A 1-SD increase in ln-transformed monoethyl phthalate
was inversely associated with maternal TT4 (beta: -0.151, p = 0.002). By
contrast, the concentrations of phthalate metabolites in urine were not
associated with those of thyroid hormone in cord serum. Our analysis suggested
that phthalate exposure during the first trimester disrupts maternal thyroid
hormone levels.
PMID- 27208645
TI - Toxicokinetics of pyrene in the freshwater alga Chara rudis.
AB - Chara has been suggested a good model to study uptake of xenobiotics into
cytoplasm due to their large internode cells surrounded by a layer of cortex
cells. We studied the uptake and elimination of pyrene (nominal concentration of
5 MUg L(-1)) in the freshwater alga Chara rudis during 22 days in two treatments
mimicking epilimnetic (warm and light) and hypolimnetic (cold and dark)
conditions. The growth of Chara during the exposure was higher in epilimnetic
conditions (40%) compared to both hypolimnetic pyrene exposed Chara and controls
(epilimnetic and hypolimnetic, no pyrene). In the water, a more rapid dissipation
of pyrene was observed in epilimnetic conditions, possibly as a result of the
increased algal growth. In the cortex, pyrene, 1-OH-pyrene (minor metabolite) and
an unknown hydrophobic major metabolite was measured. Pyrene amounts decreased
over time, while amounts of the unknown metabolite increased. In internode
cytoplasm, pyrene and 1-OH-pyrene showed initially increasing followed by
decreasing trends, while the unknown metabolite was not detected. The total mass
balance showed that we were able to account for the applied pyrene until 4 days
of exposure. However, after this time, there was a significant decrease in
amounts accounted for by fluorescence, suggesting that the metabolism of pyrene
involves degradation of the ring structure. The degradation was larger in
epilimnetic than hypolimnetic conditions.
PMID- 27208646
TI - Effect of the human therapeutic drug diltiazem on the haematological parameters,
histology and selected enzymatic activities of rainbow trout Oncorhynchus mykiss.
AB - Diltiazem is a pharmaceutical belonging to a group of calcium channel blockers
(CCB) that is widely used in the treatment of angina pectoris and hypertension.
The objective of the present study was to assess the effect of diltiazem on
rainbow trout (Oncorhynchus mykiss). Juvenile trout were exposed for 21 and 42
days to three nominal concentrations of diltiazem: 0.03 MUg L(-1)
(environmentally relevant concentration), 3 MUg L(-1), and 30 MUg L(-1) (sub
lethal concentrations). The number of mature neutrophilic granulocytes was
significantly increased by 450 and 400% in fish exposed to 3 MUg L(-1) and 30 MUg
L(-1) diltiazem compared to the control, respectively. Antioxidant enzyme
activity was affected in liver and gills of fish exposed to all tested
concentrations of diltiazem but the changes were mostly transient and not
concentration dependent. Creatine kinase activity was markedly increased (ranging
from 520 to 845%) at all tested diltiazem concentrations at the end of the
exposure indicating muscle and/or kidney damage. The highest concentration was
associated with histological changes in heart, liver, and kidney. These
alterations can be attributed to the effects of diltiazem on the cardiovascular
system, similar to those observed in the human body, as well as to its
metabolism. At the environmentally relevant concentration, diltiazem was found to
induce some alterations in the blood, gills, and liver of fish, indicating its
potential for adverse effects on non-target organisms in the aquatic environment.
PMID- 27208647
TI - Developing Artificial Neural Network Models to Predict Functioning One Year After
Traumatic Spinal Cord Injury.
AB - OBJECTIVE: To develop mathematical models for predicting level of independence
with specific functional outcomes 1 year after discharge from inpatient
rehabilitation for spinal cord injury. DESIGN: Statistical analyses using
artificial neural networks and logistic regression. SETTING: Retrospective
analysis of data from the national, multicenter Spinal Cord Injury Model Systems
(SCIMS) Database. PARTICIPANTS: Subjects (N=3142; mean age, 41.5y) with traumatic
spinal cord injury who contributed data for the National SCIMS Database
longitudinal outcomes studies. INTERVENTIONS: Not applicable. MAIN OUTCOME
MEASURES: Self-reported ambulation ability and FIM-derived indices of level of
assistance required for self-care activities (ie, bed-chair transfers, bladder
and bowel management, eating, toileting). RESULTS: Models for predicting
ambulation status were highly accurate (>85% case classification accuracy; areas
under the receiver operating characteristic curve between .86 and .90). Models
for predicting nonambulation outcomes were moderately accurate (76%-86% case
classification accuracy; areas under the receiver operating characteristic curve
between .70 and .82). The performance of models generated by artificial neural
networks closely paralleled the performance of models analyzed using logistic
regression constrained by the same independent variables. CONCLUSIONS: After
further prospective validation, such predictive models may allow clinicians to
use data available at the time of admission to inpatient spinal cord injury
rehabilitation to accurately predict longer-term ambulation status, and whether
individual patients are likely to perform various self-care activities with or
without assistance from another person.
PMID- 27208648
TI - Simulated thought insertion: Influencing the sense of agency using deception and
magic.
AB - In order to study the feeling of control over decisions, we told 60 participants
that a neuroimaging machine could read and influence their thoughts. While inside
a mock brain scanner, participants chose arbitrary numbers in two similar tasks.
In the Mind-Reading Task, the scanner appeared to guess the participants'
numbers; in the Mind-Influencing Task, it appeared to influence their choice of
numbers. We predicted that participants would feel less voluntary control over
their decisions when they believed that the scanner was influencing their
choices. As predicted, participants felt less control and made slower decisions
in the Mind-Influencing Task compared to the Mind-Reading Task. A second study
replicated these findings. Participants' experience of the ostensible influence
varied, with some reporting an unknown source directing them towards specific
numbers. This simulated thought insertion paradigm can therefore influence
feelings of voluntary control and may help model symptoms of mental disorders.
PMID- 27208649
TI - Visual discrimination of delayed self-generated movement reveals the temporal
limit of proprioceptive-visual intermodal integration.
AB - This study examined the intermodal integration of visual-proprioceptive feedback
via a novel visual discrimination task of delayed self-generated movement.
Participants performed a goal-oriented task in which visual feedback was
available only via delayed videos displayed on two monitors-each with different
delay durations. During task performance, delay duration was varied for one of
the videos in the pair relative to a standard delay, which was held constant.
Participants were required to identify and use the video with the lesser delay to
perform the task. Visual discrimination of the lesser-delayed video was examined
under four conditions in which the standard delay was increased for each
condition. A temporal limit for proprioceptive-visual intermodal integration of 3
5s was revealed by subjects' inability to reliably discriminate video pairs.
PMID- 27208650
TI - Metabolomic characterization of renal ischemia and reperfusion in a swine model.
PMID- 27208651
TI - Growing knowledge of using embryonic stem cells as a novel tool in developmental
risk assessment of environmental toxicants.
AB - Developmental toxicology is an important area of novel toxicology. In recent
years, there have been big concerns toward the increasing exposure to
pharmaceutical agents, food additives, pesticides, occupational toxicants, and
environmental pollutants, as well as their possible association with all aspects
of male or female-mediated transient or permanent defects in progeny. Therefore,
it is of great importance to look for new predictive models to evaluate
environmental toxicants before they can harm the human health and embryo
development. In this regard, new cell-based in vitro screening models have been
developed and validated in predictive toxicology to minimize assay costs and
animal usage. Stem cell-based models have been increasingly applied for
predicting the toxicity of chemicals. One of the most promising existing in vitro
developmental toxicity tests is the validated embryonic stem cell test (EST)
which employs marine or human embryonic stem cells to assess the potential of
chemicals embryotoxicity. These cells are very suitable for embryotoxicity
assessment as they have been demonstrated to specify cellular developmental
processes during early embryogenesis and gene expression patterns of
differentiation to functionally competent specialized cell types. The present
paper aimed at criticizing the human and experimental evidence for developmental
toxic effects of environmental toxicants based on ESCs models. Accordingly,
pesticides, heavy metals, plasticizers, nanomaterials and some solvents have been
considered as the main evaluated environmental toxicants inducing developmental
toxicity. At the end, current challenges, pros and cons of using ESCs as an
alternative validated in vitro model for specific developmental toxicity
screening are discussed.
PMID- 27208652
TI - Ranolazine improves oxidative stress and mitochondrial function in the atrium of
acetylcholine-CaCl2 induced atrial fibrillation rats.
AB - AIMS: Ranolazine, an antianginal agent used for chronic stable angina treatment,
was demonstrated to be effective in atrial fibrillation (AF) treatment. The aim
of this study was to explore the molecular mechanisms of its anti-AF effects.
MAIN METHODS: AF rat model was established using acetylcholine (ACh)-CaCl2
injection for 7days followed by ACh infusion into the heart. Prior to ACh
infusion, ranolazine at 10.7mg/kg/0.5ml was injected into vein and followed by
0.56mg/kg/min infusion. Blood pressure and electrocardiogram were monitored
during the infusion. Histological changes of atrial tissue were observed after
H&E staining. Activities and protein expression of NADPH oxidase-4, xanthine
oxidase, glutathione peroxidase and superoxide dismutase were examined using
commercial assay kits and Western botting, respectively. Mitochondrial functions
were evaluated through membrane potential, ATP production, activities of complex
I and III and reactive oxygen species production. Apoptosis was measured using
TUNEL staining. Protein expression of apoptotic proteins Bcl-2, Bax and cleaved
caspase 3 and Akt/mTOR signaling proteins were detected using Western blotting.
KEY FINDINGS: Results demonstrated that ranolazine attenuated AF in ACh-CaCl2
exposed rats. In addition, ranolazine restored mitochondrial function, suppressed
oxidative stress, and inhibited atrial cells apoptosis. Furthermore, the
activated Akt/mTOR signaling pathway induced by AF was further activated by
ranolazine. SIGNIFICANCE: The present study confirms the effects of ranolazine on
AF rats induced by ACh-CaCl2, and provides evidence that the anti-AF effects are
associated with the restoration of mitochondrial function and activation of the
Akt/mTOR signaling pathway in atrial tissue.
PMID- 27208653
TI - High resistance barrier to tenofovir alafenamide is driven by higher loading of
tenofovir diphosphate into target cells compared to tenofovir disoproxil
fumarate.
AB - Tenofovir alafenamide (TAF) is a new oral prodrug of tenofovir (TFV) recently
approved for the treatment of HIV-1 as part of the single-tablet regimen
containing elvitegravir, cobicistat, emtricitabine, and TAF. Clinical dosing with
TAF vs. tenofovir disoproxil fumarate (TDF) has shown improved bone and kidney
safety, and has been associated with an increased concentration of the anti-HIV
active moiety tenofovir diphosphate (TFV-DP) in the PBMCs of treated patients and
a reduction of TFV systemic exposure. We have studied the potential benefit of
this increased concentration of TFV-DP observed clinically in an in vitro model
system. Using a newly developed virus breakthrough assay with TAF exposure set at
physiological concentrations, we show that HIV-1 clinical isolates harboring TFV
resistance mutations such as K65R, 3 or 4 thymidine-analog mutations (TAMs),
Q151M/K65R, or T69 insertion complex could be inhibited by TAF, but not by TFV
when used at clinically relevant concentrations for TDF. These data suggest that
the inhibitory quotient (IQ) of TAF is projected to be higher than the IQ of TDF,
and that TAF has the potential to inhibit viruses containing TDF resistance in
the clinic.
PMID- 27208654
TI - The effect of tramadol hydrochloride on early life stages of fish.
AB - The aim of this study was to perform the fish embryo acute toxicity test (FET) on
zebrafish (Danio rerio) and the early-life stage toxicity test on common carp
(Cyprinus carpio) with tramadol hydrochloride. The FET was performed using the
method inspired by the OECD guideline 236. Newly fertilized zebrafish eggs were
exposed to tramadol hydrochloride at concentrations of 10; 50; 100 and 200MUg/l
for a period of 144h. An embryo-larval toxicity test on C. carpio was performed
according to OECD guideline 210 also with tramadol hydrochloride at
concentrations 10; 50; 100 and 200MUg/l for a period of 32 days. Hatching was
significantly influenced in both acute and subchronic toxicity assays. Subchronic
exposure also influenced early ontogeny, both morphometric and condition
characteristics and caused changes in antioxidant enzyme activity. The LOEC value
was found to be 10MUg/l tramadol hydrochloride.
PMID- 27208655
TI - Evaluation of dry powder inhalers with a focus on ease of use and user preference
in inhaler-naive individuals.
AB - Inhaler errors are common amongst inhaler users. Therefore, in the development
work of new inhalation devices, it is important to characterize the ease of use
of the inhalers. In this study four dry powder inhalers, Diskus, Easyhaler,
Ellipta and Turbuhaler, were evaluated, focusing on ease of use and patient
preference. The study used a triangular methodology. The sample consisted of 31
inhaler naive individuals. Educational videos for all inhalers were watched, and
afterwards, the use of all four inhalers was demonstrated in a random order. The
demonstrations were videotaped. Thereafter they were checked against a predefined
checklist and all mistakes were recorded. Only 33% of inhaler demonstrations were
completed without the participants making any mistakes. The proportions of
subjects who used the devices correctly were as follows: Diskus 48%, Easyhaler
19%, Ellipta 55% and Turbuhaler 16%. When comparing correct and incorrect inhaler
technique for each inhaler pair the following differences were statistically
significant: Diskus vs. Easyhaler (p<0.05), Ellipta vs. Easyhaler (p<0.01),
Diskus vs. Turbuhaler (p<0.01), Ellipta vs. Turbuhaler (p<0.01). In the
participants' ranking, the inhalers Ellipta, followed by Turbuhaler, were most
often ranked as most preferred. Participants' preference of Ellipta over
Easyhaler (p<0.01) and over Diskus (p<0.001) were statistically significant.
PMID- 27208657
TI - Brain change trajectories that differentiate the major psychoses.
AB - BACKGROUND: Bipolar disorder and schizophrenia are highly heritable, often
chronic and debilitating psychotic disorders that can be difficult to
differentiate clinically. Their brain phenotypes appear to overlap in both cross
sectional and longitudinal structural neuroimaging studies, with some evidence to
suggest areas of differentiation with differing trajectories. The aim of this
review was to investigate the notion that longitudinal trajectories of
alterations in brain structure could differentiate the two disorders. DESIGN:
Narrative review. We searched MEDLINE and Web of Science databases in May 2016
for studies that used structural magnetic resonance imaging to investigate
longitudinal between-group differences in bipolar disorder and schizophrenia. Ten
studies met inclusion criteria, namely longitudinal structural magnetic resonance
studies comparing bipolar disorder (or affective psychosis) and schizophrenia
within the same study. RESULTS: Our review of these studies implicates illness
specific trajectories of morphological change in total grey matter volume, and in
regions of the frontal, temporal and cingulate cortices. The findings in
schizophrenia suggest a trajectory involving progressive grey matter loss
confined to fronto-temporal cortical regions. Preliminary findings identify a
similar but less severely impacted trajectory in a number of regions in bipolar
disorder, however, bipolar disorder is also characterized by differential
involvement across cingulate subregions. CONCLUSION: The small number of
available studies must be interpreted with caution but provide initial evidence
supporting the notion that bipolar disorder and schizophrenia have differential
longitudinal trajectories that are influenced by brain maturation.
PMID- 27208656
TI - Commonality between BCS and TCS.
AB - Both biopharmaceutics classification system (BCS) and topical drug classification
system (TCS) are based on sound scientific principles with the aim of providing
biowaiver and reducing regulatory burden without lowering the quality
requirements and standards of approval for the drug products. BCS is based on the
solubility and permeability properties of the active pharmaceutical ingredient
(API, or drug substance) whereas the TCS is based on the qualitative and
quantitative composition of the dosage form and the in vitro release rate of the
active ingredient as key decision tools. Both BCS and TCS take drug release and
dissolution as their guiding principle for providing biowaiver, increasing the
availability and affordability of safe and effective medicines to the consumers
and at the same time maintaining the drug product quality.
PMID- 27208658
TI - Identification of a thalidomide derivative that selectively targets tumorigenic
liver progenitor cells and comparing its effects with lenalidomide and sorafenib.
AB - BACKGROUND & AIMS: The availability of non-tumorigenic and tumorigenic liver
progenitor cell (LPC) lines affords a method to screen putative anti-liver cancer
agents to identify those that are selectively effective. To prove this principle
we tested thalidomide and a range of its derivatives and compared them to
lenalidomide and sorafenib, to assess their growth-inhibitory effects. METHODS:
Cell growth, the mitotic and apoptotic index of cell cultures were measured using
the Cellavista instrument (SynenTec) using commercially available reagents.
RESULTS: Neither lenalidomide nor thalidomide (100 MUM) affected tumorigenic LPCs
but killed their non-tumorigenic counterparts. Sorafenib arrested growth in both
cell types. All but two derivatives of thalidomide were ineffective; of the two
effective derivatives, one (thalidomide C1) specifically affected the tumorigenic
cell line (10 MUM). Mitotic and apoptotic analyses revealed that thalidomide C1
induced apoptotic cell death and not mitotic arrest. CONCLUSIONS: This study
shows that screens incorporating non-tumorigenic and tumorigenic liver cell lines
are a sound approach to identify agents that are effective and selective. A high
throughput instrument such as the Cellavista affords robust and reproducible
objective measurements with a large number of replicates that are reliable. These
experiments show that neither lenalidomide nor thalidomide are potentially useful
for anti-liver cancer therapy as they kill non-tumorigenic liver cells and not
their tumorigenic counterparts. Sorafenib in contrast, is highly effective, but
not selective. One tested thalidomide derivative has potential as an anti-tumor
drug since it induced growth arrest; and importantly, it selectively induced
apoptotic cell death only in tumorigenic liver progenitor cells.
PMID- 27208659
TI - A rifampicin-resistant (rpoB) mutation in Pseudomonas protegens Pf-5 strain leads
to improved antifungal activity and elevated production of secondary metabolites.
AB - Ribosome engineering has proven to be a practical method for increasing
antibiotic production, and is extensively applied to strain improvement in
antibiotic production and activation of silent genes in several prokaryotes. In
this study, ribosome engineering was used to improve production of bioactive
secondary metabolites produced by Pseudomonas protegens Pf-5. Rifampicin
resistant mutants that bear the H531N in the beta-subunit of RNA polymerase
showed improved antifungal activity and morphological changes. The production of
several secondary metabolites in R55 mutant was significantly improved using high
performance liquid chromatography (HPLC) analysis. Two antibiotics with
antifungal activity, 2, 4-diacetylphloroglucinol (Phl) and pyoluteorin (Plt),
which may contribute to the improved antifungal activity of the R55 mutant, were
identified by mass spectrometer (MS) analysis.
PMID- 27208660
TI - Microbial community profiling of the Chinoike Jigoku ("Blood Pond Hell") hot
spring in Beppu, Japan: isolation and characterization of Fe(III)-reducing
Sulfolobus sp. strain GA1.
AB - Chinoike Jigoku ("Blood Pond Hell") is located in the hot spring town of Beppu on
the southern island of Kyushu in Japan, and is the site of a red-colored acidic
geothermal pond. This study aimed to investigate the microbial population
composition in this extremely acidic environment and to isolate/characterize
acidophilic microorganism with metal-reducing ability. Initially, PCR (using
bacteria- and archaea-specific primers) of environmental DNA samples detected the
presence of bacteria, but not archaea. This was followed by random sequencing
analysis, confirming the presence of wide bacterial diversity at the site (123
clones derived from 18 bacterial and 1 archaeal genera), including those closely
related to known autotrophic and heterotrophic acidophiles (Acidithiobacillus
sp., Sulfobacillus sp., Alicyclobacillus sp.). Nevertheless, successive culture
enrichment with Fe(III) under micro-aerobic conditions led to isolation of an
unknown archaeal organism, Sulfolobus sp. GA1 (with 99.7% 16S rRNA gene sequence
identity with Sulfolobus shibatae). Unlike many other known Sulfolobus spp.,
strain GA1 was shown to lack sulfur oxidation ability. Strain GA1 possessed only
minor Fe(II) oxidation ability, but readily reduced Fe(III) during heterotrophic
growth under micro-aerobic conditions. Strain GA1 was capable of reducing highly
toxic Cr(VI) to less toxic/soluble Cr(III), demonstrating its potential utility
in bioremediation of toxic metal species.
PMID- 27208661
TI - A single amino acid mutation in Spo0A results in sporulation deficiency of
Paenibacillus polymyxa SC2.
AB - Sporulating bacteria such as Bacillus subtilis and Paenibacillus polymyxa exhibit
sporulation deficiencies during their lifetime in a laboratory environment. In
this study, spontaneous mutants SC2-M1 and SC2-M2, of P. polymyxa SC2 lost the
ability to form endospores. A global genetic and transcriptomic analysis of wild
type SC2 and spontaneous mutants was carried out. Genome resequencing analysis
revealed 14 variants in the genome of SC2-M1, including three insertions and
deletions (indels), 10 single nucleotide variations (SNVs) and one
intrachromosomal translocation (ITX). There were nine variants in the genome of
SC2-M2, including two indels and seven SNVs. Transcriptomic analysis revealed
that 266 and 272 genes showed significant differences in expression in SC2-M1 and
SC2-M2, respectively, compared with the wild-type SC2. Besides sporulation
related genes, genes related to exopolysaccharide biosynthesis (eps), antibiotic
(fusaricidin) synthesis, motility (flgB) and other functions were also affected
in these mutants. In SC2-M2, reversion of spo0A resulted in the complete recovery
of sporulation. This is the first global analysis of mutations related to
sporulation deficiency in P. polymyxa. Our results demonstrate that a SNV within
spo0A caused the sporulation deficiency of SC2-M2 and provide strong evidence
that an arginine residue at position 211 is essential for the function of Spo0A.
PMID- 27208662
TI - A two-step method for extraction of lipopolysaccharide from Shigella dysenteriae
serotype 1 and Salmonella typhimurium: An improved method for enhanced yield and
purity.
AB - Bacterial lipopolysaccharide (LPS) has been widely used as an antigen and
adjuvant in immunological applications. Amongst the methods developed for
extraction of LPS, hot phenol extraction (HPE) method is the gold standard.
However, the HPE method provides poor yield of LPS (~4.5% by weight), is
associated with relatively higher impurities of proteins and nucleic acids, and
the acidic hot phenol can cause a degradative effect on LPS. In this work a two
step extraction (TSE) method was developed using a non-capsulated, [Shigella
dysenteriae serotype-1] (Sd1) and capsulated [Salmonella typhimurium type B
(StB)] species as model pathogens. The TSE method takes advantage of growth
kinetics of bacteria wherein a two-step sequential approach for LPS extraction
was employed. In step-1, culture supplemented with CaCl2 during early log phase
of growth was induced to release LPS by the effect of EDTA at their late
exponential phase of growth. In step-II, cells with left over LPS were subjected
to modified HPE method that reduced both the degradative effect of acidic hot
phenol and associated impurities. The LPS produced using TSE method enabled not
only enhanced yield (~2.78 and ~2.91 fold higher for Sd1 and StB respectively)
requiring nearly similar duration of extraction, but also was structurally and
functionally comparable with LPS produced using HPE method and commercially
procured LPS. Overall, the developed TSE method is relatively more efficient
(enhanced yield), clean (healthy extraction with reduced impurities), safe
(reduced handling of larger pathogenic culture) and cost-effective for LPS
extraction with potential for scale up.
PMID- 27208663
TI - A new method for long-term storage of titred microbial standard solutions
suitable for microbiologic quality control activities of pharmaceutical
companies.
AB - Commercially available lyophilized microbial standards are expensive and subject
to reduction in cell viability due to freeze-drying stress. Here we introduce an
inexpensive and straightforward method for in-house microbial standard
preparation and cryoconservation that preserves constant cell titre and cell
viability over 14 months.
PMID- 27208664
TI - Differential recognition of the multiple banded antigen isoforms across
Ureaplasma parvum and Ureaplasma urealyticum species by monoclonal antibodies.
AB - Two separate species of Ureaplasma have been identified that infect humans:
Ureaplasma parvum and Ureaplasma urealyticum. Most notably, these bacteria lack a
cell wall and are the leading infectious organism associated with infection
related induction of preterm birth. Fourteen separate representative prototype
bacterial strains, called serovars, are largely differentiated by the sequence of
repeating units in the C-terminus of the major surface protein: multiple-banded
antigen (MBA). Monoclonal antibodies that recognise single or small groups of
serovars have been previously reported, but these reagents remain sequestered in
individual research laboratories. Here we characterise a panel of commercially
available monoclonal antibodies raised against the MBA and describe the first
monoclonal antibody that cross-reacts by immunoblot with all serovars of U.
parvum and U. urealyticum species. We also describe a recombinant MBA expressed
by Escherichia coli which facilitated further characterisation by immunoblot and
demonstrate immunohistochemistry of paraffin-embedded antigens. Immunoblot
reactivity was validated against well characterised previously published
monoclonal antibodies and individual commercial antibodies were found to
recognise all U. parvum strains, only serovars 3 and 14 or only serovars 1 and 6,
or all strains belonging to U. parvum and U. urealyticum. MBA mass was highly
variable between strains, consistent with variation in the number of C-terminal
repeats between strains. Antibody characterisation will enable future
investigations to correlate severity of pathogenicity to MBA isoform number or
mass, in addition to development of antibody-based diagnostics that will detect
infection by all Ureaplasma species or alternately be able to differentiate
between U. parvum, U. urealyticum or mixed infections.
PMID- 27208665
TI - Contractile behavior of the medial gastrocnemius in children with bilateral
spastic cerebral palsy during forward, uphill and backward-downhill gait.
AB - BACKGROUND: Plantarflexor tightness due to muscle degenerations has been
frequently documented in children with spastic cerebral palsy but the contractile
behavior of muscles during ambulation is largely unclear. Especially the
adaptability of gastrocnemius muscle contraction on sloped surface could be
relevant during therapy. METHODS: Medial gastrocnemius contractions were measured
during flat-forward, uphill (+12% incline) and backward-downhill (-12% decline)
treadmill gait in 15 children with bilateral cerebral palsy, walking in crouch,
and 17 typically developing controls (age: 7-16years) by means of ultrasound and
motion analysis. Tracked fascicle and calculated series elastic element length
during gait were normalized on seated rest length. Additionally electromyography
of the medial gastrocnemius, soleus and tibialis anterior was collected.
FINDINGS: During forward gait spastic gastrocnemii reached 10% shorter relative
fascicle length, 5% shorter series elastic element length and showed 37% less
concentric fascicle excursion than controls. No difference in eccentric fascicle
excursion existed. Uphill gait increased concentric fascicle excursion in
children with cerebral palsy and controls (by 23% and 41%) and tibialis anterior
activity during swing (by 33% and 48%). Backward downhill gait more than doubled
(+112%) eccentric fascicle excursion in cerebral palsy patients. INTERPRETATION:
Apart from having innately shorter fascicles at rest, flat-forward walking showed
that spastic gastrocnemius fascicles work at shorter relative length than those
of controls. Uphill gait may be useful to concentrically train push-off skills
and foot lift. During backward-downhill gait the gastrocnemius functions as a
brake and displays more eccentric excursion which could potentially stimulate
sarcomere-genesis in series with repeated training.
PMID- 27208667
TI - The effect of complex workplace dietary interventions on employees' dietary
intakes, nutrition knowledge and health status: a cluster controlled trial.
AB - BACKGROUND: Evidence on effective workplace dietary interventions is limited. The
comparative effectiveness of a workplace environmental dietary modification and
an educational intervention both alone and in combination was assessed versus a
control workplace on employees' dietary intakes, nutrition knowledge and health
status. METHODS: In the Food Choice at Work cluster controlled trial, four large,
purposively selected manufacturing workplaces in Ireland were allocated to
control (N=111), nutrition education (Education) (N=226), environmental dietary
modification (Environment) (N=113) and nutrition education and environmental
dietary modification (Combined) (N=400) in 2013. Nutrition education included
group presentations, individual consultations and detailed nutrition information.
Environmental dietary modification included menu modification, fruit price
discounts, strategic positioning of healthier alternatives and portion size
control. Data on dietary intakes, nutrition knowledge and health status were
obtained at baseline and follow-up at 7-9months. Multivariate analysis of
covariance compared changes across the four groups with adjustment for age,
gender, educational status and other baseline characteristics. RESULTS: Follow-up
data at 7-9months were obtained for 541 employees (64% of 850 recruited) aged 18
64years: control: 70 (63%), Education: 113 (50%), ENVIRONMENT: 74 (65%) and
Combined: 284 (71%). There were significant positive changes in intakes of
saturated fat (p=0.013), salt (p=0.010) and nutrition knowledge (p=0.034) between
baseline and follow-up in the combined intervention versus the control. Small but
significant changes in BMI (-1.2kg/m(2) (95% CI -2.385, -0.018, p=0.047) were
observed in the combined intervention. Effects in the education and environment
alone workplaces were smaller and generally non-significant. CONCLUSION:
Combining nutrition education and environmental dietary modification may be an
effective approach for promoting a healthy diet and weight loss at work.
PMID- 27208666
TI - Inter-laboratory evaluation of the EUROFORGEN Global ancestry-informative SNP
panel by massively parallel sequencing using the Ion PGMTM.
AB - The EUROFORGEN Global ancestry-informative SNP (AIM-SNPs) panel is a forensic
multiplex of 128 markers designed to differentiate an individual's ancestry from
amongst the five continental population groups of Africa, Europe, East Asia,
Native America, and Oceania. A custom multiplex of AmpliSeqTM PCR primers was
designed for the Global AIM-SNPs to perform massively parallel sequencing using
the Ion PGMTM system. This study assessed individual SNP genotyping precision
using the Ion PGMTM, the forensic sensitivity of the multiplex using dilution
series, degraded DNA plus simple mixtures, and the ancestry differentiation power
of the final panel design, which required substitution of three original ancestry
informative SNPs with alternatives. Fourteen populations that had not been
previously analyzed were genotyped using the custom multiplex and these studies
allowed assessment of genotyping performance by comparison of data across five
laboratories. Results indicate a low level of genotyping error can still occur
from sequence misalignment caused by homopolymeric tracts close to the target
SNP, despite careful scrutiny of candidate SNPs at the design stage. Such
sequence misalignment required the exclusion of component SNP rs2080161 from the
Global AIM-SNPs panel. However, the overall genotyping precision and sensitivity
of this custom multiplex indicates the Ion PGMTM assay for the Global AIM-SNPs is
highly suitable for forensic ancestry analysis with massively parallel
sequencing.
PMID- 27208668
TI - Mir 145/143: tumor suppressor, oncogenic microenvironmental factor or ...both?
PMID- 27208669
TI - Receiving care for intimate partner violence in primary care: Barriers and
enablers for women participating in the weave randomised controlled trial.
AB - BACKGROUND: Interventions in health settings for intimate partner violence (IPV)
are being increasingly recognised as part of a response to addressing this global
public health problem. However, interventions targeting this sensitive social
phenomenon are complex and highly susceptible to context. This study aimed to
elucidate factors involved in women's uptake of a counselling intervention
delivered by family doctors in the weave primary care trial (Victoria,
Australia). METHODS: We analysed associations between women's and doctors'
baseline characteristics and uptake of the intervention. We interviewed a random
selection of 20 women from an intervention group women to explore cognitions
relating to intervention uptake. Interviews were audio-recorded, transcribed,
coded in NVivo 10 and analysed using the theory of planned behaviour (TPB).
RESULTS: Abuse severity and socio-demographic characteristics (apart from current
relationship status) were unrelated to uptake of counselling (67/137 attended
sessions). Favourable doctor communication was strongly associated with
attendance. Eight themes emerged, including four sets of beliefs that influenced
attitudes to uptake: (i) awareness of the abuse and readiness for help; (ii)
weave as an avenue to help; (iii) doctor's communication; and (iv) role in
providing care for IPV; and four sets of beliefs regarding women's control over
uptake: (v) emotional health, (vi) doctors' time, (vii) managing the disclosure
process and (viii) viewing primary care as a safe option. CONCLUSIONS: This study
has identified factors that can promote the implementation and evaluation of
primary care-based IPV interventions, which are relevant across health research
settings, for example, ensuring fit between implementation strategies and
characteristics of the target group (such as range in readiness for
intervention). On practice implications, providers' communication remains a key
issue for engaging women. A key message arising from this work concerns the
critical role of primary care and health services more broadly in reaching
victims of domestic violence, and providing immediate and ongoing support
(depending on the healthcare context).
PMID- 27208672
TI - From Whence We Came and Where We Are Going.
PMID- 27208670
TI - "I just couldn't step out of the circle. I was trapped": Patterns of endurance
and distress in Chinese-Canadian women with a history of suicidal behaviour.
AB - RATIONALE: Recent studies have highlighted higher rates of suicidal ideation and
behaviour and associated themes of gender role stress in Chinese women residing
in North America. However, qualitative studies, which privilege their voices in
the discourse of suicide prevention and provide insight into their experiences,
are lacking. OBJECTIVE: To gain an understanding of the life histories, patterns
of distress and constructions of suicide of Chinese-Canadian women with a history
of suicidal behaviour. METHODS: Ten women were recruited from four mental health
programs in Toronto, Canada and participated in qualitative interviewing and
analysis informed by constructivist grounded theory. RESULTS: Chinese-Canadian
women describe experiencing "stress" or "pressure" leading to the exacerbation of
depressive symptoms. Stress and pressure are managed through a coping strategy of
endurance, informed by the cultural conception of "ren". Cultural influences
contribute to the manifestation of stress and pressure as somatic symptoms and
sleeplessness. Finally, the women describe feeling unable to endure through
worsening distress, reaching a "breaking point"; suicidal behaviour is
constructed as a strategy to disrupt this cycle. CONCLUSION: This study
challenges the binary notion that suicidal behaviour is either a consequence of
mental illness or a reaction to interpersonal stress. Rather, the women describe
an ingrained pattern of enduring through psychosocial problems without
acknowledging worsening anxiety, depressive and physical symptoms. The pattern of
endurance also prevents early treatment of these difficulties, resulting in the
intensification of symptoms until a breaking point is reached. Knowledge of these
patterns and coping strategies can allow for earlier identification and
intervention for women at risk to prevent the worsening of distress leading to
suicidal thoughts and behaviour.
PMID- 27208673
TI - Altitude Decompression Sickness Risk and Physical Activity During Exposure.
AB - INTRODUCTION: Earlier research described a linear relationship between the
highest 1 min of oxygen consumption (Vo2) during a recurring physical activity
and incidence of decompression sickness (DCS) during research chamber exposures
to high altitude. The current effort was designed to determine if that
relationship holds true at a lower altitude. METHODS: Male subjects (20) were
exposed without prebreathe to 22,500 ft (6858 m; 314 mmHg; 6.1 psi) for 4 h while
seated, nonambulatory the entire time, with echo-imaging at 16-min intervals (Non
Amb Echo), breathing 100% oxygen. Average highest 1 min of Vo2 and level of
activity was determined. Results during Non-Amb Echo were compared with earlier
research data acquired under identical conditions except for higher levels of
activity. RESULTS: No DCS was reported or observed and no venous gas emboli were
observed. Combined with earlier data, a strong linear relationship (r > 0.99) was
observed between DCS incidence and level of activity. DISCUSSION: These results
suggest physiological envelopes might be expanded or prebreathe time reduced for
some high-altitude aircraft operations that involve very low levels of physical
activity. They may also help to explain the higher DCS risk for inside observers
vs. trainees during altitude chamber training. The data imply potential for
update of altitude DCS risk prediction models by adjustment with quantified level
of activity during exposure.
PMID- 27208674
TI - A Dynamic Rabbit Model of Sinus Barotrauma and Its Related Pathology.
AB - BACKGROUND: This study was undertaken to establish a dynamic animal model of
sinus barotrauma (SB). METHODS: The right nasal cavities of 65 rabbits were
filled with sponges to obstruct the right ostiomeatal complex (OMC), while in the
left nasal cavities, the left OMC was kept clear. The rabbits were exposed to
hypobaric chamber simulation. The right sinuses were assigned as the model group,
randomly divided into 13 subgroups with 5 in each subgroup, while the left
sinuses were assigned as the control group. The hypobaric chamber simulation
involved 6 pairs of ascending/descending speeds (100 m . s(-1), 75 m . s(-1), 50
m . s(-1)) to 2 altitudes (13,123 ft or 6562 ft). The ascending/descending speed
for Model Group 13 was 15 m . s(-1) to an altitude of 13,123 ft. The control
group was not exposed to hypobaric chamber simulation or obstruction of the OMC.
All rabbits were monitored for behavior and via nasal endoscopy, MRI, and mucosal
pathology, and statistically analyzed. RESULTS: SB appeared at the
ascending/descending speeds of 50 m . s(-1), 75 m . s(-1), and 100 m . s(-1). SB
was more obvious at 100 m . s(-1) than at 50 m . s(-1) and 75 m . s(-1), and SB
happened mainly at altitudes between 0-6562 ft. Based on behavior during
hypobaric chamber simulation and the results of endoscopic morphology, imaging,
and cell pathology, SB could be divided into mild, moderate, and severe.
DISCUSSION: By obstructing the OMC and using hypobaric chamber simulation at high
ascending/descending speeds and altitude, a dynamic rabbit model of SB at various
degrees was established. The severity of SB was proportional to the
ascending/descending speeds and mainly seen below 6562 ft.
PMID- 27208675
TI - Domain-Specific Interference Tests on Navigational Working Memory in Military
Pilots.
AB - INTRODUCTION: Human navigation is a very complex ability that encompasses all
four stages of human information processing (sensory input, perception/cognition,
selection, and execution of an action), involving both cognitive and physical
requirements. During flight, the pilot uses all of these stages and one of the
most critical aspect is interference. In fact, spatial tasks competing for the
same cognitive resource cause greater distraction from a concurrent task than
another task that uses different resource modalities. METHODS: Here we compared
and contrasted the performance of pilots and nonpilots of both genders performing
increasingly complex navigational memory tasks while exposed to various forms of
interference. We investigated the effects of four different sources of
interference: motor, spatial motor, verbal, and spatial environment, focusing on
gender differences. RESULTS: We found that flight experts perform better than
controls (Pilots: 6.50 +/- 1.29; Nonpilots: 5.45 +/- 1.41). Furthermore, in the
general population, navigational working memory is compromised only by spatial
environmental interference (Nonpilots: 4.52 +/- 1.50); female nonpilots were less
able than male nonpilots. Also, the flight expert group showed the same
interference, even if reduced (Pilots: 5.24 +/- 0.92); moreover, we highlighted a
complete absence of gender-related effects. DISCUSSION: Spatial environmental
interference is the only interference producing a decrease in performance.
Nevertheless, pilots are less affected than the general population. This is
probably a consequence of the need to commit substantial cognitive resources to
process spatial information during flight.
PMID- 27208676
TI - Performance Assessment in the PILOT Experiment On Board Space Stations Mir and
ISS.
AB - BACKGROUND: The aim of this investigation into the performance and reliability of
Russian cosmonauts in hand-controlled docking of a spacecraft on a space station
(experiment PILOT) was to enhance overall mission safety and crew training
efficiency. The preliminary findings on the Mir space station suggested that a
break in docking training of about 90 d significantly degraded performance.
METHODS: Intensified experiment schedules on the International Space Station
(ISS) have allowed for a monthly experiment using an on-board simulator.
Therefore, instead of just three training tasks as on Mir, five training flights
per session have been implemented on the ISS. This experiment was run in parallel
but independently of the operational docking training the cosmonauts receive.
RESULTS: First, performance was compared between the experiments on the two space
stations by nonparametric testing. Performance differed significantly between
space stations preflight, in flight, and postflight. Second, performance was
analyzed by modeling the linear mixed effects of all variances (LME). The fixed
factors space station, mission phases, training task numbers, and their
interaction were analyzed. Cosmonauts were designated as a random factor. All
fixed factors were found to be significant and the interaction between stations
and mission phase was also significant. DISCUSSION: In summary, performance on
the ISS was shown to be significantly improved, thus enhancing mission safety.
Additional approaches to docking performance assessment and prognosis are
presented and discussed.
PMID- 27208677
TI - Identifying Pilots with Parkinson's Disease.
AB - BACKGROUND: In 2012 the Australian Institute of Health and Welfare produced a
report titled 'Dementia in Australia.'(2) The report noted that the number of
people with dementia in Australia would reach almost 400,000 by 2020. Australia
is a jurisdiction which does not impose a mandatory retirement age for pilots.
With an aging population it was hypothesized that conditions such as Parkinson's
disease (PD) were likely to be seen more commonly by the Civil Aviation Safety
Authority (CASA). It was decided that this was an appropriate time to
retrospectively study the data held by CASA. METHODS: An interrogation of CASA
databases was undertaken. Data was produced comparing percentage of Class 1
certificate holders over 60 yr of age against time. A cohort of pilots and
controllers with PD was identified. The history of the cases was reviewed.
RESULTS: The study confirms that the pilot population is aging in line with
population trends. Over a period from 1992 to 2012, 22 cases of pilots and
controllers with PD were identified. DISCUSSION: The study confirmed that PD will
be of increased relevance over the next decade. Gaps between policy and practice
managing past cases were identified. Updated guidelines have been published
aiming to address the deficiencies identified in the study. Historically pilots
and controllers have been able to maintain certification for an average of 3.75
yr. This information should be of benefit to clinicians, pilots, and controllers
when considering occupation and treatment options.
PMID- 27208678
TI - Pilot Domain Task Experience in Night Fatal Helicopter Emergency Medical Service
Accidents.
AB - INTRODUCTION: In the United States, accident and fatality rates in helicopter
emergency medical service (HEMS) operations increase significantly under
nighttime environmentally hazardous operational conditions. Other studies have
found pilots' total flight hours unrelated to HEMS accident outcomes. Many
factors affect pilots' decision making, including their experience. This study
seeks to investigate whether pilot domain task experience (DTE) in HEMS plays a
role against likelihood of accidents at night when hazardous operational
conditions are entered. METHODS: There were 32 flights with single pilot
nighttime fatal HEMS accidents between 1995 and 2013 with findings of controlled
flight into terrain (CFIT) and loss of control (LCTRL) due to spatial
disorientation (SD) identified. The HEMS DTE of the pilots were compared with
industry survey data. RESULTS: Of the pilots, 56% had <=2 yr of HEMS experience
and 9% had >10 yr of HEMS experience. There were 21 (66%) accidents that occurred
in non-visual flight rules (VFR) conditions despite all flights being required to
be conducted under VFR. There was a statistically significant increase in
accident rates in pilots with <2 and <4 yr HEMS DTE and a statistically
significant decrease in accident rates in pilots with >10 yr HEMS DTE.
CONCLUSION: HEMS DTE plays a preventive role against the likelihood of a night
operational accident. Pilots with limited HEMS DTE are more likely to make a poor
assessment of hazardous conditions at night, and this will place HEMS flight crew
at high risk in the VFR night domain.
PMID- 27208679
TI - Energy Beverage Consumption Among Naval Aviation Candidates.
AB - INTRODUCTION: Since the debut of energy beverages, the consumption of energy
beverages has been immensely popular with young adults. Research regarding energy
beverage consumption has included college students, European Union residents, and
U.S. Army military personnel. However, energy beverage consumption among naval
aviation candidates in the United States has yet to be examined. The purpose of
this study was to assess energy beverage consumption patterns (frequency and
volume) among naval aviation candidates, including attitudes and perceptions
regarding the benefits and safety of energy beverage consumption. METHODS: A 44
item survey was used to assess energy beverage consumption patterns of 302
students enrolled in the Aviation Preflight Indoctrination Course at Naval Air
Station Pensacola, FL. RESULTS: Results indicated that 79% of participants (N =
239) reported consuming energy beverages within the last year. However, of those
who reported consuming energy beverages within the last year, only 36% (N = 85)
reported consuming energy beverages within the last 30 d. Additionally, 51% (N =
153) of participants reported no regular energy beverages consumption. The
majority of participants consumed energy beverages for mental alertness (67%),
mental endurance (37%), and physical endurance (12%). The most reported side
effects among participants included increased mental alertness (67%), increased
heart rate (53%), and restlessness (41%). DISCUSSION: Naval aviation candidates
appear to use energy drinks as frequently as a college student population, but
less frequently than expected for an active duty military population. The
findings of this study indicate that naval aviation candidates rarely use energy
beverages (less than once per month), but when consumed, they use it for fatigue
management.
PMID- 27208680
TI - Prostate Cancer in Pilots.
AB - BACKGROUND: Aviation exposes pilots to various occupationally related hazards,
including ionizing radiation and chemical combustion. The possibility of
increased prostate cancer incidence and mortality among pilots is a subject of
debate. This systematic review and meta-analysis aims to summarize the supporting
evidence and determine the magnitude of association. METHODS: All studies
reporting prostate cancer incidence and mortality in pilots compared to the
general population were included regardless of language or size. The
comprehensive search included multiple databases and manual search. A random
effect model was used to pool relative risks (RR) across studies. RESULTS: The
final search yielded nine studies with good methodological quality. Four studies
reported the incidence of prostate cancer while six reported on mortality. Pilots
had a small but statistically significant increase in the risk of developing
prostate cancer [RR 1.20; 95% confidence interval (CI), 1.08-1.33], but not in
prostate cancer mortality (RR 1.20; 95% CI, 0.91-1.60). CONCLUSION: Pilots appear
to have a very small increase in prostate cancer incidence, but not in mortality.
The clinical significance of this finding is uncertain.
PMID- 27208681
TI - Hypoxic Challenge Testing for Fitness to Fly with Severe Asthma.
AB - INTRODUCTION: Commercial airline travel poses a recognized risk to patients with
respiratory disease, including in those with asthma. Hypoxic challenge testing
(HCT) is typically employed to mitigate this risk by dictating in-flight oxygen
requirement. METHODS: The role of HCT has not been formally studied in patients
with severe asthma and we therefore evaluated HCT assessment in a cohort of
patients with severe asthma (N = 37). RESULTS: Over half (57%) of patients had a
positive HCT. Baseline oxygen saturation (S(p)o(2)) was poorly predictive of a
recommendation for supplementary oxygen in flight; two-thirds of those deemed to
require oxygen had a baseline S(p)o(2) > 95%. A combination of any two of:
P(a)o(2) <= 10.5 kPa, FEV(1) <= 60% predicted, and PEF <= 350 L . min(-1)
predicted the need for in-flight oxygen with a sensitivity of 89% and a
specificity of 69%. Furthermore, no patient with an S(p)o(2) > 95% and FEV(1) >
85% predicted had a positive HCT. CONCLUSION: Overall, the findings indicate that
HCT should be considered for all patients with severe asthma, regardless of
resting oxygen saturation level.
PMID- 27208682
TI - Subdural Hemorrhage in a Military Aviator.
AB - BACKGROUND: The occurrence of any intracranial bleeding is highly significant
from an aeromedical risk perspective and potentially career-ending for a military
aviator. Where it arises from head trauma, there is always concern regarding
ongoing risk of post-traumatic epilepsy. CASE REPORT: A 26-yr-old male military
aviator with persistent headache was found to have small right frontal and
parietal subacute subdural hematomas, most likely precipitated by minor head
trauma and possibly exacerbated by other concurrent physiological stressors. The
hematomas resolved with conservative management and the aviator made a full
recovery. DISCUSSION: The association between traumatic subdural hematomas and
the occurrence of post-traumatic epilepsy is well documented, and this, together
with the possibility of recurrent bleeding, must be considered the significant
aeromedical risks. However, this case presents an unusual situation of small
subdural hematomas in isolation with no other features suggestive of significant
traumatic brain injury. Relevant medical literature was found to be substantially
lacking, and no other similar case reports of aviators could be found. An
aeromedical decision-making process is discussed in relation to returning the
aviator to flying duties in a restricted capacity.
PMID- 27208683
TI - 3D Printing Applications for Space Missions.
PMID- 27208684
TI - You're the Flight Surgeon: sinus baratrauma due to rapid reprssurization.
PMID- 27208685
TI - This Month in Aerospace Medicine History.
PMID- 27208686
TI - Increased sorbitol levels in the hypertrophic ligamentum flavum of diabetic
patients with lumbar spinal canal stenosis.
AB - The pathomechanism of the ligamentum flavum (LF) hypertrophy in diabetic patients
with lumbar spinal canal stenosis (LSCS) remains unclear. A cross-sectional study
was undertaken to investigate the mechanism of LF hypertrophy in these patients.
Twenty-four diabetic and 20 normoglycemic patients with LSCS were enrolled in the
study. The structure of the LF in the study subjects was evaluated using
histological and immunohistochemical methods, and the levels of sorbitol, pro
inflammatory cytokines, and the fibrogenic factor, TGF-beta1, in the LF were
analyzed. In vitro experiments were performed using NIH3T3 fibroblasts to
evaluate the effect of high-glucose conditions and an aldose reductase inhibitor
on the cellular production of sorbitol, pro-inflammatory factors, and TGF-beta1.
We found that the LF of diabetic patients exhibited significantly higher levels
of sorbitol and pro-inflammatory cytokines, TGF-beta1 and of CD68-positive
staining than that of the normoglycemic subjects. The diabetic LF was
significantly thicker than that of the controls, and showed evidence of
degeneration. The high glucose-cultured fibroblasts exhibited significantly
higher levels of sorbitol, pro-inflammatory factors, and TGF-beta1 compared to
the low glucose-cultured cells, and these levels were dose-dependently reduced by
treatment with the aldose reductase inhibitor. Taken together, our data suggests
that increased sorbitol levels in the LF of diabetic patients results in
increased production of pro-inflammatory and fibrogenic factor, which contribute
to LF hypertrophy, and could increase the susceptibility of diabetic patients to
LSCS. Furthermore, aldose reductase inhibition effectively reduced the levels of
sorbitol and sorbitol-induced pro-inflammatory factor expression in high glucose
cultured fibroblasts. (c) 2017 Orthopaedic Research Society. Published by Wiley
Periodicals, Inc. J Orthop Res 35:1058-1066, 2017.
PMID- 27208687
TI - Comparison of Linezolid and Vancomycin for Methicillin-Resistant Staphylococcus
aureus Pneumonia: Institutional Implications.
AB - OBJECTIVE: Recent studies suggesting clinical superiority of linezolid over
vancomycin in the treatment of methicillin-resistant Staphylococcus aureus (MRSA)
pneumonia led to a change in our institution's clinical pathway/order form for
hospital-acquired pneumonia, positioning linezolid as the preferred agent. Our
objective was to assess the impact of this change within our institution. DESIGN:
Retrospective electronic medical records review. METHODS: The analysis for this
observational study included eligible patients admitted to our medical center
between May 1, 2011, and August 31, 2014, with ICD-9 codes for MRSA and
pneumonia. Included patients were at least 18 years of age and had vancomycin or
linezolid initiated at least 2 days after admission and continued for at least 2
consecutive days. The primary end points were extent of antibiotic use before and
after order form change and length of stay (LOS) and hospital charges in the two
treatment groups. A secondary aim was to detect any gross discrepancies in
patient outcomes such as treatment duration, mechanical ventilation duration, all
cause mortality rate, nephrotoxicity, and 30-day readmission between the two
treatment groups. MEASUREMENTS AND MAIN RESULTS: Outcomes in 227 patients were
assessed. Linezolid use increased 16.2% subsequent to the change in the order
form. Although not statistically significant, the median hospital admission
charge was $6200 lower in patients treated with linezolid compared with those
treated with vancomycin ($25,900 vs $32,100). Hospital LOS was significantly
associated with Charlson Comorbidity Index score (p<0.001), type of treatment
(p=0.032), duration of treatment (p<0.001), mechanical ventilation (p<0.001), and
intensive care unit admission (p<0.001). All-cause mortality favored linezolid
treatment, and these patients were more likely to be discharged (shorter LOS).
CONCLUSIONS: Although linezolid use increased markedly with this pathway/order
form change, no negative institutional consequences or unfavorable patient
outcomes were detected, justifying the change in policy from these perspectives.
PMID- 27208688
TI - Analysis of the functional aspects and seminal plasma proteomic profile of sperm
from smokers.
AB - OBJECTIVE: To evaluate the effect of smoking on sperm functional quality and
seminal plasma proteomic profile. PATIENTS AND METHODS: Sperm functional tests
were performed in 20 non-smoking men with normal semen quality, according to the
World Health Organization (2010) and in 20 smoking patients. These included:
evaluation of DNA fragmentation by alkaline Comet assay; analysis of
mitochondrial activity using DAB staining; and acrosomal integrity evaluation by
PNA binding. The remaining semen was centrifuged and seminal plasma was used for
proteomic analysis (liquid chromatography-tandem mass spectrometry). The
quantified proteins were used for Venn diagram construction in Cytoscape 3.2.1
software, using the PINA4MS plug-in. Then, differentially expressed proteins were
used for functional enrichment analysis of Gene Ontology categories, Kyoto
Encyclopedia of Genes and Genomes and Reactome, using Cytoscape software and the
ClueGO 2.2.0 plug-in. RESULTS: Smokers had a higher percentage of sperm DNA
damage (Comet classes III and IV; P < 0.01), partially and fully inactive
mitochondria (DAB classes III and IV; P = 0.001 and P = 0.006, respectively) and
non-intact acrosomes (P < 0.01) when compared with the control group. With
respect to proteomic analysis, 422 proteins were identified and quantified, of
which one protein was absent, 27 proteins were under-represented and six proteins
were over-represented in smokers. Functional enrichment analysis showed the
enrichment of antigen processing and presentation, positive regulation of
prostaglandin secretion involved in immune response, protein kinase A signalling
and arachidonic acid secretion, complement activation, regulation of the cytokine
mediated signalling pathway and regulation of acute inflammatory response in the
study group (smokers). CONCLUSION: In conclusion, cigarette smoking was
associated with an inflammatory state in the accessory glands and in the testis,
as shown by enriched proteomic pathways. This state causes an alteration in sperm
functional quality, which is characterized by decreased acrosome integrity and
mitochondrial activity, as well as by increased nuclear DNA fragmentation.
PMID- 27208689
TI - Structure and mechanical function of the inter-lamellar matrix of the annulus
fibrosus in the disc.
AB - The inter-lamellar matrix (ILM) has an average thickness of less than 30 um and
lies between adjacent lamellae in the annulus fibrosus (AF). The microstructure
and composition of the ILM have been studied in various anatomic regions of the
disc; however, their contribution to AF mechanical properties and structural
integrity is unknown. It was suggested that the ILM components, mainly elastic
fibers and cross-bridges, play a role in providing mechanical integrity of the
AF. Therefore, the manner in which they respond to different loadings and
stabilize adjacent lamellae structure will influence AF tear formation and
subsequent herniation. This review paper summarizes the composition,
microstructure, and potential role of the ILM in the progression of disc
herniation, clarifies the micromechanical properties of the ILM, and proposes
critical areas for future studies. There are a number of unknown characteristics
of the ILM, such as its mechanical role, impact on AF integrity, and
ultrastructure of elastic fibers at the ILM-lamella boundary. Determining these
characteristics will provide important information for tissue engineering, repair
strategies, and the development of more-physiological computational models to
study the initiation and propagation of AF tears that lead to herniation and
degeneration. (c) 2016 Orthopaedic Research Society. Published by Wiley
Periodicals, Inc. J Orthop Res 34:1307-1315, 2016.
PMID- 27208690
TI - Comparison Between Direct and Video-assisted Laryngoscopy for Intubations in a
Pediatric Emergency Department.
AB - OBJECTIVES: The objective was to compare video-assisted laryngoscopy (VAL) to
direct laryngoscopy (DL) on success rate and complication rate of intubations
performed in a pediatric emergency department (ED). METHODS: This is a
retrospective cohort study of attempted intubations of children aged 0-18 years
in a pediatric ED between 2004 and 2014 with first attempt by an ED provider. In
VAL, the laryngoscopist attempts direct visualization of the glottis with a C-MAC
video laryngoscope while the video monitor is used for real-time guidance by a
supervisor, back-up visualization for the laryngoscopist should the direct view
be inadequate, and confirmation of endotracheal tube passage through the vocal
cords. We performed univariate comparisons of intubations using DL to intubations
using VAL on rates of first-pass success, complications, and whether the patient
was successfully intubated by an ED provider. We then created a logistic
regression model to adjust for provider experience level, difficult airway
characteristics, and indications for intubation to compare intubations using DL
to intubations using VAL for each outcome. RESULTS: We identified 452
endotracheal intubations of 422 unique patients, of which 445 intubations had a
first attempt by an ED provider. Six intubations were excluded due to
insufficient information available in the record. Of the included intubations,
240 (55%) were attempted with DL and 199 (45%) with VAL. The overall first-pass
success rate was 71% in the DL group and 72% in the VAL group. After adjustment
for covariates, the first-pass success rate was similar between laryngoscopy
approaches (adjusted odds ratio = 1.23, 95% confidence interval = 0.78 to 1.94).
CONCLUSIONS: We found no difference between DL and VAL with regard to first-pass
intubation success rate, complication rate, or rate of successful intubation by
ED providers for children undergoing intubation in a pediatric ED.
PMID- 27208691
TI - Role of HHV-8 and mTOR pathway in post-transplant Kaposi sarcoma staging.
AB - Kaposi's sarcoma (KS) is one of the most frequent transplant related tumors.
Several pathways are involved; however, the impact of the molecular phenotype
associated to the tumor stage and the behavior-depending resultant therapy is
still unknown. The aim of our study was to analyze the role of HHV-8 and mTOR
pathway in tumor stages of skin KS after renal transplantation. Twelve renal
transplant recipients with cutaneous KS from five transplant centers (1980-2007)
under reduction of immunosuppression or conversion to mTOR inhibitor were
included. The expression of HHV-8, PTEN, TGFbeta, VEGF, phospho-mTOR, and phospho
P70S6K in tumoral tissue was analyzed. KS lesions were classified as patch,
plaque, and nodule state. HHV-8 infection was found in all tissue samples. KS
lesions showed high activation of VEGF, p-mTOR and p-P70S6K, low PTEN, and null
TGFbeta expression. The only pathway activated in a staging-dependent manner was
mTOR with higher p-mTOR and p-P70S6K expression in nodule versus patch stage. KS
lesions disappeared after 5.24 months in all converted patients without any
recurrence in 14.05 years of mean follow-up. The activation of mTOR pathway
according to KS stages supports the rational of the mTOR inhibitor in post
transplant Kaposi.
PMID- 27208693
TI - Altered engagement of autobiographical memory networks in adult offspring of
postnatally depressed mothers.
AB - Maternal depression is associated with increased risk for offspring mood and
anxiety disorders. One possible impact of maternal depression during offspring
development is on the emotional autobiographical memory system. We investigated
the neural mechanisms of emotional autobiographical memory in adult offspring of
mothers with postnatal depression (N=16) compared to controls (N=21). During
fMRI, recordings of participants describing one pleasant and one unpleasant
situation with their mother and with a companion, were used as prompts to re-live
the situations. Compared to controls we predicted the PND offspring would show:
greater activation in medial and posterior brain regions implicated in
autobiographical memory and rumination; and decreased activation in lateral
prefrontal cortex and decreased connectivity between lateral prefrontal and
posterior regions, reflecting reduced control of autobiographical recall. For
negative situations, we found no group differences. For positive situations with
their mothers, PND offspring showed higher activation than controls in left
lateral prefrontal cortex, right frontal pole, cingulate cortex and precuneus,
and lower connectivity of right middle frontal gyrus, left middle temporal gyrus,
thalamus and lingual gyrus with the posterior cingulate. Our results are
consistent with adult offspring of PND mothers having less efficient prefrontal
regulation of personally relevant pleasant autobiographical memories.
PMID- 27208692
TI - Regulation of endothelial homeostasis, vascular development and angiogenesis by
the transcription factor ERG.
AB - Over the last few years, the ETS transcription factor ERG has emerged as a major
regulator of endothelial function. Multiple studies have shown that ERG plays a
crucial role in promoting angiogenesis and vascular stability during development
and after birth. In the mature vasculature ERG also functions to maintain
endothelial homeostasis, by transactivating genes involved in key endothelial
functions, while repressing expression of pro-inflammatory genes. Its homeostatic
role is lineage-specific, since ectopic expression of ERG in non-endothelial
tissues such as prostate is detrimental and contributes to oncogenesis. This
review summarises the main roles and pathways controlled by ERG in the vascular
endothelium, its transcriptional targets and its functional partners and the
emerging evidence on the pathways regulating ERG's activity and expression.
PMID- 27208694
TI - Bayesian methods for event analysis of intracellular currents.
AB - BACKGROUND: Investigation of neural circuit functioning often requires
statistical interpretation of events in subthreshold electrophysiological
recordings. This problem is non-trivial because recordings may have moderate
levels of structured noise and events may have distinct kinetics. In addition,
novel experimental designs that combine optical and electrophysiological methods
will depend upon statistical tools that combine multimodal data. NEW METHOD: We
present a Bayesian approach for inferring the timing, strength, and kinetics of
post-synaptic currents (PSCs) from voltage-clamp electrophysiological recordings
on a per event basis. The simple generative model for a single voltage-clamp
recording flexibly extends to include additional structure to enable experiments
designed to probe synaptic connectivity. RESULTS: We validate the approach on
simulated and real data. We also demonstrate that extensions of the basic PSC
detection algorithm can handle recordings contaminated with optically evoked
currents, and we simulate a scenario in which calcium imaging observations,
available for a subset of neurons, can be fused with electrophysiological data to
achieve higher temporal resolution. COMPARISON WITH EXISTING METHODS: We apply
this approach to simulated and real ground truth data to demonstrate its higher
sensitivity in detecting small signal-to-noise events and its increased
robustness to noise compared to standard methods for detecting PSCs. CONCLUSIONS:
The new Bayesian event analysis approach for electrophysiological recordings
should allow for better estimation of physiological parameters under more
variable conditions and help support new experimental designs for circuit
mapping.
PMID- 27208696
TI - Correction.
PMID- 27208695
TI - Validation of birth outcomes from the Society for Assisted Reproductive
Technology Clinic Outcome Reporting System (SART CORS): population-based analysis
from the Massachusetts Outcome Study of Assisted Reproductive Technology
(MOSART).
AB - OBJECTIVE: To assess the validity of outcome data reported to the Society for
Assisted Reproductive Technology Clinic Outcome Reporting System (SART CORS)
compared with data from vital records and the birth defects registry in
Massachusetts. DESIGN: Longitudinal cohort. SETTING: Not applicable.
PARTICIPANT(S): A total of 342,035 live births and fetal deaths from
Massachusetts mothers giving birth in the state from July 1, 2004, to December
31, 2008; 9,092 births and fetal deaths were from mothers who had conceived with
the use of assisted reproductive technology (ART) and whose cycle data had been
reported to the SART CORS. INTERVENTION(S): Not applicable. MAIN OUTCOME
MEASURE(S): Percentage agreement between maternal race and ethnicity, delivery
outcome (live birth or fetal death), plurality (singleton, twin, or triplet+),
delivery date, and singleton birth weight reported in the SART CORS versus vital
records; sensitivity and specificity for birth defects among singletons as
reported in the SART CORS versus the Massachusetts Birth Defects Monitoring
Program (BDMP). RESULT(S): There was >95% agreement between the SART CORS and
vital records for fields of maternal race/ethnicity, live birth/fetal death, and
plurality; birth outcome date was within 1 day with 94.9% agreement and birth
weight was within 100 g with 89.6% agreement. In contrast, sensitivity for report
of any birth defect was 38.6%, with a range of 18.4%-50.0%, for specific birth
defect categories. CONCLUSION(S): Although most SART CORS outcome fields are
accurately reported, birth defect variables showed poor sensitivity compared with
the gold standard data from the BDMP. We suggest that reporting of birth defects
be discontinued.
PMID- 27208697
TI - Rabbit models as tools for preclinical cardiac electrophysiological safety
testing: Importance of repolarization reserve.
AB - It is essential to more reliably assess the pro-arrhythmic liability of compounds
in development. Current guidelines for pre-clinical and clinical testing of drug
candidates advocate the use of healthy animals/tissues and healthy individuals
and focus on the test compound's ability to block the hERG current and prolong
cardiac ventricular repolarization. Also, pre-clinical safety tests utilize
several species commonly used in cardiac electrophysiological studies. In this
review, important species differences in cardiac ventricular repolarizing ion
currents are considered, followed by the discussion on electrical remodeling
associated with chronic cardiovascular diseases that leads to altered ion channel
and transporter expression and densities in pathological settings. We argue that
the choice of species strongly influences experimental outcome and extrapolation
of results to human clinical settings. We suggest that based on cardiac cellular
electrophysiology, the rabbit is a useful species for pharmacological pro
arrhythmic investigations. In addition to healthy animals and tissues, the use of
animal models (e.g. those with impaired repolarization reserve) is suggested that
more closely resemble subsets of patients exhibiting increased vulnerability
towards the development of ventricular arrhythmias and sudden cardiac death.
PMID- 27208699
TI - Respiratory neuroplasticity - Overview, significance and future directions.
AB - Neuroplasticity is an important property of the neural system controlling
breathing. However, our appreciation for its importance is still relatively new,
and we have much to learn concerning different forms of plasticity, their
underlying mechanisms, and their biological and clinical significance. In this
brief review, we discuss several well-studied models of respiratory plasticity,
including plasticity initiated by inactivity in the respiratory system,
intermittent and sustained hypoxia, and traumatic injury to the spinal cord.
Other aspects of respiratory plasticity are considered in other contributions to
this special edition of Experimental Neurology on respiratory plasticity.
Finally, we conclude with discussions concerning the biological and clinical
significance of respiratory motor plasticity, and areas in need of future
research effort.
PMID- 27208701
TI - Off-target effects of engineered nucleases.
AB - Recent advances in gene editing with engineered nucleases have transformed our
ability to manipulate the genome from diverse organisms for applications ranging
from biomedical research to disease treatment. A major complication with these
engineered nucleases is the binding of the nuclease to unintended genomic sites
that share sequence homology with the on-target site. Cleavage of these off
target sites followed by DNA repair using normal cellular DNA repair mechanisms
can cause gene mutation or gross chromosome rearrangement. Identification of
nuclease-generated off-target sites is a daunting task due to the size and
complexity of the mammalian genome. Five unbiased, genome-wide strategies have
been developed to detect the off-target cleavage. Some of these strategies reach
the sensitivity near the detection limit of directed deep sequencing and have
sufficient precision and resolution to objectively assessing the off-target
effect of any engineered nuclease. Significant progress has also been made
recently to boost the nuclease targeting specificity by protein engineering to
modify the structure of the nuclease and alter the interaction with its genomic
target. In several studied cases, the off-target effect generated by the modified
nuclease is completely eliminated. These modified nucleases significantly improve
the overall fidelity of gene editing. These developments will enable gene editing
tools to be applied more broadly and safely in basic research and disease
treatment.
PMID- 27208698
TI - Rabbit models of cardiac mechano-electric and mechano-mechanical coupling.
AB - Cardiac auto-regulation involves integrated regulatory loops linking electrics
and mechanics in the heart. Whereas mechanical activity is usually seen as 'the
endpoint' of cardiac auto-regulation, it is important to appreciate that the
heart would not function without feed-back from the mechanical environment to
cardiac electrical (mechano-electric coupling, MEC) and mechanical (mechano
mechanical coupling, MMC) activity. MEC and MMC contribute to beat-by-beat
adaption of cardiac output to physiological demand, and they are involved in
various pathological settings, potentially aggravating cardiac dysfunction.
Experimental and computational studies using rabbit as a model species have been
integral to the development of our current understanding of MEC and MMC. In this
paper we review this work, focusing on physiological and pathological
implications for cardiac function.
PMID- 27208700
TI - Sialylated intravenous immunoglobulin suppress anti-ganglioside antibody mediated
nerve injury.
AB - The precise mechanisms underlying the efficacy of intravenous immunoglobulin
(IVIg) in autoimmune neurological disorders including Guillain-Barre syndrome
(GBS) are not known. Anti-ganglioside antibodies have been reported to be
pathogenic in some variants of GBS, and we have developed passive transfer animal
models to study anti-ganglioside antibody mediated-endoneurial inflammation and
associated neuropathological effects and to evaluate the efficacy of new
therapeutic approaches. Some studies indicate that IVIg's anti-inflammatory
activity resides in a minor sialylated IVIg (sIVIg) fractions and is dependent on
an innate Th2 response via binding to a specific ICAM3-grabbing nonintegrin
related 1 receptor (SIGN-R1). Therefore the efficacy of IVIg, IVIg fractions with
various IgG Fc sialylation status, and the involvement of Th2 pathway were
examined in one of our animal model of antibody-mediated inhibition of axonal
regeneration. We demonstrate that both IVIg and sIVIg ameliorated anti-glycan
antibody mediated-pathological effect, whereas, the unsialylated fractions of
IVIg were not beneficial in our model. Tenfold lower doses of sIVIg compared to
whole IVIg provided equivalent efficacy in our studies. Moreover, we found that
whole IVIg and sIVIg significantly upregulates the gene expression of IL-33,
which itself can provide protection from antibody-mediated nerve injury in our
model. Our results support that the SIGN-R1-Th2 pathway is involved in the anti
inflammatory effects of IVIg on endoneurium in our model and elements of this
pathway including IL-33 can provide novel therapeutics in inflammatory
neuropathies.
PMID- 27208702
TI - Effects of endothelin family on ANP secretion.
AB - The endothelins (ET) peptide family consists of ET-1, ET-2, ET-3, and sarafotoxin
(s6C, a snake venom) and their actions appears to be different among isoforms.
The aim of this study was to compare the secretagogue effect of ET-1 on atrial
natriuretic peptide (ANP) secretion with ET-3 and evaluate its physiological
meaning. Isolated nonbeating atria from male Sprague-Dawley rats were used to
evaluate stretch-activated ANP secretion in response to ET-1, ET-2, ET-3, and
s6C. Changes in mean blood pressure (MAP) were measured during acute injection of
ET-1 and ET-3 with and without natriuretic peptide receptor-A antagonist (A71915)
in anesthetized rats. Changes in atrial volume induced by increased atrial
pressure from o to 1, 2, 4, or 6cm H2O caused proportional increases in
mechanically-stimulated extracellular fluid (ECF) translocation and stretch
activated ANP secretion. ET-1 (10nM) augmented basal and stretch-activated ANP
secretion in terms of ECF translocation, which was blocked by the pretreatment
with ETA receptor antagonist (BQ123, 1MUM) but not by ETB receptor antagonist
(BQ788, 1MUM). ETA receptor antagonist itself suppressed stretch-activated ANP
secretion. As compared to ET-1- induced ANP secretion (3.2-fold by 10nM), the
secretagogue effects of ANP secretion by ET-2 was similar (2.8-fold by 10nM) and
ET-3 and s6C were less potent (1.7-fold and 1.5-fold by 100nM, respectively).
Acute injection of ET-1 or ET-3 increased mean blood pressure (MAP), which was
augmented in the presence of natriuretic peptide receptor-A antagonist.
Therefore, we suggest that the order of secretagogue effect of ET family on ANP
secretion was ET-1>=ET-2>>ET-3>s6C and ET-1-induced ANP secretion negatively
regulates the pressor effect of ET-1.
PMID- 27208703
TI - Blocking of urotensin receptors as new target for treatment of carrageenan
induced inflammation in rats.
AB - This study investigated possible role of U-II and its receptor expression in
inflammation by using UTR agonist and antagonist in carrageenan induced acute
inflammation. Rats were divided into 5 groups as (1) Healthy control, (2)
Carrageenan control, (3) Carrageenan +Indomethacin 20mg/kg, orally, (4)
Carrageenan +AC7954 (U-II receptor agonist, intraperitoneally) 30mg/kg and (5)
Carrageenan +SB657510 (UTR antagonist, intraperitoneally) 30mg/kg. 1h after drug
administration, carrageenan was injected. At the 3rd hour after carrageenan
injection, agonist produced no effect while antagonist 63% anti-inflammatory
effect respectively. UTR and UT-II expression increased in carrageenan induced
paw tissue. Antagonist administration prevented the decrease in an antioxidant
system and also capable to decrease TNF-alpha and IL-6 mRNA expressions. This
study showed the role of urotensin II receptors in the physiopathogenesis of
acute inflammatory response that underlying many diseases accompanied by
inflammation.
PMID- 27208704
TI - A computational approach to early sepsis detection.
AB - OBJECTIVE: To develop high-performance early sepsis prediction technology for the
general patient population. METHODS: Retrospective analysis of adult patients
admitted to the intensive care unit (from the MIMIC II dataset) who were not
septic at the time of admission. RESULTS: A sepsis early warning algorithm,
InSight, was developed and applied to the prediction of sepsis up to three hours
prior to a patient's first five hour Systemic Inflammatory Response Syndrome
(SIRS) episode. When applied to a never-before-seen set of test patients, InSight
predictions demonstrated a sensitivity of 0.90 (95% CI: 0.89-0.91) and a
specificity of 0.81 (95% CI: 0.80-0.82), exceeding or rivaling that of existing
biomarker detection methods. Across predictive times up to three hours before a
sustained SIRS event, InSight maintained an average area under the ROC curve of
0.83 (95% CI: 0.80-0.86). Analysis of patient sepsis risk showed that
contributions from the coevolution of multiple risk factors were more important
than the contributions from isolated individual risk factors when making
predictions further in advance. CONCLUSIONS: Sepsis can be predicted at least
three hours in advance of onset of the first five hour SIRS episode, using only
nine commonly available vital signs, with better performance than methods in
standard practice today. High-order correlations of vital sign measurements are
key to this prediction, which improves the likelihood of early identification of
at-risk patients.
PMID- 27208705
TI - Fully automatic prostate segmentation from transrectal ultrasound images based on
radial bas-relief initialization and slice-based propagation.
AB - Prostate segmentation from transrectal ultrasound (TRUS) images plays an
important role in the diagnosis and treatment planning of prostate cancer. In
this paper, a fully automatic slice-based segmentation method was developed to
segment TRUS prostate images. The initial prostate contour was determined using a
novel method based on the radial bas-relief (RBR) method, and a false edge
removal algorithm proposed here in. 2D slice-based propagation was used in which
the contour on each image slice was deformed using a level-set evolution model,
which was driven by edge-based and region-based energy fields generated by dyadic
wavelet transform. The optimized contour on an image slice propagated to the
adjacent slice, and subsequently deformed using the level-set model. The
propagation continued until all image slices were segmented. To determine the
initial slice where the propagation began, the initial prostate contour was
deformed individually on each transverse image. A method was developed to self
assess the accuracy of the deformed contour based on the average image intensity
inside and outside of the contour. The transverse image on which highest accuracy
was attained was chosen to be the initial slice for the propagation process.
Evaluation was performed for 336 transverse images from 15 prostates that include
images acquired at mid-gland, base and apex regions of the prostates. The average
mean absolute difference (MAD) between algorithm and manual segmentations was
0.79+/-0.26mm, which is comparable to results produced by previously published
semi-automatic segmentation methods. Statistical evaluation shows that accurate
segmentation was not only obtained at the mid-gland, but also at the base and
apex regions.
PMID- 27208706
TI - Transcription Factor MafB Coordinates Epidermal Keratinocyte Differentiation.
AB - Mammalian epidermis is a stratified epithelium composed of distinct layers of
keratinocytes. The outermost cornified layer is a primary barrier that consists
of a cornified envelope, an insoluble structure assembled by cross-linked
scaffold proteins, and a surrounding mixture of lipids. Skin keratinocytes
undergo a multistep differentiation process, but the mechanism underlying this
process is not fully understood. We demonstrate that the transcription factor
MafB is expressed in differentiating keratinocytes in mice and is
transcriptionally upregulated upon human keratinocyte differentiation in vitro.
In MafB-deficient mice, epidermal differentiation was partially impaired and the
cornified layer was thinner than in wild-type mice. On the basis of
transcriptional profiling, we detected reduced expression levels of a subset of
cornified envelope genes, for example, filaggrin and repetin, in the MafB(-/-)
epidermis. By contrast, the expression levels of lipid metabolism-related genes,
such as Alox12e and Smpd3, increased. The upregulated genes in the MafB(-/-)
epidermis were enriched for putative target genes of the transcription factors
Gata3, Grhl3, and Klf4. Immunohistochemical analysis of skin biopsy samples
revealed that the expression levels of filaggrin and MafB were significantly
reduced in patients with human atopic dermatitis and psoriasis vulgaris. Our
results indicate that MafB is a component of the gene expression program that
regulates epidermal keratinocyte differentiation.
PMID- 27208707
TI - Genetic Reversion via Mitotic Recombination in Ichthyosis with Confetti due to a
KRT10 Polyalanine Frameshift Mutation.
PMID- 27208708
TI - Corrigendum to "India needs to strengthen microbial disease surveillance
strategies" [J Infect 72 (2016) 394-395].
PMID- 27208710
TI - Advanced Concepts and Controversies in Emergency Department Pain Management.
AB - Pain is the most common complaint for which patients come to the emergency
department (ED). Emergency physicians are responsible for pain relief in a
timely, efficient, and safe manner in the ED. The improvement in our
understanding of the neurobiology of pain has balanced the utilization of
nonopioid and opioid analgesia, and simultaneously has led to more rational and
safer opioid prescribing practices. This article reviews advances in pain
management in the ED for patients with acute and chronic pain as well as
describes several newer strategies and controversies.
PMID- 27208711
TI - Managing Opioid-Tolerant Patients in the Perioperative Surgical Home.
AB - Management of acute postoperative pain is important to decrease perioperative
morbidity and improve patient satisfaction. Opioids are associated with potential
adverse events that may lead to significant risk. Uncontrolled pain is a risk
factor in the transformation of acute pain to chronic pain. Balancing these
issues can be especially challenging in opioid-tolerant patients undergoing
surgery, for whom rapidly escalating opioid doses in an effort to control pain
can be associated with increased complications. In the perioperative surgical
home model, anesthesiologists are positioned to coordinate a comprehensive
perioperative analgesic plan that begins with the preoperative assessment and
continues through discharge.
PMID- 27208712
TI - Can Chronic Pain Be Prevented?
AB - All chronic pain begins at some discrete point in time. Significant strides in
the understanding of mechanisms and risk factors associated with the transition
from a new, or acute, pain experience to a chronic pain condition have been made
over the past 20 years. These insights provide the hope of one day being able to
modify or even halt this pathophysiologic progression. This article reviews some
of the current knowledge of this transition as well as the evidence currently
available to best prevent and treat it using persistent surgical pain as a model.
PMID- 27208713
TI - Interventional Treatments of Cancer Pain.
AB - Pain is a significant burden for patients with cancer and is particularly
prevalent among those with advanced cancer. Appropriate interventional cancer
pain therapies complement conventional pain management by reducing the need for
systemic opioid therapy and its associated toxicity; however, these therapies are
often underutilized. This article reviews techniques, indications, complications,
and outcomes of the most common interventional approaches for the management of
cancer-related pain. These approaches include intrathecal drug delivery,
vertebral augmentation, neurolysis of the celiac, superior hypogastric and
ganglion impar plexus', image-guided tumor ablation, and other less commonly
performed but potentially beneficial interventions.
PMID- 27208709
TI - Imaging Pain.
AB - The challenges and understanding of acute and chronic pain have been illuminated
through the advancement of central neuroimaging. Through neuroimaging research,
new technology and findings have allowed us to identify and understand the neural
mechanisms contributing to chronic pain. Several regions of the brain are known
to be of particular importance for the maintenance and amplification of chronic
pain, and this knowledge provides novel targets for future research and
treatment. This article reviews neuroimaging for the study of chronic pain, and
in particular, the rapidly advancing and popular research tools of structural and
functional MRI.
PMID- 27208714
TI - Chronic Pain and the Opioid Conundrum.
AB - Opioids prescribed for chronic cancer and noncancer pain have been embroiled in
public policy debates as to effectiveness and potential for contributing to
society's problem with misuse, addiction, and overdose mortality. The conundrum
of opioid prescribing is to determine who will most likely benefit from opioids
and how medical practitioners may safely provide chronic opioid therapy, while
also identifying patients who are unlikely to benefit or could divert illicit
pharmaceuticals into society. Risk assessment and monitoring are essential to
meet the standard of care, as is compliance with federal controlled substances
law as well as state regulations.
PMID- 27208715
TI - Advancing the Pain Agenda in the Veteran Population.
AB - The Veterans Health Administration (VHA) provides medical care for Veterans after
leaving the military. The combination of multiple deployments and battlefield
exposures to physical and psychological trauma results in a higher prevalence and
complexity of chronic pain in Veterans than in the general public. The VHA and
the Department of Defense work together to develop a single standard of stepped
pain management appropriate for all settings from moment of injury or disease
onset. This article describes the education, academic detailing, and clinical
programs and policies that are transforming pain care in the VHA.
PMID- 27208716
TI - Assessing and Managing Sleep Disturbance in Patients with Chronic Pain.
AB - Chronic pain is associated with symptoms that may impair a patient's quality of
life, including emotional distress, fatigue, and sleep disturbance. There is a
high prevalence of concomitant pain and sleep disturbance. Studies support the
hypothesis that sleep and pain have a bidirectional and reciprocal relationship.
Clinicians who manage patients with chronic pain often focus on interventions
that relieve pain, and assessing and treating sleep disturbance are secondary or
not addressed. This article reviews the literature on pain and co-occurring sleep
disturbance, describes the assessment of sleep disturbance, and outlines
nonpharmacologic and pharmacologic treatment strategies to improve sleep in
patients with chronic pain.
PMID- 27208717
TI - Using Chronic Pain Outcomes Data to Improve Outcomes.
AB - Standardization of care that is derived from analysis of outcomes data can lead
to improvements in quality and efficiency of care. The outcomes data should be
validated, standardized, and integrated into ongoing patient care with minimal
burden on the patient and health care team. This article describes the
organization and workflow of a chronic pain clinic registry designed to collect
and analyze patient data for quality improvement and dissemination. Future
efforts in using mobile technology and integrating patient-reported outcome data
in the electronic health records have the potential to offer new and improved
models of comprehensive pain management.
PMID- 27208718
TI - State Policies Regulating the Practice of Pain Management: Statutes, Rules, and
Guidelines That Shape Pain Care.
AB - In response to increased awareness of prescription opioid misuse, abuse,
addiction, diversion, and overdose, states have promulgated a large number of
public policies intended to regulate the practice of pain medicine. Nearly every
state now has at least 1 type of policy; others only provide recommendations to
physicians. This article reviews the existing policies and extracts specific
provisions within each of them. Although there are many similarities across
policies, unique features are found in some and are specifically reviewed. This
review can serve as a quick reference for policymakers and as a guide for
researchers interested in the impacts of such policies.
PMID- 27208719
TI - Chronic Pain and Its Treatment in an Era of Increased Scrutiny.
PMID- 27208720
TI - Pain Management.
PMID- 27208721
TI - Salinity and nutrient contents of tidal water affects soil respiration and carbon
sequestration of high and low tidal flats of Jiuduansha wetlands in different
ways.
AB - Soils were collected from low tidal flats and high tidal flats of Shang shoal
located upstream and Xia shoal located downstream with different tidal water
qualities, in the Jiuduansha wetland of the Yangtze River estuary. Soil
respiration (SR) in situ and soil abiotic and microbial characteristics were
studied to clarify the respective differences in the effects of tidal water
salinity and nutrient levels on SR and soil carbon sequestration in low and high
tidal flats. In low tidal flats, higher total nitrogen (TN) and lower salinity in
the tidal water of Shang shoal resulted in higher TN and lower salinity in its
soils compared with Xia shoal. These would benefit beta-Proteobacteria and
Anaerolineae in Shang shoal soil, which might have higher heterotrophic microbial
activities and thus soil microbial respiration and SR. In low tidal flats, where
soil moisture was high and the major carbon input was active organic carbon from
tidal water, increasing TN was a more important factor than salinity and
obviously enhanced soil microbial heterotrophic activities, soil microbial
respiration and SR. While, in high tidal flats, higher salinity in Xia shoal due
to higher salinity in tidal water compared with Shang shoal benefited gamma
Proteobacteria which might enhance autotrophic microbial activity, and was
detrimental to beta-Proteobacteria in Xia shoal soil. These might have led to
lower soil microbial respiration and thus SR in Xia shoal compared with Shang
shoal. In high tidal flats, where soil moisture was relatively lower and the
major carbon input was plant biomass that was difficult to degrade, soil salinity
was the major factor restraining microbial activities, soil microbial respiration
and SR.
PMID- 27208722
TI - Major benzophenone concentrations and influence of food consumption among the
general population in Korea, and the association with oxidative stress biomarker.
AB - Benzophenones (BPs) have been used as sunscreen agents and as ultraviolet
stabilizers in plastic surface coatings for food packaging. However, few studies
have been performed to examine the level of human exposure to BPs and the
potential sources of such exposure. We evaluated the exposure levels to six major
BPs (BP-1, BP-2, BP-3, BP-4, BP-8, and 4-hydroxybenzophenone (4-OH-BP)) among the
adult population in two cities in Korea, and investigated the potential dietary
sources of the BPs. Urinary levels of malondialdehyde (MDA) as an oxidative
stress biomarker as well as their association with the levels of BPs were also
analyzed. Among the six BPs analyzed, 4-OH-BP, BP-1, BP-3, and BP-4 were detected
in 77%, 49%, 27%, and 21% of the population, respectively. BP concentrations were
relatively higher in younger (people in their 20s and 30s) cosmetic users and
leaner women. Even after the adjustment of age, body mass index, and cosmetic
use, the consumption of frozen storage food, instant noodles, and instant coffee
was significantly correlated with urinary BPs, and these associations were sex
dependent. No significant correlation was observed between the levels of BPs and
levels of MDA. The results of the present study will be useful for developing
plans of public health management of BPs.
PMID- 27208724
TI - Decoding the intervertebral disc: Unravelling the complexities of cell phenotypes
and pathways associated with degeneration and mechanotransduction.
AB - Back pain is the most common cause of pain and disability worldwide. While its
etiology remains unknown, it is typically associated with intervertebral disc
(IVD) degeneration. Despite the prevalence of back pain, relatively little is
known about the specific cellular pathways and mechanisms that contribute to the
development, function and degeneration of the IVD. Consequently, current
treatments for back pain are largely limited to symptomatic interventions.
However, major progress is being made in multiple research directions to unravel
the biology and pathology of the IVD, raising hope that effective disease
modifying interventions will soon be developed. In this review, we will discuss
our current knowledge and gaps in knowledge on the developmental origin of the
IVD, the phenotype of the distinct cell types found within the IVD tissues,
molecular targets in IVD degeneration identified using bioinformatics strategies,
and mechanotransduction pathways that influence IVD cell fate and function.
PMID- 27208725
TI - Structure-informed insights for NLR functioning in plant immunity.
AB - To respond to foreign invaders, plants have evolved a cell autonomous
multilayered immune system consisting of extra- and intracellular immune
receptors. Nucleotide binding and oligomerization domain (NOD)-like receptors
(NLRs) mediate recognition of pathogen effectors inside the cell and trigger a
host specific defense response, often involving controlled cell death. NLRs
consist of a central nucleotide-binding domain, which is flanked by an N-terminal
CC or TIR domain and a C-terminal leucine-rich repeat domain (LRR). These
multidomain proteins function as a molecular switch and their activity is tightly
controlled by intra and inter-molecular interactions. In contrast to metazoan
NLRs, the structural basis underlying NLR functioning as a pathogen sensor and
activator of immune responses in plants is largely unknown. However, the first
crystal structures of a number of plant NLR domains were recently obtained. In
addition, biochemical and structure-informed analyses revealed novel insights in
the cooperation between NLR domains and the formation of pre- and post activation
complexes, including the coordinated activity of NLR pairs as pathogen sensor and
executor of immune responses. Moreover, the discovery of novel integrated domains
underscores the structural diversity of NLRs and provides alternative models for
how these immune receptors function in plants. In this review, we will highlight
these recent advances to provide novel insights in the structural, biochemical
and molecular aspects involved in plant NLR functioning.
PMID- 27208723
TI - On the role of mechanics in driving mesenchymal-to-epithelial transitions.
AB - The mesenchymal-to-epithelial transition (MET) is an intrinsically mechanical
process describing a multi-step progression where autonomous mesenchymal cells
gradually become tightly linked, polarized epithelial cells. METs are fundamental
to a wide range of biological processes, including the evolution of multicellular
organisms, generation of primary and secondary epithelia during development and
organogenesis, and the progression of diseases including cancer. In these cases,
there is an interplay between the establishment of cell polarity and the
mechanics of neighboring cells and microenvironment. In this review, we highlight
a spectrum of METs found in normal development as well as in pathological
lesions, and provide insight into the critical role mechanics play at each step.
We define MET as an independent process, distinct from a reverse-EMT, and propose
questions to further explore the cellular and physical mechanisms of MET.
PMID- 27208726
TI - Small RNAs regulate plant responses to filamentous pathogens.
AB - Small RNAs are central players of RNA silencing in eukaryotes. These short RNA
molecules (20-25 nucleotides in length) repress target gene expression based on
sequence complementarity. While small RNAs are well-known for their essential
function in regulating growth and development, recent research has revealed that
they also influence plant immunity. Extensive changes in small RNA accumulation
have been observed during infection. This review focuses on specific small RNA
changes that are involved in plant responses to filamentous eukaryotic pathogens
including fungi and oomycetes. We describe how changes in small RNA accumulation
influence plant immunity and summarize the cellular processes affected by these
small RNAs. In particular, we discuss secondary small interfering RNAs that
directly modulate the expression of defense-related genes.
PMID- 27208727
TI - As we grow old: nutritional considerations for older patients on dialysis.
AB - The number of older people on dialysis is increasing, along with a need to
develop specialized health care to manage their needs. Aging-related changes
occur in physiological, psychosocial and medical aspects, all of which present
nutritional risk factors ranging from a decline in metabolic rate to assistance
with feeding-related activities. In dialysis, these are compounded by the
metabolic derangements of chronic kidney disease (CKD) and of dialysis treatment
per se, leading to possible aggravation of protein-energy wasting syndrome. This
review discusses the nutritional derangements of the older patient on dialysis,
debates the need for specific renal nutrition guidelines and summarizes potential
interventions to meet their nutritional needs. Interdisciplinary collaborations
between renal and geriatric clinicians should be encouraged to ensure better
quality of life and outcomes for this growing segment of the dialysis population.
PMID- 27208728
TI - Association of bisphenol A exposure with dietary quality indices in Spanish
schoolchildren.
AB - Young children, whose growth and development are highly dependent on the
endocrine system, are particularly vulnerable to endocrine disruptor exposure.
The main objectives of this study were to measure BPA migration levels from cans,
fruit juice bottles/packs, and microwave containers used for food/drinks consumed
by a sample of 6- to 8-year old schoolchildren in Spain and to estimate the
relationship between their resulting BPA exposure and diet quality index scores
(Mediterranean Diet Score and Breakfast Quality Index). The mean BPA
concentration was 11.8 ng/mL for vegetable cans, 22.1 ng/mL for pulse cans, 3.6
ng/mL for juice bottles/packs, and 1.2 ng/mL for microwave containers. Results
revealed a significant association between the Mediterranean Diet Score and low
BPA exposure of the children. BPA exposure below the median level was
significantly associated with a higher score in both the first-grade (P = 0.030)
and second-grade (p = 0.0001) groups. However, no association was found between
BPA exposure and the Breakfast Quality Index. In conclusion, children with a
stronger adherence to a Mediterranean-like diet appear to be less exposed to BPA
migrating from food packaging and microwave containers. Further research is
warranted on the inadvertent exposure of children to endocrinedisrupting
chemicals from these sources.
PMID- 27208729
TI - Rauwolfia vomitoria inhibits olfaction and modifies olfactory bulb cells.
AB - The rising cost of orthodox medication has endeared so many to the use of herbs
for the management of neurological conditions. Rauwolfia vomitoria (RV) one of
such herbs is a rainforest shrub whose parts are used locally in the management
of psychiatry and other medical issues. Its usefulness though not in doubt is
wrapped with adverse reports as its active constituents depletes brain monoamine
and dopamine stores. This motivated this research on the effects of the root bark
extract on olfaction and the olfactory bulb of adult Wistar rats. Eighteen adult
Wistar rats (220g average) were divided into three groups (n=6); control
(placebo), 200mg/kg and 400mg/kg RV root bark extract, respectively. The oral
administration lasted for seven days and on day 8, test of olfaction was carried
out and the animals immediately anaesthetized with ketamine hydrochloride (i.p.)
and perfuse-fixed with 10% neutral buffered formalin. All the brains were
processed for histology and immunoreactivity. Results showed loss of body weights
and olfaction in the 200mg/kg and 400mg/kg RV groups. There was hypertrophy and
atrophy of mitral cells respectively, in the 200mg/kg and 400mg/kg RV groups,
while there was hyperplasia of cells in the internal granular and plexiform
layers of both groups. There was decreased neuron specific enolase (NSE) and
neurofilament (NF) expression in the 200mg/kg RV group, while NF and glial
fibrillary acidic protein (GFAP) expression was decreased in the 400mg/kg RV
group. However, NSE expression was enhanced in the 400mg/kg group, while GFAP
expression was enhanced in the 200mg/kg RV group. These results suggest that
these doses of RV affect olfaction and appetite, and stimulate adverse cellular
changes in the olfactory bulb.
PMID- 27208731
TI - Community shifts within anaerobic digestion microbiota facing phenol inhibition:
Towards early warning microbial indicators?
AB - Performance stability is a key operational issue for anaerobic digestion (AD) and
phenolic compounds are regularly mentioned as a major cause of digester failures.
To get more insights into AD microbiota response to a wide range of inhibition
levels, anaerobic batch toxicity assays were conducted with ten phenol
concentrations up to 5.00 g/L. Final AD performance was not impaired up to 1.00
g/L. However, progressive shifts in microbial community structure were detected
from 0.50 g/L. The methanogenic function was maintained along with increasing
initial phenol concentrations up to 2.00 g/L thanks to the emergence of genus
Methanoculleus at the expense of Methanosarcina. Within syntrophic populations,
family Syntrophomonadaceae proportion was gradually reduced by phenol while
Synergistaceae gained in importance in the microbiome. Moreover, at 2.00 g/L, the
relative abundance of families belonging to order Clostridiales dropped, leading
to the predominance of populations assigned to order Bacteroidales even though it
did not prevent final AD performance deterioration. It illustrates the high level
of adaptability of archaeal and bacterial communities and suggests the
possibility of determining early warning microbial indicators associated with
phenol inhibition.
PMID- 27208730
TI - Hierarchical glucocorticoid-endocannabinoid interplay regulates the activation of
the nucleus accumbens by insulin.
AB - Here we asked if insulin activation of the nucleus accumbens in vitro is
reflected by an increase in (3)H-deoxyglucose ([(3)H]DG) uptake, thus subserving
a new model to study molecular mechanisms of central insulin actions.
Additionally, we investigated the dependence of this insulin effect on
endocannabinoids and corticosteroids, two major culprits in insulin resistance.
We found that in acute accumbal slices, insulin (3 and 300nM but not at 0.3nM)
produced an increase in [(3)H]DG uptake. The synthetic cannabinoid agonist,
WIN55212-2 (500nM) and the glucocorticoid dexamethasone (10MUM), impaired insulin
(300nM) action on [(3)H]DG uptake. The glucocorticoid receptor (GcR) antagonist,
mifepristone (10MUM) prevented dexamethasone from inhibiting insulin's action.
Strikingly, this anti-insulin action of dexamethasone was also blocked by two CB1
cannabinoid receptor (CB1R) antagonists, O-2050 (500nM) and SR141716A (500nM), as
well as by tetrahydrolipstatin (10MUM), an inhibitor of diacylglycerol lipases
the enzymes responsible for the synthesis of the endocannabinoid, 2-arachidonoyl
glycerol (2-AG). On the other hand, the blockade of the post-synaptic 2-AG
metabolizing enzymes, alpha,beta-serine hydrolase domain 6/12 by WWL70 (1MUM)
also prevented the action of insulin, probably via increasing endogenous 2-AG
tone. Additionally, an anti-insulin receptor (InsR) antibody immunoprecipitated
CB1Rs from accumbal homogenates, indicating a physical complexing of CB1Rs with
InsRs that supports their functional interaction. Altogether, insulin stimulates
glucose uptake in the nucleus accumbens. Accumbal GcR activation triggers the
synthesis of 2-AG that in turn binds to the known CB1R-InsR heteromer, thus
impeding insulin signaling.
PMID- 27208732
TI - Dialogue between endoplasmic reticulum and mitochondria as a key actor of
vascular dysfunction associated to metabolic disorders.
AB - Metabolic syndrome due to its association with increased risk of cardiovascular
diseases and cardiac mortality, comprises a cluster of metabolic abnormalities
such as central obesity, hyperglycemia, dyslipidemia, and hypertension. Recent
studies have shown that metabolic syndrome patients exhibit impaired nitric oxide
mediated vasodilatation leading to endothelial dysfunction in addition to insulin
resistance. Interestingly, development and maintenance of the unfolded protein
response of the endoplasmic reticulum stress revealed a surprisingly direct link
with metabolic syndrome and endothelial dysfunction. On the other hand, in
metabolic disorders, interaction between endoplasmic reticulum and mitochondria
is mandatory for the generation of mitochondrial oxidative stress and
perturbation of mitochondrial function accounting, at least in part, for vascular
dysfunction. Herein, we review the impact of the dialogue between endoplasmic
reticulum and mitochondria in modulating the cellular signals governing vascular
alterations associated to metabolic disorders.
PMID- 27208733
TI - Increased thioredoxin levels are related to insulin resistance in familial
combined hyperlipidaemia.
AB - BACKGROUND: Thioredoxins (TRX) are major cellular protein disulphide reductases
that are critical for redox regulation. Oxidative stress and inflammation play
promoting roles in the genesis and progression of atherosclerosis, but until now
scarce data are available considering the influence of TRX activity in familial
combined hyperlipidaemia (FCH). Since FCH is associated with high risk of
cardiovascular disease, the objective of the present study was to assess
oxidative stress status in FCH patients, and evaluate the influence of insulin
resistance (IR). MATERIALS AND METHODS: A cohort of 35 control subjects and 35
non-related FCH patients were included, all of them nondiabetic, normotensive and
nonsmokers. We measured lipid profile, glucose and insulin levels in plasma, and
markers of oxidative stress and inflammation such as oxidized glutathione (GSSG),
reduced glutathione (GSH) and TRX. RESULTS: Familial combined hyperlipidaemia
subjects showed significantly higher levels of GSSG, GSSG/GSH ratio and TRX than
controls. In addition, FCH individuals with IR showed the worst profile of
oxidative stress status compared to controls and FCH patients without IR (P <
0.01). TRX levels correlated with higher insulin resistance. CONCLUSION: Familial
combined hyperlipidaemia patients showed increased TRX levels. TRX was positively
correlated with IR. These data could partially explain the increased risk of
cardiovascular events in primary dyslipidemic patients.
PMID- 27208735
TI - Rooting depth and root depth distribution of Trifolium repens * T. uniflorum
interspecific hybrids.
AB - BACKGROUND AND AIMS: Traits related to root depth distribution were examined in
Trifolium repens * T. uniflorum backcross 1 (BC1) hybrids to determine whether
root characteristics of white clover could be improved by interspecific
hybridization. METHODS: Two white clover cultivars, two T. uniflorum accessions
and two BC1 populations were grown in 1 -m deep tubes of sand culture. Maximum
rooting depth and root mass distribution were measured at four harvests over
time, and root distribution data were fitted with a regression model to provide
measures of root system shape. Morphological traits were measured at two depths
at harvest 3. KEY RESULTS: Root system shape of the hybrids was more similar to
T. uniflorum than to white clover. The hybrids and T. uniflorum had a higher rate
of decrease in root mass with depth than white clover, which would result in
higher proportions of root mass in the upper profile. Percentage total root mass
at 100-200 mm depth was higher for T. uniflorum than white clover, and for
Crusader BC1 than 'Crusader'. Roots of the hybrids and T. uniflorum also
penetrated deeper than those of white clover. T. uniflorum had thicker roots at
50-100 mm deep than the other entries, and more of its fine root mass at 400-500
mm. The hybrids and white clover had more of their fine root mass higher in the
profile. Consequently, T. uniflorum had a higher root length density at 400-500
mm than most entries, and a smaller decrease in root length density with depth.
CONCLUSIONS: These results demonstrate that rooting characteristics of white
clover can be altered by hybridization with T. uniflorum, potentially improving
water and nutrient acquisition and drought resistance. Root traits of T.
uniflorum are likely to be adaptations to soil moisture and fertility in its
natural environment.
PMID- 27208734
TI - Arbuscular mycorrhizal growth responses are fungal specific but do not differ
between soybean genotypes with different phosphate efficiency.
AB - BACKGROUND AND AIMS: Arbuscular mycorrhizal (AM) fungi play a key role in the
phosphate (P) uptake of many important crop species, but the mechanisms that
control their efficiency and their contribution to the P nutrition of the host
plant are only poorly understood. METHODS: The P uptake and growth potential of
two soybean genotypes that differ in their root architectural traits and P
acquisition efficiency were studied after colonization with different AM fungi
and the transcript levels of plant P transporters involved in the plant or
mycorrhizal P uptake pathway were examined. KEY RESULTS: The mycorrhizal growth
responses of both soybean genotypes ranged from highly beneficial to detrimental,
and were dependent on the P supply conditions, and the fungal species involved.
Only the colonization with Rhizophagus irregularis increased the growth and P
uptake of both soybean genotypes. The expression of GmPT4 was downregulated,
while the mycorrhiza-inducible P transporter GmPT10 was upregulated by
colonization with R. irregularis Colonization with both fungi also led to higher
transcript levels of the mycorrhiza-inducible P transporter GmPT9, but only in
plants colonized with R. irregularis were the higher transcript levels correlated
to a better P supply. CONCLUSIONS: The results suggest that AM fungi can also
significantly contribute to the P uptake and growth potential of genotypes with a
higher P acquisition efficiency, but that mycorrhizal P benefits depend strongly
on the P supply conditions and the fungal species involved.
PMID- 27208736
TI - Lipid accumulation from pinewood pyrolysates by Rhodosporidium diobovatum and
Chlorella vulgaris for biodiesel production.
AB - This study evaluated the suitability of pinewood pyrolysates as a carbon source
for lipid production and cultivation of the oleaginous yeast Rhodosporidium
diobovatum and the microalgae Chlorella vulgaris. Thermal decomposition of
pinewood and fractional condensation were used to obtain an oil rich in
levoglucosan which was upgraded to glucose by acid hydrolysis. Blending of
pyrolytic sugars with pure glucose in both nitrogen rich and nitrogen limited
conditions was studied for R. diobovatum, and under nitrogen limited conditions
for C. vulgaris. Glucose consumption rate decreased with increasing proportions
of pyrolytic sugars increasing cultivation time. While R. diobovatum was capable
of growth in 100% (v/v) pyrolytic sugars, C. vulgaris growth declined rapidly in
blends greater than 20% (v/v) until no growth was detected in blends >40%.
Finally, the effects of pyrolysis sugars on lipid composition was evaluated and
biodiesel fuel properties were estimated based on the lipid profiles.
PMID- 27208737
TI - Enhanced biohydrogen and subsequent biomethane production from sugarcane bagasse
using nano-titanium dioxide pretreatment.
AB - Nano-titanium dioxide (nanoTiO2) under ultraviolet irradiation (UV) followed by
dilute sulfuric acid hydrolysis of sugarcane bagasse was used to enhance the
production of biohydrogen and biomethane in a consecutive dark fermentation and
anaerobic digestion. Different concentrations of 0.001, 0.01, 0.1 and 1g
nanoTiO2/L under different UV times of 30, 60, 90 and 120min were used. Sulfuric
acid (2%v/v) at 121 degrees C was used for 15, 30 and 60min to hydrolyze the
pretreated bagasse. For acidic hydrolysis times of 15, 30 and 60min, the highest
total free sugar values were enhanced by 260%, 107%, and 189%, respectively,
compared to samples without nanoTiO2 pretreatment. The highest hydrogen
production samples for the same acidic hydrolysis times showed 88%, 127%, and 25%
enhancement. The maximum hydrogen production of 101.5ml/g VS (volatile solids)
was obtained at 1g nanoTiO2/L and 120min UV irradiation followed by 30min acid
hydrolysis.
PMID- 27208738
TI - Hydrolysis kinetics of tulip tree xylan in hot compressed water.
AB - Lignocellulosic biomass, a promising renewable resource, can be converted into
numerous valuable chemicals post enzymatic saccharification. However, the
efficacy of enzymatic saccharification of lignocellulosic biomass is low;
therefore, pretreatment is necessary to improve the efficiency. Here, a kinetic
analysis was carried out on xylan hydrolysis, after hot compressed water
pretreatment of the lignocellulosic biomass conducted at 180-220 degrees C for 5
30min, and on subsequent xylooligosaccharide hydrolysis. The weight ratio of fast
reacting xylan to slow-reacting xylan was 5.25 in tulip tree. Our kinetic results
were applied to three different reaction systems to improve the pretreatment
efficiency. We found that semi-continuous reactor is promising. Lower reaction
temperatures and shorter space times in semi-continuous reactor are recommended
for improving xylan conversion and xylooligosaccharide yield. In the theoretical
calculation, 95% of xylooligosaccharide yield and xylan conversion were achieved
simultaneously with high selectivity (desired product/undesired product) of 100
or more.
PMID- 27208739
TI - Enhanced energy recovery from cassava ethanol wastewater through sequential dark
hydrogen, photo hydrogen and methane fermentation combined with ammonium removal.
AB - Cassava ethanol wastewater (CEW) was subjected to sequential dark H2, photo H2
and CH4 fermentation to maximize H2 production and energy yield. A relatively low
H2 yield of 23.6mL/g soluble chemical oxygen demand (CODs) was obtained in dark
fermentation. To eliminate the inhibition of excessive NH4(+) on sequential photo
fermentation, zeolite was used to remove NH4(+) in residual dark solution (86.5%
removal efficiency). The treated solution from 5gCODs/L of CEW achieved the
highest photo H2 yield of 369.7mL/gCODs, while the solution from 20gCODs/L gave
the lowest yield of 259.6mL/gCODs. This can be explained that photo H2 yield was
correlated to soluble metabolic products (SMPs) yield in dark fermentation, and
specific SMPs yield decreased from 38.0 to 18.1mM/g CODs. The total energy yield
significantly increased to 8.39kJ/gCODs by combining methanogenesis with a CH4
yield of 117.9mL/gCODs.
PMID- 27208740
TI - Enhanced enzymatic saccharification of sugarcane bagasse pretreated by combining
O2 and NaOH.
AB - Sugarcane bagasse pretreated by combining O2 and NaOH with different variables
was conducted to improve its enzymatic digestibility and sugar recovery, and the
results were compared with sole NaOH pretreatment. Lignin removal for O2-NaOH
pretreatment was around 10% higher than that for sole NaOH pretreatment under the
same conditions, and O2-NaOH pretreatment resulted in higher glucan recovery in
the solid remain. Subsequently, O2-NaOH pretreated sugarcane bagasse presented
more efficient enzymatic digestibility than sole NaOH pretreatment. Under the
moderate pretreatment conditions of combining 1% NaOH and 0.5MPa O2 at 80 degrees
C for 120min, a high glucan conversion of 95% was achieved after 48h enzymatic
hydrolysis. Coupled with the operations of pretreatment and enzymatic hydrolysis,
an admirable total sugar recovery of 89% (glucose recovery of 93% and xylose
recovery of 84%) was obtained. The susceptibility of the substrates to enzymatic
digestibility was explained by their physical and chemical characteristics.
PMID- 27208741
TI - Lipopolysaccharide supports maintaining the stemness of CD133(+) hepatoma cells
through activation of the NF-kappaB/HIF-1alpha pathway.
AB - Due to the existence of cancer stem cells (CSCs), persistence and relapse of
human hepatocellular carcinoma (HCC) are common after treatment with existing
anti-cancer therapies. Emerging evidence indicates that lipopolysaccharide (LPS)
plays a crucial role in aggravating HCC, but information about the effect of LPS
on CSCs of HCC remains scant. Here, we report that the stemness of CD133(+) CSCs
sorted from the human HCC cell line Huh7 was maintained well when cells were
cultured with LPS. The reduction of CD133 expression was much lesser in cultured
CSCs in the presence of LPS. In response to LPS stimulation, CSCs showed an
increase in their activity of clonogenesis and tumorigenesis. LPS also supported
maintaining CSC abilities of migration, invasion, and chemo-resistance. Treatment
with HIF-1alpha-specific siRNA significantly reduced CD133 expression by CSCs at
both mRNA and protein levels. Further, the expression of HIF-1alpha and CD133 was
reduced in LPS-stimulated CSCs when the NF-kappaB inhibitor was added to the cell
culture. HIF-1alpha-specific siRNA also effectively counteracted the effect of
LPS on maintaining CSC abilities of migration and invasion. These data indicate
that LPS, an important mediator in the liver tumor microenvironment, supports the
maintenance of CSC stemness through signaling of the NF-kappaB/HIF-1alpha
pathway. Our current study highlights LPS as a potential target for developing
new therapeutic approaches to eliminate CSCs during the treatment of HCC.
PMID- 27208742
TI - End Binding 1 (EB1) overexpression in oral lesions and cancer: A biomarker of
tumor progression and poor prognosis.
AB - INTRODUCTION: Oral squamous cell carcinoma (OSCC) patients are at high risk of
loco-regional recurrence and despite the improvement in treatment strategy, 5
year survival rates are about 50%. Identification of patients at high risk of
recurrence may enable rigorous personalized post-treatment management. In an
earlier proteomics study we observed overexpression of End Binding Protein (EB1)
in OSCC. In the present study we investigated the diagnostic and prognostic
significance of alterations in expression of EB1 in oral cancer. METHODS: In this
retrospective study, the expression of EB1 protein was evaluated in 259 OSCCs, 41
dysplasia, 166 hyperplasia and 126 normal tissues using immunohistochemistry and
correlated with clinical-pathological parameters and prognosis of OSCC patients
over a follow-up period of up to 91months. RESULTS: Significantly higher
expression of cytoplasmic EB1 was observed in hyperplasia [p<0.001, OR=7.2, 95%
CI=4.1-12.8], dysplasia (p<0.001, OR=21.8, CI=8.8-50.2) and OSCCs (p<0.001,
OR=10.1, CI=5.8-17.4) in comparison with normal mucosa. Univariate analysis
revealed cytoplasmic EB1 association with tumor grade, tumor size and recurrence
of the disease. Kaplan Meier survival analysis of EB1 expression showed
significantly reduced disease free survival (DFS) (p=0.003). Notably, OSCC
patients showing cytoplasmic EB1 overexpression demonstrated significantly
reduced DFS (p=0.004, HR=2.1). CONCLUSION: EB1 overexpression is an early event
in oral tumorigenesis and cytoplasmic EB1 accumulation is associated with poor
prognosis and tumor recurrence in OSCC patients.
PMID- 27208743
TI - Association between Von Willebrand factor, disintegrin and metalloproteinase with
thrombospondin type 1 motif member 13, d-Dimer and cystatin C levels with
retinopathy in type 1 diabetes mellitus.
AB - BACKGROUND: We evaluated the association between plasma levels of VWF, ADAMTS13
and d-Dimer, which consist on endothelial dysfunction and hypercoagulability
biomarkers, and cystatin C with retinopathy in type 1 diabetic patients. METHODS:
Patients were classified according to presence (n=55) or absence (n=70) of
retinopathy. Plasma levels of VWF, ADAMTS13, d-Dimer and cystatin C were
evaluated by ELISA and ADAMTS13 activity was evaluated by FRET. RESULTS: Plasma
levels of VWF (p=0.033), ADAMTS13 activity (p=0.014), d-Dimer (p=0.002) and
cystatin C (p<0.001) were elevated in diabetic patients with retinopathy compared
to those without this complication. The multivariate logistic regression analysis
showed that ADAMTS13 activity (p=0.031) d-Dimer (p=0.015) and cystatin C
(p=0.001) remained associated with retinopathy after adjustment for age, diabetes
duration, use of statin, use of ACEi or angiotensin antagonist, use of
acetylsalicylic acid and glomerular filtration rate. CONCLUSION: ADAMTS13
activity, d-Dimer and cystatin C are associated with retinopathy in type 1
diabetic patients and are promising biomarkers for the diagnosis and monitoring
of diabetic retinopathy.
PMID- 27208744
TI - Antioxidant activity and potential photoprotective from amazon native flora
extracts.
AB - Plant species are sources of active compounds that can fight and/or prevent
damage caused by reactive oxygen species, which enables the development of
natural products that can help to prevent premature aging caused by exposure to
solar radiation. This study assessed the antioxidant and photoprotective
activities of six dried extracts of plants from the Brazilian Amazon biome. Plant
extracts were prepared in 70% (v/v) ethanol by dynamic maceration for 72h in the
dark, and then filtered, concentrated and lyophilized. The extracts were
subjected to a phytochemical screening. The antioxidant activity was measured
using a 2,2-diphenyl-1-picrylhydrazyl assay and the photoprotection assay was
performed using the diffuse transmittance technique. The data obtained from the
antioxidant activity assay was evaluated by Student's t-test for independent
samples, with the aid of Statistical Package for Social Sciences v.14.0 for
Windows software. The flavonoids represent a special metabolites class present in
all analyzed extracts. The antioxidant activity (MUgmL(-1)) decreased in the
following order: Aniba canelilla (1.80+/-0.16), Brosimum acutifolium (2.84+/
0.38), Dalbergia monetaria (5.46+/-0.17) or Caesalpinia pyramidalis (6.45+/
1.18), Arrabidaea chica (15.35+/-0.86), and Aspidosperma nitidum (99.14+/-2.3).
Only D. monetaria showed a considerable sun protection factor allowing for
labeling (6.0+/-0.3). The D. monetaria extract was considered the most promising
sample because it had optimal antioxidant and photoprotective activities against
solar radiation, considering the limit established by regulatory agencies. These
extracts with antioxidant potential can be used in photoprotective formulations,
providing synergistic photoprotective effect or elevating the adeed value of the
product. Additionally, these formulations are attractive to a population who
searchs for products made with natural ingredients.
PMID- 27208745
TI - Apoptosis inhibition effect of Dihydromyricetin against UVA-exposed human
keratinocyte cell line.
AB - UVA irradiation stimulates the production of reactive oxygen species (ROS), which
results in oxidative stress, cellular damage, and ultimately, cell death by
interacting with other intracellular molecules. In the present study, we explored
the protective role of Dihydromyricetin (DHM,1.25-10MUM) against UVA-induced
inflammation response and apoptosis in the human keratinocyte cell line (HaCaT
cells) and the underlying mechanisms. DHM pre-treatment significantly increased
HaCaT cell viability and suppressed UVA-induced production of inflammatory
cytokines, as well as apoptosis of HaCaT cells. Moreover, DHM pre-treatment
prohibited UVA-induced ROS generation, mitochondrial membrane potential decrease,
and the phosphorylation of histone H2AX(gamma-H2AX), a sensitive biomarker for
DNA damage. Meanwhile, DHM could enhance GSH-Px activity and decrease the content
of MDA in UVA ray treated HaCaT cells. Notably, the anti-apoptotic potential of
DHM was correlated with an increased expression of anti-apoptotic proteins (Bcl-2
and Bcl-xl) and decreased expression of pro-apoptotic proteins (Bax), as well as
the inhibition of caspase proteins activation. Additionally, DHM treatment also
prevented the nuclear translocation of NF-kappaB/p65 and the phosphorylation of c
Jun. N-terminal kinase (JNK), which is an upstream modulator of NF-kappaB/p65.
Therefore, DHM may be potentially useful in the prevention of UVA-induced skin
damage.
PMID- 27208746
TI - Photoprotective effect and acute oral systemic toxicity evaluation of the novel
heterocyclic compound LQFM048.
AB - The new heterocyclic derivative LQFM048 (3) (2,4,6-tris ((E)-ethyl 2-cyano-3-(4
hydroxy-3-methoxyphenyl)acrylate)-1,3,5-triazine) was originally designed through
the molecular hybridization strategy from Uvinul(r) T 150 (1) and (E)-ethyl 2
cyano-3-(4hydroxy-3-methoxyphenyl)acrylate (2) sunscreens, using green chemistry
approach. This compound was obtained in global yields (80%) and showed an
interesting redox potential. In addition, it is thermally stable up to
temperatures around 250 degrees C. It was observed that LQFM048 (3) showed a low
degradation after 150min of sunlight exposure at 39 degrees C, whereas the
extreme radiation conditions induced a considerable photodegradation of the
LQFM048 (3), especially when irradiated by VIS and VIS+UVA. During the
determination of sun protection factor, LQFM048 (3) showed interesting results,
specially as in association with other photoprotective compounds and commercial
sunscreen. Additionally, the compound (3) did not promote cytotoxicity for 3T3
fibroblasts. Moreover, it was not able to trigger acute oral systemic toxicity in
mice, being classified as a compound with low acute toxicity hazard
(2.000mg/kg>LD50<5.000mg/kg). Therefore, this compound synthesized using green
chemistry approach is promising showing potential to development of a new
sunscreen product with advantage of presenting redox potential, indicating
antioxidant properties.
PMID- 27208747
TI - Surfactants induced release of a red emitting dye from the nanocavity of a
molecular container: A spectroscopic and calorimetric study.
AB - Supramolecular interaction of a red emitting dye Nile blue A (NBA) with
Cucurbit[7]uril (CB7) in aqueous solution was studied and the release of the dye
from the hydrophobic cavity of CB7 was reported. To investigate the
supramolecular host-guest complex formation and release of dye, we have used the
steady state absorption, fluorescence and time resolved fluorescence emission
spectroscopy, (1)H NMR spectroscopy and isothermal titration calorimetry (ITC).
The spectral properties of NBA were changed in the presence of CB7. The change in
spectral features of NBA in presence of CB7 indicates the formation of
supramolecular host-guest complexes. By using the SED equation the diameter of
the complex was estimated. The complex formation further affirmed by the (1)H NMR
study. Upfield and downfield shifts of the protons of NBA was observed in both
the aliphatic and aromatic region. From the ITC measurement, we have drawn up the
forces involved for the complexation of NBA with CB7. We have studied the release
of NBA from the hydrophobic cavity of CB7 by using ionic, neutral surfactants and
ionic liquid with the help of spectroscopic and calorimetric techniques. It is
observed that on addition of SDS and ionic liquid (90% reduction in SRS in long-term during the six month period when
compared to the vehicle-treated control group (257+/-113 versus 19+/-10
episodes). Immunohistochemistry (IHC) of brain sections at seven days and six
months revealed a significant reduction in; reactive astrogliosis and
microgliosis (M1 type), extravascular serum albumin (a marker for BBB leakage)
and neurodegeneration in the hippocampus, amygdala and entorhinal cortex in the
1400W-treated rats when compared to the vehicle control. In the seven day group,
hippocampal Western blots revealed downregulation of inwardly-rectifying
potassium (Kir 4.1) channels and glutamate transporter-1 (GLT-1) levels in the
vehicle group, and 1400W treatment partially reversed Kir 4.1 levels, however,
GLT-1 levels were unaffected. In the six month group, a significant reduction in
mossy fiber staining intensity in the inner molecular layer of the dentate gyrus
was observed in the 1400W-treated group. Overall these findings demonstrate that
1400W, by reducing the epileptiform spike rate during the first three days of
post-insult, potentially modifies epileptogenesis and the severity of chronic
epilepsy in the rat kainate model of TLE.
PMID- 27208749
TI - Expression of Shiga toxin 2 (Stx2) in highly virulent Stx-producing Escherichia
coli (STEC) carrying different anti-terminator (q) genes.
AB - Shiga toxins (Stx) are key virulence factors of Shiga toxin-producing Escherichia
coli (STEC) during development of haemolytic uremic syndrome (HUS). It has been
suggested that not only specific stx2 subtypes, but also the amount of Stx2
expressed might be essential for STEC pathogenicity. We aimed to investigate if
various anti-terminator (q) genes might influence the expression level of Stx2 in
highly virulent STEC. A multiplex PCR detecting q933, q21, and qO111 was run on
20 stx2a-positive STEC strains, of which 18 were HUS associated serotypes (HAS)
and two non-HAS. Relative expression of Stx2 mRNA was assessed for all strains,
both in non-induced and induced (mitomycin C) state. The HAS STEC carried either
q933 (n = 8), qO111 (n = 8), or both (n = 2). In basal state, no STEC strains
showed higher expression of Stx2 mRNA than the calibrator EDL933 (non-sorbitol
fermenting (NSF) O157:H7carrying q933). Variations among strains were not
associated with different q genes present, but rather related to specific
serogroups. In induced state, O104:H4 strains (q933) showed higher Stx2 mRNA
level than EDL933, whereas sorbitol fermenting (SF) O157:H- (qO111) and O121:H?
(q933) STEC showed levels comparable with EDL933. An association between the
presence of q933 and higher Stx2 level was seen within some HAS, but not all.
Interestingly, the O103:H25 STEC strains, responsible for a HUS outbreak in
Norway, carried both q933 and qO111. However, the Stx2 mRNA level in these
strains was significantly lower than EDL933 in both states, indicating that other
factors than the level of Stx2 might explain the aggressiveness of these
bacteria. The two non-HAS STEC did not carry any of the examined q genes. In
induced state, these bacteria showed the lowest Stx2 mRNA level compared to
EDL933. One of the non-HAS STEC was not induced by mitomycin C, suggesting that
stx2a might be located on a defect bacteriophage. No association between specific
q genes and Stx2 mRNA expression level was revealed in stx2a-positive HAS STEC.
Our results suggest that other factor(s) than specific q genes might influence
the level of Stx2 produced in highly virulent STEC.
PMID- 27208750
TI - Intracellular translocation and localization of Edwardsiella tarda type III
secretion system effector EseG in host cells.
AB - Edwardsiella tarda, an important fish pathogenic bacterium, could utilize type
III secretion system (T3SS) to transfer multiple effector proteins into host
cells during infection. EseG was identified to be an E. tarda T3SS effector,
which could be injected by T3SS into non-phagocytic cells. Since E. tarda is a
facultative intracellular pathogen that resides and replicates in macrophage, it
is interesting to expand our knowledge about EseG translocation and localization
within phagocytic cells. Here utilizing murine macrophage cell line J774A.1 as
the cell model, we demonstrated that EseG could be transported into J774A.1 via
T3SS only after E. tarda was internalized into macrophage cells, indicating that
extracellular E. tarda could not inject EseG into host cells. Subcellular
fractionation analysis gave the evidence that EseG was specifically localized in
the membrane fraction of infected host cells. Furthermore, immunofluorescence
detection indicated that EseG specifically targeted the E. tarda-containing
vacuoles (ECVs) within macrophage cells. Finally the unique features for EseG
were also confirmed in non-phagocytic cells. In summarize, this work illuminates
internalization-depending translocation and ECV-targeting localization of E.
tarda T3SS effector in both non-phagocytic and phagocytic cells, which might be
important to interpret the interaction of EseG with host cells upon infection.
PMID- 27208751
TI - Chlamydia felis: Lack of association between clinical signs and the presence of
the cryptic plasmid.
AB - Chlamydia felis is an obligate intracellular bacterial pathogen that infects
cats, causing severe conjunctivitis associated with upper respiratory tract
disease (URTD). In the present study, 186 cats from three non-commercial
catteries in Sao Paulo, SP, Brazil were evaluated. The detection of Chlamydia
felis was performed by PCR. The clinical severity was scored from 1 to 4, with a
score of 4 as the most severe manifestation. The total occurrence of C. felis was
of 18.82% (35/186) of cats overall, but notably, 58.06% (18/31) of infected cats
originated from a single cattery. All animals harboring C. felis had URTD
clinical signs and higher scores (3 and 4). In addition, C. felis occurrence was
associated with the presence of cryptic plasmid. However, the virulence and
clinical severity were not correlated.
PMID- 27208752
TI - Stability of cytokines, chemokines and soluble activation markers in unprocessed
blood stored under different conditions.
AB - BACKGROUND: Biomarkers such as cytokines, chemokines, and soluble activation
markers can be unstable when processing of blood is delayed. The stability of
various biomarkers in serum and plasma was investigated when unprocessed blood
samples were stored for up to 24h at room and refrigerator temperature. METHODS:
Blood was collected from 16 healthy volunteers. Unprocessed serum, EDTA and
heparinized blood was stored at room (20-25 degrees C) and refrigerator
temperature (4-8 degrees C) for 0.5, 2, 4, 6, 8, and 24h after collection before
centrifugation and separation of serum and plasma. Samples were batch tested for
various biomarkers using commercially available immunoassays. Statistically
significant changes were determined using the generalized estimating equation.
RESULTS: IFN-gamma, sIL-2Ralpha, sTNF-RII and beta2-microglobulin were stable in
unprocessed serum, EDTA and heparinized blood samples stored at either room or
refrigerator temperature for up to 24h. IL-6, TNF-alpha, MIP-1beta and RANTES
were unstable in heparinized blood at room temperature; TNF-alpha, and MIP-1beta
were unstable in unprocessed serum at room temperature; IL-12 was unstable in
unprocessed serum at refrigerator temperature; and neopterin was unstable in
unprocessed EDTA blood at room temperature. IL-1ra was stable only in unprocessed
serum at room temperature. CONCLUSION: All the biomarkers studied, with the
exception of IL-1ra, were stable in unprocessed EDTA blood stored at refrigerator
temperature for 24h. This indicates that blood for these biomarkers should be
collected in EDTA and if delays in processing are anticipated the unseparated
blood should be stored at refrigerator temperature until processing.
PMID- 27208753
TI - Valorization of bakery waste for biocolorant and enzyme production by Monascus
purpureus.
AB - A concept of utilizing bakery waste as a nutrient source for the fermentative
production of bio-colorant by Monasucus purpureus has been developed. The
proposed ideas provide an innovative approach to establish a system or method to
reduce the bakery waste problem encountered by Hong Kong or other countries.
Bakery waste collected from bakery store was used in submerged and solid-state
fermentation of Monascus purpureus to produce bio-colorant, which could
potentially be applied in food and textile industries. The feasibility of
utilizing bakery waste hydrolysate deriving from hydrolytic reactions by
Aspergillus awamori and Aspergillus oryzae for fermentative pigment production
was investigated. Preliminary data from bakery waste hydrolysate experiment
presented that the highest pigment yield (about 24AU/g glucose) was obtained with
bakery waste hydrolysate containing 5g/L initial glucose. Results from the solid
state fermentation studies presented that the highest activity of glucoamylase
and protease achieved was 8U/g and 117U/g respectively, at an initial moisture
content of 55% and 65% respectively at 30 degrees C incubation temperature. The
outcome from this study demonstrated that Monascus purpureus constitutes a
promising host for bio-colorant and enzyme production using recovered sugars and
amino acids from bakery waste.
PMID- 27208754
TI - Fermentation scale up for alpha-arbutin production by Xanthomonas BT-112.
AB - alpha-Arbutin is a glycosylated hydroquinone that has an inhibitory function
against tyrosinase. The aim of the present study is to develop an efficient and
inexpensive method for large-scale production of alpha-arbutin by using
Xanthomonas BT-112 as biocatalyst. To accomplish this goal, various surfactants
were tested to enhance the alpha-arbutin production, and the optimal operational
conditions for 30L jar fermenter were scaled up for a production level of 3000L
with using a constant volumetric oxygen transfer coefficient (KLa) and the
volumetric aeration rate per volume unit (Q/V) as scale-up criteria. Under the
optimized conditions, the alpha-arbutin produced in the presence of 0.4% (w/v)
Tween-80 was 124.8% higher than that of the control, and the yield of alpha
arbutin in 3000L fermenter was 38.2g/L with a molar conversion ratio of 93.7%
based on the amount of hydroquinone supplied. This result is comparable to the
results from laboratory-scale fermenter. Hence, 100-fold scale-up was
successfully achieved.
PMID- 27208755
TI - Remediation of antimony-rich mine waters: Assessment of antimony removal and
shifts in the microbial community of an onsite field-scale bioreactor.
AB - An on-site field-scale bioreactor for passive treatment of antimony (Sb)
contamination was installed downstream of an active Sb mine in Southwest China,
and operated for one year (including a six month monitoring period). This
bioreactor consisted of five treatment units, including one pre-aerobic cell, two
aerobic cells, and two microaerobic cells. With the aerobic cells inoculated with
indigenous mine water microflora, the bioreactor removed more than 90% of total
soluble Sb and 80% of soluble antimonite (Sb(III)). An increase in pH and
decrease of oxidation-reduction potential (Eh) was also observed along the flow
direction. High-throughput sequencing of the small subunit ribosomal RNA (SSU
rRNA) gene variable (V4) region revealed that taxonomically diverse microbial
communities developed in the bioreactor. Metal (loid)-oxidizing bacteria
including Ferrovum, Thiomonas, Gallionella, and Leptospirillum, were highly
enriched in the bioreactor cells where the highest total Sb and Sb(III) removal
occurred. Canonical correspondence analysis (CCA) indicated that a suite of in
situ physicochemical parameters including pH and Eh were substantially correlated
with the overall microbial communities. Based on an UPGMA (Unweighted Pair Group
Method with Arithmetic Mean) tree and PCoA (Principal Coordinates Analysis), the
microbial composition of each cell was distinct, indicating these in situ
physicochemical parameters had an effect in shaping the indigenous microbial
communities. Overall, this study was the first to employ a field-scale bioreactor
to treat Sb-rich mine water onsite and, moreover, the findings suggest the
feasibility of the bioreactor in removing elevated Sb from mine waters.
PMID- 27208756
TI - If you see one, have you seen them all?: Community-wide effects of insecticide
cross-resistance in zooplankton populations near and far from agriculture.
AB - The worldwide use of pesticides has led to increases in agricultural yields by
reducing crop losses. However, increased pesticide use has resulted in pesticide
resistant pest species and recent studies have discovered pesticide-resistance in
non-target species living close to farms. Such increased tolerance not only
affects the species, but can alter the entire food web. Given that some species
can evolve not only resistance to a single pesticide, but also cross-resistance
to other pesticides that share the same mode of action, one would predict that
cross-resistance to pesticides would also have effects on the entire community
and affect community stability. To address this hypothesis, we conducted an
outdoor mesocosm experiment comprised of 200 identical aquatic communities with
phytoplankton, periphyton, and leopard frog (Lithobates pipiens) tadpoles. To
these communities, we added one of four Daphnia pulex populations that we
previously discovered were either resistant or sensitive to the insecticide of
chlorpyrifos as a result of living close to or far from agriculture,
respectively. We then exposed the communities to either no insecticide or three
different concentrations of AChE-inhibiting insecticides (chlorpyrifos, malathion
or carbaryl) or sodium channel-inhibiting insecticides (permethrin or
cypermethrin). We discovered that communities containing sensitive Daphnia pulex
experienced phytoplankton blooms and subsequent cascades through all trophic
groups including amphibians at moderate to high concentrations of all five
insecticides. However, communities containing resistant D. pulex were buffered
from these effects at low to moderate concentrations of all AChE-inhibiting
insecticides, but were not buffered against the pyrethroid insecticides. These
data suggest that a simple change in the population-level resistance of
zooplankton to a single insecticide can have widespread consequences for
community stability and that the effects can be extrapolated to a wide variety of
pesticides that share the same mode of action.
PMID- 27208758
TI - Both visual and fluorescent sensors for Zn(2+) based on bis(pyrrol-2-yl
methyleneamine) platform.
AB - Two bis(pyrrol-2-yl-methyleneamine) chemo-sensors, 1, 3- and 1, 4-bis[3,4
dimethyl-5-ethyloxy -carbonyl-pyrrol-2-yl-methyleneamine]benzene (H2L(1) and
H2L(2), respectively) have been synthesized and characterized, which exhibit high
selectivity as off-on fluorescence sensors toward Zn(2+) in CH3CN/H2O (9:1, v/v)
solution. The detection limits of both sensors are at the parts per million
level. Moreover, the probes H2L(1) and H2L(2) could sense Zn(2+) by "naked eye"
with a color change from colorless to yellow, and from yellow to dark yellow,
respectively. To test the practical use of the probes, the determination of
Zn(2+) in real water samples was also evaluated.
PMID- 27208757
TI - Manganese concentrations in drinking water from villages near banana plantations
with aerial mancozeb spraying in Costa Rica: Results from the Infants'
Environmental Health Study (ISA).
AB - Elevated manganese (Mn) in drinking water has been reported worldwide. While,
naturally occurring Mn in groundwater is generally the major source,
anthropogenic contamination by Mn-containing fungicides such as mancozeb may also
occur. The main objective of this study was to examine factors associated with Mn
and ethylenethiourea (ETU), a degradation product of mancozeb, in drinking water
samples from villages situated near banana plantations with aerial spraying of
mancozeb. Drinking water samples (n = 126) were obtained from 124 homes of women
participating in the Infants' Environmental Health Study (ISA, for its acronym in
Spanish), living nearby large-scale banana plantations. Concentrations of Mn,
iron (Fe), arsenic (As), lead (Pb), cadmium (Cd) and ethylenethiourea (ETU), a
degradation product of mancozeb, were measured in water samples. Only six percent
of samples had detectable ETU concentrations (limit of detection (LOD) = 0.15
MUg/L), whereas 94% of the samples had detectable Mn (LOD = 0.05 MUg/L). Mn
concentrations were higher than 100 and 500 MUg/L in 22% and 7% of the samples,
respectively. Mn was highest in samples from private and banana farm wells.
Distance from a banana plantation was inversely associated with Mn
concentrations, with a 61.5% decrease (95% CI: -97.0, -26.0) in Mn concentrations
for each km increase in distance. Mn concentrations in water transported with
trucks from one village to another were almost 1000 times higher than Mn in water
obtained from taps in houses supplied by the same well but not transported,
indicating environmental Mn contamination. Elevated Mn in drinking water may be
partly explained by aerial spraying of mancozeb; however, naturally occurring Mn
in groundwater, and intensive agriculture may also contribute. Drinking water
risk assessment for mancozeb should consider Mn as a health hazard. The findings
of this study evidence the need for health-based World Health Organization (WHO)
guidelines on Mn in drinking water.
PMID- 27208759
TI - Graphene oxide supported copper oxide nanoneedles: An efficient hybrid material
for removal of toxic azo dyes.
AB - Herein, we report a simple, one step synthesis of hybrid copper oxide nanoneedles
on graphene oxide sheets (GO-CuONNs) through sonochemical method. The present
method affords a facile mean for controlling effective concentration of the
active CuO nanoneedles on the graphene oxide sheets, and also offers the
necessary stability to the resulting GO-CuONNs structure for adsorption
transformations.Furthermore, this hybrid GO-CuONNs is successfully employed in
the removal of a series of hazardous ionic organic dyes namely coomassie
brilliant blue, methylene blue, congo red and amidoblack 10B. Through careful
investigation of the material, we found that the synergetic effect between CuONNs
and GO play a significant role in the adsorption of all the dyes studied. The
prepared hybrid material contains both hydrophobic and hydrophilic environment
which is expected to enhance the electrostatic interaction between the adsorbent
and the dye molecules, consequently favouring the adsorption process.
PMID- 27208760
TI - Synthesis, crystal structure and spectroscopic studies of bismuth(III) complex
with 2-substituted benzimidazole ligands.
AB - Reaction of BiCl3 with 2-(2-hydroxy-3-methoxyphenyl)benzimidazole (HL) in
tetrahydrofuran (THF) under reflux gave mononuclear complex of formula
[Bi(HL)2Cl3.H2O]. The binding interaction of the complex with bovine serum
albumin (BSA) was investigated using the fluorescence quenching method. The
experimental results showed that the complex could bind to BSA in the proportion
of about 1:1. The binding reaction was spontaneous and hydrophobic force played
major role in the reaction. The binding of the complex to BSA could change the
microenvironment and conformation of BSA.
PMID- 27208762
TI - The Changing Role of the Clinical Microbiology Laboratory in Defining Resistance
in Gram-negatives.
AB - The evolution of resistance in Gram-negatives has challenged the clinical
microbiology laboratory to implement new methods for their detection. Multidrug
resistant strains present major challenges to conventional and new detection
methods. More rapid pathogen identification and antimicrobial susceptibility
testing have been developed for use directly on specimens, including fluorescence
in situ hybridization tests, automated polymerase chain reaction systems,
microarrays, mass spectroscopy, next-generation sequencing, and microfluidics.
Review of these methods shows the advances that have been made in rapid detection
of resistance in cultures, but limited progress in direct detection from
specimens.
PMID- 27208761
TI - Overview: Global and Local Impact of Antibiotic Resistance.
AB - The rapid and ongoing spread of antibiotic resistance poses a serious threat to
global public health. The indiscriminant use of antibiotics in agriculture and
human medicine along with increasingly connected societies has fueled the
distribution of antibiotic-resistant bacteria. These factors together have led to
rising numbers of infections caused by multidrug-resistant and pan-resistant
bacteria, with increases in morbidity and mortality. This article summarizes the
trends in antibiotic resistance, discusses the impact of antibiotic resistance on
society, and reviews the use of antibiotics in agriculture. Feasible ways to
tackle antibiotic resistance to avert a post-antibiotic era are suggested.
PMID- 27208763
TI - The Continuing Plague of Extended-spectrum beta-lactamase-producing
Enterobacteriaceae Infections.
AB - Antimicrobial resistance is a common iatrogenic complication of modern life and
medical care. One of the most demonstrative examples is the exponential increase
in the incidence of extended-spectrum beta-lactamases (ESBLs) production among
Enterobacteriaceae, which is the most common human pathogens outside of the
hospital settings. Infections resulting from ESBL-producing bacteria are
associated with devastating outcomes, now affecting even previously healthy
individuals. This development poses an enormous burden and threat to public
health. This paper aims to narrate the evolving epidemiology of ESBL infections,
and highlight current challenges in terms of management and prevention of these
common infections.
PMID- 27208765
TI - Agents of Last Resort: Polymyxin Resistance.
AB - Polymyxin resistance is a major public health threat, as the polymyxins represent
"last-line" therapeutics for Gram-negative pathogens resistant to essentially all
other antibiotics. Improved understanding of mechanisms of, and risk factors for,
polymyxin resistance, as well as infection prevention and stewardship strategies,
together with optimization of dosing of polymyxins including in combination
regimens, can help to limit the emergence and dissemination of polymyxin
resistance.
PMID- 27208764
TI - Multidrug-Resistant Bacteria in the Community: Trends and Lessons Learned.
AB - Multidrug resistant (MDR) bacteria are one of the most important threats to
public health. Typically, MDR bacteria are associated with nosocomial infections.
However, some MDR bacteria have become prevalent causes of community-acquired
infections. The spread of MDR bacteria into the community is a crucial
development, and is associated with increased morbidity, mortality, health care
costs, and antibiotic use. Factors associated with community dissemination of MDR
bacteria overlap but are distinct from those associated with nosocomial spread.
Prevention of further community spread of MDR bacteria is of the utmost
importance, and requires a multidisciplinary approach involving all stakeholders.
PMID- 27208766
TI - Vancomycin-Resistant Enterococci: Therapeutic Challenges in the 21st Century.
AB - Vancomycin-resistant enterococci are serious health threats due in part to their
ability to persist in rugged environments and their propensity to acquire
antibiotic resistance determinants. Enterococci have now established a home in
our hospitals and possess mechanisms to defeat most currently available
antimicrobials. This article reviews the history of the struggle with this
pathogen, what is known about the traits associated with its rise in the modern
medical environment, and the current understanding of therapeutic approaches in
severe infections caused by these microorganisms. As the 21st century progresses,
vancomycin-resistant enterococci continue to pose a daunting clinical challenge.
PMID- 27208768
TI - Antibiotic-Resistant Infections and Treatment Challenges in the Immunocompromised
Host.
AB - This article reviews antibiotic resistance and treatment of bacterial infections
in the growing number of patients who are immunocompromised: solid organ
transplant recipients, the neutropenic host, and persons with human
immunodeficiency virus and AIDS. Specific mechanisms of resistance in both gram
negative and gram-positive bacteria, as well as newer treatment options are
addressed elsewhere, and are only briefly discussed in the context of the
immunocompromised host.
PMID- 27208767
TI - New beta-Lactamase Inhibitors in the Clinic.
AB - Given the serious medical burden of beta-lactamases, many approaches are being
used identify candidate agents for beta-lactamase inhibition. Here, we review two
beta-lactam-beta-lactamase inhibitor (BL-BLI) combinations, ceftolozane
tazobactam and ceftazidime-avibactam that recently entered the clinic. In
addition, we focus on BL-BLI combinations in preclinical development that have
demonstrated activity in clinical isolates via susceptibility testing and/or in
in vivo models of infection. We highlight only the BLIs that are able to reduce
the Clinical Laboratory Standards Institute (CLSI) breakpoints for the BL partner
into the susceptible range. Our analysis includes the primary literature, meeting
abstracts, as well as the patent literature.
PMID- 27208769
TI - Bacteremia due to Methicillin-Resistant Staphylococcus aureus: New Therapeutic
Approaches.
AB - This article reviews recent clinical evidence for the treatment of methicillin
resistant Staphylococcus aureus (MRSA) bacteremia. Vancomycin remains the initial
antibiotic of choice for the treatment of patients with MRSA bacteremia and
endocarditis due to isolates with vancomycin minimum inhibitory concentration <=2
MUg/mL, whereas daptomycin is an effective alternative, and ceftaroline seems
promising. Treatment options for persistent MRSA bacteremia or bacteremia due to
vancomycin-intermediate or vancomycin-resistant strains include daptomycin,
ceftaroline, and combination therapies. There is a critical need for high-level
evidence from clinical trials to allow optimally informed decisions in the
treatment of MRSA bacteremia and endocarditis.
PMID- 27208770
TI - Drug-Resistant Tuberculosis: Challenges and Progress.
AB - Antimicrobial resistance is a natural evolutionary process, which in the case of
Mycobacterium tuberculosis is based on spontaneous chromosomal mutations, meaning
that well-designed combination drug regimens provided under supervised therapy
will prevent the emergence of drug-resistant strains. Unfortunately, limited
resources, poverty, and neglect have led to the emergence of drug-resistant
tuberculosis throughout the world. The international community has responded with
financial and scientific support, leading to new rapid diagnostics, new drugs and
regimens in advanced clinical development, and an increasingly sophisticated
understanding of resistance mechanisms and their application to all aspects of TB
control and treatment.
PMID- 27208772
TI - The Evolving Role of Antimicrobial Stewardship in Management of Multidrug
Resistant Infections.
AB - This article summarizes the current literature describing how antimicrobial
stewardship interventions impact antimicrobial resistance. Discussion includes
why we need stewardship, how to collaborate with team members, and the evidence
of stewardship's impact on resistance.
PMID- 27208773
TI - Antibiotic Resistance in the Twenty-First Century: Current Concepts and Future
Directions.
PMID- 27208774
TI - Comprehensive insights into microcystin-LR effects on hepatic lipid metabolism
using cross-omics technologies.
AB - Microcystin-LR (MC-LR) can induce hepatic tissue damages and molecular
toxicities, but its effects on lipid metabolism remain unknown. This study
investigated the effects of MC-LR exposure on mice lipid metabolism and uncovered
the underlying mechanism through metabonomic, transcriptomic and metagenomic
analyses after administration of mice with MC-LR by gavage for 28 d. Increased
liver weight and abdominal fat weight, and evident hepatic lipid vacuoles
accumulation were observed in the mice fed with 0.2mg/kg/d MC-LR. Serum nuclear
magnetic resonance analysis showed that MC-LR treatment altered the levels of
serum metabolites including triglyceride, unsaturated fatty acid (UFA) and very
low density lipoprotein. Digital Gene Expression technology was used to reveal
differential expression of hepatic transcriptomes, demonstrating that MC-LR
treatment disturbed hepatic UFA biosynthesis and activated peroxisome
proliferator-activated receptor (PPAR) signaling pathways via Ppargamma, Fabp1
and Fabp2 over-expression. Metagenomic analyses of gut microbiota revealed that
MC-LR exposure also increased abundant ratio of Firmicutes vs. Bacteroidetes in
gut and altered biosynthetic pathways of various microbial metabolic and pro
inflammatory molecules. In conclusion, oral MC-LR exposure can induce hepatic
lipid metabolism disorder mediated by UFA biosynthesis and PPAR activation, and
gut microbial community shift may play an important role in the metabolic
disturbance.
PMID- 27208771
TI - Aminoglycoside Resistance: The Emergence of Acquired 16S Ribosomal RNA
Methyltransferases.
AB - Aminoglycoside-producing Actinobacteria are known to protect themselves from
their own aminoglycoside metabolites by producing 16S ribosomal RNA
methyltransferase (16S-RMTase), which prevents them from binding to the 16S rRNA
targets. Ten acquired 16S-RMTases have been reported from gram-negative
pathogens. Most of them posttranscriptionally methylate residue G1405 of 16S rRNA
resulting in high-level resistance to gentamicin, tobramycin, amikacin, and
plazomicin. Strains that produce 16S-RMTase are frequently multidrug-resistant or
even extensively drug-resistant. Although the direct clinical impact of high
level aminoglycoside resistance resulting from production of 16S-RMTase is yet to
be determined, ongoing spread of this mechanism will further limit treatment
options for multidrug-resistant and extensively drug-resistant gram-negative
infections.
PMID- 27208776
TI - GLP-1 analogue improves hepatic lipid accumulation by inducing autophagy via
AMPK/mTOR pathway.
AB - The incidence of nonalcoholic fatty liver disease (NAFLD) keeps rising year by
year, and NAFLD is rapidly becoming the most common liver disease worldwide.
Clinical studies have found that glucagon-like peptide-1 (GLP-1) analogue,
liraglutide (LRG), cannot only reduce glucose levels, but also improve hepatic
lipase, especially in patients also with type 2 diabetes mellitus (T2DM). In
addition, enhancing autophagy decreases lipid accumulation in hepatocytes. The
aim of the present study is to explore the effect of LRG on hepatocyte steatosis
and the possible role of autophagy. We set up an obesity mouse model with a high
fat diet (HFD) and induced hepatocyte steatosis with free fatty acids (FFA) in
human L-O2 cells. LRG and two inhibitors of autophagy, Chloroquine (CQ) and
bafilomycin A1 (Baf), were added into each group, respectively. The lipid
profiles and morphological modifications of each group were tested.
Immunohistochemistry, immunofluorescence staining and transmission electron
microscopy (TEM) were used to measure autophagy in this study. The autophagy
protein expression of SQSTM1 (P62), and LC3B, along with the signaling pathway
proteins of mTOR, phosphorylated mTOR (p-mTOR), AMPK, phosphorylated AMPK (p
AMPK) and Beclin1, were evaluated by western blot. Our results showed that LRG
improved hepatocyte steatosis by inducing autophagy, and the AMPK/mTOR pathway is
involved. These findings suggest an important mechanism for the positive effects
of LRG on hepatic steatosis, and provide new evidence for clinical use of LRG in
NAFLD.
PMID- 27208775
TI - A bitter pill for type 2 diabetes? The activation of bitter taste receptor
TAS2R38 can stimulate GLP-1 release from enteroendocrine L-cells.
AB - The bitter taste receptor TAS2R38 is a G protein coupled receptor (GPCR) that has
been found in many extra-oral locations like the gastrointestinal (GI) system,
respiratory system, and brain, though its function at these locations is only
beginning to be understood. To probe the receptor's potential metabolic role,
immunohistochemistry of human ileum tissues was performed, which showed that the
receptor was co-localized with glucagon-like peptide 1 (GLP-1) in L-cells. In a
previous study, we had modeled the structure of this receptor for its many taste
variant haplotypes (Tan et al. 2011), including the taster haplotype PAV. The
structure of this haplotype was then used in a virtual ligand screening pipeline
using a collection of ~2.5 million purchasable molecules from the ZINC database.
Three compounds (Z7, Z3, Z1) were purchased from the top hits and tested along
with PTU (known TAS2R38 agonist) in in vitro and in vivo assays. The dose
response study of the effect of PTU and Z7 on GLP-1 release using wild-type and
TAS2R38 knockout HuTu-80 cells showed that the receptor TAS2R38 plays a major
role in GLP-1 release due to these molecules. In vivo studies of PTU and the
three compounds showed that they each increase GLP-1 release. PTU was also
chemical linked to cellulose to slow its absorption and when tested in vivo, it
showed an enhanced and prolonged GLP-1 release. These results suggest that the GI
lumen location of TAS2R38 on the L-cell makes it a relatively safe drug target as
systemic absorption is not needed for a TAS2R38 agonist drug to effect GLP-1
release.
PMID- 27208777
TI - Sequence-directed nucleosome-depletion is sufficient to activate transcription
from a yeast core promoter in vivo.
AB - Nucleosome-depleted regions (NDRs) (also called nucleosome-free regions or NFRs)
are often found in the promoter regions of many yeast genes, and are formed by
multiple mechanisms, including the binding of activators and enhancers, the
actions of chromatin remodeling complexes, and the specific DNA sequences
themselves. However, it remains unclear whether NDR formation per se is essential
for transcriptional activation. Here, we examined the relationship between
nucleosome organization and gene expression using a defined yeast reporter
system, consisting of the CYC1 minimal core promoter and the lacZ gene. We
introduced simple repeated sequences that should be either incorporated in
nucleosomes or excluded from nucleosomes in the site upstream of the TATA boxes.
The (CTG)12, (GAA)12 and (TGTAGG)6 inserts were incorporated into a positioned
nucleosome in the core promoter region, and did not affect the reporter gene
expression. In contrast, the insertion of (CGG)12, (TTAGGG)6, (A)34 or (CG)8
induced lacZ expression by 10-20 fold. Nucleosome mapping analyses revealed that
the inserts that induced the reporter gene expression prevented nucleosome
formation, and created an NDR upstream of the TATA boxes. Thus, our results
demonstrated that NDR formation dictated by DNA sequences is sufficient for
transcriptional activation from the core promoter in vivo.
PMID- 27208779
TI - Profiles of gonadotropin-inhibitory hormone and melatonin during the sex change
and maturation of cinnamon clownfish, Amphiprion melanopus.
AB - The present study aimed to determine the relationship between melatonin and
gonadotropin-inhibitory hormone (GnIH) and their effect on reproduction in
cinnamon clownfish, Amphiprion melanopus. Accordingly, we investigated the
expression pattern of GnIH, GnIH receptor (GnIH-R), and melatonin receptor (MT
R1) mRNA and protein, as well as the plasma levels of melatonin, during sex
change in cinnamon clownfish. We found that GnIH and MT-R1 mRNA and melatonin
activity were higher in fish with mature brain than in fish with developing
gonads, and using double immunofluorescence staining, we found that both GnIH and
MT-R1 proteins were co-expressed in the hypothalamus of cinnamon clownfish. These
findings support the hypothesis that melatonin plays an important role in the
negative regulation of maturation and GnIH regulation during reproduction.
PMID- 27208778
TI - Syndecan-4, a PRRSV attachment factor, mediates PRRSV entry through its
interaction with EGFR.
AB - The causative agent of porcine reproductive and respiratory syndrome is the PRRS
virus (PRRSV), an enveloped, single-stranded and positive-sense RNA virus. The
host factors and mechanisms that are involved in PRRSV entry are still largely
unknown. In our present studies, we found that syndecan-4, one of the heparan
sulfate proteoglycans, plays a critical role in PRRSV entry, especially in PRRSV
attachment. Moreover, EGFR interacts with syndecan-4 in MACR-145 cells and
disruption of their interaction impaired PRRSV entry. Furthermore, EGFR inhibitor
AG1478 or syndecan-4 derived peptide SSTN87-131 inhibited syndecan-4 endocytosis
induced by PRRSV entry. Altogether, syndecan-4, a PRRSV attachment factor,
mediated PRRSV entry by interacting with EGFR.
PMID- 27208780
TI - AtSRP1, SMALL RUBBER PARTICLE PROTEIN HOMOLOG, functions in pollen growth and
development in Arabidopsis.
AB - To identify novel roles of SMALL RUBBER PARTICLE PROTEIN Homolog in the non
rubber-producing plant Arabidopsis (AtSRP1), we isolated a T-DNA-insertion knock
out mutant (FLAG_543A05) and investigated its functional characteristics. AtSRP1
is predominantly expressed in reproductive organs and is localized to lipid
droplets and ER. Compared to wild-type (WT) Arabidopsis, atsrp1 plants contain
small siliques with a reduced number of heterogeneously shaped seeds. The size of
anther and pollen grains in atsrp1 is highly irregular, with a lower grain number
than WT. Therefore, AtSRP1 plays a novel role related to pollen growth and
development in a non-rubber-producing plant.
PMID- 27208781
TI - Oncolytic vaccine virus harbouring the IL-24 gene suppresses the growth of lung
cancer by inducing apoptosis.
AB - Lung cancer has an especially high incidence rate worldwide, and its resistance
to cell death and chemotherapeutic drugs increases its intractability. The
vaccinia virus has been shown to destroy neoplasm within a short time and
disseminate rapidly and extensively as an enveloped virion throughout the
circulatory system, and this virus has also demonstrated a strong ability to
overexpress exogenous genes. Interleukin-24 (IL-24/mda-7) is an important
cytokine that belongs to the activating caspase family and facilitates the
inhibition of STAT3 when a cell enters the apoptosis pathway. In this study, we
constructed a cancer-targeted vaccinia virus carrying the IL-24 gene knocked in
the region of the viral thymidine kinase (TK) gene (VV-IL-24). Our results showed
that VV-IL-24 efficiently infected and destroyed lung cancer cells via caspase
dependent apoptosis and decreased the expression of STAT3. In vivo, VV-IL-24
expressed IL-24 at a high level in the transplanted tumour, reduced STAT3
activity, and eventually led to apoptosis. In conclusion, we demonstrated that vv
IL-24 has the potential for use as a new human lung cancer treatment.
PMID- 27208782
TI - Fully human monoclonal antibodies to TRAIL-R1 enhance TRAIL-induced apoptosis via
activation of caspase-8 pathway.
AB - Tumor necrosis factor-related apoptosis-inducing ligand (TRAIL) or agonistic
antibodies targeting TRAIL-receptors (TRAIL-Rs) can selectively induce apoptosis
in cancer cells. However, they have limited antitumor efficacy in clinical
trials. We previously generated ten fully human monoclonal Abs to TRAIL-receptor
type 1 (TR1-mAbs) using immunospot array assay on a chip (ISAAC technology). We
found that the TR1-mAbs exhibited different effects on TRAIL-induced apoptosis
(enhanced or blocked apoptosis). Here, we further demonstrated that some mAbs
competed with TRAIL for binding to TRAIL-R1 expressed on tumor cells that blocked
TRAIL-induced apoptosis (B-TR1-Ab), whereas others did not compete with TRAIL
that enhanced TRAIL-induced apoptosis (E-TR1-Ab). Combination of E-TR1-Ab (TR1
419) with TRAIL leads to enhanced antitumor activity in various tumor cells in
vitro. E-TR1-419 and TRAIL could cooperate to upregulate the mRNA expression and
protein levels of TRAIL-R1 and to promote caspase-8 cleavage and increased JNK
phosphorylation. Our results suggest that combining E-TR1 Ab with TRAIL could
provide a new therapeutic strategy for tumor immunotherapies.
PMID- 27208783
TI - Assessment of the endocrine-disrupting effects of short-chain chlorinated
paraffins in in vitro models.
AB - Short-chain chlorinated paraffins (SCCPs), which are candidate persistent organic
pollutants (POPs) according to the Stockholm Convention, are of great concern
because of their persistent bioaccumulation, long-range transport and potential
adverse health effects. However, data on the endocrine-disrupting effects of
SCCPs remain scarce. In this study, we first adopted two in vitro models
(reporter gene assays and H295R cell line) to investigate the endocrine
disrupting effects of three SCCPs (C10-40.40%, C10-66.10% and C11-43.20%) via
receptor mediated and non-receptor mediated pathway. The dual-luciferase reporter
gene assay revealed that all test chemicals significantly induced estrogenic
effects, which were mediated by estrogen receptor alpha (ERalpha), in the
following order: C11-43.20%>C10-66.10%>C10-40.40%. Notably, C10-40.40% and C10
66.10% also demonstrated remarkable anti-estrogenic activities. Only C11-43.20%
showed glucocorticoid receptor-mediated (GR) antagonistic activity, with a RIC20
value of 2.6*10(-8)mol/L. None of the SCCPs showed any agonistic or antagonistic
activities against thyroid receptor beta (TRbeta). Meanwhile, all test SCCPs
stimulated the secretion of 17beta-estradiol (E2). Both C10-66.10% and C11-43.20%
increased the production of cortisol at a high level in H295R cell lines. In
order to explore the possible mechanism underlying the endocrine-disrupting
effects of SCCPs through the non-receptor pathway, the mRNA levels of 9
steroidogenic genes were measured by real-time polymerase chain reaction (RT
PCR). StAR, 17betaHSD, CYP11A1, CYP11B1, CYP19 and CYP21 were upregulated in a
concentration-dependent manner by all chemicals. The data provided here
emphasized that comprehensive assessments of the health and ecological risks of
emerging contaminants, such as SCCPs, are of great concern and should be
investigated further.
PMID- 27208784
TI - Relationship between organic matter humification and bioavailability of sludge
borne copper and cadmium during long-term sludge amendment to soil.
AB - Recycling of sludge as soil amendment poses certain risk of heavy metals
contamination. This study investigated the relationship between organic matter in
composted sludge and its heavy metals bioavailability over 7years. Periodic
monitoring indicated a gradual increase in organic matter degradation,
accompanied by changing degrees of polymerization, i.e., ratio of humic acid
(HA)/fulvic acid (FA) coupled with incremental exchangeable fraction of copper
(Cu) in sludge, with a growing rate of 74.7%, rather than that in soil. However,
cadmium (Cd) in composted sludge exhibited an independent manner. Linear
regression analysis revealed that the total proportion of the Cu active fraction
(exchangeable plus carbonate bound) was better correlated with the degree of
polymerization (DP) and humification ratio (HR) than the degradation ratio of
organic matter. Overall, amount of uptaken Cu was more dependent on the
humification degree of organic matter, especially the proportion of HA in humus.
PMID- 27208785
TI - Overexpression of HDAC6 induces pro-inflammatory responses by regulating ROS-MAPK
NF-kappaB/AP-1 signaling pathways in macrophages.
AB - Although histone deacetylase 6 (HDAC6) has been implicated in inflammatory
diseases, direct involvement and its action mechanism of HDAC6 in the
transcriptional regulation of pro-inflammatory genes have been unclear. In this
study, we investigated the possible role of HDAC6 in the expression of pro
inflammatory mediators, indicator of macrophage activation, in RAW 264.7 cells
and primary mouse macrophages. HDAC6 overexpression significantly enhanced
expression of pro-inflammatory cytokines, such as TNF-alpha, IL-1beta, and IL-6,
with concomitant reduction in acetylated alpha-tubulin. HDAC6 overexpression
significantly induced ROS generation via upregulation of NADPH oxidase expression
and activity. Inhibition of ROS generation by N-acetyl cysteine, diphenyl
iodonium and apocynin suppressed HDAC6-induced pro-inflammatory cytokines. An
HDAC6 enzymatic inhibitor significantly inhibited ROS generation and expression
of HDAC6-induced pro-inflammatory mediators, indicating the requirement of HDAC6
enzymatic activity for induction of pro-inflammatory cytokines. In addition,
HDAC6 overexpression increased activation of MAPK species including ERK, JNK, and
p38. Furthermore, HDAC6 overexpression resulted in activation of the NF-kappaB
and AP-1 signaling pathways. Overall, our results provide the first evidence that
HDAC6 is capable of inducing expression of pro-inflammatory genes by regulating
the ROS-MAPK-NF-kappaB/AP-1 pathways and serves as a molecular target for
inflammation.
PMID- 27208786
TI - Commentary on "Rupestonic acid derivative YZH-106 suppresses influenza virus
replication by activation of heme oxygenase-1-mediated interferon response" by Ma
et al. [Free Radic. Biol. Med. 96 (2016) 347-361].
PMID- 27208787
TI - Neutrophil proteomic analysis reveals the participation of antioxidant enzymes,
motility and ribosomal proteins in the prevention of ischemic effects by
preconditioning.
AB - : Intestinal ischemia and reperfusion injury are widely used models, which result
into tissue injury and multiple organ failure also observed after trauma and
surgery. Ischemic preconditioning (IPC) preceding ischemia and reperfusion (IR)
was shown to attenuate this injury and has a potential therapeutic application;
however the exact underlying mechanism is not clear. Neutrophils play an
important role in the mechanism of injuries caused by ischemia and reperfusion
while IPC led to a decrease in neutrophil stimulation and activation. The effect
of preconditioning on the neutrophil proteome is unclear. Proteomic analysis has
been ratified as an appropriate tool for studying complex systems. In order to
evaluate the effect of IPC preceding 45min of ischemia on the proteome of
neutrophils we used Wistar rats divided in four experimental groups: Control,
sham laparotomy, intestinal ischemia reperfusion and ischemic preconditioning.
After neutrophil separation, proteins were extracted, trypsin digested and the
resulting peptides were iTRAQ labeled followed by HILIC fractionation and nLC
MS/MS analysis. After database searches, normalization and statistical analysis
our proteomic analysis resulted in the identification of 2437 protein groups that
were assigned to five different clusters based on the relative abundance profiles
among the experimental groups. The clustering followed by statistical analysis
led to the identification of significantly up and downregulated proteins in IR
and IPC. Cluster based KEGG pathways analysis revealed up- regulation of actin
cytoskeleton, metabolism, Fc gamma R mediated phagocytosis, chemokine signaling,
focal adhesion and leukocyte transendothelial migration whereas downregulation in
ribosome, spliceosome, RNA transport, protein processing in endoplasmic reticulum
and proteasome, after intestinal ischemic preconditioning. Furthermore, enzyme
prediction analysis revealed the regulation of some important antioxidant enzymes
and having their role in reactive oxygen species production. To our knowledge,
this work describes the most comprehensive and detailed quantitative proteomic
study of the neutrophil showing the beneficial role of ischemic preconditioning
and its effects on the neutrophil proteome. This data will be helpful to
understand the effect of underlying protective mechanisms modulating the role of
PMNs after IPC and provide a trustworthy basis for future studies. BIOLOGICAL
SIGNIFICANCE: Preconditioning is a relevant strategy to overcome clinical
implications from ischemia and reperfusion. Such implications have the neutrophil
as a major player. Although many publications describe specific biochemical and
physiological roles of the neutrophil in such conditions, there is no report of a
proteomic study providing a broader view of this scenario. Here we describe a
group of proteins significantly regulated by ischemia and reperfusion being such
regulation prevented by preconditioning. Such finding may provide relevant
information for a deeper understanding of the mechanisms involved, as well as
serve as basis for future biomarker or drug target assays.
PMID- 27208788
TI - Proteomic profiling of heat acclimation in cerebrospinal fluid of rabbit.
AB - Heat acclimation (AC) is a phenotypic adaptation to the high ambient
temperatures. So far, the physiological effects of AC have been well studied, but
the molecular mechanisms underlying it, especially the proteomic studies have
been rarely reported. Conducting a protein profile of cerebrospinal fluid (CSF)
can facilitate the understanding of molecular pathways involved in AC and
identifying stress-specific proteins as a laboratory biomarker. In this study we
carried out proteomic profiling of the AC in CSF of rabbit, which would allow a
deep insight into molecular signals underlying the AC. For this purpose, rabbits
were subjected to AC (dry bulb temperature of (36+/-1) degrees C, wet bulb
temperature of (29+/-0.5) degrees C, black-bulb temperature of (40+/-1.0) degrees
C, 100min per day for 21days, untreated rabbits were used as controls. We adopted
a gel-free proteomic approach (iTRAQ) method to identify protein composition in
CSF of rabbits with AC. In total, 1310 proteins were identified. Among which 127
were significant up-regulated and 77 were down-regulated. According to the
functions, all AC-induced proteins were classified into 8 categories, including
plasma protein factors, metabolism-related proteins, energy metabolism-related
proteins, cell surface/intercellular matrix proteins, stress related proteins,
tumor-related proteins, as well as housekeeping proteins and putative proteins.
Meanwhile, a total of 21 pathways were found involved in the developing of AC.
Further analysis indicated that proteins mostly close to AC were grouped into two
signal pathways, the immune-related signal pathways and the
carbohydrate/lipoprotein metabolism-related signal pathways. Our study was first
to carry out the whole proteomic picture of AC, and screen out the critical
signaling pathways involved in this physical procedure. BIOLOGICAL SIGNIFICANCE:
This study reported the comparative proteomic analysis of cerebrospinal fluid of
rabbits between heat acclimation and normal conditions using the gel-free
proteomic mass-spectrometry approach with isotope-labeled samples (iTRAQ)
techniques. Mass spectrometry analysis of the proteins from heat acclimated
rabbits resulted in the identification of a total of 1310 proteins, among these,
204 proteins were related to the formation of heat acclimation. These proteins
were assigned to 8 categories according to their functions. Additionally, 21
pathways involved in infectious diseases, metabolism, immunology, blood
circulation, transcriptional regulation and renin-angiotensin were identified by
pathway analysis in heat acclimation. This study was the first to use rabbits as
a model for unraveling the molecular pathways underlying the establishment of
integrative heat acclimation.
PMID- 27208789
TI - Perinatal reduction of functional serotonin transporters results in developmental
delay.
AB - While there is strong evidence from rodent and human studies that a reduction in
serotonin transporter (5-HTT) function in early-life can increase the risk for
several neuropsychiatric disorders in adulthood, the effects of reduced 5-HTT
function on behavior across developmental stages are underinvestigated. To
elucidate how perinatal pharmacological and lifelong genetic inactivation of the
5-HTT affects behavior across development, we conducted a battery of behavioral
tests in rats perinatally exposed to fluoxetine or vehicle and in 5-HTT(-/-)
versus 5-HTT(+/+) rats. We measured motor-related behavior, olfactory function,
grooming behavior, sensorimotor gating, object directed behavior and novel object
recognition in the first three postnatal weeks and if possible the tests were
repeated in adolescence and adulthood. We also measured developmental milestones
such as eye opening, reflex development and body weight. We observed that both
pharmacological and genetic inactivation of 5-HTT resulted in a developmental
delay. Except for hypo-locomotion, most of the observed early-life effects were
normalized later in life. In adolescence and adulthood we observed object
directed behavior and decreased novel object recognition in the 5-HTT(-/-) rats,
which might be related to the lifelong inactivation of 5-HTT. Together, these
data provide an important contribution to the understanding of the effects of
perinatal and lifelong 5-HTT inactivation on behavior across developmental
stages.
PMID- 27208790
TI - Dysregulation of select ATP-dependent chromatin remodeling factors in high trait
anxiety.
AB - Enhanced anxiety is a salient feature of a number of psychiatric disorders
including anxiety disorders, trauma-related disorders and depression. Although
aberrant expression of various genes has been detected in patients suffering from
persistent high anxiety as well as in high anxiety rodent models, the molecular
mechanisms responsible for altered transcription regulation have been poorly
addressed. Transcription regulation intimately involves the contribution of
chromatin modifying processes, such as histone modification and ATP-dependent
chromatin remodeling, yet their role in pathological anxiety is not known. Here,
we investigated for the first time if altered levels of several ATP-dependent
chromatin remodeling factors (ChRFs) and histone deacetylases (HDACs) may be
linked to high trait anxiety in mice. While we found protein levels of the ChRFs
SNF2H, ATRX, CHD1, CHD3 and CHD5 and of HDACs 1-3 and 6 to be similar in most of
the tested brain areas of mice with high (HAB) versus normal (NAB) anxiety
related behavior, we observed distinctly altered regulation of SNF2H in the
amygdala, and of CHD3 and CHD5 in the ventral hippocampus. In particular, CHD3
and CHD5 exhibited altered expression of protein but not of mRNA in HAB mice.
Since both proteins are components of NuRD-like complexes, these results may
indicate an impaired equilibrium between different NuRD-like complexes in the
ventral hippocampus. Overall, our data provide novel evidence for localized
differences of specific ATP-dependent chromatin remodeling factors in mice with
high trait anxiety that may ultimately contribute to altered transcriptional
programs resulting in the manifestation of pathological anxiety.
PMID- 27208791
TI - Perceptually aligning apical frequency regions leads to more binaural fusion of
speech in a cochlear implant simulation.
AB - For bilateral cochlear implant users, the left and right arrays are typically not
physically aligned, resulting in a degradation of binaural fusion, which can be
detrimental to binaural abilities. Perceptually aligning the two arrays can be
accomplished by disabling electrodes in one ear that do not have a perceptually
corresponding electrode in the other side. However, disabling electrodes at the
edges of the array will cause compression of the input frequency range into a
smaller cochlear extent, which may result in reduced spectral resolution. An
alternative approach to overcome this mismatch would be to only align one edge of
the array. By aligning either only the apical or basal end of the arrays, fewer
electrodes would be disabled, potentially causing less reduction in spectral
resolution. The goal of this study was to determine the relative effect of
aligning either the basal or apical end of the electrode with regards to binaural
fusion. A vocoder was used to simulate cochlear implant listening conditions in
normal hearing listeners. Speech signals were vocoded such that the two ears were
either predominantly aligned at only the basal or apical end of the simulated
arrays. The experiment was then repeated with a spectrally inverted vocoder to
determine whether the detrimental effects on fusion were related to the spectral
temporal characteristics of the stimuli or the location in the cochlea where the
misalignment occurred. In Experiment 1, aligning the basal portion of the
simulated arrays led to significantly less binaural fusion than aligning the
apical portions of the simulated array. However, when the input was spectrally
inverted, aligning the apical portion of the simulated array led to significantly
less binaural fusion than aligning the basal portions of the simulated arrays.
These results suggest that, for speech, with its predominantly low frequency
spectral-temporal modulations, it is more important to perceptually align the
apical portion of the array to better preserve binaural fusion. By partially
aligning these arrays, cochlear implant users could potentially increase their
ability to fuse speech sounds presented to the two ears while maximizing spectral
resolution.
PMID- 27208792
TI - Loss of glycine receptors containing the alpha3 subunit compromises auditory
nerve activity, but not outer hair cell function.
AB - Inhibitory glycine receptors containing the alpha3 subunit (GlyRalpha3) regulate
sensory information processing in the CNS and retina. In previous work, we
demonstrated the presence of postsynaptic GlyRalpha3 immunoreactivity at efferent
synapses of the medial and lateral olivocochlear bundle in the organ of Corti;
however, the role of these alpha3-GlyRs in auditory signalling has remained
elusive. The present study analyzes distortion-product otoacoustic emissions
(DPOAEs) and auditory brainstem responses (ABRs) of knockout mice with a targeted
inactivation of the Glra3 gene (Glra3(-/-)) and their wildtype littermates
(Glra3(+/+)) before and seven days after acoustic trauma (AT; 4-16 kHz, 120 dB
SPL, 1 h). Before AT, DPOAE thresholds were slightly, but significantly lower,
and DPOAE amplitudes were slightly larger in Glra3(-/-) as compared to Glra3(+/+)
mice. While click- and f-ABR thresholds were similar in both genotypes before AT,
threshold-normalized click-ABR wave I amplitudes were smaller in Glra3(-/-) mice
as compared to their wildtype littermates. Following AT, both the decrement of
ABR wave I amplitudes and the delay of wave I latencies were more pronounced in
Glra3(-/-) than Glra3(+/+) mice. Accordingly, correlation between early click
evoked ABR signals (0-2.5 ms from stimulus onset) before and after AT was
significantly reduced for Glra3(-/-) as compared to Glra3(+/+) mice. In summary,
these results show that loss of alpha3-GlyRs compromises suprathreshold auditory
nerve activity, but not outer hair cell function.
PMID- 27208793
TI - A novel L-type lectin was required for the multiplication of WSSV in red swamp
crayfish (Procambarus clakii).
AB - L-type lectins are involved in glycoproteins secretory pathways and are
associated with many immune responses. There is growing evidence that L-type
lectins are also involved in viral replication. In this study, a novel L-type
lectin (named as PcL-lectin) was identified from red swamp crayfish (Procambarus
clakii). Gene sequencing and phylogenetic tree analysis results showed that the
PcL-lectin was a kind of endoplasmic reticulum Golgi intermediate compartment-53
(ERGIC-53). The expression level of PcL-lectin was significantly down regulated
in crayfish after challenged with white spot syndrome virus (WSSV). Recombinant
PcL-lectin protein facilitated the replication of WSSV in crayfish. In addition,
WSSV replication was decreased when endogenous PcL-lectin was knocked down by RNA
interference in crayfish. Furthermore, PcL-lectin may interact with VP24, an
envelope protein of WSSV. Our results suggest that PcL-lectin may be required for
the multiplication of WSSV, and will pave a new way for the developing of
strategies against WSSV infection.
PMID- 27208794
TI - Frequent inactivation of MCC/CTNNBIP1 and overexpression of phospho-beta
catenin(Y654) are associated with breast carcinoma: Clinical and prognostic
significance.
AB - Transcriptional activation of beta-catenin is a hallmark of Wnt/beta-catenin
pathway activation. The MCC (Mutated in colorectal cancers) and CTNNBIP1
(catenin, beta interacting protein 1) are two candidate genes which inhibit the
transcriptional activity of nuclear beta-catenin. The importance of MCC and
CTNNBIP1 in breast cancer (BC) development has not yet been studied in detail.
For this reason, in present study, the alterations
(deletion/methylation/mutation/expression) of MCC and CTNNBIP1 were analyzed in
BC of Indian patients (N=120) followed by expression/mutation analysis of beta
catenin. Then transcriptional activity of beta-catenin was checked by expression
analysis of its target genes (EGFR, C-MYC and CCND1) in the same set of samples.
Frequent methylation (44-45%) than deletion (20-32%) with overall alterations of
52-55% was observed in MCC/CTNNBIP1 in the BC samples. The alterations of
MCC/CTNNBIP1 showed significant correlation with increased nuclear beta-catenin/p
beta-catenin(Y654) expression. Also, a significant correlation was seen between
nuclear beta-catenin expression and overexpression of its target genes like EGFR,
MYC and CCND1 in the BC samples (P<0.0001). An upregulation of MCC and CTNNBIP1
expression by 5-Aza-2'-deoxycytidine treatment of MCF7 and MDA-MB-231 cell lines
lead to downregulation of beta-catenin and its target genes. The expression of
nuclear p-beta-catenin(Y654), EGFR, MYC and CCND1 were significantly high in TNBC
(Triple negative BC) and Her2+ compared to Luminal A/B+ subtypes. The TNBC
patients in stage III/IV having reduced expression of MCC in the tumors showed
poor prognosis. Thus, our data suggests that inactivation of MCC/CTNNBIP1 could
be an important event in activation of beta-catenin mediated transcription of
target genes in BC.
PMID- 27208795
TI - Biased signaling initiated by agouti-related peptide through human melanocortin-3
and -4 receptors.
AB - The neural melanocortin receptors (MCRs), melanocortin-3 and -4 receptors (MC3R
and MC4R), have been increasingly recognized as important regulators of energy
homeostasis. The orexigenic agouti-related peptide (AgRP), initially identified
as an endogenous antagonist for both neural MCRs, has been suggested to be a
biased agonist of MC4R independent of its antagonizing effects. In the present
study, we sought to determine the potential of AgRP to regulate the activation of
intracellular kinases, including extracellular signal-regulated kinase 1 and 2
(ERK1/2), AKT and AMP-activated protein kinase (AMPK), through neural MCRs. We
showed that AgRP acted as a biased agonist in human MC3R (hMC3R), decreasing cAMP
activity of constitutively active mutant (F347A) hMC3R but stimulating ERK1/2
activation in both wide type and F347A hMC3Rs. AgRP-stimulated ERK1/2
phosphorylation through MC3R was abolished by protein kinase A (PKA) inhibitor H
89 but not Rp-cAMPS, whereas AgRP-initiated ERK1/2 activation through MC4R was
inhibited by phosphatidylinositol 3-kinase (PI3K) inhibitors wortmannin and
LY294002. Both NDP-MSH and AgRP treatment induced significant AKT phosphorylation
in GT1-7 cells but not in MC3R- or MC4R-transfected HEK293T cells. The
phosphorylated AMPK levels in both GT1-7 cells and HERK293T cells transfected
with neural MCRs were significantly decreased upon stimulation with NDP-MSH but
not with AgRP. In summary, we provided novel data for AgRP-initiated multiple
intracellular signaling pathways, demonstrating biased agonism of AgRP in both
neural MCRs, leading to a better understanding of neural MCR pharmacology.
PMID- 27208796
TI - Tyrosine phosphorylation of RACK1 triggers cardiomyocyte hypertrophy by
regulating the interaction between p300 and GATA4.
AB - The zinc finger protein GATA4 is a transcription factor involved in cardiomyocyte
hypertrophy. It forms a functional complex with the intrinsic histone
acetyltransferase (HAT) p300. The HAT activity of p300 is required for the
acetylation and transcriptional activity of GATA4, as well as for cardiomyocyte
hypertrophy and the development of heart failure. In the present study, we have
identified Receptor for Activated Protein Kinase C1 (RACK1) as a novel GATA4
binding protein using tandem affinity purification and mass spectrometry
analyses. We found that exogenous RACK1 repressed phenylephrine (PE)-induced
hypertrophic responses, such as myofibrillar organization, increased cell size,
and hypertrophy-associated gene transcription, in cultured cardiomyocytes. RACK1
physically interacted with GATA4 and the overexpression of RACK1 reduced PE
induced formation of the p300/GATA4 complex and the acetylation and DNA binding
activity of GATA4. In response to hypertrophic stimulation in cultured
cardiomyocytes and in the hearts of hypertensive heart disease model rats, the
tyrosine phosphorylation of RACK1 was increased, and the binding between GATA4
and RACK1 was reduced. In addition, the tyrosine phosphorylation of RACK1 was
required for the disruption of the RACK1/GATA4 complex and for the formation of
the p300/GATA4 complex. These findings demonstrate that RACK1 is involved in
p300/GATA4-dependent hypertrophic responses in cardiomyocytes and is a promising
therapeutic target for heart failure.
PMID- 27208797
TI - Detoxification of Hg(II) from aqueous and enzyme media: Pristine vs. tailored
calcium alginate hydrogels.
AB - Calcium alginate (CA) hydrogels were tailored using phenolic compounds (PC) like,
thymol, morin, catechin, hesperidin, during their preparation. The PC
incorporated gels show modified surface features as indicated by scanning
electron microscopic images (SEM). The rheological studies show that excepting
the hesperidin incorporated gels all the other kinds including calcium alginate
pristine have similar mechanical strength. The hesperidine incorporated CA gels
had the maximum capacity to adsorb Hg. The Freundlich adsorption isotherms show
higher values of adsorption capacity for all PC incorporated CA beads than the
pristine CA (PCA). The hesperidin incorporated CA gels were found to show the
best adsorption condition at neutral pH and an optimum contact time of 2.5h at 25
degrees C. Considering the possibility of ingested Hg detoxification from human
alimentary tract, the hesperidin and morin incorporated CA beads were further
modified through incorporation of cod liver oil as the digestion time of fat in
stomach is higher. In vitro uptake capacities of Hg in pepsin and pancreatin
containing enzyme media were studied with hesperidin and morin incorporated beads
and their corresponding fat incorporated beads also. In the pepsin medium, there
was no uptake by hesperidin and fat-hesperidin incorporated beads, which is
possibly due to the higher acidity of the medium. But in pancreatin medium Hg was
taken up by both kinds of beads. Morin and morin-fat incorporated beads were
efficient to uptake Hg from both the pepsin and pancreatin medium. The tailored
CA beads may therefore serve as efficient scaffolds to rescue Hg ingested
individuals.
PMID- 27208798
TI - Effects of the polysaccharides extracted from Ganoderma lucidum on chemotherapy
related fatigue in mice.
AB - The weight-loaded swimming capability, tumor growth, survival time and
biochemical markers of Ganoderma lucidum polysaccharides (GLPs) in a chemotherapy
related fatigue mouse model were tested in the present study. The results showed
that the middle-dose GLPs (GLP-M) and the high-dose GLPs (GLP-H) could increase
the exhausting swimming time, which was observed to decrease in the cisplatin
control group(PCG) and the tumor control group (TCG).The GLP-M and the GLP-H had
reduced serum levels of tumor necrosis factor-alphaand interleukin-6, which were
up-regulated by cisplatin. Cisplatin and the presence of tumor significantly
enhanced the malondialdehyde (MDA) content and inhibited the activity of
superoxide dismutase (SOD) in the muscle. Administration of GLPs at a high dose
decreased the levels of MDA and up-regulated the SOD activity. The high-dose
GLPs+cisplatin group presented a decreased tendency of tumor volume and a lower
tumor weight compared with PCG. Moreover, the mice in the GLP-M and GLP-H groups
had longer survival times compared with the mice in the TCG and PCG.The levels of
creatinine and serum blood urea nitrogen, which are up-regulated by cisplatin,
were significantly reduced by GLP-M and GLP-H. Therefore, these results suggest
that GLPs might improve chemotherapy-related fatigue via regulation of
inflammatory responses, oxidative stress and reduction of nephrotoxicity.
PMID- 27208799
TI - Bonnie and Clyde: Vitamin C and iron are partners in crime in iron deficiency
anaemia and its potential role in the elderly.
PMID- 27208800
TI - Notch signaling pathways in human thoracic ossification of the ligamentum flavum.
AB - This study investigated the pathological process of Notch signaling in the
osteogenesis of ligamentum flavum tissues and cells, and the associated
regulatory mechanisms. Notch receptors, ligands, and target genes were identified
by quantitative polymerase chain reaction (qPCR) in ligamentum flavum cells and
immunohistochemistry in ligamentum flavum sections from ossification of the
ligamentum flavum (OLF) patients and controls. The temporospatial expression
patterns of JAG1/Notch2/HES1 in human ligamentum flavum cells during osteogenic
differentiation were determined by qPCR. Lentiviral vectors for Notch2
overexpression and knockdown were constructed and transfected into ligamentum
flavum cells before osteogenic differentiation to examine the function of Notch
signaling pathways in the osteogenic differentiation of ligamentum flavum cells.
Alkaline phosphatase, Runx2, Osterix, osteocalcin, and osteopontin mRNA levels,
alkaline phosphatase activity, and Alizarin Red staining were used as indicators
of osteogenic differentiation. JAG1/Notch2/HES1 mRNA levels were up-regulated in
ligamentum flavum cells from OLF patients, which increased during osteogenic
differentiation. Immunohistochemical analysis suggested positive Notch2
expression at the ossification front. Down-regulation of Notch2 expression
decelerated osteogenic differentiation of ligamentum flavum cells, and Notch2
overexpression promoted osteogenic differentiation of ligamentum flavum cells.
Expression of Runx2 and Osterix increased in a manner similar to that of Notch2
during osteogenic differentiation of ligamentum flavum cells, and Notch2
knockdown and overexpression influenced their expression levels. Notch signaling
plays an important role in OLF, and Notch may affect the osteogenic
differentiation of ligamentum flavum cells via interactions with Runx2 and
Osterix.(c) 2016 Orthopaedic Research Society. Published by Wiley Periodicals,
Inc. J Orthop Res 34:1481-1491, 2016.
PMID- 27208801
TI - Abnormal echocardiography in patients with type 2 diabetes and relation to
symptoms and clinical characteristics.
AB - OBJECTIVES: We aimed to determine the prevalence of echocardiographic
abnormalities and their relation to clinical characteristics and cardiac symptoms
in a large, contemporary cohort of patients with type 2 diabetes. RESULTS: A
total of 1030 patients with type 2 diabetes participated. Echocardiographic
abnormalities were present in 513 (49.8%) patients, mainly driven by a high
prevalence of diastolic dysfunction 178 (19.4%), left ventricular hypertrophy 213
(21.0%) and left atrial enlargement, 200 (19.6%). The prevalence increased
markedly with age from 31.1% in the youngest group (<55 years) to 73.9% in the
oldest group (>75 years) (p < 0.001) and was equally distributed among the sexes
(p = 0.76). In univariate analyses, electrocardiographic abnormalities, age, body
mass index, known coronary heart disease, hypertension, albuminuria, diabetes
duration and creatinine were associated with abnormal echocardiography along with
dyspnoea and characteristic chest pain (p < 0.05 for all). Neither of the cardiac
symptoms nor clinical characteristics had sufficient sensitivity and specificity
to accurately identify patients with abnormal echocardiography. CONCLUSION:
Echocardiographic abnormalities are very common in outpatients with type 2
diabetes, but neither cardiac symptoms nor clinical characteristics are effective
to identify patients with echocardiographic abnormalities.
PMID- 27208802
TI - Long-Term Low Intake of Dietary Calcium and Fracture Risk in Older Adults With
Plant-Based Diet: A Longitudinal Study From the China Health and Nutrition
Survey.
AB - The aim of this longitudinal study was to investigate long-term associations
between low dietary calcium intake and fracture risk in older adults with plant
based diet. Data of self-reported first fracture events of any type from 6210
Chinese men and women, aged 50 years or older and free from fracture at baseline,
in a subcohort based on the China Health and Nutrition Survey (CHNS), were
analyzed. Diet was repeatedly assessed by a combination of three consecutive 24
hour individual dietary recalls and a weighing and measuring of household food
inventory in each round. The older men and women habitually ingested mean (SD) of
415 (147) mg/d and 373 (140) mg/d of calcium from plant-based diet, respectively.
During a median follow-up of 7.0 years, 127 men (4.34%) and 232 women (7.06%)
experienced first fracture events. The crude rates were 4.88, 2.55, and 6.83 per
1000 person-years at risk for men, and 6.72, 7.10, and 11.0 per 1000 person-years
at risk for women in the lowest, third, and highest quintile of dietary calcium
intake. In nonlinear regressions, an increased risk of fracture was associated
with dietary calcium intake more than 778 mg/d (multivariable adjusted hazard
ratio [HR] 2.10, 95% confidence interval [CI] 1.00-4.41) or lower than 275 mg/d
(1.74, 95% CI 1.00-3.01) for men and more than 651 mg/d for women (1.54, 95% CI
1.00-2.38). A nonsignificant trend of increase in fracture risk was found below
248 mg/d (1.00, 95% CI 0.67-1.50) in women using restricted cubic spline Cox
regression. A relatively low fracture risk is observed in men with dietary
calcium intakes of 275 to 780 mg/d and in women with intakes of 250 to 650 mg/d,
and higher intakes may have no further benefit for fracture prevention. The
patterns of dietary calcium with fracture risk are U-shaped in men and possibly
in women. (c) 2016 American Society for Bone and Mineral Research.
PMID- 27208803
TI - Prevalence and Predictors of Metformin Prescribing in Adults with Type 2 Diabetes
Mellitus: A National Cross-Sectional Study.
AB - OBJECTIVE: Metformin is the first-line oral type 2 diabetes treatment. Despite an
abundance of evidence, metformin is routinely underused. This study evaluated the
rates of metformin use in an appropriate outpatient type 2 diabetes population
and identified predictors of metformin use. METHODS: A national cross-sectional
study was conducted using data from the National Ambulatory Medical Care Survey.
Office visits involving patients aged 18-79 years with a diagnosis of type 2
diabetes were included, and visits involving patients with a diagnosis of chronic
renal failure or heart failure were excluded. The primary outcome was metformin
prescribing rate. Multivariate logistic regression identified variables
associated with metformin prescribing. RESULTS: A total of 2348 patient visits
were eligible for inclusion, representing 88,671,714 office visits nationally.
Metformin was continued or initiated in 40.6% of these visits. The strongest
independent predictors of metformin prescribing were insulin use (odds ratio [OR]
0.32; 95% confidence interval [CI] 0.21-0.47), presence of four or more chronic
conditions (OR 0.58; 95% CI 0.34-0.98), patients with Medicare insurance (OR
0.57; 95% CI 0.39-0.83), visit with a surgical specialist (OR 0.39; 95% CI 0.25
0.61) or a medical specialist (OR 0.59; 95% CI 0.38-0.92), and Hispanic ethnicity
(OR 2.03; 95% CI 1.28-3.22). CONCLUSION: Metformin-prescribing rates are low,
particularly in patients receiving insulin, with Medicare insurance, seen by
medical or surgical specialists, or with four or more chronic conditions. The
observed low rates of metformin use represent an important opportunity to improve
the quality of care for patients with type 2 diabetes.
PMID- 27208804
TI - Glycolytic pathway affects differentiation of human monocytes to regulatory
macrophages.
AB - Cellular metabolic state and individual metabolites have been reported to
regulate the functional phenotype of immune cells. Cytokine production by
regulatory and inflammatory macrophages is thought to mainly involve fatty acid
oxidation and glycolysis, respectively, which fuel mitochondrial oxidative
phosphorylation. However, the association between metabolic pathways and the
acquisition of specific macrophage phenotypes remains unclear. This study
assessed the relationship between glycolysis and the differentiation of
regulatory macrophages. Human monocytes derived from peripheral blood were
cultured in vitro in the presence of macrophage colony-stimulating factor to
yield regulatory macrophages (M-Mphis). M-Mphis had a regulatory macrophage
phenotype and produced substantial IL-10 following stimulation with
lipopolysaccharide. To analyze the role of glycolysis, glycolysis inhibitors (2
deoxy-d-glucose or dichloroacetate) were added during M-Mphi differentiation.
These cells cultured with glycolysis inhibitors produced significantly lower
amounts of IL-10, but produced significantly higher amounts of IL-6 compared to M
Mphis differentiated without glycolysis inhibitors. Such phenotypic change of M
Mphis differentiated with glycolysis inhibitors was associated with the
alteration of the gene expression pattern related to macrophage differentiation,
such as CSF1, MMP9 and VEGFA. M-Mphis differentiated with glycolysis inhibitors
seemed to retain plasticity to become IL-10 producing cells. Furthermore,
increased level of pyruvate in culture medium was found to partially reverse the
effects of glycolysis inhibitors on cytokine production of M-Mphis. These results
indicate the importance of glycolytic pathway in macrophage differentiation to a
regulatory phenotype, and pyruvate may be one of the key metabolites in this
process.
PMID- 27208805
TI - Frequency of the CCR5-delta32 allele in Brazilian populations: A systematic
literature review and meta-analysis.
AB - The CCR5 is a chemokine receptor widely expressed by several immune cells that
are engaged in inflammatory responses. Some populations have individuals
exhibiting a 32bp deletion in the CCR5 gene (CCR5-delta32) that produces a
truncated non-functional protein not expressed on the cell surface. This
polymorphism, known to be associated with susceptibility to infectious and
inflammatory diseases, such as osteomyelitis, pre-eclampsia, systemic lupus
erythematous, juvenile idiopathic arthritis, rheumatoid arthritis and HIV/AIDS,
is more commonly found in European populations with average frequency of 10%.
However, it is also possible to observe a significant frequency in other world
populations, such as the Brazilian one. We performed a systematic review and meta
analysis of CCR5-delta32 genetic association studies in Brazilian populations
throughout the country to estimate the frequency of this polymorphism. We also
compared CCR5-delta32 frequencies across Brazilian regions. The systematic
literature reviewed studies involving delta32 allele in Brazilian populations
published from 1995 to 2015. Among the reviewed literature, 25 studies including
30 Brazilian populations distributed between the North, Northeast, South and
Southeast regions were included in our meta-analysis. We observed an overall
allelic frequency of 4% (95%-CI, 0.03-0.05), that was considered moderate and,
notably, higher than some European populations, such as Cyprus (2.8%), Italy (3%)
and Greece (2.4%). Regarding the regional frequency comparisons between North
Northeast (N-NE) and South-Southeast (S-SE) regions, we observed an allelic
frequency of 3% (95%-CI, 0.02-0.04) and 4% (95%-CI, 0.03-0.05), respectively. The
populations from S-SE regions had a slightly higher CCR5-delta32 frequency than N
NE regions (OR=1.41, p=0.002). Although there are several studies about the CCR5
delta32 polymorphism and its effect on the immune response of some infectious
diseases, this report is the first meta-analysis study that provides a
descriptive study of the distribution of CCR5-delta32 allele in Brazilian
population.
PMID- 27208807
TI - Retention in care of HIV-infected pregnant and lactating women starting ART under
Option B+ in rural Mozambique.
AB - OBJECTIVE: In 2013, Mozambique adopted Option B+, universal lifelong
antiretroviral therapy (ART) for all pregnant and lactating women, as national
strategy for prevention of mother-to-child transmission of HIV. We analysed
retention in care of pregnant and lactating women starting Option B+ in rural
northern Mozambique. METHODS: We compared ART outcomes in pregnant ('B+
pregnant'), lactating ('B+ lactating') and non-pregnant non-lactating women of
childbearing age starting ART according to clinical and/or immunological criteria
('own health') between July 2013 and June 2014. Lost to follow-up was defined as
no contact >180 days after the last visit. Multivariable competing risk models
were adjusted for type of facility (type 1 vs. peripheral type 2 health centre),
age, WHO stage and time from HIV diagnosis to ART. RESULTS: Over 333 person-years
of follow-up (243 'B+ pregnant', 65'B+ lactating' and 317 'own health' women),
3.7% of women died and 48.5% were lost to follow-up. 'B+ pregnant' and 'B+
lactating' women were more likely to be lost in the first year (57% vs. 56.9% vs.
31.6%; P < 0.001) and to have no follow-up after the first visit (42.4% vs. 29.2%
vs. 16.4%; P < 0.001) than 'own health' women. In adjusted analyses, risk of
being lost to follow-up was higher in 'B+ pregnant' (adjusted subhazard ratio
[asHR]: 2.77; 95% CI: 2.18-3.50; P < 0.001) and 'B+ lactating' (asHR: 1.94; 95%
CI: 1.37-2.74; P < 0.001). Type 2 health centre was the only additional
significant risk factor for loss to follow-up. CONCLUSIONS: Retention among PLW
starting option B+ ART was poor and mainly driven by early losses. The success of
Option B+ for prevention of mother-to-child transmission of HIV in rural settings
with weak health systems will depend on specific improvements in counselling and
retention measures, especially at the beginning of treatment.
PMID- 27208806
TI - Simple methodology to directly genotype Trypanosoma cruzi discrete typing units
in single and mixed infections from human blood samples.
AB - Different DNA markers to genotype Trypanosoma cruzi are now available. However,
due to the low quantity of parasites present in biological samples, DNA markers
with high copy number like kinetoplast minicircles are needed. The aim of this
study was to complete a DNA assay called minicircle lineage specific-PCR (MLS
PCR) previously developed to genotype the T. cruzi DTUs TcV and TcVI, in order to
genotype DTUs TcI and TcII and to improve TcVI detection. We screened kinetoplast
minicircle hypervariable sequences from cloned PCR products from reference
strains belonging to the mentioned DTUs using specific kDNA probes. With the four
highly specific sequences selected, we designed primers to be used in the MLS-PCR
to directly genotype T. cruzi from biological samples. High specificity and
sensitivity were obtained when we evaluated the new approach for TcI, TcII, TcV
and TcVI genotyping in twenty two T. cruzi reference strains. Afterward, we
compared it with hybridization tests using specific kDNA probes in 32 blood
samples from chronic chagasic patients from North Eastern Argentina. With both
tests we were able to genotype 94% of the samples and the concordance between
them was very good (kappa=0.855). The most frequent T. cruzi DTUs detected were
TcV and TcVI, followed by TcII and much lower TcI. A unique T. cruzi DTU was
detected in 18 samples meantime more than one in the remaining; being TcV and
TcVI the most frequent association. A high percentage of mixed detections were
obtained with both assays and its impact was discussed.
PMID- 27208810
TI - The effects of adult sex ratio on mating competition in male and female guppies
(Poecilia reticulata) in two wild populations.
AB - When competing for mates, males typically exhibit higher rates of intrasexual
aggression and courtship than females. Operational sex ratio, represented here by
adult sex ratio (ASR) as a proxy, is likely the best predictor of this
competition, which typically increases between members of one sex as members of
the opposite sex become rarer. Moreover, in populations subject to high
predation, males often decrease mating competitive behaviour due to predation
risk. We explored the combined effects of ASR and population of origin (low vs.
high ambient predation risk) on mating competition in male and female wild-caught
Trinidadian guppies. Both male and female aggression rates increased with ASR,
but the increase for males was only significant in the low-predation population.
In regard to male mating tactics, courtship propensity was unaffected by ASR,
while the propensity to sneak increased at male-biased ASRs. Guppies from a high
predation population had lower aggression rates than their low predation
counterpart, but male courtship and sneaking attempts did not differ between
populations. Surprisingly, females were just as aggressive as males when
competing for mates. These results highlight the trade-offs between antipredator
and agonistic behaviour, which may affect sexual selection pressures in wild
populations.
PMID- 27208808
TI - Lysine-specific histone demethylases in normal and malignant hematopoiesis.
AB - The epigenetic control of gene expression is central to the development of the
hematopoietic system and the execution of lineage-specific transcriptional
programs. During the last 10 years, mounting evidence has implicated the family
of lysine-specific histone demethylases as critical regulators of normal
hematopoiesis, whereas their deregulation is found in a broad spectrum of
hematopoietic malignancies. Here, we review recent findings on the role of these
enzymes in normal and malignant hematopoiesis and highlight how aberrant
epigenetic regulation facilitates hematopoietic cell transformation through
subversion of cell fate and lineage commitment programs.
PMID- 27208809
TI - Mixed-phenotype acute leukemia (MPAL) exhibits frequent mutations in DNMT3A and
activated signaling genes.
AB - Mixed-phenotype acute leukemia (MPAL) is a heterogeneous group of poor-prognosis
leukemias with immunophenotypic features of at least two cell lineages. The full
spectrum of genetic mutations in this rare disease has not been elucidated,
limiting our understanding of disease pathogenesis and our ability to devise
targeted therapeutic strategies. Here, we sought to define the mutational
landscape of MPAL by performing whole-exome sequencing on samples from 23 adult
and pediatric MPAL patients. We identified frequent mutations of epigenetic
modifiers, most notably mutations of DNMT3A, in 33% of adult MPAL patients.
Mutations of activated signaling pathways, tumor suppressors, and transcription
factors were also frequent. Importantly, many of the identified mutations are
potentially therapeutically targetable, with agents currently available or in
various stages of clinical development. Therefore, the mutational spectrum that
we have identified provides potential biological insights and is likely to have
clinical relevance for patients with this poor-prognosis disease.
PMID- 27208812
TI - Prioritizing renal transplantation based on clinical need: the role of an
'urgent' kidney waiting list.
PMID- 27208811
TI - Neutralizing autoantibody against factor XIII A subunit resulted in severe
bleeding diathesis with a fatal outcome - characterization of the antibody.
AB - Essentials Autoantibody against factor XIII (FXIII) is a rare but severe acquired
hemorrhagic diathesis. In an elderly patient, anti-FXIII-A antibody led to severe
bleedings with fatal outcome. The neutralizing autoantibody bound to FXIII with
high affinity (Ka~10(9) m(-1) ). The dominant effect of the autoantibody was the
inhibition of activated FXIII. SUMMARY: Autoantibodies may develop against the
catalytic A subunit of factor XIII (FXIII-A) or the carrier B subunit (FXIII-B).
Autoimmune FXIII-A deficiency was diagnosed in an elderly (75 years) patient with
severe bleeding symptoms. The patient had 3% FXIII activity, and unmeasurable
FXIII-A2 B2 and FXIII-A antigens in the plasma, whereas, in the platelet lysate,
activity and FXIII-A antigen values were normal. As revealed by western blotting,
FXIII antigen was present in the plasma, but the autoantibody interfered with the
immunoassays. A mixing study indicated the presence of inhibitor with a titer of
63.2 Bethesda units (BU). The patient's IgG bound to FXIII-A2 B2 and to FXIII-A2
with equally high affinity (Ka in the range of 10(9) m(-1) ). It exerted a
multiple inhibitory effect on FXIII activation/activity (IC50: 50 MUg mL(-1) ).
Immunosupressive therapy gradually decreased the autoantibody titer to 8.0 BU,
but FXIII activity remained very low, and, owing to recurrent bleeding, the
patient died.
PMID- 27208813
TI - 'The nice thing about doctors is that you can sometimes get a day off school': an
action research study to bring lived experiences from children, parents and
hospice staff into medical students' preparation for practice.
AB - : Patient and public involvement in healthcare is important to ensure services
meet their needs and priorities. Increasingly, patient experiences are being used
to educate healthcare professionals. The potential contribution to medical
education of children and parents using hospice services has not yet been fully
explored. OBJECTIVES: (1) To explore perceptions of what medical students must
learn to become 'good doctors' among children, parents and staff in a hospice.
(2) To collaborate with children/parents and staff to develop educational
materials based on their lived experiences for medical students. (3) To assess
feasibility of student-led action research in a children's hospice to develop
research skills. METHODS: Prospective ethical approval received. Volunteer
children (n=7), parents (n=5) and staff (n=6) were recruited from a children's
hospice. Data were generated in audio-recorded semistructured focus groups,
individual interviews and/or activity workshops. Participants discussed what
newly qualified doctors' needed to care for children with life-limiting
conditions. Audio data were transcribed and combined with visual data for
thematic analysis. Findings were refined by participant feedback. This paper
presents thematic findings and educational material created from the project.
RESULTS: Thematic analysis identified six learning themes: (1) treat children as
individuals; (2) act as a person before being a doctor; (3) interpersonal
communication; (4) appreciate the clinical environment; (5) learn from children,
parents and other staff; (6) how to be a doctor as part of a team. The student
researcher successfully developed qualitative research skills, coproducing
materials with participants for sharing learning derived from lived experiences.
CONCLUSIONS: All participants were willing and able to make valuable
contributions, and believed that this was a worthwhile use of time and effort.
Further work is required to understand how best to integrate the experiences of
children in hospices into medical education.
PMID- 27208814
TI - Impaired perception of facial emotion in developmental prosopagnosia.
AB - Developmental prosopagnosia (DP) is a neurodevelopmental condition characterised
by difficulties recognising faces. Despite severe difficulties recognising facial
identity, expression recognition is typically thought to be intact in DP; case
studies have described individuals who are able to correctly label photographic
displays of facial emotion, and no group differences have been reported. This
pattern of deficits suggests a locus of impairment relatively late in the face
processing stream, after the divergence of expression and identity analysis
pathways. To date, however, there has been little attempt to investigate emotion
recognition systematically in a large sample of developmental prosopagnosics
using sensitive tests. In the present study, we describe three complementary
experiments that examine emotion recognition in a sample of 17 developmental
prosopagnosics. In Experiment 1, we investigated observers' ability to make
binary classifications of whole-face expression stimuli drawn from morph
continua. In Experiment 2, observers judged facial emotion using only the eye
region (the rest of the face was occluded). Analyses of both experiments revealed
diminished ability to classify facial expressions in our sample of developmental
prosopagnosics, relative to typical observers. Imprecise expression
categorisation was particularly evident in those individuals exhibiting
apperceptive profiles, associated with problems encoding facial shape accurately.
Having split the sample of prosopagnosics into apperceptive and non-apperceptive
subgroups, only the apperceptive prosopagnosics were impaired relative to typical
observers. In our third experiment, we examined the ability of observers' to
classify the emotion present within segments of vocal affect. Despite
difficulties judging facial emotion, the prosopagnosics exhibited excellent
recognition of vocal affect. Contrary to the prevailing view, our results suggest
that many prosopagnosics do experience difficulties classifying expressions,
particularly those with apperceptive profiles. These individuals may have
difficulties forming view-invariant structural descriptions at an early stage in
the face processing stream, before identity and expression pathways diverge.
PMID- 27208815
TI - Identifying grey matter changes in schizotypy using partial least squares
correlation.
AB - Neuroimaging research into the brain structure of schizophrenia patients has
shown consistent reductions in grey matter volume relative to healthy controls.
Examining structural differences in individuals with high levels of schizotypy
may help elucidate the course of disorder progression, and provide further
support for the schizotypy-schizophrenia continuum. Thus far, the few studies
investigating grey matter differences in schizotypy have produced inconsistent
results. In the current study, we used a multivariate partial least squares (PLS)
approach to clarify the relationship between psychometric schizotypy (measured by
the Oxford-Liverpool Inventory of Feelings and Experiences) and grey matter
volume in 49 healthy adults. We found a negative association between all
schizotypy dimensions and grey matter volume in the frontal and temporal lobes,
as well as the insula. We also found a positive association between all
schizotypy dimensions and grey matter volume in the parietal and temporal lobes,
and in subcortical regions. Further correlational analyses revealed that positive
and disorganised schizotypy were strongly associated with key regions (left
superior temporal gyrus and insula) most consistently reported to be affected in
schizophrenia and schizotypy. We also compared PLS with the typically used
General Linear Model (GLM) and demonstrate that PLS can be reliably used as an
extension to voxel-based morphometry (VBM) data. This may be particularly
valuable for schizotypy research due to PLS' ability to detect small, but
reliable effects. Together, the findings indicate that healthy schizotypal
individuals exhibit structural changes in regions associated with schizophrenia.
This adds to the evidence of an overlap of phenotypic expression between
schizotypy and schizophrenia, and may help establish biological endophenotypes
for the disorder.
PMID- 27208817
TI - Medium-term Outcomes of Urolift (Minimum 12 Months Follow-up): Evidence From a
Systematic Review.
AB - The urolift device has emerged as a novel intervention for men with lower urinary
tract symptoms secondary to benign prostatic hyperplasia, and in recent years
there has been an increasing amount of primary research published on it. However,
critical medium-term appraisal remains lacking. Our objective was to perform a
systematic review and therefore identify, appraise, and synthesize the existing
evidence for the minimally invasive technique.
PMID- 27208816
TI - The superior colliculus is sensitive to gestalt-like stimulus configuration in
hemispherectomy patients.
AB - Patients with cortical blindness following a lesion to the primary visual cortex
(V1) may retain nonconscious visual abilities (blindsight). One intriguing,
though largely unexplored question, is whether nonconscious vision in the blind
hemifield of hemianopic patients can be sensitive to higher-order perceptual
organization, and which V1-independent structure underlies such effect. To answer
this question, we tested two rare hemianopic patients who had undergone
hemispherectomy, and in whom the only post-chiasmatic visual structure left
intact in the same side of the otherwise damaged hemisphere was the superior
colliculus (SC). By using a variant of the redundant target effect (RTE), we
presented single dots, patterns composed by the same dots organized in quadruple
gestalt-like configurations, or patterns of four dots arranged in random
configurations, either singly to the intact visual hemifield or bilaterally to
both hemifields. As reported in a number of prior studies on blindsight patients,
we found that bilateral stimulation yielded faster reaction times (RTs) than
single stimulation of the intact field for all conditions (i.e., there was an
implicit RTE). In addition to this effect, both patients showed a further
speeding up of RTs when the gestalt-like, but not the random shape, quadruple
patterns were projected to their blind hemifield during bilateral stimulation.
Because other retino-recipient subcortical and cortical structures in the damaged
hemisphere are absent, the SC on the lesioned side seems solely responsible for
such an effect. The present results provide initial support to the notion that
nonconscious vision might be sensitive to perceptual organization and stimulus
configuration through the pivotal contribution of the SC, which can enhance the
processing of gestalt-like or structured stimuli over meaningless or randomly
assembled ones and translate them into facilitatory motor outputs.
PMID- 27208818
TI - The Effect of a Pure Anti-inflammatory Therapy on Reducing Prostate-specific
Antigen Levels in Patients Diagnosed With a Histologic Prostatitis.
AB - OBJECTIVE: To investigate the effectiveness and the tolerability of a combined
pure anti-inflammatory therapy not associated with antibiotics on reducing PSA
levels. MATERIALS AND METHODS: Patients with a previous biopsy negative for
prostate cancer and showing persisting level of prostate-specific antigen (PSA)
greater than 4 ng/dl were recruited. The specimens of previous biopsy were
classified as benign or showing inflammation. Eligible patients were divided into
2 equal groups. In group 1, men with histological findings of inflammation at the
previous prostatic biopsy were selected, in group 2, patients without such
findings were included. Men of both groups were treated for 3 months with the
same pure anti-inflammatory scheme including nimesulide, Serenoa repens,
bromelain, and quercetin. After treatment, PSA levels were determined again.
Independently by the second PSA determinations, all patients underwent a second
16 core biopsy. RESULTS: A total of 140 patients were enrolled. No adverse
reactions were reported. Total PSA lowered from 7.3 ng/mL at baseline to 4.6
ng/mL (P <.0001) after treatment in group 1, and from 7.2 ng/mL to 7 ng/mL (P =
.0005) in group 2. Overall, we diagnosed a prostate cancer at the second biopsy
in 27 men among 140 (19.2%). The percentage of cancer at re-biopsy was 20% (14 of
70) in group 1 and 18.5% (13 of 70) in group 2. We found no cancer at the second
biopsy in cases of PSA reduction below 4 ng/mL in both groups. CONCLUSION: Our
protocol was very effective and safe in reducing PSA levels. The second biopsy
failed to show prostate cancer in all patients with PSA lower than 4 ng/mL.
PMID- 27208819
TI - Is the Economic Impact and Utilization of Imaging Studies for Pediatric
Urolithiasis Across the United States Increasing?
AB - OBJECTIVE: To identify longitudinal trends of economic impact and resource
utilization for management of pediatric urolithiasis using national databases.
METHODS: We analyzed the 2006-2012 Nationwide Emergency Department Sample and
Nationwide Inpatient Sample. We used ICD-9 (International Classification of
Diseases) codes to identify patients (<=18 years) diagnosed with urolithiasis.
Diagnostic imaging and surgeries were identified using ICD-9 and Current
Procedural Technology codes. We abstracted demographic, imaging, procedure, and
charge data. Weighted descriptive statistics were calculated to describe the
population's demographics and economic expenditures by clinical setting and year.
RESULTS: In total, 45,333 inpatient admissions (68% females) and 234,559
emergency department encounters (63% females) were identified. Most patients
(84%) were teenagers and the southern region of the United States was the most
common geographic region for all encounters (44%). There was no significant trend
in number of urolithiasis encounters over the period studied. Utilization of all
imaging techniques increased; in particular, computed tomography was used in 23%
of encounters in 2006 and 40% in 2012 (P < .0001). The mean charge per emergency
department visit increased by 60% from $3645 in 2006 to $5827 in 2012 (P <
.0001). The mean charge increased for inpatient admissions by 102%, from $16,399
in 2006 to $33,205 in 2012 (P < .0001). Total charges increased 72% over the
study period from $230 million in 2006 to $395 million in 2012 (P < .0001),
outpacing medical inflation over the same period. CONCLUSION: Charges for
pediatric urolithiasis management increased by 65% from 2006 to 2012 despite
stable frequency of patient encounters. The utilization of computerized
tomography in pediatric urolithiasis increased as well.
PMID- 27208820
TI - Potential role of reactive oxygen species and antioxidant genes in the regulation
of peach fruit development and ripening.
AB - The roles of reactive oxygen species (ROS) as both toxic by-products and as
signaling molecules have been reported in fruit development and ripening.
Superoxide dismutase (SOD), catalase (CAT) and glutathione peroxidase (GPX) play
important roles in balancing the induction and removal of ROS in plants, and are
respectively encoded by families of closely homologous genes. In the present
study, we investigated the roles of ROS and the above-mentioned antioxidant genes
during the development and ripening of peach fruit. The experimental results
indicated that O2(-) and H2O2 acted as potential signaling molecules in the
middle stage of fruit development, and only H2O2 might function as a main toxic
molecule to stimulate lipid peroxidation and oxidative stress in the late stage
of fruit ripening. PpaCu/Zn-SODs were the most abundant members in the PpaSOD
gene family and they expressed steadily in peach fruit development and ripening.
Low temperature (4 degrees C) postponed and suppressed the climacteric peaks of
respiration and ethylene, significantly enhanced the activities of CAT and GPX,
and up-regulated the expression of PpaCAT1 and PpaGPX6 in the late stage of fruit
ripening. PpaCAT1 and PpaGPX6 were two key genes in alleviating oxidative stress
in the late stage of fruit ripening.
PMID- 27208821
TI - Transcriptome-wide identification and expression analysis of glutathione S
transferase genes involved in flavonoids accumulation in Dracaena cambodiana.
AB - Dragon's blood is a traditional medicine widely used in the world, and the main
components of which are flavonoids. However, little is known about its formation
mechanism. Previous studies indicate that plant glutathione S-transferase (GST)
genes are involved in transportation of flavonoids from cytosolic synthesis to
vacuolar accumulation. In this study, 20 Dracaena cambodiana GST genes (DcGSTs)
were identified based on transcriptome database. Phylogenetic analysis revealed
that 20 DcGSTs belonged to seven different classes. Tissue-specific expression
analysis suggested that DcGSTs displayed differential expressions either in their
transcript abundance or expression patterns under normal growth conditions. The
transcript profiles of three DcGSTs in response to the inducer of dragon's blood
were strongly correlated with flavonoids biosynthetic genes, consistent with
dragon's blood accumulation. Our survey provides useful information for future
studies on GST genes involved in dragon's blood formation in D. cambodiana.
PMID- 27208822
TI - Alternative sampling strategies for the assessment of alcohol intake of living
persons.
AB - Monitoring of alcohol consumption by living persons takes place in various
contexts, amongst which workplace drug testing, driving under the influence of
alcohol, driving licence regranting programs, alcohol withdrawal treatment,
diagnosis of acute intoxication or fetal alcohol ingestion. The matrices that are
mostly used today include blood, breath and urine. The aim of this review is to
present alternative sampling strategies that allow monitoring of the alcohol
consumption in living subjects. Ethanol itself, indirect (carbohydrate deficient
transferrin, CDT%) as well as direct biomarkers (ethyl glucuronide, EtG; ethyl
sulphate, EtS; fatty acid ethyl esters, FAEEs and phosphatidylethanol species,
PEths) of ethanol consumption will be considered. This review covers dried blood
spots (CDT%, EtG/EtS, PEths), dried urine spots (EtG/EtS), sweat and skin surface
lipids (ethanol, EtG, FAEEs), oral fluid (ethanol, EtG), exhaled breath (PEths),
hair (EtG, FAEEs), nail (EtG), meconium (EtG/EtS, FAEEs), umbilical cord and
placenta (EtG/EtS and PEth 16:0/18:1). Main results, issues and considerations
specific to each matrix are reported. Details about sample preparation and
analytical methods are not within the scope of this review.
PMID- 27208823
TI - Alterations in triglyceride rich lipoproteins are related to endothelial
dysfunction in metabolic syndrome.
AB - Our aim was to analyze the effect of circulating triglyceride rich lipoprotein
(TRL) on endothelial function in metabolic syndrome (MetS). METHODS: We studied
40 patients with MetS (ATPIII), divided into those presenting normal endothelial
function (n=19) and those with endothelial dysfunction (n=21) by means of the
evaluation of pulse wave velocity, before and after brachial artery ischemia. In
fasting serum we measured lipid and lipoprotein profile, insulin and glucose
(HOMA-IR). Moreover, isolated TRL (d<1006g/l) were chemically characterized. In
parallel, using randomly selected TRL from MetS patients with endothelial
dysfunction (n=6) and MetS patients with normal endothelial function (n=6), the
ability of TRL to inhibit ACh-induced vasorelaxation (10(-9)-10(-5)mM) on aortic
rings previously pre-contracted by noradrenaline (10(-8)mM) was evaluated.
RESULTS: Interestingly, TRL isolated from MetS patients presenting endothelial
dysfunction showed triglyceride over-enrichment (59.1+/-4.8 vs. 54.1+/-4.7%;
p=0.04), even after adjusting by potential confounders (p=0.05). In addition,
while TRL resulting from both MetS groups significantly inhibited endothelium
dependent vasorelaxation (p<0.001), TRL from MetS patients with endothelial
dysfunction showed a strong tendency to a greater inhibition of vasorelaxation
(p=0.06). Moreover, TRL-triglyceride (%) showed a strong tendency to correlate
with the grade of vasorelaxation inhibition exerted by TRL (r=0.60; p=0.05).
CONCLUSION: These results, taken together, would allow inferring for the first
time that the predominance of triglyceride over-enriched TRL in circulation in
MetS would induce endothelial dysfunction, contributing to the inherent
cardiovascular risk of MetS.
PMID- 27208824
TI - Cortisol awakening response and cognitive performance in hypertensive and
normotensive older people.
AB - Healthy older people with a cortisol awakening response (CAR) of decreased
magnitude show worse frontal cortex-related cognitive performance. Systemic
hypertension has been related to a CAR of decreased magnitude. Additionally,
worse executive function and processing speed have been observed in older people
with systemic hypertension. This is the first study to examine the relationship
between the CAR (measured with six saliva samples at home on two consecutive
weekdays) and cognitive performance, in both hypertensive (n=26) and normotensive
(n=28) older people (from 56 to 78years old). Hypertensive participants showed
lower morning cortisol secretion, and they also woke up earlier. No differences
in CAR were observed. A CAR of decreased magnitude was related to worse executive
function in both hypertensive and normotensive participants, but to slower
processing speed only in normotensive participants. Being treated with
antihypertensive for a longer period of time was related to a CAR of increased
magnitude and better performance on executive function. Our findings suggest that
earlier awakening time in hypertensive older people might underlie the lower
overall morning cortisol secretion observed in previous studies. Additionally,
this study confirms that a dysregulation of the CAR is related to worse executive
function, and it extends this association to hypertensive older people. Finally,
it is worth noting that hypertension may moderate the relationship between CAR
and processing speed.
PMID- 27208825
TI - A smoothness constraint on the development of object recognition.
AB - Understanding how the brain learns to recognize objects is one of the ultimate
goals in the cognitive sciences. To date, however, we have not yet characterized
the environmental factors that cause object recognition to emerge in the newborn
brain. Here, I present the results of a high-throughput controlled-rearing
experiment that examined whether the development of object recognition requires
experience with temporally smooth visual objects. When newborn chicks (Gallus
gallus) were raised with virtual objects that moved smoothly over time, the
chicks developed accurate color recognition, shape recognition, and color-shape
binding abilities. In contrast, when newborn chicks were raised with virtual
objects that moved non-smoothly over time, the chicks' object recognition
abilities were severely impaired. These results provide evidence for a
"smoothness constraint" on newborn object recognition. Experience with temporally
smooth objects facilitates the development of object recognition.
PMID- 27208827
TI - Which children receive vigabatrin? Characteristics of pediatric patients enrolled
in the mandatory FDA registry.
AB - Vigabatrin (Sabril(r)) is an antiepileptic drug (AED) currently indicated in the
US as a monotherapy for patients 1month to 2years of age with infantile spasms
(IS) and as adjunctive therapy for patients >=10years of age with refractory
complex partial seizures (rCPS) whose seizures have inadequately responded to
several alternative treatments and for whom the potential benefits outweigh the
risk of vision loss. The approval required an FDA mandated registry. This article
describes 5years of demographic and treatment exposure data from US pediatric
patients (<17years). Participation is mandatory for all US Sabril(r) prescribers
and patients. A benefit-risk assessment must be documented for patient
progression to maintenance therapy. This includes demographic diagnosis and
reports of ophthalmologic assessments (where available). Patient data were
grouped by age as proxies for indication (IS: <3years, rCPS: >=3 to <17years). As
of August 26, 2014, 5546/6823 enrolled patients were pediatric/total; 4472 (81%)
were vigabatrin-naive. Seventy-one percent of patients were <3years of age; 29%
were >=3 to <17years of age. Etiologies of IS were identified as cryptogenic
(21%), symptomatic tuberous sclerosis (17%), and symptomatic other (42%). The
majority of patients with IS (56%) attempted no prior treatments; 16% received
adrenocorticotropic hormone prior to vigabatrin. A third of patients with IS were
receiving 1 concomitant treatment with vigabatrin. For patients with rCPS, 39%
attempted 1-3 prior treatments; 27% were receiving 2 concomitant treatments at
enrollment. A total of 1852 (41%) patients did not undergo baseline
ophthalmological assessment; 25% of patients with IS and 42% of patients with
rCPS were exempted for neurologic disabilities. Kaplan-Meier estimates predict
that 71% and 65% of vigabatrin-naive patients with IS and rCPS, respectively,
would remain in the registry at 6months. Most pediatric vigabatrin patients have
IS as an underlying diagnosis, especially those <3years of age. A proportion of
those with rCPS remain on long-term vigabatrin despite the risk of adverse
events.
PMID- 27208828
TI - Differential responsiveness of the right parahippocampal region to electrical
stimulation in fixed human brains: Implications for historical surgical
stimulation studies?
AB - If structure dictates function within the living human brain, then the
persistence of specific responses to weak electric currents in fixed, deceased
brains could reflect "hardwired" properties. Different key structures from the
left and right hemispheres of brains that had been fixed for over 20years with
ethanol-formalin-acetic acid were stimulated with either 1-Hz, 7-Hz, 10-Hz, 20
Hz, or 30-Hz, sine-wave, square-wave, or pulsed currents while needle-recorded
quantitative electroencephalographic responses were obtained. Differential
responses occurred only within the right hippocampus and parahippocampal gyrus.
The right hippocampus displayed frequency-independent increases in gamma power
relative to the left hemispheric homologue. The parahippocampal region responded
exclusively to 7-Hz pulsed currents with wideband (8-30Hz) power. These profiles
are consistent with dynamic connections associated with memory and consciousness
and may partially explain the interactions resultant of pulse type and hemisphere
for experiential elicitations during the golden age of surgical stimulations. The
results also indicate that there may be an essential "hardwiring" within the
human brain that is maintained for decades when it is fixed appropriately.
PMID- 27208826
TI - Epilepsy misconceptions and stigma reduction: Current status in Western
countries.
AB - OBJECTIVE: This systematized literature review identified reports describing
epilepsy misconceptions in the developed Western countries and research
interventions focused on reducing these misconceptions. MATERIALS AND METHODS:
English language publications from January 2004 to January 2015 that described
original research conducted in Europe, North/Central/South America, or Australia
on misconceptions about epilepsy among the general public were used for this
review. RESULTS: Eighty-one publications were selected. Most studies were
conducted in the Americas (N=30) and Europe (N=31). Misconceptions and attitudes
about epilepsy were assessed among clinical providers (N=9), family members of
people with epilepsy (PWE) (N=5), teachers (N=11), students (N=22), and the
general public (N=25). Most studies used structured questionnaires, sometimes
adding open-ended questions. Misconceptions reflected socially exclusionary
attitudes directed at PWE, ignorance about treatment, and overgeneralizations
that are stigmatizing when applied to all PWE. Misconceptions were more prevalent
in those with less education, lower socioeconomic status, and no exposure to PWE.
There were only 12 intervention studies. While intervention studies were
generally effective in improving attitudes, many were targeted to healthcare and
education settings, were time-intensive, and impractical for broad general
population implementation. None incorporated newer technology-based strategies
regarding effective health communication approaches. CONCLUSIONS: Types of
epilepsy misconceptions were similar in reports published over the last decade,
although most referred to misconceptions that have already been previously
described. Existing questionnaires may fail to identify more subtle forms of
current misconceptions and negative attitudes. Few interventional studies
specifically target epilepsy stigma. Practical and broad scalable approaches to
destigmatize epilepsy may help reduce misconceptions.
PMID- 27208829
TI - Why are there lasting effects from exposure to stress during development? An
analysis of current models of early stress.
AB - The potential for stressful experiences in early life to cause lasting changes in
phenotype is well documented, but the functional and evolutionary context of
these changes is not well understood. Many hypotheses have been proposed to
explain the role of lasting effects of stress exposure during gestation and early
development; the purpose of this review is to discuss these hypotheses in the
context of human and non-human animal research in the last three decades in order
to (i) further dialogues between those approaching early stress from biomedical
and evolutionary/ecological perspectives, (ii) outline strengths and limitations
of current hypotheses, with respect to species and context-specific effects of
exposure to stress in early development, and (iii) address recent evidence
suggesting that stress in early development can have beneficial effects in
adulthood. It is suggested that the hypotheses discussed are not mutually
exclusive, but the applicability of each hypothesis will depend upon the
environmental conditions and stability a species, or perhaps even an individual,
experiences in their lifetime. Potential investigations to clarify applications
of the current hypotheses are discussed, including longitudinal studies that span
multiple developmental stages and investigations of species where measures of
fitness are possible.
PMID- 27208831
TI - Effects of left primary motor and dorsolateral prefrontal cortex transcranial
direct current stimulation on laser-evoked potentials in migraine patients and
normal subjects.
AB - Migraine is characterized by an altered cortical excitability. Because
transcranial direct current stimulation (tDCS) can change brain activity
noninvasively, it is possible to hypothesize its efficacy in modulating pain in
migraine. In this study, we compared the effects of tDCS of the left primary
motor cortex (M1) and left dorsolateral prefrontal cortex (DLPFC) both on
subjective pain and on evoked responses induced by laser stimulation (LEPs).
Thirty-two patients and sixteen controls were randomized to receive sham
stimulation and real tDCS with the anode centered over M1 or DLPFC. Laser Evoked
potentials were recorded in basal, sham and tDCS conditions. We did not find
significant acute changes in LEPs parameters and pain perception among subjects
who received tDCS of both M1 and DLPFC. After DLPFC tDCS, we observed a
significant increase of N2-P2 component habituation in migraine patients while M1
stimulation reduced it. These findings may suggest a modulation of abnormal pain
processing induced by DLPFC and M1 anodal tDCS and outline the need for future
investigations exploring the possible neuronal plasticity changes supporting the
clinical effect on migraine.
PMID- 27208830
TI - Pathways to precision medicine in smoking cessation treatments.
AB - Cigarette smoking is highly addictive and modern genetic research has identified
robust genetic influences on nicotine dependence. An important step in
translating these genetic findings to clinical practice is identifying the
genetic factors affecting smoking cessation in order to enhance current smoking
cessation treatments. We reviewed the significant genetic variants that predict
nicotine dependence, smoking cessation, and response to cessation
pharmacotherapy. These data suggest that genetic risks can predict smoking
cessation outcomes and moderate the effect of pharmacological treatments. Some
pharmacogenetic findings have been replicated in meta-analyses or in multiple
smoking cessation trials. The variation in efficacy between smokers with
different genetic markers supports the notion that personalized smoking cessation
intervention based upon genotype could maximize the efficiency of such treatment
while minimizing side effects, thus influencing the number needed to treat (NNT)
and the number needed to harm. In summary, as precision medicine is
revolutionizing healthcare, smoking cessation may be one of the first areas where
genetic variants may identify individuals at increased risk. Current evidence
strongly suggests that genetic variants predict cessation failure and that
cessation pharmacotherapy effectiveness is modulated by biomarkers such as
nicotinic cholinergic receptor alpha5 subunit (CHRNA5) genotypes or nicotine
metabolism ratio (NMR). These findings strengthen the case for the development
and rigorous testing of treatments that target patients with different biological
risk profiles.
PMID- 27208832
TI - (+)-Pentazocine reduces oxidative stress and apoptosis in microglia following
hypoxia/reoxygenation injury.
AB - BACKGROUND: Sigma-1 receptors (sigma1R) are highly expressed in neurons as well
as microglia and have been shown to modulate the inflammatory response in the
central nervous system and thus may serve as possible target for neuroprotective
strategies. The aim of the present study was to test the effect of (+)
pentazocine, a putative sigma 1R agonist, in an in vitro model of microglia
activation. METHODS: Microglia (BV2 cells) was exposed (3h) to 1% oxygen and
reoxygenation was allowed for 24h. Cells were treated with different
concentrations (1, 10, 25 and 50MUM) of (+)-pentazocine in the presence or
absence of NE-100 (1MUM), a well established sigma1R antagonist. Cell viability
and apoptosis were measured by cytofluorimetric analysis, whereas oxidative
stress was evaluated by reduced glutathione (GSH) content and mitochondrial
potential analysis. RESULTS: Our results showed that (+)-pentazocine was able to
increase cell viability and restore mitochondrial potential at all concentrations
whereas only 1 and 10MUM were able to reduce significantly apoptotic cell death,
to restore reduced glutathione intracellular content and prevent ERK1/2
phosphorylation. All these effects were abolished by concomitant treatment with
NE-100. CONCLUSIONS: (+)-pentazocine exhibits significant dose dependent
protective effects in our in vitro model of microglial activation thus suggesting
that sigma1R may represent a possible target for neuroprotection.
PMID- 27208833
TI - Disruption of the HPA-axis through corticosterone-release pellets induces robust
depressive-like behavior and reduced BDNF levels in mice.
AB - The corticosterone mouse model is widely used in preclinical research towards a
better understanding of mechanisms of major depression. One particular
administration procedure is the subcutaneous implantation of corticosterone slow
release pellets. In this report we want to provide basic evidence, regarding
behavioral changes, neurotransmitter and -modulator levels and some other
relevant biomolecules after hypothalamic-pituitary-adrenal-axis distortion. We
show that three weeks of corticosterone pellet exposure robustly induces
depressive-like but not anxiety-like behavior in mice, accompanied by a
significant decrease in hippocampal brain-derived neurotrophic factor levels, at
five weeks after the start of treatment. Furthermore there is an overall decrease
in plasma corticosterone levels after three weeks of treatment that lasts up
until the five weeks' time point. On the other hand, no differences are observed
in total monoamine, glutamate or d-serine levels, nor in glucocorticoid receptor
expression, in various depression-related brain areas. Altogether this
characterization delivers vital information, supplementary to existing
literature, regarding the phenotyping of pellet-induced hypothalamic-pituitary
adrenal-axis disruption in mice following three weeks of continuous
corticosterone exposure.
PMID- 27208835
TI - Atrial fibrillation: novel risk factors, mechanisms and ablation techniques.
PMID- 27208836
TI - CardioPulse Articles.
PMID- 27208834
TI - Efficient generation of dopaminergic-like neurons by overexpression of Nurr1 and
Pitx3 in mouse induced Pluripotent Stem Cells.
AB - Parkinson's disease (PD) is a neurodegenerative disorder, in which the nigro
striatal Dopaminergic (DAergic) neurons are selectively lost. Treatment of
neurodegenerative diseases with Pluripotent Stem Cells (PSCs) is a big interest
in cell therapy. Here, we used induced Pluripotent Stem Cells (iPSCs) expressing
two master Dopaminergic (DAergic) transcription factors, i.e. Nurr1 and Pitx3, to
generate functional in vitro DAergic-like neurons. After establishment and
characterization of Doxycycline-inducible iPSCs from mouse fibroblasts, the cells
were transduced by NURR1- and PITX3-harboring lentiviruses. The Nurr1/Pitx3
iPSCs were differentiated through a five-stage protocol to generate DAergic-like
neurons. The results confirmed the efficient expression of DAergic neuron markers
in the end of protocol. Beside, the generated cells could exclusively synthesize
and secrete Dopamine in response to secretagogues. In conclusion, overexpression
of Nurr1 and Pitx3 in iPSCs could efficiently program iPSCs into functional
DAergic-like neurons. This finding may have an impact on future stem cell therapy
of PD.
PMID- 27208837
TI - Osteoradionecrosis in patients with salivary gland malignancies.
AB - PURPOSE: The present study was undertaken to evaluate osteoradionecrosis (ORN) in
patients with salivary gland malignancies (SGM) after treatment with radiation
therapy. MATERIALS AND METHODS: The medical records of 172 patients treated with
radiation therapy for SGM during a 12-year period (August 2001 to November 2013)
were reviewed. Incidence, time to event, staging and management of ORN were
analyzed. RESULTS: Of the 172 patients, 7 patients (4%) developed ORN (median
latency: 19months, range: 4-72months). Of those 7 patients, 4 required major
surgery, 1 required hyperbaric oxygen therapy (HBO), one required minor
debridement, and one required conservative management. Total prescribed radiation
dose varied from 50Gy (1 case) to 70Gy (1 case) among those patients who
developed ORN, and radiotherapy was delivered postoperatively after osseous
resection in 4 of 7 cases. Three of the 7 cases of ORN occurred after traumatic
injury to the bone. Of the 7 patients who developed ORN, 3 had SGM of the major
glands, 3 had other sites of the oral cavity, and 1 had a sinonasal location.
CONCLUSION: While the rate of ORN after radiotherapy for SGM was somewhat lower
(4%) than previously published data on patients with squamous cell carcinomas of
the head and neck treated with radiation therapy (8-14%), ORN necessitating major
surgery remains a clinically significant, possible late effect of radiotherapy in
SGM survivors. Location of SGM is very important, with cases that developed ORN
disproportionally having primary disease arising in the oral cavity.
PMID- 27208838
TI - Validation of metabolic tumor volume as a prognostic factor for oral cavity
squamous cell carcinoma treated with primary surgery.
AB - BACKGROUND: Despite the promise of metabolic tumor volume (MTV) as a risk
stratifying marker, the retrospective design of the initial study limits its
generalizability. Therefore, this study sought to validate MTV as a prognostic
factor for oral cavity squamous cell carcinoma (OCSCC) treated with primary
surgery within an independent data set. METHODS: The validation data set
consisted of 42 patients diagnosed with OCSCC between 2008 and 2012. The original
cohort consisted of 80 patients. MTV and SUVmax were calculated for the primary
tumor and nodal metastasis separately, as well as combined. Before statistical
analysis, MTV and SUVmax values were divided into intertertile thirds to allow
for intergroup survival analysis. Validation analysis was conducted on the
validation data set alone. Data from both cohorts were then combined (n=122) to
increase statistical power. RESULTS: An increase in combined MTV of 17.5cm(3) was
associated with statistically significant increase in risk of disease recurrence
(HR=19.2, p<0.001) and death (HR=9.2, p<0.05). Combined SUVmax failed to predict
overall (HR=1.0, p>0.05) and disease-free survival (HR=1.0, p>0.05). Increase in
the MTV of the primary tumor was associated with an increase in the risk of
disease recurrence (HR=21.7, p=0.0001) and risk of death (HR=7.0, p=0.0001),
while increase in the MTV of the locoregional neck metastasis was not (p>0.05).
An MTV cutoff value of greater than 10.2cm(3) was found to significantly affect
survival. CONCLUSION: Due to the reproducibility of MTV findings, this study
validates MTV as an independent prognostic factor for OCSCC treated with primary
surgery.
PMID- 27208840
TI - Severe late dysphagia and cause of death after concurrent chemoradiation for
larynx cancer in patients eligible for RTOG 91-11.
AB - PURPOSE: The long-term results of RTOG 91-11 suggested increased deaths not
attributed to larynx cancer after concomitant chemoradiotherapy (CRT) despite no
apparent increase in late effects. Because the timing of events was not reported
by RTOG 91-11, one possibility is that severe late dysphagia (SLD) develops
beyond five years and leads to unreported treatment-related deaths. Here we
explore the timing of SLD after CRT. METHODS: Patients who would have met
eligibility criteria for RTOG 91-11 and were treated with CRT between 1993 and
2013 were identified. Events occurring beyond 3months after treatment and
suggestive of SLD were recorded including esophageal stricture dilations,
hospital admissions for aspiration pneumonia or feeding-tube insertion. Feeding
tube dependence beyond one year was also considered SLD. The cumulative incidence
of SLD and its components was quantified using Gray's competing risk analysis
with recurrence or death considered competing risks. RESULTS: Eighty-four
patients were included with a median follow-up of 43months. The 5-year overall
survival was 70% (95% CI 58-80%). No death was directly a result of treatment
induced late dysphagia. The 5-year incidence of SLD was 26.5%. While 15 of 18
(83%) first stricture dilations occurred within 5years after CRT, 3 of 5 (60%)
aspiration admissions and 5 of 8 late feeding tube insertions occurred beyond
five years from CRT. CONCLUSIONS: SLD is common after CRT for larynx cancer and
can occur beyond 5years from the end of treatment, emphasizing the importance of
survivorship follow-up. Despite the incidence of SLD, death related to dysphagia
is uncommon.
PMID- 27208841
TI - Third party assessment of resection margin status in head and neck cancer.
AB - BACKGROUND: Definitive assessment of primary site margin status following
resection of head and neck cancer is necessary for prognostication, treatment
determination and qualification for clinical trials. This retrospective analysis
determined how often an independent reviewer can assess primary tumor margin
status of head and neck cancer resections based on review of the pathology
report, surgical operative report, and first follow-up note alone. METHODS: We
extracted from the electronic medical record pathology reports, operative
reports, and follow-up notes from head and neck cancer resections performed at
Stanford Hospital. We classified margin status as definitive or not. We labeled
any pathology report clearly indicating a positive, negative, or close (<5mm)
margin as definitive. For each non-definitive pathology report, we reviewed the
operative report and then the first follow-up note in an attempt to clarify
margin status. We also looked for associations between non-definitive status and
surgeon, year, and primary site. RESULTS: 743 unique cases of head and neck
cancer resection were extracted. We discarded 255 as non-head and neck cancer
cases, or cases that did not involve a definitive resection of a primary tumor
site. We could not definitively establish margin status in 20% of resections by
independent review of the medical record. There was no correlation between margin
determination and surgeon, site, or year of surgery. CONCLUSION: A substantial
fraction (20%) of primary site surgical margins could not be definitively
determined via independent EMR review. This could have implications for
subsequent patient care decisions and clinical trial options.
PMID- 27208839
TI - microRNA-21 and microRNA-375 from oral cytology as biomarkers for oral tongue
cancer detection.
AB - OBJECTIVE: We previously performed a meta-analysis of microRNA profiling studies
on head and neck/oral cancer (HNOC), and identified 11 consistently dysregulated
microRNAs in HNOC. Here, we evaluate the diagnostic values of these microRNAs in
oral tongue squamous cell carcinoma (OTSCC) using oral cytology samples.
MATERIALS AND METHODS: The levels of 11 microRNAs were assessed in 39 oral
cytology samples (19 OTSCC and 20 normal subjects), and 10 paired OTSCC and
adjacent normal tissues. The predictive power of these microRNAs was analyzed by
receiver operating characteristic curve (ROC) and random forest (RF) model. A
classification and regression trees (CART) model was generated using miR-21 and
miR-375, and further validated using both independent oral cytology validation
sample set (14 OTSCC and 11 normal subjects) and tissue validation sample set (12
paired OTSCC and adjacent normal tissues). RESULTS: Differential expression of
miR-21, miR-100, miR-125b and miR-375 was validated in oral cytology training
sample set. Based on the RF model, the combination of miR-21 and miR-375 was
selected which provide best prediction of OTSCC. A CART model was constructed
using miR-21 and miR-375, and was tested in both oral cytology and tissue
validation sample sets. A sensitivity of 100% and specificity of 64% was achieved
in distinguishing OTSCC from normal in the oral cytology validation set, and a
sensitivity of 83% and specificity of 83% was achieved in the tissue validation
set. CONCLUSION: The utility of microRNA from oral cytology samples as biomarkers
for OTSCC detection is successfully demonstrated in this study.
PMID- 27208842
TI - Image guided surgery in the management of head and neck cancer.
AB - Complete resection of head and neck tumors relies on palpation and visual
inspection. Achieving a negative margin in remote locations in the head and neck
region, especially in close proximity to critical structures, is often difficult
to achieve. Positive resection margins in head and neck cancer are at high risk
to develop recurrent disease and associated with poor prognosis. Near-infrared
fluorescence-guided optical imaging is an emerging technology with the potential
to move the surgical field forward and facilitate surgeons to visualize tumors in
real-time intra-operatively. In this review, our focus is to discuss the recent
advances and the potential application of near infrared (NIR) fluorescent-guided
surgery in the management of head and neck cancer.
PMID- 27208843
TI - Do we need 5-FU in addition to cisplatin for chemoradiation of locally advanced
head-and-neck cancer?
AB - OBJECTIVES: To compare chemoradiation with cisplatin alone or cisplatin plus 5-FU
for locally advanced squamous cell carcinoma of the head-and-neck (SCCHN).
MATERIALS AND METHODS: The outcomes of 142 patients who received chemoradiation
with cisplatin alone for locally advanced SCCHN were retrospectively compared to
170 patients who received cisplatin plus 5-fluorouracil (5-FU). The outcomes
compared included loco-regional control (LRC), metastases-free survival (MFS),
overall survival (OS) and adverse events. RESULTS: Although patients who received
cisplatin alone had a significantly worse performance status, 81% of these
patients completed planned chemotherapy compared to 73% of patients in the
cisplatin plus 5-FU group (p=0.18). Radiotherapy breaks >1week were necessary in
14% and 23% of patients, respectively (p=0.09). The 5-year LRC rates were 69%
after cisplatin alone and 68% after cisplatin plus 5-FU (p=0.71). The 5-year MFS
rates were 72% and 62%, respectively (p=0.37), and 5-year OS rates were 60% and
45%, respectively (p=0.066). On multivariate analysis, cisplatin alone was
significantly associated with improved OS (RR 1.35; 95%-CI 1.09-1.69; p=0.006).
Nausea/vomiting, pneumonia/sepsis and late adverse events occurred more common in
the cisplatin plus 5-FU group. CONCLUSION: Given the limitations of a
retrospective study, chemoradiation with cisplatin alone appeared associated with
fewer adverse events and better OS than with cisplatin plus 5-FU in patients with
locally advanced SCCHN. Thus, 5-FU in addition to cisplatin may be omitted for
these patients. A randomized trial is warranted to confirm these findings.
PMID- 27208844
TI - The telomere proteins in tumorigenesis and clinical outcomes of oral squamous
cell carcinoma.
AB - The "Hallmarks of Cancer" describe the ways by which cancer cells bypass
homeostasis. Escape from replicative senescence is one of the earliest features
of cancer cells. Maintenance of the telomeres through reactivation of telomerase
was initially associated with replicative immortality in various cancers. The
shelterin complex, a telomeric hexaprotein association, plays a key role in
telomere maintenance and in the hallmarks of cancer. Some shelterin proteins are
overexpressed in diverse cancers and can promote tumorigenesis in animal models.
Shelterin can also have an impact on tumor size, tumor growth and resistance to
treatment. Studies into the expression level of shelterin in oral squamous cell
carcinoma (OSCC) report contradictory results. Moreover, the exact role of these
proteins in OSCC tumorigenesis remains uncertain. In this review, we examined the
data linking telomeres and hallmarks of OSCC. Furthermore, we examined the
literature concerning telomeres and the clinical outcome of OSCC. Finally, we
propose a model encompassing the role of shelterin proteins in oral tumorigenesis
and treatment outcome.
PMID- 27208845
TI - Differential contribution of three immune checkpoint (VISTA, CTLA-4, PD-1)
pathways to antitumor responses against squamous cell carcinoma.
AB - V domain-containing Ig suppressor of T-cell activation (VISTA)/PD-1H is a novel
immune checkpoint molecule for regulating T-cell activation. We examined the
effects of anti-VISTA mAb monotherapy and combination therapy with CTLA-4 or PD-1
blockade in a squamous cell carcinoma (SCCVII) model. VISTA monotherapy did not
show clear tumor growth regression, but efficiently induced CD8(+) T cell
activation by converting resting and exhausted cells into functional effector
cells. VISTA monotherapy did not inhibit recruitment of regulatory T cells
(Tregs) in the tumor microenvironment (TME). As an additional treatment to VISTA,
CTLA-4 blockade, but not PD-1 blockade, elicited further tumor regression. The
CTLA-4 and VISTA combination efficiently inhibited Treg recruitment and increased
the ratios of both CD8 T/Treg and CD4 conventional T (Tcon)/Treg in the TME,
whereas the PD-1 and VISTA combination dramatically increased tumor-recruiting
CD8(+) T cells, but markedly reduced the Tcon/Treg ratio. Our results demonstrate
that VISTA blockade efficiently converts CD8(+) T cells into functional effector
T cells, but is not sufficient to regress tumor growth due to weak Treg
suppression in the TME. Our results suggest that combined CTLA-4 and VISTA
blockade is more efficacious than combined PD-1 and VISTA blockade for tumors
like head and neck squamous cell carcinoma in which Treg-mediated immune
regulation is dominant.
PMID- 27208846
TI - Reprint of "Sequence and phylogenetic analyses of novel totivirus-like double
stranded RNAs from field-collected powdery mildew fungi".
AB - The identification of mycoviruses contributes greatly to understanding of the
diversity and evolutionary aspects of viruses. Powdery mildew fungi are important
and widely studied obligate phytopathogenic agents, but there has been no report
on mycoviruses infecting these fungi. In this study, we used a deep sequencing
approach to analyze the double-stranded RNA (dsRNA) segments isolated from field
collected samples of powdery mildew fungus-infected red clover plants in Japan.
Database searches identified the presence of at least ten totivirus (genus
Totivirus)-like sequences, termed red clover powdery mildew-associated
totiviruses (RPaTVs). The majority of these sequences shared moderate amino acid
sequence identity with each other (<44%) and with other known totiviruses (<59%).
Nine of these identified sequences (RPaTV1a, 1b and 2-8) resembled the genome of
the prototype totivirus, Saccharomyces cerevisiae virus-L-A (ScV-L-A) in that
they contained two overlapping open reading frames (ORFs) encoding a putative
coat protein (CP) and an RNA dependent RNA polymerase (RdRp), while one sequence
(RPaTV9) showed similarity to another totivirus, Ustilago maydis virus H1 (UmV
H1) that encodes a single polyprotein (CP-RdRp fusion). Similar to yeast
totiviruses, each ScV-L-A-like RPaTV contains a -1 ribosomal frameshift site
downstream of a predicted pseudoknot structure in the overlapping region of these
ORFs, suggesting that the RdRp is translated as a CP-RdRp fusion. Moreover,
several ScV-L-A-like sequences were also found by searches of the transcriptome
shotgun assembly (TSA) libraries from rust fungi, plants and insects.
Phylogenetic analyses show that nine ScV-L-A-like RPaTVs along with ScV-L-A-like
sequences derived from TSA libraries are clustered with most established members
of the genus Totivirus, while one RPaTV forms a new distinct clade with UmV-H1,
possibly establishing an additional genus in the family. Taken together, our
results indicate the presence of diverse, novel totiviruses in the powdery mildew
fungus populations infecting red clover plants in the field.
PMID- 27208847
TI - Reprint of "Modeling the intracellular replication of influenza A virus in the
presence of defective interfering RNAs.
AB - Like many other viral pathogens, influenza A viruses can form defective
interfering particles (DIPs). These particles carry a large internal deletion in
at least one of their genome segments. Thus, their replication depends on the co
infection of cells by standard viruses (STVs), which supply the viral protein(s)
encoded by the defective segment. However, DIPs also interfere with STV
replication at the molecular level and, despite considerable research efforts,
the mechanism of this interference remains largely elusive. Here, we present a
mechanistic mathematical model for the intracellular replication of DIPs. In this
model, we account for the common hypothesis that defective interfering RNAs (DI
RNAs) possess a replication advantage over full-length (FL) RNAs due to their
reduced length. By this means, the model captures experimental data from yield
reduction assays and from studies testing different co-infection timings. In
addition, our model predicts that one important aspect of interference is the
competition for viral proteins, namely the heterotrimeric viral RNA-dependent RNA
polymerase (RdRp) and the viral nucleoprotein (NP), which are needed for
encapsidation of naked viral RNA. Moreover, we find that there may be an optimum
for both the DI RNA synthesis rate and the time point of successive co-infection
of a cell by DIPs and STVs. Comparing simulations for the growth of DIPs with a
deletion in different genome segments suggests that DI RNAs derived from segments
which encode for the polymerase subunits are more competitive than others.
Overall, our model, thus, helps to elucidate the interference mechanism of DI
RNAs and provides a novel hypothesis why DI RNAs derived from the polymerase
encoding segments are more abundant in DIP preparations.
PMID- 27208848
TI - Reprint of "Novel mycoviruses discovered from metatranscriptomics survey of
soybean phyllosphere phytobiomes".
AB - Mycoviruses can be beneficial to plants in that they can debilitate pathogenic
fungi thereby reducing the severity of associated plant diseases. Studies to date
have focused primarily on culturable fungi that represent a fraction of natural
fungal populations. The nonculturable fungi, however, can harbor diverse
populations of mycoviruses that reduce plant disease or enhance resistance to
abiotic stress. Metatranscriptome analysis of field-grown plant samples using
high-throughput sequencing offers the possibility of unbiased detection and
quantification of mycoviruses regardless of the culturability of their fungal
hosts together with the complete associated microbial consortia. In this study,
we describe the fungal viromes of the phyllosphere of production soybean fields
in Illinois, USA by analyzing the metatranscriptomes of thousands of soybean leaf
samples collected during the 2008 and 2009 growing seasons. The analyses
identified 25 partial genome sequences that represented at least 22 mycovirus
genomes, only one of which had been described previously. The novel mycovirus
genomes showed similarity to 10 distinct lineages including the genera
Alphapartitivirus, Botybirnavirus, Endornavirus, Mitovirus, Mycoflexivirus,
Ourmiavirus, Totivirus, Victorivirus, family Tombusviridae, order
Mononegavirales, and the recently proposed genus Gemycircularvirus. The present
study adds to the wealth of mycoviruses associated with plant phytobiomes and
establishes groundwork needed for further characterization of the viruses.
PMID- 27208849
TI - Reprint of "The victorivirus Helminthosporium victoriae virus 190S is the primary
cause of disease/hypovirulence in its natural host and a heterologous host".
AB - A transmissible disease of the plant pathogenic fungus Helminthosporium
victoriae, the causal agent of Victoria blight of oats, was reported more than 50
years ago. Diseased, but not normal, isolates, of H. victoriae contain two
distinct viruses designated according to their sedimentation values as
victorivirus Helminthosporium victoriae virus 190S (HvV190S) and chrysovirus
Helminthosporium victoriae 145S (HvV145S). Although a viral etiology of the
disease was previously proposed, conclusive evidence was lacking. Here we present
unequivocal evidence based on transfecting virus-free H. victoriae protoplasts
with purified virus particles showing that HvV190S is essential for disease
development. Furthermore, we show an expansion of the host range of HvV190S to
include Cryphonectria parasitica and we also show similarity in a subset of
phenotypic traits between HvV190S-infected RNA silencing deficient mutant
(Deltadcl-2) of C. parasitica and a strain of H. victoriae. In virulence assays
on detached American chestnut branches and Red Delicious apple fruits, HvV190S
infected C. parasitica strain Deltadcl-2 was markedly less virulent than wild
type and virus-free Deltadcl-2 C. parasitica strains. Furthermore, the
hypovirulent HvV190S-infected C. parasitica Deltadcl-2 strain exhibited strong
antifungal activity in dual culture with the plant pathogenic fungus Sclerotinia
sclerotiorum. No such inhibitory activity was observed in comparable dual
cultures with wild type and virus-free Deltadcl-2 C. parasitica strains. The
discovery that infection with HvV190S induced a hypovirulent phenotype in a
heterologous plant pathogenic host is very significant since it might be possible
to convert other economically important plant pathogenic fungi to hypovirulence
using HvV190S.
PMID- 27208851
TI - Dural Arteriovenous Fistula Mimicking a Supratentorial Tumor.
AB - BACKGROUND: The pathophysiology of dural arteriovenous fistulas (dAVF) is not
fully understood. Retrograde venous flow can lead to venous congestion and
disruption of the blood-brain barrier, resulting in diffuse contrast enhancement.
CASE DESCRIPTION: We present the case of a patient with a supratentorial dAVF
associated with a solid, tumor-appearing, corticosubcortical contrast-enhancing
lesion. Surgical occlusion of the dAVF was followed by complete regression of the
contrast-enhancing lesion. Histologic analysis of the lesion showed normal brain
tissue. CONCLUSIONS: This case report highlights how venous congestion is an
important differential diagnosis in contrast-enhancing lesions associated with
dAVF and how it should be taken in consideration to avoid radiologic misdiagnoses
and unnecessary treatment.
PMID- 27208850
TI - Hazards of Ionizing Radiation and its Impact on Spine Surgery.
AB - BACKGROUND: Spine surgery relies heavily on imaging, with radiography-based
devices being the major operating room imaging modality. Radiation exposure is an
occupational risk historically recognized shortly after the discovery of
radiation itself. Exposure of both patients and operating room staff is of
increasing concern as the knowledge regarding the hazards of radiation is
steadily accumulating. METHODS: We conducted a literature review of the history
of radiation exposure limits and updates on current studies showing the risks of
low-dose exposures. RESULTS: Multiple studies reporting on radiation exposure
risk and methods to reduce exposure risks are discussed. CONCLUSION: We discuss
the methods to reduce operating room staff exposure to the minimal amount, thus
reducing occupational risks. We recognize that increasing awareness to radiation
exposure hazards and promoting the knowledge of methods to reduce exposure of
surgeons, nurses, and technicians could result in a reduction of exposure to
radiation.
PMID- 27208853
TI - Neurosurgical Guidelines in a Medicolegal Minefield.
PMID- 27208852
TI - Migration of a Ventriculoperitoneal Shunt into the Pulmonary Vasculature: Case
Report, Review of the Literature, and Surgical Pearls.
AB - BACKGROUND: Ventriculoperitoneal shunts are prone to common complications such as
infection or mechanical failure, but more insidious events can easily be missed.
Distal shunt migration into the vascular system is a rarely observed phenomenon,
and there are no established guidelines for its management. We present a case of
a distal catheter migration into the pulmonary vasculature, review existing cases
in the literature, and present recommendations for their management. CASE
DESCRIPTION: A 71-year-old man presented to the clinic with normal pressure
hydrocephalus. He underwent the laparoscopic placement of a ventriculoperitoneal
shunt. Surgery was uneventful except for increased venous bleeding noted at the
retroauricular incision. Three weeks later, the patient returned with worsening
motor and cognitive symptoms. A shunt series showed distal migration of the
catheter through his heart. Computed tomography of the chest confirmed the distal
wedging of the tube into his pulmonary artery. The migrated shunt catheter was
withdrawn in the operating room with the assistance of colleagues from general
surgery without complication. CONCLUSIONS: Although distal shunt migration into
the heart and pulmonary vasculature is rare, early recognition is important and
precautions should be taken to avoid potentially significant morbidity or
mortality. Live fluoroscopic imaging and the help of specialty services can be of
great assistance in safely removing the device. Early recognition of potential
vascular injury signs during the subcutaneous tunneling procedure is also
important.
PMID- 27208854
TI - Orbital surface coil imaging predicts surgical anatomy of medial rectus muscle in
consecutive exotropia: a case report.
AB - A 51-year-old woman with consecutive exotropia after surgery for esotropia
underwent magnetic resonance imaging (MRI) of her orbits by orbital surface coil.
Imaging demonstrated an 8.0 mm segment of very thin tissue that spanned the
distance from a normal-looking medial rectus muscle proximally to the sclera
distally. Surgical treatment revealed anatomic pathology of the medial rectus
muscle consistent with spatial relationships of stretched scar and muscle that
were predicted by MRI.
PMID- 27208855
TI - Negatively-charged amino acids at the peptide-binding pocket of HLA-DPB1 alleles
are associated with susceptibility to anti-topoisomerase I-positive systemic
sclerosis.
AB - We investigated shared characteristics of amino acid sequences in the at risk HLA
DPB1 alleles in systemic sclerosis (SSc). Amino acid sequences and their
structural features of HLA-DP molecules in 127 Korean SSc patients and 548
healthy Korean controls were analyzed with a focus on known HLA-DP binding
motifs. The binding grooves containing more negatively-charged triplets (NCT) had
higher odds ratios of anti-topoisomerase I antibody (ATA)-positive SSc. In
particular, the co-existence of a NCT at position 82-85 and more than one
additional NCT were critical for increased risk of ATA-positive SSc. Molecular
dynamic simulations showed that the model peptide with positive charge from
topoisomerase I fits more closely into HLA-DP alleles possessing more NCTs. ATA
positive SSc patients share NCTs at the peptide-binding groove of HLA-DPB1
molecules.
PMID- 27208856
TI - Application of metabolomics to investigate the antitumor mechanism of
flavopiridol in MCF-7 breast cancer cells.
AB - Flavopiridol is reported to have potent antitumor effects by inhibition of cyclin
dependent kinases (CDKs). However, most studies of flavopiridol focus on specific
genes and kinases, so the antitumor mechanism needs further elucidation at the
metabolic level. In the present study, an UPLC/Q-TOF MS metabolomics approach was
used to investigate its antiproliferative effects on MCF-7 breast cancer cells.
Comparing flavopiridol-treated MCF-7 cells with vehicle control, 21 potential
biomarkers involved in five metabolism pathways were identified. Two pathways
involving glutathione metabolism and glycerophospholipid metabolism showed that
glutathione (GSH) and phosphatidylcholines (PCs) levels were reduced while their
oxidized products oxidized glutathione (GSSG) and lysophosphatidylcholines
(LysoPCs) were greatly increased. Further investigation showed an apparent
accumulation of reactive oxygen species (ROS) and a decrease in mitochondrial
membrane potential (MMP). Thus, we suggest that oxidative stress was provoked in
MCF-7 cells to reduce the GSH and PCs levels and cause mitochondria lesions.
Moreover, cell cycle analysis showed that flavopiridol blocked cells at G1 stage,
which was consistent with the depletion of spermidine and spermine that are
believed to promote cancer progression. Taking these together, we concluded that
flavopiridol could induce oxidative stress and cell cycle arrest, which finally
lead to cell apoptosis in MCF-7 cells. This study provides a new strategy for
studying the antitumor mechanism of flavopiridol, which could be used for its
further improvement and application.
PMID- 27208857
TI - Requirement for basement membrane laminin alpha5 during urethral and external
genital development.
AB - Hypospadias, a congenital malformation of the penis characteristic of an abnormal
urethral orifice, affects 1 in every 125 boys, and its incidence is rising.
Herein we test the hypothesis that the basement membrane protein laminin alpha5
(LAMA5) plays a key role in the development of the mouse genital tubercle, the
embryonic anlage of the external genitalia. Using standard histological analyses
and electron microscopy, we characterized the morphology of the external
genitalia in Lama5 knockout (LAMA5-KO) mouse embryos during both androgen
independent genital tubercle development and androgen-mediated sexual
differentiation. We compared regulatory gene expression between control and LAMA5
KO by in situ hybridization. We also examined the epithelial structure of the
mutant genital tubercle using immunofluorescence staining and histological
analyses of semi-thin sections. We found that Lama5 was expressed in both
ectodermal and endodermal epithelia of the cloaca. The LAMA5-KO displayed a
profound external genital malformation in which the genital tubercle was
underdeveloped with a large ectopic orifice at the proximal end. In older
embryos, the urethra failed to form a tubular structure and was left completely
exposed. These defects were not associated with a significant alteration in
regulatory gene expression, but rather with a defective ectodermal epithelium and
an abnormal disintegration of the cloacal membrane. We conclude that LAMA5 is
required in the basement membrane to maintain normal architecture of the ventral
ectoderm during genital tubercle development, which is essential for the
formation of a tubular urethra. Perturbation of LAMA5, and possibly other
basement membrane components, may cause hypospadias in humans.
PMID- 27208859
TI - Healthy individuals maintain adaptive stimulus evaluation under predictable and
unpredictable threat.
AB - The anxiety inducing paradigms such as the threat-of-shock paradigm have provided
ample data on the emotional processing of predictable and unpredictable threat,
but little is known about the processing of aversive, threat-irrelevant stimuli
in these paradigms. We investigated how the predictability of threat influences
the neural visual processing of threat-irrelevant fearful and neutral faces.
Thirty-two healthy individuals participated in an NPU-threat test, consisting of
a safe or neutral condition (N) and a predictable (P) as well as an unpredictable
(U) threat condition, using audio-visual threat stimuli. In all NPU-conditions,
we registered participants' brain responses to threat-irrelevant faces via
magnetoencephalography. The data showed that increasing unpredictability of
threat evoked increasing emotion regulation during face processing predominantly
in dorsolateral prefrontal cortex regions during an early to mid-latency time
interval. Importantly, we obtained only main effects but no significant
interaction of facial expression and conditions of different threat
predictability, neither in behavioral nor in neural data. Healthy individuals
with average trait anxiety are thus able to maintain adaptive stimulus evaluation
processes under predictable and unpredictable threat conditions.
PMID- 27208858
TI - Abstract linguistic structure correlates with temporal activity during
naturalistic comprehension.
AB - Neurolinguistic accounts of sentence comprehension identify a network of relevant
brain regions, but do not detail the information flowing through them. We
investigate syntactic information. Does brain activity implicate a computation
over hierarchical grammars or does it simply reflect linear order, as in a Markov
chain? To address this question, we quantify the cognitive states implied by
alternative parsing models. We compare processing-complexity predictions from
these states against fMRI timecourses from regions that have been implicated in
sentence comprehension. We find that hierarchical grammars independently predict
timecourses from left anterior and posterior temporal lobe. Markov models are
predictive in these regions and across a broader network that includes the
inferior frontal gyrus. These results suggest that while linear effects are wide
spread across the language network, certain areas in the left temporal lobe deal
with abstract, hierarchical syntactic representations.
PMID- 27208861
TI - Pre-stimulus thalamic theta power predicts human memory formation.
AB - Pre-stimulus theta (4-8Hz) power in the hippocampus and neocortex predicts
whether a memory for a subsequent event will be formed. Anatomical studies reveal
thalamus-hippocampal connectivity, and lesion, neuroimaging, and
electrophysiological studies show that memory processing involves the dorsomedial
(DMTN) and anterior thalamic nuclei (ATN). The small size and deep location of
these nuclei have limited real-time study of their activity, however, and it is
unknown whether pre-stimulus theta power predictive of successful memory
formation is also found in these subcortical structures. We recorded human
electrophysiological data from the DMTN and ATN of 7 patients receiving deep
brain stimulation for refractory epilepsy. We found that greater pre-stimulus
theta power in the right DMTN was associated with successful memory encoding,
predicting both behavioral outcome and post-stimulus correlates of successful
memory formation. In particular, significant correlations were observed between
right DMTN theta power and both frontal theta and right ATN gamma (32-50Hz) phase
alignment, and frontal-ATN theta-gamma cross-frequency coupling. We draw the
following primary conclusions. Our results provide direct electrophysiological
evidence in humans of a role for the DMTN as well as the ATN in memory formation.
Furthermore, prediction of subsequent memory performance by pre-stimulus thalamic
oscillations provides evidence that post-stimulus differences in thalamic
activity that index successful and unsuccessful encoding reflect brain processes
specifically underpinning memory formation. Finally, the findings broaden the
understanding of brain states that facilitate memory encoding to include
subcortical as well as cortical structures.
PMID- 27208860
TI - Associations between dopamine D2 receptor availability and BMI depend on age.
AB - OBJECTIVE: The dopamine D2/3 receptor subtypes (DRD2/3) are the most widely
studied neurotransmitter biomarker in research on obesity, but results to date
have been inconsistent, have typically involved small samples, and have rarely
accounted for subjects' ages despite the large impact of age on DRD2/3 levels. We
aimed to clarify the relation between DRD2/3 availability and BMI by examining
this association in a large sample of subjects with BMI spanning the continuum
from underweight to extremely obese. SUBJECTS: 130 healthy subjects between 18
and 81years old underwent PET with [18F]fallypride, a high affinity DRD2/3
ligand. RESULTS: As expected, DRD2/3 availability declined with age. Critically,
age significantly interacted with DRD2/3 availability in predicting BMI in the
midbrain and striatal regions (caudate, putamen, and ventral striatum). Among
subjects under 30years old, BMI was not associated with DRD2/3 availability. By
contrast, among subjects over 30years old, BMI was positively associated with
DRD2/3 availability in the midbrain, putamen, and ventral striatum. CONCLUSION:
The present results are incompatible with the prominent dopaminergic hypofunction
hypothesis that proposes that a reduction in DRD2/3 availability is associated
with increased BMI, and highlights the importance of age in assessing correlates
of DRD2/3 function.
PMID- 27208862
TI - Nanoparticle-mediated brain drug delivery: Overcoming blood-brain barrier to
treat neurodegenerative diseases.
AB - The blood-brain barrier (BBB) is a vital boundary between neural tissue and
circulating blood. The BBB's unique and protective features control brain
homeostasis as well as ion and molecule movement. Failure in maintaining any of
these components results in the breakdown of this specialized multicellular
structure and consequently promotes neuroinflammation and neurodegeneration. In
several high incidence pathologies such as stroke, Alzheimer's (AD) and
Parkinson's disease (PD) the BBB is impaired. However, even a damaged and more
permeable BBB can pose serious challenges to drug delivery into the brain. The
use of nanoparticle (NP) formulations able to encapsulate molecules with
therapeutic value, while targeting specific transport processes in the brain
vasculature, may enhance drug transport through the BBB in
neurodegenerative/ischemic disorders and target relevant regions in the brain for
regenerative processes. In this review, we will discuss BBB composition and
characteristics and how these features are altered in pathology, namely in
stroke, AD and PD. Additionally, factors influencing an efficient intravenous
delivery of polymeric and inorganic NPs into the brain as well as NP-related
delivery systems with the most promising functional outcomes will also be
discussed.
PMID- 27208863
TI - Fentanyl Pectin Nasal Spray Versus Oral Morphine in Doses Proportional to the
Basal Opioid Regimen for the Management of Breakthrough Cancer Pain: A
Comparative Study.
AB - CONTEXT: Fentanyl products have shown superiority over oral opioids for the
management of breakthrough cancer pain (BTcP). However, these studies did not use
an appropriate patient selection, and drugs have been compared using a different
rationale. OBJECTIVES: The aim of this randomized, crossover, controlled study
was to compare the efficacy and safety of fentanyl pectin nasal spray (FPNS) and
oral morphine (OM), given in doses proportional to opioid daily doses. METHODS:
Cancer patients with pain receiving >=60 mg of OM equivalents/day and presenting
with <=3 episodes of BTcP/day were included. Patients received, in a randomized,
crossover manner, FPNS or OM at doses proportional to the daily opioid regimen in
four consecutive episodes of BTcP. Pain intensity was measured before (T0), 15
(T15), and 30 minutes (T30) after study drugs. RESULTS: A total of 167 episodes
were treated, 82 with FNPS and 85 with OM. A statistical difference in pain
intensity between the two groups was observed at T15, but not at T30 (P = 0.018
and P = 0.204, respectively). In a greater number of episodes treated with FPNS,
there was a pain decrease of >=33% in comparison with OM after 15 and 30 minutes
(76.5% vs. 32.8%, and 89% vs. 54.9%, respectively). Similar differences were
found in the decrease in pain intensity of >=50% after 15 and 30 minutes (52.3%
vs. 11.4%, and 75% vs. 45.8%, respectively). The difference was highly
significant at T15 (P < 0.0005). The mean (SD) pain difference at T15 of FPNS and
OM were 3.24 (1.7) and 2.70 (1.2), respectively, whereas the mean (SD) SPIDs30 of
FPNS and OM were 4.87 (1.7) and 4.54 (1.5), respectively. The difference was
highly significant at T15 (P = 0.019). No severe adverse effects after study drug
administration were observed. CONCLUSION: When used in doses proportional to the
basal opioid regimen, FPNS showed a superior analgesic effect over OM for the
management of BTcP. Only minor adverse effects were found with both medications.
PMID- 27208864
TI - A High-Touch Model of Community-Based Specialist Palliative Care: Latent Class
Analysis Identifies Distinct Patient Subgroups.
AB - CONTEXT: Community-based palliative care may support seriously ill homebound
patients. Programs vary widely, and few studies have described the heterogeneity
of the populations served or service delivery models. OBJECTIVES: To evaluate a
diverse population served by an interdisciplinary model of community-based
specialist palliative care and the variation in service delivery over time and
identify subgroups with distinct illness burden profiles. METHODS: A
retrospective cohort study evaluated longitudinal electronic health record data
from 894 patients served during 2010-2013. Illness burden was defined by measures
of performance status (Karnofsky Performance Status scale), symptom distress
(Condensed Memorial Symptom Assessment Scale), palliative care needs (Palliative
Outcome Scale), and quality of life (Spitzer Quality of Life Index). Service
utilization included the frequency of visits received and calls made or received
by patients. Latent class analysis identified patient subgroups with distinct
illness burden profiles, and mixed-effects modeling was used to evaluate
associations between patient characteristics and service utilization. RESULTS:
The mean age was 72.3 years (SD = 14.0); 56.2% were women; 67.5% were English
speaking; and 22.2% were Spanish speaking. Most had congestive heart failure
(36.4%) or cancer (30.4%); 98.0% had a Karnofsky Performance Status score of 40
70. Four patient subgroups were identified: very low illness burden (26.2%); low
burden (39.5%); moderate burden (13.5%); and high burden (20.8%). The subgroups
differed in both baseline characteristics and palliative care service utilization
over time. CONCLUSION: The population served by a community-based specialist
palliative care program manages patients with different levels of illness burden,
which are associated with patient characteristics and service utilization.
PMID- 27208865
TI - Discontinuation of a Left Ventricular Assist Device in the Home Hospice Setting.
PMID- 27208866
TI - Hospice Enrollment After Referral to Community-Based, Specialist-Level Palliative
Care: Incidence, Timing, and Predictors.
AB - CONTEXT: Referral to community-based palliative care may increase the likelihood
of hospice enrollment. OBJECTIVES: This retrospective cohort study evaluated the
incidence, timing, and predictors of hospice enrollment after referral to a
community-based palliative care program. METHODS: Data from 1505 homebound
patients referred to community-based palliative care during 2010-2013 were
analyzed using multivariate linear and logistic regression. RESULTS: Mean (SD)
age was 70.4 (16.7) years; 58.8% were women, and race/ethnicity was diverse
(white 32.9%, black 29.8%, Hispanic 28.6%, Asian 5.4%). Patients received
palliative care services for a mean (SD) of 10.2 (10.2) months (median 6.9; range
0.03-52.2 months). A total of 362 patients (24.1%) were enrolled in hospice after
receiving palliative care services for a mean (SD) of 4.8 (6.8) months (median
7.9; range 0.09-25.7 months). The median hospice length of stay was approximately
twice as long as other patients enrolled in hospice during the same period. The
probability of hospice enrollment increased with shorter duration of palliative
care, cancer diagnosis, poorer performance status, and a lower likelihood of
poverty. Similarly, significant predictors of a shorter duration of palliative
care services before hospice enrollment included both sociodemographic and
clinical factors. CONCLUSION: Almost one-quarter of patients were enrolled in
hospice while receiving community-based palliative care, and hospice length of
stay was relatively long for those who did. Both sociodemographic and clinical
characteristics were associated with hospice-related outcomes. Studies are needed
to further explore predictors and outcomes of hospice enrollment from palliative
care.
PMID- 27208867
TI - Analyzing the Impact of Palliative Care Interventions on Cost of Hospitalization:
Practical Guidance for Choice of Dependent Variable.
AB - CONTEXT: Multiple cost analyses of hospital-based palliative care have been
published in recent years, but there are important differences between studies in
their choice of dependent variable, complicating interpretation of results.
OBJECTIVES: The purpose of this article was to compare three different
established approaches to estimating treatment effect on hospital costs, to
highlight that different approaches yield different results, and to provide some
practical guidelines for investigators performing hospital cost analysis in
future. METHODS: A simple example is developed using simulated cost data for four
hospitalized patients, one of whom receives usual care only and three of whom
receive different interventions. The impacts of the interventions are calculated
and compared for three different dependent variables: cost of hospitalization,
mean daily costs, and "before-and-after" costs. RESULTS: Both the magnitude of an
intervention's cost-saving effect and the relative impact of different
interventions vary according to which dependent variable is used. Cost of
hospitalization provides the most useful results of the three options for
evaluating an intervention's impact on resource use. Alternative approaches
visible in the literature can be misleading with respect to cost effects. Where
the intervention is first administered to different patients at different points
in a hospital admission, incorporating intervention timing is essential to
maximize accuracy of cost-effect estimates. CONCLUSION: Investigators evaluating
the impact of palliative care programs on hospital costs ought to use cost of
hospitalization as the dependent variable in primary analysis unless the research
question specifically justifies an alternative approach. Mean daily costs and
"before-and-after" costs should be used only to address relevant research
questions, and results must be interpreted carefully. Analyses should also
incorporate timing of the intervention where appropriate.
PMID- 27208869
TI - Lycium barbarum polysaccharides attenuates N-methy-N-nitrosourea-induced
photoreceptor cell apoptosis in rats through regulation of poly (ADP-ribose)
polymerase and caspase expression.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Lycium barbarum L., popularly known as "Goji
berry", a classic of Traditional Chinese Medicine has long been used to treat
ocular diseases and cardiovascular diseases. Recently, the photoreceptor cell
protection of Lycium barbarum polysaccharides (LBP), a water extract from Lycium
barbarum L. has received more attention. The present study was designed to
investigate the effect of LBP on N-methyl-N-nitrosourea (MNU)-induced
photoreceptor cell apoptosis, and the involvement of the poly (ADP-ribose)
polymerase (PARP) and caspase. MATERIALS AND METHODS: Photoreceptor cell injury
was induced in male Sprague-Dawley rats by an intraperitoneal injection of MNU
60mg/kg. Seven days prior to MNU injection, LBP were intragastrical administered
daily, rats were sacrificed at 24h and 7 days after MNU injection. Retinal
morphologies, photoreceptor cells apoptosis, and protein expression were
evaluated at 24h and 7 days after MNU injection. RESULTS: Morphologically, the
outer nuclear layer was well preserved in the LBP-treated rat retinas throughout
the experimental period. Terminal deoxynucleotidyl transferase-mediated
deoxyuridine triphosphate-digoxigenin nick-end labeling (TUNEL) assays showed
that LBP could significantly suppress the loss of photoreceptor cells, as
determined by the photoreceptor cell ratio at the central retina 24h and 7 days
after MNU administration. Western-blot analysis demonstrated the expression
levels of procaspase-9, -7, -3 and cleaved caspase-9, -7, -3 were upregulated,
and PARP were downregulated both 24h and 7 days after MNU injection. LBP
treatment significantly decreased protein levels of procaspase and cleaved
caspase, increased the level of PARP and cleaved PARP on 24h and 7 days.
CONCLUSIONS: LBP inhibits MNU-induced rat photoreceptor cell apoptosis and
protects retinal structure via the regulation of the expressions of PARP and
caspase.
PMID- 27208870
TI - The role of diffusion tensor imaging and dynamic susceptibility perfusion MRI in
the evaluation of meningioma grade and subtype.
AB - PURPOSE: We prospectively investigated the relationship between diffusion tensor
imaging (DTI), dynamic susceptibility perfusion (DSP) MRI metrics and grade,
subtype and Ki-67 labelling index of meningiomas. MATERIALS AND MUETHODS: Thirty
nine patients operated for meningioma were included in the study. DTI and DSP
were performed within a week prior to surgical excision. Lesion/normal (L/N)
tissue ratios and peritumoral area/normal tissue (P/N) ratios were calculated for
the apparent diffusion coefficient (ADC), fractional anisotropy (FA) and relative
cerebral blood volume (rCBV). In the tumor specimens Ki-67 antigen expression was
evaluated by the MIB-1 immunostaining method. RESULTS: There were 31 grade I, 7
grade II and one grade III meningiomas. Grade I meningiomas had a significantly
lower L/N rCBV ratios than grade II/III meningiomas (median 5.1 vs 6.4, p=0.031).
Grade I meningiomas revealed significantly lower P/N rCBV ratios than grade
II/III meningiomas (median 0.78 vs 1.1, p=0.0077). Grade I meningiomas had
significantly higher FA ratios than grade II/III meningiomas (median 0.5 vs 0.31,
p=0.012). Meningiomas of meningothelial type had a significantly higher L/N rCBV
ratio than other grade I meningiomas (median 5.4 vs 3.8, p=0.0136). There was no
significant correlation between rCBV, ADC, FA and Ki-67 index. CONCLUSION:
Dynamic susceptibility perfusion indexes in lesion/normal and peritumoral/normal
tissue ratios are useful for the differentiation grade I from grade II/III
menigiomas. Meningothelial meningiomas showed higher lesion/normal tissue rCBV
ratios from the other benign meningioma subtypes.
PMID- 27208868
TI - Treatment for diabetic ulcer wounds using a fern tannin optimized hydrogel
formulation with antibacterial and antioxidative properties.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Blechnum orientale Linn. (B. orientale) is a fern
traditionally used by the natives as a poultice to treat wounds, boils, ulcers,
blisters, abscesses, and sores on the skin. AIM OF THE STUDY: To investigate the
wound healing ability of a concentrated extract of B. orientale in a hydrogel
formulation in healing diabetic ulcer wounds. MATERIALS AND METHODS: The water
extract from the leaves of B. orientale was separated from the crude methanolic
extract and subjected to flash column chromatography techniques to produce
concentrated fractions. These fractions were tested for phytochemical
composition, tannin content, antioxidative and antibacterial activity. The
bioactive fraction was formulated into a sodium carboxymethylcellulose hydrogel.
The extract-loaded hydrogels were then characterized and tested on excision ulcer
wounds of streptozotocin-induced diabetic rats. Wound size was measured for 14
days. Histopathological studies were conducted on the healed wound tissues to
observe for epithelisation, fibroblast proliferation and angiogenesis. All
possible mean values were subjected to statistical analysis using One-way ANOVA
and post-hoc with Tukey's T-test (P<0.05). RESULTS: One fraction exhibited strong
antioxidative and antibacterial activity. The fraction was also highly saturated
with tannins, particularly condensed tannins. Fraction W5-1 exhibited stronger
antioxidant activity compared to three standards (alpha-Tocopherol, BHT and
Trolox-C). Antibacterial activity was also present, and notably bactericidal
towards Methicillin-resistant Staphylococcus aureus (MRSA) at 0.25mg/ml. The
extract-loaded hydrogels exhibited shear-thinning properties, with high moisture
retention ability. The bioactive fraction at 4% w/w was shown to be able to close
diabetic wounds by Day 12 on average. Other groups, including controls, only
exhibited wound closure by Day 14 (or not at all). Histopathological studies had
also shown that extract-treated wounds exhibited re-epithelisation, higher
fibroblast proliferation, collagen synthesis, and angiogenesis. CONCLUSION: The
ethnopharmacological effects of using B. orientale as a topical treatment for
external wounds was validated and was also significantly effective in treating
diabetic ulcer wounds. Thus, B. orientale extract hydrogel may be presented as a
potential treatment for diabetic ulcer wounds.
PMID- 27208871
TI - Clinical prognostic factors in adults with astrocytoma: Historic cohort.
AB - OBJECTIVE: To explore the clinical prognostic factors for adults affected with
astrocytoma. PATIENTS AND METHODS: Using a historic cohort, we selected 155
clinical files from patients with astrocytoma using simple randomization. The
main outcome variable was overall survival time. To identify clinical prognostic
factors, we used bivariate analysis, Kaplan Meier, the log rank test and the Cox
regression models. The number of lost years lived with disability (DALY) based on
prevalence, was calculated. RESULTS: The mean age at diagnosis was 45.7 years.
Analysis according to tumour stage, including grades II, III and IV, also showed
a younger age of presentation. Kaplan-Meier survival estimates showed that tumour
grade, Karnofsky status (KPS) >=70, resection type, chemotherapy, radiotherapy,
alcohol consumption, familial history of cancer and clinical presentation were
significantly associated with survival time. Using a proportional hazard model,
age, grade IV, resection, chemotherapy+radiotherapy and KPS were identified as
prognostic factors.The amount of life lost due to premature death in this
population was 28 years. CONCLUSION: In our study, astrocytoma was diagnosed in
young adults. The overall survival was 15 months, 9% (n=14) of patients presented
a survival of 2 years, and 3% of patients survived 3 years. On average the number
of years lost due to premature death and disability was 28.53 years.
PMID- 27208872
TI - Feasibility of simultaneous sodium fluorescein and indocyanine green injection in
neurosurgical procedures.
AB - OBJECTIVE: The objective of this study is to assess the feasibility of
simultaneous Sodium Fluorescein (SF) and Indocyanine Green (ICG) injection during
neurosurgical procedures. PATIENTS AND METHODS: Three patients harboring a high
grade glioma (HGG) were retrospectively identified in the surgical database of
the Neurosurgical Unit 2 at the Foundation IRCCS Istituto Neurologico C. Besta in
Milan, by having received intraoperatively both SF for tumor resection and ICG
for vasculature angiographic studies in the same surgical procedure. We
identified 2 males and 1 female (age range 25-60). Lesions were located in the
left temporo-polar area and hippocampus (1 case), right superior frontal gyrus (1
case), left supplementary motor area (1 case). All the three lesions showed
Magnetic Resonance Imaging (MRI) characteristics of HGG and, for this reason, in
all patients a fluorescein-guided tumor removal was proposed. In the same
surgical procedure ICG videoangiography was considered necessary in order to
study arterial and venous vasculature, given by the strict relation of the tumor
with an unexpected Posterior Communicating Artery (PComA) aneurysm in one case
and with cortical drainage veins complexes in the other two cases. In all cases a
microscope equipped with both YELLOW560 and IR800 integrated filters (Pentero
900, Carl Zeiss, Oberkorchen, Germany) was used. Fluorescein was i.v. injected at
a dose of 5mg/kg immediately after patient intubation. ICG was i.v. injected in
bolus on demand of the operating surgeon at a dose of 12.5mg. RESULTS: No side
effects related to simultaneous injection of SF and ICG were identified. In all
three cases, the use of SF allowed to better visualize the tumor areas during
surgical removal, thus leading to a radical resection until no macroscopic
appearance of residual tumor mass and no fluorescence was visible in the surgical
cavity. ICG videoangiography confirmed the patency of branches of internal
carotid artery after clipping of an unexpected small PComA aneurysm found
intraoperatively during tumor removal in one case, while in patient 2 and 3 it
allowed to evaluate patency and study flow pattern in cortical drainage veins
that were intimately related to the tumors and the way of the surgical approach.
Postoperative MRI showed a Gross Total Resection of the tumors in all cases.
CONCLUSIONS: This study showed for the first time the feasibility of intravenous
SF injection and ICG videoangiography in the same surgical procedure. The
presence of different fluorescence filters on the same surgical microscope allows
the surgeon to recognize and safely resect the tumor and simultaneously evaluate
local brain vascularization.
PMID- 27208873
TI - Growth dynamics of intramedullary spinal tumors in patients with
neurofibromatosis type 2.
AB - OBJECTIVES: Volumetric data on the natural growth of intramedullary tumors in
patients with neurofibromatosis type 2 (NF2) are rare, but crucial for long-term
disease monitoring. Our aim was to evaluate the growth rates and growth patterns
of these tumors. PATIENTS AND METHODS: Patient records from the regional
neurofibromatosis referral center were evaluated for inclusion in this analysis.
Magnetic resonance images of the spine were collected and digitized as necessary.
Tumor volumes were determined by volumetric extrapolation after segmentation in
datasets (iPlan Net software, BrainLAB, Munich) if the tumors met the following
inclusion criteria: sagittal T2-weighted MRI scans had to be available from at
least two investigations and tumors had to be visible on at least two slices. All
tumors that had undergone previous therapy, such as surgery, radiation or
bevacizumab treatment were excluded from this study. RESULTS: Suitable MR images
of the spine were available from 51 patients (20 males, 31 females) with NF2. The
median follow-up time per patient was 54 months (range 0-190 months). 23 patients
(15 females, 11 males) of the 51 patients with spinal imaging harbored
intramedullary tumors. Across this cohort, there was an aggregate of 68 tumors at
baseline. Over the course of follow-up, the patients developed 19 additional
tumors, resulting in a total of 87 tumors. A final set of 42 tumors from 19
patients met the inclusion criteria and was included in the growth analysis. The
median follow-up time per tumor was 44 months (range 9-122 months). 23 of the
tumors were located in the cervical spine; 19 of them were located in the
thoracic spine. The median tumor size+/-standard deviation (SD) after 5 years was
136+/-71.0% compared to baseline. The median time to >=20% tumor growth was 24
months. Overall, 30 tumors (71.4%) grew, 8 (19.1%) remained stable and 4 (9.52%)
decreased in size. The most common growth pattern was saltatory growth.
CONCLUSION: Intramedullary spinal cord tumors are present in about half of
patients with NF2. The majority of these tumors grow over time, albeit slowly.
Given the confines of the spinal medulla and the limited scope for functional
recovery after symptomatic tumor expansion, NF2 patients should be under
continual surveillance in order to rapidly identify intramedullary spinal tumors
that may require microsurgical resection.
PMID- 27208874
TI - The durability of a hydroxyapatite paste used in decreasing the permeability of
hypersensitive dentin.
AB - Objectives: Various agents are currently available for treatment of
hypersensitive dentine, however, their resistance to erosion intraorally by
various erosive drinks is still questionable. The aim of this study is to test
the efficacy of a hydroxyapatite paste to decrease dentine permeability and
resist an erosion challenge. Methods: Hydroxyapatite powder was mixed with 25%
phosphoric acid to form a paste which was applied on dentine having patent
dentinal tubules orifices (treated with EDTA, 2 min) and the resulting layer
formed on top of dentine was irradiated by Nd:YAG laser. The treated dentin
surfaces were exposed to erosion challenge (6% citric acid, 1 min). Dentine
permeability was measured before/after the application of the hydroxyapatite
paste before/after the erosion challenge before/after the application of Nd:YAG
laser using a split chamber device. The top and the fractured dentine surfaces
were examined with scanning electron microscope (SEM). Moreover, the chemical
nature of the compounds formed on top of dentine surface was examined using the
SEM equipped with energy- dispersive X-ray spectroscope (EDS) and FTIR/ATR
(Attenuated Total Reflectance Fourier Transform Infrared) techniques. The Mann
Whitney test (p < 0.05) was used to compare the effects of using the
hydroxyapatite paste on dentine permeability and calcium/phosphate ratio of the
treated dentine surfaces. Results: The application of the hydroxyapatite paste to
dentine significantly decreased dentine permeability (p < 0.05). Hydroxyapatite
paste was able to occlude patent dentinal tubule orifices with a layer of calcium
phosphate compounds and the application of Nd:YAG laser on the aforementioned
layer improved its erosion resistance. Conclusion: Hydroxyapatite paste applied
with the technique adopted in the current study has a high potential to be a
useful aid in the treatment of dentine hypersensitivity. However, cost and
knowledge for using Nd:YAG laser are important factors should be taken into
consideration before using the aforementioned technique.
PMID- 27208875
TI - Risk indicators associated with root caries in independently living older adults.
AB - OBJECTIVE: To determine the risk indicators associated with root caries
experience in a cohort of independently living older adults in Ireland. METHODS:
The data reported in the present study were obtained from a prospective
longitudinal study conducted in a cohort of independently living older adults
(n=334). Each subject underwent an oral examination, performed by a single
calibrated examiner, to determine the root caries index and other clinical
variables. Questionnaires were used to collect data on oral hygiene habits, diet,
smoking and alcohol habits and education level. A regression analysis with the
outcome variable of root caries experience (no/yes) was conducted. RESULTS: A
total of 334 older dentate adults with a mean age of 69.1 years were examined.
53.3% had at least one filled or decayed root surface. The median root caries
index was 3.13 (IQR 0.00, 13.92). The results from the multivariate regression
analysis indicated that individuals with poor plaque control (OR 9.59, 95% CI
3.84-24.00), xerostomia (OR 18.49, 95% CI 2.00-172.80), two or more teeth with
coronal decay (OR 4.50, 95% CI 2.02-10.02) and 37 or more exposed root surfaces
(OR 5.48, 95% CI 2.49-12.01) were more likely to have been affected by root
caries. CONCLUSIONS: The prevalence of root caries was high in this cohort. This
study suggests a correlation between root caries and the variables poor plaque
control, xerostomia, coronal decay (>=2 teeth affected) and exposed root surfaces
(>=37). The significance of these risk indicators and the resulting prediction
model should be further evaluated in a prospective study of root caries
incidence. CLINICAL SIGNIFICANCE: Identification of risk indicators for root
caries in independently living older adults would facilitate dental practitioners
to identify those who would benefit most from interventions aimed at prevention.
PMID- 27208876
TI - Randomized clinical trial on arresting dental root caries through silver diammine
fluoride applications in community-dwelling elders.
AB - OBJECTIVES: To investigate the effectiveness of silver diammine fluoride (SDF)
solution application in arresting dental root caries and to assess the color of
arrested caries lesions. METHODS: This study was conducted in elderly centers in
Hong Kong. A total of 83 elders with 157 root surfaces with active caries lesion
were randomly allocated into 3 groups: Gp1 (placebo control)-annual application
of soda water; Gp2-annual application of SDF solution; Gp3-annual application of
SDF solution immediately followed by potassium iodide (KI) solution. Color of the
arrested root caries lesion was assessed with reference to PANTONE color plates
and classified into one of the followings: yellow (7401U); light brown (1245U);
dark brown (4635U); and black (Black U). Status of root surfaces was assessed
every 6 months by the same independent examiner. RESULTS: After 30 months, 100
(64%) of the included root caries lesions were reviewed. The arrest rates of root
caries were 45%, 90%, and 93% in Gp1 (control), Gp2 (SDF) and Gp3 (SDF/KI),
respectively (chi(2) test, p<0.001). Pairwise comparisons showed elders in the
control group had a lower proportion of the active root caries changed to
arrested (p<0.001) and the proportions of root caries being arrested in the SDF
and SDF/KI groups were not significantly different (p>0.05). The distributions of
arrested caries lesions by color were not significantly different between the SDF
and SDF/KI groups (chi(2) test, p>0.05). CONCLUSIONS: Application of SDF
solution, with or without application of KI afterwards, is effective in arresting
root caries among elders in a water fluoridated area. In the long term,
blackening of arrested root caries is not reduced by immediate application of KI
after the application of SDF. CLINICAL SIGNIFICANCE: In a water fluoridated area,
annual application of SDF solution or SDF/KI solution can arrest dental root
caries in elders. In the long term, application of KI does not reduce the
blackening of arrested caries lesions caused by SDF.
PMID- 27208878
TI - A study of chemokines, chemokine receptors and interleukin-6 in patients with
panic disorder, personality disorders and their co-morbidity.
AB - BACKGROUND: Stress may induce inflammatory changes in the immune system and
activate pro-inflammatory cytokines and their receptors by activating the
hypothalamic-pituitary-adrenal axis. METHODS: 460 hospitalized patients with
panic disorders (PD) and/or personality disorders (P) were studied. The study
group comprised subjects with PD, avoidant personality disorder (APD), borderline
personality disorder (BPD), obsessive-compulsive personality disorder (OCPD), and
concomitant (PD+APD; PD+BPD; PD+OCPD). Each study group consisted of 60 subjects
(30 females and 30 males). The control group included 20 females and 20 males
without any history of mental disorder. ELISA was used to assess the levels of
chemokines: CCL-5/RANTES (regulated on activation, normal T-cell expressed and
secreted), CXCL-12/SDF-1 (stromal derived factor), their receptors CXCR-5 (C-C
chemokine receptor type-5), CXCR-4 (chemokine C-X-C motif receptor-4), and IL-6.
RESULTS: Statistically significant differences in the levels of CCL-5 and CCR-5
were revealed between all study groups. The greatest differences were found
between the groups with PD+OCPD and PD+APD. Moreover, concomitance of PD with P
significantly increased the level of chemokines and their receptors in all study
groups versus the subjects with P alone. CONCLUSIONS: The results of the study
show differences between the groups. To be specific, inflammatory markers were
more elevated in the study groups than the controls. Therefore, chemokines and
chemokine receptors may be used as inflammatory markers in patients with PD co
existent with P to indicate disease severity. PD was found to be a factor in
maintaining inflammatory activity in the immune system in patients with P.
PMID- 27208877
TI - Bacterial tweets and podcasts #signaling#eavesdropping#microbialfightclub.
AB - Once thought to live independently, bacteria are now known to be highly social
organisms. Their behaviors ranges from cooperatively forming complex multispecies
communities to fiercely competing for resources. Work over the past fifty years
has shown that bacteria communicate through diverse mechanisms, such as
exchanging diffusible molecules, exporting molecules in membrane vesicles, and
interacting through direct cell-cell contact. These methods allow bacteria to
sense and respond to other cells around them and coordinate group behavior. In
this review, we share the discoveries and lessons learned in the field of
bacterial communication with the aim of providing insights to parasitologists and
other researchers working on related questions.
PMID- 27208880
TI - Molecular mechanisms in H2O2-induced increase in AT1 receptor gene expression in
cardiac fibroblasts: A role for endogenously generated Angiotensin II.
AB - The AT1 receptor (AT1R) mediates the manifold actions of angiotensin II in the
cardiovascular system. This study probed the molecular mechanisms that link
altered redox status to AT1R expression in cardiac fibroblasts. Real-time PCR and
western blot analysis showed that H2O2 enhances AT1R mRNA and protein expression
via NADPH oxidase-dependent reactive oxygen species induction. Activation of NF
kappaB and AP-1, demonstrated by electrophoretic mobility shift assay, abolition
of AT1R expression by their inhibitors, Bay-11-7085 and SR11302, respectively,
and luciferase and chromatin immunoprecipitation assays confirmed transcriptional
control of AT1R by NF-kappaB and AP-1 in H2O2-treated cells. Further, inhibition
of ERK1/2, p38 MAPK and c-Jun N-terminal kinase (JNK) using chemical inhibitors
or by RNA interference attenuated AT1R expression. Inhibition of the MAPKs showed
that while ERK1/2 and p38 MAPK suffice for NF-kappaB activation, all three
kinases are required for AP-1 activation. H2O2 also increased collagen type I
mRNA and protein expression. Interestingly, the AT1R antagonist, candesartan,
attenuated H2O2-stimulated AT1R and collagen mRNA and protein expression,
suggesting that H2O2 up-regulates AT1R and collagen expression via local
Angiotensin II generation, which was confirmed by real-time PCR and ELISA. To
conclude, oxidative stress enhances AT1R gene expression in cardiac fibroblasts
by a complex mechanism involving the redox-sensitive transcription factors NF
kappaB and AP-1 that are activated by the co-ordinated action of ERK1/2, p38 MAPK
and JNK. Importantly, by causally linking oxidative stress to Angiotensin II and
AT1R up-regulation in cardiac fibroblasts, this study offers a novel perspective
on the pathogenesis of cardiovascular diseases associated with oxidative stress.
PMID- 27208879
TI - Emerging adulthood themes and hookah use among college students in Southern
California.
AB - INTRODUCTION: Hookah (or waterpipe) use is increasing worldwide with implications
for public health. Emerging adults (ages 18 to 25) have a higher risk for hookah
use relative to younger and older groups. While research on the correlates of
hookah use among emerging adults begins to accumulate, it may be useful to
examine how transition-to-adulthood themes, or specific thoughts and feelings
regarding emerging adulthood, are associated with hookah use. This study
determined which transition-to-adulthood themes were associated with hookah use
to understand the risk and protective factors for this tobacco-related behavior.
METHODS: Participants (n=555; 79% female; mean age 22) completed surveys on
demographic characteristics, transition-to-adulthood themes, hookah, and
cigarette use. RESULTS: Past-month hookah use was more common than past-month
cigarette use (16% versus 12%). In logistic regression analyses, participants who
felt emerging adulthood was a time of experimentation/possibility were more
likely to report hookah use. However, transition-to-adulthood themes were not
statistically significantly related to cigarette use. CONCLUSIONS: The profile
for hookah use may differ from that of cigarettes among emerging adults. Themes
of experimentation/possibility should be addressed in prevention programs on
college campuses and popular recreational spots where emerging adults congregate.
These findings can inform future studies of risk and protective factors for
hookah use among emerging adults.
PMID- 27208881
TI - Comparison of human glutamate carboxypeptidases II and III reveals their
divergent substrate specificities.
AB - Glutamate carboxypeptidase III (GCPIII) is best known as a homologue of glutamate
carboxypeptidase II [GCPII; also known as prostate-specific membrane antigen
(PSMA)], a protease involved in neurological disorders and overexpressed in a
number of solid cancers. However, mouse GCPIII was recently shown to cleave beta
citrylglutamate (BCG), suggesting that these two closely related enzymes have
distinct functions. To develop a tool to dissect, evaluate and quantify the
activities of human GCPII and GCPIII, we analysed the catalytic efficiencies of
these enzymes towards three physiological substrates. We observed a high
efficiency of BCG cleavage by GCPIII but not GCPII. We also identified a strong
modulation of GCPIII enzymatic activity by divalent cations, while we did not
observe this effect for GCPII. Additionally, we used X-ray crystallography and
computational modelling (quantum and molecular mechanical calculations) to
describe the mechanism of BCG binding to the active sites of GCPII and GCPIII,
respectively. Finally, we took advantage of the substantial differences in the
enzymatic efficiencies of GCPII and GCPIII towards their substrates, using
enzymatic assays for specific detection of these proteins in human tissues. Our
findings suggest that GCPIII may not act merely as a complementary enzyme to
GCPII, and it more likely possesses a specific physiological function related to
BCG metabolism in the human body. DATABASE: The X-ray structure of GCPII
Glu424Ala in complex with BCG has been deposited in the RCSB Protein Data Bank
under accession code 5F09.
PMID- 27208882
TI - Hormonal activity, cytotoxicity and developmental toxicity of UV filters.
AB - Ultraviolet (UV) filters are commonly used compounds in personal care products
and polymer based materials, as they can absorb solar energy in the UVA and UVB
spectrum. However, they are able to bind to hormone receptors and have several
and different types of hormonal activities determined by in vitro assays. One of
the aims of this work was to measure the hormonal and cytotoxic activities of
four frequently used UV filters using bioluminescence based yeast test organisms.
Using Saccharomyces cerevisiae BLYES and BLYAS strains allowed the rapid and
reliable detection of agonist and antagonist hormonal activities, whereas BLYR
strain served to measure cytotoxicity. Results confirmed that all tested UV
filters show multiple hormonal activities. Cytotoxicity is detected only in the
case of benzophenone-3. Research data on the toxic effects of benzophenone-3,
especially on aquatic organisms are scarce, so further investigations were
carried out regarding its cytotoxic and teratogenic effects on bacteria and
zebrafish (Danio rerio) embryos, respectively. Results revealed the cytotoxicity
of benzophenone-3 not only to yeasts but to bacteria, as well as its ability to
influence zebrafish embryo hatching and development.
PMID- 27208883
TI - A glutamic acid decarboxylase (CgGAD) highly expressed in hemocytes of Pacific
oyster Crassostrea gigas.
AB - Glutamic acid decarboxylase (GAD), a rate-limiting enzyme to catalyze the
reaction converting the excitatory neurotransmitter glutamate to inhibitory
neurotransmitter gamma-aminobutyric acid (GABA), not only functions in nervous
system, but also plays important roles in immunomodulation in vertebrates.
However, GAD has rarely been reported in invertebrates, and never in molluscs. In
the present study, one GAD homologue (designed as CgGAD) was identified from
Pacific oyster Crassostrea gigas. The full length cDNA of CgGAD was 1689 bp
encoding a polypeptide of 562 amino acids containing a conserved pyridoxal
dependent decarboxylase domain. CgGAD mRNA and protein could be detected in
ganglion and hemocytes of oysters, and their abundance in hemocytes was
unexpectedly much higher than those in ganglion. More importantly, CgGAD was
mostly located in those granulocytes without phagocytic capacity in oysters, and
could dynamically respond to LPS stimulation. Further, after being transfected
into HEK293 cells, CgGAD could promote the production of GABA. Collectively,
these findings suggested that CgGAD, as a GABA synthase and molecular marker of
GABAergic system, was mainly distributed in hemocytes and ganglion and involved
in neuroendocrine-immune regulation network in oysters, which also provided a
novel insight to the co-evolution between nervous system and immune system.
PMID- 27208884
TI - Secapin, a bee venom peptide, exhibits anti-fibrinolytic, anti-elastolytic, and
anti-microbial activities.
AB - Bee venom contains a variety of peptide constituents that have various
biological, toxicological, and pharmacological actions. However, the biological
actions of secapin, a venom peptide in bee venom, remain largely unknown. Here,
we provide the evidence that Asiatic honeybee (Apis cerana) secapin (AcSecapin-1)
exhibits anti-fibrinolytic, anti-elastolytic, and anti-microbial activities. The
recombinant mature AcSecapin-1 peptide was expressed in baculovirus-infected
insect cells. AcSecapin-1 functions as a serine protease inhibitor-like peptide
that has inhibitory effects against plasmin, elastases, microbial serine
proteases, trypsin, and chymotrypsin. Consistent with these functions, AcSecapin
1 inhibited the plasmin-mediated degradation of fibrin to fibrin degradation
products, thus indicating the role of AcSecapin-1 as an anti-fibrinolytic agent.
AcSecapin-1 also inhibited both human neutrophil and porcine pancreatic
elastases. Furthermore, AcSecapin-1 bound to bacterial and fungal surfaces and
exhibited anti-microbial activity against fungi and gram-positive and gram
negative bacteria. Taken together, our data demonstrated that the bee venom
peptide secapin has multifunctional roles as an anti-fibrinolytic agent during
fibrinolysis and an anti-microbial agent in the innate immune response.
PMID- 27208885
TI - Spot the difference: Two cryptic species of Gyrodactylus von Nordmann, 1832
(Platyhelminthes: Monogenea) infecting Astyanax aeneus (Actinopterygii,
Characidae) in Mexico.
AB - Over the course of one year, undescribed specimens of Gyrodactylus were recovered
from banded tetra, Astyanax aeneus collected in the La Antigua and Nautla river
basins in central Veracruz, Mexico. Parasites were processed for morphometric and
molecular analyses. Morphometrically, Gyrodactylus samples collected in the La
Antigua river had slightly smaller haptoral structures than those collected from
the Nautla river. During the 12month-collection of samples, however, water
temperature varied considerably (ca. 20 degrees C to 30 degrees C), and this
abiotic factor is known to affect the size of gyrodactylid attachment structures.
Moreover, no clear discrimination was possible between individual parasites
collected from the two rivers based on the morphology of the marginal hook, which
is recognised as a very informative character to discriminate between species.
The morphology of the ventral bar, however, differed between specimens from both
rivers: worms from Nautla all had long, rounded processes on the ventral bar,
which formed a relatively closed angle with the dorsal edge of the bar proper,
while most - but not all - specimens from La Antigua had comparatively slender
processes forming a more open angle with respect to the ventral bar. Phylogenetic
analyses based on the sequences of the ITS1, 5.8S rRNA gene, and ITS2 of
gyrodactylids indicated the existence of two distinct, well-supported lineages
whose sequences differ by >4%, one of which was only found in the Nautla basin,
while the other was collected in both river systems. A posteriori, principal
component analysis (PCA) of the morphometric data of sequenced specimens
indicated that features of the dorsal bar, the hamuli and the ventral bar enable
discrimination between the two phylogenetic lineages. Based on these independent
sources of information (morphometric and molecular data), two new species of
Gyrodactylus are described: Gyrodactyluspakan n. sp. and Gyrodactylusteken n. sp.
The phylogenetic relationships of both new species to other gyrodactylids
infecting characiformes (for which molecular data are available) are presented,
which suggests that their closest relative is Gyrodactylus carolinae, a parasite
of Characidium lanei in Brazil.
PMID- 27208886
TI - Three new species of blood flukes (Digenea: Aporocotylidae) infecting
pufferfishes (Teleostei: Tetraodontidae) from off Bali, Indonesia.
AB - We describe three new species of blood flukes (Aporocotylidae) and propose their
classification within the genus Psettarium Goto & Ozaki, 1929. All three species
were collected from the circulatory systems of pufferfishes caught off Bali,
central Indonesia. Psettarium pulchellum n. sp. was found in the gills of both
the narrow-lined puffer (Arothron manilensis de Proce) and the spiny blaasop
(Tylerius spinosissimus Regan), while P. ogawai n. sp. and P. jimbaranense n. sp.
were found in the gills of the reticulated puffer (Arothron reticularis Bloch &
Schneider). The morphological characteristics of these taxa necessitated
emendation of the diagnosis for the genus Psettarium, to accommodate the presence
of an oral sucker, multiple or entirely post-caecal testes and a degenerate
posterior testis. Features such as proportion of body length occupied by the
oesophagus, and posterior caeca being >=7* the length of anterior caeca, are no
longer regarded as useful genus-level characters. Additionally, Sasala nolani is
reassigned to this genus as Psettarium nolani n. comb. In phylogenetic analyses
of the 28S and ITS2 rDNA regions, all three new taxa form a well-supported clade,
together with Psettarium sinense and Psettarium nolani n. comb., the two other
species of tetraodontid-infecting aporocotylids for which comparative rDNA data
were available. The short branch lengths within this clade, despite dramatic
morphological differences between the five species, suggest that rapid
morphological diversification has occurred among the tetraodontid-infecting
aporocotylids. The genus Psettarium has long been considered problematic. Further
commentary is given on the history of this genus and how the issues presented
might be resolved.
PMID- 27208887
TI - Rosiglitazone-mediated dendritic cells ameliorate collagen-induced arthritis in
mice.
AB - Rosiglitazone is a selective ligand for peroxisome proliferator-activated
receptor-gamma (PPAR-gamma), which serves diverse biological functions. A number
of autoimmune disease models have been used to examine the anti-inflammatory and
immunosuppressive effects of tolerogenic dendritic cells (tDCs). The aim of the
present study was to investigate whether rosiglitazone-mediated DC (Rosi-DC)
therapy suppressed arthritis in a collagen-induced arthritis (CIA) mouse model.
Rosi-DCs were generated by treating immature DCs with TNF-alpha, type II
collagen, and rosiglitazone. CIA mice then received subcutaneously (s.c.) two
injections of Rosi-DCs. The severity of arthritis was then assessed
histopathologically. The phenotypes of the DC and regulatory T (Treg) cell
populations in CIA mice were determined by flow cytometry and the effect of Rosi
DCs on the secretion of autoimmunity-inducing cytokines was examined by ELISA.
Rosi-DCs expressed lower levels of DC-related surface markers than mature DCs.
Histopathological examination revealed that the degree of inflammation in the
paws of Rosi-DC-treated mice was much lower than that in the paws of PBS-treated
CIA mice. Taken together, these results clearly show that rosiglitazone-mediated
DCs ameliorate CIA, most likely via the induction of antigen-specific Treg cells.
PMID- 27208889
TI - Altered executive function in the welders: A functional magnetic resonance
imaging study.
AB - Chronic exposure to manganese (Mn) can lead to impairments in motor and cognitive
functions. Several recent studies reported Mn-induced executive dysfunction. The
present study compared the neural correlates of ongoing executive function of
welders and healthy controls. Fifty-three welders and 44 healthy controls were
enrolled. Participants were given functional magnetic resonance imaging (fMRI)
scans and performed two modified versions of the Wisconsin Card Sorting Task
(WCST) that differed in cognitive demand, and a task that established a high
level baseline (HLB) condition. Card Sorting Test and Word-Color Test were also
used to assess executive performance. Neural activation of the bilateral superior
frontal cortex, right-inferior parietal cortex, and bilateral insula cortex were
greater in healthy controls than in welders when contrasting the difficult
version of the WCST with the HLB. There were also correlations between executive
functions by the Card Sorting Test and Word-Color Test, and brain activation in
the insula cortex using the WCST. Our results indicated that welders had altered
neural processing related to executive function in the prefrontal cortex under
conditions of high cognitive demand. Welders also had less activation of the
insula cortex, a part of a larger network comprising the lateral prefrontal
cortex and parietal cortex.
PMID- 27208890
TI - Testing for Independence between Evolutionary Processes.
AB - Evolutionary events co-occurring along phylogenetic trees usually point to
complex adaptive phenomena, sometimes implicating epistasis. While a number of
methods have been developed to account for co-occurrence of events on the same
internal or external branch of an evolutionary tree, there is a need to account
for the larger diversity of possible relative positions of events in a tree. Here
we propose a method to quantify to what extent two or more evolutionary events
are associated on a phylogenetic tree. The method is applicable to any discrete
character, like substitutions within a coding sequence or gains/losses of a
biological function. Our method uses a general approach to statistically test for
significant associations between events along the tree, which encompasses both
events inseparable on the same branch, and events genealogically ordered on
different branches. It assumes that the phylogeny and themapping of branches is
known without errors. We address this problem from the statistical viewpoint by a
linear algebra representation of the localization of the evolutionary events on
the tree.We compute the full probability distribution of the number of paired
events occurring in the same branch or in different branches of the tree, under a
null model of independence where each type of event occurs at a constant rate
uniformly inthephylogenetic tree. The strengths andweaknesses of themethodare
assessed via simulations;we then apply the method to explore the loss of cell
motility in intracellular pathogens.
PMID- 27208888
TI - Developmental pathways from prenatal marijuana exposure to Cannabis Use Disorder
in young adulthood.
AB - BACKGROUND: Earlier studies reported an association between prenatal marijuana
exposure (PME) and cognitive and behavioral problems in the offspring. A recent
publication demonstrated the relation between PME and offspring marijuana use at
age 22. There are no reports of the association between PME and Cannabis Use
Disorder (CUD) at 22years, the age when use of marijuana and CUD peak. METHODS:
Subjects are from the Maternal Health Practices and Child Development Study, a
longitudinal study of PME and other exposures during pregnancy. The cohort of
mothers and their offspring has been followed since the fourth prenatal month
through 22years of age. A path analysis was conducted on 590 mother-child pairs,
representing 77% of the birth cohort, to examine potential pathways from PME to
CUD in offspring at 22years of age. RESULTS: There is no direct effect of PME on
CUD. There are, however, two indirect pathways from PME to CUD. In the first, the
pathway from PME to CUD goes through offspring early age of marijuana onset. In
the second, offspring depression at age 10 and early age of marijuana onset
predict CUD. CONCLUSIONS: Although there is no direct effect of PME on CUD, there
are significant indirect pathways from PME to CUD that affect the rate of CUD in
the population. Thus, PME, offspring depression, and an early age of marijuana
initiation, are significant points for intervention. As marijuana is legalized in
more states, the rates of marijuana use will increase significantly, including
during pregnancy, and the consequences of the association between PME and CUD
will become even more significant from a public health perspective.
PMID- 27208891
TI - Functionalized beta-cyclodextrin as supramolecular ligand and their Pd(OAc)2
complex: highly efficient and reusable catalyst for Mizoroki-Heck cross-coupling
reactions in aqueous medium.
AB - A novel class of water soluble palladium complexes with recognition abilities
based on functionalized beta-cyclodextrin has been synthesized. The complex
demonstrated high catalytic activity and a supramolecular platform for phosphine
free Mizoroki-Heck cross-coupling reactions in water. The efficient arylation of
alkenes was carried out using different iodo- and bromo-arenes with good to
excellent yields (up to 96%). The advantages, like recyclability of catalysts,
operational simplicity and accessibility in aqueous medium, make this protocol
eco-friendly.
PMID- 27208892
TI - Familial hypercholesterolaemia: cholesterol efflux and coronary disease.
AB - BACKGROUND: Coronary heart disease (CHD) risk inversely associates with levels of
high-density lipoprotein cholesterol (HDL-C). The protective effect of HDL is
thought to depend on its functionality, such as its ability to induce cholesterol
efflux. MATERIALS AND METHODS: We compared plasma cholesterol efflux capacity
between male familial hypercholesterolaemia (FH) patients with and without CHD
relative to their non-FH brothers, and examined HDL constituents including
sphingosine-1-phosphate (S1P) and its carrier apolipoprotein M (apoM). RESULTS:
Seven FH patients were asymptomatic and six had experienced a cardiac event at a
mean age of 39 years. Compared to their non-FH brothers, cholesterol efflux from
macrophages to plasma from the FH patients without CHD was 16 +/- 22% (mean +/-
SD) higher and to plasma from the FH patients with CHD was 7 +/- 8% lower (P =
0.03, CHD vs. non-CHD). Compared to their non-FH brothers, FH patients without
CHD displayed significantly higher levels of HDL-cholesterol, HDL-S1P and apoM,
while FH patients with CHD displayed lower levels than their non-FH brothers.
CONCLUSIONS: A higher plasma cholesterol efflux capacity and higher S1P and apoM
content of HDL in asymptomatic FH patients may play a role in their apparent
protection from premature CHD.
PMID- 27208893
TI - Drug interaction study of natural steroids from herbs specifically toward human
UDP-glucuronosyltransferase (UGT) 1A4 and their quantitative structure activity
relationship (QSAR) analysis for prediction.
AB - The wide application of herbal medicines and foods containing steroids has
resulted in the high risk of herb-drug interactions (HDIs). The present study
aims to evaluate the inhibition potential of 43 natural steroids from herb
medicines toward human UDP- glucuronosyltransferases (UGTs). A remarkable
structure-dependent inhibition toward UGT1A4 was observed in vitro. Some natural
steroids such as gitogenin, tigogenin, and solasodine were found to be the novel
selective inhibitors of UGT1A4, and did not inhibit the activities of major human
CYP isoforms. To clarify the possibility of the in vivo interaction of common
steroids and clinical drugs, the kinetic inhibition type and related kinetic
parameters (Ki) were measured. The target compounds 2-6 and 15, competitively
inhibited the UGT1A4-catalyzed trifluoperazine glucuronidation reaction, with Ki
values of 0.6, 0.18, 1.1, 0.7, 0.8, and 12.3MUM, respectively. And this
inhibition of steroids towards UGT1A4 was also verified in human primary
hepatocytes. Furthermore, a quantitative structure-activity relationship (QSAR)
of steroids with inhibitory effects toward human UGT1A4 isoform was established
using the computational methods. Our findings elucidate the potential for in vivo
HDI effects of steroids in herbal medicine and foods, with the clinical dr ugs
eliminated by UGT1A4, and reveal the vital pharamcophoric requirement of natural
steroids for UGT1A4 inhibition activity.
PMID- 27208894
TI - Enhanced Cognition and Hypoglutamatergic Signaling in a Growth Hormone Receptor
Knockout Mouse Model of Successful Aging.
AB - Growth hormone receptor knockout (GHR-KO) mice are long lived with improved
health span, making this an excellent model system for understanding biochemical
mechanisms important to cognitive reserve. The purpose of the present study was
to elucidate differences in cognition and glutamatergic dynamics between aged (20
to 24-month-old) GHR-KO and littermate controls. Glutamate plays a critical role
in hippocampal learning and memory and is implicated in several neurodegenerative
disorders, including Alzheimer's disease. Spatial learning and memory were
assessed using the Morris water maze (MWM), whereas independent dentate gyrus
(DG), CA3, and CA1 basal glutamate, release, and uptake measurements were
conducted in isoflurane anesthetized mice utilizing an enzyme-based
microelectrode array (MEA) coupled with constant potential amperometry. These
MEAs have high temporal and low spatial resolution while causing minimal damage
to the surrounding parenchyma. Littermate controls performed worse on the memory
portion of the MWM behavioral task and had elevated DG, CA3, and CA1 basal
glutamate and stimulus-evoked release compared with age-matched GHR-KO mice. CA3
basal glutamate negatively correlated with MWM performance. These results support
glutamatergic regulation in learning and memory and may have implications for
therapeutic targets to delay the onset of, or reduce cognitive decline, in
Alzheimer's disease.
PMID- 27208896
TI - Explaining socio-economic inequalities in immunization coverage in Nigeria.
AB - Globally, in 2013 over 6 million children younger than 5 years died from either
an infectious cause or during the neonatal period. A large proportion of these
deaths occurred in developing countries, especially in sub-Saharan Africa.
Immunization is one way to reduce childhood morbidity and deaths. In Nigeria,
however, although immunization is provided without a charge at public facilities,
coverage remains low and deaths from vaccine preventable diseases are high. This
article seeks to assess inequalities in full and partial immunization coverage in
Nigeria. It also assesses inequality in the 'intensity' of immunization coverage
and it explains the factors that account for disparities in child immunization
coverage in the country. Using nationally representative data, this article shows
that disparities exist in the coverage of immunization to the advantage of the
rich. Also, factors such as mother's literacy, region and location of the child,
and socio-economic status explain the disparities in immunization coverage in
Nigeria. Apart from addressing these issues, the article notes the importance of
addressing other social determinants of health to reduce the disparities in
immunization coverage in the country. These should be in line with the social
values of communities so as to ensure acceptability and compliance. We argue that
any policy that addresses these issues will likely reduce disparities in
immunization coverage and put Nigeria on the road to sustainable development.
PMID- 27208897
TI - Laparoscopic Nissen fundoplication with Baska Mask(r) laryngeal mask.
AB - Tracheal intubation has historically been considered the ideal technique to
handle the airway in laparoscopic surgical procedures. The introduction of such
procedures in ambulatory surgery requires the use of anesthetic techniques that
offer optimal and early postoperative recovery under strict security conditions.
Laryngeal mask is proposed as a suitable alternative to tracheal intubation, even
in high risk patients due to new devices which have been modified to improve
their characteristics, becoming great alternatives in the overall management of
the airway. We report the first case of laparoscopic Nissen fundoplication
performed with a laryngeal Baska Mask in patient with high risk of regurgitation
due to its gastroesophageal reflux.
PMID- 27208898
TI - Emergence of mcr-1-mediated colistin resistance in Escherichia coli in Malaysia.
PMID- 27208895
TI - Rapamycin: An InhibiTOR of Aging Emerges From the Soil of Easter Island.
AB - Rapamycin (sirolimus) is a macrolide immunosuppressant that inhibits the
mechanistic target of rapamycin (mTOR) protein kinase and extends lifespan in
model organisms including mice. Although rapamycin is an FDA-approved drug for
select indications, a diverse set of negative side effects may preclude its wide
scale deployment as an antiaging therapy. mTOR forms two different protein
complexes, mTORC1 and mTORC2; the former is acutely sensitive to rapamycin
whereas the latter is only chronically sensitive to rapamycin in vivo. Over the
past decade, it has become clear that although genetic and pharmacological
inhibition of mTORC1 extends lifespan and delays aging, inhibition of mTORC2 has
negative effects on mammalian health and longevity and is responsible for many of
the negative side effects of rapamycin. In this review, we discuss recent
advances in understanding the molecular and physiological effects of rapamycin
treatment, and we discuss how the use of alternative rapamycin treatment regimens
or rapamycin analogs has the potential to mitigate the deleterious side effects
of rapamycin treatment by more specifically targeting mTORC1. Although the side
effects of rapamycin are still of significant concern, rapid progress is being
made in realizing the revolutionary potential of rapamycin-based therapies for
the treatment of diseases of aging.
PMID- 27208900
TI - High rates of off-label use in antibiotic prescriptions in a context of dramatic
resistance increase: a prospective study in a tertiary hospital.
AB - The use of antibiotics, as any other drug, is regulated by the terms of its
marketing authorisation, notified in the Summary of Product Characteristics
(SPC). If a prescription is not in accordance with the SPC, the physician
prescribes off-label. There is very little literature regarding off-label use of
antibiotics in adult healthcare facilities. A prospective monocentric study was
conducted during 11 days from February to June 2015 in hospitalised patients from
a tertiary teaching hospital with a high prevalence of multidrug-resistant
organism colonisation to evaluate off-label use of antibiotics. Two independent
experts assessed whether prescriptions complied with the latest guidelines in
infectious diseases and whether off-label use of antibiotics was associated with
an increased risk of adverse events. In total, 160 antibiotic prescriptions were
analysed, of which 76 (47.5%) were off-label. Of the 76 off-label prescriptions,
50 (65.8%) were off-label regarding indications and 26 (34.2%) regarding doses.
Nevertheless, 46/50 off-label indications (92.0%) and only 14/26 off-label doses
(53.8%) were approved by experts, especially because of dose adjustment
requirements. During follow-up, the rate of reported adverse events was not
statistically different between patients with (n = 76) and without (n = 84) off
label prescriptions (P = 0.35). In a context of multidrug resistance and a lack
of new drugs, high rates (47.5%) of antibiotic off-label use were observed in our
hospital, but without an increased rate of adverse events. Moreover, 78.9% of off
label uses were in accordance with guidelines. Therefore, the SPC is not the
warrant of an appropriate use of antibiotics.
PMID- 27208899
TI - Circulation of clonal populations of fluoroquinolone-resistant CTX-M-15-producing
Escherichia coli ST410 in humans and animals in Germany.
AB - Multidrug-resistant Escherichia coli encoding CTX-M-type extended-spectrum beta
lactamases (ESBLs) are isolated in increasing numbers from humans, companion
animals and livestock, raising concern regarding the exchange and spread of
isolates in these populations. In this study, whole-genome sequencing of CTX-M-15
producing E. coli isolates recently sampled from humans, companion animals,
livestock and farm environments was performed. In total, 26 different sequence
types (STs) were detected, of which ST410 was the most frequent and was the only
ST present in all populations studied. Five clades (designated A-E) were detected
within the ST410 isolates. In particular, isolates of clade B were present in all
four populations and had core genomes that differed by less than 70 single
nucleotide polymorphisms (SNPs). Isolates of clades B and C were also clonally
marked, exhibiting identical chromosomal insertions of blaCTX-M-15 at distinct
loci. These data provide strong evidence for the clonal dissemination of specific
clades of CTX-M-15-producing E. coli ST410 in human and animal populations.
PMID- 27208901
TI - Levofloxacin at the usual dosage to treat bone and joint infections: a cohort
analysis.
AB - Fluoroquinolones are recommended for the treatment of bone and joint infections
(BJIs), and levofloxacin is commonly used in this setting. However, no pre
marketing clinical study has supported its use, especially its dosage, for
treating BJIs. This study aimed to assess the benefit-risk ratio of levofloxacin
administered orally at a standard dosage of 500 mg once daily (OD) in a cohort of
patients with BJIs. The medical records of patients admitted to a large French
teaching hospital for BJI over a 1-year period and managed by a multidisciplinary
team were reviewed. Patient data were recorded on a standardised form and the
outcome was assessed at the end of antibiotic treatment and after 1-year of
follow-up. A total of 230 patients were included, of whom 79 were treated with an
antibiotic regimen including levofloxacin (34%). Most BJIs (97%) were surgically
treated by wound debridement and/or removal or replacement of the infected
device. Adverse drug reactions to levofloxacin leading to treatment
discontinuation occurred in three patients (4%). The antibiotic treatment
duration was significantly longer in patients treated with levofloxacin compared
with other antibiotic regimens (median, 13 weeks vs. 6 weeks). Post-treatment
outcomes were considered favourable (total or partial recovery, including
orthopaedics aftermath) in 89-93% of patients, with no significant difference
between treatment groups. In conclusion, oral levofloxacin at 500 mg OD is a well
tolerated and efficacious antibiotic treatment for BJIs. Our approach of
following-up all treated patients is a useful way to validate specific clinical
practices.
PMID- 27208902
TI - Physiologic and Acoustic Effects of Opera Performance.
AB - INTRODUCTION/HYPOTHESIS: Opera performance is physiological and emotional, and
singing performers utilize their larynges in often strenuous ways. Historically,
the training of a classical voice has been considered the paragon of healthy
singing. However, the natural history of a performing larynx has not been studied
systematically. There is paucity of scientific studies to guide practice
patterns, particularly with regard to the course and extent of post-performance
physiologic and acoustic changes. STUDY DESIGN: A prospective case series was
carried out. METHODS: Principal singers in the Houston Grand Opera's 2012-2013
repertory were enlisted, for a total of seven singers. Stroboscopy was performed
prior to the start of rehearsals, and at the completion of the opera's run. Data
points included erythema, edema, masses or lesions, mucosal waveform,
supraglottic posture; acoustic measurements were also performed. RESULTS: There
were statistically significant differences (P < 0.05) in the mucosal wave on pre-
and postperformance stroboscopic examinations. Acoustical measures did not
achieve statistical significance, but there was a trend toward increased harmonic
to-noise ratio in postperformance measures, as well as decreased frequency range
and reading F0. Measures of intra- and inter-rater reliability indicated varying
levels of intra-rater reliability, and generally poor inter-rater reliability.
CONCLUSIONS: This pilot study describes physiologic and acoustic changes that may
occur over the course of a series of rehearsals and performances in the operatic
larynx. In so doing, it highlights a need for larger studies with increased
frequency of serial examinations to study in a systematized way what may be
natural reactive changes that occur during performance.
PMID- 27208903
TI - A multicenter phase II study of TAS-102 monotherapy in patients with pre-treated
advanced gastric cancer (EPOC1201).
AB - AIM: American phase I studies have reported that the recommended dose of TAS-102
(trifluridine/tipiracil) was 25 mg/m(2) twice a day (b.i.d.), although this
schedule did not provide clinically relevant improvements in a phase II study of
advanced gastric cancer (AGC). However, a pivotal phase III study revealed that
TAS-102 at 35 mg/m(2) b.i.d. provided a clinically relevant improvement in
overall survival (OS) among patients with metastatic colorectal cancer.
Therefore, we re-evaluated the efficacy, safety, and pharmacokinetic parameters
of TAS-102 at 35 mg/m(2) b.i.d among Japanese patients with AGC. METHODS: All
patients had undergone one or two previous chemotherapy regimens that contained
fluoropyrimidine, platinum agents, and taxanes or irinotecan. The primary end
point target was a disease control rate (DCR) of >=50% after 8 weeks of the 35
mg/m(2) b.i.d. schedule. RESULTS: Twenty-nine patients were assessable after
completing the 35 mg/m(2) b.i.d. schedule. The investigator-determined DCR was
65.5% (95% confidence interval [CI], 45.7-82.1%) and the independent central
review's DCR was 51.9% (95% CI, 31.9-71.3%); both results exceeded the primary
end-point target. The median progression-free survival and OS were 2.9 months
(95% CI, 1.1-5.3 months) and 8.7 months (95% CI, 5.7-14.9 months), respectively.
The grade III/IV adverse events included neutropenia (69.0%), leucopaenia
(41.4%), anaemia (20.7%), and anorexia (10.3%). No AGC-specific toxicities were
detected. CONCLUSIONS: The 35 mg/m(2) b.i.d. dose of TAS-102 provided positive
efficacy and an acceptable toxicity profile in patients with AGC. A randomised,
double-blind, placebo-controlled, phase III study is ongoing to validate these
findings. CLINICAL TRIAL REGISTRATION NUMBER: UMIN000007421.
PMID- 27208904
TI - Targeting ALCAM in the cryo-treated tumour microenvironment successfully induces
systemic anti-tumour immunity.
AB - Cryoablative treatment has been widely used for treating cancer. However, the
therapeutic efficacies are still controversial. The molecular mechanisms of the
cryo-induced immune responses, particularly underlying the ineffectiveness,
remain to be fully elucidated. In this study, we identified a new molecular
mechanism involved in the cryo failure. We used cryo-ineffective metastatic
tumour models that murine melanoma B16-F10 cells were subcutaneously and
intravenously implanted into C57BL/6 mice. When the subcutaneous tumours were
treated cryoablation on day 7 after tumour implantation, cells expressing
activated leucocyte cell adhesion molecule (ALCAM/CD166) were significantly
expanded not only locally in the treated tumours but also systemically in spleen
and bone marrow of the mice. The cryo-induced ALCAM(+) cells including CD45(-)
mesenchymal stem/stromal cells, CD11b(+)Gr1(+) myeloid-derived suppressor cells,
and CD4(+)Foxp3(+) regulatory T cells significantly suppressed interferon gamma
production and cytotoxicity of tumour-specific CD8(+) T cells via ALCAM expressed
in these cells. This suggests that systemic expansion of the ALCAM(+) cells
negatively switches host-immune directivity to the tumour-supportive mode.
Intratumoural injection with anti-ALCAM blocking monoclonal antibody (mAb)
following the cryo treatment systemically induced tumour-specific CD8(+) T cells
with higher cytotoxic activities, resulting in suppression of tumour growth and
metastasis in the cryo-resistant tumour models. These suggest that expansion of
ALCAM(+) cells is a determinant of limiting the cryo efficacy. Further
combination with an immune checkpoint inhibitor anti-CTLA4 mAb optimized the anti
tumour efficacy of the dual-combination therapy. Targeting ALCAM may be a
promising strategy for overcoming the cryo ineffectiveness leading to the better
practical use of cryoablation in clinical treatment of cancer.
PMID- 27208905
TI - HannaH phase III randomised study: Association of total pathological complete
response with event-free survival in HER2-positive early breast cancer treated
with neoadjuvant-adjuvant trastuzumab after 2 years of treatment-free follow-up.
AB - BACKGROUND: In the phase III, open-label, randomised HannaH study, fixed-dose
neoadjuvant-adjuvant subcutaneous trastuzumab for human epidermal growth factor
receptor 2 (HER2)-positive early breast cancer was non-inferior to standard
weight-based intravenous infusion in terms of serum trough concentration and
pathological complete response (pCR). Evidence suggests that pCR, particularly
total pCR (tpCR), is likely to predict clinical benefit. We report associations
between tpCR and event-free survival (EFS) from HannaH (the largest population
from a single study of patients presenting with newly diagnosed HER2-positive
breast cancer treated with neoadjuvant-adjuvant trastuzumab to date) plus long
term efficacy and safety. METHODS: Eligible patients received four cycles of
neoadjuvant docetaxel followed by four cycles of
fluorouracil/epirubicin/cyclophosphamide administered concurrently with 3-weekly
subcutaneous (600 mg fixed dose) or intravenous trastuzumab (8 mg/kg loading, 6
mg/kg maintenance doses). Post-surgery, patients received adjuvant trastuzumab as
randomised to complete 1 year of standard treatment. In exploratory analyses, we
used Cox regression to assess associations between tpCR and EFS. EFS rates per
subgroup were estimated using the Kaplan-Meier method. FINDINGS: Three-year EFS
rates were 76% for subcutaneous and 73% for intravenous trastuzumab (unstratified
hazard ratio [HR] 0.95, 95% confidence interval [CI] 0.69-1.30; intention-to
treat population). Three-year overall survival rates were 92% for subcutaneous
and 90% for intravenous trastuzumab (unstratified HR 0.76, 95% CI 0.44-1.32).
tpCR was associated with a reduced risk of an EFS event: subcutaneous arm HR 0.38
(95% CI 0.22-0.65); intravenous arm HR 0.32 (95% CI 0.18-0.60). Results were
similar for subgroups, including oestrogen receptor status. The few additional
adverse events occurring during treatment-free follow-up were balanced between
arms. INTERPRETATION: Long-term efficacy supports the established non-inferiority
of subcutaneous trastuzumab, and its safety profile remains consistent with the
known intravenous profile. In each of HannaH's treatment arms, tpCR was
associated with improved EFS, adding to evidence that tpCR is associated with
clinical benefit in HER2-positive early breast cancer.
PMID- 27208907
TI - Initial Assessment of Patients With Contact Eczema.
PMID- 27208908
TI - Multimodal Treatment of Calciphylaxis With Sodium Thiosulfate, Alprostadil, and
Hyperbaric Oxygen Therapy.
PMID- 27208906
TI - Effects of daily glucose fluctuations on the healing response to everolimus
eluting stent implantation as assessed using continuous glucose monitoring and
optical coherence tomography.
AB - BACKGROUND: Several studies have revealed that glucose fluctuations provoke
oxidative stress that leads to endothelial cell dysfunction, progression of
coronary atherosclerosis, and plaque vulnerability. However, little is known
regarding their effect on neointimal growth after stenting in patients with
coronary artery disease (CAD). We aimed to investigate the effects of glucose
fluctuations on neointimal growth after everolimus-eluting stent (EES)
implantation. METHODS: This study examined 50 patients who underwent a 9-month
follow-up using optical coherence tomography (OCT) after EES implantation.
Glucose fluctuation was expressed as the mean amplitude of glycemic excursion
(MAGE), and was determined via continuous glucose monitoring before stenting. At
the OCT follow-up, we evaluated the percentage of uncovered struts and three
dimensional uniformity of neointimal distribution by calculating the mean
neointimal thickness (NIT) within 360 equally-spaced radial sectors for every 1
mm cross-sectional OCT analysis, and assessed the incidence of major adverse
cardiovascular events (MACE). RESULTS: We evaluated 60 lesions in 50 patients.
Linear mixed effect models were used to explore the influence of different
variables on variability in NIT and the percentage of uncovered struts and to
adjust for covariates. Univariate analysis showed that MAGE was most strongly
correlated with the previously mentioned OCT measurements (coefficient beta +/-
standard error = 0.267 +/- 0.073 and 0.016 +/- 0.003, t = 3.668 and 6.092, both P
< 0.001, respectively). In multivariate analysis, MAGE had the strongest effect
on variability in NIT (coefficient beta +/- standard error = 0.239 +/- 0.093, P =
0.014) and the percentage of uncovered struts (coefficient beta +/- standard
error = 0.019 +/- 0.004, P < 0.001). Five lesions in four patients required
target lesion revascularization (10.0 %) at a mean duration of 9 months after EES
implantation. Compared to non-MACE cases, cases of MACE exhibited a significantly
higher MAGE (99 vs. 68; P = 0.004), maximum NIT (580 vs. 330 um; P = 0.002), and
variability in NIT (100 vs. 65; P = 0.007), although there was no significant
difference in these groups' HbA1c levels. CONCLUSIONS: Glucose fluctuation may
affect vessel healing after EES implantation in patients with CAD who are
receiving lipid-lowering therapy. Therefore, glucose fluctuations may be an
important target for secondary prevention after coronary stenting, which is
independent of dyslipidemia control.
PMID- 27208909
TI - Glomeruloid hemangioma and POEMS syndrome.
AB - POEMS syndrome is a paraneoplastic manifestation associated with hematopoietic
disorders such as multiple myeloma and Castleman disease. POEMS is an acronym for
the main clinical features of the syndrome, namely, Polyneuropathy, Organomegaly,
Endocrinopathy, M protein, and Skin abnormalities. Glomeruloid hemangiomas are
considered to be a specific clinical marker of POEMS syndrome. However, while
they are not pathognomonic, their presence should raise suspicion of this
syndrome or alert clinicians to its possible future development, as these lesions
can appear years before the onset of the syndrome. We report the cases of 2 women
with plasma cell dyscrasias and sudden onset of lesions with a vascular
appearance and histologic findings consistent with glomeruloid hemangioma.
Recognition of this vascular tumor is important for the early diagnosis of POEMS
syndrome.
PMID- 27208910
TI - Infantile hemangioma and beta-blockers: When, how, and why?
PMID- 27208911
TI - Faun Tail Nevus: A Cutaneous Sign of Spinal Dysraphism.
PMID- 27208913
TI - Induction of labour at or near term for suspected fetal macrosomia.
AB - BACKGROUND: Women with a suspected large-for-dates fetus or a fetus with
suspected macrosomia (birthweight greater than 4000 g) are at risk of operative
birth or caesarean section. The baby is also at increased risk of shoulder
dystocia and trauma, in particular fractures and brachial plexus injury.
Induction of labour may reduce these risks by decreasing the birthweight, but may
also lead to longer labours and an increased risk of caesarean section.
OBJECTIVES: To assess the effects of a policy of labour induction at or shortly
before term (37 to 40 weeks) for suspected fetal macrosomia on the way of giving
birth and maternal or perinatal morbidity. SEARCH METHODS: We searched the
Cochrane Pregnancy and Childbirth Group's Trials Register (31 January 2016),
contacted trial authors and searched reference lists of retrieved studies.
SELECTION CRITERIA: Randomised trials of induction of labour for suspected fetal
macrosomia. DATA COLLECTION AND ANALYSIS: Review authors independently assessed
trials for inclusion and risk of bias, extracted data and checked them for
accuracy. We contacted study authors for additional information. For key outcomes
the quality of the evidence was assessed using the GRADE approach. MAIN RESULTS:
We included four trials, involving 1190 women. It was not possible to blind women
and staff to the intervention, but for other 'Risk of bias' domains these studies
were assessed as being at low or unclear risk of bias.Compared to expectant
management, there was no clear effect of induction of labour for suspected
macrosomia on the risk of caesarean section (risk ratio (RR) 0.91, 95% confidence
interval (CI) 0.76 to 1.09; 1190 women; four trials, moderate-quality evidence)
or instrumental delivery (RR 0.86, 95% CI 0.65 to 1.13; 1190 women; four trials,
low-quality evidence). Shoulder dystocia (RR 0.60, 95% CI 0.37 to 0.98; 1190
women; four trials, moderate-quality evidence), and fracture (any) (RR 0.20, 95%
CI 0.05 to 0.79; 1190 women; four studies, high-quality evidence) were reduced in
the induction of labour group. There were no clear differences between groups for
brachial plexus injury (two events were reported in the control group in one
trial, low-quality evidence). There was no strong evidence of any difference
between groups for measures of neonatal asphyxia; low five-minute infant Apgar
scores (less than seven) or low arterial cord blood pH (RR 1.51, 95% CI 0.25 to
9.02; 858 infants; two trials, low-quality evidence; and, RR 1.01, 95% CI 0.46 to
2.22; 818 infants; one trial, moderate-quality evidence, respectively). Mean
birthweight was lower in the induction group, but there was considerable
heterogeneity between studies for this outcome (mean difference (MD) -178.03 g,
95% CI -315.26 to -40.81; 1190 infants; four studies; I(2) = 89%). In one study
with data for 818 women, third- and fourth-degree perineal tears were increased
in the induction group (RR 3.70, 95% CI 1.04 to 13.17).For outcomes assessed
using GRADE, we based our downgrading decisions on high risk of bias from lack of
blinding and imprecision of effect estimates. AUTHORS' CONCLUSIONS: Induction of
labour for suspected fetal macrosomia has not been shown to alter the risk of
brachial plexus injury, but the power of the included studies to show a
difference for such a rare event is limited. Also antenatal estimates of fetal
weight are often inaccurate so many women may be worried unnecessarily, and many
inductions may not be needed. Nevertheless, induction of labour for suspected
fetal macrosomia results in a lower mean birthweight, and fewer birth fractures
and shoulder dystocia. The unexpected observation in the induction group of
increased perineal damage, and the plausible, but of uncertain significance,
observation of increased use of phototherapy, both in the largest trial, should
also be kept in mind.Findings from trials included in the review suggest that to
prevent one fracture it would be necessary to induce labour in 60 women. Since
induction of labour does not appear to alter the rate of caesarean delivery or
instrumental delivery, it is likely to be popular with many women. In settings
where obstetricians can be reasonably confident about their scan assessment of
fetal weight, the advantages and disadvantages of induction at or near term for
fetuses suspected of being macrosomic should be discussed with parents.Although
some parents and doctors may feel the evidence already justifies induction,
others may justifiably disagree. Further trials of induction shortly before term
for suspected fetal macrosomia are needed. Such trials should concentrate on
refining the optimum gestation of induction, and improving the accuracy of the
diagnosis of macrosomia.
PMID- 27208912
TI - [Cranial trepanation in primitive cultures].
AB - A review is presented on cranial trepanations performed by primitive cultures.
The scientific interest in this topic began after the discovery in 1965 by
Ephraim G. Squier of a pre-Columbian trepanated skull, and studied by Paul Broca
in Paris. Pseudotrepanation and other types of cranial manipulation are reviewed.
The techniques, technology, and instruments for every type of trepanation are
well known. There are a surprisingly high percentage of cases showing signs of
post-trepanation survival. Indications for trepanation are speculative, perhaps
magic. Although trepanation in primitive cultures is widespread around the world,
and throughout time, the main fields of interest are the Neolithic Period in
Europe, the pre-Columbian Period in Andean South America, and some
contemporaneous Pacific and African tribes. This particular trepanation procedure
has no relationship with modern Neurosurgery, or with trepanations with
therapeutic purposes performed since the Greco-Roman period in Europe, and
afterwards around the world.
PMID- 27208914
TI - e-Consultation Improves Efficacy in Thoracic Surgery Outpatient Clinics.
AB - OBJECTIVE: The aim of this study is analysing the impact of the systematic versus
occasional videoconferencing discussion of patients with two respiratory referral
units along 6 years of time over the efficiency of the in-person outpatient
clinics of a thoracic surgery service. METHOD: Retrospective and comparative
study of the evaluated patients through videoconferencing and in-person first
visits during two equivalents periods of time: Group A (occasional discussion of
cases) between 2008-2010 and Group B (weekly regular discussion) 2011-2013. Data
were obtained from two prospective and electronic data bases. The number of cases
discussed using e-consultation, in-person outpatient clinics evaluation and
finally operated on under general anaesthesia in each period of time are
presented. For efficiency criteria, the index: number of operated on cases/number
of first visit outpatient clinic patients is created. Non-parametric Wilcoxon
test is used for comparison. RESULTS: The mean number of patients evaluated at
the outpatient clinics/year on group A was 563 versus 464 on group B. The median
number of cases discussed using videoconferencing/year was 42 for group A versus
136 for group B. The mean number of operated cases/first visit at the outpatient
clinics was 0.7 versus 0.87 in group B (P=.04). CONCLUSIONS: The systematic
regular discussion of cases using videoconferencing has a positive impact on the
efficacy of the outpatient clinics of a Thoracic Surgery Service measured in
terms of operated cases/first outpatient clinics visit.
PMID- 27208915
TI - [Hypoglycemia in nondiabetic patients: When is the 72-hour-fast test required and
how can it be interpreted?].
AB - Whereas hypoglycaemia is uncommon in nondiabetic patients, it is a frequent
reason for consultation. Hypoglycaemia is confirmed when criteria of Whipple's
triad are fulfilled. If a venous sample cannot be collected when hypoglycemia
occurs spontaneously, a 72-hour-fast test should be performed firstly to affirm
the reality of hypoglycaemia and secondly to make the etiological diagnosis.
Before carrying out this long and expensive test, the obvious causes of
hypoglycaemia must be ruled out: iatrogenic, severe prolonged undernutrition,
liver or renal deficiency, adrenal insufficiency, IGFII or pro-IGFII secreting
tumours...At the time of hypoglycemia, plasma insulin>=3mUI/L, C
peptide>=0.6ng/mL, proinsulin>=5pmol/L associated with plasma beta
hydroxybutyrate<=2700MUmol/L provide evidence for inappropriate insulin
secretion. The lack of hypoglycaemia after a 72-hour-fast test rules out, in the
majority of cases, organic hypoglycaemia.
PMID- 27208916
TI - [The baclofene saga and the paradigm shift in alcohol addiction treatment].
AB - Baclofen represented the hope of an effective treatment of addiction to alcohol,
in a context where the therapeutic featuring agreement obtained modest results.
The rise of baclofen has bypassed the usual academic procedures. There is a
scientific rational prescription of baclofen. Its use opens up the prospect of
using other agonists of GABAB to manage the craving.
PMID- 27208918
TI - Management of anaphylactic shock in the operating room.
AB - Diagnosis of anaphylactic shock occurring during anesthesia is challenging
because of altered clinical signs and confounding diagnoses (e.g. arterial
hypotension). A major sign of clinical severity in the presence of arterial
hypotension is a low end-tidal CO2 concentration (below 20mmHg). Acute
hemoconcentration (increase of hemoglobin concentrations) is highly suggestive of
vascular leak triggered by anaphylactic shock. Guidelines for management of
anaphylactic shock occurring during anesthesia are based on withdrawal of the
suspected allergen, airway control, increased cardiac preload by the
Trendelenbourg position and volume expansion, epinephrine, glucocorticoids and
monitoring for 24hours, although evidence for the efficacy of these therapeutic
interventions is absent or very weak. Refractory anaphylactic shock although not
defined could be characterized by persistent clinical signs after more than
10minutes of adequately managed resuscitation. It should trigger enhanced cardiac
monitoring through echocardiography to detect primary myocardial dysfunction and
alert for extracorporeal life support. Drugs that may be used for refractory
anaphylactic shock in addition to epinephrine are glucagon, norepinephrine,
vasopressin, methylene blue but there are only animal studies where these drugs
were compared to epinephrine. Follow-up after resuscitation includes patient
information on the drugs given before occurrence of clinical signs, scheduled
allergology investigation, pharmacovigilance report and recovery of the
conclusions of allergology investigation with clear decisions on the
identification of the culprit agent and subsequent avoidance. All these
conclusions have to be traced in the medical record and shared with the patient.
PMID- 27208917
TI - [Methods of blood pressure measurement during pregnancy].
AB - Hypertension during pregnancy is associated with high perinatal morbidity and
mortality. The prevalence of white coat hypertension is high during pregnancy and
is associated with a good prognosis and must be excluded. The definition of
hypertension during pregnancy is office BP>=140/90mmHg and >=135/85mmHg with home
BP and diurnal ambulatory BP. How to use HBPM during pregnancy? To get an adapted
and validated BP humeral device during pregnancy; to use it in good conditions
with the "3 rules". When to use HBPM during pregnancy? To confirm the diagnosis
of hypertension; to monitor BP during pregnancy and to alert the obstetrician
when severe hypertension occurs; to manage BP treatment and avoid excessive
treatment. HBP and ABP monitoring may be used to exclude white coat effect but
HBPM must be preferred when prolonged use.
PMID- 27208919
TI - Modeling spatiotemporal bacterial variability with meteorological and watershed
land-use characteristics.
AB - Bacteria are a primary contaminant in natural surface water. The instream
concentration of fecal coliform, a potential indicator of pathogens, is
influenced by meteorological conditions and land-use characteristics. However,
the relationships between these conditions and fecal coliforms are not fully
understood. Furthermore, the sources of large variability in fecal coliform
counts, e.g., temporal or spatial sources, remain unexplained, especially at
large scales. This study proposes the use of Bayesian overdispersed Poisson
models, whereby the combined effects of temperature, rainfall, and land-use
characteristics on fecal coliform concentration are quantified with predictive
uncertainty, and the sources of variability in fecal coliform concentration are
assessed. The models were developed using 8-year weekly observations of fecal
coliforms obtained from the Wachusett Reservoir watershed in Massachusetts, USA.
The results highlight the importance of interactions among meteorological and
land-use characteristics in controlling the instream fecal coliform
concentration; the increase in fecal coliform concentration with temperature
increase was more drastic when rainfall occurred. Also, the responses of fecal
coliforms to temperature increases were more pronounced in forest-dominated than
in urban-dominated areas. In contrast, the fecal coliform concentration increased
more rapidly with rainfall increases in urban-dominated than in forest-dominated
areas. The models also demonstrate that among the sources of variability, the
monthly component made the most significant contribution to the variability in
fecal coliform concentrations. Our results suggest that seasonally dependent
processes, including surface runoff, are critical factors that regulate fecal
coliform concentration in streams.
PMID- 27208920
TI - Bioelectrochemical treatment of table olive brine processing wastewater for
biogas production and phenolic compounds removal.
AB - Industry of table olives is widely distributed over the Mediterranean countries
and generates large volumes of processing wastewaters (TOPWs). TOPWs contain high
levels of organic matter, salt, and phenolic compounds that are recalcitrant to
microbial degradation. This work aims to evaluate the potential of
bioelectrochemical systems to simultaneously treat real TOPWs and recover energy.
The experiments were performed in potentiostatically-controlled single-chamber
systems fed with real TOPW and using a moderate halophilic consortium as
biocatalyst. In conventional anaerobic digestion (AD) treatment, ie. where no
potential was applied, no CH4 was produced. In comparison, Bio-Electrochemical
Systems (BES) showed a maximum CH4 yield of 701 +/- 13 NmL CH4.LTOPW(-1) under a
current density of 7.1 +/- 0.4 A m(-2) and with a coulombic efficiency of 30%.
Interestingly, up to 80% of the phenolic compounds found in the raw TOPW (i.e.
hydroxytyrosol and tyrosol) were removed. A new theoretical degradation pathway
was proposed after identification of the metabolic by-products. Consistently,
microbial community analysis at the anode revealed a clear and specific
enrichment in anode-respiring bacteria (ARB) from the genera Desulfuromonas and
Geoalkalibacter, supporting the key role of these electroactive microorganisms.
As a conclusion, bioelectrochemical systems represent a promising bioprocess
alternative for the treatment and energy recovery of recalcitrant TOPWs.
PMID- 27208921
TI - Relationship of human bocavirus 2 to gastroenteritis in children.
PMID- 27208922
TI - Malnutrition: The kiss of Grim Reaper.
PMID- 27208924
TI - Epileptogenesis after traumatic brain injury in Plaur-deficient mice.
AB - Binding of the extracellular matrix proteinase urokinase-type plasminogen
activator (uPA) to its receptor, uPAR, regulates tissue remodeling during
development and after injury in different organs, including the brain.
Accordingly, mutations in the Plaur gene, which encodes uPAR, have been linked to
language deficits, autism, and epilepsy, both in mouse and human. Whether uPAR
deficiency modulates epileptogenesis and comorbidogenesis after brain injury,
however, is unknown. To address this question, we induced traumatic brain injury
(TBI) by controlled cortical impact (CCI) in 10 wild-type (Wt-CCI) and 16 Plaur
deficient (uPAR-CCI) mice. Sham-operated mice served as controls (10 Wt-sham, 10
uPAR-sham). During the 4-month follow-up, the mice were neurophenotyped by
assessing the somatomotor performance with the composite neuroscore test,
emotional learning and memory with fear conditioning to tone and context, and
epileptogenesis with videoelectroencephalography monitoring and the
pentylenetetrazol (PTZ) seizure susceptibility test. At the end of the testing,
the mice were perfused for histology to analyze cortical and hippocampal
neurodegeneration and mossy fiber sprouting. Fourteen percent (1/7) of the mice
in the Wt-CCI and 0% in the uPAR-CCI groups developed spontaneous seizures
(p>0.05; chi-square). Both the Wt-CCI and uPAR-CCI groups showed increased
seizure susceptibility in the PTZ test (p<0.05), impaired recovery of motor
function (p<0.001), and neurodegeneration in the hippocampus and cortex (p<0.05)
compared with the corresponding sham-operated controls. Motor recovery and
emotional learning showed a genotype effect, being more impaired in uPAR-CCI than
in Wt-CCI mice (p<0.05). The findings of the present study indicate that uPAR
deficiency does not increase susceptibility to epileptogenesis after CCI injury
but has an unfavorable comorbidity-modifying effect after TBI.
PMID- 27208923
TI - Enriching a protein drink with leucine augments muscle protein synthesis after
resistance exercise in young and older men.
AB - Maximizing anabolic responses to feeding and exercise is crucial for muscle
maintenance and adaptation to exercise training. We hypothesized that enriching a
protein drink with leucine would improve anabolic responses to resistance
exercise (RE: 6 * 8 knee-extension repetitions at 75% of 1-RM) in both young and
older adults. Groups (n = 9) of young (24 +/- 6 y, BMI 23 +/- 2 kg m-2) and older
men (70 +/- 5 y, BMI 25 +/- 2 kg m-2) were randomized to either: (i) RE followed
by Slim-Fast Optima (SFO 10 g PRO; 24 g CHO) with 4.2 g of leucine (LEU) or, (ii)
RE + SFO with 4.2 g of alanine (ALA; isonitrogenous control). Muscle biopsies
were taken before, immediately after, and 1, 2 and 4 h after RE and feeding.
Muscle protein synthesis (MPS) was measured by incorporation of [1, 2-13C2]
leucine into myofibrillar proteins and the phosphorylation of p70S6K1 by
immunoblotting. In young men, both area under the curve (AUC; FSR 0-4 h P < 0.05)
and peak FSR (0.11 vs. 0.08%.h.-1; P < 0.05) were greater in the SFO + LEU than
in the SFO + ALA group, after RE. Similarly, in older men, AUC analysis revealed
that post-exercise anabolic responses were greater in the SFO + LEU than SFO +
ALA group, after RE (AUC; FSR 0-4 h P < 0.05). Irrespective of age, increases in
p70S6K1 phosphorylation were evident in response to both SFO + LEU and SFO + ALA,
although greater with leucine supplementation than alanine (fold-change 2.2 vs.
3.2; P < 0.05), specifically in the older men. We conclude that addition of
Leucine to a sub-maximal PRO bolus improves anabolic responses to RE in young and
older men.
PMID- 27208926
TI - Announcement: Top reviewers for The Journal of Chemical Physics 2015.
PMID- 27208927
TI - Communication: Near-locality of exchange and correlation density functionals for
1- and 2-electron systems.
AB - The uniform electron gas and the hydrogen atom play fundamental roles in
condensed matter physics and quantum chemistry. The former has an infinite number
of electrons uniformly distributed over the neutralizing positively charged
background, and the latter only one electron bound to the proton. The uniform
electron gas was used to derive the local spin density approximation to the
exchange-correlation functional that undergirds the development of the Kohn-Sham
density functional theory. We show here that the ground-state exchange
correlation energies of the hydrogen atom and many other 1- and 2-electron
systems are modeled surprisingly well by a different local spin density
approximation (LSDA0). LSDA0 is constructed to satisfy exact constraints but
agrees surprisingly well with the exact results for a uniform two-electron
density in a finite, curved three-dimensional space. We also apply LSDA0 to
excited or noded 1-electron densities, where it works less well. Furthermore, we
show that the localization of the exact exchange hole for a 1- or 2-electron
ground state can be measured by the ratio of the exact exchange energy to its
optimal lower bound.
PMID- 27208925
TI - Validation of a novel classification model of psychogenic nonepileptic seizures
by video-EEG analysis and a machine learning approach.
AB - The aim of this study was to validate a novel classification for the diagnosis of
PNESs. Fifty-five PNES video-EEG recordings were retrospectively analyzed by four
epileptologists and one psychiatrist in a blind manner and classified into four
distinct groups: Hypermotor (H), Akinetic (A), Focal Motor (FM), and with
Subjective Symptoms (SS). Eleven signs and symptoms, which are frequently found
in PNESs, were chosen for statistical validation of our classification. An
artificial neural network (ANN) analyzed PNES video recordings based on the signs
and symptoms mentioned above. By comparing results produced by the ANN with
classifications given by examiners, we were able to understand whether such
classification was objective and generalizable. Through accordance metrics based
on signs and symptoms (range: 0-100%), we found that most of the seizures
belonging to class A showed a high degree of accordance (mean+/-SD=73%+/-5%); a
similar pattern was found for class SS (80% slightly lower accordance was
reported for class H (58%+/-18%)), with a minimum of 30% in some cases. Low
agreement arose from the FM group. Seizures were univocally assigned to a given
class in 83.6% of seizures. The ANN classified PNESs in the same way as visual
examination in 86.7%. Agreement between ANN classification and visual
classification reached 83.3% (SD=17.8%) accordance for class H, 100% (SD=22%) for
class A, 83.3% (SD=21.2%) for class SS, and 50% (SD=19.52%) for class FM. This is
the first study in which the validity of a new PNES classification was
established and reached in two different ways. Video-EEG evaluation needs to be
performed by an experienced clinician, but later on, it may be fed into ANN
analysis, whose feedback will provide guidance for differential diagnosis. Our
analysis, supported by the ML approach, showed that this model of classification
could be objectively performed by video-EEG examination.
PMID- 27208928
TI - Communication: Control of chemical reactions using electric field gradients.
AB - We examine theoretically a new idea for spatial and temporal control of chemical
reactions. When chemical reactions take place in a mixture of solvents, an
external electric field can alter the local mixture composition, thereby
accelerating or decelerating the rate of reaction. The spatial distribution of
electric field strength can be non-trivial and depends on the arrangement of the
electrodes producing it. In the absence of electric field, the mixture is
homogeneous and the reaction takes place uniformly in the reactor volume. When an
electric field is applied, the solvents separate and the reactants are
concentrated in the same phase or separate to different phases, depending on
their relative miscibility in the solvents, and this can have a large effect on
the kinetics of the reaction. This method could provide an alternative way to
control runaway reactions and to increase the reaction rate without using
catalysts.
PMID- 27208929
TI - Communication: Hydrogen bonding interactions in water-alcohol mixtures from X-ray
absorption spectroscopy.
AB - While methanol and ethanol are macroscopically miscible with water, their
mixtures exhibit negative excess entropies of mixing. Despite considerable effort
in both experiment and theory, there remains significant disagreement regarding
the origin of this effect. Different models for the liquid mixture structure have
been proposed to address this behavior, including the enhancement of the water
hydrogen bonding network around the alcohol hydrophobic groups and microscopic
immiscibility or clustering. We have investigated mixtures of methanol, ethanol,
and isopropanol with water by liquid microjet X-ray absorption spectroscopy on
the oxygen K-edge, an atom-specific probe providing details of both inter- and
intra-molecular structure. The measured spectra evidence a significant
enhancement of hydrogen bonding originating from the methanol and ethanol
hydroxyl groups upon the addition of water. These additional hydrogen bonding
interactions would strengthen the liquid-liquid interactions, resulting in
additional ordering in the liquid structures and leading to a reduction in
entropy and a negative enthalpy of mixing, consistent with existing thermodynamic
data. In contrast, the spectra of the isopropanol-water mixtures exhibit an
increase in the number of broken alcohol hydrogen bonds for mixtures containing
up to 0.5 water mole fraction, an observation consistent with existing enthalpy
of mixing data, suggesting that the measured negative excess entropy is a result
of clustering or micro-immiscibility.
PMID- 27208930
TI - The DQ and DQPhi electronic structure diabatization methods: Validation for
general applications.
AB - We recently proposed the dipole-quadrupole (DQ) method for transforming adiabatic
electronic states to diabatic states by using matrix elements of the dipole and
quadrupole operators, and we applied the method to 3-state diabatizations of LiH
and phenol. Here we extend the method to also include the electrostatic
potential, and we call the resulting method the DQPhi method, which denotes the
dipole-quadrupole-electrostatic-potential diabatization method. The electrostatic
potential provides extra flexibility, and the goal of the present work is to test
and illustrate the robustness of the methods for producing diabatic potential
energy curves that tend to the adiabatic curves away from crossings and avoided
crossings and are smooth in regions of crossings and avoided crossings. We
illustrate the generality of the methods by an application to LiH with four
states and by two-state diabatizations of HCl, (H2)2, O3, and the reaction Li +
HF -> LiF + H. We find that-if enough states are included-the DQ method does not
have a significant dependence on the parameter weighting the quadrupole moment,
and a geometry-independent value of 10 a0 (-2) is adequate in all cases tested.
We also find that the addition of the electrostatic potential improves the
diabatic potentials in some cases and provides an additional property useful for
increasing the generality of the method for diabatization.
PMID- 27208931
TI - Assessment of the accuracy of coupled cluster perturbation theory for open-shell
systems. I. Triples expansions.
AB - The accuracy at which total energies of open-shell atoms and organic radicals may
be calculated is assessed for selected coupled cluster perturbative triples
expansions, all of which augment the coupled cluster singles and doubles (CCSD)
energy by a non-iterative correction for the effect of triple excitations.
Namely, the second- through sixth-order models of the recently proposed CCSD(T-n)
triples series [J. J. Eriksen et al., J. Chem. Phys. 140, 064108 (2014)] are
compared to the acclaimed CCSD(T) model for both unrestricted as well as
restricted open-shell Hartree-Fock (UHF/ROHF) reference determinants. By
comparing UHF- and ROHF-based statistical results for a test set of 18 modest
sized open-shell species with comparable RHF-based results, no behavioral
differences are observed for the higher-order models of the CCSD(T-n) series in
their correlated descriptions of closed- and open-shell species. In particular,
we find that the convergence rate throughout the series towards the coupled
cluster singles, doubles, and triples (CCSDT) solution is identical for the two
cases. For the CCSD(T) model, on the other hand, not only its numerical
consistency, but also its established, yet fortuitous cancellation of errors
breaks down in the transition from closed- to open-shell systems. The higher
order CCSD(T-n) models (orders n > 3) thus offer a consistent and significant
improvement in accuracy relative to CCSDT over the CCSD(T) model, equally for
RHF, UHF, and ROHF reference determinants, albeit at an increased computational
cost.
PMID- 27208932
TI - Assessment of the accuracy of coupled cluster perturbation theory for open-shell
systems. II. Quadruples expansions.
AB - We extend our assessment of the potential of perturbative coupled cluster (CC)
expansions for a test set of open-shell atoms and organic radicals to the
description of quadruple excitations. Namely, the second- through sixth-order
models of the recently proposed CCSDT(Q-n) quadruples series [J. J. Eriksen et
al., J. Chem. Phys. 140, 064108 (2014)] are compared to the prominent CCSDT(Q)
and LambdaCCSDT(Q) models. From a comparison of the models in terms of their
recovery of total CC singles, doubles, triples, and quadruples (CCSDTQ) energies,
we find that the performance of the CCSDT(Q-n) models is independent of the
reference used (unrestricted or restricted (open-shell) Hartree-Fock), in
contrast to the CCSDT(Q) and LambdaCCSDT(Q) models, for which the accuracy is
strongly dependent on the spin of the molecular ground state. By further
comparing the ability of the models to recover relative CCSDTQ total atomization
energies, the discrepancy between them is found to be even more pronounced,
stressing how a balanced description of both closed- and open-shell species-as
found in the CCSDT(Q-n) models-is indeed of paramount importance if any
perturbative CC model is to be of chemical relevance for high-accuracy
applications. In particular, the third-order CCSDT(Q-3) model is found to offer
an encouraging alternative to the existing choices of quadruples models used in
modern computational thermochemistry, since the model is still only of moderate
cost, albeit markedly more costly than, e.g., the CCSDT(Q) and LambdaCCSDT(Q)
models.
PMID- 27208933
TI - Alternative view of two-dimensional spectroscopy.
AB - Femtosecond two-dimensional (2D) spectroscopy has become a widely employed method
for the investigation of the dynamics of complex chemical and biological systems.
In 2D spectroscopy, the sample is excited with three phase-locked femtosecond
pulses, and the signal is heterodyned with the local oscillator field. The 2D
spectrum is obtained by double Fourier transform with respect to the time delay
between the first two pulses and the time delay between the third pulse and the
local oscillator field. We show that 2D optical signals can alternatively be
measured and computationally simulated as four-wave-mixing signals generated by
two femtosecond pulses and two one-sided continuous-wave (CW) pulses. The first
femtosecond pulse and one-sided CW pulse create the doorway state, while the
second femtosecond pulse and one-sided CW pulse create the window state. This
picture relates 2D spectroscopy to other mixed time-frequency-domain techniques,
which is useful for the interpretation of the corresponding signals. Moreover, it
allows a computationally efficient evaluation of 2D spectra.
PMID- 27208934
TI - Simple formalism for efficient derivatives and multi-determinant expansions in
quantum Monte Carlo.
AB - We present a simple and general formalism to compute efficiently the derivatives
of a multi-determinant Jastrow-Slater wave function, the local energy, the
interatomic forces, and similar quantities needed in quantum Monte Carlo. Through
a straightforward manipulation of matrices evaluated on the occupied and virtual
orbitals, we obtain an efficiency equivalent to algorithmic differentiation in
the computation of the interatomic forces and the optimization of the orbital
parameters. Furthermore, for a large multi-determinant expansion, the significant
computational gain afforded by a recently introduced table method is here
extended to the local value of any one-body operator and to its derivatives, in
both all-electron and pseudopotential calculations.
PMID- 27208935
TI - Anomalous dimensionality dependence of diffusion in a rugged energy landscape:
How pathological is one dimension?
AB - Diffusion in one dimensional rugged energy landscape (REL) is predicted to be
pathologically different (from any higher dimension) with a much larger chance of
encountering broken ergodicity [D. L. Stein and C. M. Newman, AIP Conf. Proc.
1479, 620 (2012)]. However, no quantitative study of this difference has been
reported, despite the prevalence of multidimensional physical models in the
literature (like a high dimensional funnel guiding protein folding/unfolding).
Paradoxically, some theoretical studies of these phenomena still employ a one
dimensional diffusion description for analytical tractability. We explore the
dimensionality dependent diffusion on REL by carrying out an effective medium
approximation based analytical calculations and compare them with the available
computer simulation results. We find that at an intermediate level of ruggedness
(assumed to have a Gaussian distribution), where diffusion is well-defined, the
value of the effective diffusion coefficient depends on dimensionality and
changes (increases) by several factors (~5-10) in going from 1d to 2d. In
contrast, the changes in subsequent transitions (like 2d to 3d and 3d to 4d and
so on) are far more modest, of the order of 10-20% only. When ruggedness is given
by random traps with an exponential distribution of barrier heights, the mean
square displacement (MSD) is sub-diffusive (a well-known result), but the growth
of MSD is described by different exponents in one and higher dimensions. The
reason for such strong ruggedness induced retardation in the case of one
dimensional REL is discussed. We also discuss the special limiting case of
infinite dimension (d = infinity) where the effective medium approximation
becomes exact and where theoretical results become simple. We discuss, for the
first time, the role of spatial correlation in the landscape on diffusion of a
random walker.
PMID- 27208937
TI - Adaptive time steps in trajectory surface hopping simulations.
AB - Trajectory surface hopping (TSH) simulations are often performed in combination
with active-space multi-reference configuration interaction (MRCI) treatments.
Technical problems may arise in such simulations if active and inactive orbitals
strongly mix and switch in some particular regions. We propose to use adaptive
time steps when such regions are encountered in TSH simulations. For this
purpose, we present a computational protocol that is easy to implement and
increases the computational effort only in the critical regions. We test this
procedure through TSH simulations of a GFP chromophore model (OHBI) and a light
driven rotary molecular motor (F-NAIBP) on semiempirical MRCI potential energy
surfaces, by comparing the results from simulations with adaptive time steps to
analogous ones with constant time steps. For both test molecules, the number of
successful trajectories without technical failures rises significantly, from 53%
to 95% for OHBI and from 25% to 96% for F-NAIBP. The computed excited-state
lifetime remains essentially the same for OHBI and increases somewhat for F
NAIBP, and there is almost no change in the computed quantum efficiency for
internal rotation in F-NAIBP. We recommend the general use of adaptive time steps
in TSH simulations with active-space CI methods because this will help to avoid
technical problems, increase the overall efficiency and robustness of the
simulations, and allow for a more complete sampling.
PMID- 27208936
TI - Entanglement entropy of electronic excitations.
AB - A new perspective into correlation effects in electronically excited states is
provided through quantum information theory. The entanglement between the
electron and hole quasiparticles is examined, and it is shown that the related
entanglement entropy can be computed from the eigenvalue spectrum of the well
known natural transition orbital (NTO) decomposition. Non-vanishing entanglement
is obtained whenever more than one NTO pair is involved, i.e., in the case of a
multiconfigurational or collective excitation. An important implication is that
in the case of entanglement it is not possible to gain a complete description of
the state character from the orbitals alone, but more specific analysis methods
are required to decode the mutual information between the electron and hole.
Moreover, the newly introduced number of entangled states is an important
property by itself giving information about excitonic structure. The utility of
the formalism is illustrated in the cases of the excited states of two
interacting ethylene molecules, the conjugated polymer para-phenylene vinylene,
and the naphthalene molecule.
PMID- 27208939
TI - Comparing the accuracy of high-dimensional neural network potentials and the
systematic molecular fragmentation method: A benchmark study for all-trans
alkanes.
AB - Many approaches, which have been developed to express the potential energy of
large systems, exploit the locality of the atomic interactions. A prominent
example is the fragmentation methods in which the quantum chemical calculations
are carried out for overlapping small fragments of a given molecule that are then
combined in a second step to yield the system's total energy. Here we compare the
accuracy of the systematic molecular fragmentation approach with the performance
of high-dimensional neural network (HDNN) potentials introduced by Behler and
Parrinello. HDNN potentials are similar in spirit to the fragmentation approach
in that the total energy is constructed as a sum of environment-dependent atomic
energies, which are derived indirectly from electronic structure calculations. As
a benchmark set, we use all-trans alkanes containing up to eleven carbon atoms at
the coupled cluster level of theory. These molecules have been chosen because
they allow to extrapolate reliable reference energies for very long chains,
enabling an assessment of the energies obtained by both methods for alkanes
including up to 10 000 carbon atoms. We find that both methods predict high
quality energies with the HDNN potentials yielding smaller errors with respect to
the coupled cluster reference.
PMID- 27208938
TI - A moment-convergence method for stochastic analysis of biochemical reaction
networks.
AB - Traditional moment-closure methods need to assume that high-order cumulants of a
probability distribution approximate to zero. However, this strong assumption is
not satisfied for many biochemical reaction networks. Here, we introduce
convergent moments (defined in mathematics as the coefficients in the Taylor
expansion of the probability-generating function at some point) to overcome this
drawback of the moment-closure methods. As such, we develop a new analysis method
for stochastic chemical kinetics. This method provides an accurate approximation
for the master probability equation (MPE). In particular, the connection between
low-order convergent moments and rate constants can be more easily derived in
terms of explicit and analytical forms, allowing insights that would be difficult
to obtain through direct simulation or manipulation of the MPE. In addition, it
provides an accurate and efficient way to compute steady-state or transient
probability distribution, avoiding the algorithmic difficulty associated with
stiffness of the MPE due to large differences in sizes of rate constants.
Applications of the method to several systems reveal nontrivial stochastic
mechanisms of gene expression dynamics, e.g., intrinsic fluctuations can induce
transient bimodality and amplify transient signals, and slow switching between
promoter states can increase fluctuations in spatially heterogeneous signals. The
overall approach has broad applications in modeling, analysis, and computation of
complex biochemical networks with intrinsic noise.
PMID- 27208941
TI - Coherence specific signal detection via chiral pump-probe spectroscopy.
AB - We examine transient circular dichroism (TRCD) spectroscopy as a technique to
investigate signatures of exciton coherence dynamics under the influence of
structured vibrational environments. We consider a pump-probe configuration with
a linearly polarized pump and a circularly polarized probe, with a variable angle
I between the two directions of propagation. In our theoretical formalism the
signal is decomposed in chiral and achiral doorway and window functions. Using
this formalism, we show that the chiral doorway component, which beats during the
population time, can be isolated by comparing signals with different values of I
. As in the majority of time-resolved pump-probe spectroscopy, the overall TRCD
response shows signatures of both excited and ground state dynamics. However, we
demonstrate that the chiral doorway function has only a weak ground state
contribution, which can generally be neglected if an impulsive pump pulse is
used. These findings suggest that the pump-probe configuration of optical TRCD in
the impulsive limit has the potential to unambiguously probe quantum coherence
beating in the excited state. We present numerical results for theoretical
signals in an example dimer system.
PMID- 27208940
TI - An approach to develop chemical intuition for atomistic electron transport
calculations using basis set rotations.
AB - Single molecule conductance measurements are often interpreted through
computational modeling, but the complexity of these calculations makes it
difficult to directly link them to simpler concepts and models. Previous work has
attempted to make this connection using maximally localized Wannier functions and
symmetry adapted basis sets, but their use can be ambiguous and non-trivial.
Starting from a Hamiltonian and overlap matrix written in a hydrogen-like basis
set, we demonstrate a simple approach to obtain a new basis set that is
chemically more intuitive and allows interpretation in terms of simple concepts
and models. By diagonalizing the Hamiltonians corresponding to each atom in the
molecule, we obtain a basis set that can be partitioned into pseudo-sigma and -pi
and allows partitioning of the Landuaer-Buttiker transmission as well as create
simple Huckel models that reproduce the key features of the full calculation.
This method provides a link between complex calculations and simple concepts and
models to provide intuition or extract parameters for more complex model systems.
PMID- 27208943
TI - Dirac-Fock-Breit-Gaunt calculations for tungsten hexacarbonyl W(CO)6.
AB - The first all-electron fully relativistic Dirac-Fock-Breit-Gaunt (DFBG), Dirac
Fock (DF), and nonrelativistic (NR) Hartree-Fock (HF) calculations are reported
for octahedral (Oh) tungsten hexacarbonyl W(CO)6. Our DF and NR HF calculations
predict atomization energy of 73.76 and 70.33 eV, respectively. The relativistic
contribution of ~3.4 eV to the atomization energy of W(CO)6 is fairly
significant. The DF and NR energy for the reaction W + 6CO -> W(CO)6 is
calculated as -7.90 and -8.86 eV, respectively. The mean bond energy predicted by
our NR and DF calculations is 142.5 kJ/mol and 177.5 kJ/mol, respectively, and
our predicted DF mean bond energy is in excellent agreement with the experimental
value of 179 kJ/mol quoted in the literature. The relativistic effects contribute
~35 kJ/mol to the mean bond energy and the calculated BSSE is 1.6 kcal/mol, which
indicates that the triple zeta basis set used here is fairly good. The mean bond
energy and the atomization energy calculated in our DFBG SCF calculations, which
include variationally both the relativistic and magnetic Breit effects, is 157.4
kJ/mol and 68.84 eV, respectively. The magnetic Breit effects lead to a decrease
of ~20 kJ/mol and ~4.9 eV for the mean bond energy and atomization energy,
respectively, for W(CO)6. Our calculated magnetic Breit interaction energy of
9.79 eV for the energy of reaction (DeltaE) for W + 6CO -> W(CO)6 is lower by
~1.90 eV as compared to the corresponding DF value (DeltaE) and contributes
significantly to the DeltaE. A detailed discussion is presented of electronic
structure, bonding, and molecular energy levels at various levels of theory for
W(CO)6.
PMID- 27208942
TI - Water accessibility in a membrane-inserting peptide comparing Overhauser DNP and
pulse EPR methods.
AB - Water accessibility is a key parameter for the understanding of the structure of
biomolecules, especially membrane proteins. Several experimental techniques based
on the combination of electron paramagnetic resonance (EPR) spectroscopy with
site-directed spin labeling are currently available. Among those, we compare
relaxation time measurements and electron spin echo envelope modulation (ESEEM)
experiments using pulse EPR with Overhauser dynamic nuclear polarization (DNP) at
X-band frequency and a magnetic field of 0.33 T. Overhauser DNP transfers the
electron spin polarization to nuclear spins via cross-relaxation. The change in
the intensity of the (1)H NMR spectrum of H2O at a Larmor frequency of 14 MHz
under a continuous-wave microwave irradiation of the nitroxide spin label
contains information on the water accessibility of the labeled site. As a model
system for a membrane protein, we use the hydrophobic alpha-helical peptide
WALP23 in unilamellar liposomes of DOPC. Water accessibility measurements with
all techniques are conducted for eight peptides with different spin label
positions and low radical concentrations (10-20 MUM). Consistently in all
experiments, the water accessibility appears to be very low, even for labels
positioned near the end of the helix. The best profile is obtained by Overhauser
DNP, which is the only technique that succeeds in discriminating neighboring
positions in WALP23. Since the concentration of the spin-labeled peptides varied,
we normalized the DNP parameter epsilon, being the relative change of the NMR
intensity, by the electron spin concentration, which was determined from a
continuous-wave EPR spectrum.
PMID- 27208944
TI - Comparative assessment of density functional methods for evaluating essential
parameters to simulate SERS spectra within the excited state energy gradient
approximation.
AB - The prospect of challenges in reproducing and interpretation of resonance Raman
properties of molecules interacting with metal clusters has prompted the present
research initiative. Resonance Raman spectra based on the time-dependent gradient
approximation are examined in the framework of density functional theory using
different methods for representing the exchange-correlation functional. In this
work the performance of different XC functionals in the prediction of ground
state properties, excitation state energies, and gradients are compared and
discussed. Resonance Raman properties based on time-dependent gradient
approximation for the strongly low-lying charge transfer states are calculated
and compared for different methods. We draw the following conclusions: (1) for
calculating the binding energy and ground state geometry, dispersion-corrected
functionals give the best performance in comparison to ab initio calculations,
(2) GGA and meta GGA functionals give good accuracy in calculating vibrational
frequencies, (3) excited state energies determined by hybrid and range-separated
hybrid functionals are in good agreement with EOM-CCSD calculations, and (4) in
calculating resonance Raman properties GGA functionals give good and reasonable
performance in comparison to the experiment; however, calculating the excited
state gradient by using the hybrid functional on the hessian of GGA improves the
results of the hybrid functional significantly. Finally, we conclude that the
agreement of charge-transfer surface enhanced resonance Raman spectra with
experiment is improved significantly by using the excited state gradient
approximation.
PMID- 27208945
TI - On the ultrafast photo-induced dynamics of alpha-terpinene.
AB - The ultrafast relaxation pathway of alpha-terpinene was studied by
photoionization-photoelectron spectroscopy for a range of excitation conditions.
Time-resolved spectra were obtained upon optical excitation with ultrashort laser
pulses at 5.56 eV, 4.96 eV, 4.76 eV, and 4.56 eV, followed by ionization with
3.06 eV pulses. The experiments yielded spectra of the initially excited state,
which decays with a time constant of 66 fs, independent of the excitation
wavelength. We also observed a sequential series of Rydberg peaks, including the
s, p, and d states with n = 3-6, which capture the travel times on the ensuing
reaction path. There is no statistically significant dependence of the travel
time on the excitation energy. A comparison of the time-dependent signals with
those of the un-substituted parent molecule, 1,3-cyclohexadiene, shows that the
substituents on alpha-terpinene slow the reaction down by a factor of about 2.
PMID- 27208946
TI - Quantum state-resolved, bulk gas energetics: Comparison of theory and experiment.
AB - Until very recently, the computational model of state-to-state energy transfer in
large gas mixtures, introduced by the author and co-workers, has had little
experimental data with which to assess the accuracy of its predictions. In a
novel experiment, Alghazi et al. [Chem. Phys. 448, 76 (2015)] followed the
equilibration of highly vibrationally excited CsH(D) in baths of H2(D2) with
simultaneous time- and quantum state-resolution. Modal temperatures of vibration,
rotation, and translation for CsH(D) were obtained and presented as a function of
pump-probe delay time. Here the data from this study are used as a test of the
accuracy of the computational method, and in addition, the consequent changes in
bath gas modal temperatures, not obtainable in the experiment, are predicted.
Despite large discrepancies between initial CsH(D) vibrational states in the
experiment and those available using the computational model, the quality of
agreement is sufficient to conclude that the model's predictions constitute at
least a very good representation of the overall equilibration that, for some
measurements, is very accurate.
PMID- 27208947
TI - Stable compositions and geometrical structures of titanium oxide cluster cations
and anions studied by ion mobility mass spectrometry.
AB - Geometrical structures of titanium oxide cluster cations and anions have been
investigated by ion mobility mass spectrometry and quantum chemical calculations
based on density functional theory. Stable cluster compositions with respect to
collision induced dissociation were also determined by changing ion injection
energy to an ion drift cell for mobility measurements. The TinO2n-1 (+) cations
and TinO2n (-) anions were predominantly observed at high injection energies, in
addition to TinO2n (+) for cations and TinO2n+1 (-) for anions. Collision cross
sections of TinO2n (+) and TinO2n+1 (-) for n = 1-7, determined by ion mobility
mass spectrometry, were compared with those obtained theoretically as orientation
averaged cross sections for the optimized structures by quantum chemical
calculations. All of the geometrical structures thus assigned have three
dimensional structures, which are in marked contrast with other oxides of late
transition metals. One-oxygen atom dissociation processes from TinO2n (+) and
TinO2n+1 (-) by collisions were also explained by analysis of spin density
distributions.
PMID- 27208948
TI - Push it to the limit: Characterizing the convergence of common sequences of basis
sets for intermolecular interactions as described by density functional theory.
AB - With the aim of systematically characterizing the convergence of common families
of basis sets such that general recommendations for basis sets can be made, we
have tested a wide variety of basis sets against complete-basis binding energies
across the S22 set of intermolecular interactions-noncovalent interactions of
small and medium-sized molecules consisting of first- and second-row atoms-with
three distinct density functional approximations: SPW92, a form of local-density
approximation; B3LYP, a global hybrid generalized gradient approximation; and
B97M-V, a meta-generalized gradient approximation with nonlocal correlation. We
have found that it is remarkably difficult to reach the basis set limit; for the
methods and systems examined, the most complete basis is Jensen's pc-4. The
Dunning correlation-consistent sequence of basis sets converges slowly relative
to the Jensen sequence. The Karlsruhe basis sets are quite cost effective,
particularly when a correction for basis set superposition error is applied:
counterpoise-corrected def2-SVPD binding energies are better than corresponding
energies computed in comparably sized Dunning and Jensen bases, and on par with
uncorrected results in basis sets 3-4 times larger. These trends are exhibited
regardless of the level of density functional approximation employed. A sense of
the magnitude of the intrinsic incompleteness error of each basis set not only
provides a foundation for guiding basis set choice in future studies but also
facilitates quantitative comparison of existing studies on similar types of
systems.
PMID- 27208949
TI - Spin-orbit coupling in the dissociative excitation of alkali atoms at the surface
of rare gas clusters: A theoretical study.
AB - We analyze the role of the spin-orbit (SO) coupling in the dissociative dynamics
of excited alkali atoms at the surface of small rare gas clusters. The electronic
structure of the whole system is deduced from a one-electron model based on core
polarization pseudo-potentials. It allows us to obtain in the same footing the
energy, forces, and non-adiabatic couplings used to simulate the dynamics by
means of a surface hopping method. The fine structure state population is
analyzed by considering the relative magnitude of the SO coupling xi, with
respect to the spin-free potential energy. We identify three regimes of xi-values
leading to different evolution of adiabatic state population after excitation of
the system in the uppermost state of the lowest np (2)P shell. For sufficiently
small xi, the final population of the J=12 atomic states, P12, grows up linearly
from P12=13 at xi = 0 after a diabatic dynamics. For large values of xi, we
observe a rather adiabatic dynamics with P12 decreasing as xi increases. For
intermediate values of xi, the coupling is extremely efficient and a complete
transfer of population is observed for the set of parameters associated to NaAr3
and NaAr4 clusters.
PMID- 27208950
TI - The nu6 fundamental frequency of the A state of formaldehyde and Coriolis
perturbations in the 3nu4 level.
AB - Formaldehyde is the smallest stable organic molecule containing the carbonyl
functional group and is commonly considered to be a prototype for the study of
high-resolution spectroscopy of polyatomic molecules. The a-axis Coriolis
interaction between the near-degenerate nu4 and nu6 (out-of-plane and in-plane
wagging modes, respectively) of the ground electronic state has received
extensive attention and is thoroughly understood. In the first excited singlet A
(1)A2 electronic state, the analogous Coriolis interaction does not occur,
because the A state suffers from a pseudo-Jahn-Teller distortion, which causes a
double-well potential energy structure in the q4 (') out-of-plane coordinate, and
which dramatically reduces the effective nu4 (') frequency. The nu4 (') frequency
is reduced by such a great extent in the A state that it is the 3nu4 (') overtone
which is near degenerate with nu6 ('). In the current work, we report the precise
nu6 (') fundamental frequency in the A state, and we determine the strength of
the a-axis Coriolis interaction between 3nu4 (') and nu6 ('). We also provide a
rotational analysis of the nu4 (')+nu6 (') combination band, which interacts with
3nu4 (') via an additional c-axis Coriolis perturbation, and which allows us to
provide a complete deperturbed fit to the 3nu4 (') rotational structure.
Knowledge of the Coriolis interaction strengths among the lowest-lying levels in
the A state will aid the interpretation of the spectroscopy and dynamics of many
higher-lying band structures, which are perturbed by analogous interactions.
PMID- 27208951
TI - Full-dimensional quantum dynamics study of the H2 + C2H -> H + C2H2 reaction on
an ab initio potential energy surface.
AB - This work performs a time-dependent wavepacket study of the H2 + C2H -> H + C2H2
reaction on a new ab initio potential energy surface (PES). The PES is
constructed using neural network method based on 68 478 geometries with energies
calculated at UCCSD(T)-F12a/aug-cc-pVTZ level and covers H2 + C2H<->H + C2H2, H +
C2H2 -> HCCH2, and HCCH2 radial isomerization reaction regions. The reaction
dynamics of H2 + C2H -> H + C2H2 are investigated using full-dimensional quantum
dynamics method. The initial-state selected reaction probabilities are calculated
for reactants in eight vibrational states. The calculated results showed that the
H2 vibrational excitation predominantly enhances the reactivity while the
excitation of bending mode of C2H slightly inhibits the reaction. The excitations
of two stretching modes of C2H molecule have negligible effect on the reactivity.
The integral cross section is calculated with J-shift approximation and the mode
selectivity in this reaction is discussed. The rate constants over 200-2000 K are
calculated and agree well with the experimental measured values.
PMID- 27208952
TI - A search for manifestation of two types of collective excitations in dynamic
structure of a liquid metal: Ab initio study of collective excitations in liquid
Na.
AB - Using a combination of ab initio molecular dynamics and several fit models for
dynamic structure of liquid metals, we explore an issue of possible manifestation
of non-acoustic collective excitations in longitudinal dynamics having liquid Na
as a case study. A model with two damped harmonic oscillators (DHOs) in time
domain is used for analysis of the density-density time correlation functions.
Another similar model with two propagating contributions and three lowest exact
sum rules is considered, as well as an extended hydrodynamic model known as
thermo-viscoelastic one which permits two types of propagating modes outside the
hydrodynamic region to be used for comparison with ab initio obtained time
correlation functions and calculations of dispersions of collective excitations.
Our results do not support recent suggestions that, even in simple liquid metals,
non-hydrodynamics transverse excitations contribute to the longitudinal
collective dynamics and can be detected as a DHO-like spectral shape at their
transverse frequency. We found that the thermo-viscoelastic dynamic model permits
perfect description of the density-density and current-current time correlation
functions of the liquid Na in a wide range of wave numbers, which implies that
the origin of the non-hydrodynamic collective excitations contributing to
longitudinal dynamics can be short-wavelength heat waves.
PMID- 27208953
TI - Structure family and polymorphous phase transition in the compounds with soft
sublattice: Cu2Se as an example.
AB - Quite a few interesting but controversial phenomena, such as simple chemical
composition but complex structures, well-defined high-temperature cubic structure
but intriguing phase transition, coexist in Cu2Se, originating from the
relatively rigid Se framework and "soft" Cu sublattice. However, the electrical
transport properties are almost uninfluenced by such complex substructures, which
make Cu2Se a promising high-performance thermoelectric compound with extremely
low thermal conductivity and good power factor. Our work reveals that the crystal
structure of Cu2Se at the temperature below the phase-transition point (~400 K)
should have a group of candidate structures that all contain a Se-dominated face
centered-cubic-like layered framework but nearly random site occupancy of atoms
from the "soft" Cu sublattice. The energy differences among those structures are
very low, implying the coexistence of various structures and thus an intrinsic
structure complexity with a Se-based framework. Detailed analyses indicate that
observed structures should be a random stacking of those representative structure
units. The transition energy barriers between each two of those structures are
estimated to be zero, leading to a polymorphous phase transition of Cu2Se at
increasing temperature. Those are all consistent with experimental observations.
PMID- 27208955
TI - Particle dynamics in fluids with random interactions.
AB - We study the dynamics of particles in a multi-component 2d Lennard-Jones (LJ)
fluid in the limiting case where all the particles are different (APD). The
equilibrium properties of this APD system were studied in our earlier work [L. S.
Shagolsem et al., J. Chem. Phys. 142, 051104 (2015).]. We use molecular dynamics
simulations to investigate the statistical properties of particle trajectories in
a temperature range covering both the fluid and the solid-fluid coexistence
region. We calculate the mean-square displacement as well as displacement, angle,
and waiting time distributions, and compare the results with those for one
component LJ fluid. As temperature is lowered, the dynamics of the APD system
becomes increasingly complex, as the intrinsic difference between the particles
is amplified by neighborhood identity ordering and by the inhomogeneous character
of the solid-fluid coexistence region. The ramifications of our results for the
analysis of protein tracking experiments in living cells are discussed.
PMID- 27208954
TI - Viscosity of liquid Ag-In-Sb-Te: Evidence of a fragile-to-strong crossover.
AB - The temperature-dependent viscosity eta(T) is measured for the equilibrium liquid
of the chalcogenide Ag-In-Sb-Te (AIST), the first time this has been reported for
a material of actual interest for phase-change memory. The measurements, in the
range 829-1254 K, are made using an oscillating-crucible viscometer, and show a
liquid with high fragility and low viscosity, similar to liquid pure metals.
Combining the high-temperature viscosity measurements with values inferred from
crystal growth rates in the supercooled liquid allows the form of eta(T) to be
estimated over the entire temperature range from above the melting point down to
the glass transition. It is then clear that eta(T) for liquid AIST cannot be
described with a single fragility value, unlike other phase-change chalcogenides
such as liquid Ge-Sb-Te. There is clear evidence for a fragile-to-strong
crossover on cooling liquid AIST, similar to that analyzed in Te85Ge15. The
change in fragility associated with the crossover in both these cases is rather
weak, giving a broad temperature range over which eta(T) is near-Arrhenius. We
discuss how such behavior may be beneficial for the performance of phase-change
memory. Consideration of the fragile-to-strong crossover in liquid chalcogenides
may be important in tuning compositions to optimize the device performance.
PMID- 27208956
TI - Two distinct crystallization processes in supercooled liquid.
AB - Using molecular dynamics simulations we show that two distinct crystallization
processes, depending on the temperature at which crystallization occurs, appear
in a supercooled liquid. As a model for glass-forming materials, an Al2O3 model
system, in which both the glass transition and crystallization from the
supercooled liquid can be well reproduced, is employed. Simulations in the
framework of an isothermal-isobaric ensemble indicate that the calculated time
temperature-transformation curve for the crystallization to gamma(defect spinel)
Al2O3 exhibited a typical nose shape, as experimentally observed in various glass
materials. During annealing above the nose temperature, the structure of the
supercooled liquid does not change before the crystallization, because of the
high atomic mobility (material transport). Thus, the crystallization is governed
by the abrupt crystal nucleation, which results in the formation of a stable
crystal structure. In contrast, during annealing below the nose temperature, the
structure of the supercooled liquid gradually changes before the crystallization,
and the formed crystal structure is less stable than that formed above the nose
temperature, because of the restricted material transport.
PMID- 27208957
TI - Pressure-induced structural transformation of CaC2.
AB - The high pressure structural changes of calcium carbide CaC2 have been
investigated with Raman spectroscopy and synchrotron X-ray diffraction (XRD)
techniques in a diamond anvil cell at room temperature. At ambient conditions,
two forms of CaC2 co-exist. Above 4.9 GPa, monoclinic CaC2-ii diminished
indicating the structural phase transition from CaC2-ii to CaC2-i. At about 7.0
GPa, both XRD patterns and Raman spectra confirmed that CaC2-i transforms into a
metallic Cmcm structure which contains polymeric carbon chains. Along with the
phase transition, the isolated C2 dumbbells are polymerized into zigzag chains
resulting in a large volume collapse with 22.4%. Above 30.0 GPa, the XRD patterns
of CaC2 become featureless and remain featureless upon decompression, suggesting
an irreversible amorphization of CaC2.
PMID- 27208958
TI - Thermal conductivity of liquid argon in nanochannels from molecular dynamics
simulations.
AB - The thermal conductivity of liquid argon in nanochannels has been calculated over
a wide range of densities using two independent methods-the Green-Kubo approach
in equilibrium molecular dynamics simulations and the Muller-Plathe method in non
equilibrium molecular dynamics simulations. The Lennard-Jones potential was used
to model interatomic interactions. The influence of transversal size and shape of
a nanochannel on the thermal conductivity of liquid argon along the length of the
channel has been investigated. The transversal size of nanochannel varied from
2.25 nm to 15 nm. The simulations revealed that the thermal conductivity weakly
depends on the shape (square vs circular) of channel and scales with a cross
sectional area of nanochannel. It has been observed that thermal conductivity
increases with an increase of the transversal size of the channel. Also, it
reaches bulk values for some characteristic size of channel that depends strongly
on density. Good agreement of the computed thermal conductivities of liquid argon
over a wide density range with the experimental data allowed the value of the
characteristic size of channel as a function of density to be estimated. This
value depends on density and varies from 5 nm to 11 nm.
PMID- 27208959
TI - The structure of water at a Pt(111) electrode and the potential of zero charge
studied from first principles.
AB - The structure of a liquid water layer on Pt(111) has been studied by ab initio
molecular dynamics simulations based on periodic density functional theory
calculations. First the reliability of the chosen exchange-correlation function
has been validated by considering water clusters, bulk ice structures, and bulk
liquid water, confirming that the dispersion corrected RPBE-D3/zero functional is
a suitable choice. The simulations at room temperature yield that a water layer
that is six layers thick is sufficient to yield liquid water properties in the
interior of the water film. Performing a statistical average along the
trajectory, a mean work function of 5.01 V is derived, giving a potential of zero
charge of Pt(111) of 0.57 V vs. standard hydrogen electrode, in good agreement
with experiments. Therefore we propose the RPBE-D3/zero functional as the
appropriate choice for first-principles calculations addressing electrochemical
aqueous electrolyte/metal electrode interfaces.
PMID- 27208960
TI - Mechanism of electrochemical lithiation of a metal-organic framework without
redox-active nodes.
AB - Metal-organic frameworks (MOFs) have many potential uses for separations,
storage, and catalysis, but their use as intercalation hosts for batteries has
been scarce. In this article, we examine the mechanism of Li insertion in a MOF
to provide guidance to future design efforts in this area. As a model system, we
choose UiO-66, a MOF with the formula (Zr6O4(OH)4)4(1,4-benzenedicarboxylate)6,
as an electrode material for lithium-ion batteries; this MOF is of special
interest because the zirconium is not redox active. We report both quantum
mechanical characterization of the mechanism and experimental studies in which
the material is synthesized as nanoparticles to reduce diffusion lengths for
lithium ions and increase the contact area with a conductive carbon phase. The
calculated changes in the IR spectra of UiO-66 and lithiated UiO-66 are
consistent with the experimental FTIR results. We found experimentally that this
MOF can maintain a specific discharge capacity of at least 118 mAh/g for 30
lithiation and delithiation cycles at a rate of C/5, exhibiting good cyclability.
Density functional electronic structure calculations show that the charge
transfer during lithiation is mainly from Li to node oxygens and carboxylate
oxygens, that is, it involves anions rather than cations or aromatic rings, and
they provide a mechanistic understanding of the potential for increased Li
capacity because the theoretical capacity of UiO-66 with Li at the oxygens in the
metal oxide nodes and the carboxylate linkers is more than 400 mAh/g. The
lithiation process greatly decreases the bandgap of UiO-66, which is expected to
increase its electronic conductivity. The electrode material was also
characterized by X-ray diffraction and scanning electron microscopy, which were
consistent in confirming that smaller particle sizes were obtained in lower
temperature syntheses.
PMID- 27208961
TI - Real-space visualization of conformation-independent oligothiophene electronic
structure.
AB - We present scanning tunneling microscopy and spectroscopy (STM/STS)
investigations of the electronic structures of different alkyl-substituted
oligothiophenes on the Au(111) surface. STM imaging showed that on Au(111),
oligothiophenes adopted distinct straight and bent conformations. By combining
STS maps with STM images, we visualize, in real space, particle-in-a-box-like
oligothiophene molecular orbitals. We demonstrate that different planar
conformers with significant geometrical distortions of oligothiophene backbones
surprisingly exhibit very similar electronic structures, indicating a low degree
of conformation-induced electronic disorder. The agreement of these results with
gas-phase density functional theory calculations implies that the oligothiophene
interaction with the Au(111) surface is generally insensitive to molecular
conformation.
PMID- 27208962
TI - Mean-field density functional theory of a nanoconfined classical, three
dimensional Heisenberg fluid. I. The role of molecular anchoring.
AB - In this work, we employ classical density functional theory (DFT) to investigate
for the first time equilibrium properties of a Heisenberg fluid confined to
nanoscopic slit pores of variable width. Within DFT pair correlations are treated
at modified mean-field level. We consider three types of walls: hard ones, where
the fluid-wall potential becomes infinite upon molecular contact but vanishes
otherwise, and hard walls with superimposed short-range attraction with and
without explicit orientation dependence. To model the distance dependence of the
attractions, we employ a Yukawa potential. The orientation dependence is realized
through anchoring of molecules at the substrates, i.e., an energetic
discrimination of specific molecular orientations. If the walls are hard or
attractive without specific anchoring, the results are "quasi-bulk"-like in that
they can be linked to a confinement-induced reduction of the bulk mean field. In
these cases, the precise nature of the walls is completely irrelevant at
coexistence. Only for specific anchoring nontrivial features arise, because then
the fluid-wall interaction potential affects the orientation distribution
function in a nontrivial way and thus appears explicitly in the Euler-Lagrange
equations to be solved for minima of the grand potential of coexisting phases.
PMID- 27208963
TI - Large-scale atomistic simulations of helium-3 bubble growth in complex palladium
alloys.
AB - Palladium is an attractive material for hydrogen and hydrogen-isotope storage
applications due to its properties of large storage density and high diffusion of
lattice hydrogen. When considering tritium storage, the material's structural and
mechanical integrity is threatened by both the embrittlement effect of hydrogen
and the creation and evolution of additional crystal defects (e.g., dislocations,
stacking faults) caused by the formation and growth of helium-3 bubbles. Using
recently developed inter-atomic potentials for the palladium-silver-hydrogen
system, we perform large-scale atomistic simulations to examine the defect
mediated mechanisms that govern helium bubble growth. Our simulations show the
evolution of a distribution of material defects, and we compare the material
behavior displayed with expectations from experiment and theory. We also present
density functional theory calculations to characterize ideal tensile and shear
strengths for these materials, which enable the understanding of how and why our
developed potentials either meet or confound these expectations.
PMID- 27208964
TI - Pinning down high-performance Cu-chalcogenides as thin-film solar cell absorbers:
A successive screening approach.
AB - Photovoltaic performances of Cu-chalcogenides solar cells are strongly correlated
with the absorber fundamental properties such as optimal bandgap, desired band
alignment with window material, and high photon absorption ability. According to
these criteria, we carry out a successive screening for 90 Cu-chalcogenides using
efficient theoretical approaches. Besides the well-recognized CuInSe2 and
Cu2ZnSnSe4 materials, several novel candidates are identified to have optimal
bandgaps of around 1.0-1.5 eV, spike-like band alignments with CdS window layer,
sharp photon absorption edges, and high absorption coefficients. These new
systems have great potential to be superior absorbers for photovolatic
applications if their carrrier transport and defect properties are properly
optimized.
PMID- 27208965
TI - Atomic density effects on temperature characteristics and thermal transport at
grain boundaries through a proper bin size selection.
AB - This study focuses on the proper characterization of temperature profiles across
grain boundaries (GBs) in order to calculate the correct interfacial thermal
resistance (ITR) and reveal the influence of GB geometries onto thermal
transport. The solid-solid interfaces resulting from the orientation difference
between the (001), (011), and (111) copper surfaces were investigated.
Temperature discontinuities were observed at the boundary of grains due to the
phonon mismatch, phonon backscattering, and atomic forces between dissimilar
structures at the GBs. We observed that the temperature decreases gradually in
the GB area rather than a sharp drop at the interface. As a result, three
distinct temperature gradients developed at the GB which were different than the
one observed in the bulk solid. This behavior extends a couple molecular
diameters into both sides of the interface where we defined a thickness at GB
based on the measured temperature profiles for characterization. Results showed
dependence on the selection of the bin size used to average the temperature data
from the molecular dynamics system. The bin size on the order of the crystal
layer spacing was found to present an accurate temperature profile through the
GB. We further calculated the GB thickness of various cases by using potential
energy (PE) distributions which showed agreement with direct measurements from
the temperature profile and validated the proper binning. The variation of grain
crystal orientation developed different molecular densities which were
characterized by the average atomic surface density (ASD) definition. Our results
revealed that the ASD is the primary factor affecting the structural disorders
and heat transfer at the solid-solid interfaces. Using a system in which the
planes are highly close-packed can enhance the probability of interactions and
the degree of overlap between vibrational density of states (VDOS) of atoms
forming at interfaces, leading to a reduced ITR. Thus, an accurate understanding
of thermal characteristics at the GB can be formulated by selecting a proper bin
size.
PMID- 27208966
TI - A perfect wetting of Mg monolayer on Ag(111) under atomic scale investigation:
First principles calculations, scanning tunneling microscopy, and Auger
spectroscopy.
AB - First principles calculations, scanning tunneling microscopy, and Auger
spectroscopy experiments of the adsorption of Mg on Ag(111) substrate are
conducted. This detailed study reveals that an atomic scale controlled deposition
of a metallic Mg monolayer perfectly wets the silver substrate without any alloy
formation at the interface at room temperature. A liquid-like behavior of the Mg
species on the Ag substrate is highlighted as no dot formation is observed when
coverage increases. Finally a layer-by-layer growth mode of Mg on Ag(111) can be
predicted, thanks to density functional theory calculations as observed
experimentally.
PMID- 27208967
TI - Simulations of the infrared, Raman, and 2D-IR photon echo spectra of water in
nanoscale silica pores.
AB - Vibrational spectroscopy is frequently used to characterize nanoconfined liquids
and probe the effect of the confining framework on the liquid structure and
dynamics relative to the corresponding bulk fluid. However, it is still unclear
what molecular-level information can be obtained from such measurements. In this
paper, we address this question by using molecular dynamics (MD) simulations to
reproduce the linear infrared (IR), Raman, and two-dimensional IR (2D-IR) photon
echo spectra for water confined within hydrophilic (hydroxyl-terminated) silica
mesopores. To simplify the spectra the OH stretching region of isotopically
dilute HOD in D2O is considered. An empirical mapping approach is used to obtain
the OH vibrational frequencies, transition dipoles, and transition
polarizabilities from the MD simulations. The simulated linear IR and Raman
spectra are in good general agreement with measured spectra of water in
mesoporous silica reported in the literature. The key effect of confinement on
the water spectrum is a vibrational blueshift for OH groups that are closest to
the pore interface. The blueshift can be attributed to the weaker hydrogen bonds
(H-bonds) formed between the OH groups and silica oxygen acceptors. Non-Condon
effects greatly diminish the contribution of these OH moieties to the linear IR
spectrum, but these weaker H-bonds are readily apparent in the Raman spectrum.
The 2D-IR spectra have not yet been measured and thus the present results
represent a prediction. The simulated spectra indicates that it should be
possible to probe the slower spectral diffusion of confined water compared to the
bulk liquid by analysis of the 2D-IR spectra.
PMID- 27208968
TI - A Landau-de Gennes theory for hard colloidal rods: Defects and tactoids.
AB - We construct a phenomenological Landau-de Gennes theory for hard colloidal rods
by performing an order parameter expansion of the chemical-potential dependent
grand potential. By fitting the coefficients to known results of Onsager theory,
we are not only able to describe the isotropic-nematic phase transition as
function of density, including the well-known density jump, but also the
isotropic-nematic planar interface. The resulting theory is applied in
calculations of the isotropic core size in a radial hedgehog defect, the density
dependence of linear defects of hard rods in square confinement, and the
formation of a nematic droplet in an isotropic background.
PMID- 27208970
TI - Conductive network formation of carbon nanotubes in elastic polymer microfibers
and its effect on the electrical conductance: Experiment and simulation.
AB - We investigate how the electrical conductance of microfibers (made of polymers
and conductive nanofillers) decreases upon uniaxial deformation by performing
both experiments and simulations. Even though various elastic conductors have
been developed due to promising applications for deformable electronic devices,
the mechanism at a molecular level for electrical conductance change has remained
elusive. Previous studies proposed that the decrease in electrical conductance
would result from changes in either distances or contact numbers between
conductive fillers. In this work, we prepare microfibers of single walled carbon
nanotubes (SWCNTs)/polyvinyl alcohol composites and investigate the electrical
conductance and the orientation of SWCNTs upon uniaxial deformation. We also
perform extensive Monte Carlo simulations, which reproduce experimental results
for the relative decrease in conductance and the SWCNTs orientation. We
investigate the electrical networks of SWCNTs in microfibers and find that the
decrease in the electrical conductance upon uniaxial deformation should be
attributed to a subtle change in the topological structure of the electrical
network.
PMID- 27208969
TI - Depletion-driven crystallization of cubic colloids sedimented on a surface.
AB - Cubic colloids, sedimented on a surface and immersed in a solution of depletant
molecules, were modeled with a family of shapes which smoothly varies from
squares to circles. Using Wang-Landau simulations with expanded ensembles, we
observe the formation of rhombic lattices, square lattices, hexagonal lattices,
and a fluid phase. This systematic investigation includes locating transitions
between all combinations of the three lattice structures upon changing the shape
and transitions between the fluid and crystal upon changing the depletant
concentration. The rhombic lattice deforms smoothly between square-like and
hexagonal-like angles, depending on both the shape and the depletant
concentration. Our results on the effect of the depletant concentration,
depletant size, and colloid shape to influence the stability of the fluid and the
lattice structures may help guide experimental studies with recently synthesized
cubic colloids.
PMID- 27208971
TI - Lattice model for biaxial and uniaxial nematic liquid crystals.
AB - We use a lattice gas model to describe the phase transitions in nematic liquid
crystals. The phase diagram displays, in addition to the isotropic phase, the two
uniaxial nematics, the rod-like and discotic nematics, and the biaxial nematic.
Each site of the lattice has a constituent unit that takes only six orientations
and is understood as being a parallelepiped brick with the three axes distinct.
The possible orientations of a brick are those in which its axes are parallel to
the axes of a Cartesian reference frame. The analysis of the model is performed
by the use of a mean-field approximation and a Landau expansion of the free
energy.
PMID- 27208973
TI - Chromothripsis and epigenomics complete causality criteria for cannabis- and
addiction-connected carcinogenicity, congenital toxicity and heritable
genotoxicity.
AB - The recent demonstration that massive scale chromosomal shattering or
pulverization can occur abruptly due to errors induced by interference with the
microtubule machinery of the mitotic spindle followed by haphazard chromosomal
annealing, together with sophisticated insights from epigenetics, provide
profound mechanistic insights into some of the most perplexing classical
observations of addiction medicine, including cancerogenesis, the younger and
aggressive onset of addiction-related carcinogenesis, the heritability of
addictive neurocircuitry and cancers, and foetal malformations.
Tetrahydrocannabinol (THC) and other addictive agents have been shown to inhibit
tubulin polymerization which perturbs the formation and function of the
microtubules of the mitotic spindle. This disruption of the mitotic machinery
perturbs proper chromosomal segregation during anaphase and causes micronucleus
formation which is the primary locus and cause of the chromosomal pulverization
of chromothripsis and downstream genotoxic events including oncogene induction
and tumour suppressor silencing. Moreover the complementation of multiple
positive cannabis-cancer epidemiological studies, and replicated dose-response
relationships with established mechanisms fulfils causal criteria. This
information is also consistent with data showing acceleration of the aging
process by drugs of addiction including alcohol, tobacco, cannabis, stimulants
and opioids. THC shows a non-linear sigmoidal dose-response relationship in
multiple pertinent in vitro and preclinical genotoxicity assays, and in this
respect is similar to the serious major human mutagen thalidomide. Rising
community exposure, tissue storage of cannabinoids, and increasingly potent
phytocannabinoid sources, suggests that the threshold mutagenic dose for
cancerogenesis will increasingly be crossed beyond the developing world, and
raise transgenerational transmission of teratogenicity as an increasing concern.
PMID- 27208972
TI - B cell depletion therapy upregulates Dkk-1 skin expression in patients with
systemic sclerosis: association with enhanced resolution of skin fibrosis.
AB - BACKGROUND: Rituximab (RTX) may favorably affect skin and lung fibrosis in
patients with systemic sclerosis (SSc); however, the underlying molecular
mechanisms remain unknown. We aimed to explore the hypothesis that RTX may
mediate its antifibrotic effects by regulating the expression of Dickkopf-1 (Dkk
1), an inhibitor of the Wnt pathway. METHODS: Fourteen patients with SSc and five
healthy subjects were recruited. Dkk-1 expression was immunohistochemically
assessed in skin biopsies obtained from 11 patients with SSc (8 treated with RTX
and 3 with standard treatment), whereas DKK1 gene expression was assessed in 3
patients prior to and following RTX administration. RESULTS: In baseline biopsies
obtained from all patients with SSc but not in healthy subjects, Dkk-1 was
undetectable in skin fibroblasts. Following RTX treatment, four out of eight
patients had obvious upregulation of Dkk-1 skin expression. Similarly, RTX
treatment correlated with a significant 4.8-fold upregulation of DKK1 gene
expression (p = 0.030). In contrast, TGFbeta expression in the upper dermis was
significantly attenuated following treatment. Moreover, this decreased expression
of TGFbeta in the skin was significantly more pronounced in the subgroup of
patients with Dkk-1 upregulation. In this subgroup TGFbeta was downregulated by
50.88 % in contrast to only 15.98 % in patients who did not have Dkk-1
upregulation (p = 0.022). CONCLUSIONS: This is the first study demonstrating a
link between B cell depletion and skin Dkk-1 upregulation in patients with SSc.
RTX-mediated B cell depletion may mechanistically function via the recently
established TGFbeta-Dkk-1 axis in improving skin fibrosis.
PMID- 27208975
TI - An alternative model of sexually transmissible infection testing in men attending
a sex-on-premises venue in Sydney: a cross-sectional descriptive study.
AB - Background: Sydney Sexual Health Centre (SSHC) partnered with a large sex-on
premises venue, South Eastern Sydney Local Health District HIV and Related
Programs Unit and AIDS Council of NSW (ACON) to develop and implement a community
based sexually transmissible infection (STI) screening model co-located in a sex
on-premises venue. This paper describes the process, the outcomes and examines
the cost of this model. Methods: A retrospective cross-sectional study of gay and
bisexual men (GBM) attending a STI testing service co-located in a sex-on
premises venue in Sydney city between the 1 November 2012 and 31 May 2014.
Results: A total of 402 patrons had 499 testing episodes in the study period.
Testing patrons were a median of 39.5 years of age, 221 (55%) were born overseas,
85 (21%) spoke a language other than English at home and 54 (13%) had a STI at
first testing. A total of 105 (26%) testing patrons had never tested for a STI
before. Of the 297 (74%) who had been tested before, 83 (21%) had not been tested
within the last 12 months. A total of 77 STIs were detected in 63 people over 499
testing episodes, giving a STI positivity rate of 15% in the testing episodes.
The prevalence of rectal chlamydia was the highest at 8% followed by pharyngeal
gonorrhoea at 3%. A total of 97% of testing patrons were successfully relayed
their results via their preferred methods within a median of 7.5 days.
Conclusion: This paper highlights that offering STI screening in a community
based setting was an effective and low-cost alternative to traditional testing
services.
PMID- 27208974
TI - Hypoglycaemic activity of ethanolic extract of Garcinia mangostana Linn. in
normoglycaemic and streptozotocin-induced diabetic rats.
AB - BACKGROUND: Various parts of Garcinia mangostana Linn., including its pericarp,
have been traditionally used to treat a variety of ailments. In an attempt to
establish its medicinal value, the present study was carried out to determine the
hypoglycaemic potential of G. mangostana pericarp ethanolic extract (GME) using
the streptozotocin-induced (STZ) diabetic rats. METHODS: GME at 2,000 mg/kg was
subjected to a single-dose acute toxicity test. Following this, the effect of GME
(50, 100, and 200 mg/kg) on blood glucose level of normoglycaemic and STZ-induced
diabetic rats was determined using single-dose (acute) and multiple-dose
(subacute) approaches. Subsequent to the multiple-dose study, serum biochemical
analysis and liver histopathological examination were also performed. Throughout
the experiments, the effect of GME was compared against the standard
hypoglycaemic drug, glibenclamide. RESULTS: GME was safe for oral consumption up
to the dose of 2,000 mg/kg. In both single- and multiple-dose studies, GME
significantly (p < 0.05) reduced the blood glucose level in normoglycaemic rats
and STZ-induced diabetic rats when compared against the normal control group or
diabetic control group, respectively. Moreover, GME also significantly (p < 0.05)
increased the rats' body weight in comparison to the diabetic control group in
the multiple-dose study. GME also significantly (p < 0.05) reduced the levels of
certain biochemical parameters [i.e., triglycerides (TG), total cholesterol (TC),
low density lipoprotein (LDL), very low density lipoprotein (VLDL), serum
glutamic oxaloacetic transaminase (SGOT), serum glutamic pyruvic transaminase
(SGPT), urea, and creatinine] while increased the others [i.e., high density
lipoprotein (HDL) and total protein (TP)] when compared to the diabetic control
group. Histopathological assessment of the collected liver revealed a mild
increase in the population of beta-cells in the diabetic rats. CONCLUSION: GME
exerts the hypoglycaemic activity possibly by increasing the population of
insulin-producing beta-cells. This activity could be attributed to the presence
of antioxidant-bearing tannins like epicathecin, and xanthones like alpha
mangostin. Thus, the findings demonstrated that GME could be a potential
candidate in the management of diabetes owing to its hypoglycaemic effect.
PMID- 27208976
TI - Reflecting on the final report of the O'Neill Review on Antimicrobial Resistance.
PMID- 27208978
TI - Challenges of diagnosing and managing the adolescent with heavy menstrual
bleeding.
AB - Unpredictable, prolonged or heavy menstrual bleeding (HMB) may be expected for
many adolescents soon after menarche. A decade of clinical experience and
research has now established firmly that bleeding disorders (BD) are common in
adolescents with HMB. Despite these advances, many questions remain, and several
aspects of the diagnosis and management of BDs in adolescents are not supported
by rigorous clinical trials. In this overview, four major areas will be
discussed. First, we will discuss the frequency of BDs in young women with HMB.
Up to 20% of older females with HMB are thought to have an underlying BD.
Estimates from retrospective studies in adolescents suggest a prevalence that
varies anywhere from 10 to 62%. Prospective studies with uniform hemostatic
evaluation are needed to answer this question definitively. Second, we will
review existing tools that help screen and diagnose adolescents with HMB with an
underlying BD. Although identification of an underlying BD in older women with
HMB is relatively straight forward, uncertainties remain for adolescents. Heavy
menstrual bleeding in this age group may have different pathophysiological
underpinnings than those in older women and may often be disregarded as
anovulatory. There is an urgent need to develop novel tools, and evaluate
existing diagnostic strategies in adolescents. Third, we will discuss the optimal
medical management of HMB in young adolescents. As direct evidence is largely
lacking, these areas are also subject to extrapolation from older women. Lastly,
an important area- prediction, and management of future bleeding in those
adolescents who are diagnosed with a mild BD-will be discussed. Throughout, areas
of controversy and opportunities for further research are highlighted.
PMID- 27208977
TI - Drought stress tolerance strategies revealed by RNA-Seq in two sorghum genotypes
with contrasting WUE.
AB - BACKGROUND: Drought stress is the major environmental stress that affects plant
growth and productivity. It triggers a wide range of responses detectable at
molecular, biochemical and physiological levels. At the molecular level the
response to drought stress results in the differential expression of several
metabolic pathways. For this reason, exploring the subtle differences in gene
expression of drought sensitive and drought tolerant genotypes enables the
identification of drought-related genes that could be used for selection of
drought tolerance traits. Genome-wide RNA-Seq technology was used to compare the
drought response of two sorghum genotypes characterized by contrasting water use
efficiency. RESULTS: The physiological measurements carried out confirmed the
drought sensitivity of IS20351 and the drought tolerance of IS22330 genotypes, as
previously studied. The expression of drought-related genes was more abundant in
the drought sensitive genotype IS20351 compared to the tolerant genotype IS22330.
Under drought stress Gene Ontology enrichment highlighted a massive increase in
transcript abundance in the sensitive genotype IS20351 in "response to stress"
and "abiotic stimulus", as well as for "oxidation-reduction reaction".
"Antioxidant" and "secondary metabolism", "photosynthesis and carbon fixation
process", "lipids" and "carbon metabolism" were the pathways most affected by
drought in the sensitive genotype IS20351. In addition, genotype IS20351 showed a
lower constitutive expression level of "secondary metabolic process" (GO:0019748)
and "glutathione transferase activity" (GO:000004364) under well-watered
conditions. CONCLUSIONS: RNA-Seq analysis proved to be a very useful tool to
explore differences between sensitive and tolerant sorghum genotypes.
Transcriptomics analysis results supported all the physiological measurements and
were essential to clarify the tolerance of the two genotypes studied. The
connection between differential gene expression and physiological response to
drought unequivocally revealed the drought tolerance of genotype IS22330 and the
strategy adopted to cope with drought stress.
PMID- 27208979
TI - Does the choice of thrombotic prophylactic drug depend on the known risk factors
of patients with multiple myeloma in clinical practice?
PMID- 27208980
TI - Observational study of dabigatran etexilate 150mg in patients with moderate renal
impairment undergoing elective total hip or knee replacement.
AB - INTRODUCTION: The standard dabigatran etexilate dosage for prevention of venous
thromboembolism (VTE) after elective total hip or knee replacement (THR/TKR) is
220mg once daily (qd), with 150mg qd for patients with moderate renal impairment.
As clinical trial experience in patients with moderate renal impairment was
limited at the time of approval, we conducted an observational study to evaluate
the 150mg qd dose. MATERIALS AND METHODS: This open-label, prospective,
uncontrolled, observational study in patients with creatinine clearance (CrCl) 30
50mL/min was conducted in seven European countries. Patients received 75mg
dabigatran etexilate 1-4h after surgery and 150mg qd on days 2-10 (TKR) or 2-35
(THR), per the European Summary of Product Characteristics. Coprimary outcomes
were major bleeding events (MBEs) and a composite of symptomatic VTE and all
cause mortality. RESULTS: 428 renally impaired patients with median CrCl
43.4mL/min (range 30.0-49.9), and median age 80years (range 32-96) received
dabigatran etexilate: median treatment duration THR 31days, TKR 28days. Ten MBEs
occurred in nine patients (2.1%; 95% confidence interval [CI]: 1.0-4.0; THR 1.8%;
TKR 2.4%); none were fatal or involved a critical organ. Symptomatic VTE and all
cause mortality occurred in three patients (0.7%; 95% CI: 0.1-2.0; THR 0.9%; TKR
0.5%). Overall, 54 patients discontinued treatment prematurely, including 35 due
to an adverse event (nine bleeding-related) and 16 switching to another
anticoagulant. CONCLUSIONS: Dabigatran etexilate 150mg qd had a good safety
profile and was efficacious in fragile, elderly, renally impaired patients
undergoing THR or TKR. These findings from the clinical practice setting add to
the existing clinical trial data.
PMID- 27208981
TI - Three-Year Longitudinal Survey Comparing Visual Satisfaction with LASIK and
Contact Lenses.
AB - PURPOSE: To assess patient satisfaction and perceived outcomes with different
methods of refractive error correction through annual surveys administered over a
3-year period. DESIGN: Prospective, longitudinal, parallel-group, multicenter
survey. PARTICIPANTS: A total of 1800 subjects, aged 18 to 60 years, who had
LASIK or continued using contact lenses. METHODS: Twenty sites across the United
States enrolled subjects who completed a study-specific baseline survey during a
contact lens examination or while being evaluated as a candidate for LASIK. Links
to follow-up surveys were emailed annually for 3 years. Between-group differences
were assessed by analysis of variance, and associations were assessed by logistic
multivariate regression. MAIN OUTCOME MEASURES: Visual satisfaction. RESULTS: Of
1800 subjects, 694 (39%) comprised the control group who continued contact lens
wear, 819 (45%) wore contacts at baseline and had LASIK, and 287 (16%) wore
glasses at baseline and had LASIK. Most contact lens users had worn them
successfully >=5 years. The proportion expressing strong satisfaction with their
current vision correction method decreased from 63% at baseline to 54% at year 3
in the contact lens control group, whereas 88% of former contact lens wearers and
77% of former glasses wearers were strongly satisfied with LASIK at year 3.
Patients 40 years of age or younger when they had LASIK were somewhat more likely
to be strongly satisfied than older patients. LASIK significantly reduced
difficulties with night driving and nighttime visual disturbances among former
contact lens users and former glasses users. The proportion with dry eye symptoms
at 1, 2, or 3 years after LASIK was not significantly increased relative to
baseline contact lens wear but was significantly increased relative to baseline
glasses use, consistent with many glasses users having tried and abandoned
contact lenses because of latent dry eye problems. Compared with continued
contact lens wear, LASIK significantly reduced the self-reported rates of eye
infections, ulcers, and abrasions each year. CONCLUSIONS: Compared with contact
lens wear, current LASIK technology improved ease of night driving, did not
significantly increase dry eye symptoms, and resulted in higher levels of
satisfaction at 1, 2, and 3 years follow-up.
PMID- 27208982
TI - Predictors of Diabetic Macular Edema Treatment Frequency with Ranibizumab During
the Open-Label Extension of the RIDE and RISE Trials.
AB - PURPOSE: To investigate the role of baseline demographics, disease
characteristics, and treatment responses to ranibizumab during RIDE/RISE in
predicting long-term treatment frequency with a criteria-based pro re nata (PRN)
regimen during the open-label extension (OLE). DESIGN: Pooled, retrospective,
post hoc analysis from the phase III, randomized RIDE/RISE studies and subsequent
OLE. PARTICIPANTS: Five hundred patients enrolled in the OLE after completion of
the 36-month RIDE/RISE studies. METHODS: Summary statistics of RIDE/RISE baseline
characteristics and treatment responses were generated by PRN ranibizumab 0.5 mg
annualized injection frequency in the OLE (0 and >7 annualized injections).
Univariable regression and analysis of variance, and multivariable analysis of
covariance were performed on the annualized number of ranibizumab injections
administered during the OLE versus baseline characteristics and response to
treatment during the RIDE/RISE studies. MAIN OUTCOME MEASURES: Association of
patient characteristics and responses to treatment during RIDE/RISE with the
observed ranibizumab treatment burden during the OLE. RESULTS: During the OLE,
121 patients required no treatment, 132 required >0 to <=3 annualized injections,
159 required >3 to <=7 annualized injections, and 88 required >7 annualized
injections. Parameters identified in the multivariable analysis as related to the
annualized number of injections included the total number of rescue focal macular
lasers received during the core studies (P = 0.0203), central foveal thickness at
baseline (P = 0.0002) and month 36 (P < 0.0001), fluorescein leakage area at
month 36 (P = 0.0137), and glycated hemoglobin (HbA1c) levels at month 36 (P =
0.0054). Patients receiving 0 versus >7 annualized injections during the OLE had,
on average, a shorter duration of diabetes and diabetic macular edema (DME) at
baseline, were less likely to have proliferative diabetic retinopathy at
baseline, received fewer rescue focal macular laser treatments, and were more
likely to experience diabetic retinopathy severity scale improvement of >=2
steps. CONCLUSIONS: Patients who received less frequent injections during the
RIDE/RISE OLE tended to have less advanced disease at baseline and responded
better to initial ranibizumab treatment, suggesting that earlier anti-vascular
endothelial growth factor treatment of center-involving DME with visual acuity
loss may decrease long-term treatment burden.
PMID- 27208983
TI - Simultaneous enantioselective quantification of fluoxetine and norfluoxetine in
human milk by direct sample injection using 2-dimensional liquid chromatography
tandem mass spectrometry.
AB - A two-dimensional liquid chromatography system coupled to triple quadrupole
tandem mass spectrometer (2D LC-MS/MS) was employed for the simultaneously
quantification of fluoxetine (FLX) and norfluoxetine (NFLX) enantiomers in human
milk by direct injection of samples. A restricted access media of bovine serum
albumin octadecyl column (RAM-BSAC18) was used in the first dimension for the
milk proteins depletion, while an antibiotic-based chiral column was used in the
second dimension. The results herein described show good selectivity, extraction
efficiency, accuracy, and precision with limits of quantification in the order of
7.5ngmL(-1)for the FLX enantiomers and 10.0ngmL(-1) for NFLX enantiomers.
Furthermore, it represents a practical tool in terms of sustainability for the
sample preparation of such a difficult matrix.
PMID- 27208984
TI - Offline comprehensive liquid chromatography in combination with a
Deoxyribonuclease I immobilized enzymatic reactor for selective screening of
oligonucleotide mixtures.
AB - The development of a comprehensive ion-pair chromatography-immobilized enzyme
reactor*ion-pair chromatography (IPC-IMER*IPC) methodology for the advanced
characterization of DNA/RNA oligonucleotides (ONs) mixtures has been carried out.
More in detail, a DNase I IMER has been coupled to IPC in the post column
configuration, followed by the collection of the eluting fractions and reanalysis
by IPC. The effect of the mobile phase over the IMER activity was qualitatively
evaluated. The methodology proved to generate relevant ON degradation profiles
that might be correlated with the ON stability towards nucleases. Moreover, this
platform shows potential for its further implementation in selective analysis of
ON mixtures and in mapping studies.
PMID- 27208985
TI - A regression model for calculating the second dimension retention index in
comprehensive two-dimensional gas chromatography time-of-flight mass
spectrometry.
AB - Comprehensive two-dimensional gas chromatography time-of-flight mass spectrometry
(GC*GC/TOF-MS) system has become a key analytical technology in high-throughput
analysis. Retention index has been approved to be helpful for compound
identification in one-dimensional gas chromatography, which is also true for two
dimensional gas chromatography. In this work, a novel regression model was
proposed for calculating the second dimension retention index of target
components where n-alkanes were used as reference compounds. This model was
developed to depict the relationship among adjusted second dimension retention
time, temperature of the second dimension column and carbon number of n-alkanes
by an exponential nonlinear function with only five parameters. Three different
criteria were introduced to find the optimal values of parameters. The
performance of this model was evaluated using experimental data of n-alkanes (C7
C31) at 24 temperatures which can cover all 0-6s adjusted retention time area.
The experimental results show that the mean relative error between predicted
adjusted retention time and experimental data of n-alkanes was only 2%.
Furthermore, our proposed model demonstrates a good extrapolation capability for
predicting adjusted retention time of target compounds which located out of the
range of the reference compounds in the second dimension adjusted retention time
space. Our work shows the deviation was less than 9 retention index units (iu)
while the number of alkanes were added up to 5. The performance of our proposed
model has also been demonstrated by analyzing a mixture of compounds in
temperature programmed experiments.
PMID- 27208986
TI - A strategy for identification and structural characterization of compounds from
Gardenia jasminoides by integrating macroporous resin column chromatography and
liquid chromatography-tandem mass spectrometry combined with ion-mobility
spectrometry.
AB - In this paper, an analysis strategy integrating macroporous resin (AB-8) column
chromatography and high performance liquid chromatography-electrospray ionization
tandem mass spectrometry (HPLC-ESI-MS/MS) combined with ion mobility spectrometry
(IMS) was proposed and applied for identification and structural characterization
of compounds from the fruits of Gardenia jasminoides. The extracts of G.
jasminoides were separated by AB-8 resin column chromatography combined with
reversed phase liquid chromatography (C18 column) and detected by electrospray
ionization tandem mass spectrometry. Additionally, ion mobility spectrometry
(IMS) was employed as a supplementary separation technique to discover previously
undetected isomers from the fruits of G. jasminoides. A total of 71 compounds,
including iridoids, flavonoids, triterpenes, monoterpenoids, carotenoids and
phenolic acids were identified by the characteristic high resolution mass
spectrometry and the ESI-MS/MS fragmentations. In conclusion, the IMS-MS
technique achieved the separation of isomers in crocin-3 and crocin-4 according
to their acquired mobility drift times differing from classical analysis by mass
spectrometry. The proposed strategy can be used as a highly sensitive and
efficient procedure for identification and separation isomeric components in
extracts of herbal medicines.
PMID- 27208988
TI - Effect of adsorbent particle size on the relative merits of a non-triangular and
a triangular separation region in the optimal design of a three-zone simulated
moving bed chromatography for binary separation with linear isotherms.
AB - The design approaches for a three-zone simulated moving bed (SMB) chromatography
with linear isotherms can be classified into two categories, depending on whether
the SMB design is based on a classical region (i.e., triangular region of the
triangle theory) in the first quadrant (m2, m3) plane or on a non-triangular
separation region in the third quadrant (m2, m3) plane. The SMBs based on the
classical and the non-triangular design approaches, which are named here as
(m(+))_SMB and (m(-))_SMB respectively, are compared in this study using the
Pareto solutions from the simultaneous optimization of throughput and desorbent
usage under the constraints on product purities and pressure drop. The results
showed that the (m(-))_SMB approach led to significantly lower desorbent usage
than the (m(+))_SMB approach, which was due to the fact that the flow-rate-ratios
from the (m(-))_SMB approach are extremely lower than those from the (m(+))_SMB
approach. This factor also enables the (m(-))_SMB to have a significantly lower
pressure drop, thereby making its throughput less restricted by a pressure-drop
constraint. Due to such advantage of the (m(-))_SMB, it can make a further
substantial improvement in throughput by modulating its adsorbent particle size
properly. This issue was investigated using a model separation system containing
succinic acid and acetic acid. It was confirmed that if the adsorbent particle
size corresponding to the boundary between a mass-transfer limiting region and a
pressure-drop limiting region is adopted, the (m(-))_SMB can lead to 82% higher
throughput and 73% lower desorbent usage than the (m(+))_SMB.
PMID- 27208987
TI - Quantification of [1-(5-fluoropentyl)-1H-indol-3-yl](naphthalene-1-yl)methanone
(AM-2201) and 13 metabolites in human and rat plasma by liquid chromatography
tandem mass spectrometry.
AB - AM-2201 is a popular synthetic cannabinoid first synthesized in 2000. AM-2201
pharmacokinetic and pharmacodynamic data are scarce, requiring further
investigation. We developed a sensitive method for quantifying AM-2201 and 13
metabolites in plasma to provide a tool to further metabolic, pharmacokinetic and
pharmacodynamic studies. Analysis was performed by liquid chromatography-tandem
mass spectrometry. Chromatographic separation was performed by gradient elution
on a biphenyl column with 0.1% formic acid in water/0.1% formic acid in
acetonitrile:methanol 50:50 (v/v) mobile phase. Sample preparation (75MUL)
consisted of an enzymatic hydrolysis and a supported liquid extraction. The
method was validated with human plasma with a 0.025 or 0.050-50MUg/L working
range, and cross-validated for rat plasma. Analytical recovery was 88.8-110.1% of
target concentration, and intra- (n=30) and inter-day (n=30) imprecision<11.9%
coefficient of variation. Method recoveries and matrix effects ranged from 58.4
84.4% and -62.1 to -15.6%, respectively. AM-2201 and metabolites were stable (+/
20%) at room temperature for 24h, at 4 degrees C for 72h, and after three freeze
thaw cycles, and for 72h in the autosampler after extraction. The method was
developed for pharmacodynamic and pharmacokinetic studies with controlled
administration in rats but is applicable for pre-clinical and clinical research
and forensic investigations. Rat plasma specimen analysis following subcutaneous
AM-2201 administration demonstrated the suitability of the method. AM-2201, JWH
018 N-(5-hydroxypentyl), and JWH-018 N-pentanoic acid concentrations were 4.8+/
1.0, 0.15+/-0.03, and 0.34+/-0.07MUg/L, respectively, 8h after AM-2201
administration at 0.3mg/kg (n=5).
PMID- 27208989
TI - Profiling of phytohormones and their major metabolites in rice using binary solid
phase extraction and liquid chromatography-triple quadrupole mass spectrometry.
AB - A high-throughput method was developed using liquid chromatography-triple
quadrupole mass spectrometry (LC-MS/MS) for the profiling and quantification of
43 phytohormones and their major metabolites, including auxins, abscisic acid,
jasmonic acid, salicylic acid, cytokinins and gibberellins in a single sample
extract. Considerable matrix effects (MEs) were observed (with most ME values in
the range of 29%-84%, but maximum MEs of more than 115%, even up to 206%,
existed) in sample extracts for most of the compounds studied. The application of
the proposed binary solid-phase extraction using polymer anion and polymer cation
exchange resins, was performed to purify 25 acidic and 18 alkaline phytohormones
and their major metabolites prior to the LC-MS/MS analysis, which markedly
reduced the MEs to acceptable levels, with ME values in the range of +/-15%.
Moreover, all of the isomers of cytokinins and their metabolites were fully
separated on a sub-2MUm particle C18 reverse-phase column with the optimized
mobile phase consisting of methanol and 5mM ammonium formate. The method showed
good linearity for all 43 analytes with regression coefficients (R(2))>0.991.
Limits of detection ranged from 0.19 to 7.57 fmol for auxin, gibberellins,
abscisic acid and their metabolites, 29.7 fmol for jasmonic acid, 18.1 fmol for
salicylic acid, and from 0.03 to 0.31 fmol for cytokinins and their metabolites.
The mean recoveries for all of the analytes were from 70.7 to 118.5%, and the
inter-day precisions (n=6) were less than 18.7%, with intra-day precisions (n=6)
within 25.4%. Finally, 20 compounds were successfully quantified in rice sample
profiles using the proposed method, which will greatly facilitate the
understanding of hormone-related regulatory networks that influence rice growth
and development. To our knowledge, there are limited reports that measure this
level of phytohormone species in rice samples using a single analysis.
PMID- 27208990
TI - Estimation of critical conditions of polymers based on monitoring the polymer
recovery.
AB - Liquid chromatography at critical conditions (LCCC) is a very attractive
chromatographic technique on the border between the size exclusion and liquid
adsorption mode of the liquid chromatography. The strong interest in LCCC arises
from the fact that it is well suited to analyze the block lengths in segmented
copolymers or the heterogeneities with regard to end groups present, for example,
in functionalized polymers e.g., telechelics. In this paper a new method for
identification of the critical conditions of synthetic polymers is proposed,
which requires only one polymer sample with higher molar mass. The method is
based on monitoring the recovery of the polymer sample from a column. The
composition of the mobile phase is modified until the polymer sample is fully
recovered from the column. The corresponding composition of the mobile phase is
composition corresponding to LCCC. This new method was applied for the
determination of critical conditions for polyethylene, syndiotactic polypropylene
and isotactic polypropylene. The results of the new method will be compared to
those of classical approaches and advantages will be pointed out.
PMID- 27208992
TI - Corrigendum to "Mach-Zehnder interferometer (MZI) point-of-care system for rapid
multiplexed detection of microRNAs in human urine specimens" [Biosens.
Bioelectron. 71 (2015) 365-372].
PMID- 27208991
TI - Bactericidal Effect of Strong Acid Electrolyzed Water against Flow Enterococcus
faecalis Biofilms.
AB - INTRODUCTION: This study evaluated the bactericidal effect of strong acid
electrolyzed water (SAEW) against flow Enterococcus faecalis biofilm and its
potential application as a root canal irrigant. METHODS: Flow E. faecalis
biofilms were generated under a constant shear flow in a microfluidic system. For
comparison, static E. faecalis biofilms were generated under a static condition
on coverslip surfaces. Both the flow and static E. faecalis biofilms were treated
with SAEW. Sodium hypochlorite (NaOCl, 5.25%) and normal saline (0.9%) were
included as the controls. Bacterial reductions were evaluated using confocal
laser scanning microscopy and the cell count method. Morphological changes of
bacterial cells were observed using scanning electron microscopy. RESULTS: The
confocal laser scanning microscopic and cell count results showed that SAEW had a
bactericidal effect similar to that of 5.25% NaOCl against both the flow and
static E. faecalis biofilms. The scanning electron microscopic results showed
that smooth, consecutive, and bright bacteria surfaces became rough, shrunken,
and even lysed after treated with SAEW, similar to those in the NaOCl group.
CONCLUSIONS: SAEW had an effective bactericidal effect against both the flow and
static E. faecalis biofilms, and it might be qualified as a root canal irrigant
for effective root canal disinfection.
PMID- 27208993
TI - Evaluation of chronic inflammatory demyelinating polyneuropathy: 3D nerve-sheath
signal increased with inked rest-tissue rapid acquisition of relaxation
enhancement imaging (3D SHINKEI).
AB - OBJECTIVE: To evaluate the usefulness of 3D nerve-sheath signal increased with
inked rest-tissue rapid acquisition of relaxation enhancement imaging (SHINKEI)
in patients with chronic inflammatory demyelinating polyneuropathy (CIDP).
METHODS: This institutional review board-approved retrospective study included 14
CIDP patients and nine normal subjects. The signal-to-noise ratio (SNR), contrast
ratio (CR), and the size of the cervical ganglions and roots were measured by two
raters. RESULTS: The SNRs of the ganglions and roots were larger in patients with
CIDP (9.55 +/- 3.87 and 9.81 +/- 3.64) than in normal subjects (7.21 +/- 2.42 and
5.70 +/- 2.14, P < 0.0001, respectively). The CRs of the ganglions and roots were
larger in patients with CIDP (0.77 +/- 0.08 and 0.68 +/- 0.12) than in normal
subjects (0.72 +/- 0.07 and 0.53 +/- 0.11, P < 0.0001, respectively). The sizes
of the ganglions and the roots were larger in patients with CIDP (6.44 +/- 1.61
mm and 4.89 +/- 1.94 mm) than in normal subjects (5.24 +/- 1.02 mm and 3.39 +/-
0.80 mm, P < 0.0001, respectively). CONCLUSIONS: Patients with CIDP could be
distinguished from controls on 3D SHINKEI. KEY POINTS: * 3D SHINKEI could
visualize brachial plexus with high spatial resolution. * CIDP patients showed
increased SNR, CR, and the size of brachial plexus. * 3D SHINKEI could
discriminate CIDP patients from normal subjects.
PMID- 27208994
TI - Sustainable nutrients recovery and recycling by optimizing the chemical addition
sequence for struvite precipitation from raw swine slurries.
AB - Livestock farming contributes heavily to nitrogen (N) and phosphorus (P) flows
into the environment, a major cause of eutrophication of coastal and freshwater
systems. Furthermore, the growing demand for N-P fertilizers is increasing the
emission of anthropogenic reactive N into the atmosphere and the depletion of the
current P reserves. Therefore, it is essential to minimize the anthropogenic
impact on the environment and recycle the wasted N-P for agricultural reuse. This
study focused on enhancing struvite (MgNH4PO4*6H2O) precipitation from raw swine
slurries in batch and laboratory-scale reactors. Different chemical addition
sequences were evaluated, and the best removal efficiency (E%) was obtained when
the chemicals were mixed before the precipitation process. Struvite was detected
at a pH as low as 6 (E%N-P~50%), and high E%N-P was found at pH 7-9.5 (80-95%).
Furthermore, air stripping was used in place of NaOH to adjust pH, returning the
same efficiency as if only alkali had been used. XRD and FE-SEM analysis of the
precipitate showed that the recovered struvite was of high purity with
orthorhombic crystalline structure and only trace amounts of impurities from
matrix organics, co-precipitation products (CaO and amorphous calcium
phosphates), and residuals of added chemicals (MgO).
PMID- 27208995
TI - Novel degradation pathway and kinetic analysis for buprofezin removal by newly
isolated Bacillus sp.
AB - Given the intensive and widespread application of the pesticide, buprofezin, its
environmental residues potentially pose a problem; yet little is known about
buprofezin's kinetic and metabolic behaviors. In this study, a novel gram
positive strain, designated BF-5, isolated from aerobic activated sludge, was
found to be capable of metabolizing buprofezin as its sole energy, carbon, and
nitrogen source. Based on its physiological and biochemical characteristics,
other aspects of its phenotype, and a phylogenetic analysis, strain BF-5 was
identified as Bacillus sp. This study investigated the effect of culture
conditions on bacterial growth and substrate degradation, such as pH,
temperature, initial concentration, different nitrogen source, and additional
nitrogen sources as co-substrates. The degradation rate parameters, qmax, Ks, Ki
and Sm were determined to be 0.6918 h(-1), 105.4 mg L(-1), 210.5 mg L(-1), and
148.95 mg L(-1) respectively. The capture of unpublished potential metabolites by
gas chromatography-mass spectrometry (GC-MS) analysis has led to the proposal of
a novel degradation pathway. Taken together, our results clarify buprofezin's
biodegradation pathway(s) and highlight the promising potential of strain BF-5 in
bioremediation of buprofezin-contaminated environments.
PMID- 27208996
TI - Decision support framework for evaluating the operational environment of forest
bioenergy production and use: Case of four European countries.
AB - Complex policy-making situations around bioenergy production and use require
examination of the operational environment of the society and a participatory
approach. This paper presents and demonstrates a three-phase decision-making
framework for analysing the operational environment of strategies related to
increased forest bioenergy targets. The framework is based on SWOT (strengths,
weaknesses, opportunities and threats) analysis and the Simple Multi-Attribute
Rating Technique (SMART). Stakeholders of four case countries (Finland, Germany,
Norway and Slovenia) defined the factors that affect the operational
environments, classified in four pre-set categories (Forest Characteristics and
Management, Policy Framework, Technology and Science, and Consumers and Society).
The stakeholders participated in weighting of SWOT items for two future scenarios
with SMART technique. The first scenario reflected the current 2020 targets (the
Business-as-Usual scenario), and the second scenario contained a further increase
in the targets (the Increase scenario). This framework can be applied to various
problems of environmental management and also to other fields where public
decision-making is combined with stakeholders' engagement. The case results show
that the greatest differences between the scenarios appear in Germany, indicating
a notably negative outlook for the Increase scenario, while the smallest
differences were found in Finland. Policy Framework was a highly rated category
across the countries, mainly with respect to weaknesses and threats. Intensified
forest bioenergy harvesting and utilization has potentially wide country-specific
impacts which need to be anticipated and considered in national policies and
public dialogue.
PMID- 27208997
TI - Uncertainty analysis of daily potable water demand on the performance evaluation
of rainwater harvesting systems in residential buildings.
AB - The objective of this paper is to perform a sensitivity analysis of design
variables and an uncertainty analysis of daily potable water demand to evaluate
the performance of rainwater harvesting systems in residential buildings. Eight
cities in Brazil with different rainfall patterns were analysed. A numeric
experiment was performed by means of computer simulation of rainwater harvesting.
A sensitivity analysis was performed using variance-based indices for identifying
the most important design parameters for rainwater harvesting systems when
assessing the potential for potable water savings and underground tank capacity
sizing. The uncertainty analysis was performed for different scenarios of potable
water demand with stochastic variations in a normal distribution with different
coefficients of variation throughout the simulated period. The results have shown
that different design variables, such as potable water demand, number of
occupants, rainwater demand, and roof area are important for obtaining the ideal
underground tank capacity and estimating the potential for potable water savings.
The stochastic variations on the potable water demand caused amplitudes of up to
4.8% on the potential for potable water savings and 9.4% on the ideal underground
tank capacity. Average amplitudes were quite low for all cities. However, some
combinations of parameters resulted in large amplitude of uncertainty and
difference from uniform distribution for tank capacities and potential for
potable water savings. Stochastic potable water demand generated low
uncertainties in the performance evaluation of rainwater harvesting systems;
therefore, uniform distribution could be used in computer simulation.
PMID- 27208998
TI - [Cardiovascular risk factors associated with erectile dysfunction in the region
of Dakar, Senegal].
AB - BACKGROUND: Erectile dysfunction (ED) is often a reason for consultation
revealing the existence of cardiovascular risk factors. The objective of this
study was to determine the cardiovascular risk factors associated with ED in the
Dakar region. METHODS: A descriptive and analytical cross-sectional study was
conducted from March 18 to June 2, 2013. The study population was composed of
married male subjects who sought care at the Ouakam Geriatric and Gerontology
Center and the Grand Yoff General Hospital. Erectile function was assessed with
the International Index of Erectile Function using the simplified five-item
questionnaire (IIEF 5). R 2.2.9 software was used for the logistic regression
multivariate analysis. Associations were measured using the adjusted odds ratio
(ORaj) with confidence intervals. RESULTS: A total of 253 men were surveyed
during this period. Average age was 16.7+/-58.2 years, range 24-90 years; 47%
were aged under 60 years. ED was diagnosed in 110 patients (43.5%). ED was
considered mild (33.6%), moderate (5.5%) or severe (4.3%). ED was more severe in
patients older than 60 years. Cardiovascular risk factors associated with ED were
diabetes ORaj=2.4 (1.24-4.68), sedentary lifestyle ORaj=3.08 (1.69-5.61), and
hypertension ORaj=2.53 (1.33-4.81). CONCLUSION: These results should prompt
health care providers to target patients with diabetes, hypertension and
sedentary lifestyle for systematic ED screening as a routine practice in order to
ensure early and effective care.
PMID- 27208999
TI - [Factors associated with suicide attempts by sexual minorities: Results from the
2011 gay and lesbian survey].
AB - BACKGROUND: Since the 1990s, several studies have found higher rates of suicide
attempts in homosexuals and bisexuals than in heterosexuals. The current
challenge is to identify risk factors for targeting prevention. The aim of this
paper was to determine, for the first time in France, the prevalence of suicide
attempts over a 12-month period and associated factors in a population of men and
women who self-identified as homosexuals or bisexuals. METHODS: A convenience
sample cross-sectional survey was conducted in 2011 using an anonymous self
administered questionnaire made available in the gay press, and Internet sites
targeting the gay or lesbian community. Among the persons completing the
questionnaire, 10,100 men and 2963 women residing in France answered the
questions on suicide attempts. The factors associated with suicide attempts
during the previous 12 months were identified by logistic regression. RESULTS:
Lifetime prevalence for suicide attempts was 16% in men and 18% in women; 12
month prevalence was 1.6% in men and 1.9% in women. Factors independently
associated with suicide attempts in the past 12 months in men and women were lack
of occupational activity, victim of sexual abuse, termination of a long-term
relationship, excessive alcohol consumption in the past 12 months, depression,
and in addition, in men, living in a small locality, victim of verbal or physical
aggression and use of anxiolytics. CONCLUSION: According to our results, the
fight against homophobia is an important element for the prevention of suicide
attempts among homosexual and bisexual men. Indeed, in addition to traditional
risk factors for suicide attempt, a significant association was also found with
homophobic aggression in the past year.
PMID- 27209000
TI - Association of perfluoroalkyl substances exposure in utero with reproductive
hormone levels in cord blood in the Hokkaido Study on Environment and Children's
Health.
AB - BACKGROUND: Exposure to perfluoroalkyl substances (PFASs) may disrupt
reproductive function in animals and humans. Although PFASs can cross the human
placental barrier, few studies evaluated the effects of prenatal PFAS exposure on
the fetus' reproductive hormones. OBJECTIVE: To explore the associations of
prenatal exposure to perfluorooctane sulfonate (PFOS) and perfluorooctanoate
(PFOA) with cord blood reproductive hormones. METHODS: In the prospective birth
cohort (Sapporo cohort of the Hokkaido study), we included 189 mother-infant
pairs recruited in 2002-2005 with both prenatal maternal and cord blood samples.
PFOS and PFOA levels in maternal blood after the second trimester were measured
via liquid chromatography-tandem mass spectrometry. We also measured cord blood
levels of the fetuses' reproductive hormones, including estradiol (E2), total
testosterone (T), progesterone (P4), inhibin B, insulin-like factor 3, steroid
hormone binding globulin, follicle-stimulating hormone, and luteinizing hormone,
and prolactin (PRL). RESULTS: The median PFOS and PFOA levels in maternal serum
were 5.2ng/mL and 1.4ng/mL, respectively. In the fully adjusted linear regression
analyses of the male infants, maternal PFOS levels were significantly associated
with E2 and positively, and T/E2, P4, and inhibin B inversely; PFOA levels were
positively associated with inhibin B levels. Among the female infants, there were
significant inverse associations between PFOS levels and P4 and PRL levels,
although there were no significant associations between PFOA levels and the
female infants' reproductive hormone levels. CONCLUSIONS: These results suggest
that the fetal synthesis and secretion of reproductive hormones may be affected
by in utero exposure to measurable levels of PFOS and PFOA.
PMID- 27209001
TI - Occupational exposure to asthmagens and adult onset wheeze and lung function in
people who did not have childhood wheeze: A 50-year cohort study.
AB - BACKGROUND: There are few prospective studies that relate the development of
adult respiratory disease with exposure to occupational asthmagens. OBJECTIVE: To
evaluate the risk of adult onset wheeze (AOW) and obstructive lung function
associated with occupational exposures over 50years. METHODS: A population-based
randomly selected cohort of children who had not had asthma or wheezing illness,
recruited in 1964 at age 10-15years, was followed-up in 1989, 1995, 2001 and 2014
by spirometry and respiratory questionnaire. Occupational histories were obtained
in 2014 and occupational exposures determined with an asthma-specific job
exposure matrix. The risk of AOW and lung function impairment was analysed in
subjects without childhood wheeze using logistic regression and linear mixed
effects models. RESULTS: All 237 subjects (mean age: 61years, 47% male, 52% ever
smoked) who took part in the 2014 follow-up had completed spirometry. Among those
who did not have childhood wheeze, spirometry was measured in 93 subjects in
1989, in 312 in 1995 and in 270 subjects in 2001 follow-up. For longitudinal
analysis of changes in FEV1 between 1989 and 2014 spirometry records were
available on 191 subjects at three time points and on 45 subjects at two time
points, with a total number of 663 records. AOW and FEV130 Hz) cortical activity is thought to depend on
the reciprocal connections of excitatory glutamatergic principal cells with
inhibitory GABAergic interneurons. Both in vitro and in vivo animal studies have
shown that blockade of glutamatergic alpha-amino-3-hydroxy-5-methyl-4
isoxazolepropionic acid (AMPA) receptors reduces the amplitude of gamma-band
activity. In this registered report, we hypothesised that similar effects would
be observed in humans following administration of perampanel, a first in class
AMPA antagonist, used in the treatment of epilepsy. In a single-blind placebo
controlled crossover study, 20 healthy male participants completed two study
days. On one day participants were given a 6 mg dose of perampanel and on the
other an inactive placebo. magnetoencephalography (MEG) recordings of brain
activity were taken before and two hours after drug administration, with activity
in the visual cortex probed using a stimulation protocol known to induce gamma
band activity in the primary visual cortex. As hypothesised, our results
indicated a decrease in gamma-band amplitudes following perampanel
administration. The decreases in gamma-band amplitudes observed were temporally
restricted to the early time-period of stimulus presentation (up to 400 msec)
with no significant effects observed on early evoked responses or alpha rhythms.
This suggests that the early time-window of induced visual gamma-band activity,
thought to reflect input to the visual cortex from the lateral geniculate
nucleus, is most sensitive to AMPA blocking drugs.
PMID- 27209007
TI - Estimation of fiber orientations using neighborhood information.
AB - Data from diffusion magnetic resonance imaging (dMRI) can be used to reconstruct
fiber tracts, for example, in muscle and white matter. Estimation of fiber
orientations (FOs) is a crucial step in the reconstruction process and these
estimates can be corrupted by noise. In this paper, a new method called Fiber
Orientation Reconstruction using Neighborhood Information (FORNI) is described
and shown to reduce the effects of noise and improve FO estimation performance by
incorporating spatial consistency. FORNI uses a fixed tensor basis to model the
diffusion weighted signals, which has the advantage of providing an explicit
relationship between the basis vectors and the FOs. FO spatial coherence is
encouraged using weighted l1-norm regularization terms, which contain the
interaction of directional information between neighbor voxels. Data fidelity is
encouraged using a squared error between the observed and reconstructed diffusion
weighted signals. After appropriate weighting of these competing objectives, the
resulting objective function is minimized using a block coordinate descent
algorithm, and a straightforward parallelization strategy is used to speed up
processing. Experiments were performed on a digital crossing phantom, ex vivo
tongue dMRI data, and in vivo brain dMRI data for both qualitative and
quantitative evaluation. The results demonstrate that FORNI improves the quality
of FO estimation over other state of the art algorithms.
PMID- 27209008
TI - IL8 gene as modifier of cystic fibrosis: unraveling the factors which influence
clinical variability.
AB - The severity of cystic fibrosis (CF) is associated with classes of mutations in
the CFTR gene (cystic fibrosis transmembrane regulator), physical environment and
modifier genes interaction. The IL8 gene (interleukin 8), according to its
respective polymorphisms, influences inflammatory responses. This study analyzed
IL8 gene polymorphisms (rs4073, rs2227306 and rs2227307), by means of PCR/RFLP,
and their association with pulmonary function markers and clinical severity
scores in 186 patients with CF, considering the CFTR genotype. There was an
association between rs2227307 and precocity of the disease. The severity of lung
disease was associated with the following markers: transcutaneous arterial
hemoglobin oxygen saturation (SaO2) (regardless of CFTR genotype, for the
polymorphisms rs4073, rs2227306 and rs2227307); mucoid Pseudomonas aeruginosa
(regardless of CFTR genotype, for the polymorphisms rs2227306 and rs2227307).
Pulmonary function markers (SaO2 and spirometric variables) and clinical severity
scores were also associated with IL8 gene polymorphisms. This study identified
the IL8 gene, represented by rs4073 and rs2227306 polymorphisms, and particularly
the rs2227307 polymorphism, as potentiating factors for the degree of variability
in the severity of CF, especially in pulmonary clinical manifestation correlated
with increased morbidity and mortality.
PMID- 27209010
TI - A qualitative study of the infant feeding beliefs and behaviours of mothers with
low educational attainment.
AB - BACKGROUND: Infancy is an important period for the promotion of healthy eating,
diet and weight. However little is known about how best to engage caregivers of
infants in healthy eating programs. This is particularly true for caregivers,
infants and children from socioeconomically disadvantaged backgrounds who
experience greater rates of overweight and obesity yet are more challenging to
reach in health programs. Behaviour change interventions targeting parent-infant
feeding interactions are more likely to be effective if assumptions about what
needs to change for the target behaviours to occur are identified. As such we
explored the precursors of key obesity promoting infant feeding practices in
mothers with low educational attainment. METHODS: One-on-one semi-structured
telephone interviews were developed around the Capability Opportunity Motivation
Behaviour (COM-B) framework and applied to parental feeding practices associated
with infant excess or healthy weight gain. The target behaviours and their
competing alternatives were (a) initiating breastfeeding/formula feeding, (b)
prolonging breastfeeding/replacing breast milk with formula, (c) best practice
formula preparation/sub-optimal formula preparation, (d) delaying the
introduction of solid foods until around six months of age/introducing solids
earlier than four months of age, and (e) introducing healthy first
foods/introducing unhealthy first foods, and (f) feeding to appetite/use of non
nutritive (i.e., feeding for reasons other than hunger) feeding. The
participants' education level was used as the indicator of socioeconomic
disadvantage. Two researchers independently undertook thematic analysis. RESULTS:
Participants were 29 mothers of infants aged 2-11 months. The COM-B elements of
Social and Environmental Opportunity, Psychological Capability, and Reflective
Motivation were the key elements identified as determinants of a mother's
likelihood to adopt the healthy target behaviours although the relative
importance of each of the COM-B factors varied with each of the target feeding
behaviours. CONCLUSIONS: Interventions targeting healthy infant feeding practices
should be tailored to the unique factors that may influence mothers' various
feeding practices, taking into account motivational and social influences.
PMID- 27209009
TI - Statistical tests, P values, confidence intervals, and power: a guide to
misinterpretations.
AB - Misinterpretation and abuse of statistical tests, confidence intervals, and
statistical power have been decried for decades, yet remain rampant. A key
problem is that there are no interpretations of these concepts that are at once
simple, intuitive, correct, and foolproof. Instead, correct use and
interpretation of these statistics requires an attention to detail which seems to
tax the patience of working scientists. This high cognitive demand has led to an
epidemic of shortcut definitions and interpretations that are simply wrong,
sometimes disastrously so-and yet these misinterpretations dominate much of the
scientific literature. In light of this problem, we provide definitions and a
discussion of basic statistics that are more general and critical than typically
found in traditional introductory expositions. Our goal is to provide a resource
for instructors, researchers, and consumers of statistics whose knowledge of
statistical theory and technique may be limited but who wish to avoid and spot
misinterpretations. We emphasize how violation of often unstated analysis
protocols (such as selecting analyses for presentation based on the P values they
produce) can lead to small P values even if the declared test hypothesis is
correct, and can lead to large P values even if that hypothesis is incorrect. We
then provide an explanatory list of 25 misinterpretations of P values, confidence
intervals, and power. We conclude with guidelines for improving statistical
interpretation and reporting.
PMID- 27209011
TI - In Vitro Susceptibility of Pythium insidiosum to Melaleuca alternifolia, Mentha
piperita and Origanum vulgare Essential Oils Combinations.
AB - Pythium insidiosum is the etiologic agent of pythiosis, a severe and emerging
disease that affects mammals. Failure of conventional antifungal therapies is
partially justified by the absence of ergosterol in the plasma membrane of this
oomycete. Despite research advancement, the treatment of pythiosis has not been
not fully established. The present study investigated the in vitro susceptibility
profile of Brazilian isolates of P. insidiosum (n = 20) against Melaleuca
alternifolia, Mentha piperita and Origanum vulgare essential oils, and their
combinations. Susceptibility tests were performed according to CLSI M38-A2
protocol, and combinations were evaluated by the microdilution cherkerboard
method. All tested essential oils showed antimicrobial activity against P.
insidiosum, and the greatest activity of O. vulgare was highlighted. Synergistic
and/or indifferent effect was observed for all combinations evaluated, especially
the M. piperita and O. vulgare combination, which showed 65 % synergism. This is
the first study to report in vitro combinations of essential oils against P.
insidiosum indicating the susceptibility of this oomycete to M. alternifolia, M.
piperita and O. vulgare essential oils, as well as their combinations.
PMID- 27209012
TI - Discordance between patient and physician assessments of global disease activity
in rheumatoid arthritis and association with work productivity.
AB - BACKGROUND: Discordance between patient and physician ratings of rheumatoid
arthritis (RA) severity occurs in clinical practice and correlates with pain
scores and measurements of joint disease. However, information is lacking on
whether discordance impacts patients' ability to work. We evaluated the
discordance between patient and physician ratings of RA disease activity before
and after treatment with etanercept and investigated the associations between
discordance, clinical outcomes, and work productivity. METHODS: In the PRESERVE
clinical trial, patients with moderate RA received open-label etanercept 50 mg
once weekly plus methotrexate for 36 weeks. Baseline and week-36 disease
characteristics and clinical and work productivity outcomes were categorized
according to week-36 concordance category, defined as positive discordance
(patient global assessment - physician global assessment >=2), negative
discordance (patient global assessment - physician global assessment <= -2), and
concordance (absolute difference between the two disease activity assessments = 0
or 1). Correlations between discordance, clinical outcomes, and predictors of
discordance were determined. RESULTS: At baseline, 520/762 (68.2 %) patient and
physician global assessment scores were concordant, 194 (25.5 %) were positively
discordant, and 48 (6.3 %) were negatively discordant. After 36 weeks of therapy,
556/763 (72.9 %) scores were concordant, 189 (24.8 %) were positively discordant,
and 18 (2.4 %) were negatively discordant. Patients with week-36 concordance had
the best 36-week clinical and patient-reported outcomes, and overall, the
greatest improvement between baseline and week 36. Baseline pain, swollen joint
count, duration of morning stiffness, fatigue, and patient general health
significantly correlated with week-36 discordance, p < 0.0001 to p < 0.05.
Additionally, baseline pain, patient general health, and C-reactive protein were
predictors of week-36 discordance (odds ratios 1.22, 1.02, and 0.98,
respectively). For the employed patients, percent impairment while working and
percent overall work impairment were highest (greatest impairment) at baseline
and 36 weeks in the group with positive discordance. CONCLUSIONS: The percentage
of patients with concordance increased after 36 weeks of treatment with
etanercept, with concordant patients demonstrating the greatest improvement in
clinical and patient-reported outcomes. Discordance correlated with several
measures of disease activity and was associated with decreased work productivity.
TRIAL REGISTRATION: ClinicalTrials.gov identifier: NCT00565409 . Registered
28/11/2007.
PMID- 27209013
TI - Are three arteries better than two? Impact of using the radial artery in addition
to bilateral internal thoracic artery grafting on long-term survival.
AB - OBJECTIVE: Whether radial artery (RA) as third arterial conduit in addition to
bilateral internal thoracic artery (BITA) is associated with better survival than
saphenous vein (SV) remains undetermined. METHODS: Study population included a
selected low-risk group of 275 subjects undergoing BITA grafting with RA as third
arterial conduit (BITA+RA) and 489 undergoing BITA grafting with additional SV
graft (BITA+SV). RA was considered only for target stenosis of at least 75%. We
finally obtained 275 propensity score-matched pairs for comparison. RESULTS:
Operative mortalities were 1 (0.3%) and 2 (0.7%) for BITA+RA and BITA+SV,
respectively (P = .56). After mean follow-up of 10.6 +/- 4.8 years, BITA+RA
survivals were 97.4% +/- 0.9%, 90.3% +/- 2.0%, and 81.7% +/- 3.2% at 5, 10, and
15 years, respectively, versus 97.0% +/- 1.0%, 94.1% +/- 1.5%, and 82.1% +/- 3.4%
(log-rank P = .54; hazard ratio, 1.16; 95% confidence interval, 0.71-1.9).
Strategies showed comparable survivals when RA or SV was used to graft the right
(P = .79) or left (P = .55) coronary system only. Lack of survival advantage for
BITA+RA was confirmed in patients 60 years and younger (P = .80) and older than
60 years (P = .53), with and without diabetes mellitus (P = .89 and P = .54,
respectively), and with or without left ventricular dysfunction (P = .95 and P =
.65, respectively). CONCLUSIONS: Long-term survival in selected low-risk patients
undergoing BITA grafting was not extended by using RA as third arterial conduit
in preference to SV.
PMID- 27209014
TI - Narcissus, the Beam, and lung cancer.
AB - In the management of lung cancer, the rules of engagement of stereotactic
ablative radiotherapy (SABR) are not clearly defined. The potential for SABR to
affect to an unprecedented level current protocols and in all disease stages
emerges vehemently from the literature. However, in a time when the role of
surgery is being reassessed, surgeons need to take a closer look at the evidence
for the use of SABR in lung cancer patients and clearly define their indisputable
role within the context of multidisciplinary teams. The myth of Narcissus
exemplified in the absolute masterpiece by Caravaggio seems to represent an ideal
metaphor to explain the ever-evolving interaction between surgery and SABR in
lung cancer management.
PMID- 27209015
TI - Quadricuspid mitral valve: Of clefts, scallops, and indentations.
PMID- 27209016
TI - Physiologic left ventricular reconstruction: Shape, function, and time
recaptured.
PMID- 27209017
TI - A career in translational research: The road not taken.
PMID- 27209018
TI - Minor postoperative morbidity should not be neglected.
PMID- 27209019
TI - Predictors of survival in lung torsion: A systematic review and pooled analysis.
AB - OBJECTIVE: Lung torsion (LT) is a rare but life-threatening event. The objective
of this study was to systematically review the natural history and clinical
outcome of LT in published studies. METHODS: A review of publications on LT from
January 1950 to December 2014 was performed using 3 databases (PubMed, EMBASE,
and Web of Science). The primary efficacy outcome was LT-related mortality.
Intervention was classified as direct resection (resection without detorsion),
indirect resection (resection after detorsion), and reposition. Univariate
comparisons of survival were performed using a logistic regression model.
RESULTS: There were 109 patients from 91 studies identified in this analysis. LT
was frequently reported after upper lobectomy (74.4%) and the middle lobe was the
most vulnerable lesion (29.4%). The main clinical presentations were dyspnea
(38.4%), fever (23.3%), and chest pain (17.4%). Radiologic findings suggestive of
LT included worsening consolidation and abrupt truncation/tapering of the
pulmonary artery. The overall mortality was 8.3%. Univariate analysis showed that
the extent of torsion (whole LT vs lobar torsion) was significantly associated
with survival (odds ratio, 5.867; P = .017). No significant difference was found
between patients receiving direct resection and those receiving reposition; a
trend was observed for worsening outcomes in patients treated with indirect
resection (odds ratio, 5.300; P = .060). CONCLUSIONS: The systematic review
reveals the prevalence, key diagnostic tests, and optimal treatment methods for
lung torsion. Whole LT is associated with higher mortality rates than lobar
torsion. If the tortuous part is viable, reposition and direct resection have
similar survival rates; otherwise, direct resection should be performed.
PMID- 27209021
TI - The obstetrical prognosis after "coin-shaped" conization in patients with CIN:
concerns concerning an unclear study design and a lack of specimen size
estimation.
PMID- 27209020
TI - Pharmacokinetic characteristics and microbiologic appropriateness of cefazolin
for perioperative antibiotic prophylaxis in elective cardiac surgery.
AB - OBJECTIVE: Adequate levels of perioperative antibiotic prophylaxis are essential
for prevention of surgical site infections. We examined pharmacokinetic details
of 2 g cefazolin administered during induction of anesthesia with repeat dosing
shortly after initiation of cardiopulmonary bypass (CPB) in cardiac surgery.
METHODS: To identify the microbiologic flora targeted with prophylaxis, pre-, and
postoperative swabs were taken from sternal skin. Blood samples for measurement
of cefazolin were obtained in 24 patients. Drug levels were used for population
pharmacokinetic modeling using Nonmem software (Icon Development Solutions, San
Antonio, Tex). RESULTS: More than 90% of bacteria on sternal skin were sensitive
to cefazolin, indicating minimal inhibitory concentrations <8 mg/L. All serum
levels of cefazolin were above 8 mg/L and might thus effectively prevent
infection. Pharmacokinetic modeling in a 1-compartment model predicted a
population mean clearance (CL) of 5.23 L/h and a volume of distribution (Vd) of
15.8 L. CPB increased Vd from 14.4 L to 22.1 L with a consecutive reduction to 18
L after the end of extracorporeal circulation. The final model implemented
interindividual variability on CL and Vd, incorporating the covariates CPB and
albumin on Vd and creatinine clearance on CL. Goodness-of-fit calculations showed
that this model adequately describes the data derived from our clinical cohort.
CONCLUSIONS: Two grams of cefazolin at induction of anesthesia with a repeat dose
after initiation of CPB ensures adequate drug levels to target a majority of
pathogens of surgical site infections. Pharmacokinetic modeling demonstrated a
significant influence of CPB on the volume of distribution and elimination of
cefazolin. Other influences on pharmacokinetic parameters were albumin, protein,
and creatinine clearance.
PMID- 27209023
TI - The Protective Effect of Baicalin Against Lead-Induced Renal Oxidative Damage in
Mice.
AB - Lead (Pb) exposure is a global environmental problem that can deplete body
antioxidant enzymes, causing damage to various macromolecules and ultimately cell
death. Pb exposure could lead to serious renal damage. Baicalin, a traditional
Chinese medicine, could protect against renal injury through inhibition of
oxidative stress and apoptosis. This study was designed to investigate the
protective efficacy of baicalin against Pb-induced nephrotoxicity in mice and to
elucidate the potential mechanisms using animal experiment. The results revealed
that baicalin decreased Pb-induced bodyweight loss, declined kidney coefficients,
and ameliorated renal function and structure in a dose-dependent manner.
Meanwhile, baicalin dose dependently increased Pb-induced activity of SOD and GSH
Px, while the content of MDA in the kidney was decreased. In addition, baicalin
enhanced the Bcl-2/Bax ratio associated with apoptosis in the kidney. These data
indicated that further investigation of the use of baicalin as a new natural
chemopreventive agent against Pd poisoning is warranted.
PMID- 27209022
TI - Complement system activation contributes to the ependymal damage induced by
microbial neuraminidase.
AB - BACKGROUND: In the rat brain, a single intracerebroventricular injection of
neuraminidase from Clostridium perfringens induces ependymal detachment and
death. This injury occurs before the infiltration of inflammatory blood cells;
some reports implicate the complement system as a cause of these injuries. Here,
we set out to test the role of complement. METHODS: The assembly of the
complement membrane attack complex on the ependymal epithelium of rats injected
with neuraminidase was analyzed by immunohistochemistry. Complement activation,
triggered by neuraminidase, and the participation of different activation
pathways were analyzed by Western blot. In vitro studies used primary cultures of
ependymal cells and explants of the septal ventricular wall. In these models,
ependymal cells were exposed to neuraminidase in the presence or absence of
complement, and their viability was assessed by observing beating of cilia or by
trypan blue staining. The role of complement in ependymal damage induced by
neuraminidase was analyzed in vivo in two rat models of complement blockade:
systemic inhibition of C5 by using a function blocking antibody and testing in C6
deficient rats. RESULTS: The complement membrane attack complex immunolocalized
on the ependymal surface in rats injected intracerebroventricularly with
neuraminidase. C3 activation fragments were found in serum and cerebrospinal
fluid of rats treated with neuraminidase, suggesting that neuraminidase itself
activates complement. In ventricular wall explants and isolated ependymal cells,
treatment with neuraminidase alone induced ependymal cell death; however, the
addition of complement caused increased cell death and disorganization of the
ependymal epithelium. In rats treated with anti-C5 and in C6-deficient rats,
intracerebroventricular injection of neuraminidase provoked reduced ependymal
alterations compared to non-treated or control rats. Immunohistochemistry
confirmed the absence of membrane attack complex on the ependymal surfaces of
neuraminidase-exposed rats treated with anti-C5 or deficient in C6. CONCLUSIONS:
These results demonstrate that the complement system contributes to ependymal
damage and death caused by neuraminidase. However, neuraminidase alone can induce
moderate ependymal damage without the aid of complement.
PMID- 27209024
TI - Context-dependent regulation of feeding behaviour by the insulin receptor, DAF-2,
in Caenorhabditis elegans.
AB - Insulin signalling plays a significant role in both developmental programmes and
pathways modulating the neuronal signalling that controls adult behaviour. Here,
we have investigated insulin signalling in food-associated behaviour in adult C.
elegans by scoring locomotion and feeding on and off bacteria, the worm's food.
This analysis used mutants (daf-2, daf-18) of the insulin signalling pathway, and
we provide evidence for an acute role for insulin signalling in the adult nervous
system distinct from its impact on developmental programmes. Insulin receptor daf
2 mutants move slower than wild type both on and off food and showed impaired
locomotory responses to food deprivation. This latter behaviour is manifest as a
failure to instigate dispersal following prolonged food deprivation and suggests
a role for insulin signalling in this adaptive response. Insulin receptor daf-2
mutants are also deficient in pharyngeal pumping on food and off food.
Pharmacological analysis showed the pharynx of daf-2 is selectively compromised
in its response to 5-HT compared to the excitatory neuropeptide FLP-17. By
comparing the adaptive pharyngeal behaviour in intact worms and isolated
pharyngeal preparations, we determined that an insulin-dependent signal extrinsic
to the pharyngeal system is involved in feeding adaptation. Hence, we suggest
that reactive insulin signalling modulates both locomotory foraging and
pharyngeal pumping as the animal adapts to the absence of food. We discuss this
in the context of insulin signalling directing a shift in the sensitivity of
neurotransmitter systems to regulate the worm's response to changes in food
availability in the environment.
PMID- 27209025
TI - NemaCount: quantification of nematode chemotaxis behavior in a browser.
AB - Nematodes such as Caenorhabditis elegans offer a very effective and tractable
system to probe the underlying mechanisms of diverse sensory behaviors. Numerous
platforms exist for quantifying nematode behavior and often require separate
dependencies or software. Here I describe a novel and simple tool called
NemaCount that provides a versatile solution for the quantification of nematode
chemotaxis behavior. The ease of installation and user-friendly interface makes
NemaCount a practical tool for measuring diverse behaviors and image features of
nematodes such as C. elegans. The main advantage of NemaCount is that it operates
from within a modern browser such as Google Chrome or Apple Safari. Any features
that change in total number, size, shape, or angular distance between control and
experimental preparations are suited to NemaCount for image analysis, while
commonly used chemotaxis assays can be quantified, and statistically analyzed
using a suite of functions from within NemaCount. NemaCount also offers image
filtering options that allow the user to improve object detection and
measurements. NemaCount was validated by examining nematode chemotaxis behavior;
angular distances of locomotory tracks in C. elegans; and body lengths of
Heterorhabditis bacteriophora nematodes. Apart from a modern browser, no
additional software is required to operate NemaCount, making NemaCount a cheap,
simple option for the analysis of nematode images and chemotaxis behavior.
PMID- 27209026
TI - Neuroblastoma presenting with symptoms of epidural compression at birth: a case
report.
AB - BACKGROUND: Five to 10 % of children with neuroblastoma present with symptoms of
epidural compression (EC). More than half these patients are diagnosed in the
first year of life. The case of a neuroblastoma presenting symptoms of EC at
birth is exceptional and deserves to be reported. CASE PRESENTATION: We describe
a case of female born at the 36(th) week of pregnancy by caesarian section
decided following ultrasonographic discovery of oligohydramnios. At birth, she
was noted to have motor deficit involving both legs and continuous urinary
dripping. These symptoms were found to be secondary to a paraspinal neuroblastoma
infiltrating the spinal canal. Tumor responded well to chemotherapy, but
neurologic deficit only slightly improved and bladder dysfunction remained
unchanged. At 2 years of age, patient is able to walk with help of leg orthoses,
suffers chronic constipation requiring daily medications, and has neurologic
bladder necessitating multiple daily catheterizations. CONCLUSIONS: The finding
of a newborn presenting with symptoms of EC secondary to a neuroblastoma invading
the spinal canal is quite uncommon. The case described herewith confirms that
these rare patients have an excellent survival probability, but almost always
develop severe functional sequelae.
PMID- 27209027
TI - Patient- and person-reports on healthcare: preferences, outcomes, experiences,
and satisfaction - an essay.
AB - With the shift towards patient-centered healthcare, patient- and person-reports
of health-related factors, including outcomes, are seen as important determinants
for evaluating and improving healthcare. However, a comprehensive, systematic
categorization of patient- and person-reports is currently lacking in the
literature. This study aims at developing a new classification system with well
defined constructs for patients' and persons' self-reports on health and
healthcare. A literature research and evaluation by the Reported Health Outcomes
(RHO) Group were used to develop this classification system. The new
classification system includes patient- and person-reported preferences,
outcomes, experiences, and satisfaction related to healthcare and health
outcomes. Moreover, the most constitutive methods to measure these four
categories - preferences, outcomes, experiences, and satisfaction - have been
described in this article. Even though the value of patients' and persons'
perspectives on healthcare is increasingly being recognized, its measurement and
implementation presents a lasting challenge to researchers, clinicians, patients,
and the general population.
PMID- 27209028
TI - Influence of education on cognitive performance and dopamine transporter binding
in dementia with Lewy bodies.
AB - OBJECTIVES: Dementia with Lewy bodies (DLB) and Alzheimer's disease (AD) are the
two most common forms of dementia. These two diseases share some clinical and
pathological similarities, yet the loss of dopaminergic neurons confirmed by 123
I-Ioflupane Single Photon Emission Computed Tomography (SPECT) is a suggestive
feature of DLB. Current evidence suggests that higher education has a protective
effect on the risk of developing clinical AD. However, how education influences
cognitive performance and the presynaptic dopamine transporter marker in DLB is
unknown. MATERIALS AND METHODS: We reviewed 56 consecutive patients with DLB who
underwent a 123-I-Ioflupane SPECT from January 2009 to August 2013 at the
University Hospital of Caen. We collected clinical and neuropsychological data
from medical files and 123-I-Ioflupane SPECT data for all patients. RESULTS:
There was no correlation between education and global cognitive performance in
patients with DLB. However, there was a positive correlation between education
and tests exploring visuoconstructive functions (Rey complex figure copy and
recall) and verbal retrieval strategies (Grober and Buschke free recall test).
There was also a positive correlation between education and dopamine transporter
binding. Higher educated patients had higher binding in the striatum, putamen and
caudate nucleus (p=0.001 for each regions of interest). Dopamine transporter
binding in the striatum, putamen and caudate nucleus was lower in the subgroup of
patients with REM sleep behavior disorder, but was not associated with other DLB
symptoms. CONCLUSION: Higher education may have a protective effect on
visuoconstructive performance and verbal retrieval strategies and may influence
dopaminergic nigrostriatal neurodegeneration in patients with DLB.
PMID- 27209029
TI - Dravet syndrome with favourable cognitive and behavioral development due to a
novel SCN1A frameshift mutation.
AB - Children with Dravet syndrome (DS) often have severe cognitive, behaviour and
motor impairments. Patients with truncating mutations would logically have the
more severe phenotype. Here we present a case of DS with an unusually favourable
cognitive and behavioral development with a novel SCN1A frameshift mutation
(c.4233-4234insAT). Under regular following up for ten years, the patient had
normal expressive language and mild motor clumsiness. It is suggested that
besides the type of SCN1A mutation, other mechanisms may be existed to influence
the SCN1A phenotype, such as modifier genes, developmental variability,
accumulation of somatic mutation in lifetime and environmental insults can all
contribute to the cognitive and behavioral outcome.
PMID- 27209030
TI - Training and Assessment of Hysteroscopic Skills: A Systematic Review.
AB - OBJECTIVE: The aim of this systematic review was to identify studies on
hysteroscopic training and assessment. DESIGN: PubMed, Excerpta Medica, the
Cochrane Library, and Web of Science were searched in January 2015. Manual
screening of references and citation tracking were also performed. Studies on
hysteroscopic educational interventions were selected without restrictions on
study design, populations, language, or publication year. A qualitative data
synthesis including the setting, study participants, training model, training
characteristics, hysteroscopic skills, assessment parameters, and study outcomes
was performed by 2 authors working independently. Effect sizes were calculated
when possible. Overall, 2 raters independently evaluated sources of validity
evidence supporting the outcomes of the hysteroscopy assessment tools. RESULTS: A
total of 25 studies on hysteroscopy training were identified, of which 23 were
performed in simulated settings. Overall, 10 studies used virtual-reality
simulators and reported effect sizes for technical skills ranging from 0.31 to
2.65; 12 used inanimate models and reported effect sizes for technical skills
ranging from 0.35 to 3.19. One study involved live animal models; 2 studies were
performed in clinical settings. The validity evidence supporting the assessment
tools used was low. Consensus between the 2 raters on the reported validity
evidence was high (94%). CONCLUSIONS: This systematic review demonstrated large
variations in the effect of different tools for hysteroscopy training. The
validity evidence supporting the assessment of hysteroscopic skills was limited.
PMID- 27209031
TI - Effect of Residents on Operative Time and Complications: Focus on Laparoscopic
Cholecystectomy in the Community.
AB - OBJECTIVE: To better understand important aspects of resident education in the
perioperative setting, given that there are conflicting data regarding resident
training and outcomes (e.g., operative times and complications). To study
continuity of care in a resident-run outpatient hospital clinic. DESIGN:
Retrospective analysis of 2 databases. SETTING: The study was set up in a
community teaching hospital. RESULTS: Of 4603 cases in a cholecystectomy
database, 3302 (72%) were assisted by residents, with operative times ranging
from 19 to 383 minutes, and 1576 (22.9%) were assisted by nonresidents. The
average times were 93 and 77 minutes for resident- and non-resident-assisted
cases, respectively. Complications were almost 3 times more likely for urgent vs.
elective but were similar for resident-assisted vs. non-resident-assisted cases.
The operative time was similar across PGY levels. Of 149 cases in a resident-run
outpatient clinic, 100 (67%) of the residents participated in preoperative,
intraoperative, and postoperative phases of case, but in only 4% of cases was it
the same resident. CONCLUSION: Resident assistance increased operative times but
not complications. Counterbalanced effects of increasing skill and increasing
participation may explain this time stability across PGY levels. Continuity of
care is preserved in the era of the 80-hour workweek, but not to a patient
specific degree.
PMID- 27209032
TI - Clearing the air: protocol for a systematic meta-narrative review on the harms
and benefits of e-cigarettes and vapour devices.
AB - BACKGROUND: Under the shadow of the tobacco epidemic, the sale and use of e
cigarettes and other vapour devices is increasing dramatically. A contentious
debate has risen within public health over the harms and benefits of these
devices. Clearing the Air seeks to clarify the issues with a systematic review
that informs the pressing regulatory and public health decisions to be made
regarding these new products. METHODS/DESIGN: Using an integrated knowledge
translation approach, public health researchers and knowledge users will work
collaboratively throughout the project. Our research questions are the following:
(1) What are the health risks and benefits of vapour devices, and how do these
compare to cigarettes? (2) What is the harm reduction potential of vapour devices
for individuals, the environment, and society? (3) Does youth vapour device
experimentation lead to cigarette use? (4) Can vapour devices be effective aids
for tobacco cessation? and (5) What is the potential toxicity of second-hand
vapour? We are using meta-narrative review to synthesize studies from diverse
research traditions because of its capacity to address contestations around a
topic. The project has six phases. In the planning phase, we finalized the
research questions. In the search phase, we are locating academic publications
and grey literature aided by a research librarian. The mapping phase involves
categorizing these papers into research traditions to understand different
perspectives on the evidence for each research question. In the appraisal phase,
we will select and evaluate the relevant papers. Finally, in the synthesis phase,
using analytic techniques unique to meta-narrative methodology, we will compare
and contrast the evidence from different research traditions to answer our
research questions, identifying overarching meta-narratives. In the final stage,
the full team will draft recommendations to be disseminated through a variety of
knowledge translation strategies. DISCUSSION: Meta-narrative synthesis has the
unique capacity to expose the debates that are influencing the interpretation of
empirical studies on vapour devices. We seek to "clear the air" with an even
handed review of the evidence and an understanding of the tensions within public
health so that we can offer clear-headed recommendations for policy, regulation,
and future research. SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42015025267.
PMID- 27209033
TI - Third degree open fractures and traumatic sub-/total amputations of the upper
extremity: Outcome and relevance of the Mangled Extremity Severity Score.
AB - INTRODUCTION: Third degree open fractures and traumatic sub-/total amputations of
the upper extremity represent severe injuries and are associated with a high rate
of functional impairment of the affected extremity. More than 20 years ago, the
Mangled Extremity Severity Score (MESS) was introduced to predict amputation
following severe lower extremity trauma. However, there have been few studies
evaluating MESS in connection with the mangled upper limb. MATERIAL AND METHODS:
A retrospective medical chart review was performed of all patients diagnosed with
the aforementioned fractures of the upper extremity treated at the Department of
trauma surgery (level I trauma center) and the Clinical division of plastic and
reconstructive surgery at the general hospital of Vienna between 1994 and 2014.
RESULTS: Fifty-four out of 606 patients (9%) suffered from a total of 61 third
degree open fractures or traumatic sub-/total amputations of the upper extremity
(Gustilo-Anderson, type IIIA, n=30; Gustilo-Anderson, type IIIB, n=15; Gustilo
Anderson, type IIIC, n=9; traumatic sub-/total amputations, n=7). Thirty-seven
out of 54 patients (69%) suffered fractures of the forearm, 10/54 (19%) patients
of the humerus and 7/54 (13%) patients of the forearm and the humerus. The median
MESS and Injury Severity Score (ISS) for all patients was 5 (range: 3-10) and 9
(range: 4-50), respectively. Seventeen out of 54 patients (31%) were diagnosed
with a MESS>=7. Twenty-one out of 54 patients (39%) suffered severe vascular
injuries and 22/54 (41%) patients suffered injuries of neural structures.
Throughout the therapy process, 6/54 (11%) patients died. Definite limb salvage
was achieved in 45 (94%) of the 48 survivors, of whom 9/45 (20%) subjects had a
MESS>=7. DISCUSSION: It became apparent that definite limb salvage could be
achieved in the mangled upper extremity regardless of MESS. It should be noted
that in the current study, limb functionality was not assessed. However, without
a standardized scoring system, there might be significant risk of salving
dysfunctional upper limbs. LEVEL OF EVIDENCE: IV: retrospective or historical
series.
PMID- 27209034
TI - Association Mapping in Turkish Olive Cultivars Revealed Significant Markers
Related to Some Important Agronomic Traits.
AB - Olive (Olea europaea L.) is one of the most important fruit trees especially in
the Mediterranean countries due to high consumption of table olive and olive oil.
In olive breeding, the phenotypic traits associated to fruit are the key factors
that determine productivity. Association mapping has been used in some tree
species and a lot of crop plant species, and here, we perform an initial effort
to detect marker-trait associations in olive tree. In the current study, a total
of 96 olive genotypes, including both oil and table olive genotypes from Turkish
Olive GenBank Resources, were used to examine marker-trait associations. For
olive genotyping, SNP, AFLP, and SSR marker data were selected from previously
published study and association analysis was performed between these markers and
5 yield-related traits. Three different approaches were used to check for false
positive results in association tests, and association results obtained from
these models were compared. Using the model utilizing both population structure
and relative kinship, eleven associations were significant with FDR <= 0.05. The
largest number of significant associations was detected for fruit weight and
stone weight. Our results suggested that association mapping could be an
effective approach for identifying marker-trait associations in olive genotypes,
without the development of mapping populations. This study shows for the first
time the use of association mapping for identifying molecular markers linked to
important traits in olive tree.
PMID- 27209035
TI - Expression and Characterization of Hyperthermostable Exo-polygalacturonase TtGH28
from Thermotoga thermophilus.
AB - D-galacturonic acid is a potential platform chemical comprising the principal
component of pectin in the citrus processing waste stream. Several enzyme
activities are required for the enzymatic production of galacturonic acid from
pectin, including exo- and endo-polygalacturonases. The gene TtGH28 encoding a
putative GH28 polygalacturonase from Pseudothermotoga thermarum DSM 5069
(Theth_0397, NCBI# AEH50492.1) was synthesized, expressed in Escherichia coli,
and characterized. Alignment of the amino acid sequence of gene product TtGH28
with other GH28 proteins whose structures and details of their catalytic
mechanism have been elucidated shows that three catalytic Asp residues and
several other key active site residues are strictly conserved. Purified TtGH28
was dimeric and hyperthermostable, with K t (0.5) = 86.3 degrees C. Kinetic
parameters for activity on digalacturonic acid, trigalacturonic acid, and
polygalacturonic acid were obtained. No substrate inhibition was observed for
polygalacturonate, while the K si values for the oligogalacturonides were in the
low mM range, and K i for product galacturonic acid was in the low MUM range.
Kinetic modeling of the progress of reaction showed that the enzyme is both fully
exo- and fully non-processional.
PMID- 27209036
TI - DBS in Tourette syndrome: where are we standing now?
AB - Deep brain stimulation (DBS) has emerged as an established effective and safe
treatment option for a small subset of patients with severe Tourette syndrome
(TS) refractory to psychological and pharmacological treatments. Several targets
have been implicated in the study of the effects of DBS on TS symptomatology. The
targets applied for DBS in TS include the thalamus, the globus pallidus internus,
the internal capsule/nucleus accumbens, the globus pallidus externus and the
subthalamic nucleus. In the majority of studies there has been a significant
clinical benefit on tics. Nevertheless, the best target has not been defined yet.
Up until now, only five double blind randomized controlled trials have been
carried out worldwide for a total of 32 patients. Thus, the new recommendations
for DBS in TS emphasize the importance of standardized recordings of all pre-,
intra-, and postoperative data to optimize the registration of patients so that
results can be compared. Recent reports have shown that standard continuous DBS
for TS patients may not be the most optimal paradigms to pursue. Adaptive
stimulation and the use of human-computer interfaces might in the future optimize
the results of DBS in TS because of the paroxysmal nature of the disease.
PMID- 27209037
TI - Evaluation of AgClNPs@SBA-15/IL nanoparticle-induced oxidative stress and DNA
mutation in Escherichia coli.
AB - The bactericidal effects of silver nanoparticles have been demonstrated in the
past years. Recently, the new antimicrobial compounds of silver nanoparticles
with different formulations have been developed. In this work, AgClNPs@SBA-15/IL
as a new compound of Ag nanoparticles, was synthesized and characterized by XRD,
TEM, SEM, FTIR, and EDX. The antibacterial activity and the molecular mechanism
effects of AgClNPs@SBA-15/IL nanoparticles (SNPs) on Escherichia coli DH5alpha
cells were investigated by analyzing the growth inhibitory, H2O2 level, catalase
activity, DNA mutation, and plasmid copy number following treatment with
AgClNPs@SBA-15/IL nanoparticles. In experimental results, the minimum inhibitory
concentration (MIC) was observed in 75 MUg/ml and the antibacterial efficacy
(ABE) in CFU analysis was estimated 95.3 %. In bacterial cells treated with 75
and 100 MUg/ml, H2O2 level significantly increased and catalase activity
decreased compared with control. The random amplified polymorphic DNA (RAPD) was
used to evaluate the effect of AgClNPs@SBA-15/IL nanoparticles in DNA damages and
mutation in E. coli genome. RADP-PCR results indicated different banding patterns
including appearance or disappearance of bands and differences in their
intensity. Cluster analysis of the RAPD-PCR results based on genetic similarity
showed genetic difference between E. coli cells treated with AgClNPs@SBA-15/IL
nanoparticles, and control and phylogenetic tree were divided to two clusters.
Plasmid copy number analysis indicated that after 8 h incubation of E. coli cells
with 50, 75, and 100 MUg/ml AgClNPs@SBA-15/IL nanoparticles, copy number of
pET21a (+) significantly decreased compared with control which indicating DNA
replication inhibition by Ag nanoparticles. In conclusion, the results of this
study indicated that AgClNPs@SBA-15/IL nanoparticles can be used as an effective
bactericidal agent against bacterial cells.
PMID- 27209038
TI - The control of H2S in biogas using iron ores as in situ desulfurizers during
anaerobic digestion process.
AB - In this study, five kinds of iron ores, limonite, hematite, manganese ore,
magnetite and lava rock, were used as the in situ desulfurizers in the anaerobic
digestion reactors to investigate their effects on controlling H2S in biogas. The
results show that the addition of the five iron ores could significantly control
the content of H2S in biogas, with the best performance for limonite. As limonite
dosages increase (10-60 g/L), the contents of H2S in biogas were evidently
decreased in the digesters with different initial sulfate concentrations (0-1000
mg/L). After the anaerobic digestion, the removed sulfur was mostly deposited on
the surface of limonite. A possible mechanism of H2S control in biogas by
limonite was proposed preliminarily, including adsorption, FeS precipitation, and
Fe (III) oxidation. The results demonstrated that limonite was a promising in
situ desulfurizer for controlling H2S in biogas with low cost and high
efficiency.
PMID- 27209040
TI - Biotechnological methods for chalcone reduction using whole cells of
Lactobacillus, Rhodococcus and Rhodotorula strains as a way to produce new
derivatives.
AB - Microbial strains of the genera Dietzia, Micrococcus, Pseudomonas, Rhodococcus,
Gordonia, Streptomyces, Pseudomonas, Bacillus, Penicillium, Rhodotorula and
Lactobacillus were screened for the ability to convert chalcones. Synthesis of
chalcones was performed by the Claisen-Schmidt reaction. There were three groups
of chalcones obtained as the products, which included the derivatives containing
4-substituted chalcone, 2'-hydroxychalcone and 4'-methoxychalcone. The B ring of
the chalcones was substituted in the para position with different groups, such as
halide, hydroxyl, nitro, methyl, ethyl and ethoxy one. The structure-activity
relationship of the tested chalcones in biotransformation processes was studied.
It has been proven that Gram-positive bacterial strains Rhodococcus and
Lactobacillus catalyzed reduction of C=C bond in the chalcones to give respective
dihydrochalcones. The strain Rhodotorula rubra AM 82 transformed chalcones into
dihydrochalcones and respective secondary alcohols. These results suggest that
the probiotic strain of Lactobacillus can be used for biotransformations of
chalcones, which has not been described before. The structure of new metabolites
14a and 15b were established as 4-ethoxy-4'-methoxydihydrochalcone and 3-(4
bromophenyl)-1-(4'-O-methylphenyl)-2-propan-1-ol, respectively, which was
confirmed by (1)H NMR and (13)C NMR analysis.
PMID- 27209039
TI - Rhodotorula glutinis-potential source of lipids, carotenoids, and enzymes for use
in industries.
AB - Rhodotorula glutinis is capable of synthesizing numerous valuable compounds with
a wide industrial usage. Biomass of this yeast constitutes sources of
microbiological oils, and the whole pool of fatty acids is dominated by oleic,
linoleic, and palmitic acid. Due to its composition, the lipids may be useful as
a source for the production of the so-called third-generation biodiesel. These
yeasts are also capable of synthesizing carotenoids such as beta-carotene,
torulene, and torularhodin. Due to their health-promoting characteristics,
carotenoids are commonly used in the cosmetic, pharmaceutical, and food
industries. They are also used as additives in fodders for livestock, fish, and
crustaceans. A significant characteristic of R. glutinis is its capability to
produce numerous enzymes, in particular, phenylalanine ammonia lyase (PAL). This
enzyme is used in the food industry in the production of L-phenylalanine that
constitutes the substrate for the synthesis of aspartame-a sweetener commonly
used in the food industry.
PMID- 27209041
TI - Transporter and its engineering for secondary metabolites.
AB - Secondary metabolites possess a lot of biological activities, and to achieve
their functions, transmembrane transportation is crucial. Elucidation of their
transport mechanisms in the cell is critical for discovering ways to improve the
production. Here, we have summarized the recent progresses for representative
secondary metabolite transporters and also the strategies for uncovering the
transporter systems in plants and microbes. We have also discussed the
transporter engineering strategies being utilized for improving the heterologous
natural product production, which exhibits promising future under the guide of
synthetic biology.
PMID- 27209042
TI - Epidemiology of spinal cord injury without radiographic abnormality in children:
a nationwide perspective.
AB - PURPOSE: To characterize the epidemiology and costs associated with spinal cord
injury without radiographic abnormality (SCIWORA) based on patient age. METHODS:
An analysis of data complied for 2012 in the Healthcare Utilization Project KID
database (HCUP-KID), which represents a nationwide database of pediatric
admissions, was performed. An initial search identified all children diagnosed
with SCIWORA based on International Classification of Diseases, 9th edition (ICD
9) codes. Only data on patients aged <18 years were included in the analysis. The
associated codes were then searched to identify the cause of injury. Pertinent
epidemiologic data were collected from the database, including age, gender, and
racial group. Injury level and pattern were determined from the associated ICD-9
codes, as were associated injuries. Hospital data included length of stay, in
hospital mortality, total hospital charges, and primary payer. All data were
compiled and stratified based on patient age into three groups: group 1, age 0-3
years; group 2, age 4-10 years; group 3, age 11-17 years. These data were
compared using Student's t test and Chi-squared analyses. RESULTS: A total of 297
patients were identified who met the inclusion criteria. There was a slight
predominance of females among the youngest patients (53 %) with a significant
dominance of males in the oldest group (72 %) (p < 0.001). The most common race
among the patients studied was white (50 %) followed by Hispanic (14 %), Black
(12 %), Asian/Pacific Islander (4 %), and Native American (1 %). Overall, the
most common cause of injury was sports injuries, which were responsible for
122/297 (41 %) injuries, followed by motor vehicle collisions (26 %). Mechanisms
of injury were significantly varied based on age group, with motor vehicle
collisions the most common cause in the youngest two age groups and sports
injuries the most common in the oldest age group (p < 0.05). The most common
location injured was the cervical spine (46 %), with the upper cervical spine
most commonly injured, particularly in the younger age groups. Additional
injuries were found in 158/297 (53 %) of patients, and these were more common
among younger patients. Head trauma was the most common associated injury in all
age groups, but the highest rate was found the youngest age groups (p < 0.0001).
The average hospital stay for all patients was 13 days, with longer stays seen in
younger age groups (p < 0.05). In-hospital mortality was uncommon among these
patients and occurred in only 6/297 (2 %) of patients. Hospital charges were
highest in the younger age groups, with an average charge of $210,772 for those
in the youngest age group, decreasing to $72,178 for those in group 3 (p <
0.0005). The most common payer was public insurance/medicaid in the youngest age
group and private insurance in groups 2 and 3 (p < 0.0001). CONCLUSIONS: SCIWORA
is an uncommon but potentially devastating injury in children. As with many
pediatric injuries, this injury is heterogeneous between children of differing
ages. This analysis of a nationwide series of children with such injuries
identified significant differences in injury location, causes of injury,
associated injuries, and hospital charges associated with this diagnosis.
PMID- 27209043
TI - Allantoin accumulation mediated by allantoinase downregulation and transport by
Ureide Permease 5 confers salt stress tolerance to Arabidopsis plants.
AB - Allantoin, a metabolite generated in the purine degradation pathway, was
primarily considered an intermediate for recycling of the abundant nitrogen
assimilated in plant purines. More specifically, tropical legumes utilize
allantoin and allantoic acid as major nodule-to-shoot nitrogen transport
compounds. In other species, an increase in allantoin content was observed under
different stress conditions, but the underlying molecular mechanisms remain
poorly understood. In this work, Arabidopsis thaliana was used as a model system
to investigate the effects of salt stress on allantoin metabolism and to know
whether its accumulation results in plant protection. Plant seedlings treated
with NaCl at different concentrations showed higher allantoin and lower allantoic
acid contents. Treatments with NaCl favored the expression of genes involved in
allantoin synthesis, but strongly repressed the unique gene encoding allantoinase
(AtALN). Due to the potential regulatory role of this gene for allantoin
accumulation, AtALN promoter activity was studied using a reporter system. GUS
mediated coloration was found in specific plant tissues and was diminished with
increasing salt concentrations. Phenotypic analysis of knockout, knockdown and
stress-inducible mutants for AtALN revealed that allantoin accumulation is
essential for salt stress tolerance. In addition, the possible role of allantoin
transport was investigated. The Ureide Permease 5 (UPS5) is expressed in the
cortex and endodermis of roots and its transcription is enhanced by salt
treatment. Ups5 knockout plants under salt stress presented a susceptible
phenotype and altered allantoin root-to-shoot content ratios. Possible roles of
allantoin as a protectant compound in oxidative events or signaling are
discussed.
PMID- 27209044
TI - Cultural Competency Training to Increase Minority Enrollment into Radiation
Therapy Clinical Trials-an NRG Oncology RTOG Study.
AB - Despite initiatives to increase the enrollment of racial and ethnic minorities
into cancer clinical trials in the National Cancer Institute National Cancer
Clinical Trials Network (NCCTN), participation by Latino and African American
populations remain low. The primary aims of this pilot study are (1) to develop a
Cultural Competency and Recruitment Training Program (CCRTP) for physician
investigators and clinical research associates (CRAs), (2) to determine if the
CCRTP increases cultural competency scores among physician investigators and
CRAs, and (3) to determine the impact of the CCRTP on minority patient
recruitment into NRG Oncology Radiation Therapy Oncology Group (RTOG) clinical
trials. Sixty-seven CRAs and physicians participated in an in-person or online 4
h CRRTP training. Five knowledge and attitude items showed significant
improvements from pre- to post-training. A comparison between enrolling sites
that did and did not participate in the CCRTP demonstrated a pre to 1-year post
incremental increase in minority accrual to clinical trials of 1.2 % among
participating sites. While not statistically significant, this increase
translated into an additional 300 minority patients accrued to NCCTN clinical
trials in the year following the training from those sites who participated in
the training.
PMID- 27209045
TI - Rituximab associated late-onset neutropenia-a rheumatology case series and review
of the literature.
AB - Recently in the rheumatology literature, Rituximab (RTX) has been associated with
late-onset neutropenia (LON), defined as an absolute neutrophil count (ANC) <1.5
* 10(9)/L at least 4 weeks after the last infusion. We determined the incidence
of LON in patients with rheumatic disease at a single tertiary medical center,
ascertained patient characteristics including results of bone marrow biopsies
performed on four neutropenic patients, and performed a literature review. The
incidence at our institution was 6.5 %, similar to that reported in the
literature. Bone marrow biopsies from four neutropenic patients had the
predominant cell line as lymphocytes, comprising an average of 41 % (range 24-50
%) of the cellular aspirate suggesting that there is a selective reduction in
granulopoiesis and maturation arrest at the promyelocyte stage. Sixty percent of
patients presented without serious infections, and all survived without adverse
sequelae. Treatment with granulocyte colony-stimulating factor shortened time to
recover of ANC but did not change overall outcomes. Among 25 patients re
challenged with RTX after resolution of LON, only two developed recurrence of
LON. Among patients at our institution and identified from a review of the
literature, LON is not usually associated with serious infections that lead to
significant adverse outcomes, G-CSF therapy does not appear to be necessary as it
does not change outcomes, and RTX re-treatment after recovery from LON appears
safe.
PMID- 27209046
TI - Experiential avoidance versus decentering abilities: the role of different
emotional processes on disordered eating.
AB - In modern western societies, the female body is a predominantly used dimension in
self and social evaluations. In fact, the perceived discrepancy between one's
current and ideal body image may act as a pathogenic phenomenon on women's well
being. Furthermore, significant differences in the tendency to engage in
disordered eating attitudes and behaviours have been verified between women
sharing similar characteristics and perceptions about body's weight and shape,
which suggests that different emotion regulation processes may be involved in
this association. This study thus aims to clarify the mediational effect of two
different emotional regulation processes, experiential avoidance and decentering,
on the association of weight and body shape-related variables and shame with
disordered eating, in a sample of 760 women. The tested path model explained 44 %
of disordered eating attitudes and behaviours, and showed an excellent model fit.
Results demonstrated that body mass index had a direct effect, albeit weak, on
disordered eating behaviours, and that body-image discrepancy and shame presented
indirect effects through the mechanisms of experiential avoidance and
decentering. Results also revealed that experiential avoidance and decentering
showed significant mediator effects on the relationship of weight and body shape
and shame with disordered eating behaviours. These findings suggested that while
experiential avoidance exacerbates the impact of weight and body shape and shame
on disordered eating attitudes and behaviours, decentering seems to attenuate
this association. Our findings appear to offer significant clinical and research
implications, highlighting the importance of targeting maladaptive emotion
processes and of the development of decentering abilities.
PMID- 27209047
TI - Esophageal lymph node metastasis causing airway obstruction.
PMID- 27209048
TI - Immunosuppressive activities of adenosine in cancer.
AB - Multiple immunosuppressive mechanisms impede anti-tumor immunity. Among them, the
accumulation of extracellular adenosine is a potent and widespread strategy
exploited by tumors to escape immunosurveillance through the activation of
purinergic receptors. In the immune system, engagement of A2a and A2b adenosine
receptors is a critical regulatory mechanism that protects tissues against
excessive immune reactions. In tumors, this pathway is hijacked and hinders anti
tumor immunity, promoting cancer progression. Different groups have highlighted
the therapeutic potential of blocking CD73-dependent adenosine-mediated
immunosuppression to reinstate anti-tumor immunity. Phase clinical trials
evaluating anti-CD73 antibodies and A2a receptor antagonists in cancer patients
are currently ongoing. We here review the recent literature on the
immunosuppressive effects of extracellular adenosine and discuss the development
of adenosine inhibitors.
PMID- 27209049
TI - Development of health literacy scale among Brazilian mothers in Japan.
AB - Japanese-Brazilians were the third largest immigrant group in Japan in 2011.
Their health issues have caused concern, as their limited language made them
vulnerable by hindering access to health services. Upon considering child health,
mothers' health literacy (HL) is very important. This study aimed to develop a
health literacy scale among Brazilian mothers (HLSBM) in Japan. Questionnaires in
Portuguese were distributed to 1474 mothers from December 2011 to March 2012.
Among 698 collected, 558 questionnaires were analyzed. We prepared 29 candidate
items for HLSBM based on Nutbeam's concept of functional, interactive and
critical literacy. The dimensional structure was determined statistically using
confirmatory factor analysis. Validity was also analyzed by Pearson's correlation
with Ishikawa's scale and Kendall's coefficient of concordance among researchers.
Cronbach's alpha coefficients were calculated to examine internal consistency.
The confirmatory factor analysis revealed a two-factor model (five items for
basic literacy and five items for critical literacy) with sufficient goodness of
fit (GFI 969, AGFI 945, NFI 959, CFI 972, RMSEA 060). The internal consistency
values of the total score, basic and critical literacy sub-scales were 0.819,
0.889 and 0.667, respectively. Kendall's coefficient of concordance showed good
agreement of researchers (p < 0.001). Pearson's correlation coefficients with
Ishikawa's scale were 0.554 for total score, 0.446 for basic literacy and 0.472
for critical literacy. The HLSBM consisting of two factors was confirmed to be
valid and reliable. The HLSBM must be useful for understanding this vulnerable
group's health literacy and its associated factors.
PMID- 27209050
TI - Tailoring Lipid and Polymeric Nanoparticles as siRNA Carriers towards the Blood
Brain Barrier - from Targeting to Safe Administration.
AB - Blood-brain barrier is a tightly packed layer of endothelial cells surrounding
the brain that acts as the main obstacle for drugs enter the central nervous
system (CNS), due to its unique features, as tight junctions and drug efflux
systems. Therefore, since the incidence of CNS disorders is increasing worldwide,
medical therapeutics need to be improved. Consequently, aiming to surpass blood
brain barrier and overcome CNS disabilities, silencing P-glycoprotein as a drug
efflux transporter at brain endothelial cells through siRNA is considered a
promising approach. For siRNA enzymatic protection and efficient delivery to its
target, two different nanoparticles platforms, solid lipid (SLN) and poly-lactic
co-glycolic (PLGA) nanoparticles were used in this study. Polymeric PLGA
nanoparticles were around 115 nm in size and had 50 % of siRNA association
efficiency, while SLN presented 150 nm and association efficiency close to 52 %.
Their surface was functionalized with a peptide-binding transferrin receptor, in
a site-oriented manner confirmed by NMR, and their targeting ability against
human brain endothelial cells was successfully demonstrated by fluorescence
microscopy and flow cytometry. The interaction of modified nanoparticles with
brain endothelial cells increased 3-fold compared to non-modified lipid
nanoparticles, and 4-fold compared to non-modified PLGA nanoparticles,
respectively. These nanosystems, which were also demonstrated to be safe for
human brain endothelial cells, without significant cytotoxicity, bring a new
hopeful breath to the future of brain diseases therapies.
PMID- 27209051
TI - Interleukin-17A expression in human synovial mast cells in rheumatoid arthritis
and osteoarthritis.
AB - BACKGROUND: Interleukin (IL)-17A plays a pivotal role in the pathogenesis of
rheumatoid arthritis (RA). The expression of IL-17A in synovial mast cells (MCs)
in RA and osteoarthritis (OA) has been reported, but the frequencies of IL-17A
expression in synovial MCs have varied. The aim of this study was to investigate
whether IL-17A expression is upregulated in human synovial MCs in RA and to
elucidate the mechanism of IL-17A expression in synovial MCs. METHODS: Synovial
tissues were obtained from patients with RA or OA undergoing joint replacement
surgery, and synovial MCs were enzymatically dispersed. Synovium-derived cultured
MCs were generated by culturing synovial cells with stem cell factor. IL-17A
expression was investigated using immunofluorescence in synovial tissues. IL-17A
mRNA expression and its production from MCs were examined using RT-PCR and ELISA,
respectively. RESULTS: The number of IL-17A-positive ((+)) synovial MCs and the
percentage of IL-17A(+) MCs among all the IL-17A(+) cells from RA patients were
not significantly increased compared with those from OA subjects. The synovium
derived cultured MCs spontaneously released small amounts of IL-17A. Neither IgE-
nor IgG-dependent stimulation increased IL-17A production from the MCs. IL-33,
tumor necrosis factor-alpha, C5a, lipopolysaccharide or IL-23 plus IL-1beta did
not affect IL-17A production in MCs. CONCLUSIONS: The synovial MCs are not a main
source of IL-17A in RA.
PMID- 27209053
TI - [Why is perinatal and infant mortality high in the Seine-Saint-Denis district? A
consultation with healthcare providers using a Delphi process].
AB - OBJECTIVE: In Seine-Saint-Denis, stillbirth and infant mortality rates are
markedly higher than in other French departments. Before implementing an audit on
stillbirths and neonatal deaths in 2014, we carried out a Delphi consensus
process with healthcare providers to generate research hypotheses. MATERIALS AND
METHODS: A Delphi process in 3 questionnaires was conducted in 2013 with 32
healthcare providers (pediatricians, obstetricians, general practitioners,
midwives, social workers, psychologists, pediatric nurses) and user
representatives. The first questionnaire asked open questions about why mortality
rates were higher and possible solutions to remedy the situation. In subsequent
questionnaires, the panel ranked factors identified in the first questionnaires
by importance. RESULTS: One hundred and thirty factors were identified from 42
pages of text responses in the first round. From these, the 75 most highly ranked
were grouped into 14 main topics organized around three themes: 1) more
underlying health problems in the population, 2) access and organization of care,
3) the health consequences of poor socioeconomic conditions. Coordination of
care, provider and patient communication, and access to care were highlighted.
CONCLUSION: The Delphi consensus process identified a wide range of hypotheses
for the higher mortality in Seine-Saint-Denis which are adapted to the local
context and based on the concerns of health practitioners.
PMID- 27209052
TI - TIM-3 is not essential for development of airway inflammation induced by house
dust mite antigens.
AB - BACKGROUND: T cell immunoglobulin domain and mucin domain-containing molecule 3
(TIM-3), which is preferentially expressed on Th1 cells rather than Th2 cells, is
considered to be a negative regulator of Th1 cell function. This suggests that
TIM-3 indirectly enhances Th2-type immune responses by suppressing Th1 cell
function. METHODS: To investigate TIM-3's possible involvement in Th2-type acute
and chronic airway inflammation, wild-type and TIM-3-deficient (TIM-3-/-) mice
were sensitized and challenged with a house dust mite (HDM) extract. Airway
inflammation and the number of inflammatory cells in bronchoalveolar lavage
fluids (BALFs) in the mice were determined by histological analysis and with a
hemocytometer, respectively. Expression of mRNA in the lungs was determined by
quantitative PCR, while the levels of cytokines in the BALFs and IgE in sera were
determined by ELISA. RESULTS: Despite constitutive expression of TIM-3 mRNA in
the lungs, the number of eosinophils in bronchoalveolar lavage fluids (BALFs) and
the score of pulmonary inflammation were comparable between wild-type and TIM-3-/
mice during both acute and chronic HDM-induced airway inflammation. On the other
hand, the number of lymphocytes in the BALFs of TIM-3-/- mice was significantly
increased compared with wild-type mice during HDM-induced chronic, but not acute,
airway inflammation, while the levels of Th2 cytokines in the BALFs and HDM
specific IgG1 and IgG2a and total IgE in the sera were comparable in both groups.
CONCLUSIONS: Our findings indicate that, in mice, TIM-3 is not essential for
development of HDM-induced acute or chronic allergic airway inflammation,
although it appears to be involved in reduced lymphocyte recruitment during HDM
induced chronic allergic airway inflammation.
PMID- 27209054
TI - UPLC-MS-MS Method for the Determination of Vilazodone in Human Plasma:
Application to a Pharmacokinetic Study.
AB - A sensitive, rapid and simple liquid chromatographic-electrospray ionization
tandem mass spectrometric (LC-ESI-MS-MS) method was developed for the
quantitative determination of vilazodone in human plasma and for the study of the
pharmacokinetic behavior of vilazodone in healthy Egyptian volunteers. With
escitalopram as internal standard (IS), liquid-liquid extraction was used for the
purification and preconcentration of analytes from human plasma matrix using
diethyl ether. The separation was performed on an Acquity UPLC BEH shield RP C18
column (1.7 um, 2.1 * 150 mm). Isocratic elution was applied using methanol-0.2%
formic acid (90:10, v/v). Detection was performed on a triple-quadrupole tandem
mass spectrometer with multiple reaction monitoring mode via an electrospray
ionization source at m/z 442.21 -> 155.23 for vilazodone and m/z 325.14 -> 109.2
for escitalopram. Linear calibration curves were obtained over the range of 1-200
ng/mL with the lower limit of quantification at 1 ng/mL. The intra- and inter-day
precision showed relative standard deviation <=3.3%. The total run time was 1.5
min. This method was successfully applied for clinical pharmacokinetic
investigation, and a preliminary metabolic study was also carried out.
PMID- 27209055
TI - Isolation demand from carbapenemase-producing Enterobacteriaceae screening
strategies based on a West London hospital network.
AB - OBJECTIVE: To estimate the isolation demands arising from high-risk specialty
based screening for carbapenemase-producing Enterobacteriaceae (CPE), and the
potential fraction of CPE burden detected. METHODS: Clinical specialty groups
from three London hospitals were ranked by incidence of carbapenem resistance
among Escherichia coli and Klebsiella spp. Contact precaution bed-days were
estimated for three screening strategies: Strategy 1, 'circulation science and
renal medicine'; Strategy 2, Strategy 1 plus 'specialist services'; and Strategy
3, Strategy 2 plus 'private patients'. Isolation bed occupancy rates and
potential CPE detection rates were estimated. RESULTS: Of 99,105 admissions to
the three hospitals in Financial Year 2014/15, Strategies 1, 2 and 3 would have
screened 4371 (4.4%), 7482 (7.6%), and 13,542 (13.7%) patients, respectively. The
specialties' isolation bed occupancy rates varied between 3% and 696% depending
on strategy, number of consecutive tests, and whether or not pre-emptive
isolation had been applied. Expected detection rates of the potential CPE burden
in the hospital network would have varied between 17.1% and 47.5%. CONCLUSIONS:
High-risk specialty-based screening has the potential to detect nearly half of
the potential CPE burden, and would be more pragmatic than patient-level risk
factor-based screening. Pre-emptive isolation increases isolation requirements
substantially. CPE screening strategies need to balance risk and resources.
PMID- 27209056
TI - Economic burden of primary compared with recurrent Clostridium difficile
infection in hospitalized patients: a prospective cohort study.
AB - BACKGROUND: Few studies have investigated the additional healthcare costs of
recurrent C. difficile infection (CDI). AIM: To quantify inpatient treatment
costs for CDI and length of stay among hospitalized patients with primary CDI
only, compared with CDI patients who experienced recurrent CDI. METHODS: This was
a prospective, observational cohort study of hospitalized adult patients with
primary CDI followed for three months to assess for recurrent CDI episodes. Total
and CDI-attributable hospital length of stay (LOS) and hospitalization costs were
compared among patients who did or did not experience at least one recurrent CDI
episode. FINDINGS: In all, 540 hospitalized patients aged 62+/-17 years (42%
males) with primary CDI were enrolled, of whom 95 patients (18%) experienced 101
recurrent CDI episodes. CDI-attributable median (interquartile range) LOS and
costs (in US$) increased from 7 (4-13) days and $13,168 (7,525-24,456) for
patients with primary CDI only versus 15 (8-25) days and $28,218 (15,050-47,030)
for patients with recurrent CDI (P<0.0001, each). Total hospital median LOS and
costs increased from 11 (6-22) days and $20,693 (11,287-41,386) for patients with
primary CDI only versus 24 (11-48) days and $45,148 (20,693-82,772) for patients
with recurrent CDI (P<0.0001, each). The median cost of pharmacological treatment
while hospitalized was $60 (23-200) for patients with primary CDI only (N=445)
and $140 (30-260) for patients with recurrent CDI (P=0.0013). CONCLUSION: This
study demonstrated that patients with CDI experience a significant healthcare
economic burden attributed to CDI. Economic costs and healthcare burden increased
significantly for patients with recurrent CDI.
PMID- 27209057
TI - Patient-related risk factors for surgical site infection following eight types of
gastrointestinal surgery.
AB - OBJECTIVE: To identify patient-related risk factors for surgical site infection
(SSI) following eight types of gastrointestinal surgery that could be collected
as part of infection surveillance efforts. DESIGN: Record linkage from existing
datasets comprising the Japan Nosocomial Infections Surveillance (JANIS) and
Diagnosis Procedure Combination (DPC) programmes. METHODS: Patient data from 35
hospitals were retrieved using JANIS and DPC from 2007 to 2011. Patient-related
factors and the incidence of SSI were recorded and analysed. Risk factors
associated with SSI were examined using multi-level mixed-effects logistic
regression models. RESULTS: In total, 2074 appendectomies; 2084 bile duct, liver
or pancreatic procedures; 3460 cholecystectomies; 7273 colonic procedures; 482
oesophageal procedures; 4748 gastric procedures; 2762 rectal procedures and 1202
small bowel procedures were analysed. Using multi-variate analyses, intra
operative blood transfusion was found to be a risk factor for SSI following all
types of gastrointestinal surgery, except appendectomy and small bowel surgery.
In addition, diabetes was found to be a risk factor for SSI following colon
surgery [odds ratio (OR) 1.23, P=0.028] and gastric surgery (OR 1.70, P<0.001).
Use of steroids was significantly associated with a higher incidence of SSI
following cholecystectomy (OR 2.83, P=0.003) and colon surgery (OR 1.27,
P=0.040). CONCLUSIONS: Intra-operative blood transfusion, diabetes and use of
steroids are risk factors for SSI following gastrointestinal surgery, and should
be included as part of SSI surveillance for these procedures.
PMID- 27209058
TI - Clinical Features of Liver Cancer with Cerebral Hemorrhage.
AB - BACKGROUND Cerebral hemorrhage is common in patients with cancer, but the
clinical features and pathogenesis of liver cancer patients with cerebral
hemorrhage are not well known. MATERIAL AND METHODS Liver cancer patients who
developed cerebral hemorrhage were recruited from the First Affiliated Hospital
of Guangxi Medical University between January 2003 and December 2014. We
retrospectively analyzed clinical presentations, results of laboratory tests, and
imaging examinations. The clinical features and pathogenesis were summarized.
RESULTS Among 11133 patients with liver cancer, 9 patients (0.08%), including 3
females and 6 males met the inclusion criteria. The age range was 48-73 years and
the average age was 61.67+/-8.97 years. Five patients did not have traditional
hemorrhage risk factors and 4s had the risk factors; however, all had developed
hepatocellular carcinoma, and 3 had developed metastasis. All 9 patients showed
elevated tumor markers: an increased AFP level was detected in 6 patients,
coagulation dysfunctions in 8 patients, and abnormal liver functions in 6
patients. Five patients had developed cerebral hemorrhagic lesions in the lobes
of their brains, while hemorrhagic lesions in the basal ganglia occurred in 3
patients and in the brainstem in only 1 patient. Four patients had clear
consciousness, while 5 patients were in coma and showed poor prognosis.
CONCLUSIONS Patients who have liver cancer complicated with cerebral hemorrhage
usually lack traditional risk factors of cerebral hemorrhage. The site of
cerebral hemorrhage is often detected in the lobes of the brain. Coagulation
dysfunctions might be the main pathogenesis of liver cancer complicated with
cerebral hemorrhage.
PMID- 27209059
TI - Does stinging nettle (Urtica dioica) have an effect on bone formation in the
expanded inter-premaxillary suture?
AB - OBJECTIVE: To determine whether systemically given stinging nettle (SN) has an
effect on bone formation in response to expansion of the rat inter-premaxillary
suture. MATERIALS AND METHODS: A total of 28 male Wistar albino rats were
randomly divided into 4 equal groups: control (C), only expansion (OE), SN
extract given only during the expansion and retention periods (SN group; a total
of 17days), and SN extract given during the nursery phase before expansion (a
period of 40days) and during the expansion and retention periods (N+SN group; a
total of 57days). After the 5-day expansion period was completed, the rats in the
OE, SN, and N+SN groups underwent 12days of mechanical retention, after which
they were sacrificed, and their premaxilla were dissected and fixed. A histologic
evaluation was done to determine the number of osteoblasts, osteoclasts, and
capillaries, as well as the number and intensity of inflammatory cells and new
bone formation. RESULTS: Statistically significant differences were found between
the groups in all histologic parameters except the ratio of intensities of
inflammatory cells. New bone formation and the number of capillaries were
significantly higher in the SN groups than in the other groups. The statistical
analysis also showed that the numbers of osteoblasts, osteoclasts, and
capillaries were highest in the N+SN group. CONCLUSION: Systemic administration
of SN may be effective in accelerating new bone formation and reducing
inflammation in the maxillary expansion procedure. It may also be beneficial in
preventing relapse after the expansion procedure.
PMID- 27209060
TI - Accuracy of clinical and electrophysiological criteria for diagnosing amyotrophic
lateral sclerosis.
PMID- 27209061
TI - The usefulness of diffusion tensor tractography for estimating the state of
corticobulbar tract in stroke patients.
PMID- 27209062
TI - Culturally and linguistically diverse women.
AB - The proportion of women from culturally and linguistically diverse backgrounds is
growing in Australia. Synthesising existing evidence concerning the views and
experiences of these women in accessing sexual and reproductive health care is
crucial to future policy and service development. A systematic review of
scientific articles and grey literature published in English between 1990 and
2015 was conducted to identify the barriers and facilitators in accessing sexual
and reproductive health care in Australia experienced by culturally and
linguistically diverse women. The search strategy covered seven electronic
databases (ProQuest, PubMed, EMBASE/Elsevier, SCOPUS, PsycINFO, CINAHL and
Infomit) and websites. Thematic analysis methodology was used to analyse and
interpret the data extracted from individual studies. From the 1401 potentially
relevant articles identified, 22 articles that represent the views and
experiences of 1943 culturally and linguistically diverse women in accessing
sexual and reproductive health care in Australia were reviewed. The main barriers
and facilitators identified were grouped into three major themes. These include
personal level experiences of accessing health care, women's interaction with the
healthcare system and women's experience with healthcare providers. Implications
for clinical practice and future research are discussed based on the findings of
the review.
PMID- 27209064
TI - Antiphospholipid antibodies detected by line immunoassay differentiate among
patients with antiphospholipid syndrome, with infections and asymptomatic
carriers.
AB - BACKGROUND: Antiphospholipid antibodies (aPL) can be detected in asymptomatic
carriers and infectious patients. The aim was to investigate whether a novel line
immunoassay (LIA) differentiates between antiphospholipid syndrome (APS) and
asymptomatic aPL+ carriers or patients with infectious diseases (infectious
diseases controls (IDC)). METHODS: Sixty-one patients with APS (56 primary, 22/56
with obstetric events only, and 5 secondary), 146 controls including 24 aPL+
asymptomatic carriers and 73 IDC were tested on a novel hydrophobic solid phase
coated with cardiolipin (CL), phosphatic acid, phosphatidylcholine,
phosphatidylethanolamine, phosphatidylglycerol, phosphatidylinositol,
phosphatidylserine, beta2-glycoprotein I (beta2GPI), prothrombin, and annexin V.
Samples were also tested by anti-CL and anti-beta2GPI ELISAs and for lupus
anticoagulant activity. Human monoclonal antibodies (humoAbs) against human
beta2GPI or PL alone were tested on the same LIA substrates in the absence or
presence of human serum, purified human beta2GPI or after CL-micelle absorption.
RESULTS: Comparison of LIA with the aPL-classification assays revealed good
agreement for IgG/IgM abeta2GPI and aCL. Anti-CL and anti-beta2GPI IgG/IgM
reactivity assessed by LIA was significantly higher in patients with APS versus
healthy controls and IDCs, as detected by ELISA. IgG binding to CL and beta2GPI
in the LIA was significantly lower in aPL+ carriers and Venereal Disease Research
Laboratory test (VDRL) + samples than in patients with APS. HumoAb against domain
1 recognized beta2GPI bound to the LIA-matrix and in anionic phospholipid (PL)
complexes. Absorption with CL micelles abolished the reactivity of a PL-specific
humoAb but did not affect the binding of anti-beta2GPI humoAbs. CONCLUSIONS: The
LIA and ELISA have good agreement in detecting aPL in APS, but the LIA
differentiates patients with APS from infectious patients and asymptomatic
carriers, likely through the exposure of domain 1.
PMID- 27209065
TI - Medical student use of communication elements and association with patient
satisfaction: a prospective observational pilot study.
AB - BACKGROUND: Effective communication with patients impacts clinical outcome and
patient satisfaction. We measure the rate at which medical students use six
targeted communication elements with patients and association of element use with
patient satisfaction. METHODS: Participants included fourth year medical students
enrolled in an emergency medicine clerkship. A trained observer measured use of
six communication elements: acknowledging the patient by name, introducing
themselves by name, identifying their role, explaining the care plan, explaining
that multiple providers would see the patient, and providing an estimated
duration of time in the emergency department. The observer then conducted a
survey of patient satisfaction with the medical student encounter. RESULTS: A
total of 246 encounters were documented among forty medical student participants.
For the six communication elements evaluated, in 61% of encounters medical
students acknowledged the patient, in 91% they introduced themselves, in 58 %
they identified their role as a student, in 64% they explained the care plan, in
80% they explained that another provider would see the patient, and in only 6%
they provided an estimated duration of care. Only 1 encounter (0.4%) contained
all six elements. Patients' likelihood to refer a loved one to that ED was
increased when students acknowledged the patient and described that other
providers would be involved in patient care (P = 0.016 and 0.015 respectively,
Chi Square). Likewise, patients' likelihood to return to the ED was increased
when students described their role in patient care (P = 0.035, Chi Square).
CONCLUSIONS: This pilot study demonstrates that medical students infrequently use
all targeted communication elements. When they did use certain elements, patient
satisfaction increased. These data imply potential benefit to additional training
for students in patient communication.
PMID- 27209063
TI - High efficacy of artemether-lumefantrine and declining efficacy of artesunate +
sulfadoxine-pyrimethamine against Plasmodium falciparum in Sudan (2010-2015):
evidence from in vivo and molecular marker studies.
AB - BACKGROUND: The present paper reports on studies that evaluated artesunate +
sulfadoxine-pyrimethamine (AS + SP) which is the first-line drug and artemether
lumefantrine (AL) which is a second-line drug against uncomplicated falciparum
malaria in Sudan. This evaluation was performed in twenty studies covering six
sentinel sites during five successive annual malaria transmission seasons from
2010 to 2015. METHODS: The standard World Health Organization protocol was used
for a follow-up period of 28 days. The frequency distribution of molecular
markers for antifolate resistance in dihydrofolate reductase (dhfr) and
dihydropteroate synthase (dhps) genes was studied in pre-treatment samples in
four sites in 2011. RESULTS: In the nine studies of AL conducted at five sites (n
= 595), high PCR-corrected cure rates were found, ranging from 96.8 to 100 %.
Among the eleven studies of AS + SP (n = 1013), a decline in the PCR-corrected
cure rates was observed in Gedaref in Eastern Sudan: 91.0 % in the 2011-12 season
and 86.5 % in the 2014-15 season. In the remaining sites, the AS + SP cure rates
ranged between 95.6 and 100 %. The rate of clearance of microscopic
gametocytaemia after treatment was not significantly different with AL or AS + SP
on days 7, 14, 21 and 28 of follow-up. A total of 371 pre-treatment samples were
analysed for molecular markers of SP resistance. The temporal changes and
geographical differences in the frequency distribution of SP-resistance genotypes
showed evidence of regional differentiation and selection of resistant strains.
CONCLUSION: The findings of this study call for a need to review the Sudan
malaria treatment policy. Epidemiological factors could play a major role in the
emergence of drug-resistant malaria in eastern Sudan. AUSTRALIAN NEW ZEALAND
CLINICAL TRIALS REGISTRY: Trial registration numbers 2011-2012:
ACTRN12611001253998, 2013-2015: ACTRN12613000945729.
PMID- 27209066
TI - Chronic Cystoisospora belli infection in an immunocompetent Myanmar refugee -
microscopy is not sensitive enough.
AB - BACKGROUND: Cystoisosporiasis is an opportunistic infection seen more commonly in
patients with acquired immunodeficiency syndrome. Although uncommon,
Cystoisospora infection can occur in immunocompetent individuals but tend to be
benign and self-limiting. Chronic infection however, has been described but
diagnosis can often be challenging and requires a high clinical index of
suspicion. CASE PRESENTATION: We present a case of delayed diagnosis of
Cystoisospora belli (C. belli) in an immunocompetent 28-year-old refugee from
Myanmar. She had a history of chronic diarrhea where exhaustive investigations
over many years failed to reveal a diagnosis. Cystoisospora belli cysts were
finally detected in stool 4 years after investigation commenced, and PCR testing
on stored colon biopsies amplified a molecular product with 99 % sequence
homology to C. belli. The patient improved promptly with trimethoprim
sulfamethoxazole treatment. CONCLUSION: In the appropriate clinical context we
suggest molecular testing for C. belli or an empirical therapeutic trial.
PMID- 27209067
TI - Associations of specific phobia and its subtypes with physical diseases: an adult
community study.
AB - BACKGROUND: Specific phobia is the most prevalent anxiety disorder in the
community and is associated with substantial impairment. Comorbidity with
physical diseases is assumed and has important implications for etiology,
treatment, or prevention of the comorbid conditions. However, due to
methodological issues data are limited and subtypes of specific phobia have not
been investigated yet. We examined the association of specific phobia and its
subtypes with physical diseases in a representative community sample with
physician-diagnosed physical diseases and diagnostic criteria of specific phobia.
METHODS: Data of the German Mental Health Survey from 4181 subjects aged 18-65
years were used. Specific phobia was diagnosed using M-CIDI/DIA-X interview;
physical diseases were assessed through a self-report questionnaire and a medical
interview. Logistic regression analyses adjusted for sex were calculated.
RESULTS: Specific phobia was associated with cardiac diseases, gastrointestinal
diseases, respiratory diseases, arthritic conditions, migraine, and thyroid
diseases (odds ratios between 1.49 and 2.53). Among the subtypes, different
patterns of associations with physical diseases were established. The findings
were partially replicated in the Swiss PsyCoLaus Study. CONCLUSIONS: Our analyses
show that subjects with specific phobia have an increased probability for
specific physical diseases. From these analyses etiological mechanisms of
specific phobia and physical disease can be deduced. As subtypes differed in
their patterns of associations with physical diseases, different etiological
mechanisms may play a role. The findings are highly relevant for public health in
terms of prevention and therapy of the comorbid conditions.
PMID- 27209068
TI - Decrease of fear avoidance beliefs following person-centered progressive
resistance exercise contributes to reduced pain disability in women with
fibromyalgia: secondary exploratory analyses from a randomized controlled trial.
AB - BACKGROUND: Fibromyalgia (FM) is characterized by persistent widespread pain,
increased pain sensitivity and tenderness. Women with FM also report disability,
in terms of negative consequences on activities of daily living. Our recent
randomized controlled trial (RCT) is the first study of resistance exercise to
show positive effects on pain disability. The resistance exercise program of our
RCT emphasized active involvement of participants in planning and progression of
the exercise, using the principles of person-centeredness, to support each
participant's ability to manage the exercise and the progress of it. The aim of
this sub-study was to investigate explanatory factors for reduced pain disability
in women with FM participating in a 15-week person-centered progressive
resistance exercise program. METHODS: A total of 67 women with FM were included
in this sub-study of an RCT examining the effects of person-centered progressive
resistance exercise performed twice a week for 15 weeks. Tests of physical
capacity and health-related questionnaires were assessed at baseline and after
the intervention period. Multivariable stepwise regression was used to analyze
explanatory factors for improvements in pain disability. RESULTS: Reduced pain
disability was explained by higher pain disability at baseline together with
decreased fear avoidance beliefs about physical activity (R (2) = 28, p = 0.005).
The improvements in the disability domains of recreation and social activity were
explained by decreased fear avoidance beliefs about physical activity together
with higher baseline values of each disability domain respectively (R (2) = 32, p
= 0.025 and R (2) = 30, p = 0.017). The improvement in occupational disability
was explained by higher baseline values of occupational disability (R (2) = 19, p
= 0.001). CONCLUSION: The person-centered resistance exercise intervention, based
on principles of self-efficacy, had a positive effect on recreational, social and
occupational disability. The reduced pain disability seemed to be mediated by
decreased fear avoidance beliefs. Age, symptom duration, pain intensity, and
muscle strength at baseline had no explanatory value for reduced pain disability,
indicating that the person-centered resistance exercise program has the potential
to work for anyone with FM who has interest in physical exercise. The trial was
registered on October 21, 2010 with ClinicalTrials.gov identification number:
NCT01226784 .
PMID- 27209069
TI - Accelerated hyperfractionation plus temozolomide in glioblastoma.
AB - INTRODUCTION: Hyperfractionated (HFRT) or accelerated hyperfractionated
radiotherapy (AHFRT) have been discussed as a potential treatment for
glioblastoma based on a hypothesized reduction of late radiation injury and
prevention of repopulation. HFRT and AHFRT have been examined extensively in the
pre-Temozolomide era with inconclusive results. In this study we examined the
role of accelerated hyperfractionation in the Temozolomide era. MATERIALS AND
METHODS: Sixty-four patients who underwent AHFRT (62 of which received
Temozolomide) were compared to 67 patients who underwent normofractionated
radiotherapy (NFRT) (64 of which received TMZ) between 02/2009 and 10/2014.
Follow-up data were analyzed until 01/2015. RESULTS: Median progression-free
survival (PFS) was 6 months for the entire cohort. For patients treated with NFRT
median PFS was 7 months, for patients treated with AHFRT median PFS was 6 months.
Median overall survival (OS) was 13 months for all patients. For patients treated
with NFRT median OS was 15 months, for patients treated with AHFRT median OS was
10 months. The fractionation regimen was not a predictor of PFS or OS in
univariable- or multivariable analysis. There was no difference in acute toxicity
profiles between the two treatment groups. CONCLUSIONS: Univariable and
multivariable analysis did not show significant differences between NFRT and
AHFRT fractionation regimens in terms of PFS or OS. The benefits are immanent:
the regimen does significantly shorten hospitalization time in a patient
collective with highly impaired life expectancy. We propose that the role of
AHFRT + TMZ should be further examined in future prospective trials.
PMID- 27209070
TI - Case-control study of mammographic density and breast cancer risk using processed
digital mammograms.
AB - BACKGROUND: Full-field digital mammography (FFDM) has largely replaced film
screen mammography in the US. Breast density assessed from film mammograms is
strongly associated with breast cancer risk, but data are limited for processed
FFDM images used for clinical care. METHODS: We conducted a case-control study
nested among non-Hispanic white female participants of the Research Program in
Genes, Environment and Health of Kaiser Permanente Northern California who were
aged 40 to 74 years and had screening mammograms acquired on Hologic FFDM
machines. Cases (n = 297) were women with a first invasive breast cancer
diagnosed after a screening FFDM. For each case, up to five controls (n = 1149)
were selected, matched on age and year of FFDM and image batch number, and who
were still under follow-up and without a history of breast cancer at the age of
diagnosis of the matched case. Percent density (PD) and dense area (DA) were
assessed by a radiological technologist using Cumulus. Conditional logistic
regression was used to estimate odds ratios (ORs) for breast cancer associated
with PD and DA, modeled continuously in standard deviation (SD) increments and
categorically in quintiles, after adjusting for body mass index, parity, first
degree family history of breast cancer, breast area, and menopausal hormone use.
RESULTS: Median intra-reader reproducibility was high with a Pearson's r of 0.956
(range 0.902 to 0.983) for replicate PD measurements across 23 image batches. The
overall mean was 20.02 (SD, 14.61) for PD and 27.63 cm(2) (18.22 cm(2)) for DA.
The adjusted ORs for breast cancer associated with each SD increment were 1.70
(95 % confidence interval, 1.41-2.04) for PD, and 1.54 (1.34-1.77) for DA. The
adjusted ORs for each quintile were: 1.00 (ref.), 1.49 (0.91-2.45), 2.57 (1.54
4.30), 3.22 (1.91-5.43), 4.88 (2.78-8.55) for PD, and 1.00 (ref.), 1.43 (0.85
2.40), 2.53 (1.53-4.19), 2.85 (1.73-4.69), 3.48 (2.14-5.65) for DA. CONCLUSIONS:
PD and DA measured using Cumulus on processed FFDM images are positively
associated with breast cancer risk, with similar magnitudes of association as
previously reported for film-screen mammograms. Processed digital mammograms
acquired for routine clinical care in a general practice setting are suitable for
breast density and cancer research.
PMID- 27209072
TI - Comparison of local infiltration and epidural analgesia for postoperative pain
control in total knee arthroplasty and total hip arthroplasty: A systematic
review and meta-analysis.
AB - Pain management after total knee arthroplasty (TKA) and total hip arthroplasty
should permit early mobilization with minimal pain. Local infiltration analgesia
(LIA) is a new popular method for decreasing postoperative pain. The goal of this
meta-analysis is to evaluate the efficacy of LIA in comparison with epidural
analgesia. A literature search was performed in PubMed, EMBASE, the OVID
database, Web of Science, and the Cochrane Library databases. The risk of bias
was assessed using the Cochrane collaboration tool. Outcomes of interest included
visual analog scale score, range of flexion, length of stay, and complications.
Nine trials involving 537 patients met the inclusion criteria. LIA provides
better pain relief and larger range of motion in TKA patients compared to
epidural analgesia at the late postoperative period. No significant difference
was observed in regard to the length of stay and complications. The current
evidence shows that the use of local infiltration is effective for postoperative
pain management in TKA patients. More high-quality randomized controlled trials
with long-term follow-up are required for examining the long-term efficacy and
safety of local infiltration.
PMID- 27209071
TI - Apoptosis in pancreatic beta-islet cells in Type 2 diabetes.
AB - Apoptosis plays important roles in the pathophysiology of Type 2 diabetes
mellitus (T2DM). The etiology of T2DM is multifactorial, including obesity
associated insulin resistance, defective insulin secretion, and loss of beta-cell
mass through beta-cell apoptosis. beta-cell apoptosis is mediated through a
milliard of caspase family cascade machinery in T2DM. The glucose-induced insulin
secretion is the principle pathophysiology of diabetes and insufficient insulin
secretion results in chronic hyperglycemia, diabetes. Recently, hyperglycemia
induced beta-cell apoptosis has been extensively studied on the balance of pro
apoptotic Bcl-2 proteins (Bad, Bid, Bik, and Bax) and anti-apoptotic Bcl family
(Bcl-2 and Bcl-xL) toward apoptosis in vitro isolated islets and insulinoma cell
culture. Apoptosis can only occur when the concentration of pro-apoptotic Bcl-2
exceeds that of anti-apoptotic proteins at the mitochondrial membrane of the
intrinsic pathway. A bulk of recent research on hyperglycemia-induced apoptosis
on beta-cells unveiled complex details on glucose toxicity on beta-cells in
molecular levels coupled with cell membrane potential by adenosine triphosphate
generation through K+ channel closure, opening Ca2+ channel and plasma membrane
depolarization. Furthermore, animal models using knockout mice will shed light on
the basic understanding of the pathophysiology of diabetes as a glucose metabolic
disease complex, on the balance of anti-apoptotic Bcl family and pro-apoptotic
genes. The cumulative knowledge will provide a better understanding of glucose
metabolism at a molecular level and will lead to eventual prevention and
therapeutic application for T2DM with improving medications.
PMID- 27209073
TI - BDNF Val66Met polymorphism and peripheral protein levels in pediatric bipolar
disorder and attention-deficit/hyperactivity disorder.
AB - OBJECTIVE: Frontiers between pediatric bipolar disorder (PBD) and attention
deficit/hyperactivity disorder (ADHD) are not well defined. Few studies have
addressed potentially different neurobiological factors between the two
disorders. Brain-derived neurotrophic factor (BDNF) has been increasingly
recognized for its etiologic and prognostic role in adult bipolar disorder (BD)
studies. This study aimed to examine the BDNF gene polymorphism and potential
alterations in BDNF serum levels in the pediatric ADHD patients with or without
comorbid BD illness. METHOD: We assessed the non-synonymous single-nucleotide
polymorphism in the BDNF gene (rs6265/Val66Met) and its serum levels in children
and adolescents with BD comorbid with ADHD (BD + ADHD) and ADHD alone. Children
and adolescents were assessed for psychiatric diagnoses using the Kiddie-Sads
Present and Lifetime Version (K-SADS-PL). RESULTS: Using Analysis of covariance
(ancova) we detected a significant group effect (patients with BD + ADHD had
higher serum levels than those with ADHD - F80,3 = 8.73, P = 0.005). CONCLUSION:
Although the Val66Met polymorphism at the BDNF gene does not seem to play a
significant role in children and adolescents with BD or ADHD, BDNF serum levels
deserve further attention in future research on neurobiological aspects of BD and
ADHD.
PMID- 27209074
TI - Should the healthcare compass in Australia point towards value-based primary
healthcare?
AB - This paper provides an overview of quality improvement in healthcare in an
Australian context. Specifically, the paper considers issues around defining,
quantifying, recording and incentivising quality improvement and accountability
in primary healthcare. The role of newly emerging Primary Health Networks
provides a context for the discussion. The paper draws on international learnings
that provide a framework for examining the important elements of quality
improvement among reforming primary healthcare organisations in order to support
healthcare providers and offer an evidence base for policy makers and peak bodies
moving forward.
PMID- 27209075
TI - Early to Dialyze: Healthy and Wise?
PMID- 27209076
TI - Feasibility of using GrindrTM to distribute HIV self-test kits to men who have
sex with men in Los Angeles, California.
AB - Background: Our study aimed to determine if GrindrTM is an effective means of
reaching high-risk men who have sex with men (MSM) for HIV testing. In Los
Angeles (LA), Black and Latino MSM have the highest rate of HIV infection, and
Black MSM in LA are four-fold more likely than white MSM to not know they are
infected with HIV. Those MSM are also major users of social networking apps.
GrindrTM was used to provide access to free HIV self-testing. Methods: Free HIV
self-test kits were advertised on GrindrTM from 13 October to 11 November 2014,
consisting of 300 000 banner ads and three broadcast messages targeting a high
risk HIV population in LA. Eligible participants, Black or Latino, MSM and who
were aged >=18 years of age, were invited to take a survey 2 weeks after test
delivery. Results: The website received 4389 unique visitors and 333 test
requests, of which 247 (74%) were requests for mailed tests, 58 (17%) were for
vouchers and 28 (8%) were for vending machines. Of the 125 participants, 74%
reported at least one episode of condomless anal intercourse in the past 3
months, 29% last tested for HIV over 1 year ago and 9% had never been tested.
Conclusions: It was feasible to use GrindrTM to distribute HIV self-test kits.
Users are willing to provide personal information in exchange for a free self
test and found self-tests acceptable and easy to use. HIV self-testing promotion
through apps has a high potential to reach untested high-risk populations.
PMID- 27209078
TI - Hollow viscus injury due to blunt trauma: A review.
AB - Blunt abdominal trauma results in injury to the bowel and mesenteries in 3-5% of
cases. The injuries are polymorphic including hematoma, seromuscular tear,
perforation, and ischemia. They preferentially involve the small bowel and may
result in bleeding and/or peritonitis. An urgent laparotomy is necessary if there
is evidence of active bleeding or peritonitis at the initial examination, but
these situations are uncommon. The main diagnostic challenge is to promptly and
correctly identify lesions that require surgical repair. Diagnostic delay
exceeding eight hours before surgical repair is associated with increased
morbidity and probably with mortality. Because of this risk, the traditional
therapeutic approach has been to operate on all patients with suspected bowel or
mesenteric injury. However, this approach leads to a high rate of non-therapeutic
laparotomy. A new approach of non-operative management (NOM) may be applicable to
hemodynamically stable patients with no signs of perforation or peritonitis, and
is being increasingly employed. This attitude has been described in several
recent studies, and can be applied to nearly 40% of patients. However, there is
no consensual agreement on which criteria or combination of clinical and
radiological signs can insure the safety of NOM. When NOM is decided upon at the
outset, very close monitoring is mandatory with repeated clinical examinations
and interval computerized tomography (CT). Larger multicenter studies are needed
to better define the selection criteria and modalities for NOM.
PMID- 27209077
TI - Unsolved matters in leprosy: a descriptive review and call for further research.
AB - Leprosy, a chronic mycobacterial infection caused by Mycobacterium leprae, is an
infectious disease that has ravaged human societies throughout millennia. This
ancestral pathogen causes disfiguring cutaneous lesions, peripheral nerve injury,
ostearticular deformity, limb loss and dysfunction, blindness and stigma. Despite
ongoing efforts in interrupting leprosy transmission, large numbers of new cases
are persistently identified in many endemic areas. Moreover, at the time of
diagnosis, most newly identified cases have considerable neurologic disability.
Many challenges remain in our understanding of the epidemiology of leprosy
including: (a) the precise mode and route of transmission; (b) the socioeconomic,
environmental, and behavioral factors that promote its transmission; and
PMID- 27209079
TI - Guidelines for the treatment of hemorrhoids (short report).
AB - Hemorrhoids are a common medical problem that is often considered as benign. The
French Society of Colo-Proctology (Societe nationale francaise de colo
proctologie [SNFCP]) recently revised its recommendations for the management of
hemorrhoids (last issued in 2001), based on the literature and consensual expert
opinion. We present a short report of these recommendations. Briefly, medical
treatment, including dietary fiber, should always be proposed in first intention
and instrumental treatment only if medical treatment fails, except in grade >=III
prolapse. Surgery should be the last resort, and the patient well informed of the
surgical alternatives, including the possibility of elective ambulatory surgery,
if appropriate. Postoperative pain should be prevented by the systematic
implementation of a pudendal block and multimodal use of analgesics.
PMID- 27209080
TI - Cardiopulmonary bypass in treatment of complete traumatic avulsion of hepatic
inferior vena cava junction.
PMID- 27209081
TI - Penetrating pelvic trauma: Initial assessment and surgical management in
emergency.
AB - Penetrating pelvic trauma (PPT) is defined as a wound extending within the bony
confines of the pelvis to involve the vascular, intestinal or urinary pelvic
organs. The gravity of PPT is related to initial hemorrhage and the high risk of
late infection. If the patient is hemodynamically unstable and in hemorrhagic
shock, the urgent treatment goal is rapid achievement of hemostasis. Initial
strategy relies on insertion of an intra-aortic occlusion balloon and/or
extraperitoneal pelvic packing, performed while damage control resuscitation is
ongoing before proceeding to arteriography. If hemodynamic instability persists,
a laparotomy for hemostasis is performed without delay. In a hemodynamically
stable patient, contrast-enhanced CT is systematically performed to obtain a
comprehensive assessment of the lesions prior to surgery. At surgery, damage
control principles should be applied to all involved systems (digestive,
vascular, urinary and bone), with exteriorization of digestive and urinary
channels, arterial revascularization, and wide drainage of peri-rectal and pelvic
soft tissues. When immediate definitive surgery is performed, management must
address the frequent associated lesions in order to reduce the risk of
postoperative sepsis and fistula.
PMID- 27209082
TI - Not just a matter of size: a hospital-level risk factor analysis of MRSA
bacteraemia in Scotland.
AB - BACKGROUND: Worldwide, there is a wealth of literature examining patient-level
risk factors for methicillin-resistant Staphylococcus aureus (MRSA) bacteraemia.
At the hospital-level it is generally accepted that MRSA bacteraemia is more
common in larger hospitals. In Scotland, size does not fully explain all the
observed variation among hospitals. The aim of this study was to identify risk
factors for the presence and rate of MRSA bacteraemia cases in Scottish mainland
hospitals. Specific hypotheses regarding hospital size, type and connectivity
were examined. METHODS: Data from 198 mainland Scottish hospitals (defined as
having at least one inpatient per year) were analysed for financial year 2007-08
using logistic regression (Model 1: presence/absence of MRSA bacteraemia) and
Poisson regression (Model 2: rate of MRSA bacteraemia). The significance of risk
factors representing various measures of hospital size, type and connectivity
were investigated. RESULTS: In Scotland, size was not the only significant risk
factor identified for the presence and rate of MRSA bacteraemia. The probability
of a hospital having at least one case of MRSA bacteraemia increased with
hospital size only if the hospital exceeded a certain level of connectivity.
Higher levels of MRSA bacteraemia were associated with the large, highly
connected teaching hospitals with high ratios of patients to domestic staff.
CONCLUSIONS: A hospital's level of connectedness within a network may be a better
measure of a hospital's risk of MRSA bacteraemia than size. This result could be
used to identify high risk hospitals which would benefit from intensified
infection control measures.
PMID- 27209083
TI - Clinical decision-making and secondary findings in systems medicine.
AB - BACKGROUND: Systems medicine is the name for an assemblage of scientific
strategies and practices that include bioinformatics approaches to human biology
(especially systems biology); "big data" statistical analysis; and medical
informatics tools. Whereas personalized and precision medicine involve similar
analytical methods applied to genomic and medical record data, systems medicine
draws on these as well as other sources of data. Given this distinction, the
clinical translation of systems medicine poses a number of important ethical and
epistemological challenges for researchers working to generate systems medicine
knowledge and clinicians working to apply it. DISCUSSION: This article focuses on
three key challenges: First, we will discuss the conflicts in decision-making
that can arise when healthcare providers committed to principles of experimental
medicine or evidence-based medicine encounter individualized recommendations
derived from computer algorithms. We will explore in particular whether
controlled experiments, such as comparative effectiveness trials, should mediate
the translation of systems medicine, or if instead individualized findings
generated through "big data" approaches can be applied directly in clinical
decision-making. Second, we will examine the case of the Riyadh Intensive Care
Program Mortality Prediction Algorithm, pejoratively referred to as the "death
computer," to demonstrate the ethical challenges that can arise when big-data
driven scoring systems are applied in clinical contexts. We argue that the
uncritical use of predictive clinical algorithms, including those envisioned for
systems medicine, challenge basic understandings of the doctor-patient
relationship. Third, we will build on the recent discourse on secondary findings
in genomics and imaging to draw attention to the important implications of
secondary findings derived from the joint analysis of data from diverse sources,
including data recorded by patients in an attempt to realize their "quantified
self." This paper examines possible ethical challenges that are likely to be
raised as systems medicine to be translated into clinical medicine. These include
the epistemological challenges for clinical decision-making, the use of scoring
systems optimized by big data techniques and the risk that incidental and
secondary findings will significantly increase. While some ethical implications
remain still hypothetical we should use the opportunity to prospectively identify
challenges to avoid making foreseeable mistakes when systems medicine inevitably
arrives in routine care.
PMID- 27209084
TI - Immune response in adverse reactions to metal debris following metal-on-metal
total hip arthroplasty.
AB - BACKGROUND: The purpose of the present study was to determine whether T cell
mediated type IV hypersensitivity reactions could be a major cause of adverse
reaction to metal debris (ARMD) after metal-on-metal total hip arthroplasty
(THA). METHODS: Thirteen patients (1 man and 12 women; mean age 68 years, age
range 60 to 83 years) with ARMD underwent revision surgery following metal-on
metal THA (15 hips). Lymphocyte stimulation testing was conducted. Periprosthetic
tissue specimens underwent immunohistochemical studies. RESULTS: Lymphocyte
stimulation testing showed that five patients were nickel-sensitive, and one
patient was also cobalt-sensitive. Immunohistochemical studies showed that T
cells were dominant in five hips, and B cells were dominant in 10 hips. In four
of the five patients with a positive lymphocyte stimulation test, the dominant
lymphocytes were T cells, suggesting type IV hypersensitivity. The major cause of
ARMD was not type IV hypersensitivity in the remaining nine patients. CONCLUSION:
Metal hypersensitivity does not appear to be the dominant biological reaction
involved in the occurrence of ARMD.
PMID- 27209085
TI - Definition of mutations in polyautoimmunity.
AB - OBJECTIVES: Familial autoimmunity and polyautoimmunity represent extreme
phenotypes ideal for identifying major genomic variants contributing to
autoimmunity. Whole exome sequencing (WES) and linkage analysis are well suited
for this purpose due to its strong resolution upon familial segregation patterns
of functional protein coding and splice variants. The primary objective of this
study was to identify potentially autoimmune causative variants using WES data
from extreme pedigrees segregating polyautoimmunity phenotypes. METHODS: DNA of
47 individuals across 10 extreme pedigrees, ascertained from probands affected
with polyautoimmunity and familial autoimmunity, were selected for WES. Variant
calls were obtained through Genome Analysis Toolkit. Filtration and
prioritization framework to identify mutation(s) were applied, and later
implemented for genetic linkage analysis. Sanger sequencing corroborated variants
with significant linkage. RESULTS: Novel and mostly rare variants harbored in
SRA1, MLL4, ABCB8, DHX34 and PLAUR showed significant linkage (LOD scores are
>3.0). The strongest signal was in SRA1, with a LOD score of 5.48. Network
analyses indicated that SRA1, PLAUR and ABCB8 contribute to regulation of
apoptotic processes. CONCLUSIONS: Novel and rare variants in genetic linkage with
polyautoimmunity were identified throughout WES. Genes harboring these variants
might be major players of autoimmunity.
PMID- 27209086
TI - MD-1 deficiency attenuates dextran sodium sulfate (DSS)-induced colitis through
modulating the function of colonic lamina propria dendritic cells.
AB - Available evidence suggests that both dysregulated innate and adaptive immune
pathways contribute to the aberrant intestinal inflammatory response in patients
with inflammatory bowel disease (IBD). Myeloid Differentiation 1 (MD-1), also
known as Lymphocyte Antigen 86 (Ly86), a secreted protein interacting with
radioprotective 105 (RP105), plays an important role in Toll-like receptor 4
(TLR4) signaling pathway. Previous studies showed that MD-1 may be involved in
the (patho) physiological regulation of the innate immune system and
inflammation. In this study, we reported for the first time that MD-1 mRNA
expression was up-regulated in both human IBD patients and DSS-treated WT mice.
We showed that MD-1(-/-) mice were less susceptible to the development of colitis
than WT controls as demonstrated by significantly reduced weight loss, disease
activity index, colon histological scores, cellular infiltration and expression
of inflammatory mediators. In addition, mucosal barrier function seemed to be
intact in response to the loss of MD-1. Finally, lamina propria dendritic cells
(LPDCs) from the colon of MD-1(-/-) mice after DSS exposure not only decreased in
number but also significantly down-regulated the expression of surface maturation
co-stimulatory molecules MHC-II, CD40 and CD86 compared with those from WT mice.
Taken together, our results reveal that MD-1 deficiency is of critical importance
in down-regulating induction and progression of DSS colitis, thereby suggesting
that MD-1 might be a target for future interventional therapies of IBD.
PMID- 27209087
TI - Interleukin (IL)-24 transforms the tumor microenvironment and induces anticancer
immunity in a murine model of colon cancer.
AB - Interleukin-24 (IL-24) is a novel tumor suppressor and can mediate the induction
of Th1-type cytokines from peripheral blood mononuclear cells. The individual
properties of IL-24 have been previously examined; however, its in vivo
immunological consequences and antitumor properties have not been previously
evaluated with respect to colon cancer, the most commonly diagnosed cancer in
China. Thus, we evaluated whether IL-24 could inhibit the progression of colon
cancer in murine models with intact immune competence and explored the mechanisms
underlying the immunological effects of IL-24 on colon cancer progression in
vivo. In these murine models, we found that IL-24 promoted CD4(+) T cells and
CD8(+) T cells to secrete interferon gamma and enhanced the cytotoxicity of
CD8(+) T cells in vivo. More importantly, we demonstrated that IL-24 transformed
the tumor microenvironment and enhanced antitumor effects in favor of tumor
eradication. Additionally, IL-24 expression correlated inversely with the
clinical stage of human colorectal cancer. Thus, our study establishes a role of
IL-24 in promoting antitumor immune responses and supports the development of a
novel cytokine immunotherapy against colon cancer.
PMID- 27209088
TI - Clonic Perseveration after Acute Ischemic Stroke: An Insight into the
Pathophysiological Mechanisms.
AB - Poststroke movement disorders may manifest as parkinsonism, dystonia, chorea,
ballism, athetosis, tremor, myoclonus, stereotypies, and akathisia. In this
article, we describe a patient with clonic perseveration 2 days after an acute
ischemic stroke. We discuss the phenomenology and provide insights on possible
pathophysiological mechanisms involved.
PMID- 27209089
TI - Rates of Adverse Events and Outcomes among Stroke Patients Admitted to Primary
Stroke Centers.
AB - BACKGROUND AND PURPOSE: To identify the beneficial effects of primary stroke
centers (PSCs) certification by Joint Commission (JC), we compared the rates of
in-hospital adverse events and discharge outcomes among ischemic stroke patients
admitted to PSCs and those admitted to non-PSC hospitals in the United States.
METHODS: We obtained the data from the Nationwide Inpatient Sample from 2010 and
2011. The analysis was limited to states that publicly reported hospital
identity. PSCs were identified by matching the Nationwide Inpatient Sample
hospital files with the list provided by JC. The analysis was limited to patients
(age >=18 years) discharged with a principal diagnosis of ischemic stroke
(International Classification of Disease, 9th Revision, codes 433.x1, 434.x1).
RESULTS: We identified a total of 123,131 ischemic stroke patients from 28
states. A total of 72,982 (59.3%) patients were admitted to PSCs. After adjusting
for age, gender, race or ethnicity, comorbidities, All Patients Refined Diagnosis
Related Groups (APR-DRG)-based disease severity, and hospital teaching status,
patients admitted to PSCs were at lower risk of in-hospital adverse events
complications: pneumonia (odds ratio [OR], .8; 95% confidence interval [CI], .7
.8) and sepsis (OR, .7; 95% CI, .6-.8). Patients admitted to PSCs were more
likely to receive thrombolysis (OR, 1.6; 95% CI, 1.5-1.7). The mean cost of
hospitalization (95% CI) of the patients was significantly higher in patients
admitted at PSCs compared with those admitted at non PSC hospitals $47621 (47099
48144) vs. $35229 (34803-35654), P < .0001). The patients admitted to PSCs had
lower inpatient mortality (OR, .8; 95% CI, .8-.9) and were more likely to be
discharged with none to minimal disability (OR, 1.1; 95% CI, 1.0-1.1).
CONCLUSIONS: Compared with non-PSC admissions, patients admitted to PSCs are less
likely to experience hospital adverse events and more likely to experience better
discharge outcomes.
PMID- 27209090
TI - On-line detection of key radionuclides for fuel-rod failure in a pressurized
water reactor.
AB - For early on-line detection of fuel rod failure, the key radionuclides useful in
monitoring must leak easily from failing rods. Yield, half-life, and mass share
of fission products that enter the primary coolant also need to be considered in
on-line analyses. From all the nuclides that enter the primary coolant during
fuel-rod failure, (135)Xe and (88)Kr were ultimately chosen as crucial for on
line monitoring of fuel-rod failure. A monitoring system for fuel-rod failure
detection for pressurized water reactor (PWR) based on the LaBr3(Ce) detector was
assembled and tested. The samples of coolant from the PWR were measured using the
system as well as a HPGe gamma-ray spectrometer. A comparison showed the method
was feasible. Finally, the gamma-ray spectra of primary coolant were measured
under normal operations and during fuel-rod failure. The two peaks of (135)Xe
(249.8keV) and (88)Kr (2392.1keV) were visible, confirming that the method is
capable of monitoring fuel-rod failure on-line.
PMID- 27209091
TI - Gene overlapping and size constraints in the viral world.
AB - BACKGROUND: Viruses are the simplest replicating units, characterized by a
limited number of coding genes and an exceptionally high rate of overlapping
genes. We sought a unified evolutionary explanation that accounts for their
genome sizes, gene overlapping and capsid properties. RESULTS: We performed an
unbiased statistical analysis of ~100 families within ~400 genera that comprise
the currently known viral world. We found that the volume utilization of capsids
is often low, and greatly varies among viral families. Furthermore, although
viruses span three orders of magnitude in genome length, they almost never have
over 1500 overlapping nucleotides, or over four significantly overlapping genes
per virus. CONCLUSIONS: Our findings undermine the generality of the compression
theory, which emphasizes optimal packing and length dependency to explain
overlapping genes and capsid size in viral genomes. Instead, we propose that gene
novelty and evolution exploration offer better explanations to size constraints
and gene overlapping in all viruses. REVIEWERS: This article was reviewed by Arne
Elofsson and David Kreil.
PMID- 27209093
TI - Unexpected arterial wall and cellular inflammation in patients with rheumatoid
arthritis in remission using biological therapy: a cross-sectional study.
AB - BACKGROUND: Increasing numbers of patients (up to 40 %) with rheumatoid arthritis
(RA) achieve remission, yet it remains to be elucidated whether this also
normalizes their cardiovascular risk. Short-term treatment with TNF inhibitors
lowers arterial wall inflammation, but not to levels of healthy controls. We
investigated whether RA patients in long-term remission are characterized by
normalized inflammatory activity of the arterial wall and if this is dependent on
type of medication used (TNF-inhibitor versus nonbiological disease-modifying
antirheumatic drugs (DMARDs)). METHODS: Arterial wall inflammation, bone marrow
and splenic activity (index of progenitor cell activity) was assessed with (18)F
fluorodeoxyglucose ((18)F-FDG) positron emission tomography/computed tomography
(PET/CT) in RA patients in remission (disease activity score (DAS28) <2.6 for >6
months) and healthy controls. We performed ex vivo characterization of monocytes
using flow cytometry and a transendothelial migration assay. RESULTS: Overall,
arterial wall inflammation was comparable in RA patients (n = 23) in long-term
remission and controls (n = 17). However, RA subjects using current anti-TNF
therapy (n = 13, disease activity score 1.98[1.8-2.2]) have an almost 1.2-fold
higher (18)F-FDG uptake in the arterial wall compared to those using DMARDs (but
with previous anti-TNF therapy) (n = 10, disease activity score 2.24[1.3-2.5]),
which seemed to be predominantly explained by longer duration of their rheumatic
disease in a multivariate linear regression analysis. This coincided with
increased expression of pro-adhesive (CCR2) and migratory (CD11c, CD18) surface
markers on monocytes and a concomitant increased migratory capacity. Finally, we
found increased activity in bone marrow and spleen in RA patients using anti-TNF
therapy compared to those with DMARDs and controls. CONCLUSIONS: A subset of
patients with RA in clinical remission have activated monocytes and increased
inflammation in the arterial wall, despite the use of potent TNF blocking
therapies. In these subjects, RA disease duration was the most important
contributor to the level of arterial wall inflammation. This increased
inflammatory state implies higher cardiovascular risk in these patients, who thus
may require more stringent CV risk management.
PMID- 27209094
TI - Clearance of infant vancomycin-resistant Enterococcus faecium carriage after a
neonatal inpatient outbreak.
AB - A follow-up cohort study was undertaken to document clearance of fecal vancomycin
resistant Enterococcus faecium carriage in 19 infants colonized during a hospital
outbreak. By the conclusion of the 14-month study period, all participants had
returned terminal negative fecal specimens, supporting the hypothesis that
carriage is transient in this population.
PMID- 27209092
TI - Identifying configurations of behavior change techniques in effective medication
adherence interventions: a qualitative comparative analysis.
AB - BACKGROUND: Interventions to improve medication adherence are diverse and
complex. Consequently, synthesizing this evidence is challenging. We aimed to
extend the results from an existing systematic review of interventions to improve
medication adherence by using qualitative comparative analysis (QCA) to identify
necessary or sufficient configurations of behavior change techniques among
effective interventions. METHODS: We used data from 60 studies in a completed
systematic review to examine the combinations of nine behavior change techniques
(increasing knowledge, increasing awareness, changing attitude, increasing self
efficacy, increasing intention formation, increasing action control,
facilitation, increasing maintenance support, and motivational interviewing)
among studies demonstrating improvements in adherence. RESULTS: Among the 60
studies, 34 demonstrated improved medication adherence. Among effective studies,
increasing patient knowledge was a necessary but not sufficient technique. We
identified seven configurations of behavior change techniques sufficient for
improving adherence, which together accounted for 26 (76 %) of the effective
studies. The intervention configuration that included increasing knowledge and
self-efficacy was the most empirically relevant, accounting for 17 studies (50 %)
and uniquely accounting for 15 (44 %). CONCLUSIONS: This analysis extends the
completed review findings by identifying multiple combinations of behavior change
techniques that improve adherence. Our findings offer direction for policy
makers, practitioners, and future comparative effectiveness research on improving
adherence.
PMID- 27209095
TI - Diagnosis and management of undifferentiated fever in children.
AB - The incidence and likely causes of fever of unknown origin (FUO) have changed
over the last few decades, largely because enhanced capabilities of laboratory
testing and imaging have helped confirm earlier diagnoses. History and
examination are still of paramount importance for cryptogenic infections.
Adolescents who have persisting nonspecific complaints of fatigue sometimes are
referred to Pediatric Infectious Diseases consultants for FUO because the problem
began with an acute febrile illness or measured temperatures are misidentified as
"fevers". A thorough history that reveals myriad symptoms when juxtaposed against
normal findings on examination and simple laboratory testing can suggest a
diagnosis of "fatigue of deconditioning". "Treatment" is forced return to school,
and reconditioning. The management of patients with acute onset of fever without
an obvious source or focus of infection is dependent on age. Infants under one
month of age are at risk for serious and rapidly progressive bacterial and viral
infections, and yet initially can have fever without other observable
abnormalities. Urgent investigation and pre-emptive therapies usually are
prudent. By two months of age, clinical judgment best guides management. Between
one and two months of age, a decision to investigate or not depends on
considerations of the height and duration of fever, the patient's observable
behavior/interaction, knowledge of concurrent family illnesses, and likelihood of
close observation and follow up. Children 6 months-36 months of age with acute
onset of fever who appear well and have no observable focus of infection can be
evaluated clinically, without laboratory investigation or antibiotic therapy,
unless risk factors elevate the likelihood of urinary tract infection.
PMID- 27209096
TI - Selection on different genes with equivalent functions: the convergence story
told by Hox genes along the evolution of aquatic mammalian lineages.
AB - BACKGROUND: Convergent evolution has been a challenging topic for decades, being
cetaceans, pinnipeds and sirenians textbook examples of three independent origins
of equivalent phenotypes. These mammalian lineages acquired similar anatomical
features correlated to an aquatic life, and remarkably differ from their
terrestrial counterparts. Whether their molecular evolutionary history also
involved similar genetic mechanisms underlying such morphological convergence
nevertheless remained unknown. To test for the existence of convergent molecular
signatures, we studied the molecular evolution of Hox genes in these three
aquatic mammalian lineages, comparing their patterns to terrestrial mammals. Hox
genes are transcription factors that play a pivotal role in specifying embryonic
regional identity of nearly any bilateral animal, and are recognized major agents
for diversification of body plans. RESULTS: We detected few signatures of
positive selection on Hox genes across the three aquatic mammalian lineages and
verified that purifying selection prevails in these sequences, as expected for
pleiotropic genes. Genes found as being positively selected differ across the
aquatic mammalian lineages, but we identified a substantial overlap of their
developmental functions. Such pattern likely resides on the duplication history
of Hox genes, which probably provided different possible evolutionary routes for
achieving the same phenotypic solution. CONCLUSIONS: Our results indicate that
convergence occurred at a functional level of Hox genes along three independent
origins of aquatic mammals. This conclusion reinforces the idea that different
changes in developmental genes may lead to similar phenotypes, probably due to
the redundancy provided by the participation of Hox paralogous genes in several
developmental functions.
PMID- 27209098
TI - A case study on the method-induced difference in the chemical properties and
biodegradability of soil water extractable organic carbon of a granitic forest
soil.
AB - Water extractable organic carbon (WEOC) plays important roles in soil dissolved
organic matter (DOM) research. In the present study, we have detected the
chemical properties and biodegradability of WEOC obtained from one granitic
forest soil with four commonly used or suggested extraction methods, to study the
potential methodological influence in soil DOM research. Results showed great
difference in both chemical properties and biodegradation of WEOC from various
methods. For the chosen soil, compared to that from fresh soil, WEOC from dried
soil contained large proportion of HIN, Base fractions and labile O-alkyl
components which might be derived from microbial cell lysis, and showed low
fluorescence characteristics, exhibiting great biodegradability. Similarly, WEOC
extracted under low temperature and short time conditions showed low fluorescence
characteristics and exhibited considerable biodegradability. Conversely, WEOC,
which might be potentially subjected to decomposition and loss during extraction,
contained higher percentages of HOA fractions and aromatic alkyl and aryl
components, and showed high fluorescence characteristics, exhibiting low
biodegradability. WEOC extracted in moderate time and temperature showed moderate
biodegradability. These method-induced differences implied the direct comparison
of the results from similar works is difficult, as we considered here a specific
forest soil and other authors other soil types and uses. However, the complexity
in comparison reminds that the methodological influence be paid more attention in
future soil WEOC researches.
PMID- 27209097
TI - Electrodiagnostic studies in presumptive primary hypothyroidism and
polyneuropathy in dogs with reevaluation during hormone replacement therapy.
AB - BACKGROUND: Peripheral neuropathy is the most common neurological manifestation
of canine hypothyroidism. Data concerning electrodiagnostic studies in
hypothyroid associated polyneuropathy in dogs are very limited and usually lack a
reevaluation after hormone replacement therapy. The objective of this study was
to perform a detailed, retrospective analysis of electromyographic (EMG), motor
nerve conduction velocity (MNCV), F-wave and brainstem auditory evoked response
(BAER) findings in 24 dogs with presumptive primary hypothyroidism and
polyneuropathy with a comparison of the results before and after initiation of
levothyroxine treatment with the assessment of the clinical outcome. RESULTS: The
results obtained from hypothyroid dogs showed a significant reduction in MNCV at
a proximal-distal and middle-distal stimulation, decreased amplitudes of compound
muscle action potentials (CMAP), an increased CMAP duration and a prolonged
distal latency prior to treatment. Fifty percent of the dogs had an increased F
wave latency. A normal BAER recording was found in 78 % of the hypothyroid
patients without vestibular impairment. Bilaterally increased peak V latencies
and increased interpeak I-V latencies were found in the remaining individuals.
Dogs with concurrent vestibular impairment had ipsilaterally increased peak
latencies with normal interpeak latencies and decreased amplitudes of wave I and
II. A comparison of the findings before and after 2 months of treatment revealed
a decrease in the pathological activity on EMG, an improvement of proximal,
middle and distal CMAP amplitudes and an increase in the proximal-distal
conduction velocity in all dogs. F-wave latency improved in 38 % of dogs. The
BAER reexamination revealed a persistent prolongation of peak I, II, III and V
latencies and decreased wave I amplitude on the affected side in all dogs
manifesting vestibular signs. Conversely, in dogs without vestibular signs, the
peak V and interpeak I-V latencies decreased to normal values after a given time
of the treatment. CONCLUSIONS: The results indicate a demyelinating and axonal
pattern of polyneuropathy in dogs with suspected hypothyroidism. Most of the
patients without vestibular signs showed neither peripheral nor central auditory
pathway impairment, concurrent to the generalized neuropathy. The follow-up
examination showed a very good clinical outcome and only partial improvement in
electrophysiological assessment.
PMID- 27209099
TI - Shedder status-An analysis of self and non-self DNA in multiple handprints
deposited by the same individuals over time.
AB - There are several studies that suggest that different people deposit different
quantities of their own DNA on items they touch, i.e. some are good shedders and
others are bad shedders. It is of interest to determine if individuals deposit
consistent quantities of their own DNA, no matter the occasion, as well as the
degree of variability among individuals. To investigate this, participants were
tested for their ability to deposit DNA by placing right and left handprints on
separate DNA-free glass plates at three set times during the day (morning, midday
and afternoon) on four different days spaced over several weeks. Information
regarding recent activities performed by the individual was recorded, along with
information on gender, hand dominance and hand size. A total of 240 handprint
deposits were collected from 10 individuals and analyzed for differences in DNA
quantity and the type of the DNA profile obtained at different times of the day,
on different days, between the two hands of the same individual, and between
different individuals. Furthermore, the correlation between the deposit quantity
and the ratio of self to non-self DNA in the mixed deposits was analyzed to
determine if the amount of non-self DNA has an effect on overall DNA quantities
obtained. In general, this study has shown that while there is substantial
variation in the quantities deposited by individuals on different occasions, some
clear trends were evident with some individuals consistently depositing
significantly more or less DNA than others. Non-self DNA was usually deposited
along with self DNA and, in most instances, was the minor component. Incidents
where the non-self portion was the major component were very rare and, when
observed, were associated with a poor depositor/shedder. Forensic DNA scientists
need to consider the range and variability of DNA a person deposits when touching
an object, the likelihood of non-self DNA being co-deposited onto the handled
object of interest and the factors that may affect the relative quantity of this
component within the deposit.
PMID- 27209100
TI - Display of 3D Multimodality Cardiac Images With 2D Polar Maps: Simplicity Can Be
a Virtue.
PMID- 27209102
TI - Prognosis in Cardiac Amyloidosis by LGE: Ready for Prime Time?
PMID- 27209101
TI - LGE Provides Incremental Prognostic Information Over Serum Biomarkers in AL
Cardiac Amyloidosis.
AB - OBJECTIVES: This study sought to determine the prognostic value of cardiac
magnetic resonance (CMR) late gadolinium enhancement (LGE) in amyloid light chain
(AL) cardiac amyloidosis. BACKGROUND: Cardiac involvement is the major
determinant of mortality in AL amyloidosis. CMR LGE is a marker of amyloid
infiltration of the myocardium. The purpose of this study was to evaluate
retrospectively the prognostic value of CMR LGE for determining all-cause
mortality in AL amyloidosis and to compare the prognostic power with the
biomarker stage. METHODS: Seventy-six patients with histologically proven AL
amyloidosis underwent CMR LGE imaging. LGE was categorized as global, focal
patchy, or none. Global LGE was considered present if it was visualized on LGE
images or if the myocardium nulled before the blood pool on a cine multiple
inversion time (TI) sequence. CMR morphologic and functional evaluation,
echocardiographic diastolic evaluation, and cardiac biomarker staging were also
performed. Subjects' charts were reviewed for all-cause mortality. Cox
proportional hazards analysis was used to evaluate survival in univariate and
multivariate analysis. RESULTS: There were 40 deaths, and the median study follow
up period was 34.4 months. Global LGE was associated with all-cause mortality in
univariate analysis (hazard ratio = 2.93; p < 0.001). In multivariate modeling
with biomarker stage, global LGE remained prognostic (hazard ratio = 2.43; p =
0.01). CONCLUSIONS: Diffuse LGE provides incremental prognosis over cardiac
biomarker stage in patients with AL cardiac amyloidosis.
PMID- 27209103
TI - Plaque Neovascularization Is Increased in Human Carotid Atherosclerosis Related
to Prior Neck Radiotherapy: A Contrast-Enhanced Ultrasound Study.
AB - OBJECTIVES: The aim of this study was to determine the effect of radiotherapy
(RT) on intraplaque neovascularization (IPN) in human carotid arteries.
BACKGROUND: Exposure of the carotid arteries to RT during treatment for head and
neck cancer is associated with increased risk for stroke. However, the effect of
RT on IPN, a precursor to intraplaque hemorrhage and thus associated with plaque
vulnerability, is unknown. METHODS: In this cross-sectional study, patients who
had undergone unilateral RT for head and neck cancer >=2 years previously
underwent B-mode and contrast-enhanced ultrasound of both RT-side and non-RT-side
carotid arteries. Presence of IPN during contrast-enhanced ultrasound was judged
semiquantitatively as grade 0 (absent), grade 1 (present but limited to plaque
base), or grade 2 (extensive and noted within plaque body). RESULTS: Of 49
patients studied, 38 (78%) had plaques. The number of plaques was significantly
greater in the RT than the non-RT arteries. Overall, 48 of 64 RT-side plaques
(75%) had IPN compared with 9 of 23 non-RT-side (39%) plaques (p = 0.002). Among
patients with plaques, IPN was present in 81% of patients with RT-side plaques
and 41% of patients with non-RT-side plaques (p = 0.004). Grade 0 IPN was
significantly more common in patients with non-RT-side plaques (25% vs. 61%; p =
0.002), whereas grade 2 plaques were more common on the RT side (31% vs. 9%; p =
0.03). The only clinical variable that predicted the presence or absence of IPN
was RT laterality. CONCLUSIONS: This is the first study in humans to reveal a
significant association between RT and the presence and extent of IPN. This may
provide insights into the mechanisms underlying the increased stroke risk among
survivors of head and neck cancer treated by RT.
PMID- 27209104
TI - Radiation Therapy for Head and Neck Cancer and Angioneogenesis: Good for Cancer,
Bad for Carotids?
PMID- 27209106
TI - What Is Severe Aortic Stenosis and Why Do People Die From It?
PMID- 27209105
TI - Diagnostic Performance of 3D Bull's Eye Display of SPECT and Coronary CTA Fusion.
AB - OBJECTIVES: The aim of this study was to develop a display method to describe the
fusion data of myocardial perfusion single-photon emission computed tomography
(SPECT) and coronary computed tomography angiography into a single image that we
call fusion-based bull's eye (FBE). This study sought to show its generating
process and evaluate its diagnostic performance. BACKGROUND: Three-dimensional
(3D) display is mostly used when reviewing SPECT/coronary computed tomography
angiography fusion images, although multidirection interpretation is required to
sweep the entire heart. Bull's eye display of the fusion image will be useful in
clinical practice. METHODS: FBE images were generated from the 3D fusion data by
determining a cardiac axis, adding a cylindrical object around the aortic root,
obtaining a panoramic image from circumferential data of the 3D images, and
converting it into a polar coordinate display image. The diagnostic performances
of SPECT, the conventional 3D fusion, and FBE as to the presence of
hemodynamically relevant coronary vessels were compared in 39 patients with
abnormal SPECT findings. RESULTS: The 3D fusion and FBE images were successfully
obtained in all patients. Of an evaluated 105 coronary segments in 35 patients
without coronary artery bypass grafting, SPECT showed 17 segments (16%) equivocal
to determine hemodynamically relevant coronary vessels. FBE corrected the
diagnoses of 5 segments, in which SPECT was false-negative in 2 or false-positive
in 3, with only 2 equivocal segments (p = 0.0017). FBE also revealed 4 culprit
lesions in all 4 patients with coronary artery bypass grafting. There were no
discordances between FBE and the 3D fusion. CONCLUSIONS: FBE had the same
capacity as the 3D fusion to solve equivocal SPECT findings or correct the
diagnoses in 24 of 109 (22%) coronary segments for culprit lesion detection.
Although FBE requires manual generation process at present, it facilitates
evaluation of myocardial perfusion and coronary anatomy with only 1 image.
PMID- 27209108
TI - Functional Impact of Atherosclerosis on Epicardial Coronary Conductance Vessels
Assessed With MDCT.
PMID- 27209107
TI - Recanalization of Organized Thrombi Demonstrated by Coronary CT Angiography
Compared With OCT.
PMID- 27209109
TI - Percutaneous Bicuspidization of the Tricuspid Valve.
PMID- 27209110
TI - CMR to Evaluate Bioprosthetic Aortic Stenosis?
PMID- 27209111
TI - Discordant Grading of Aortic Stenosis Severity: Echocardiographic Predictors of
Survival Benefit Associated With Aortic Valve Replacement.
AB - OBJECTIVES: This study sought to assess the survival benefit associated with
aortic valve replacement (AVR) according to different strata of echocardiographic
parameters of aortic stenosis (AS) severity, and especially in patients with an
aortic valve area (AVA) comprised between 0.8 cm(2) and 1 cm(2). BACKGROUND:
Discordant findings between AVA (<=1.0 cm(2)) and mean gradient (MG) (<40 mm Hg)
raise uncertainty regarding the actual severity of AS. Some studies suggested
that the AVA threshold value to define severe AS should be decreased to 0.8 cm(2)
to reconcile these discordances. METHODS: A total of 1,710 patients with
documented moderate to severe AS by Doppler echocardiography were separated into
4 strata of AS severity based alternatively on AVA, indexed AVA, MG, or peak
aortic jet velocity (Vpeak). We compared the survival rates of medically versus
surgically treated patients. To eliminate covariate differences that may lead to
biased estimates of treatment effect, a propensity matching with a greedy 5-to-1
digit-matching algorithm was used. RESULTS: Mean AVA was 0.9 +/- 0.3 cm(2), mean
MG 33 +/- 18 mm Hg, and mean Vpeak 3.6 +/- 0.9 m/s. A total of 1,030 (60%)
patients underwent AVR within 3 months following echocardiographic evaluation.
During a mean follow-up of 4.4 +/- 3.0 years there were 469 deaths. Patients with
an AVA between 0.8 cm(2) and 1.0 cm(2) had a significant observed survival
benefit with AVR (hazard ratio: 0.37 [95% confidence interval: 0.21 to 0.63]; p =
0.0002). AVR was also associated with improved survival in patients with MG
between 25 mm Hg and 40 mm Hg or Vpeak between 3 m/s and 4 m/s, but only in
patients with concomitant AVA <=1 cm(2) (p = 0.001 vs. p = 0.46 in patients with
AVA >1 cm(2)). CONCLUSIONS: These results do not support decreasing the AVA
threshold value for severity to 0.8 cm(2) and they confirm that AVR is associated
with improved survival in a substantial number of patients with discordant aortic
grading.
PMID- 27209112
TI - Predicting LVOT Obstruction After TMVR.
PMID- 27209113
TI - Echocardiographic Predictors of Mortality in Adults With a Fontan Circulation.
PMID- 27209114
TI - Enzymes required for the biosynthesis of N-formylated sugars.
AB - The N-formyltransferases, also known as transformylases, play key roles in de
novo purine biosynthesis where they catalyze the transfer of formyl groups to
primary amine acceptors. These enzymes require N10-formyltetrahydrofolate for
activity. Due to their biological importance they have been extensively
investigated for many years, and they are still serving as targets for antifolate
drug design. Most of our understanding of the N-formyltransferases has been
derived from these previous studies. It is now becoming increasingly apparent,
however, that N-formylation also occurs on some amino sugars found on the O
antigens of pathogenic bacteria. This review focuses on recent developments in
the biochemical and structural characterization of the sugar N
formyltransferases.
PMID- 27209115
TI - Research on torsional friction behavior and fluid load support of PVA/HA
composite hydrogel.
AB - Hydrogels have been extensively studied for use as synthetic articular cartilage.
This study aimed to investigate (1) the torsional friction contact state and the
transformation mechanism of PVA/HA composite hydrogel against CoCrMo femoral head
and (2) effects of load and torsional angle on torsional friction behavior. The
finite element method was used to study fluid load support of PVA/HA composite
hydrogel. Results show fluid loss increases gradually of PVA/HA composite
hydrogel with torsional friction time, leading to fluid load support decreases.
The contact state changes from full slip state to stick-slip mixed state. As the
load increases, friction coefficient and adhesion zone increase gradually. As the
torsional angle increases, friction coefficient and slip trend of the contact
interface increase, resulting in the increase of the slip zone and the reduction
of the adhesion zone. Fluid loss increases of PVA/HA composite hydrogel as the
load and the torsional angle increase, which causes the decrease of fluid load
support and the increase of friction coefficient.
PMID- 27209116
TI - [Impact of physical exercise in cystic fibrosis patients: A systematic review].
AB - INTRODUCTION: Beneficial effects of physical exercise have been previously
demonstrated in patients with chronic obstructive pulmonary disease. The aim of
this systematic review was to summarize the evidence supporting physical exercise
to improve on lung function, exercise capacity and quality of life in cystic
fibrosis patients. METHODS: Medline database was used to search clinical studies
from 2000 to 2015. We also analyzed the bibliographic section of the included
studies, in order to identify additional references. RESULTS: A total of 17
studies were identified. A great disparity was found in the results of the
different studies. No systematic benefit was found on lung function, exercise
capacity or quality of life. No relationship between the type of program and the
benefits achieved was observed. CONCLUSIONS: Evidence that physical exercise
benefits lung function, exercise capacity and quality of life in cystic fibrosis
patient is inconsistent and evidence does not support a particular standardized
program for all patients.
PMID- 27209117
TI - [Outdoor pollution and its effects on lung health. Expert document from the
groupe pathologies pulmonaires professionnelles environnementales et
iatrogeniques (PAPPEI) of the Societe de pneumologie de langue francaise (SPLF)].
PMID- 27209118
TI - Combination of physico-chemical analysis, Allium cepa test system and Oreochromis
niloticus erythrocyte based comet assay/nuclear abnormalities tests for cyto
genotoxicity assessments of treated effluents discharged from textile industries.
AB - Bioassays for cyto-genotoxicity assessments are generally not required in current
textile industry effluent discharge management regulations. The present study
applied in vivo plant and fish based toxicity tests viz. Allium cepa test system
and Oreochromis niloticus erythrocyte based comet assay and nuclear abnormalities
tests in combination with physico-chemical analysis for assessing potential
cytotoxic/genotoxic impacts of treated textile industry effluents reaching a
major river (Kelani River) in Sri Lanka. Of the treated effluents tested from two
textile industries, color in the Textile industry 1 effluents occasionally and
color, biochemical oxygen demand and chemical oxygen demand in the Textile
industry 2 effluents frequently exceeded the specified Sri Lankan tolerance
limits for discharge of industrial effluents into inland surface waters. Exposure
of A. cepa bulbs to 100% and 12.5% treated effluents from both industries
resulted in statistically significant root growth retardation, mito-depression,
and induction of chromosomal abnormalities in root meristematic cells in
comparison to the dilution water in all cases demonstrating cyto-genotoxicity
associated with the treated effluents. Exposure of O. niloticus to the 100% and
12.5% effluents, resulted in erythrocytic genetic damage as shown by elevated
total comet scores and induction of nuclear abnormalities confirming the
genotoxicity of the treated effluents even with 1:8 dilution. The results provide
strong scientific evidence for the crucial necessity of incorporating cyto
genotoxicity impact assessment tools in textile industry effluent management
regulations considering human health and ecological health of the receiving water
course under chronic exposure.
PMID- 27209119
TI - [Placental epigenetic programming in intrauterine growth restriction (IUGR)].
AB - Intrauterine growth restriction (IUGR) is a perinatal condition affecting foetal
growth, with under the 10th percentile of the weight curve expected for
gestational age. This condition has been associated with higher cardiovascular
and metabolic risk and post-natal obesity. There are also major changes in
placental function, and particularly in a key molecule in this regulation, nitric
oxide. The synthesis of nitric oxide has numerous control mechanisms and
competition with arginase for their common substrate, the amino acid L-arginine.
This competition is reflected in various vascular diseases and particularly in
the endothelium of the umbilical vessels of babies with IUGR. Along with this,
there is regulation at the epigenetic level, where methylation in specific
regions of some gene promoters, such as the nitric oxide synthase, regulating
their expression. It is currently of great interest to understand the mechanisms
by which diseases such as IUGR may be conditioned, particularly by maternal
nutritional and metabolic conditions, and epigenetic mechanisms that could
eventually be modifiable, and thus a focus of interest for health interventions.
PMID- 27209120
TI - Transcriptomic effects of the non-steroidal anti-inflammatory drug Ibuprofen in
the marine bivalve Mytilus galloprovincialis Lam.
AB - The transcriptomic effects of Ibuprofen (IBU) in the digestive gland tissue of
Mytilus galloprovincialis Lam. specimens exposed at low environmental
concentrations (250 ng L(-1)) are presented. Using a 1.7 K feature cDNA
microarray along with linear models and empirical Bayes statistical methods 225
differentially expressed genes were identified in mussels treated with IBU across
a 15-day period. Transcriptional dynamics were typical of an adaptive response
with a peak of gene expression change at day-7 (177 features, representing about
11% of sequences available for analysis) and an almost full recovery at the end
of the exposure period. Functional genomics by means of Gene Ontology term
analysis unraveled typical mussel stress responses i.e. aminoglycan (chitin)
metabolic processes but also more specific effects such as the regulation of NF
kappaB transcription factor activity.
PMID- 27209121
TI - Simulated terrestrial runoff triggered a phytoplankton succession and changed
seston stoichiometry in coastal lagoon mesocosms.
AB - Climate change scenarios predict intensified terrestrial storm runoff, providing
coastal ecosystems with large nutrient pulses and increased turbidity, with
unknown consequences for the phytoplankton community. We conducted a 12-day
mesocosm experiment in the Mediterranean Thau Lagoon (France), adding soil
(simulated runoff) and fish (different food webs) in a 2 * 2 full factorial
design and monitored phytoplankton composition, shade adaptation and
stoichiometry. Diatoms (Chaetoceros) increased four-fold immediately after soil
addition, prymnesiophytes and dinoflagellates peaked after six- and 12 days,
respectively. Soil induced no phytoplankton shade adaptation. Fish reduced the
positive soil effect on dinoflagellates (Scripsiella, Glenodinium), and diatom
abundance in general. Phytoplankton community composition drove seston
stoichiometry. In conclusion, pulsed terrestrial runoff can cause rapid, low
quality (high carbon: nutrient) diatom blooms. However, bloom duration may be
short and reduced in magnitude by fish. Thus, climate change may shift shallow
coastal ecosystems towards famine or feast dynamics.
PMID- 27209122
TI - Polycyclic aromatic hydrocarbons (PAHs) in water from three estuaries of China:
Distribution, seasonal variations and ecological risk assessment.
AB - The distribution, seasonal variations and ecological risk assessment of
polycyclic aromatic hydrocarbons (PAHs) in water from three estuaries in Hai
River Basin of China, which has been suffering from different anthropogenic
pressures, were investigated. In three estuaries, the average concentration of
SigmaPAHs was the lowest in Luan River estuary, followed by Hai River estuary,
and the highest in Zhangweixin River estuary. There were significant seasonal
variations in SigmaPAHs, the concentrations of SigmaPAHs were higher in November
than in May and August. The composition profiles of PAHs in different sites were
significantly different, and illustrated seasonal variations. Generally, 2-ring
(Nap) and 3-ring PAHs (Acp, Fl and Phe) were the most abundant components at most
sampling sites in three estuaries. The PAHs in three estuaries were mainly
originated from pyrogenic sources. A method based on toxic equivalency factors
(TEFs) and risk quotient (RQ) was proposed to assess the ecological risk of
SigmaPAHs, with the ecological risk of individual PAHs being considered
separately. The results showed that the ecological risks caused by SigmaPAHs were
high in Hai River estuary and Zhangweixin River estuary, and moderate in Luan
River estuary. The mean values of ecological risk in August were lower than those
in November. The contributions of individual PAHs to ecological risk were
different in May, August and November. 3-ring and 4-ring PAHs accounted for much
more ecological risk than 2-ring, 5-ring and 6-ring, although the contributions
of 5-ring and 6-ring to ecological risk were higher than these to PAHs
concentrations.
PMID- 27209123
TI - Effect of cooking on total mercury content in Norway lobster and European hake
and public health impact.
AB - The risk of Hg poisoning by eating seafood is considered real from the several
international agencies that recommended, by fish consumption advisories, to
pregnant women and young children to avoid or severely limit the consumption of
the fish and shellfish with a high-range mercury levels. The analyses of two
common species, European hake and Norway lobster, collected from an area of
Central Adriatic Sea, reported high mercury levels in crustaceans. For Norway
lobster total mercury exceeded, in six out of ten analysed pools, the recommended
0.5mg/kg wet weight European limit. Moreover the increased amount of Hg
concentrations in Norway lobster cooked samples suggests the necessity to review
current procedures of Hg control in food, considering also consumption habits of
consumers. The Hg values found in all European hake samples are below the legal
limits and, in this species, the boiling did not modify the concentrations in
fish tissues.
PMID- 27209124
TI - Persistence, temporal and spatial profiles of ultraviolet absorbents and phenolic
personal care products in riverine and estuarine sediment of the Pearl River
catchment, China.
AB - A variety of personal care products have been classified as emerging contaminants
(ECs). Occurrence, fate, spatial and vertical profiles of 13 ultraviolet
absorbents, triclocarban (TCC) and its dechlorinated products, triclosan (TCS), 2
phenylphenol and parabens were investigated in riverine and estuarine sediment of
the Pearl River catchment, China. Bisphenol A (BPA), a widely applied
plasticizer, was also investigated. The ECs were widely present in the bed
sediment. TCC was the most abundant with a maximum concentration of 332ngg-1 dry
weight. The other prominent ECs included BPA, TCS, octocrylene, and benzotriazole
UV stabilizers UV326 and UV328. Treated wastewater effluent was the major source
of the ECs in the riverine sediment. TCC, BPA, TCS, methyparaben, UV531, UV326,
and UV328 were also detected throughout the estuarine sediment cores, indicating
their persistence in the sediment. Temporal trends of the ECs in the sediment
cores reflected a combined effect of industrial development, population growth,
human life quality improvement, and waste treatment capacity in the Pearl River
Delta over the last decades. TCC dechlorination products were frequently detected
in the bed sediment with higher levels near treated effluent outlets but only
occasionally observed in the sediment cores, suggesting insignificant in-situ TCC
dechlorination in the sediment.
PMID- 27209125
TI - Long-Term (>=10 Years) Safety of Percutaneous Treatment of Unprotected Left Main
Stenosis With Drug-Eluting Stents.
AB - Percutaneous coronary intervention (PCI) of unprotected left main disease (ULM)
with drug-eluting stents (DES) is hampered by lack of information on long-term
(>=10 years) safety data. All patients treated with PCI on ULM in 9 international
centers with at least 10 years follow-up were enrolled. Baseline and procedural
features were recorded. Repeat PCI (re-PCI) on ULM at 10 years was the primary
end point. Secondary end points included major adverse cardiac events and its
components (cardiac and noncardiac death, myocardial infarction, re-PCI not on
ULM, and stent thrombosis). Sensitivity analysis was performed according to the
presence of isolated ULM disease: 284 patients were enrolled. A total of 70
patients (21%) performed a re-PCI on ULM, 39 in the first year, and 31 between 1
and 10 years (only 5 overall performed for acute coronary syndrome). Patients
with re-PCI on ULM did not show differences in baseline and procedural features,
or experience higher rates of cardiovascular death (12% vs 11%, p 0.65),
myocardial infarction (11% vs 6%, p 0.56), or of re-PCI on non-ULM disease (31%
vs 27%, p 0.76) compared with those without re-PCI on ULM. At Kaplan-Meier
analysis, patients with PCI in other coronary vessels were at higher risk of
major adverse cardiac events, driven by target vessel revascularization (20.4% vs
32.9%, p 0.009), as confirmed at multivariate analysis (stenosis other than LM;
hazard ratio 2, 1.4 to 2.7, all CI 95%). In conclusion, despite of using first
generation stents, PCI on ULM is safe, with low rates of recurrent events due to
index revascularization. Progression of atherosclerotic lesions on other coronary
vessels represents the only independent predictive factor for prognosis.
PMID- 27209127
TI - Explorations in genome-wide association studies and network analyses with dairy
cattle fertility traits.
AB - The objective of this study was to identify single nucleotide polymorphisms and
gene networks associated with 3 fertility traits in dairy cattle-daughter
pregnancy rate, heifer conception rate, and cow conception rate-using different
approaches. Deregressed predicted transmitting abilities were available for
approximately 24,000 Holstein bulls and 36,000 Holstein cows sampled from the
National Dairy Database with high-density genotypes. Of those, 1,732 bulls and
375 cows had been genotyped with the Illumina BovineHD Genotyping BeadChip
(Illumina Inc., San Diego, CA). The remaining animals were genotyped with various
chips of lower density that were imputed to high density. Univariate and
trivariate genome-wide association studies (GWAS) with both medium- (60,671
markers) and high-density (312,614 markers) panels were performed for daughter
pregnancy rate, heifer conception rate, and cow conception rate using GEMMA
(version 0.94; http://www.xzlab.org/software.html). Analyses were conducted using
bulls only, cows only, and a sample of both bulls and cows. The partial
correlation and information theory algorithm was used to develop gene interaction
networks. The most significant markers were further investigated to identify
putatively associated genes. Little overlap in associated genes could be found
between GWAS using different reference populations of bulls only, cows only, and
combined bulls and cows. The partial correlation and information theory algorithm
was able to identify several genes that were not identified by ordinary GWAS. The
results obtained herein will aid in further dissecting the complex biology
underlying fertility traits in dairy cattle, while also providing insight into
the nuances of GWAS.
PMID- 27209126
TI - Comparison of Length of Stay, 30-Day Mortality, and 30-Day Readmission Rates in
Medicare Patients With Heart Failure and With Reduced Versus Preserved Ejection
Fraction.
AB - Length of stay (LOS), 30-day mortality, and 30-day readmission rates have not
been compared between Medicare beneficiaries with heart failure (HF) with reduced
ejection fraction (HFrEF) and beneficiaries with heart failure with preserved
ejection fraction (HFpEF), although HFpEF is common in patients with HF. To
determine whether type of HF (HFrEF or HFpEF) was associated with LOS, 30-day
mortality, and 30-day readmission, we used a cohort of 19,477 Medicare
beneficiaries admitted to the hospital and discharged alive with a primary
discharge diagnosis of HF between 2007 and 2011. Gamma regression, Poisson
regression, and Cox proportional hazards with a competing risk for death were
used to model LOS, 30-day mortality, and 30-day readmission rate, respectively.
All models were adjusted for HF severity, co-morbidities, demographics, nursing
home residence, and calendar year of admission. Beneficiaries with HFpEF had an
LOS 0.02 days shorter than beneficiaries with HFrEF and a nearly identical 30-day
readmission rate. Thirty-day mortality was 10% lower in beneficiaries with HFpEF
versus HFrEF. In conclusion, readmission rates were as high in those with HFpEF
as they are in those with HFrEF, with comparable LOS in the hospital.
PMID- 27209128
TI - A meta-analysis of the effects of preweaned calf nutrition and growth on first
lactation performance.
AB - Several studies and a 2013 meta-analysis have proposed that increased feeding of
milk or milk replacer to neonatal calves may improve subsequent milk production.
However, data from individual studies are conflicting, and the meta-analysis was
unable to assess the influence of calf starter intake. The objective of the
current meta-analysis was to review newly published data and evaluate the effects
of preweaning diet (including calf starter intake) and growth rate on first
lactation milk, fat, and protein yield. Data from 9 studies representing 21
treatment groups were included in the analysis. We created separate models for
each outcome variable using regression methods in SAS (version 9.4, SAS Institute
Inc., Cary, NC) to determine the effects of intake and growth rate. We then
selected the best-fitting models using Akaike's information criterion. The effect
of study explained 98, 85, and 96% of the variance in 305-d milk, fat, and
protein yield in first lactation, respectively, indicating that other aspects of
management are more important for determining first-lactation production than
preweaning intake and growth rate. However, we found a synergistic relationship
between preweaning liquid and starter dry matter intake for improving milk, fat,
and protein production, and a positive relationship between first-lactation
performance and preweaning average daily gain. These data indicate that provision
of adequate nutrients from liquid and solid feeds and maintaining average daily
gain above 0.5kg/d can enhance the first-lactation performance of heifers when
combined with proper postweaning practices.
PMID- 27209129
TI - A proficiency test system to improve performance of milk analysis methods and
produce reference values for component calibration samples for infrared milk
analysis.
AB - Our goal was to determine the feasibility of combining proficiency testing,
analytical method quality-assurance system, and production of reference samples
for calibration of infrared milk analyzers to achieve a more efficient use of
resources and reduce costs while maximizing analytical accuracy within and among
milk payment-testing laboratories. To achieve this, we developed and demonstrated
a multilaboratory combined proficiency testing and analytical method quality
assurance system as an approach to evaluate and improve the analytical
performance of methods. A set of modified milks was developed and optimized to
serve multiple purposes (i.e., proficiency testing, quality-assurance and method
improvement, and to provide reference materials for calibration of secondary
testing methods). Over a period of years, the approach has enabled the group of
laboratories to document improved analytical performance (i.e., reduced within-
and between-laboratory variation) of chemical reference methods used as the
primary reference for calibration of high-speed electronic milk-testing
equipment. An annual meeting of the laboratory technicians allows for review of
results and discussion of each method and provides a forum for communication of
experience and techniques that are of value to new analysts in the group. The
monthly proficiency testing sample exchanges have the added benefit of producing
all-laboratory mean reference values for a set of 14 milks that can be used for
calibration, evaluation, and troubleshooting of calibration adjustment issues on
infrared milk analyzers.
PMID- 27209130
TI - Validation of simultaneous deregression of cow and bull breeding values and
derivation of appropriate weights.
AB - Training of genomic prediction in dairy cattle may use deregressed proofs (DRP)
as phenotypes. In this case, DRP should be estimated breeding values (EBV)
corrected for information of relatives included in the data used for genomic
prediction, and adjusted for regression to the mean (i.e., their reliability).
Deregression is especially important when combining animals with EBV with low
reliability, as commonly the case for cows, and high reliability. The objective
of this paper, therefore, was to compare the performance of different
deregression procedures for data that include both cow and bull EBV, and to
develop and test procedures to obtain the appropriate deregressed weights for the
DRP. Considered DRP were EBV: without any adjustment, adjusted for information of
parents and regression to the mean, or adjusted for information of all relatives
and regression to the mean. Considered deregressed weights were weights of
initial EBV: without any adjustment, adjusted for information of parents, or
adjusted for information of all relatives. The procedures were compared using
simulated data based on an existing pedigree with 1,532 bulls and 13,720 cows
that were considered to be included in the data used for genomic prediction. For
each cow, 1 to 5 records were simulated. For each bull, an additional 50 to 200
daughters with 1 record each were simulated to generate a source of data that was
not used for genomic prediction. The simulated trait had either a heritability of
0.05 or 0.3. The validation involved 3 steps: (1) computation of initial EBV and
weights, (2) deregression of those EBV and weights, (3) using deregressed EBV and
weights to compute final EBV, (4) comparison of the initial and final EBV and
weights. The methods developed to compute appropriate weights for the DRP were
either very precise and computationally somewhat demanding for larger data sets,
or were less precise but computationally trivial due their approximate nature.
Adjusting DRP for all relatives, known as matrix deregression, yields by
definition final EBV that are identical to the original EBV. Matrix deregression
is therefore preferred over other approaches that only correct for information of
parents or not performing any deregression at all. It is important to use
appropriate weights for the DRP, properly corrected for information of relatives,
especially when individual reliabilities of final EBV are computed based on the
prediction error variance of the model.
PMID- 27209131
TI - Detection, identification, and typing of Listeria species from baled silages fed
to dairy cows.
AB - Anaerobiosis, critical for successful ensilage, constitutes a challenge in baled
silages. The loss of complete anaerobiosis causes aerobic deterioration and
silages undergo dry matter and nutrient losses, pathogen growth, and mycotoxin
production. Silage may represent an ideal substrate for Listeria monocytogenes, a
pathogen of primary concern in several cheeses. The aim of this research was to
investigate the occurrence of Listeria in baled silage fed to cows producing milk
for a protected designation of origin cheese, and to characterize isolates by
repetitive sequence-based PCR. Listeria spp. were detected in 21 silages and L.
monocytogenes in 6 out of 80 of the analyzed silages; 67% of positives were found
in molded zones. Results of the PCR typing showed genotypic homogeneity: 72.9 and
78.8% similarity between strains of Listeria spp. (n=56) and L. monocytogenes
(n=24), respectively. Identical profiles were recovered in molded and nonmolded
areas, indicating that contamination may have occurred during production. The
application of PCR allowed the unambiguous identification of Listeria isolated
from baled silages, and repetitive sequence-based PCR allowed a rapid and
effective typing of isolates. Results disclose the potential of the systematic
typing of Listeria in primary production, which is needed for the understanding
of its transmission pathways.
PMID- 27209132
TI - Invited review: Role of bacterial endotoxins in the etiopathogenesis of
periparturient diseases of transition dairy cows.
AB - The dairy industry continues to suffer severe economic losses due to the
increased disease incidence cows experience during the transition period. It has
long been the classical view that the major contributing factor to the
development of these periparturient diseases is the considerable increase in
nutritional demands for milk production. This classical view, however, fails to
account for the substantial correlation between both metabolic and infectious
diseases and the detrimental effects that can occur with the provision of high
energy diets to support these nutritional demands. Currently, increasing evidence
implicates bacterial endotoxins in the etiopathology of most periparturient
diseases. Bacterial endotoxins are components of the outer cell wall of gram
negative and gram-positive bacteria that are highly immunostimulatory and can
trigger proinflammatory immune responses. The ability of endotoxins to
translocate from the mucosal tissues, including the gastrointestinal tract,
mammary gland, and uterus, into the systemic circulation has been observed. Once
they have entered the circulation, endotoxins potentially contribute to disease
either directly, through eliciting an inflammatory response, or indirectly
through other factors such as the overreaction of the natural protective
mechanisms of the host. Although the evidence implicating a role of endotoxins in
the pathogenesis of transition diseases continues to grow, our current knowledge
of the host response to mucosal endotoxin exposure and pathogenic mechanisms
remain largely unknown. Developing our understanding of the connection between
endotoxemia and dairy cattle disease holds significant potential for the future
development of preventative measures that could benefit the productivity of the
dairy industry as well as animal welfare.
PMID- 27209133
TI - Maternal rumen-protected methionine supplementation and its effect on blood and
liver biomarkers of energy metabolism, inflammation, and oxidative stress in
neonatal Holstein calves.
AB - In nonruminants, nutrition during pregnancy can program offspring development,
metabolism, and health in later life. Rumen-protected Met (RPM) supplementation
during the prepartum period improves liver function and immune response in dairy
cows. Our aim was to investigate the effects of RPM during late pregnancy on
blood biomarkers (23 targets) and the liver transcriptome (24 genes) in neonatal
calves from cows fed RPM at 0.08% of diet dry matter/d (MET) for the last 21 d
before calving or controls (CON). Blood (n=12 calves per diet) was collected at
birth before receiving colostrum (baseline), 24 h after receiving colostrum, 14,
28, and 50 d (post-weaning) of age. Liver was sampled (n=8 calves per diet) via
biopsy on d 4, 14, 28, and 50 of age. Growth and health were not affected by
maternal diet. The MET calves had greater overall plasma insulin concentration
and lower glucose and ratios of glucose-to-insulin and fatty acids-to-insulin,
indicating greater systemic insulin sensitivity. Lower concentration of reactive
oxygen metabolites at 14 d of age along with a tendency for lower overall
concentration of ceruloplasmin in MET calves indicated a lesser degree of stress.
Greater expression on d 4 of fructose-bisphosphatase 1 (FBP1),
phosphoenolpyruvate carboxykinase 1 (PCK1), and the facilitated bidirectional
glucose transporter SLC2A2 in MET calves indicated alterations in gluconeogenesis
and glucose uptake and release. The data agree with the greater expression of the
glucocorticoid receptor (GR). Greater expression on d 4 of the insulin receptor
(INSR) and insulin-responsive serine/threonine-protein kinase (AKT2) in MET
calves indicated alterations in insulin signaling. In that context, the similar
expression of sterol regulatory element-binding transcription factor 1 (SREBF1)
in CON and MET during the preweaning period followed by the marked upregulation
regardless of diet after weaning (d 50) support the idea of changes in hepatic
insulin sensitivity during early postnatal life. Expression of carnitine
palmitoyltransferase 1A (CPT1A) was overall greater and acyl-CoA oxidase 1
(ACOX1) was lower in MET calves, indicating alterations in fatty acid oxidation.
Except forkhead box O1 (FOXO1), all genes changed in expression over time.
Transcriptome results indicated that calves from MET-supplemented cows underwent
a faster maturation of gluconeogenesis and fatty acid oxidation in the liver,
which would be advantageous for adapting to the metabolic demands of extrauterine
life.
PMID- 27209134
TI - The effect of sampling technique on PCR-based bacteriological results of bovine
milk samples.
AB - The aim of the study was to evaluate the effect of sampling technique on the
microbiological results of bovine milk samples using multiplex real-time PCR.
Comparison was made between a technique where the milk sample was taken directly
from the udder cistern of the udder quarter using a needle and vacuum tube and
conventional sampling. The effect of different cycle threshold (Ct) cutoff limits
on the results was also tested to estimate the amount of amplified DNA in the
samples. A total of 113 quarters from 53 cows were tested pairwise using both
techniques, and each sample was studied with real-time PCR. Sampling from the
udder cistern reduced the number of species per sample compared with conventional
sampling. In conventional samples, the number of positive Staphylococcus spp.
results was over twice that of samples taken with the needle technique,
indicating that most of the Staphylococcus spp. originated from the teat or
environmental sources. The Ct values also showed that Staphylococcus spp. were
present in most samples only in low numbers. Routine use of multiplex real-time
PCR in mastitis diagnostics could benefit from critical evaluation of positive
Staphylococcus spp. results with Ct values between 34.0 and 37.0. Our results
emphasize the importance of a careful aseptic milk sampling technique and a
microbiologically positive result for a milk sample should not be automatically
interpreted as an intramammary infection or mastitis.
PMID- 27209135
TI - Serum interleukin-6 as a prognostic marker in neonatal calf diarrhea.
AB - Neonatal calf diarrhea is still one of the most important diseases in calf
rearing, and severe diarrhea has a marked effect on animal welfare. Furthermore,
significant economic losses can result from this disease due to high mortality
rates, high medical costs, and low weight gain. To avoid a fatal outcome of the
disease, it is crucial that vulnerable calves are identified as early as
possible. Interleukin-6 is described as an early and reliable prognostic marker
in several diseases. In this study, 20 scouring calves were tested by ELISA for
their IL-6 serum concentrations. Samples were collected twice, at the beginning
of diarrhea and 7 to 10d later. Regarding the clinical outcome after 7 to 10d,
calves were classified as recovered or nonrecovered. A receiver operating
characteristic analysis was conducted to determine the prognostic value of IL-6
for the progress of clinical symptoms. At the beginning of diarrhea, the IL-6
concentration was significantly higher in nonrecovering calves compared with
those that recover 7 to 10d after the onset of diarrhea. Interleukin-6 proved to
be a useful additional parameter in the clinical examination. High initial IL-6
values can support the decision for closer monitoring and an adapted therapeutic
strategy for the respective calves. This may help to prevent unnecessary animal
suffering and reduce economic losses.
PMID- 27209136
TI - Associated effects of copy number variants on economically important traits in
Spanish Holstein dairy cattle.
AB - Copy number variants (CNV) are structural variants consisting of duplications or
deletions of genomic fragments longer than 1 kb that present variability in the
population and are heritable. The objective of this study was to identify CNV
regions (CNVR) associated with 7 economically important traits (production,
functional, and type traits) in Holstein cattle: fat yield, protein yield,
somatic cell count, days open, stature, foot angle, and udder depth. Copy number
variants were detected by using deep-sequencing data from 10 sequenced bulls and
the Bovine SNP chip array hybridization signals. To reduce the number of false
positive calls, only CNV identified by both sequencing and Bovine SNP chip assays
were kept in the final data set. This resulted in 823 CNVR. After filtering by
minor allele frequency >0.01, a total of 90 CNVR appeared segregating in the
bulls that had phenotypic data. Linear and quadratic CNVR effects were estimated
using Bayesian approaches. A total of 15 CNVR were associated with the traits
included in the analysis. One CNVR was associated with fat and protein yield,
another 1 with fat yield, 3 with stature, 1 with foot angle, 7 with udder depth,
and only 1 with days open. Among the genes located within these regions,
highlighted were the MTHFSD gene that belongs to the folate metabolism genes,
which play critical roles in regulating milk protein synthesis; the SNRPE gene
that is related to several morphological pathologies; and the NF1 gene, which is
associated with potential effects on fertility traits. The results obtained in
the current study revealed that these CNVR segregate in the Holstein population,
and therefore some potential exists to increase the frequencies of the favorable
alleles in the population after independent validation of results in this study.
However, genetic variance explained by the variants reported in this study was
small.
PMID- 27209137
TI - Insulin signaling, inflammation, and lipolysis in subcutaneous adipose tissue of
transition dairy cows either overfed energy during the prepartum period or fed a
controlled-energy diet.
AB - Adipose tissue mobilization is a hallmark of the transition period in dairy cows.
Cows overfed energy during the dry period have higher concentrations of
nonesterified fatty acids (NEFA) and beta-hydroxybutyrate (BHB) compared with
cows fed a controlled-energy diet prepartum. The reason for an increase in blood
NEFA concentrations at the level of adipose tissue in cows overfed energy has not
been fully elucidated. One hypothesis is that cows with high BHB concentrations
suffer from adipose tissue-specific insulin resistance, leading to higher rates
of adipose tissue mobilization in the postpartum period. To test this hypothesis,
subcutaneous adipose tissue biopsies of cows overfed energy in excess of
predicted requirements by 50% in the dry period, and that had high concentrations
of blood BHB postpartum (group H; n=12), were used. Findings were compared with
results of biopsies from cows fed a controlled-energy diet and with low BHB
concentrations postpartum (group C; n=12) to create the biggest contrast in BHB
concentrations. Subcutaneous adipose tissue biopsies were obtained before and 60
min after an intravenous glucose challenge (0.25 g/kg of glucose) at 28 and 10 d
before expected calving as well as on d 4 and 21 postpartum. Phosphorylation of
protein kinase B, extracellular signal-regulated kinase, and hormone-sensitive
lipase was determined before and after glucose infusion by Western blot. Western
blot was also used to assess the baseline protein abundance of peroxisome
proliferator-activated receptor gamma and insulin receptor beta-subunit. In
addition, gene expression of fatty acid synthase, adiponectin, monocyte
chemoattractant protein 1, and tumor necrosis factor alpha was determined by real
time quantitative reverse-transcription PCR. Backfat thickness was determined in
the thurl area by ultrasonography. Cows in group H showed a greater degree of
lipogenesis prepartum, but no differences were found in lipolytic enzyme activity
postpartum compared with cows in group C. Baseline plasma insulin concentrations
were decreased and serum NEFA concentrations increased postpartum in group H.
Insulin signaling through protein kinase B, quantity of insulin receptor, markers
of inflammation, and peroxisome proliferator-activated receptor gamma in adipose
tissue were not different between the groups, but expression of adiponectin was
increased in adipose tissue of cows in group H during the immediate peripartum
period. In conclusion, differences in serum concentrations of NEFA between cows
overfed energy prepartum and high blood concentrations of BHB are likely due to
greater negative energy balance postpartum reflected in lower circulating
concentrations of glucose and insulin and an increase in the total amount of
mobilized adipose tissue mass rather than due to changes in adipose tissue
insulin signaling.
PMID- 27209139
TI - Short communication: Preference for flavored concentrate premixes by dairy cows.
AB - Flavor preferences may be used to stimulate feed intake in dairy cows, which may
improve use of robotic milking systems and increase feed intake of sick cows. A
cafeteria-design experiment was used to determine if dairy cows have flavor
preferences. Sixteen lactating Holstein cows averaging 197+/-32d in milk, 1.9+/
0.8 lactations, 27.8+/-4.2kg/d of dry matter intake, and 41.5+/-7.4kg/d of milk
yield were involved in the experiment. Cows were offered 7 flavored concentrate
premixes (FCP) and 1 control premix. The FCP flavors were anise, fenugreek,
honey, orange, thyme, molasses, and vanilla; the absence of flavor, neutral,
acted as a control. The inclusion rate of the flavors in FCP was 250 to 300g/t on
an as-is basis. Cows were not adapted to the flavors before the experiment. Cows
were housed in a tiestall barn and offered, on each day, 4 different FCP (1kg
each) in plastic bins placed in front of each cow. The experiment lasted 6
consecutive days. Each FCP was presented to each cow once every 2d, 2h after the
morning feeding. Flavors and position of the bins in front of the cows were
randomized. As a result, each flavor was presented to each cow 3 times during the
experiment, at 3 different bin locations. Each cow had access to the FCP for 5min
from the time they started eating. Eating time and amount eaten were recorded.
The vanilla and fenugreek FCP were consumed the most, at 408 and 371g/5-min
offering, respectively, whereas the orange and anise FCP were consumed the least,
at 264 and 239g/5-min offering, respectively. Similarly, cows spent the most time
eating the vanilla and fenugreek FCP at 99 and 75 s/offering, respectively, and
the least amount of time eating the orange and anise FCP at 49 and 50 s/offering,
respectively. We detected an effect of bin position: the 2 center FCP were
consumed more than the outer 2 FCP. Flavor had no effect on consumption rate. In
conclusion, relative to the control, concentrate intake was not affected by
flavor, but dairy cows may prefer vanilla or fenugreek flavors when offered a
novel choice.
PMID- 27209138
TI - Short communication: In vitro antimicrobial susceptibility of Mycoplasma bovis
isolates identified in milk from dairy cattle in Belgium, Germany, and Italy.
AB - The objective of this study was to assess the in vitro antimicrobial
susceptibility of 73 isolates of Mycoplasma bovis isolated from milk of dairy
cattle herds of Belgium, Germany, and Italy. Minimal inhibitory concentration
(MIC) values were determined by the microbroth dilution method for the following
antimicrobials: erythromycin, spiramycin, tilmicosin, tylosin, lincomycin,
enrofloxacin, doxycycline, oxytetracycline, florfenicol, and tiamulin.
Macrolides, florfenicol, oxytetracycline, and enrofloxacin, were chosen because
they represent antimicrobials families commonly used in several countries for
treatment of M. bovis, and their MIC values in cattle population are reported in
several studies, allowing a comparison with previous data. Doxycycline and
tiamulin were selected to assess the susceptibility of M. bovis to new
antimicrobials, because they are not registered in the European Union for the
treatment of dairy cattle. Among the agents of the different antimicrobial
classes, the macrolides showed the highest concentration to inhibit 90% of
isolates (MIC90), all above the highest concentration tested: >8MUg/mL for
erythromycin, >16MUg/mL for spiramycin, and >32MUg/mL for tilmicosin and tylosin.
Also the MIC90 of lincomycin was above the highest concentration tested
(>32MUg/mL), but the distribution of the MIC values was almost perfectly bimodal:
41 isolates had a MIC <=0.5MUg/mL and 30 isolates >32MUg/mL. Oxytetracycline had
a 2-fold higher concentration to inhibit 50% of isolates (2 vs. 0.5MUg/mL) and 1
fold higher MIC90 (4 vs. 2MUg/mL) than doxycycline. Enrofloxacin and florfenicol
had both a MIC90 of 2MUg/mL, whereas tiamulin had a MIC90 of 0.5MUg/mL.
Significant differences on the MIC values were found among the 3 countries for
several antimicrobials: compared with Germany, Belgium and Italy showed
significantly higher MIC for lincomycin, spiramycin, and tylosin, and lower for
oxytetracycline and florfenicol. The Belgian isolates showed the lowest MIC for
enrofloxacin compared with Germany and Italy. The MIC results obtained in our
study suggest the presence of a high level of resistance of M. bovis isolates
originating from milk to macrolides in all countries involved in this study. On
the contrary, a low level of resistance was found against the antimicrobials that
are not used in cattle, such as tiamulin and doxycycline, highlighting a possible
link between antimicrobial treatments and development of resistance in the
studied M. bovis population.
PMID- 27209140
TI - The aggregation behavior and interactions of yak milk protein under thermal
treatment.
AB - The aggregation behavior and interactions of yak milk protein were investigated
after heat treatments. Skim yak milk was heated at temperatures in the range of
65 to 95 degrees C for 10 min. The results showed that the whey proteins in yak
milk were denatured after heat treatment, especially at temperatures higher than
85 degrees C. Sodium dodecyl sulfate-PAGE analysis indicated that heat treatment
induced milk protein denaturation accompanied with aggregation to a certain
extent. When the heating temperature was 75 and 85 degrees C, the aggregation
behavior of yak milk proteins was almost completely due to the formation of
disulfide bonds, whereas denatured alpha-lactalbumin and beta-lactoglobulin
interacted with kappa-casein. When yak milk was heated at 85 and 95 degrees C,
other noncovalent interactions were found between proteins including hydrophobic
interactions. The particle size distributions and microstructures demonstrated
that the heat stability of yak milk proteins was significantly lowered by heat
treatment. When yak milk was heated at 65 and 75 degrees C, no obvious changes
were found in the particle size distribution and microstructures in yak milk.
When the temperature was 85 and 95 degrees C, the particle size distribution
shifted to larger size trend and aggregates were visible in the heated yak milk.
PMID- 27209141
TI - Liver X receptor alpha promotes the synthesis of monounsaturated fatty acids in
goat mammary epithelial cells via the control of stearoyl-coenzyme A desaturase 1
in an SREBP-1-dependent manner.
AB - Stearoyl-coenzyme A desaturase 1 (SCD1) is a pivotal enzyme in the biosynthesis
of monounsaturated fatty acids (MUFA). It is tightly regulated by transcription
factors that control lipogenesis. In nonruminants, liver X receptor alpha
(LXRalpha) is a nuclear receptor and transcription factor that acts as a key
sensor of cholesterol and lipid homeostasis. However, the mechanism whereby
LXRalpha regulates the expression and transcriptional activity of SCD1 in
ruminant mammary cells remains unknown. In this study with goat mammary
epithelial cells (GMEC), the LXRalpha agonist T 4506585 (T09) markedly enhanced
the mRNA expression of SCD1 and sterol regulatory element binding factor 1
(SREBF1). The concentrations of C16:1 and C18:1 and their desaturation indices
also were increased by LXRalpha activation. However, knockdown of LXRalpha did
not alter the mRNA expression of SCD1. Although SCD1 was repressed by SREBF1
knockdown, T09 significantly increased SCD1 expression. Further analysis revealed
that the SCD1 promoter activity was activated by LXRalpha overexpression. The
goat SCD1 promoter contains 2 LXR response elements (LXRE), 1 sterol response
element (SRE), and 1 nuclear factor Y (NF-Y) binding site. Site-directed
mutagenesis of LXRE1, LXRE2, or SRE alone did not eliminate the upregulation of
SCD1 when LXRalpha was overexpressed. In contrast, when NF-Y alone or in
combination with SRE was mutated simultaneously, the basal transcriptional
activity of the SCD1 promoter was markedly decreased and did not respond to
LXRalpha overexpression. Furthermore, when SREBF1 was knocked down,
overexpression of LXRalpha did not affect the promoter activity of SCD1.
Together, these data suggest that LXRalpha regulates the expression of SCD1
through increasing SREBP-1 abundance to promote interaction with SRE and NF-Y
binding sites. The present study provides evidence that LXRalpha is involved in
the synthesis of MUFA in the goat mammary gland through an indirect mechanism.
PMID- 27209142
TI - Australian pharmacists' willingness to conduct rapid HIV testing in community
pharmacies.
AB - Community pharmacists are expanding their roles in health care, especially as
they are distributed over wide geographical areas and are often open long hours.
New rapid HIV testing technologies may offer further opportunities to expand
their roles. A cross-sectional, online survey of Australian community pharmacists
found most prepared to provide treatment to HIV patients, with the majority
willing to become involved in rapid HIV testing.
PMID- 27209143
TI - The burden of mental, neurological, and substance use disorders in China and
India: a systematic analysis of community representative epidemiological studies.
AB - BACKGROUND: China and India jointly account for 38% of the world population, so
understanding the burden attributed to mental, neurological, and substance use
disorders within these two countries is essential. As part of the Lancet/Lancet
Psychiatry China-India Mental Health Alliance Series, we aim to provide estimates
of the burden of mental, neurological, and substance use disorders for China and
India from the Global Burden of Disease Study 2013 (GBD 2013). METHODS: In this
systematic analysis for community representative epidemiological studies, we
conducted systematic reviews in line with PRISMA guidelines for community
representative epidemiological studies. We extracted estimates of prevalence,
incidence, remission and duration, and mortality along with associated
uncertainty intervals from GBD 2013. Using these data as primary inputs, DisMod
MR 2.0, a Bayesian meta-regression instrument, used a log rate and incidence
prevalence-mortality mathematical model to develop internally consistent
epidemiological models. Disability-adjusted life-year (DALY) changes between 1990
and 2013 were decomposed to quantify change attributable to population growth and
ageing. We projected DALYs from 2013 to 2025 for mental, neurological, and
substance use disorders using United Nations population data. FINDINGS: Around a
third of global DALYs attributable to mental, neurological, and substance use
disorders were found in China and India (66 million DALYs), a number greater than
all developed countries combined (50 million DALYs). Disease burden profiles
differed; India showed similarities with other developing countries (around 50%
of DALYs attributable to non-communicable disease), whereas China more closely
resembled developed countries (around 80% of DALYs attributable to non
communicable disease). The overall population growth in India explains a greater
proportion of the increase in mental, neurological, and substance use disorder
burden from 1990 to 2013 (44%) than in China (20%). The burden of mental,
neurological, and substance use disorders is estimated to increase by 10% in
China and 23% in India between 2013 and 2025. INTERPRETATION: The current and
projected burden of mental, neurological, and substance use disorders in China
and India warrants the urgent prioritisation of programmes focused on targeted
prevention, early identification, and effective treatment. FUNDING: China Medical
Board, Bill & Melinda Gates Foundation.
PMID- 27209144
TI - The benefits of aspirin in early secondary stroke prevention.
PMID- 27209145
TI - Reforming mental health in China and India.
PMID- 27209147
TI - Pauline Cafferkey: dedicated nurse and reluctant Ebola hero.
PMID- 27209146
TI - Effects of aspirin on risk and severity of early recurrent stroke after transient
ischaemic attack and ischaemic stroke: time-course analysis of randomised trials.
AB - BACKGROUND: Aspirin is recommended for secondary prevention after transient
ischaemic attack (TIA) or ischaemic stroke on the basis of trials showing a 13%
reduction in long-term risk of recurrent stroke. However, the risk of major
stroke is very high for only the first few days after TIA and minor ischaemic
stroke, and observational studies show substantially greater benefits of early
medical treatment in the acute phase than do longer-term trials. We hypothesised
that the short-term benefits of early aspirin have been underestimated. METHODS:
Pooling the individual patient data from all randomised trials of aspirin versus
control in secondary prevention after TIA or ischaemic stroke, we studied the
effects of aspirin on the risk and severity of recurrent stroke, stratified by
the following time periods: less than 6 weeks, 6-12 weeks, and more than 12 weeks
after randomisation. We compared the severity of early recurrent strokes between
treatment groups with shift analysis of modified Rankin Scale (mRS) score. To
understand possible mechanisms of action, we also studied the time course of the
interaction between effects of aspirin and dipyridamole in secondary prevention
of stroke. In a further analysis we pooled data from trials of aspirin versus
control in which patients were randomised less than 48 h after major acute
stroke, stratified by severity of baseline neurological deficit, to establish the
very early time course of the effect of aspirin on risk of recurrent ischaemic
stroke and how this differs by severity at baseline. FINDINGS: We pooled data for
15,778 participants from 12 trials of aspirin versus control in secondary
prevention. Aspirin reduced the 6 week risk of recurrent ischaemic stroke by
about 60% (84 of 8452 participants in the aspirin group had an ischaemic stroke
vs 175 of 7326; hazard ratio [HR] 0.42, 95% CI 0.32-0.55, p<0.0001) and disabling
or fatal ischaemic stroke by about 70% (36 of 8452 vs 110 of 7326; 0.29, 0.20
0.42, p<0.0001), with greatest benefit noted in patients presenting with TIA or
minor stroke (at 0-2 weeks, two of 6691 participants in the aspirin group with
TIA or minor stroke had a disabling or fatal ischaemic stroke vs 23 of 5726 in
the control group, HR 0.07, 95% CI 0.02-0.31, p=0.0004; at 0-6 weeks, 14 vs 60
participants, 0.19, 0.11-0.34, p<0.0001). The effect of aspirin on early
recurrent ischaemic stroke was due partly to a substantial reduction in severity
(mRS shift analysis odds ratio [OR] 0.42, 0.26-0.70, p=0.0007). These effects
were independent of dose, patient characteristics, or aetiology of TIA or stroke.
Some further reduction in risk of ischaemic stroke accrued for aspirin only
versus control from 6-12 weeks, but there was no benefit after 12 weeks (stroke
risk OR 0.97, 0.84-1.12, p=0.67; severity mRS shift OR 1.00, 0.77-1.29, p=0.97).
By contrast, dipyridamole plus aspirin versus aspirin alone had no effect on risk
or severity of recurrent ischaemic stroke within 12 weeks (OR 0.90, 95% CI 0.65
1.25, p=0.53; mRS shift OR 0.90, 0.37-1.72, p=0.99), but dipyridamole did reduce
risk thereafter (0.76, 0.63-0.92, p=0.005), particularly of disabling or fatal
ischaemic stroke (0.64, 0.49-0.84, p=0.0010). We pooled data for 40,531
participants from three trials of aspirin versus control in major acute stroke.
The reduction in risk of recurrent ischaemic stroke at 14 days was most evident
in patients with less severe baseline deficits, and was substantial by the second
day after starting treatment (2-3 day HR 0.37, 95% CI 0.25-0.57, p<0.0001).
INTERPRETATION: Our findings confirm that medical treatment substantially reduces
the risk of early recurrent stroke after TIA and minor stroke and identify
aspirin as the key intervention. The considerable early benefit from aspirin
warrants public education about self-administration after possible TIA. The
previously unrecognised effect of aspirin on severity of early recurrent stroke,
the diminishing benefit with longer-term use, and the contrasting time course of
effects of dipyridamole have implications for understanding mechanisms of action.
FUNDING: Wellcome Trust, the National Institute of Health Research (NIHR)
Biomedical Research Centre, Oxford.
PMID- 27209148
TI - Late Ebola virus relapse causing meningoencephalitis: a case report.
AB - BACKGROUND: There are thousands of survivors of the 2014 Ebola outbreak in west
Africa. Ebola virus can persist in survivors for months in immune-privileged
sites; however, viral relapse causing life-threatening and potentially
transmissible disease has not been described. We report a case of late relapse in
a patient who had been treated for severe Ebola virus disease with high viral
load (peak cycle threshold value 13.2). METHODS: A 39-year-old female nurse from
Scotland, who had assisted the humanitarian effort in Sierra Leone, had received
intensive supportive treatment and experimental antiviral therapies, and had been
discharged with undetectable Ebola virus RNA in peripheral blood. The patient was
readmitted to hospital 9 months after discharge with symptoms of acute
meningitis, and was found to have Ebola virus in cerebrospinal fluid (CSF). She
was treated with supportive therapy and experimental antiviral drug GS-5734
(Gilead Sciences, San Francisco, Foster City, CA, USA). We monitored Ebola virus
RNA in CSF and plasma, and sequenced the viral genome using an unbiased
metagenomic approach. FINDINGS: On admission, reverse transcriptase PCR
identified Ebola virus RNA at a higher level in CSF (cycle threshold value 23.7)
than plasma (31.3); infectious virus was only recovered from CSF. The patient
developed progressive meningoencephalitis with cranial neuropathies and
radiculopathy. Clinical recovery was associated with addition of high-dose
corticosteroids during GS-5734 treatment. CSF Ebola virus RNA slowly declined and
was undetectable following 14 days of treatment with GS-5734. Sequencing of
plasma and CSF viral genome revealed only two non-coding changes compared with
the original infecting virus. INTERPRETATION: Our report shows that previously
unanticipated, late, severe relapses of Ebola virus can occur, in this case in
the CNS. This finding fundamentally redefines what is known about the natural
history of Ebola virus infection. Vigilance should be maintained in the thousands
of Ebola survivors for cases of relapsed infection. The potential for these cases
to initiate new transmission chains is a serious public health concern. FUNDING:
Royal Free London NHS Foundation Trust.
PMID- 27209149
TI - The magnitude of and health system responses to the mental health treatment gap
in adults in India and China.
AB - This Series paper describes the first systematic effort to review the unmet
mental health needs of adults in China and India. The evidence shows that contact
coverage for the most common mental and substance use disorders is very low.
Effective coverage is even lower, even for severe disorders such as psychotic
disorders and epilepsy. There are vast variations across the regions of both
countries, with the highest treatment gaps in rural regions because of inequities
in the distribution of mental health resources, and variable implementation of
mental health policies across states and provinces. Human and financial resources
for mental health are grossly inadequate with less than 1% of the national health
care budget allocated to mental health in either country. Although China and
India have both shown renewed commitment through national programmes for
community-oriented mental health care, progress in achieving coverage is far more
substantial in China. Improvement of coverage will need to address both supply
side barriers and demand-side barriers related to stigma and varying explanatory
models of mental disorders. Sharing tasks with community-based workers in a
collaborative stepped-care framework is an approach that is ripe to be scaled up,
in particular through integration within national priority health programmes.
India and China need to invest in increasing demand for services through active
engagement with the community, to strengthen service user leadership and ensure
that the content and delivery of mental health programmes are culturally and
contextually appropriate.
PMID- 27209150
TI - From regions to connections and networks: new bridges between brain and behavior.
AB - Connections and interactions among distributed brain areas are increasingly
recognized as the basis for cognitive operations and a diverse repertoire of
behaviors. Analytic advances have allowed for brain connectivity to be
represented and quantified at multiple levels: from single connections to
communities and networks. This review traces the trajectory of network
neuroscience, focusing on how connectivity patterns can be related to cognition
and behavior. As recent initiatives for open science provide access to imaging
and phenotypic data with great detail and depth, we argue that approaches capable
of directly modeling multivariate relationships between brain and behavior will
become increasingly important in the field.
PMID- 27209151
TI - Apolipoprotein B is regulated by gonadotropins and constitutes a predictive
biomarker of IVF outcomes.
AB - BACKGROUND: Follicular fluid (FF) is an important micro-environment influencing
oocyte growth, its development competence, and embryo viability. The FF content
analysis allows to identify new relevant biomarkers, which could be predictive of
in vitro fertilization (IVF) outcomes. Inside ovarian follicle, the amount of FF
components from granulosa cells (GC) secretion, could be regulated by
gonadotropins, which play a major role in follicle development. METHODS: This
prospective study included 61 female undergoing IVF or Intra-cytoplasmic sperm
injection (ICSI) procedure. Apolipoprotein B (APOB) concentrations in follicular
fluid and APOB gene and protein expression in granulosa cells from reproductively
aged women undergoing an in vitro fertilization program were measured. The
statistical analyses were performed according to a quartile model based on the
amount of APOB level found in FF. RESULTS: Amounts of APOB were detected in human
FF samples (mean +/- SD: 244.6 +/- 185.9 ng/ml). The odds of obtaining an oocyte
in the follicle and a fertilized oocyte increased significantly when APOB level
in FF was higher than 112 ng/ml [i.e., including in Quartile Q 2, Q3 and Q4] (p =
0.001; p < 0.001, respectively). The probabilities of obtaining an embryo and a
top quality embryo on day 2, were significantly higher if APOB levels were within
the ranges of 112 and 330 ng/ml (i.e. in Q2 and Q3) or 112 and 230 ng/ml (i.e. in
Q2), respectively (p < 0.001; p = 0.047, respectively). In addition, our
experiments in vitro indicated that APOB gene and protein expression, along with
APOB content into culture were significantly under-expressed in GC upon
stimulation with gonadotropins (follicular stimulating hormone: FSH and/or human
chorionic gonadotropin: hCG). CONCLUSION: We are reporting a positive and
statistically significant associations between APOB and oocyte retrieval, oocyte
fertilization, and embryo quality. Using an experimental study component, the
authors report significant reduced APOB expression and content for luteinized
granulosa cells cultured in the presence of gonadotropins.
PMID- 27209152
TI - Prevalence and Factors Associated With Postpartum Depression in Fathers: A
Regional, Longitudinal Study in Japan.
AB - Paternal postpartum depression may affect not only the mental health and
wellbeing of fathers but their partners and children. We investigated the point
and period prevalence of paternal postpartum depression and its association with
factors measured during pregnancy in a regional longitudinal study in Nishio
City, Aichi Prefecture, Japan, between December 1, 2012, and April 30, 2013. Data
were collected once in pregnancy and five times in the first three months
postpartum. The Edinburgh Postnatal Depression Scale was used to assess paternal
depression, and data were collected in pregnancy on demographic and psychosocial
factors. Of 215 fathers who returned at least one of the five postpartum
assessments, 36 (17%) reported symptoms of depression in the first three months
after birth. In logistic regression analyses, among a number of demographic and
psychosocial characteristics that previously had been linked to paternal
postpartum depression, only fathers' history of psychiatric treatment and
depressive symptoms during pregnancy were associated with paternal depressive
symptoms in the postnatal period. The results add to the growing body of evidence
on prevalence of paternal postnatal depression and indicate that assessment and
support for fathers are important starting in pregnancy. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27209153
TI - Macroscopic modeling of pedestrian and bicycle crashes: A cross-comparison of
estimation methods.
AB - The paper presents a cross-comparison of different estimation methods to model
pedestrian and bicycle crashes. The study contributes to macro level safety
studies by providing further methodological and empirical evidence on the various
factors that influence the frequency of pedestrian and bicycle crashes at the
planning level. Random parameter negative binomial (RPNB) models are estimated to
explore the effects of various planning factors associated with total, serious
injury and minor injury crashes while accounting for unobserved heterogeneity.
Results of the RPNB models were compared with the results of a non-spatial
negative binomial (NB) model and a Poisson-Gamma-CAR model. Key findings are, (1)
the RPNB model performed best with the lowest mean absolute deviation, mean
squared predicted error and Akaiki information criterion measures and (2) signs
of estimated parameters are consistent if these variables are significant in
models with the same response variables. We found that vehicle kilometers
traveled (VKT), population, percentage of commuters cycling or walking to work,
and percentage of households without motor vehicles have a significant and
positive correlation with the number of pedestrian and bicycle crashes. Mixed
land use is also found to have a positive association with the number of
pedestrian and bicycle crashes. Results have planning and policy implications
aimed at encouraging the use of sustainable modes of transportation while
ensuring the safety of pedestrians and cyclist.
PMID- 27209154
TI - Dynamic programming-based hot spot identification approach for pedestrian
crashes.
AB - Network screening techniques are widely used by state agencies to identify
locations with high collision concentration, also referred to as hot spots.
However, most of the research in this regard has focused on identifying highway
segments that are of concern to automobile collisions. In comparison, pedestrian
hot spot detection has typically focused on analyzing pedestrian crashes in
specific locations, such as at/near intersections, mid-blocks, and/or other
crossings, as opposed to long stretches of roadway. In this context, the
efficiency of the some of the widely used network screening methods has not been
tested. Hence, in order to address this issue, a dynamic programming-based hot
spot identification approach is proposed which provides efficient hot spot
definitions for pedestrian crashes. The proposed approach is compared with the
sliding window method and an intersection buffer-based approach. The results
reveal that the dynamic programming method generates more hot spots with a higher
number of crashes, while providing small hot spot segment lengths. In comparison,
the sliding window method is shown to suffer from shortcomings due to a first
come-first-serve approach vis-a-vis hot spot identification and a fixed hot spot
window length assumption.
PMID- 27209155
TI - The effects of brief visual interruption tasks on drivers' ability to resume
their visual search for a pre-cued hazard.
AB - Driver visual distraction is known to increase the likelihood of being involved
in a crash, especially for long glances inside the vehicle. The detrimental
impact of these in-vehicle glances may carry over and disrupt the ongoing
processing of information after the driver glances back up on the road. This
study explored the effect of different types of visual tasks inside the vehicle
on the top-down processes that guide the detection and monitoring of road hazards
after the driver glances back towards the road. Using a driving simulator, 56
participants were monitored with an eye tracking system while they navigated
various hazardous scenarios in one of four experimental conditions. In all
conditions, a potential hazard was visible 4-5s before the driver could strike
the potential hazard were it to materialize. All interruptions were exactly two
seconds in length. After the interruption the potential hazard again became
visible for about a half-second after which the driver passed by the hazard. The
nature of the in-vehicle visual interruption presented to the participants was
varied across conditions: (1) Visual interruptions comprised of spatial, driving
unrelated, tasks; (2) visual interruptions comprised of non-spatial, driving
unrelated, tasks; (3) visual interruptions with no tasks added; and (4) no visual
interruptions. In the first three conditions drivers glancing on the forward
roadway was momentarily interrupted (either with or without a task) just after
the potential hazard first became visible by the occurrence of an in-vehicle task
lasting two seconds. In the last condition (no interruptions) the driver could
not see the potential hazard after it just became visible because of obstructions
in the built or natural environment. The obstruction (like the interruption)
lasted for two seconds. In other words, across all conditions the hazard was
visible, then became invisible, and finally became visible again. Importantly,
the results show that the presence of an interruption (as opposed to an
obstruction) negatively impacted drivers' ability to anticipate the potential
hazard. Moreover, the various types of interruptions had differential effects on
hazard detection. The implications of this study for the design of in-vehicle
displays are discussed.
PMID- 27209156
TI - The dynamic of non-communicable disease control policy in Indonesia.
AB - Objective The aim of the present study was to examine non-communicable disease
(NCD) policy formation and implementation in Indonesia. Methods Interviews were
conducted with 13 Indonesian health policy workers. The processes and issues
relating to NCD policy formation were mapped, exploring the interactions between
policy makers, technical/implementation bodies, alliances across various levels
and the mobilisation of non-policy actors. Results Problems in NCD policy
formation include insufficient political interest in NCD control, disconnected
policies and difficulty in multisectoral coordination. These problems are well
illustrated in relation to tobacco control, but also apply to other control
efforts. Nevertheless, participants were optimistic that there are plentiful
opportunities for improving NCD control policies given growing global attention
to NCD, increases in the national health budget and the growing body of Indonesia
relevant NCD-related research. Conclusion Indonesia's success in the creation and
implementation of NCD policy will be dependent on high-level governmental
leadership, including support from the President, the Health Minister and
coordinating ministries. What is known about the topic? The burden of NCD in
Indonesia has increased gradually. Nationally, NCD-related mortality accounted
for 65% of deaths in 2010. Indonesia is also a country with the highest burden of
tobacco smoking in the world. However, the government has not instituted
sufficient policy action to tackle NCDs, including tobacco control. What does
this paper add? This paper deepens our understanding of current NCD control
policy formation in Indonesia, including the possible underlying reason why
Indonesia has weak tobacco control policies. It describes the gaps in the current
policies, the actors involved in policy formation, the challenges in policy
formation and implementation and potential opportunities for improving NCD
control. What are the implications for practitioners? An effective NCD control
program requires strong collaboration, including between government and health
professionals. Health professionals can actively engage in policy formation, for
example through knowledge production.
PMID- 27209157
TI - Traditional, complementary, and alternative medicine approaches to mental health
care and psychological wellbeing in India and China.
AB - India and China face the same challenge of having too few trained psychiatric
personnel to manage effectively the substantial burden of mental illness within
their population. At the same time, both countries have many practitioners of
traditional, complementary, and alternative medicine who are a potential resource
for delivery of mental health care. In our paper, part of The Lancet and Lancet
Psychiatry's Series about the China-India Mental Health Alliance, we describe and
compare types of traditional, complementary, and alternative medicine in India
and China. Further, we provide a systematic overview of evidence assessing the
effectiveness of these alternative approaches for mental illness and discuss
challenges in research. We suggest how practitioners of traditional,
complementary, and alternative medicine and mental health professionals might
forge collaborative relationships to provide more accessible, affordable, and
acceptable mental health care in India and China. A substantial proportion of
individuals with mental illness use traditional, complementary, and alternative
medicine, either exclusively or with biomedicine, for reasons ranging from faith
and cultural congruence to accessibility, cost, and belief that these approaches
are safe. Systematic reviews of the effectiveness of traditional, complementary,
and alternative medicine find several approaches to be promising for treatment of
mental illness, but most clinical trials included in these systematic reviews
have methodological limitations. Contemporary methods to establish efficacy and
safety-typically through randomised controlled trials-need to be complemented by
other means. The community of practice built on collaborative relationships
between practitioners of traditional, complementary, and alternative medicine and
providers of mental health care holds promise in bridging the treatment gap in
mental health care in India and China.
PMID- 27209158
TI - [Management and Nursing care for a patient with Lynch syndrome: A case report].
AB - Colorectal cancer is one of the leading causes of death from cancer worldwide.
Main interventions to reduce the impact are aimed to enhance prevention and early
detection. Results of several studies show that tests such as the fecal occult
blood test and colonoscopy are effective for early diagnosis. There are
hereditary syndromes such as Lynch Syndrome that can lead to certain types of
cancers, including bowel neoplasms, therefore early detection needs to be
included as part of the treatment. In these cases, family genetic testing is
recommended if the bowel cancer is diagnosed before 50 years old. A care plan
including the NANDA (North American Nursing Diagnosis Association), NOC (Nursing
Outcomes Classification) and NIC (Nursing Interventions Classification) was
developed for a patient with suspected Lynch Syndrome. Nurses should be qualified
to identify potential cases of cancer associated with this syndrome, and thus,
reduce the likelihood that family members develop the disease, through genetic
counseling and education of environmental risk factors.
PMID- 27209159
TI - What, who, when, where and how to inform patients after an adverse event: a
qualitative study.
AB - OBJECTIVE: To explore suggestions and recommendations for conducting open
disclosure with a patient after an adverse event in a setting without
professionals' legal privileges. METHOD: Qualitative study conducting focus
groups/Metaplan. This study was conducted with physicians and nurses from Primary
Care and Hospitals working in the public health system in Spain. RESULTS: Twenty
seven professionals were involved 8-30 years of experience, 15 (56%) medical and
12 (44%) nurses, 13 (48%) worked in hospitals. Consensus was obtained on: how
(honesty and open and direct language), where (avoid corridors, with privacy),
and when to disclose (with agility but without precipitation, once information is
obtained, and after reflecting on the most suitable according to the nature of
the AE). There was controversy as to what to say to the patient when the AE had
serious consequences and doubts about what type of incidents must be reported;
who should be required to disclose (the professional involved in the AE or other
professional related to the patient, the role of the staff and the management
team); and in which cases an apology can be a problem. CONCLUSIONS: The severity
of the AE determines who should talk with the patient in both hospital and
primary care. The most appropriate way to convey an apology to the patient
depends of the AE. An early, direct, empathetic and proactive action accompanied
by information about compensation for the harm suffered could reduce the
litigation intention.
PMID- 27209160
TI - A challenging gastrointestinal hemorrhage after gastric bypass treated with
interventional radiology.
PMID- 27209161
TI - Evaluation of moral case deliberation at the Dutch Health Care Inspectorate: a
pilot study.
AB - BACKGROUND: Moral case deliberation (MCD) as a form of clinical ethics support is
usually implemented in health care institutions and educational programs. While
there is no previous research on the use of clinical ethics support on the level
of health care regulation, employees of regulatory bodies are regularly
confronted with moral challenges. This pilot study describes and evaluates the
use of MCD at the Dutch Health Care Inspectorate (IGZ). The objective of this
pilot study is to investigate: 1) the current way of dealing with moral issues at
the IGZ; 2) experience with and evaluation of MCD as clinical ethics support, and
3) future preferences and (perceived) needs regarding clinical ethics support for
dealing with moral questions at the IGZ. METHODS: We performed an explorative
pilot study. The research questions were assessed by means of: 1) interviews with
MCD participants during four focus groups; and 2) interviews with six key
stakeholders at the IGZ. De qualitative data is illustrated by data from
questionnaires on MCD outcomes, perspective taking and MCD evaluation. RESULTS:
Professionals do not always recognize moral issues. Employees report a need for
regular and structured moral support in health care regulation. The MCD meetings
are evaluated positively. The most important outcomes of MCD are feeling secure
and learning from others. Additional support is needed to successfully implement
MCD at the Inspectorate. CONCLUSION: We conclude that the respondents perceive
moral case deliberation as a useful form of clinical ethics support for dealing
with moral questions and issues in health care regulation.
PMID- 27209162
TI - Online exhaled gas measurements for radiotherapy patients by proton transfer
reaction mass spectrometry.
AB - The present study assessed whether exhaled breath analysis using proton transfer
reaction mass spectrometry (PTR-MS) could screen for radiation exposure. As the
intensity of proton transfer reaction reagent ion H3(16)O(+) can be calculated
with the intensity of H3(18)O(+), the intensity of H3(18)O(+) was monitored to
observe the stability of the PTR-MS instrument during the experiment. The PTR-MS
was applied for detecting the volatile organic compounds (VOCs) in the exhaled
breath from 42 radiotherapy patients and other 61 patients who had not received
radiotherapy. All patients were enrolled in the local cancer hospital. In the
experiment, the subjects breathe slowly to the PTR-MS through a direct inlet
system without any sampling bag or tube. The breath mass spectrometric data was
statistically analyzed using Mann-Whitney U test and stepwise discriminant
analysis to find the characteristic ions of radiation exposure. Receiver
operating characteristics (ROC) analysis was applied for a combination of the
characteristic ions. The PTR-MS instrument was stable as the intensity of
reaction ion H3(16)O(+) was maintained in 1.1%. Through statistically analysis,
we found 6 kinds of characteristic ions of radiation exposure, specifically mass
to-charge ratio (m/z) 93, m/z 41, m/z 102, m/z 79, m/z 131, and m/z 143. The
sensitivity (true positive rate) and specificity (true negative rate) were 78.6%
and 82.0% respectively. The integrated area under the ROC curve (AUC) was 0.869.
The results in our study demonstrated the potential of the online breath tester
PTR-MS as a non-invasive screening for radiation exposure.
PMID- 27209163
TI - Iranian consensus on use of vitamin D in patients with multiple sclerosis.
AB - BACKGROUND: Accumulating evidences from experimental, epidemiologic and clinical
studies support the potential linkage between poor vitamin D status and the risk
of developing Multiple Sclerosis (MS), as well as, an adverse disease course.
However, the results of the trials on the clinical outcomes of vitamin D
supplementation in MS patients are less consistent which brought many
discrepancies in routine practice. In this article we presented a summary of a
symposium on vitamin D and MS. In this symposium we aim to review the current
data about the relationship between vitamin D and MS, and suggest management
guides for practicing neurologists. DISCUSSION: Generally, supplementation seems
to be reasonable for all MS and clinically isolated syndrome (Rinaldi et al.,
Toxins 7:129-37, 2015) patients with serum 25(OH)D level below 40 ng/ml. In
patients with vitamin D insufficiency or deficiency, a large replacing dose (e.g.
50,000 IU capsules of D per week for 8-12 week) is recommended. Panel also
suggested: the checking of the serum vitamin D, and calcium level, as well as,
patients' compliance after the initial phase; a maintenance treatment of 1500
2000 IU daily or equivalent intermittent (weekly, biweekly or monthly) Dose,
considering the patient's compliance; routine check of serum vitamin D level at
least two times a year especially at the beginning of spring and autumn; Serum
vitamin D evaluation for first degree relatives of MS patients at high risk age
and supplementation in case of insufficiency (25(OH)D less than 40 ng/ml);
correction of vitamin D deficiency and insufficiency before pregnancy, as well
as, a daily dose of 1500-2000 IU or equivalent biweekly intake in 2nd and 3rd
trimesters; stopping supplementation if 25(OH)D serum level exceeds 100 ng/ml.
Although the results of high power studies are not available, correcting vitamin
D status seems plausible in all MS and CIS patients. Maintaining the serum
25(OH)D level between 40 and 100 ng/ml is not known to exert adverse effect. More
ever, it might be associated with lower disease activity.
PMID- 27209164
TI - BEVERLY: Rationale and Design of a Randomized Open-Label Phase III Trial
Comparing Bevacizumab Plus Erlotinib Versus Erlotinib Alone as First-Line
Treatment of Patients With EGFR-Mutated Advanced Nonsquamous Non-Small-Cell Lung
Cancer.
AB - BACKGROUND: About 20% of advanced non-small-cell lung cancer (NSCLC) cases harbor
somatic mutations in the tyrosine kinase domain of the epidermal growth factor
receptor (EGFR) gene. In these patients, the standard first-line treatments are
the EGFR-tyrosine kinase inhibitors, such as gefitinib, erlotinib, or afatinib.
Most of these patients develop resistance and relapse within about 1 year of
initiation of an EGFR-tyrosine kinase inhibitor. Consequently, it is important to
develop new combination strategies to delay this resistance. Preclinical data
have showed that EGFR and vascular endothelial growth factor (VEGF) share a
common downstream pathway, suggesting the important role of VEGF in the
resistance to EGFR blockade. The combination of erlotinib and bevacizumab, an
anti-VEGF agent, showed very interesting clinical results. PATIENTS AND METHODS:
The bevacizumab plus erlotinib study (BEVERLY) is a randomized, open-label, phase
III trial investigating first-line erlotinib plus bevacizumab versus erlotinib in
patients with advanced NSCLC harboring activating EGFR mutations. The co-primary
endpoints are investigator-assessed progression-free survival (PFS) and blinded,
independent centrally reviewed PFS. The secondary endpoints include overall
survival, quality of life, objective response rate, and safety. A total of 200
patients will be randomized 1:1 to receive oral erlotinib (150 mg daily) plus
bevacizumab (15 mg/kg, intravenously, on day 1 of every 21-day cycle) or
erlotinib alone, until objective disease progression or unacceptable toxicity or
the patient's or physician's motivated decision to stop the treatment.
CONCLUSION: If the primary endpoint of PFS is met, the erlotinib plus bevacizumab
combination will be confirmed as the best first-line treatment for patients with
advanced NSCLC harboring activating EGFR mutations.
PMID- 27209165
TI - MicroRNA expression in the vildagliptin-treated two- and three-dimensional HepG2
cells.
AB - Vildagliptin is an inhibitor of dipeptidyl peptidase-4 that is used for the
treatment of type 2 diabetes mellitus. While vildagliptin can induce hepatic
dysfunction in humans, the molecular mechanism has not been determined yet.
Recent studies indicated that certain types of microRNA (miRNA) were linking to
the development of drug-induced hepatotoxicity. In the present study, therefore,
we identified hepatic miRNAs that were highly induced or reduced by the
vildagliptin treatment in mice. MiR-222 and miR-877, toxicity-associated miRNAs,
were induced 31- and 53-fold, respectively, by vildagliptin in the liver. While a
number of miRNAs were significantly regulated by the orally treated vildagliptin
in vivo, such regulation was not observed in the vildagliptin-treated HepG2
cells. In addition to the regular two-dimensional (2D) culture, we carried out
the three-dimensional (3D) culturing of HepG2 cells. In the 3D-HepG2 cells, a
significant reduction of miR-222 was observed compared to the expression level in
2D-HepG2 cells. A slight induction of miR-222 by vildagliptin was observed in the
3D-HepG2 cells, although miR-877 was not induced by vildagliptin even in the 3D
HepG2 cells. Further investigations are needed to overcome the discrepancy in the
responsiveness of the miRNA expressions to vildagliptin between in vivo and in
vitro.
PMID- 27209167
TI - Current Understanding of the Pathways Involved in Adult Stem and Progenitor Cell
Migration for Tissue Homeostasis and Repair.
AB - With the advancements in the field of adult stem and progenitor cells grows the
recognition that the motility of primitive cells is a pivotal aspect of their
functionality. There is accumulating evidence that the recruitment of tissue
resident and circulating cells is critical for organ homeostasis and effective
injury responses, whereas the pathobiology of degenerative diseases, neoplasm and
aging, might be rooted in the altered ability of immature cells to migrate.
Furthermore, understanding the biological machinery determining the translocation
patterns of tissue progenitors is of great relevance for the emerging
methodologies for cell-based therapies and regenerative medicine. The present
article provides an overview of studies addressing the physiological significance
and diverse modes of stem and progenitor cell trafficking in adult mammalian
organs, discusses the major microenvironmental cues regulating cell migration,
and describes the implementation of live imaging approaches for the exploration
of stem cell movement in tissues and the factors dictating the motility of
endogenous and transplanted cells with regenerative potential.
PMID- 27209166
TI - What have we learned from ten years of trajectory research in low back pain?
AB - BACKGROUND: Non-specific low back pain (LBP) is often categorised as acute,
subacute or chronic by focusing on the duration of the current episode. However,
more than twenty years ago this concept was challenged by a recognition that LBP
is often an episodic condition. This episodic nature also means that the course
of LBP is not well described by an overall population mean. Therefore, studies
have investigated if specific LBP trajectories could be identified which better
reflect individuals' course patterns. Following a pioneering study into LBP
trajectories published by Dunn et al. in 2006, a number of subsequent studies
have also identified LBP trajectories and it is timely to provide an overview of
their findings and discuss how insights into these trajectories may be helpful
for improving our understanding of LBP and its clinical management. DISCUSSION:
LBP trajectories in adults have been identified by data driven approaches in ten
cohorts, and these have consistently demonstrated that different trajectory
patterns exist. Despite some differences between studies, common trajectories
have been identified across settings and countries, which have associations with
a number of patient characteristics from different health domains. One study has
demonstrated that in many people such trajectories are stable over several years.
LBP trajectories seem to be recognisable by patients, and appealing to
clinicians, and we discuss their potential usefulness as prognostic factors,
effect moderators, and as a tool to support communication with patients.
CONCLUSIONS: Investigations of trajectories underpin the notion that
differentiation between acute and chronic LBP is overly simplistic, and we
believe it is time to shift from this paradigm to one that focuses on
trajectories over time. We suggest that trajectory patterns may represent
practical phenotypes of LBP that could improve the clinical dialogue with
patients, and might have a potential for supporting clinical decision making, but
their usefulness is still underexplored.
PMID- 27209168
TI - Promising role of preoperative neutrophil-to-lymphocyte ratio in patients treated
with radical nephroureterectomy.
AB - OBJECTIVE: Several retrospective studies with small cohorts reported neutrophil
to-lymphocyte ratio (NLR) as a prognostic marker in upper tract urothelial
carcinoma (UTUC) following radical nephroureterectomy (RNU). We aimed at
validating the predictive and prognostic role of NLR in a large multi
institutional cohort. METHODS: Preoperative NLR was assessed in a multi
institutional cohort of 2477 patients with UTUC treated with RNU. Altered NLR was
defined by a ratio >2.7. Logistic regression analyses were performed to assess
the association between NLR and lymph node metastasis, muscle-invasive and non
organ-confined disease. The association of altered NLR with recurrence-free
survival (RFS) and cancer-specific survival (CSS) was evaluated using Cox
proportional hazards regression models. RESULTS: Altered NLR was observed in 1428
(62.8 %) patients and associated with more advanced pathological tumor stage,
lymph node metastasis, lymphovascular invasion, tumor necrosis and sessile tumor
architecture. In a preoperative model that included age, gender, tumor location
and architecture, NLR was an independent predictive factor for the presence of
lymph node metastasis, muscle-invasive and non-organ-confined disease (p <
0.001). Within a median follow-up of 40 months (IQR 20-76 months), 548 (24.1 %)
patients experienced disease recurrence and 453 patients (19.9 %) died from their
cancer. Compared to patients with normal NLR, those with altered NLR had worse
RFS (0.003) and CSS (p = 0.002). In multivariable analyses that adjusted for the
effects of standard clinicopathologic features, altered NLR did not retain an
independent value. In the subgroup of patients treated with lymphadenectomy in
addition to RNU, NLR was independently associated with CSS (p = 0.03).
CONCLUSION: In UTUC, preoperative NLR is associated with adverse
clinicopathologic features and independently predicts features of biologically
and clinically aggressive UTUC such as lymph node metastasis, muscle-invasive or
non-organ-confined status. NLR may help better risk stratify patients with regard
to lymphadenectomy and conservative therapy.
PMID- 27209169
TI - Bismuth(III) complexes with 2-acetylpyridine- and 2-benzoylpyridine-derived
hydrazones: Antimicrobial and cytotoxic activities and effects on the clonogenic
survival of human solid tumor cells.
AB - Complexes [Bi(2AcPh)Cl2].0.5H2O (1), [Bi(2AcpClPh)Cl2] (2), [Bi(2AcpNO2Ph)Cl2]
(3), [Bi(2AcpOHPh)Cl2].2H2O (4), [Bi(H2BzPh)Cl3].2H2O (5), [Bi(H2BzpClPh)Cl3]
(6), [Bi(2BzpNO2Ph)Cl2].2H2O (7) and [Bi(H2BzpOHPh)Cl3].2H2O (8) were obtained
with 2-acetylpyridine phenylhydrazone (H2AcPh), its -para-chloro-phenyl-
(H2AcpClPh), -para-nitro-phenyl (H2AcpNO2Ph) and -para-hydroxy-phenyl (H2AcpOHPh)
derivatives, as well as with the 2-benzoylpyridine phenylhydrazone analogues
(H2BzPh, H2BzpClPh, H2BzpNO2Ph, H2BzpOHPh). Upon coordination to bismuth(III)
antibacterial activity against Gram-positive and Gram-negative bacterial strains
significantly improved except for complex (4). The cytotoxic effects of the
compounds under study were evaluated on HL-60, Jurkat and THP-1 leukemia, and on
MCF-7 and HCT-116 solid tumor cells, as well as on non-malignant Vero cells. In
general, 2-acetylpyridine-derived hydrazones proved to be more potent and more
selective as cytotoxic agents than the corresponding 2-benzoylpyridine-derived
counterparts. Exposure of HCT-116 cells to H2AcpClPh, H2AcpNO2Ph and complex (3)
led to 99% decrease of the clonogenic survival. The IC50 values of these
compounds were three-fold smaller when cells were cultured in soft-agar (3D) than
when cells were cultured in monolayer (2D), suggesting that they constitute
interesting scaffolds, which should be considered in further studies aiming to
develop new drug candidates for the treatment of colon cancer.
PMID- 27209171
TI - Activation of Peroxymonosulfate by Subsurface Minerals.
AB - In situ chemical oxidation (ISCO) has become a widely used technology for the
remediation of soil and groundwater. Although peroxymonosulfate is not a common
oxidant source for ISCO, its chemical structure is similar to the ISCO reagents
hydrogen peroxide and persulfate, suggesting that peroxymonosulfate may have the
beneficial properties of each of these oxidants. Peroxymonosulfate activation in
the presence of subsurface minerals was examined as a basis for ISCO, and
possible reactive species (hydroxyl radical, sulfate radical, and
reductants+nucleophiles) generated in the mineral-activated peroxymonosulfate
systems were investigated. Rates of peroxymonosulfate decomposition and
generation rates of reactive species were studied in the presence of three iron
oxides, one manganese oxide, and three soil fractions. The iron oxide hematite
activated peroxymonosulfate system most effectively degraded the hydroxyl radical
probe nitrobenzene. Reductants+nucleophiles were not generated in mineral
activated peroxymonosulfate systems. Use of the probe compound anisole in
conjunction with scavengers demonstrated that both sulfate radical and hydroxyl
radical are generated in mineral-activated peroxymonosulfate systems. In order to
confirm the activation of peroxymonosulfate by subsurface minerals, one natural
soil and associated two soil fractions were evaluated as peroxymonosulfate
catalysts. The natural soil did not effectively promote the generation of
oxidants; however, the soil organic matter was found to promote the generation of
reductants + nucleophiles. The results of this research show that
peroxymonosulfate has potential as an oxidant source for ISCO applications, and
would be most effective in treating halogenated contaminants when soil organic
matter is present in the subsurface.
PMID- 27209170
TI - Triterpene sapogenin-polyarginine conjugates exhibit promising antibacterial
activity against Gram-positive strains.
AB - Triterpene sapogenins are a group of biologically active compounds with
antibacterial activity. However, the limited solubility and poor bioavailability
of triterpene sapogenins restrict their therapeutic application. Polyarginine
peptides are small cationic peptides with high affinities for multiple negatively
charged cell membranes and possess moderate antibacterial activities. In this
study, we designed and synthesized a series of sapogenin-polyarginine conjugates
in which the triterpene sapogenin moiety was covalently appended to the
positively charged polyarginine via click chemistry. A clear synergistic effect
was found, and the conjugates exhibited potent and selective antibacterial
activity against Gram-positive strains. Among them, BAc-R3 was the most promising
compound, which was also proven to be nontoxic toward mammalian cells as well as
stable in plasma. The mechanism of BAc-R3 primarily involves an interaction with
the bacterial membrane, similar to that of antimicrobial peptides (AMPs). This
scaffold design opens an avenue for the further development of novel antibiotics
comprised of the combination of a peptide and a natural product.
PMID- 27209172
TI - Disturbances of Agency and Ownership in Schizophrenia: An Auditory Verbal Event
Related Potentials Study.
AB - A 'sense of self' is essentially the ability to distinguish between self
generated and external stimuli. It consists of at least two very basic senses: a
sense of agency and a sense of ownership. Disturbances seem to provide a basic
deficit in many psychiatric diseases. The aim of our study was to manipulate
those qualities separately in 28 patients with schizophrenia (14 auditory
hallucinators and 14 non-hallucinators) and 28 healthy controls (HC) and to
investigate the effects on the topographies and the power of the event-related
potential (ERP). We performed a 76-channel EEG while the participants performed
the task as in our previous paper. We computed ERPs and difference maps for the
conditions and compared the amount of agency and ownership between the HC and the
patients. Furthermore, we compared the global field power and the topographies of
these effects. Our data showed effects of agency and ownership in the healthy
controls and the hallucinator group and to a lesser degree in the non
hallucinator group. We found a reduction of the N100 during the presence of
agency, and a bilateral temporal negativity related to the presence of ownership.
For the agency effects, we found significant differences between HC and the
patients. Contrary to the expectations, our findings were more pronounced in non
hallucinators, suggesting a more profoundly disturbed sense of agency compared to
hallucinators. A contemporary increase of global field power in both patient
groups indicates a compensatory recruitment of other mechanisms not normally
associated with the processing of agency and ownership.
PMID- 27209173
TI - The influence of positive selection and trans-species evolution on DPB diversity
in the golden snub-nosed monkeys (Rhinopithecus roxellana).
AB - Genetic variation plays a significant role in the adaptive potential of the
endangered species. The variation at major histocompatibility complex (MHC) genes
can offer valuable information on selective pressure related to natural selection
and environmental adaptation, particularly the ability of a host to continuously
resist evolving parasites. Thus, the genetic polymorphism on exon 2 of the MHC
DPB1 gene in the golden snub-nosed monkeys (Rhinopithecus roxellana) was
specifically analyzed. The results show that the 6 Rhro-DPB1 alleles identified
from 87 individuals exhibit positive selection and trans-species polymorphism.
The results also imply that although the populations of the species have
experienced dramatic reduction and severe habitat fragmentation in recent Chinese
history, balancing selection still maintains relatively consistent, with moderate
DPB1 polymorphism. Thus, the study provides valuable information and evidence in
developing effective strategies and tactics for genetic health and population
size expansion of the species. It also offers strong genetic background for
further studies on other primate species, particularly those in Rhinopithecus-a
further endeavor that would result in fully understanding the MHC genetic
information of the Asian colobines.
PMID- 27209174
TI - Reasoning by exclusion in the kea (Nestor notabilis).
AB - Reasoning by exclusion, i.e. the ability to understand that if there are only two
possibilities and if it is not A, it must be B, has been a topic of great
interest in recent comparative cognition research. Many studies have investigated
this ability, employing different methods, but rarely exploring concurrent
decision processes underlying choice behaviour of non-human animals encountering
inconsistent or incomplete information. Here, we employed a novel training and
test method in order to perform an in-depth analysis of the underlying processes.
Importantly, to discourage the explorative behaviour of the kea, a highly
neophilic species, the training included a large amount of novel, unrewarded
stimuli. The subsequent test consisted of 30 sessions with different sequences of
four test trials. In these test trials, we confronted the kea with novel stimuli
that were paired with either the rewarded or unrewarded training stimuli or with
the novel stimuli of previous test trials. Once habituated to novelty, eight out
of fourteen kea tested responded to novel stimuli by inferring their contingency
via logical exclusion of the alternative. One individual inferred predominantly
in this way, while other response strategies, such as one trial learning,
stimulus preferences and avoiding the negative stimulus also guided the responses
of the remaining individuals. Interestingly, the difficulty of the task had no
influence on the test performance. We discuss the implications of these findings
for the current hypotheses about the emergence of inferential reasoning in some
avian species, considering causal links to brain size, feeding ecology and social
complexity.
PMID- 27209175
TI - Zika virus infection in 18 travellers returning from Surinam and the Dominican
Republic, The Netherlands, November 2015-March 2016.
AB - PURPOSE: We report 18 cases of confirmed Zika virus (ZIKV) infection in
travellers returning to the Netherlands from Surinam (South America, bordering
northern Brazil) and the Dominican Republic. METHODS: In a multi-centre study, we
collected epidemiological, virological and clinical characteristics, as well as
data on travel history, underlying illness and laboratory results of the 18
imported ZIKV infection cases using a standardised form. RESULTS: Most cases had
a self-limiting course of disease, two patients developed complications, one had
Guillain-Barre and another had severe thrombocytopenia. Four patients had
underlying illness. One of the reported cases was pregnant. Three of 13 patients
tested had a weak-positive result for dengue IgM. The majority of patients were
born in Suriname and/or visiting friends and relatives (VFR). CONCLUSIONS:
Providing pre-travel advice among travellers, especially VFR travellers, is
needed to enhance the use of preventive measures against ZIKV infection. Further
evidence on health risks associated with ZIKV infection is urgently needed.
PMID- 27209176
TI - Optimization of prehydrolysis time and substrate feeding to improve ethanol
production by simultaneous saccharification and fermentation of furfural process
residue.
AB - Ethanol is a very important industrial chemical. In order to improve ethanol
productivity using Saccharomyces cerevisiae in fermentation from furfural process
residue, we developed a process of simultaneous saccharification and fermentation
(SSF) of furfural process residue, optimizing prehydrolysis cellulase loading
concentration, prehydrolysis time, and substrate feeding strategy. The ethanol
concentration obtained from the optimized process was 19.3 g/L, corresponding
76.5% ethanol yield, achieved by running SSF for 48 h from 10% furfural process
residue with prehydrolysis at 50 degrees C for 4 h and cellulase loading of 15
FPU/g furfural process residue. For higher ethanol concentrations, fed-batch
fermentation was performed. The optimized fed-batch process increased the ethanol
concentration to 37.6 g/L, 74.5% yield, obtained from 10% furfural process
residue with two additions of 5% substrate at 12 and 24 h.
PMID- 27209177
TI - Migration-driven aggregate behaviors of human mesenchymal stem cells on a
dendrimer-immobilized surface direct differentiation toward a cardiomyogenic fate
commitment.
AB - Dynamic behaviors of cell aggregates on a dendrimer surface were investigated to
drive the directed differentiation of human mesenchymal stem cells (hMSCs) toward
a cardiomyogenic lineage. Cell aggregates on the polyamidoamine dendrimer surface
with fifth-generation (G5) of dendron structure showed dynamic changes in
morphology associated with repetitive stretching and contracting during
migration. Spatial-temporal observations revealed cellular movement in single
aggregates by their morphological change through stretching and contracting on
the G5 surface, suggesting that the dynamic behavior of aggregate causes mixing
of cells. However, aggregates without cell-substrate adhesions on the low-binding
culture surface sustained their spherical morphology without cellular movement
within a single aggregate. Furthermore, beta-catenin was observed at nuclei in
aggregates on the G5 surface, and expression of the cardiomyocyte marker cardiac
Troponin T (cTnT) was detected. However, beta-catenin localized to the nuclei
only in the outer region of the aggregate on the low-binding culture surface, and
cTnT expression was restricted at the exterior surface of the aggregates. These
observations indicate that cell mixing within aggregates on the G5 surface
induced the directed differentiation of hMSCs toward a cardiomyogenic lineage by
nuclear translocation of beta-catenin through dissociation of cell-cell
adhesions. These results suggest that migration-driven aggregate behaviors on the
dendrimer surface caused repeated morphological changes of aggregate through
stretching and contracting, leading to the directed differentiation of hMSCs
toward a cardiomyogenic fate commitment.
PMID- 27209178
TI - Branched chain amino acids maintain the molecular weight of poly(gamma-glutamic
acid) of Bacillus licheniformis ATCC 9945 during the fermentation.
AB - Poly(gamma-glutamic acid) mainly produced by Bacillus spp. is an industrially
important compound due to several useful features. Among them, molecular weight
is an important characteristic affecting on the physical properties such as
viscosities and negative charge densities. However, it is difficult to control
the molecular size of PGA since it decreases during fermentation. Previous study
reported that PGA produced in the media containing different carbon sources such
as glucose and glycerol showed differences in molecular weight. Therefore in this
study, the effect of carbon source on the PGA molecular weight was examined; with
the aim of developing a strategy to maintain the high molecular weight of PGA
during fermentation. Our result showed that the weight average molecular weight
(Mw) of PGA of Bacillus licheniformis ATCC 9945 cultivated in the media
containing PTS-sugars were higher than the medium containing glycerol (non-PTS).
The result of metabolome analysis indicated the possibility of CodY (a global
regulator protein) activation in the cells cultivated in the media containing PTS
sugars. To mimic this effect, branched-chain amino acids (BCAAs), which are
activators of CodY, were added to a medium containing glycerol. As the result,
the Mw of PGA in the BCAAs-supplemented media were maintained and high during the
early production phase compared to the non BCAAs-supplemented medium. These
results indicate that BCAAs can repress the PGA molecular weight reduction during
fermentation in B. licheniformis ATCC 9945.
PMID- 27209179
TI - Female Partners of Men With Peyronie's Disease Have Impaired Sexual Function,
Satisfaction, and Mood, While Degree of Sexual Interference Is Associated With
Worse Outcomes.
AB - INTRODUCTION: Peyronie's disease (PD) causes penile deformity and can result in
sexual dysfunction and psychological distress. Currently, nothing is known about
the psychosexual impact on the partners of men with PD. Research carried out on
the partners of men with other chronic illnesses suggests that the partners of
men with PD might have increased rates of sexual dysfunction and decreased sexual
satisfaction. AIMS: To examine (i) sexual functioning, sexual satisfaction,
negative affect, and relationship satisfaction of men with PD and their female
partners and (ii) the effect of male-perceived sexual interference on partners'
outcomes. METHODS: Forty-four men diagnosed with PD and their female partners
completed a questionnaire package. MAIN OUTCOME MEASURES: Each partner filled out
the Revised Dyadic Adjustment Scale, the Positive and Negative Affect Scale, the
Global Measure of Sexual Satisfaction, and the Female Sexual Function Index
(women) or the International Index of Erectile Function (men). RESULTS: Overall,
partners of men with PD were found to have decreased sexual function, sexual
satisfaction, and mood compared with population-based norms. Men and their
partners showed non-distressed levels of relationship satisfaction. The degree to
which PD interfered with sexual activity was an important correlate of outcomes.
Increased sexual interference was associated with lower sexual function and
satisfaction for the person experiencing interference. Sexual interference also
was associated with negative affect and relationship satisfaction in partners and
the person experiencing interference. CONCLUSION: PD is associated with negative
psychosexual and psychosocial effects on those with the disease and their
partners. As a result, assessment and intervention should include the two members
of the couple.
PMID- 27209180
TI - A Qualitative Study on Experiences After Vulvar Surgery in Women With Lichen
Sclerosus and Sexual Pain.
AB - INTRODUCTION: Lichen sclerosus (LS) of the vulva can profoundly affect sexual
interaction because of painful fissures and narrowing of the vaginal introitus.
Successful surgical treatment is usually defined as restoration of (pain-free)
penetrative sexual activity. AIMS: To evaluate the impact of surgery on (dyadic)
sexual functioning and pleasure and psychological well-being. METHODS: Nineteen
women with anogenital LS participated in audiotaped, qualitative, individual
interviews after surgery to re-enable sexual intercourse. MAIN OUTCOME MEASURES:
Physical, sexual, and psychological experiences were analyzed using the constant
comparative method. RESULTS: Vulvar surgery resulted in a decrease of sexual pain
in 13 of 19 patients (68%). Of these 13 patients, 4 were completely free of pain
and the other 9 patients expressed a shift from preoperative sexual pain to
postoperative sexual discomfort. These women reported improved sexual
functioning, increased sexual activity and intimacy with the partner, and
reinstated feelings of being an adequate woman and sexual partner. In 1 of the 19
patients (5%), surgery did not result in decreased sexual pain, yet she continued
to have intercourse. Five of the 19 patients (26%) stopped having intercourse
because of pain; one woman had secondary vaginismus and another woman, in
retrospect, had premorbid generalized unprovoked vulvodynia. Four of these women
were unable to communicate with their partner about sexual matters and to change
their sexual repertoire (satisfactorily) once they had ceased intercourse
(attempts). Eighteen women (95%) reported a decrease of LS symptoms in daily
life. CONCLUSION: Vulvar surgery seems an effective treatment for most women with
LS who experience sexual pain owing to anatomic or epithelial changes and who
wish to resume intercourse. To assess whether women might benefit from such
surgery and/or whether (additional) sexual counseling is indicated, preoperative
sexological couple-based consultation is needed. This consultation should exclude
comorbid vaginismus and generalized unprovoked vulvodynia and index the couple's
pre-existing sex life, including sexual communication skills, and the ability to
incorporate non-coital pain-free sexual activities.
PMID- 27209181
TI - Hyperuricemia Is an Independent Risk Factor for Erectile Dysfunction.
AB - INTRODUCTION: Hyperuricemia may be related to the development of endothelial
dysfunction and cardiovascular diseases. However, the association between
hyperuricemia and erectile dysfunction (ED) is not currently clear. AIM: The goal
of this study is to investigate the effect of hyperuricemia on erectile function
and possible mechanisms. METHODS: Twenty-four 8-week-old male SD rats were
randomly divided into 4 groups. Group A (control): Rats received normal saline
and served as controls. Group B (hyperuricemia): rats were given oxonic acid 250
mg/kg bw/day through gastric gavage for 4 weeks. Group C (febuxostat): normal
rats were treated with 5 mg/kg febuxostat through gastric gavage for 4 weeks.
Group D (hyperuricemia + Febuxostat): normal rats were treated with 250 mg/kg
bw/day oxonic acid and 5 mg/kg bw/day febuxostat with 1 hour interval for 4
weeks. MEASUREMENTS: The level of serum uric acid, the maximum intracavernosal
pressure (ICPmax), mean arterial pressure (MAP), and the expression of
endothelial nitric oxide synthase (eNOS), phospho-eNOS, neuronal NOS, Rho
associated protein kinaise (ROCK)1 and ROCK2 and the level of nitric oxide (NO)
and reactive oxygen species (ROS) in cavernous tissue were determined. RESULTS:
The level of serum uric acid and ROS in hyperuricemic rats was significantly
higher than that in the other 3 groups (P < .05). After electrostimulation with 3
and 5 voltage, the ratio of ICPmax/MAP in hyperuricemic rats was significantly
less than that in other 3 groups (P < .05), respectively. eNOS, p-eNOS, and nNOS
expression in hyperuricemic rats were significantly decreased compared to the
other 3 groups (P < .05), respectively. CONCLUSION: Erectile function is impaired
by hyperuricemia. The decrease of eNOS, p-eNOS, and nNOS protein expression and
increase of ROS in cavernous tissue may be one of the key mechanisms of ED caused
by hyperuricemia.
PMID- 27209183
TI - Analysis of the process of representing clinical statements for decision-support
applications: a comparison of openEHR archetypes and HL7 virtual medical record.
AB - Delivering patient-specific decision-support based on computer-interpretable
guidelines (CIGs) requires mapping CIG clinical statements (data items, clinical
recommendations) into patients' data. This is most effectively done via
intermediate data schemas, which enable querying the data according to the
semantics of a shared standard intermediate schema. This study aims to evaluate
the use of HL7 virtual medical record (vMR) and openEHR archetypes as
intermediate schemas for capturing clinical statements from CIGs that are
mappable to electronic health records (EHRs) containing patient data and patient
specific recommendations. Using qualitative research methods, we analyzed the
encoding of ten representative clinical statements taken from two CIGs used in
real decision-support systems into two health information models (openEHR
archetypes and HL7 vMR instances) by four experienced informaticians. Discussion
among the modelers about each case study example greatly increased our
understanding of the capabilities of these standards, which we share in this
educational paper. Differing in content and structure, the openEHR archetypes
were found to contain a greater level of representational detail and structure
while the vMR representations took fewer steps to complete. The use of openEHR in
the encoding of CIG clinical statements could potentially facilitate applications
other than decision-support, including intelligent data analysis and integration
of additional properties of data items from existing EHRs. On the other hand, due
to their smaller size and fewer details, the use of vMR potentially supports
quicker mapping of EHR data into clinical statements.
PMID- 27209184
TI - An Imbalanced Learning based MDR-TB Early Warning System.
AB - As a man-made disease, multidrug-resistant tuberculosis (MDR-TB) is mainly caused
by improper treatment programs and poor patient supervision, most of which could
be prevented. According to the daily treatment and inspection records of
tuberculosis (TB) cases, this study focuses on establishing a warning system
which could early evaluate the risk of TB patients converting to MDR-TB using
machine learning methods. Different imbalanced sampling strategies and
classification methods were compared due to the disparity between the number of
TB cases and MDR-TB cases in historical data. The final results show that the
relative optimal predictions results can be obtained by adopting CART-USBagg
classification model in the first 90 days of half of a standardized treatment
process.
PMID- 27209185
TI - Addressing voice recording replications for tracking Parkinson's disease
progression.
AB - Tracking Parkinson's disease symptom severity by using characteristics
automatically extracted from voice recordings is a very interesting and
challenging problem. In this context, voice features are automatically extracted
from multiple voice recordings from the same subjects. In principle, for each
subject, the features should be identical at a concrete time, but the
imperfections in technology and the own biological variability result in
nonidentical replicated features. The involved within-subject variability must be
addressed since replicated measurements from voice recordings can not be directly
used in independence-based pattern recognition methods as they have been
routinely used through the scientific literature. Besides, the time plays a key
role in the experimental design. In this paper, for the first time, a Bayesian
linear regression approach suitable to handle replicated measurements and time is
proposed. Moreover, a version favoring the best predictors and penalizing the
worst ones is also presented. Computational difficulties have been avoided by
developing Gibbs sampling-based approaches.
PMID- 27209186
TI - Child mortality and the Sustainable Development Goals: a challenge and an
opportunity.
PMID- 27209182
TI - Challenges in Testosterone Measurement, Data Interpretation, and Methodological
Appraisal of Interventional Trials.
AB - INTRODUCTION: Male hypogonadism is a common condition, with an increasing body of
literature on diagnosis, implications, and management. Given the significant
variability in testosterone (T) from a physiologic and assay perspective, a
thorough understanding of factors affecting T values and study methodology is
essential to interpret reported study outcomes appropriately. However, despite
the large number of publications on T, there are no reference materials
consolidating all relevant and potentially confounding factors necessary to
interpret T studies appropriately. AIMS: To create a resource document that
reviews sources of T variability, free vs total T, assay techniques and
questionnaires, and study methodology relevant to interpreting outcomes. METHODS:
A PubMed search was performed of all the T literature published on T variability,
assay techniques, and T-specific questionnaires. Results were summarized in the
context of their impact on interpreting T literature outcomes and methodology.
MAIN OUTCOME MEASURES: Effect of various factors on T variability and their
relevance to study methodology and outcomes. RESULTS: Several factors affect
measured T levels, including aging, circadian rhythms, geography, genetics,
lifestyle choices, comorbid conditions, and intraindividual daily variability.
The utility of free T over total T is debatable and must be compared using
appropriate threshold levels. Among various assay techniques, mass spectrometry
and equilibrium dialysis are gold standards. Calculated empirical estimates of
free T also are commonly used and accepted. Hypogonadism-specific questionnaires
have limited utility in screening for hypogonadism, and their role as objective
end points for quantifying symptoms remains unclear. Numerous aspects of study
methodology can directly or indirectly affect reported outcomes, including design
(randomized, prospective, retrospective), duration, populations studied (age,
comorbid conditions), low T threshold, therapeutic agent used, objective
measurements and end points selected, and statistical interpretation. CONCLUSION:
Critical appraisal of the T literature requires an understanding of numerous
factors resulting in T variability, study design and methodology, and limitations
of assay techniques and objective measurement scales.
PMID- 27209187
TI - Hypopituitarism in the elderly: a narrative review on clinical management of
hypothalamic-pituitary-gonadal, hypothalamic-pituitary-thyroid and hypothalamic
pituitary-adrenal axes dysfunction.
AB - Hypopituitarism is an uncommon and under-investigated endocrine disorder in old
age since signs and symptoms are unspecific and, at least in part, can be
attributed to the physiological effects of aging and related co-morbidities.
Clinical presentation is often insidious being characterized by non-specific
manifestations, such as weight gain, fatigue, low muscle strength, bradipsychism,
hypotension or intolerance to cold. In these circumstances, hypopituitarism is a
rarely life-threatening condition, but evolution may be more dramatic as a result
of pituitary apoplexy, or when a serious condition of adrenal insufficiency
suddenly occurs. Clinical presentation depends on the effects that each pituitary
deficit can cause, and on their mutual relationship, but also, inevitably, it
depends on the severity and duration of the deficit itself, as well as on the
general condition of the patient. Indeed, indications and methods of hormone
replacement therapy must include the need to normalize the endocrine profile
without contributing to the worsening of intercurrent diseases, such as those of
glucose and bone metabolism, and the cardiovascular system, or to the increasing
cancer risk. Hormonal requirements of elderly patients are reduced compared to
young adults, but a prompt diagnosis and appropriate treatment of pituitary
deficiencies are strongly recommended, also in this age range.
PMID- 27209188
TI - Risk and survival outcomes of radiation-induced CNS tumors.
AB - Patients treated with cranial radiation are at risk of developing secondary CNS
tumors. Understanding the incidence, treatment, and long-term outcomes of
radiation-induced CNS tumors plays a role in clinical decision-making and patient
education. Additionally, as meningiomas and pituitary tumors have been detected
at increasing rates across all ages and may potentially be treated with
radiation, it is important to know and communicate the risk of secondary tumors
in children and adults. After conducting an extensive literature search, we
identified publications that report incidence and long-term outcomes of radiation
induced CNS tumors. We reviewed 14 studies in children, which reported that
radiation confers a 7- to 10-fold increase in subsequent CNS tumors, with a 20
year cumulative incidence ranging from 1.03 to 28.9 %. The latency period for
secondary tumors ranged from 5.5 to 30 years, with gliomas developing in 5-10
years and meningiomas developing around 15 years after radiation. We also
reviewed seven studies in adults, where the two strongest studies showed no
increased risk while the remaining studies found a higher risk compared to the
general population. The latency period for secondary CNS tumors in adults ranged
from 5 to 34 years. Treatment and long-term outcomes of radiation-induced CNS
tumors have been documented in four case series, which did not conclusively
demonstrate that secondary CNS tumors fared worse than primary CNS tumors.
Radiation-induced CNS tumors remain a rare occurrence that should not by itself
impede radiation treatment. Additional investigation is needed on the risk of
radiation-induced tumors in adults and the long-term outcomes of these tumors.
PMID- 27209190
TI - Elevated Fractalkine (CX3CL1) Levels in the Trigeminal Ganglion Mechanically
Sensitize Temporalis Muscle Nociceptors.
AB - It has been proposed that after nerve injury or tissue inflammation, fractalkine
(CX3CL1) released from dorsal root ganglion neurons acts on satellite glial cells
(SGCs) through CX3C receptor 1 (CX3CR1) to induce neuroplastic changes. The
existence and importance of fractalkine/CX3CR1 signaling in the trigeminal
ganglia has not yet been clarified. This study investigated (1) whether
trigeminal ganglion neurons that innervate temporalis muscle and their associated
SGCs contain fractalkine and/or express CX3CR1, (2) if intraganglionic injection
of fractalkine increases the mechanical sensitivity of temporalis muscle afferent
fibers, (3) whether complete Freund's adjuvant (CFA)-induced inflammation of the
temporalis muscle alters the expression of fractalkine or its receptor in the
trigeminal ganglion, and (4) if intraganglionic administration of CX3CR1
antibodies alters afferent mechanical sensitivity. Immunohistochemistry and in
vivo electrophysiological recordings in male and female rats were used to address
these questions. It was found that ~50 % of temporalis ganglion neurons and ~25 %
of their associated SGCs express CX3CR1, while only neurons expressed
fractalkine. Temporalis muscle inflammation increased the expression of
fractalkine, but only in male rats. Intraganglionic injection of fractalkine (25
g/ml; 3 MUl) induced prolonged afferent mechanical sensitization. Intraganglionic
injection of CX3CR1 antibody increased afferent mechanical threshold, but this
effect was greater in controls than in rats with CFA-induced muscle inflammation.
These findings raise the possibility that basal fractalkine signalling within the
trigeminal ganglion plays an important role in mechanical sensitivity of
masticatory muscle sensory afferent fibers and that inhibition of CX3CR1
signaling within the trigeminal ganglia may induce analgesia through a peripheral
mechanism.
PMID- 27209189
TI - Amikacin Inhibits miR-497 Maturation and Exerts Post-ischemic Neuroprotection.
AB - MicroRNAs (miRNAs) are a group of small non-coding RNAs that regulate numerous
signaling pathways involved in cerebral ischemia reperfusion injury. Recent
finding demonstrated that miR-497 promotes ischemic neuronal death by negatively
regulating anti-apoptotic proteins and therefore serves as a promising
therapeutic target for cerebral ischemic injury. In this study, we present a
systematic computational approach that includes 3D modeling, docking-based
virtual screening, and molecular dynamics simulation to identify small-molecule
inhibitors of pre-miR-497 maturation. The top hit, aminoglycosidic antibiotic,
amikacin, formed a stable complex with pre-miR-497. Later, the protective
efficacy of amikacin was evaluated against oxygen-glucose deprivation (OGD) and
reoxygenation-induced neuronal cell death in SH-SY5Y cells and mouse organotypic
hippocampal slice cultures. To confirm the inhibitory potential of amikacin on
miR-497 maturation, quantitative real-time PCR was performed to check the
expression of bcl-2, one of the primary anti-apoptotic targets of miR-497.
Additionally, the expression level of mature miR-497 was quantified using
TaqMan(r) MiRNA Assay Kit. Amikacin treatment effectively reduced OGD-induced
cell death compared to control groups both in vitro and organotypic hippocampal
slice cultures. Further, amikacin effectively increased the expression of bcl-2
in SH-SY5Y cells subjected to OGD. Interestingly, SH-SY5Y cells treated with
amikacin displayed decreased expression of miR-497, probably due to inhibition of
pre-miRic form. Our study provides strong evidence that amikacin inhibits miR-497
maturation and promotes ischemic neuronal survival by upregulating anti-apoptotic
protein, bcl-2. Future studies directed at evaluating the neuroprotective
efficacy and mechanism of amikacin animal models may lead to new therapeutic
opportunities for preventing neuronal death after stroke.
PMID- 27209191
TI - All-polyethylene versus metal-backed tibial component in total knee arthroplasty.
AB - PURPOSE: The aim of this systematic review was to evaluate the clinical outcomes,
rate of revisions and complications of all-polyethylene tibial and metal-backed
tibial components in patients treated with knee arthroplasty for primary or
secondary osteoarthritis. METHODS: A systematic review of the literature
according to the PRISMA guidelines was performed. A comprehensive search of
PubMed, Medline, CINAHL, Cochrane, Embase, and Google Scholar databases using
various combinations of the keywords such as "knee", "arthroplasty", "metal
backed", and "all-polyethylene", since inception of databases to 2016, was
performed. RESULTS: Thirty-two articles, describing patients with all
polyethylene tibial or metal-backed tibial components in the setting of
osteoarthritis, were included. A total of 68,202 knees in 58,942 patients were
included, with an average age at surgery of 69.3 years, ranging from a mean age
of 57.9-82 years. The mean KSS was 82.4 and 81.3 (n.s.), the mean KSS(F) was 73.6
and 74.9 (p = 0.04), the mean ROM was 104.5 and 104.6 (n.s.), and the mean HSS
was 87 and 86, each, respectively, for the metal-backed tibial components group
and all-polyethylene tibial components group. The overall rate of revisions was
1.90 %. The rate of revision in the metal-backed tibial components group was 1.85
%, whilst the rate of revision in the all-polyethylene tibial components group
was 2.02 % (p < 0.00001). CONCLUSION: Metal-backed tibial and all-polyethylene
tibial components did not show any significant difference in most of the included
outcome scores, but statistical differences were found in terms of complications
and revision rate. These items have a negative impact on the cost-effectiveness
of all-polyethylene tibial components. Even if all-polyethylene tibial components
show similar clinical outcome score, equivalent range of knee motion, and long
term survival compared to metal-backed tibial components, complications and
revision rate seem to lead the surgeon to prefer the last ones. The clinical
relevance of this study is that metal-backed tibial components should be
preferred in TKA surgery because complications are higher using all-polyethylene
tibial components. On the other hand, the quality of evidence, according to GRADE
system, is low underling the necessity of more randomised study to clarify these
items. LEVEL OF EVIDENCE: III.
PMID- 27209193
TI - Changes in patella tendon length over 5 years after different types of knee
arthroplasty.
AB - PURPOSE: Post-operative shortening of the patellar tendon resulting in an
abnormally low-lying patella has been described previously, but the degree of
change in patella tendon length over time and extent of its progression after
different types of knee arthroplasties remains unknown. This study assesses the
incidence of patella tendon length change following lateral unicompartmental knee
arthroplasty (UKA), medial UKA, and total knee arthroplasty (TKA), and its impact
on patient-reported outcome at 5 years post-surgery. METHODS: Immediate post
operative, 1- and 5-year radiographs were reviewed for 50 patients undergoing
each operation (n = 150), with the Insall-Salvati ratio used as a measure of
patella tendon length. Clinical outcome was assessed using the Oxford Knee Score
(OKS). RESULTS: At 5-year follow-up, no significant change in patella tendon
length was found following medial UKA (1.07-1.05), whilst a significant
shortening was found after TKA (1.02-0.96), and a significant lengthening found
after lateral UKA (1.02-1.05). For the UKA groups, no further change in tendon
length was observed after the first year post-surgery, whereas the TKA group
continued to shorten between years one and five. OKS was significantly better in
the UKA groups as compared to TKA group. Change in patella tendon length within
individual groups did not correlate with OKS at 5 years. CONCLUSION: Patella
tendon length shortening is more prevalent in TKAs, whilst lengthening is more
prevalent in lateral UKAs. Despite the vertical incision through the patella
tendon, lateral UKAs do not cause increased tendon shortening at 5 years post
surgery. However, in the medium term, changes in patella tendon length do not
affect patient-reported outcome. LEVEL OF EVIDENCE: Retrospective, comparative
study, Level III.
PMID- 27209192
TI - Sport and physical activity following unicompartmental knee arthroplasty: a
systematic review.
AB - PURPOSE: Unicompartmental knee arthroplasty (UKA) can be a surgical treatment
option for patients with high expectations regarding the post-operative level of
physical activity. A systematic review was undertaken to answer three research
questions: (1) is there an improvement of physical activity based on validated
activity scores following UKA? (2) What are the sport disciplines and the sport
patterns of UKA patients? (3) What are the pre- and post-operative sport
participation rates and the return to activity rates of UKA patients? METHODS:
Following the PRISMA guidelines, EMBASE, MEDLINE, ISI Web of Science and the
Cochrane Central Register of Controlled Trials were searched for studies
reporting the level of sport and/or physical activity before and after UKA,
and/or included at least one activity score before and after UKA. RESULTS:
Seventeen studies were identified reporting on 2972 UKAs, of which 89 % were
medial UKAs and 92 % were mobile-bearing implants, respectively. Ten studies
reported a statistically significant improvement of physical activity following
UKA according to the UCLA activity score, the Tegner activity score or the High
Activity Arthroplasty Score, respectively. Hiking, cycling and swimming are the
most common activities following UKA. Sport participation before the onset of
restricting symptoms ranged from 64 to 93 % and slightly decreased by 2-9 %
following UKA. The return to activity rate ranged from 87 to 98 %. CONCLUSION:
Patients following UKA are physically active according to validated activity
scores. A significant increase in low-impact activities and a decrease in high
impact activities after UKA was observed. Patients with a UKA regularly
participate in sports; however, sport participation slightly decreased compared
to pre-arthritic levels. This systematic review helps physicians to manage the
expectations of patients regarding the level of physical activity following UKA.
LEVEL OF EVIDENCE: III.
PMID- 27209194
TI - Position sense at the human elbow joint measured by arm matching or pointing.
AB - Position sense at the human elbow joint has traditionally been measured in
blindfolded subjects using a forearm matching task. Here we compare position
errors in a matching task with errors generated when the subject uses a pointer
to indicate the position of a hidden arm. Evidence from muscle vibration during
forearm matching supports a role for muscle spindles in position sense. We have
recently shown using vibration, as well as muscle conditioning, which takes
advantage of muscle's thixotropic property, that position errors generated in a
forearm pointing task were not consistent with a role by muscle spindles. In the
present study we have used a form of muscle conditioning, where elbow muscles are
co-contracted at the test angle, to further explore differences in position sense
measured by matching and pointing. For fourteen subjects, in a matching task
where the reference arm had elbow flexor and extensor muscles contracted at the
test angle and the indicator arm had its flexors conditioned at 90 degrees ,
matching errors lay in the direction of flexion by 6.2 degrees . After the same
conditioning of the reference arm and extension conditioning of the indicator at
0 degrees , matching errors lay in the direction of extension (5.7 degrees ).
These errors were consistent with predictions based on a role by muscle spindles
in determining forearm matching outcomes. In the pointing task subjects moved a
pointer to align it with the perceived position of the hidden arm. After
conditioning of the reference arm as before, pointing errors all lay in a more
extended direction than the actual position of the arm by 2.9 degrees -7.3
degrees , a distribution not consistent with a role by muscle spindles. We
propose that in pointing muscle spindles do not play the major role in signalling
limb position that they do in matching, but that other sources of sensory input
should be given consideration, including afferents from skin and joint.
PMID- 27209195
TI - A Recombinant DNA Plasmid Encoding the sIL-4R-NAP Fusion Protein Suppress Airway
Inflammation in an OVA-Induced Mouse Model of Asthma.
AB - Asthma is a chronic inflammatory airway disease. It was prevalently perceived
that Th2 cells played the crucial role in asthma pathogenesis, which has been
identified as the important target for anti-asthma therapy. The soluble IL-4
receptor (sIL-4R), which is the decoy receptor for Th2 cytokine IL-4, has been
reported to be effective in treating asthma in phase I/II clinical trail. To
develop more efficacious anti-asthma agent, we attempt to test whether the
Helicobacter pylori neutrophil-activating protein (HP-NAP), a novel TLR2 agonist,
would enhance the efficacy of sIL-4R in anti-asthma therapy. In our work, we
constructed a pcDNA3.1-sIL-4R-NAP plasmid, named PSN, encoding fusion protein of
murine sIL-4R and HP-NAP. PSN significantly inhibited airway inflammation,
decreased the serum OVA-specific IgE levels and remodeled the Th1/Th2 balance.
Notably, PSN is more effective on anti-asthma therapy comparing with plasmid only
expressing sIL-4R.
PMID- 27209197
TI - Recombinant expression of the precursor of the hemorrhagic metalloproteinase HF3
and its non-catalytic domains using a cell-free synthesis system.
AB - Snake venom metalloproteinases (SVMPs) participate in snakebite pathology such as
hemorrhage, inflammation, and necrosis. They are synthesized as latent multi
domain precursors whose processing generates either catalytically active enzymes
or free non-enzymatic domains. Recombinant expression of the precursor of P-III
class SVMPs has failed due to the instability of the multi-domain polypeptide
structure. Conversely, functional recombinant non-catalytic domains were obtained
by prokaryotic expression systems. Here, we show for the first time the
recombinant expression of the precursor of HF3, a highly hemorrhagic SVMP from
Bothrops jararaca, and its non-catalytic domains, using an E. coli-based cell
free synthesis system. The precursor of HF3, composed of pro-, metalloproteinase
, disintegrin-like-, and cysteine-rich domains, and containing 38 Cys residues,
was successfully expressed and purified. A protein composed of the disintegrin
like and cysteine-rich domains (DC protein) and the cysteine-rich domain alone (C
protein) were expressed in vitro individually and purified. Both proteins were
shown to be functional in assays monitoring the interaction with matrix proteins
and in modulating the cleavage of fibrinogen by HF3. These data indicate that
recombinant expression using prokaryotic-based cell-free synthesis emerges as an
attractive alternative for the study of the structure and function of multi
domain proteins with a high content of Cys residues.
PMID- 27209198
TI - Low frequency eardrum directionality in the barn owl induced by sound
transmission through the interaural canal.
AB - The middle ears of birds are typically connected by interaural cavities that form
a cranial canal. Eardrums coupled in this manner may function as pressure
difference receivers rather than pressure receivers. Hereby, the eardrum
vibrations become inherently directional. The barn owl also has a large
interaural canal, but its role in barn owl hearing and specifically in sound
localization has been controversial so far. We discuss here existing data and the
role of the interaural canal in this species and add a new dataset obtained by
laser Doppler vibrometry in a free-field setting. Significant sound transmission
across the interaural canal occurred at low frequencies. The sound transmission
induces considerable eardrum directionality in a narrow band from 1.5 to 3.5 kHz.
This is below the frequency range used by the barn owl for locating prey, but may
conceivably be used for locating conspecific callers.
PMID- 27209196
TI - Rapid quantitative method for the detection of phenylalanine and tyrosine in
human plasma using pillar array columns and gradient elution.
AB - This study reports a fast and quantitative determination method for phenylalanine
(Phe) and tyrosine (Tyr) in human plasma using on-chip pressure-driven liquid
chromatography. A pillar array column with low-dispersion turns and a gradient
elution system was used. The separation of fluorescent derivatives of Phe, Tyr,
and other hydrophobic amino acids was successfully performed within 140 s. Under
the optimized conditions, Phe and Tyr in human plasma were quantified. The
developed method is promising for rapid diagnosis in the clinical field.
PMID- 27209199
TI - Role of intracranial cavities in avian directional hearing.
AB - Whereas it is clear from anatomical studies that all birds have complex
interaural canals connecting their middle ears, the effect of interaural coupling
on directional hearing has been disputed. A reason for conflicting results in
earlier studies may have been that the function of the tympanic ear and hence of
the interaural coupling is sensitive to variations in the intracranial air
pressure. In awake birds, the middle ears and connected cavities are vented
actively through the pharyngotympanic tube. This venting reflex seems to be
suppressed in anesthetized birds, leading to increasingly lower pressure in the
interaural cavities, stiffening the eardrums, and displacing them medially. This
causes the sensitivity, as well as the interaural coupling, to drop. Conversely,
when the middle ears are properly vented, robust directional eardrum responses,
most likely caused by internal coupling, have been reported. The anatomical basis
of this coupling is the 'interaural canal,' which turns out to be a highly
complex canal and cavity system, which we describe for the zebra finch.
Surprisingly, given the complexity of the interaural canals, simple models of
pipe-coupled middle ears fit the eardrum directionality data quite well, but
future models taking the complex anatomy into consideration should be developed.
PMID- 27209200
TI - Cross-sectional imaging of adult crystal and inflammatory arthropathies.
AB - This article highlights the key aspects and current perspectives of the role of
cross-sectional imaging in adult crystal and inflammatory arthropathies in
adults, briefly discussing CT, and particularly focusing on MRI and US imaging as
it supplements the conventional radiography. The role of conventional and
advanced MR imaging techniques and imaging findings in this domain is discussed
and illustrated with case examples. All procedures performed in studies involving
human participants were in accordance with the ethical standards of the
institutional and/or national research committee and with the 1964 Helsinki
declaration and its later amendments or comparable ethical standards. This
article contains images and data, which were collected from patients as a part of
a retrospective IRB from the institutional teaching files and informed consent
was waived.
PMID- 27209202
TI - Prescriber knowledge and attitudes regarding non-administration of prescribed
pharmacologic venous thromboembolism prophylaxis.
AB - Pharmacologic venous thromboembolism (VTE) prophylaxis is important patient
safety practice in hospitalized patients. However, a substantial number of
ordered doses are not administered. Patient and nursing attitudes and behaviors
can influence whether a patient receives a dose. The objective of this single
center study was to evaluate prescriber knowledge and attitudes regarding missed
doses of pharmacologic VTE prophylaxis. An anonymous, 9-question survey was
administered to internal medicine and general surgery resident physicians. The
survey captured prescriber opinions on issues related to non-administration of
VTE prophylaxis. Thirty-two percent of medicine residents compared with 3 % of
surgery residents felt pharmacologic VTE prophylaxis was not necessary in an
independently ambulating patient (P < 0.001). Medicine residents were more likely
to agree that it is appropriate for nurses to make clinical decisions to
determine whether a dose of pharmacologic VTE prophylaxis should be administered
to a patient (24 vs. 0 %, P < 0.001). Study findings indicate the need for
additional resident physician education. Further investigation is needed to
assess these beliefs and ensure patients receive necessary VTE prophylaxis.
PMID- 27209203
TI - Internal configuration and electric potential in planar negatively charged lipid
head group region in contact with ionic solution.
AB - The lipid bilayer composed of negatively charged lipid 1-palmitoyl-3-oleoyl-sn
glycero-3-phosphatidylserine (POPS) in contact with an aqueous solution of
monovalent salt ions was studied theoretically by using the mean-field modified
Langevin-Poisson-Boltzmann (MLPB) model. The MLPB results were tested by using
molecular dynamic (MD) simulations. In the MLPB model the charge distribution of
POPS head groups is theoretically described by the negatively charged surface
which accounts for negatively charged phosphate groups, while the positively
charged amino groups and negatively charged carboxylate groups are assumed to be
fixed on the rod-like structures with rotational degree of freedom. The spatial
variation of relative permittivity, which is not considered in the well-known
Gouy-Chapman (GC) model or in MD simulations, is thoroughly derived within a
strict statistical mechanical approach. Therefore, the spatial dependence and
magnitude of electric potential within the lipid head group region and its close
vicinity are considerably different in the MLPB model from the GC model. The
influence of the bulk salt concentration and temperature on the number density
profiles of counter-ions and co-ions in the lipid head group region and aqueous
solution along with the probability density function for the lipid head group
orientation angle was compared and found to be in qualitative agreement in the
MLPB and MD models.
PMID- 27209201
TI - The histological variants of liposarcoma: predictive MRI findings with prognostic
implications, management, follow-up, and differential diagnosis.
AB - Liposarcoma is the single most common soft tissue sarcoma accounting for up to 35
% of sarcomas. It represents a histologically diverse group of soft tissue tumors
that demonstrate a wide range of imaging appearances with varied behavior
patterns. Correspondingly, more aggressive histological subtypes often require
management that includes a combination of surgery, chemotherapy, and radiation
therapy. Distinguishing among liposarcoma subtypes has important therapeutic and
prognostic implications. In this manuscript, we review the liposarcoma subtypes
and their histologic and MRI findings, prognostic implications, and differential
diagnostic considerations.
PMID- 27209205
TI - Mouse models for radiation-induced cancers.
AB - Potential ionising radiation exposure scenarios are varied, but all bring risks
beyond the simple issues of short-term survival. Whether accidentally exposed to
a single, whole-body dose in an act of terrorism or purposefully exposed to
fractionated doses as part of a therapeutic regimen, radiation exposure carries
the consequence of elevated cancer risk. The long-term impact of both intentional
and unintentional exposure could potentially be mitigated by treatments
specifically developed to limit the mutations and precancerous replication that
ensue in the wake of irradiation The development of such agents would undoubtedly
require a substantial degree of in vitro testing, but in order to accurately
recapitulate the complex process of radiation-induced carcinogenesis, well
understood animal models are necessary. Inbred strains of the laboratory mouse,
Mus musculus, present the most logical choice due to the high number of molecular
and physiological similarities they share with humans. Their small size, high
rate of breeding and fully sequenced genome further increase its value for use in
cancer research. This chapter will review relevant m. musculus inbred and F1
hybrid animals of radiation-induced myeloid leukemia, thymic lymphoma, breast and
lung cancers. Method of cancer induction and associated molecular pathologies
will also be described for each model.
PMID- 27209206
TI - Using qualitative comparative analysis in a systematic review of a complex
intervention.
AB - BACKGROUND: Systematic reviews evaluating complex interventions often encounter
substantial clinical heterogeneity in intervention components and implementation
features making synthesis challenging. Qualitative comparative analysis (QCA) is
a non-probabilistic method that uses mathematical set theory to study complex
phenomena; it has been proposed as a potential method to complement traditional
evidence synthesis in reviews of complex interventions to identify key
intervention components or implementation features that might explain
effectiveness or ineffectiveness. The objective of this study was to describe our
approach in detail and examine the suitability of using QCA within the context of
a systematic review. METHODS: We used data from a completed systematic review of
behavioral interventions to improve medication adherence to conduct two
substantive analyses using QCA. The first analysis sought to identify
combinations of nine behavior change techniques/components (BCTs) found among
effective interventions, and the second analysis sought to identify combinations
of five implementation features (e.g., agent, target, mode, time span, exposure)
found among effective interventions. For each substantive analysis, we reframed
the review's research questions to be designed for use with QCA, calibrated sets
(i.e., transformed raw data into data used in analysis), and identified the
necessary and/or sufficient combinations of BCTs and implementation features
found in effective interventions. RESULTS: Our application of QCA for each
substantive analysis is described in detail. We extended the original review
findings by identifying seven combinations of BCTs and four combinations of
implementation features that were sufficient for improving adherence. We found
reasonable alignment between several systematic review steps and processes used
in QCA except that typical approaches to study abstraction for some intervention
components and features did not support a robust calibration for QCA.
CONCLUSIONS: QCA was suitable for use within a systematic review of medication
adherence interventions and offered insights beyond the single dimension
stratifications used in the original completed review. Future prospective use of
QCA during a review is needed to determine the optimal way to efficiently
integrate QCA into existing approaches to evidence synthesis of complex
interventions.
PMID- 27209204
TI - Molecular and behavioral profiling of Dbx1-derived neurons in the arcuate,
lateral and ventromedial hypothalamic nuclei.
AB - BACKGROUND: Neurons in the hypothalamus function to regulate the state of the
animal during both learned and innate behaviors, and alterations in hypothalamic
development may contribute to pathological conditions such as anxiety, depression
or obesity. Despite many studies of hypothalamic development and function, the
link between embryonic development and innate behaviors remains unexplored. Here,
focusing on the embryonically expressed homeodomain-containing gene Developing
Brain Homeobox 1 (Dbx1), we explored the relationship between embryonic lineage,
post-natal neuronal identity and lineage-specific responses to innate cues. We
found that Dbx1 is widely expressed across multiple developing hypothalamic
subdomains. Using standard and inducible fate-mapping to trace the Dbx1-derived
neurons, we identified their contribution to specific neuronal subtypes across
hypothalamic nuclei and further mapped their activation patterns in response to a
series of well-defined innate behaviors. RESULTS: Dbx1-derived neurons occupy
multiple postnatal hypothalamic nuclei including the lateral hypothalamus (LH),
arcuate nucleus (Arc) and the ventral medial hypothalamus (VMH). Within these
nuclei, Dbx1 (+) progenitors generate a large proportion of the Pmch-, Nesfatin-,
Cart-, Hcrt-, Agrp- and ERalpha-expressing neuronal populations, and to a lesser
extent the Pomc-, TH- and Aromatase-expressing populations. Inducible fate
mapping reveals distinct temporal windows for development of the Dbx1-derived LH
and Arc populations, with Agrp(+) and Cart(+) populations in the Arc arising
early (E7.5-E9.5), while Pmch(+) and Hcrt(+) populations in the LH derived from
progenitors expressing Dbx1 later (E9.5-E11.5). Moreover, as revealed by c-Fos
labeling, Dbx1-derived cells in male and female LH, Arc and VMH are responsive
during mating and aggression. In contrast, Dbx1-lineage cells in the Arc and LH
have a broader behavioral tuning, which includes responding to fasting and
predator odor cues. CONCLUSION: We define a novel fate map of the hypothalamus
with respect to Dbx1 expression in hypothalamic progenitor zones. We demonstrate
that in a temporally regulated manner, Dbx1-derived neurons contribute to
molecularly distinct neuronal populations in the LH, Arc and VMH that have been
implicated in a variety of hypothalamic-driven behaviors. Consistent with this,
Dbx1-derived neurons in the LH, Arc and VMH are activated during stress and other
innate behavioral responses, implicating their involvement in these diverse
behaviors.
PMID- 27209207
TI - Analysis of Clinical End Points of Randomised Trials Including Bevacizumab and
Chemotherapy versus Chemotherapy as First-line Treatment of Metastatic Colorectal
Cancer.
AB - AIMS: Progression-free survival is recognised as an appropriate end point for
randomised clinical trials of chemotherapy of patients with metastatic colorectal
cancer, although it is not clear if it is reliable after chemotherapy plus
bevacizumab. MATERIALS AND METHODS: A literature search of randomised trials of
systemic treatment including chemotherapy plus bevacizumab versus chemotherapy in
patients with metastatic colorectal cancer was undertaken. For each trial the
differences in overall survival and in either time-to-event or response-related
end points were calculated. A Spearman test was carried out between the
difference in each end point and the difference in survival. For the end points
with the higher relationships with overall survival a regression analysis was
carried out and R(2) (proportion of variability explained) was reported. RESULTS:
Progression-free survival is closely related to overall survival (r=0.817;
R(2)=0.706) and this relationship does not seem to be changed by the
discontinuation of bevacizumab. The response-related end points have a better
overall performance than the other time-to-event end points, even when only phase
III trials are considered. In phase III trials, the disease control rate seems to
be strongly related to overall survival (r=0.975; R(2)=0.889) and the overall
response rate reports a good performance (r=0.866; R(2)=0.484). An open-label
design and the timing of disease radiological evaluation do not seem to interfere
with the correlation of differences of progression-free survival and overall
survival. CONCLUSIONS: A validation of the disease control rate and the overall
response rate as a surrogate end point of survival at a patient level and a
standardised definition of the timing for their measurement are strongly
recommended in trials of chemotherapy plus bevacizumab.
PMID- 27209208
TI - Knockout of endothelin type B receptor signaling attenuates bleomycin-induced
skin sclerosis in mice.
AB - BACKGROUND: Endothelin-1 (ET-1) is important in the pathogenesis of systemic
sclerosis (SSc). ET-1 binds two receptors, endothelin type A (ETA) and endothelin
type B (ETB). Dual ETA/ETB receptor antagonists and a selective ETA receptor
antagonist are used clinically to treat SSc, and the effect of these antagonists
on fibroblast activation has been described. However, the role of ETB receptor
signaling in fibrogenesis is less clear. This study was conducted to evaluate the
profibrotic function of ETB receptor signaling in a murine model of bleomycin
(BLM)-induced scleroderma. METHODS: We used ETB receptor-knockout (ETBKO) mice,
which are genetically rescued from lethal intestinal aganglionosis by an ETB
receptor transgene driven by the human dopamine beta-hydroxylase (DbetaH)-gene
promoter, and wild-type mice with DbetaH-ETB (WT). BLM or phosphate-buffered
saline (PBS) was administered subcutaneously by osmotic minipump, and skin
fibrosis was assessed by dermal thickness, subcutaneous fat atrophy, and
myofibroblast count in the dermis. Dermal fibroblasts isolated from ETBKO and WT
mice were cultured in vitro, stimulated with BLM or ET-1, and the expression of
profibrotic genes was compared by quantitative PCR. RESULTS: Dermal thickness,
subcutaneous fat atrophy, and myofibroblast counts in the dermis were
significantly reduced in ETBKO mice compared to WT mice, after BLM treatment.
Compared with wild-type, dermal fibroblasts isolated from ETBKO mice showed lower
gene expressions of alpha-smooth muscle actin and collagen 1alpha1 in response to
BLM or ET-1 stimulation in vitro. CONCLUSIONS: ET-1-ETB receptor signaling is
involved in skin sclerosis and in collagen synthesis by dermal fibroblasts.
PMID- 27209209
TI - A systematic, large-scale comparison of transcription factor binding site models.
AB - BACKGROUND: The modelling of gene regulation is a major challenge in biomedical
research. This process is dominated by transcription factors (TFs) and mutations
in their binding sites (TFBSs) may cause the misregulation of genes, eventually
leading to disease. The consequences of DNA variants on TF binding are modelled
in silico using binding matrices, but it remains unclear whether these are
capable of accurately representing in vivo binding. In this study, we present a
systematic comparison of binding models for 82 human TFs from three freely
available sources: JASPAR matrices, HT-SELEX-generated models and matrices
derived from protein binding microarrays (PBMs). We determined their ability to
detect experimentally verified "real" in vivo TFBSs derived from ENCODE ChIP-seq
data. As negative controls we chose random downstream exonic sequences, which are
unlikely to harbour TFBS. All models were assessed by receiver operating
characteristics (ROC) analysis. RESULTS: While the area-under-curve was low for
most of the tested models with only 47 % reaching a score of 0.7 or higher, we
noticed strong differences between the various position-specific scoring matrices
with JASPAR and HT-SELEX models showing higher success rates than PBM-derived
models. In addition, we found that while TFBS sequences showed a higher degree of
conservation than randomly chosen sequences, there was a high variability between
individual TFBSs. CONCLUSIONS: Our results show that only few of the matrix-based
models used to predict potential TFBS are able to reliably detect experimentally
confirmed TFBS. We compiled our findings in a freely accessible web application
called ePOSSUM ( http:/mutationtaster.charite.de/ePOSSUM/ ) which uses a Bayes
classifier to assess the impact of genetic alterations on TF binding in user
defined sequences. Additionally, ePOSSUM provides information on the reliability
of the prediction using our test set of experimentally confirmed binding sites.
PMID- 27209211
TI - Amelioration of cadmium- and mercury-induced liver and kidney damage in rats by
genetically engineered probiotic Escherichia coli Nissle 1917 producing
pyrroloquinoline quinone with oral supplementation of citric acid.
AB - OBJECTIVE: Antioxidants, chelating agents, and probiotics are used to manage the
toxic effects of cadmium (Cd) and mercury (Hg). The aim of this study was to
investigate the combined effects of antioxidants, chelating agents, and
probiotics against heavy metal toxicity. METHOD: Genetically modified probiotic
Escherichia coli Nissle 1917 (EcN-20) producing a potent water soluble
antioxidant pyrroloquinoline quinone (PQQ) was supplemented with oral citric acid
and compared with another genetically modified probiotic EcN-21 producing PQQ and
citric acid against oxidative stress induced by Cd and Hg. Rats were
independently given 100 ppm Cd and 80 ppm Hg in drinking water for 4 wk. RESULTS:
EcN-20 was found to be more effective than EcN-2 (EcN strain with genomic
integration of vgb and gfp genes) with orally given PQQ against oxidative stress
induced by Cd and Hg. EcN-20 supplemented with oral citric acid was more
effective against Cd and Hg toxicity compared with EcN-2+citric acid (oral), EcN
2+PQQ (oral), EcN-2+PQQ (oral)+citric acid (oral), EcN-20, and EcN-21. However,
protection shown by EcN-21 was similar to EcN-20. CONCLUSION: The combination
therapy involving probiotic EcN-20 producing PQQ with citric acid given orally
was found to be a moderately effective strategy against toxicity induced by Cd
and Hg, whereas the protective effect of EcN-21 was the same as EcN-20.
PMID- 27209212
TI - Pathophysiology of metabolic syndrome: The onset of natural recovery on
withdrawal of a high-carbohydrate, high-fat diet.
AB - OBJECTIVES: Chronic consumption of high-carbohydrate, high-fat (HCHF) diet
induces metabolic syndrome (MetS) and markedly impairs the ultra-structure of
organs. To our knowledge, no scientific study has yet to report the effect of
withdrawal of an HCHF diet on MetS-associated ultra-structural abnormalities in
affected organs and tissues. Therefore, the aim of this study was to investigate
the effects of subchronic withdrawal of the HCHF diet, specifically with a
pathophysiological approach. METHODS: Wister albino rats (N = 72) were divided
into three groups: Groups A and B were fed a standard basal diet and an HCHF
diet, respectively, for 16 wk. Group C was on an HCHF diet for the initial 12 wk
and then returned to basal diet for 4 wk. Histopathological changes in the heart,
lungs, liver, spleen, pancreas, small intestine, kidney, white adipose tissue
(WAT), skeletal muscle, and hippocampus of the brain were monitored at 4, 8, 12,
and 16 wk. RESULTS: Lipid droplets (LDs) in liver, fibrosis in the pancreas,
abnormalities in the glomerulus of the kidney, and an increase in the size of
adipocytes were observed in groups B and C at week 12. Withdrawal of the HCHF
diet in group C showed the onset of regenerative features at the ultra-structural
level. HCHF diet-fed rats in group B had higher body weights; raised lipid
profiles, blood glucose levels, and insulin resistance than basal diet-fed rats
in group A and HCHF to basal diet-fed rats in group C at week 16. CONCLUSION: An
HCHF diet induces ultra-structural abnormalities, which are significantly
reversed by subchronic withdrawal of a MetS-inducing HCHF diet, indicating the
onset of natural recovery at the ultra-structural level of affected organs.
PMID- 27209210
TI - Aurora Kinase A expression predicts platinum-resistance and adverse outcome in
high-grade serous ovarian carcinoma patients.
AB - High-Grade Serous Ovarian Carcinoma (HGSOC) is the predominant histotype of
epithelial ovarian cancer (EOC), characterized by advanced stage at diagnosis,
frequent TP53 mutation, rapid progression, and high responsiveness to platinum
based-chemotherapy. To date, standard first-line-chemotherapy in advanced EOC
includes platinum salts and paclitaxel with or without bevacizumab. The major
prognostic factor is the response duration from the end of the platinum-based
treatment (platinum-free interval) and about 10-0 % of EOC patients bear a
platinum-refractory disease or develop early resistance (platinum-free interval
shorter than 6 months). On these bases, a careful selection of patients who could
benefit from chemotherapy is recommended to avoid unnecessary side effects and
for a better disease outcome. In this retrospective study, an immunohistochemical
evaluation of Aurora Kinase A (AURKA) was performed on 41 cases of HGSOC
according to platinum-status. Taking into account the number and intensity of
AURKA positive cells we built a predictive score able to discriminate with high
accuracy platinum-sensitive patients from platinum-resistant patients (p <
0.001). Furthermore, we observed that AURKA overexpression correlates to worse
overall survival (p = 0.001; HR 0.14). We here suggest AURKA as new effective
tool to predict the biological behavior of HGSOC. Particularly, our results
indicate that AURKA has a role both as predictor of platinum-resistance and as
prognostic factor, that deserves further investigation in prospective clinical
trials. Indeed, in the era of personalized medicine, AURKA could assist the
clinicians in selecting the best treatment and represent, at the same time, a
promising new therapeutic target in EOC treatment.
PMID- 27209213
TI - A multicomponent, school-initiated obesity intervention to promote healthy
lifestyles in children.
AB - OBJECTIVES: In the context of a 6-mo obesity program, incorporating school- and
family-based components, nutritional education, fun-type skill-learning physical
activities, and exercise training, this study examined relationships among
changes in nutritional status, physical fitness, and some psychosocial and
behavioral treatment-related outcomes, using a before and after comparison.
METHODS: Eighteen obese and overweight children ages 10 to 12 y were assessed
with respect to body weight, height, circumferences, skinfold thickness, and fat
mass. Health-related fitness tests, and self-reported physical activity enjoyment
and perceived physical ability also were administered. Health-related quality of
life (HRQoL) was evaluated using the Pediatric Quality of Life Inventory; dietary
habits were collected using a 7-d food diary. The WinFood software was used for
the estimation of nutrient and caloric intake. RESULTS: After treatment, children
showed decreases in body mass index z-score (P = 0.001), body fat percentage (P <
0.001), arm (P = 0.003) and waist circumferences (P = 0.004), and skinfold
thickness (P < 0.008). Actual (P < 0.001) and perceived (P < 0.03) physical
abilities, physical activity enjoyment (P = 0.03), and psychosocial HRQoL (P <
0.05) also improved from pre- to postintervention. Participants reported
reductions in total and commercial food caloric intakes (P < 0.001), with higher
protein and lower fat consumptions (P < 0.001) after the program. CONCLUSIONS:
The findings from the present study highlight the importance of combined dietary
behavioral-physical activity interventions in overweight children, and place
emphasis on directing such interventions toward improving perceived physical
competence that could lead to increased exercise adherence and promotion of the
health benefits associated with it.
PMID- 27209214
TI - Improving maternal vitamin D status promotes prenatal and postnatal skeletal
muscle development of pig offspring.
AB - OBJECTIVE: Vitamin D deficiency is a worldwide problem. Although vitamin D has
been implicated in muscle development, little is known about the effects of
maternal vitamin D status on the muscle development of offspring. The aim of this
study was to evaluate the effects of improving maternal vitamin D status by
dietary 25-hydroxyvitamin D3 (25 OHD3) supplementation on prenatal and postnatal
skeletal muscle development of offspring, using pigs as the model. METHODS:
Twenty gilts were allocated to a normal vitamin D (ND) dietary group with 50
MUg/kg vitamin D3 or a high-vitamin D (HD) group with an additional 50 MUg/kg 25
OHD3 from mating to weaning. Muscle samples were obtained from piglets at birth
and weaning. RESULTS: Serum 25 OHD concentrations were significantly increased in
gilts and newborn piglets in the HD group compared with those in the ND group (P
< 0.01). Maternal HD significantly increased numbers of muscle fiber in the
longissimus dorsi (LM) of newborn piglets (+23.53%; P < 0.01) and weaning piglets
(+27.47%; P < 0.05). Meanwhile, maternal HD had significant effects on cross
sectional areas of muscle fiber in psoas major (PM; +12.82%; P < 0.05) and LM
(+22.62%; P < 0.05) of weaning piglets. Reverse transcription polymerase chain
reaction revealed that PM and LM of piglets from the HD group had higher IGF2,
IGF2 R, MyOD1, and myogenin mRNA expressions, but lower MyHC Iota and myostatin
mRNA expressions than those of the ND piglets (P < 0.05). CONCLUSION: These
results suggest that improving maternal vitamin D status by dietary
supplementation with 25 OHD3 can promote prenatal and postnatal skeletal muscle
development of pig offspring by modulating the expressions of muscle
transcription factors.
PMID- 27209215
TI - Physiological and nutritional status of black oat (Avena strigosa Schreb.) grown
in soil with interaction of high doses of copper and zinc.
AB - Vineyard sandy acid soils from South Brazil have experienced heavy metal
contamination due to replacement of copper (Cu)-based by zinc (Zn)-based products
to control foliar diseases. Thus, we evaluate physiological and nutritional
status of black oat (Avena strigosa Schreb.), a common interrow crop in vineyards
from this region. Soil was collected in a natural field from Santana do
Livramento, in Rio Grande do Sul, the southernmost state of Brazil. Black oat was
cultivated for 30 days in a greenhouse with application of 0, 30, and 60 mg Cu
kg(-1) combined with 0, 15, 30, 60, 120, and 180 mg Zn kg(-1). After the trial
period, dry matter accumulation of roots and shoots, Cu and Zn contents in roots
and shoots, chlorophyll a fluorescence, photosynthetic pigments and catalase
(CAT, EC 1.11.1.6) and peroxidase (POD, EC 1.11.1.7) activity were determined. Cu
and Zn toxicity was evidenced by the decrease in plant growth of black oat as
well as by the decrease of photochemical efficiency associated with the decrease
in photosynthetic pigment content, especially with the highest doses of Cu and
Zn. Furthermore, the activity of antioxidant enzymes (CAT and POD) was increased
in intermediate doses of Zn, indicating the activation of the antioxidant system,
but the stress condition in treatments with high levels of Cu and Zn was not
reversed.
PMID- 27209216
TI - Imaging Findings in Sensorineural Hearing Loss: A Pictorial Essay.
PMID- 27209217
TI - Satisfaction and Quality of Life Related to Chemotherapy With an Arm Port: A
Pilot Study.
AB - PURPOSE: Placement of arm ports, or totally implanted venous access devices, is a
common practice in our interventional radiology suite. We implant a miniaturized
port in the upper arm for the provision of long-term chemotherapy. We
hypothesized that there was general satisfaction with these arm ports and they
have a minimal negative impact on quality of life. In this study we aimed to
assess our hypotheses. METHODS: We surveyed subjects, who having previously
received an arm port for chemotherapy to treat a malignancy, attended the
interventional room for its removal. The survey assessed the port's effect on
lifestyle, the degree of device-related pain, the acceptance of the port, and the
willingness to have another port in the future. RESULTS: Survey responses from 77
subjects were reviewed. On a scale of 1 (most negative) to 10 (most positive),
respondents indicated that the port system was a very positive enhancement to
their treatment (satisfaction = 9.2 +/- 2.0 and positivity = 8.8 +/- 2.2). The
port had little impact on daily activities. The mean score for the likelihood of
choosing to have another port placed if additional treatment was required was 9.1
+/- 2.1. DISCUSSION: The arm port in this study did not negatively impact subject
satisfaction and quality of life for this cohort. Most subjects rated the device
utility highly and felt that the port was a positive enhancement to their
treatment, one that they would possibly utilise again in future, if need be.
PMID- 27209218
TI - Frequency and Determinants of a Short-Interval Follow-up Recommendation After an
Abnormal Screening Mammogram.
AB - PURPOSE: After imaging assessment of an abnormal screening mammogram, a follow-up
examination 6 months later is recommended to some women. Our aim was to identify
which characteristics of lesions, women, and physicians are associated to such
short-interval follow-up recommendation in the Quebec Breast Cancer Screening
Program. METHODS: Between 1998 and 2008, 1,839,396 screening mammograms were
performed and a total of 114,781 abnormal screens were assessed by imaging only.
Multivariate analysis was done with multilevel Poisson regression models with
robust variance and generalized linear mixed models. RESULTS: A short-interval
follow-up was recommended in 26.7% of assessments with imaging only, representing
2.3% of all screens. Case-mix adjusted proportion of short-interval follow-up
recommendations varied substantially across physicians (range: 4%-64%).
Radiologists with high recall rates (>=15%) had a high proportion of short
interval follow-up recommendation (risk ratio: 1.82; 95% confidence interval:
1.35-2.45) compared to radiologists with low recall rates (<5%). The adjusted
proportion of short-interval follow-up was high (22.8%) even when a previous
mammogram was usually available. CONCLUSIONS: Short-interval follow-up
recommendation at assessment is frequent in this Canadian screening program, even
when a previous mammogram is available. Characteristics related to radiologists
appear to be key determinants of short-interval follow-up recommendation, rather
than characteristics of lesions or patient mix. Given that it can cause anxiety
to women and adds pressure on the health system, it appears important to record
and report short-interval follow-up and to identify ways to reduce its frequency.
Short-interval follow-up recommendations should be considered when assessing the
burden of mammography screening.
PMID- 27209219
TI - The effects of extracellular contrast agent (Gadobutrol) on the precision and
reproducibility of cardiovascular magnetic resonance feature tracking.
AB - BACKGROUND: Today feature tracking (FT) is considered to be a robust assessment
tool in cardiovascular magnetic resonance (CMR) for strain assessment. The FT
algorithm is dependent on a high contrast between blood pool and myocardium.
Extracellular contrast agents decrease blood-myocardial contrast in SSFP images
and thus might affect FT results. However, in a routine CMR scan, SSFP-cine
images including short axis views are partly acquired after contrast agent
injection. The aim of this study was to investigate the effect of extracellular
contrast agent (Gadobutrol) (CA) on the precision and reproducibility of the
feature tracking algorithm. METHODS: A total of 40 patient volunteers (mean age
51.2 +/- 19 years; mean LVEF 61 +/- 9 %) were scanned in supine position on a
clinical 1.5 T MR scanner (Philips Ingenia). SSFP-cine images in midventricular
short axis view (SA) as well as horizontal long axis view (HLA) were acquired
before and 10-15 min after injection of a double dose Gadobutrol. FT derived
systolic circumferential and longitudinal strain parameters were then calculated
for pre- and post-contrast images. RESULTS: FT derived midventricular peak
systolic circumferential strain (PSCS) (-24.8 +/- 6.4 % vs. -20.4 +/- 6.3 %),
apical PSCS (-28.67 +/- 6.5 % vs. -24.06 +/- 8.5 %), basal PSCS (-24.42 % +/- 6.5
vs. -20.68 +/- 7.1 %), peak systolic longitudinal strain (-19.57 +/- 3.3 % vs.
17.24 +/- 4.1 %), midventricular epicardial PSCS (-9.84 +/- 3.4 % vs. -8.13 +/-
3.4 %) , midventricular PSCS-rate (-1.52 +/- 0.4 vs. -1.28 +/- 0.5) and peak
diastolic circumferential strain rate (1.4 +/- 0.5 vs. 1.05 +/- 0.5) were
significantly reduced after CA application. Post CA strain assessment showed
higher intra- and interobserver variability. Pre-CA: intraobserver: mean 0.21,
Limits of agreement (LoA) -2.8 and 3.2; interobserver: mean 0.64, LoA -2.8 and
4.1. Post-CA: intraobserver: mean -0.11, LoA -5.1 to 4.9; interobserver: mean
4.93 LoA 2.4 to 12.2. CONCLUSION: The FT algorithm is dependent on a high
contrast between blood and myocardium. Post CA strain results are significantly
lower and less reproducible than pre-CA strain results.
PMID- 27209220
TI - [Endoscopic management of postoperative ureteral wound. Retrospective unicentric
study from October 2003 to June 2014].
AB - BACKGROUND: Ureteral wounds are rare with an incidence of 0.5 to 1% of pelvic
surgeries. Their supports and their prognosis remain dependant of the period of
support and the level of ureteral lesion. The importance of early treatment
reduces morbidity and improves patient prognosis. METHODS: A retrospective study
from October 2003 to June 2014 was performed in a university hospital using a
systematic chart review of patients' urology, digestive surgery, vascular surgery
and gynecology. RESULTS: Forty-six wounds were found in 43 patients. The majority
of the ureteral wound was found at the pelvic ureter, i.e. 69.6% of the study
population (n=32). The main cause was gynecological surgery (n=25). In the simple
wound group, endoscopic treatment was effective in nearly 90% of cases (n=6). In
the other two groups, the efficacy was only 30% and imposed a surgical treatment
as second-line. CONCLUSION: The management is based primarily on early detection
or intraoperative, and on a correct initial knowledge of the location and size of
the lesion. Endoscopic treatment can in most cases treated with a simple and
minimally invasive operation an ureteral wound with nearly 90% success rate. In
more complex wounds, endoscopy remains a step in the management with about 30%
success rate in our study. LEVEL OF EVIDENCE: 5.
PMID- 27209221
TI - [Predictive factors and prognostic consequences of perioperative complications of
radical cystectomies for urothelial carcinoma in patients of 80 years or more].
AB - OBJECTIVE: To define the predictive factors and the prognostic consequences of
perioperative complications occurrence while radical cystectomies for urothelial
carcinoma treatment in patients older than 80 years. MATERIAL AND METHOD:
Retrospective analysis of clinical and biological preoperative data and outcome
of eighty patients of 80 years or more, treated with radical cystectomy between
1990 and 2010 in one centre. Perioperative complications were graded according to
the classification from Clavien-Dindo. RESULTS: Twenty-eight patients (35%) had a
single perioperative complication and nineteen (24%) had multiple (>=2)
perioperative complications. Overall survival of patients with multiple
perioperative complications was significantly lower than that of patients who had
no complications (Log-rank P=0.0004). The occurrence of multiple perioperative
complications was associated with Charlson and ASA scores, with pelvic
irradiation and induction chemotherapy histories. However, in multivariate
analysis, only the existence of respiratory comorbidity was an independent risk
factor for the occurrence of multiple perioperative complications. CONCLUSIONS:
The occurrence of multiple perioperative complications was associated with
reduced overall survival in elderly patients after radical cystectomy. The
existence of respiratory comorbidity was the only independent risk factor for the
occurrence of multiple perioperative complications. LEVEL OF EVIDENCE: 5.
PMID- 27209222
TI - Quality of life: patient-reported outcomes after total replacement of the
temporomandibular joint.
AB - Since publication of the UK guidelines on total replacement of the
temporomandibular joint (TMJ) in 2008 by the British Association of Oral and
Maxillofacial Surgeons (BAOMS), pain scores, mouth opening, and diet have been
used as markers of success. We have looked at quality of life (QoL) as another.
We analysed the data from a single surgeon on patients who had had joints
replaced and devised a questionnaire to find out about the subjective,
functional, psychological, and social aspects of TMJ disease. A total of 18
patients who had the same operation were included (mean (range) age 50 (33 - 73)
years, mean (range) follow up 30 (18 - 48) months). Jaw function and facial
aesthetics had improved, and patients needed less analgesia. Overall, they
reported a better QoL with improvements in mood and social interaction, and the
activities of daily life were easier. The NHS uses QoL questionnaires to measure
success in fields such as orthopaedic surgery, but currently we know of no
nationally accepted questionnaire that measures success after total replacement
of the TMJ.
PMID- 27209225
TI - [Lung cancer screening with low-dose computerised tomography. Thoughts on its use
in Spain].
PMID- 27209224
TI - [Effect of tumour necrosis factor alpha blockade on bone metabolism in chronic
inflammatory joint diseases].
AB - BACKGROUND AND OBJECTIVE: To evaluate the effect of anti-TNF treatments on bone
mineral density (BMD), bone remodelling markers (BRM) and receptor activator of
nuclear factor kappaB ligand (RANKL) and osteoprotegerin (OPG) in patients with
chronic inflammatory joint diseases. METHODS: A longitudinal prospective study
was performed under clinical practice conditions on 31 patients diagnosed of
rheumatoid arthritis, psoriatic arthropathy and ankylosing spondylitis who had
received treatment with anti-TNF alpha drugs for one year. BMD, OPG and RANKL
soluble form (sRANKL) were studied at the onset and end of the study. During the
study (0, 3, 6, 9 and 12 month), disease activity (SDAI, BASDAI and CRP),
functional capacity (HAQ, BASFI), BRM and vitamin D were studied. RESULTS: BMD
was not modified after one year of treatment. The patients who took
corticosteroids had a mean bone mass loss of 3% in the lumbar spine (+/-1.6,
P=.02). In regards to the BRM, did not experience significant changes over the
course of the study. Disease activity, both SDAI (P=.002) and BASDAI (P=.002),
decreased. OPG was maintained without changes during the year of treatment while
both the sRANKL (0.28+/-0.22, P=.013) and sRANKL/OPG ratio significantly
decreased (0.04+/-0.03, P=.031). CONCLUSION: The patients being treated with anti
TNF did not present with a significant loss of DMO during the study (one year),
at the same time experiencing an improvement in disease activity. This protection
has been clearer in the responding patients.
PMID- 27209227
TI - [Papillary thyroid carcinoma in Li-Fraumeni syndrome: Sporadic case or a rare
association?].
PMID- 27209223
TI - Suitability of the PROMIS alcohol use short form for screening in a HIV clinical
care setting.
AB - BACKGROUND: At-risk alcohol use is important to identify in clinical settings to
facilitate interventions. The Patient-Reported Outcomes Measurement Information
System (PROMIS) Alcohol Use Short Form was developed through an item response
theory process, but its utility as a screening instrument in clinical care has
not been reported. OBJECTIVE: To determine the ability of the PROMIS Alcohol Use
Short Form to identify people with current or future at-risk alcohol use defined
by the Alcohol Use Disorders Identification Test consumption (AUDIT-C)
instrument. METHODS: Observational study of people living with HIV (PLWH) in
clinical care at four sites across the US. Patients completed a tablet-based
clinical assessment prior to seeing their providers at clinic appointments. We
used 3 definitions of clinically-relevant at-risk alcohol use and determined the
proportion of PLWH with current or future at-risk drinking identified by the
PROMIS instrument. RESULTS: Of 2497 PLWH who endorsed >=1 drink in the prior 12
months, 1500 PLWH (60%) endorsed "never" for all PROMIS items. In that group, 26%
had clinically-relevant at-risk alcohol use defined by one or more AUDIT-C
definitions. At follow-up (N=1608), high baseline PROMIS scores had 55%
sensitivity for at-risk drinking among those with at-risk drinking at baseline,
and 22% sensitivity among those without baseline risk. CONCLUSIONS: The PROMIS
Alcohol Use Short Form cannot be used alone to identify PLWH with clinically
relevant at-risk alcohol use. Optimal assessment of problem drinking behavior is
not clear, but there does not seem to be an important role for the PROMIS
instrument in this clinical setting.
PMID- 27209226
TI - [Hepatitis C during pregnancy, vertical transmission and new treatment
possibilities].
AB - Hepatitis C virus (HCV) infection has been recognised as a worldwide health
problem. HCV is the most common cause of cirrhosis, hepatocellular carcinoma and
liver transplantation. The HCV prevalence reported in pregnant women is similar
to that found among the general population and does not appear to have an adverse
effect on the course of pregnancy. The vertical transmission of HCV (HCV-VT) is a
major route of HCV infection in children in the developed countries (>90%). The
overall rate of mother-to-child transmission and chronification is about 3%-8%;
however, this rate is higher for mothers who are co-infected with the human
immunodeficiency virus (15-20%). In this review, we analyse the course of HCV
infection during gestation, the risk factors associated with HCV-VT, the
diagnostic methods/clinical monitoring recommended and the new possibilities of
treatment in the era of direct-acting antiviral agents, which are essential to
guide future public health efforts appropriately.
PMID- 27209228
TI - Hyperkeratotic nodule with rapid growth.
PMID- 27209230
TI - [Poland syndrome].
PMID- 27209229
TI - [Recommendations for the multidisciplinary management of tuberous sclerosis
complex].
PMID- 27209231
TI - Discovery of (S)-4-isobutyloxazolidin-2-one as a novel leucyl-tRNA synthetase
(LRS)-targeted mTORC1 inhibitor.
AB - A series of leucinol analogs were investigated as leucyl-tRNA synthetase-targeted
mTORC1 inhibitors. Among them, compound 5, (S)-4-isobutyloxazolidin-2-one, showed
the most potent inhibition on the mTORC1 pathway in a concentration-dependent
manner. Compound 5 inhibited downstream phosphorylation of mTORC1 by blocking
leucine-sensing ability of LRS, without affecting the catalytic activity of LRS.
In addition, compound 5 exhibited cytotoxicity against rapamycin-resistant colon
cancer cells, suggesting that LRS has the potential to serve as a novel
therapeutic target.
PMID- 27209232
TI - Quinazolinones-Phenylquinoxaline hybrids with unsaturation/saturation linkers as
novel anti-proliferative agents.
AB - A new series of novel quinazolinones with allylphenyl quinoxaline hybrids 9a-n
were efficiently synthesized in good yields by the reaction of 3-allyl-2
methylquinazolin-4(3H)-one (5a-n) with bromophenyl)quinoxaline (8) utilizing Pd
catalyzed Heck-cross coupling and evaluated for anti-proliferative activity
against four cancer cell lines such as HeLa (cervical), MIAPACA (pancreatic), MDA
MB-231 (breast) and IMR32 (neuroblastoma). Compounds 9a, 9e, 9g and 9h exhibited
promising anti-proliferative activity with GI50 values ranging from 0.06 to
0.2MUM against four cell lines, while compounds 9e and 9k showed significant
activity against HeLa and MIAPACA cell lines and compounds 9b, 9d, 9h and 9j
showed selective potency against IMR32 and MDA-MB-231 cell lines. This is the
first report on the synthesis and in vitro anti-proliferative evaluation of E-2
(4-substituted)-3-(3-(4-(quinoxalin-2-yl)phenyl)allyl)quinazolin-4(3H)-ones (9a
n). Docking results indicate a sign of good correlation between experimental
activity and calculated binding affinity (dock score), suggesting that these
compounds could act as promising DNA intercalates.
PMID- 27209234
TI - One step N-glycosylation by filamentous fungi biofilm in bioreactor of a new
phosphodiesterase-3 inhibitor tetrazole.
AB - An efficient and rapid process for N-glycosylation of 5-(1-(3-fluorophenyl)-1H
pyrazol-4-yl)-2H-tetrazole-LQFM 021 (1), a new synthetic derivative of pyrazole
with phosphodiesterase-3 (PDE-3) inhibitory action, vasorelaxant activity and low
toxicity catalyzed by filamentous fungi biofilm in bioreactor was successfully
developed. A maximum N-glycosyl yield of 68% was obtained with Cunninghamella
echinulata ATCC 9244 biofilm in bioreactor with conditions of 25mgml(-1) of 1 in
PDSM medium at 28 degrees C for 96h. After extraction with ethyl acetate, the
derivative was identified by Ultrahigh Resolution Mass Spectrometry and (1)H
(13)C HSQC/HMBC.
PMID- 27209233
TI - Initial investigation of three selective and potent small molecule oxytocin
receptor PET ligands in New World monkeys.
AB - The neuropeptide oxytocin is part of a neuroendocrine system that has
physiological effects ranging from ensuring uterine myometrial contractions at
parturition and post-partum mammary gland milk ejection to the modulation of
neural control of social relationships. This initial study was performed to
investigate the potential use of positron emission tomography (PET) for
localizing oxytocin receptors in two New World primates. Three biomarkers for PET
(1-3) that are known to have high affinity and selectivity for the human oxytocin
receptor were investigated in the common marmoset (Callithrix jacchus) via PET
imaging. Brain penetration, and uptake in the salivary gland area were both
observed with biomarkers 2 and 3. No brain penetration was observed with 1, but
uptake was observed more specifically in several peripheral endocrine glands
compared to 2 or 3. Biomarker 2, which displayed the best brain penetration of
the three biomarkers in the marmoset, was then investigated in the monogamous
coppery titi monkey (Callicebus cupreus) in a brain scan and a limited full body
scan. No significant brain penetration of 2 was observed in the titi monkey, but
significant uptake was observed in various locations throughout the periphery.
Metabolism of 2 was suspected to have been significant based upon HPLC analysis
of blood draws, but parent compound was still present near the end of the scan.
Follow-up investigations will focus on next generation biomarkers bearing
improved binding characteristics and brain penetrability as well as investigating
tissue in regions where biomarker uptake was observed.
PMID- 27209235
TI - Design and synthesis of novel benzoxazole analogs as Aurora B kinase inhibitors.
AB - A novel series of benzoxazole analogs was designed and synthesized, and their
inhibitory activities against Aurora kinases were evaluated. Some of the tested
compounds exhibited a promising activity with respect to the inhibition of Aurora
B kinase. A structure-activity relationship study indicated that linker length,
regiochemistry, and halogen substitution play important roles in kinase
inhibitory potency. The binding modes between representative compounds and Aurora
kinases were interpreted through a molecular docking study to explain the
inhibitory activity and selectivity for Aurora A and B kinases. Compounds 13l and
13q also show an antiproliferative effect on the human tumor cell lines in a dose
dependent manner. The most potent 13q demonstrated good efficacy in the prostate
cancer PC-3 tumor xenograft model.
PMID- 27209236
TI - Investigating the effects of movement speed on the lumbopelvic coordination
during trunk flexion.
AB - Movement speed during trunk flexion has long been reported to affect task
performance and biomechanical responses. The current study investigated how
movement speed changed lumbopelvic coordination, especially lumbopelvic
continuous relative phase and phase variability during trunk flexion. Eighteen
subjects executed a paced trunk flexion routine over time periods of 3, 7, 11 and
15seconds. The results demonstrated that compared with the 3-s condition,
lumbopelvic continuous relative phase was 98.8% greater in the 15-s condition,
indicating a more anti-phase coordination pattern. This pattern is suggested to
mitigate the increased spinal loading associated with the longer duration of
muscle exertion. Additionally, phase variability was 18.8% greater in the 15-s
trials than the 3-s trials, such an unstable coordination pattern is likely
caused by the more active neuromuscular control. Findings of this study provide
important information about the effects of movement speed on lumbopelvic
coordination during trunk flexion.
PMID- 27209237
TI - Continuous intraoperative monitoring of pelvic autonomic nerves during TME to
prevent urogenital and anorectal dysfunction in rectal cancer patients (NEUROS):
a randomized controlled trial.
AB - BACKGROUND: Urinary, sexual and anorectal sequelae are frequent after rectal
cancer surgery and were found to be related to intraoperative neurogenic
impairment. Neuromonitoring methods have been developed to identify and preserve
the complex pelvic autonomic nervous system in order to maintain patients'
quality of life. So far no randomized study has been published dealing with the
role of neuromonitoring in rectal cancer surgery. METHODS/DESIGN: NEUROS is a
prospective two-arm randomized controlled multicenter clinical trial comparing
the functional outcome in rectal cancer patients undergoing total mesorectal
excision (TME) with and without pelvic intraoperative neuromonitoring (pIONM). A
total of 188 patients will be included. Primary endpoint is the urinary function
measured by the International Prostate Symptom Score. Secondary endpoints consist
of sexual, anorectal functional outcome and safety, especially oncologic safety
and quality of TME. Sexual function is assessed in females with the Female Sexual
Function Index and in males with the International Index of Erectile Function.
For evaluation of anorectal function the Wexner-Vaizey score is used. Functional
evaluation is scheduled before radiochemotherapy (if applicable), preoperatively
(baseline), before hospital discharge, 3 and 6 months after stoma closure and 12
months after surgery. For assessment of safety adverse events, the rates of
positive resection margins and quality of mesorectum are documented. DISCUSSION:
This study will provide high quality evidence on the efficacy of pIONM aiming for
improvement of functional outcome in rectal cancer patients undergoing TME. TRIAL
REGISTRATION: Clinicaltrials.gov: NCT01585727 . Registration date is 04/25/2012.
PMID- 27209238
TI - Sonographic evaluation of inguinal lesions.
AB - Abnormalities in the inguinal region are varied. The most common abnormality in
the inguinal region is the hernia: direct or indirect inguinal hernia and femoral
hernia. There are many hernia-mimicking lesions, such as spermatic cord
hydrocele, undescended testis, hematoma, inflammation, abscess, benign or
malignant tumors, metastatic or benign lymph node enlargement, round ligament
varicosities or mesothelial cyst, and herniated ovary. Ultrasonography is
currently the primary imaging modality used in assessing inguinal lesions and
helpful for the differential diagnosis of a broad spectrum of these diseases.
Familiarity with clinical setting and certain ultrasonography details will
facilitate prompt and accurate diagnosis and treatment.
PMID- 27209240
TI - Albert Heim (1849-1937): The Multifaceted Geologist Who Influenced Research Into
Near-death Experiences and Suggestion Therapy.
AB - Swiss geologist Albert Heim is well known for his pioneering contributions to
several aspects of geology, and for his role in establishing the breeding of
different kinds of Swiss mountain dogs. In the field of near-death research, it
is also recognized that he performed a pioneering study into near-death states of
falling mountaineers. It seems hardly known, however, that Heim also influenced
suggestion therapy significantly-in particular, the treatment of warts by
suggestion. This article provides an overview of Heim's contribution in the
latter field of study.
PMID- 27209239
TI - Identification of new regulators of embryonic patterning and morphogenesis in
Xenopus gastrulae by RNA sequencing.
AB - During early vertebrate embryogenesis, cell fate specification is often coupled
with cell acquisition of specific adhesive, polar and/or motile behaviors. In
Xenopus gastrulae, tissues fated to form different axial structures display
distinct motility. The cells in the early organizer move collectively and
directionally toward the animal pole and contribute to anterior mesendoderm,
whereas the dorsal and the ventral-posterior trunk tissues surrounding the
blastopore of mid-gastrula embryos undergo convergent extension and convergent
thickening movements, respectively. While factors regulating cell lineage
specification have been described in some detail, the molecular machinery that
controls cell motility is not understood in depth. To gain insight into the gene
battery that regulates both cell fates and motility in particular embryonic
tissues, we performed RNA sequencing (RNA-seq) to investigate differentially
expressed genes in the early organizer, the dorsal and the ventral marginal zone
of Xenopus gastrulae. We uncovered many known signaling and transcription factors
that have been reported to play roles in embryonic patterning during
gastrulation. We also identified many uncharacterized genes as well as genes that
encoded extracellular matrix (ECM) proteins or potential regulators of actin
cytoskeleton. Co-expression of a selected subset of the differentially expressed
genes with activin in animal caps revealed that they had distinct ability to
block activin-induced animal cap elongation. Most of these factors did not
interfere with mesodermal induction by activin, but an ECM protein, EFEMP2,
inhibited activin signaling and acted downstream of the activated type I
receptor. By focusing on a secreted protein kinase PKDCC1, we showed with
overexpression and knockdown experiments that PKDCC1 regulated gastrulation
movements as well as anterior neural patterning during early Xenopus development.
Overall, our studies identify many differentially expressed signaling and
cytoskeleton regulators in different embryonic regions of Xenopus gastrulae and
imply their functions in regulating cell fates and/or behaviors during
gastrulation.
PMID- 27209242
TI - Neutron H*(10) estimation and measurements around 18MV linac.
AB - Thermoluminescent dosimetry, analytical techniques and Monte Carlo calculations
were used to estimate the dose of neutron radiation in a treatment room with a
linear electron accelerator of 18MV. Measurements were carried out through
neutron ambient dose monitors which include pairs of thermoluminescent dosimeters
TLD 600 (6LiF: Mg, Ti) and TLD 700 (7LiF: Mg, Ti), which were placed inside a
paraffin spheres. The measurements has allowed to use NCRP 151 equations, these
expressions are useful to find relevant dosimetric quantities. In addition,
photoneutrons produced by linac head were calculated through MCNPX code taking
into account the geometry and composition of the linac head principal parts.
PMID- 27209241
TI - Cocaine Experience Enhances Thalamo-Accumbens N-Methyl-D-Aspartate Receptor
Function.
AB - BACKGROUND: Excitatory synaptic transmission in the nucleus accumbens (NAc) is a
key biological substrate underlying behavioral responses to psychostimulants and
susceptibility to relapse. Studies have demonstrated that cocaine induces changes
in glutamatergic signaling at distinct inputs to the NAc. However, consequences
of cocaine experience on synaptic transmission from the midline nuclei of the
thalamus (mThal) to the NAc have yet to be reported. METHODS: To examine synapses
from specific NAc core inputs, we recorded light-evoked excitatory postsynaptic
currents following viral-mediated expression of channelrhodopsin-2 in the mThal,
prefrontal cortex (PFC), or basolateral amygdala from acute brain slices. To
identify NAc medium spiny neuron subtypes, we used mice expressing tdTomato
driven by the promoter for dopamine receptor subtype 1 (D1). We recorded N-methyl
D-aspartate receptor (NMDAR) and alpha-amino-3-hydroxy-5-methyl-4-isoxazole
propionic acid receptor (AMPAR) properties to evaluate synaptic adaptations
induced by cocaine experience, a 5-day cocaine exposure followed by 2 weeks of
abstinence. RESULTS: Excitatory inputs to the NAc core displayed differential
NMDAR properties, and cocaine experience uniquely altered AMPAR and NMDAR
properties at mThal-D1(+), mThal-D1(-), and PFC-D1(+) synapses, but not at PFC
D1(-) synapses. Finally, at mThal-D1(+) synapses, cocaine enhanced GluN2C/D
function and NMDAR-dependent synaptic plasticity. CONCLUSIONS: Our results
identify contrasting cocaine-induced AMPAR and NMDAR modifications at mThal-NAc
and PFC-NAc core synapses. These changes include an enhancement of NMDAR function
and plasticity at mThal-D1(+) synapses. Incorporation of GluN2C/D-containing
NMDARs most likely underlies these phenomena and represents a potential
therapeutic target for psychostimulant use disorders.
PMID- 27209245
TI - High uptake of shared electronic health records among HIV-infected patients at an
Australian sexual health clinic.
AB - The uptake of an opt-in system for integrating the health information of HIV
infected patients of an Australian sexual health clinic into the regional shared
electronic health record (EHR) is described. A medical record audit was performed
to determine the number and demographic characteristics of HIV-infected patients
in the study's sexual health clinic opting in and out of inclusion in the
regional shared EHR. The majority of these HIV-infected patients (70%, 95% CI: 58
79%) took up the option of including their HIV-related records into the regional
shared electronic health record.
PMID- 27209243
TI - Microplastics in seafood: Benchmark protocol for their extraction and
characterization.
AB - Pollution of the oceans by microplastics (<5 mm) represents a major environmental
problem. To date, a limited number of studies have investigated the level of
contamination of marine organisms collected in situ. For extraction and
characterization of microplastics in biological samples, the crucial step is the
identification of solvent(s) or chemical(s) that efficiently dissolve organic
matter without degrading plastic polymers for their identification in a time and
cost effective way. Most published papers, as well as OSPAR recommendations for
the development of a common monitoring protocol for plastic particles in fish and
shellfish at the European level, use protocols containing nitric acid to digest
the biological tissues, despite reports of polyamide degradation with this
chemical. In the present study, six existing approaches were tested and their
effects were compared on up to 15 different plastic polymers, as well as their
efficiency in digesting biological matrices. Plastic integrity was evaluated
through microscopic inspection, weighing, pyrolysis coupled with gas
chromatography and mass spectrometry, and Raman spectrometry before and after
digestion. Tissues from mussels, crabs and fish were digested before being
filtered on glass fibre filters. Digestion efficiency was evaluated through
microscopical inspection of the filters and determination of the relative removal
of organic matter content after digestion. Five out of the six tested protocols
led to significant degradation of plastic particles and/or insufficient tissue
digestion. The protocol using a KOH 10% solution and incubation at 60 degrees C
during a 24 h period led to an efficient digestion of biological tissues with no
significant degradation on all tested polymers, except for cellulose acetate.
This protocol appeared to be the best compromise for extraction and later
identification of microplastics in biological samples and should be implemented
in further monitoring studies to ensure relevance and comparison of environmental
and seafood product quality studies.
PMID- 27209244
TI - The availabilities of arsenic and cadmium in rice paddy fields from a mining
area: The role of soil extractable and plant silicon.
AB - Adequate silicon (Si) can greatly boost rice yield and improve grain quality
through alleviating stresses associated with heavy metals and metalloids such as
arsenic (As) and cadmium (Cd). The soil plant-available Si is relatively low in
South China due to severe desilicification and allitization of the soils in this
region. Conversely, pollution of heavy metals and metalloids in the soils of this
region occurs widely, especially As and Cd pollution in paddy soil. Therefore,
evaluating the plant availability of Si in paddy soil of South China and
examining its correlation with the availability of heavy metals and metalloids
are of great significance. Accordingly, in our study, 107 pairs of soil and rice
plant samples were collected from paddy fields contaminated by As and Cd in South
China. Significantly positive correlations between Si in rice plants and Si
fractions in soils extracted with citric acid, NaOAc-HOAc buffer, and oxalate
ammonium oxalate buffer suggest that these extractants are more suitable for use
in extracting plant-available Si in the soils of our present study. Significantly
negative correlations between different Si fractions and As or Cd in rice plant
tissues and negative exponential correlations between the molar ratios of Si to
As/Cd in rice roots, straws, husks or grains and As/Cd in rice grains indicate
that Si can significantly alleviate the accumulation of As/Cd from soils to the
rice plants. Finally, a contribution assessment of soil properties to As/Cd
accumulation in rice grains based on random forest showed that in addition to Si
concentrations in soil or rice plants, other factors such as Fe fractions and
total phosphorus also contributed largely to As/Cd accumulation in rice grains.
Overall, Si exhibited its unique role in mitigating As or Cd stress in rice, and
our study results provide strong field evidence for this role.
PMID- 27209246
TI - Germline BRCA1/2 mutation testing is indicated in every patient with epithelial
ovarian cancer: A systematic review.
AB - The presence of a germline BRCA1/2 mutation improves options for tailored risk
reducing strategies and treatment in both breast and ovarian cancer patients and
their relatives. Currently, referral for germline BRCA1/2 mutation testing of
women with epithelial ovarian cancer (EOC) varies widely, based on different
criteria, such as age of onset, family history of breast and/or ovarian cancer
and histological type of EOC. The overall probability of a germline BRCA1/2
mutation in women with EOC is above 10%, and a substantial part of the germline
BRCA1/2 mutation carriers is missed when applying these criteria for referral.
Therefore, we strongly recommend referral of all women with EOC for genetic
counselling and DNA analysis.
PMID- 27209247
TI - Delayed Displacement of Aortic Coarctation Stent.
PMID- 27209248
TI - Transcatheter Aortic Valve Replacement in Transition.
PMID- 27209249
TI - "Capturing" the Benefits of Dual-Therapy Stent Technology: Is This a Promise or
Reality?
PMID- 27209250
TI - A Simple and Inexpensive Option for Nonsurgical Septal Reduction in Hypertrophic
Obstructive Cardiomyopathy: Embolization of the Septal Artery With Subcutaneous
Fat Tissue.
PMID- 27209251
TI - Feasibility and Safety of Unzipping Small Diameter Stents in the Blood Vessels of
Piglets.
AB - OBJECTIVES: This study sought to determine the feasibility and safety of
unzipping small-diameter stents (SDS) in a growing animal model. BACKGROUND: SDS
implanted to relieve stenosis of blood vessels in infants may result in
refractory stenosis as the child grows. If stents can be longitudinally fractured
unzipped-then the target vessel can potentially be redilated to the eventual
adult vessel diameter. METHODS: Fifty stents (diameter 4 to 7 mm) were implanted
in 5 neonatal piglets (mean age and weight = 1.5 weeks and 3.4 kg). Pre-mounted
coronary (CS) (n = 24), biliary (BS) (n = 14), nitinol (NS) (n = 3), and renal
stents (RS) (n = 9) were implanted in pulmonary arteries (n = 13), systemic
arteries (n = 25), and systemic veins (n = 12). Three months later (median weight
= 32 kg), unzipping was attempted by dilating the stents. RESULTS: All CS and RS
unzipped at twice their nominal diameter with <20% shortening. None of the NS
unzipped. The BS shortened the most (~40%), with only 69% of the stents
unzipping. Stainless steel CS and RS with an open cell design were significant
predictors (p <= 0.01) for unzipping. On histopathology, unzipping of the BS
caused the most medial dissection and vessel wall injury, while unzipping of the
CS caused the least. CONCLUSIONS: Unzipping of small-diameter CS and RS implanted
in systemic and pulmonary vessels is more feasible than the BS and NS. This study
may encourage the implantation of small stents in infant blood vessels and aid in
selection of appropriate stent type.
PMID- 27209252
TI - Choice of Treatment for Aortic Valve Stenosis in the Era of Transcatheter Aortic
Valve Replacement in Eastern Denmark (2005 to 2015).
AB - OBJECTIVES: The aim of this study was to evaluate the choice of treatment for
severe aortic valve stenosis in the era of transcatheter aortic valve replacement
(TAVR) in Eastern Denmark. BACKGROUND: Until the early 21st century, the only
therapeutic option for aortic valve stenosis was surgical aortic valve
replacement (SAVR), but this has changed with the introduction of TAVR. METHODS:
Using the East Denmark Heart Registry, the evolution of AVR over time was studied
for the period 2005 to 2015. RESULTS: TAVR has since its introduction in 2007
seen steady growth, with currently more than 35% of AVR procedures-and 45% of
isolated AVR procedures-being performed by transcatheter-based technology. The
number of SAVR procedures remained rather stable over the study period and even
saw a slight decline since 2012-there was a marked decrease in the age at which
surgical bioprostheses are considered appropriate. The age profile of TAVR
patients remained unchanged over the study period, with a recent trend toward
more low- and intermediate-risk patients. Currently, patients age >=80 years
and/or with a Society of Thoracic Surgeons (STS) surgical risk score >6 are
automatically referred for TAVR, and one-half of patients age 70 to 80 years with
an STS risk score of 4 to 6 are treated with TAVR. CONCLUSIONS: The number of
TAVR procedures has increased steadily in recent years, with a TAVR penetration
rate of 35% in 2015 and close to 45% when considering isolated AVR. The number of
SAVR procedures remained stable over the study period, and surgical bioprostheses
are currently used at a much younger age than in 2005.
PMID- 27209253
TI - A Foreign Body Inside the Pulmonary Artery After Heart Transplantation.
PMID- 27209255
TI - Breaking From the Past: Intravascular Stent Therapy in Pediatrics.
PMID- 27209254
TI - 1-Year Results of the REMEDEE Registry: Clinical Outcomes After Deployment of the
Abluminal Sirolimus-Coated Bioengineered (Combo) Stent in a Multicenter,
Prospective All-Comers Registry.
AB - OBJECTIVES: This registry evaluated the safety and clinical outcomes of the Combo
stent in an all-comers population in routine clinical practice. We report 1-year
results. BACKGROUND: Limitations of current generation drug-eluting stents (DES)
are 3-fold: stent thrombosis, neoatherosclerosis related to impaired healing, and
repeat revascularization due to (late-) in-stent restenosis. The Combo stent
combines an abluminal biodegradable coating eluting sirolimus and a luminal anti
CD34(+) antibody layer to attract endothelial progenitor cells in order to
promote vessel healing, thus preventing neointima formation and restenosis.
METHODS: The REMEDEE (Randomized study to Evaluate the safety and effectiveness
of an abluMinal sirolimus coatED bio-Engineered StEnt) post-market registry was
an international, multicenter, prospective trial that evaluated clinical outcomes
after deployment of the Combo stent, in an all-comers population of patients
treated with a Combo stent in the setting of routine clinical care. Clinical
endpoints were target lesion failure (TLF), defined as a composite of cardiac
death, nonfatal myocardial infarction (MI), or target lesion revascularization
(TLR). RESULTS: Between June 2013 and March 2014, a total of 1,000 patients were
included in the registry, 49.9% of whom presented with acute coronary syndrome.
Mean age was 65 +/- 11 years old (range: 34 to 94 years of age), and 74% of
patients were male; 58.9% of 1,255 lesions were American Heart Association type
B2 or C lesions. The primary endpoints were 5.7% TLF, 1.7% cardiac death, 0.7%
target vessel MI, and 4.4% TLR. Definite stent thrombosis occurred in 0.5% of
subjects; no thrombosis occurred after 9 days post-stenting. CONCLUSIONS: This
registry showed excellent 1-year results of novel Combo bioengineered stent
technology in an all-comers patient population. (Prospective Registry to Assess
the Long-term Safety and Performance of the Combo Stent [REMEDEE]; NCT01874002).
PMID- 27209257
TI - Forefoot and rearfoot contributions to the lunge position in individuals with and
without insertional Achilles tendinopathy.
AB - BACKGROUND: Clinicians use the lunge position to assess and treat restricted
ankle dorsiflexion. However, the individual forefoot and rearfoot contributions
to dorsiflexion and the potential for abnormal compensations are unclear. The
purposes of this case-control study were to 1) compare single- (representing a
clinical lunge position measure) versus multi-segment contributions to
dorsiflexion, and 2) determine if differences are present in patients with
tendinopathy. METHODS: 32 individuals (16 with insertional Achilles tendinopathy
and 16 age- and gender-matched controls) participated. Using three-dimensional
motion analysis, the single-segment model was defined as tibial inclination
relative to the whole foot. The multi-segment model consisted of rearfoot (tibia
relative to calcaneus) and forefoot (1st metatarsal relative to calcaneus)
motion. Two-way (kinematic model and group) analyses of variance were used to
assess differences in knee bent and straight positions. Associations between
models were tested with Pearson correlations. FINDINGS: Single-segment modeling
resulted in ankle DF values 5 degrees greater than multi-segment modeling that
isolated rearfoot dorsiflexion for knee bent and straight positions (P<0.01).
Compared to controls, the tendinopathy group had 10 degrees less dorsiflexion
with the knee bent (P<0.01). For the tendinopathy group, greater dorsiflexion was
strongly associated with greater rearfoot (r=0.95, P<0.01) and forefoot (r=0.81,
P<0.01) dorsiflexion. For controls, dorsiflexion was strongly associated with
rearfoot (r=0.87, P<0.01) but not forefoot dorsiflexion (r=0.23, P=0.39).
INTERPRETATION: Clinically used single-segment models of ankle dorsiflexion
overestimate rearfoot dorsiflexion. Participants with insertional Achilles
tendinopathy may compensate for restricted and/or painful ankle dorsiflexion by
increased lowering of the medial longitudinal arch (forefoot dorsiflexion) with
the lunge position.
PMID- 27209256
TI - Proximal femoral nails anti-rotation versus dynamic hip screws for treatment of
stable intertrochanteric femur fractures: an outcome analyses with a minimum 4
years of follow-up.
AB - BACKGROUND: Dynamic hip screws (DHSs) and proximal femoral nails anti-rotation
(PFNAs) are well-documented implants for stable intertrochanteric femur
fractures(IFFs); however, there is no consensus regarding which type of implant
is the better option for stable IFFs. This study aimed to compare DHSs with PFNAs
in the management of stable intertrochanteric fractures. METHODS: A retrospective
study was performed in our institution. Between June, 2005 and November, 2015,
267 patients (267 hips) with stable IFFs (AO/OTA Type 3.1A1) were treated with a
DHS or a PFNA. Inclusion and exclusion criteria were designed to focus on
isolated stable IFFs in ambulatory patients. Follow-up was undertaken at 1, 3,
12, 15, 18, 21, 24, 36, 48 postoperative months, and at final follow-up.
Radiograph outcomes were obtained at all visits. The primary outcome measure was
re-operation rate. The secondary outcome was patient function, evaluated using
Harris hip score (HHS). Tertiary outcomes included: intra- and post-operative
orthopaedic complications. RESULTS: Two hundred twenty two patients (110 in the
PFNA group and 112 in the DHS group) were evaluated with a mean follow-up period
of 53 months (range, 48-60 months). There was an increased risk of reoperation
after DHS in one-year follow-up: 0 % and 5.4 % for PFNA and DHS, respectively (P
= 0.029). The difference persisted with time: 6.4 % and 13.4 % at last follow-up
(P < 0.05). There are statistical differences in postoperative HHS at 12, 15, 18,
21, 24, 36, 48 months postoperatively and at final follow-up. No statistical
differences in medical complications was observed between the two groups. The
orthopaedic complications were more in the DHS group (n = 42) compared with the
PFNA group (n = 18) (P <0.05). CONCLUSION: Compared with PFNA device, DHS device
might not be the preferred implant for stable intertrochanteric femur fractures.
PMID- 27209258
TI - Peptide-conjugated nanoparticles for targeted imaging and therapy of prostate
cancer.
AB - While there has been extensive development of anti-cancer drugs for treatment of
prostate cancer, the therapeutic efficacy of such drugs remains inadequate in
many cases. Here, we performed in vitro biopanning of the PC3 human prostate
carcinoma cell line to select prostate cancer-specific peptides by phage display.
We successfully identified specific peptides targeting prostate cancer cells, and
their specificity was confirmed by cellular ELISA and flow cytometry. Moreover,
we found that the phage clones also recognize other prostate cancer cell lines
and surgical specimens from prostate cancer patients. The tumor targeting ability
of these phages was validated in a xenograft model, in which high accumulation of
targeting phage was observed. To investigate whether selected peptides are able
to target tumors and enhance drug delivery into cancer cells, we synthesized
peptide-PEGylated lipids and post-inserted them into preformed liposomal
doxorubicin and vinorelbine. The results of our cellular uptake and MTT assays
indicate that peptide-conjugated liposomes exhibit enhanced drug intracellular
delivery and cytotoxicity. The conjugation of targeting peptide to imaging
agents, such as quantum dots (QDs) and superparamagnetic iron oxide nanoparticles
(SPIONs), results in more precise delivery of these agents to tumor sites.
Furthermore, administration of liposomal doxorubicin and vinorelbine conjugated
with targeting peptides was found to markedly increase the inhibition of human
prostate tumor growth in mouse xenograft and orthotopic models. These results
indicate that targeting peptide, SP204, has significant potential for targeted
therapy and molecular imaging in prostate cancer.
PMID- 27209259
TI - Bone induction at physiological doses of BMP through localization by clay
nanoparticle gels.
AB - Bone Morphogenic Protein 2 (BMP2) can induce ectopic bone. This ability, which
first motivated the widespread application of BMP2 in fracture healing and spinal
arthrodesis has, more recently, been indicated as one of several serious adverse
effects associated with the supra-physiological doses of BMP2 relied upon for
clinical efficacy. Key to harnessing BMPs and other agents safely and effectively
will be the ability to localize activity at a target site at substantially
reduced doses. Clay (Laponite) nanoparticles can self assemble into gels under
physiological conditions and bind growth factors for enhanced and localized
efficacy. Here we show the ability to localize and enhance the activity of BMP2
to achieve ectopic bone formation at doses within the sub-microgram per ml range
of concentrations sufficient to induce differentiation of responsive cell
populations in vitro and at approximately 3000 fold lower than those employed in
clinical practice.
PMID- 27209260
TI - Intracellular self-assembly based multi-labeling of key viral components:
Envelope, capsid and nucleic acids.
AB - Envelope, capsid and nucleic acids are key viral components that are all involved
in crucial events during virus infection. Thus simultaneous labeling of these key
components is an indispensable prerequisite for monitoring comprehensive virus
infection process and dissecting virus infection mechanism. Baculovirus was
genetically tagged with biotin on its envelope protein GP64 and enhanced green
fluorescent protein (EGFP) on its capsid protein VP39. Spodoptera frugiperda 9
(Sf9) cells were infected by the recombinant baculovirus and subsequently fed
with streptavidin-conjugated quantum dots (SA-QDs) and cell-permeable nucleic
acids dye SYTO 82. Just by genetic engineering and virus propagation, multi
labeling of envelope, capsid and nucleic acids was spontaneously accomplished
during virus inherent self-assembly process, significantly simplifying the
labeling process while maintaining virus infectivity. Intracellular dissociation
and transportation of all the key viral components, which was barely reported
previously, was real-time monitored based on the multi-labeling approach,
offering opportunities for deeply understanding virus infection and developing
anti-virus treatment.
PMID- 27209262
TI - Novel enzymatically cross-linked hyaluronan hydrogels support the formation of 3D
neuronal networks.
AB - Hyaluronan (HA) is an essential component of the central nervous system's
extracellular matrix and its high molecular weight (MW) form has anti
inflammatory and anti-fibrotic properties relevant for regenerative medicine.
Here, we introduce a new hydrogel based on high MW HA which is cross-linked using
the transglutaminase (TG) activity of the activated blood coagulation factor XIII
(FXIIIa). These HA-TG gels have significant advantages for neural tissue
engineering compared to previous HA gels. Due to their chemical inertness in the
absence of FXIIIa, the material can be stored long-term, is stable in solution,
and shows no cytotoxicity. The gelation is completely cell-friendly due to the
specificity of the enzyme and the gelation rate can be tuned from seconds to
hours at physiological pH and independently of stiffness. The gels are
injectable, and attach covalently to fibrinogen and fibrin, two common bioactive
components in in vitro tissue engineering, as well as proteins present in vivo,
allowing the gels to covalently bind to brain or spinal cord defects. These
optimal chemical and bioactive properties of HA-TG gels enabled the formation of
3D neuronal cultures of unprecedented performance, showing fast neurite
outgrowth, axonal and dendritic speciation, strong synaptic connectivity in 3D
networks, and rapidly-occurring and long-lasting coordinated electrical activity.
PMID- 27209261
TI - Synergistic retention strategy of RGD active targeting and radiofrequency
enhanced permeability for intensified RF & chemotherapy synergistic tumor
treatment.
AB - Despite gaining increasing attention, chelation of multiple active targeting
ligands greatly increase the formation probability of protein corona, disabling
active targeting. To overcome it, a synergistic retention strategy of RGD
mediated active targeting and radiofrequency (RF) electromagnetic field-enhanced
permeability has been proposed here. It is validated that such a special
synergistic retention strategy can promote more poly lactic-co-glycolic acid
(PLGA)-based capsules encapsulating camptothecin (CPT) and solid DL-menthol (DLM)
to enter and retain in tumor in vitro and in vivo upon exposure to RF
irradiation, receiving an above 8 fold enhancement in HeLa retention. Moreover,
the PLGA-based capsules can respond RF field to trigger the entrapped DLM to
generate solid-liquid-gas (SLG) tri-phase transformation for enhancing RF
ablation and CPT release. Therefore, depending on the enhanced RF ablation and
released CPT and the validated synergistic retention effect, the inhibitory
outcome for tumor growth has gained an over 10-fold improvement, realizing RF
ablation & chemotherapy synergistic treatment against HeLa solid tumor, which
indicates a significant promise in clinical RF ablation.
PMID- 27209263
TI - Transforming Radiological Education Through Collaboration and Innovation.
PMID- 27209264
TI - Semi-automated Quantification of Lung Density on Chest CT Used as a Predictive
Biomarker of Pulmonary Venous Hypertension.
AB - RATIONALE AND OBJECTIVES: We sought to determine if lung densities derived from
computed tomography scans could be used to identify patients with pulmonary
venous hypertension (Group II pulmonary hypertension [PH]), and to compare the
performance of this metric with previously described metrics. MATERIALS AND
METHODS: Patients were retrospectively included from a single-center cohort of
patients with aortic stenosis being evaluated for transcatheter aortic valve
replacement from April 2009 to July 2014. Fifty-four patients met inclusion
criteria. Thirty-three had PH (pulmonary arterial pressure [PAP] >=25 mmHg).
Thirty-two had Group II PH (pulmonary capillary wedge pressure [PCWP] >=15 mmHg).
Mean lung density (mLD) was measured from chest computed tomography scans using
semi-automated techniques. Aortic diameter (mAo) and main pulmonary artery
diameter (mPA) were measured manually. These metrics were correlated with PAP and
PCWP values. RESULTS: mLD was significantly correlated with PCWP (R = 0.45, P =
.0006) and significantly higher in patients with elevated PCWP (P = .006). mPA
was weakly correlated with PCWP (R = 0.28, P = .04), but not significantly
different in patients with elevated PCWP. mPA/mAo was not significantly
correlated with PCWP, nor was it significantly different in patients with
elevated PCWP. mLD, mPA, and mPA/mAo were all significantly correlated with PAP
and were significantly higher in patients with PH. CONCLUSIONS: Of all metrics,
only mLD was significantly correlated with PCWP and served to differentiate
patients with elevated and normal PCWP. As such, mLD may contribute to a
noninvasive biomarker of pulmonary venous hypertension.
PMID- 27209265
TI - Clinical Internship for Radiology: To be, or Not to be.
PMID- 27209266
TI - Nerve Fascicles and Epineurium Volume Segmentation of Peripheral Nerve Using
Magnetic Resonance Micro-neurography.
AB - RATIONALE AND OBJECTIVES: The aims of this study were to propose a semiautomated
technique to segment and measure the volume of different nerve components of the
tibial nerve, such as the nerve fascicles and the epineurium, based on magnetic
resonance microneurography and a segmentation tool derived from brain imaging;
and to assess the reliability of this method by measuring interobserver and
intraobserver agreement. MATERIALS AND METHODS: The tibial nerve of 20 healthy
volunteers (age range = 23-69; mean = 47; standard deviation = 15) was
investigated at the ankle level. High-resolution images were obtained through
tailored microneurographic sequences, covering 28 mm of nerve length. Two
operators manually segmented the nerve using the in-phase image. This region of
interest was used to mask the nerve in the water image, and two-class
segmentation was performed to measure the fascicular volume, epineurial volume,
nerve volume, and fascicular to nerve volume ratio (FNR). Interobserver and
intraobserver agreements were calculated. RESULTS: The nerve structure was
clearly visualized with distinction of the fascicles and the epineurium.
Segmentation provided absolute volumes for nerve volume, fascicular volume, and
epineurial volume. The mean FNR resulted in 0.69 with a standard deviation of
0.04 and appeared to be not correlated with age and sex. Interobserver and
intraobserver agreements were excellent with alpha values >0.9 for each parameter
investigated, with measurements free of systematic errors at the Bland-Altman
analysis. CONCLUSIONS: We concluded that the method is reproducible and the
parameter FNR is a novel feature that may help in the diagnosis of neuropathies
detecting changes in volume of the fascicles or the epineurium.
PMID- 27209267
TI - Atrial Premature Depolarization-Induced Changes in QRS and T Wave Morphology on
Resting Electrocardiograms in Horses.
AB - BACKGROUND: The electrocardiographic differentiation between atrial (APDs) and
ventricular (VPDs) premature depolarizations is important. P wave prematurity and
normal QRS and T wave morphology generally are used as discriminating criteria
for APDs. HYPOTHESIS/OBJECTIVES: The aim of this study was to determine whether
P, Q, R, S, and T wave amplitude, PQ interval, QRS and P wave duration and P and
T wave morphology differ between APDs and sinus beats. To determine the
relationship between the RR coupling interval and the change in S wave amplitude
between sinus beats and APDs. METHODS: Case-control study. From a modified base
apex configuration of 30 horses with APDs at rest, sinus beat and APD associated
preceding RR interval, P, PQ and QRS duration and P, R, S, and T wave amplitudes
were measured. Linear mixed models and logistic regression were used to determine
the effect of APDs on the ECG variables studied. RESULTS: In comparison to sinus
beats, APDs were associated with a significant (P < .001) change in P amplitude (
0.03 +/- 0.01 mV) and increase in S (0.20 +/- 0.02 mV) and T (0.08 +/- 0.03 mV)
amplitude. PQ (-20.3 +/- 5.2 ms) and RR (-519 +/- 14 ms) interval and P duration
(-21.1 +/- 3.0 ms) decreased (P < .001). APDs were significantly associated with
a singular positive P wave (OR: 11.0, P < .001) and were more likely to have a
monophasic positive T wave (OR: 9.2, P < .001). A smaller RR coupling interval
was associated with an increased relative difference in S amplitude (P < .01).
CONCLUSIONS: Atrial premature depolarizations may lead to changes in QRS and T
wave morphology. Knowledge of these changes is important to avoid interpreting
certain APDs as VPDs.
PMID- 27209268
TI - Evaluation and validation of the use of a molecularly imprinted polymer coupled
to LC-MS for benzylpenicillin determination in meat samples.
AB - This article describes a full analytical method for the clean-up and detection of
benzylpenicillin in chicken and beef meat samples using a previously developed
molecularly imprinted polymer in a solid-phase extraction cleanup step followed
by analysis using LC-MS/MS. The method was validated based on the criteria and
the requirements of the European Commission Decision 2002/657/EC. This validation
revealed method performance characteristics that meet all the criteria in the
Decision with limits of detection for chicken and beef meat samples of 6.2 and
14.4MUg/kg, respectively, 8 and 3.5 times lower than the MRL. This method holds
strong potential when a specific cleanup of benzylpenicillin is required, because
with slight modifications it is also applicable in a milk matrix [1].
PMID- 27209269
TI - Effect of Early vs Delayed Initiation of Renal Replacement Therapy on Mortality
in Critically Ill Patients With Acute Kidney Injury: The ELAIN Randomized
Clinical Trial.
AB - IMPORTANCE: Optimal timing of initiation of renal replacement therapy (RRT) for
severe acute kidney injury (AKI) but without life-threatening indications is
still unknown. OBJECTIVE: To determine whether early initiation of RRT in
patients who are critically ill with AKI reduces 90-day all-cause mortality.
DESIGN, SETTING, AND PARTICIPANTS: Single-center randomized clinical trial of 231
critically ill patients with AKI Kidney Disease: Improving Global Outcomes
(KDIGO) stage 2 (>=2 times baseline or urinary output <0.5 mL/kg/h for >=12
hours) and plasma neutrophil gelatinase-associated lipocalin level higher than
150 ng/mL enrolled between August 2013 and June 2015 from a university hospital
in Germany. INTERVENTIONS: Early (within 8 hours of diagnosis of KDIGO stage 2; n
= 112) or delayed (within 12 hours of stage 3 AKI or no initiation; n = 119)
initiation of RRT. MAIN OUTCOMES AND MEASURES: The primary end point was
mortality at 90 days after randomization. Secondary end points included 28- and
60-day mortality, clinical evidence of organ dysfunction, recovery of renal
function, requirement of RRT after day 90, duration of renal support, and
intensive care unit (ICU) and hospital length of stay. RESULTS: Among 231
patients (mean age, 67 years; men, 146 [63.2%]), all patients in the early group
(n = 112) and 108 of 119 patients (90.8%) in the delayed group received RRT. All
patients completed follow-up at 90 days. Median time (Q1, Q3) from meeting full
eligibility criteria to RRT initiation was significantly shorter in the early
group (6.0 hours [Q1, Q3: 4.0, 7.0]) than in the delayed group (25.5 h [Q1, Q3:
18.8, 40.3]; difference, -21.0 [95% CI, -24.0 to -18.0]; P < .001). Early
initiation of RRT significantly reduced 90-day mortality (44 of 112 patients
[39.3%]) compared with delayed initiation of RRT (65 of 119 patients [54.7%];
hazard ratio [HR], 0.66 [95% CI, 0.45 to 0.97]; difference, -15.4% [95% CI,
28.1% to -2.6%]; P = .03). More patients in the early group recovered renal
function by day 90 (60 of 112 patients [53.6%] in the early group vs 46 of 119
patients [38.7%] in the delayed group; odds ratio [OR], 0.55 [95% CI, 0.32 to 0.
93]; difference, 14.9% [95% CI, 2.2% to 27.6%]; P = .02). Duration of RRT and
length of hospital stay were significantly shorter in the early group than in the
delayed group (RRT: 9 days [Q1, Q3: 4, 44] in the early group vs 25 days [Q1, Q3:
7, >90] in the delayed group; P = .04; HR, 0.69 [95% CI, 0.48 to 1.00];
difference, -18 days [95% CI, -41 to 4]; hospital stay: 51 days [Q1, Q3: 31, 74]
in the early group vs 82 days [Q1, Q3: 67, >90] in the delayed group; P < .001;
HR, 0.34 [95% CI, 0.22 to 0.52]; difference, -37 days [95% CI, -infinity to
19.5]), but there was no significant effect on requirement of RRT after day 90,
organ dysfunction, and length of ICU stay. CONCLUSIONS AND RELEVANCE: Among
critically ill patients with AKI, early RRT compared with delayed initiation of
RRT reduced mortality over the first 90 days. Further multicenter trials of this
intervention are warranted. TRIAL REGISTRATION: German Clinical Trial Registry
Identifier: DRKS00004367.
PMID- 27209270
TI - Allergy and Asthma Care in the Mobile Phone Era.
AB - Strategies to improve patients' adherence to treatment are essential to reduce
the great health and economic burden of allergic rhinitis and asthma. Mobile
phone applications (apps) for a better management of allergic diseases are
growing in number, but their usefulness for doctors and patients is still
debated. Controlled trials have investigated the feasibility, cost-effectiveness,
security, and perspectives of the use of tele-medicine in the self-management of
asthma. These studies focused on different tools or devices, such as SMS,
telephone calls, automatic voice response system, mobile applications, speech
recognition system, or cloud-computing systems. While some trials concluded that
m-Health can improve asthma control and the patient's quality of life, others did
not show any advantage in relation to usual care. The only controlled study on
allergic rhinitis showed an improvement of adherence to treatment among tele
monitored patients compared to those managed with usual care. Most studies have
also highlighted a few shortcomings and limitations of tele-medicine, mainly
concerning security and cost-efficiency. The use of smartphones and apps for a
personalized asthma and allergy care needs to be further evaluated and optimized
before conclusions on its usefulness can be drawn.
PMID- 27209271
TI - Detection of lobular structures in normal breast tissue.
AB - BACKGROUND: Ongoing research into inflammatory conditions raises an increasing
need to evaluate immune cells in histological sections in biologically relevant
regions of interest (ROIs). Herein, we compare different approaches to
automatically detect lobular structures in human normal breast tissue in
digitized whole slide images (WSIs). This automation is required to perform
objective and consistent quantitative studies on large data sets. METHODS: In
normal breast tissue from nine healthy patients immunohistochemically stained for
different markers, we evaluated and compared three different image analysis
methods to automatically detect lobular structures in WSIs: (1) a bottom-up
approach using the cell-based data for subsequent tissue level classification,
(2) a top-down method starting with texture classification at tissue level
analysis of cell densities in specific ROIs, and (3) a direct texture
classification using deep learning technology. RESULTS: All three methods result
in comparable overall quality allowing automated detection of lobular structures
with minor advantage in sensitivity (approach 3), specificity (approach 2), or
processing time (approach 1). Combining the outputs of the approaches further
improved the precision. CONCLUSIONS: Different approaches of automated ROI
detection are feasible and should be selected according to the individual needs
of biomarker research. Additionally, detected ROIs could be used as a basis for
quantification of immune infiltration in lobular structures.
PMID- 27209272
TI - Observed Blood Pressure and Mortality Among People Aged 65 Years and Older: A
Community-Based Cohort Study.
AB - OBJECTIVES: The 2014 Eighth Joint National Committee guidelines for hypertension
management emphasize the upper limit of blood pressure (BP) as the target for
treatment in the elderly population. Given the uncertainty regarding optimal BP
range, we aimed to investigate the association between observed BP and subsequent
mortality in older people. DESIGN, SETTING, AND PARTICIPANTS: We extracted data
from 128,765 participants >=65 years of age who underwent annual health
examinations in a retrospective, observational community-based study from 2001 to
2010. Seated BP was measured using an oscillometric device. The outcomes were all
cause and cardiovascular mortality. RESULTS: As compared to participants with
systolic BP at 130 to 139 mm Hg, the risk of all-cause mortality was
significantly higher among those with <110 (adjusted hazard ratios [aHRs], 1.12;
95% confidence interval [CI], 1.05-1.20), 140 to 149 (aHR, 1.08; 95% CI, 1.03
1.14), 150 to 159 (aHR, 1.07; 95% CI, 1.01-1.17), 160 to 169 (aHR, 1.11; 95% CI,
1.04-1.19), and >=170 mm Hg (aHR, 1.25; 95% CI, 1.17-1.33), whereas the
differences were not significant for those with 110 to119 (aHR, 1.06; 95% CI,
1.00-1.12) and 120 to 129 mm Hg (aHR, 1.03; 95% CI, 0.97-1.08). Similarly,
diastolic BP at 40 to 79 mm Hg was associated with the lowest risk of all-cause
mortality. The J-shaped curve relationship between BP and cardiovascular
mortality was also observed. CONCLUSIONS: Observed systolic and diastolic BP
other than 110 to 139 and 40 to 79 mm Hg, respectively, were associated with a
worse outcome. Our large cohort study supports the J-shaped mortality with
observed BP in older people.
PMID- 27209273
TI - Gait Performance in Hypertensive Patients on Angiotensin-Converting Enzyme
Inhibitors.
AB - OBJECTIVE: To examine the relationship between angiotensin-converting enzyme
inhibitor (ACEI) use and gait performance in older adults. DESIGN: Cross
sectional survey. SETTING: Community. PARTICIPANTS: A total of 281 community
dwelling older adults with hypertension enrolled in an aging study. MEASUREMENTS:
Quantitative variables used to define gait performance included velocity (cm/s),
stride length (cm), swing time (s), stride length variability (SD), and swing
time variability (SD). RESULTS: There were 72 hypertensive participants on ACEIs
and 209 were on other antihypertensive medications. Linear regression analysis
adjusted for age, sex, and potential confounders revealed that hypertensive
participants on an ACEI walked 7.29 cm/s slower (P = .016) and stride length was
6.86 cm shorter (P = .006) compared with those not on ACEIs. There were no
significant differences on the other gait variables examined. CONCLUSION: ACEI
use was associated with worse gait performance in elderly hypertensives.
Biological mechanisms need to be explored, and clinicians should consider
monitoring gait speed in hypertensive patients on ACEIs.
PMID- 27209274
TI - Developing the rural health workforce to improve Australian Aboriginal and Torres
Strait Islander health outcomes: a systematic review.
AB - Objective The aim of the present study was to identify evidence-based strategies
in the literature for developing and maintaining a skilled and qualified rural
and remote health workforce in Australia to better meet the health care needs of
Australian Aboriginal and/or Torres Strait Islander (hereafter Aboriginal)
people. Methods A systematic search strategy was implemented using the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses (PRISMA) statement and
checklist. Exclusion and inclusion criteria were applied, and 26 papers were
included in the study. These 26 papers were critically evaluated and analysed for
common findings about the rural health workforce providing services for
Aboriginal people. Results There were four key findings of the study: (1) the
experience of Aboriginal people in the health workforce affects their engagement
with education, training and employment; (2) particular factors affect the
effectiveness and longevity of the non-Aboriginal workforce working in Aboriginal
health; (3) attitudes and behaviours of the workforce have a direct effect on
service delivery design and models in Aboriginal health; and (4) student
placements affect the likelihood of applying for rural and remote health jobs in
Aboriginal communities after graduation. Each finding has associated evidence
based strategies including those to promote the engagement and retention of
Aboriginal staff; training and support for non-Aboriginal health workers;
effective service design; and support strategies for effective student placement.
Conclusions Strategies are evidenced in the peer-reviewed literature to improve
the rural and remote workforce for health delivery for Australian Aboriginal
people and should be considered by policy makers, funders and program managers.
What is known about the topic? There is a significant amount of peer-reviewed
literature about the recruitment and retention of the rural and remote health
workforce. What does this paper add? There is a gap in the literature about
strategies to improve recruitment and retention of the rural and remote health
workforce for health delivery for Australian Aboriginal people. This paper
provides evidence-based strategies in four key areas. What are the implications
for practitioners? The findings of the present study are relevant for policy
makers, funders and program managers in rural and remote Aboriginal health.
PMID- 27209275
TI - Scale-up on electrokinetic remediation: Engineering and technological parameters.
AB - This study analyses the effect of the scale-up of electrokinetic remediation
(EKR) processes in natural soils. A procedure is proposed to prepare soils based
on a compacting process to obtaining soils with similar moisture content and
density to those found in real soils in the field. The soil used here was from a
region with a high agrarian activity (Mora, Spain). The scale-up study was
performed in two installations at different scales: a mock-up pilot scale
(0.175m(3)) and a prototype with a scale that was very similar to a real
application (16m(3)). The electrode configuration selected consisted of rows of
graphite electrodes facing each other located in electrolyte wells. The discharge
of 20mg of 2,4-dichlorophenoxyacetic acid [2,4-D] per kg of dry soil was treated
by applying an electric potential gradient of 1Vcm(-1). An increase in scale was
observed to directly influence the amount of energy supplied to the soil being
treated. As a result, electroosmotic and electromigration flows and electric
heating are more intense than in smaller-scale tests (24%, 1% and 25%,
respectively respect to the values in prototype). In addition, possible leaks
were evaluated by conducting a watertightness test and quantifying evaporation
losses.
PMID- 27209276
TI - Extended amplification of acoustic signals by amphibian burrows.
AB - Animals relying on acoustic signals for communication must cope with the
constraints imposed by the environment for sound propagation. A resource to
improve signal broadcast is the use of structures that favor the emission or the
reception of sounds. We conducted playback experiments to assess the effect of
the burrows occupied by the frogs Eupsophus emiliopugini and E. calcaratus on the
amplitude of outgoing vocalizations. In addition, we evaluated the influence of
these cavities on the reception of externally generated sounds potentially
interfering with conspecific communication, namely, the vocalizations emitted by
four syntopic species of anurans (E. emiliopugini, E. calcaratus, Batrachyla
antartandica, and Pleurodema thaul) and the nocturnal owls Strix rufipes and
Glaucidium nanum. Eupsophus advertisement calls emitted from within the burrows
experienced average amplitude gains of 3-6 dB at 100 cm from the burrow openings.
Likewise, the incoming vocalizations of amphibians and birds were amplified on
average above 6 dB inside the cavities. The amplification of internally broadcast
Eupsophus vocalizations favors signal detection by nearby conspecifics.
Reciprocally, the amplification of incoming conspecific and heterospecific
signals facilitates the detection of neighboring males and the monitoring of the
levels of potentially interfering biotic noise by resident frogs, respectively.
PMID- 27209277
TI - Adaptation to replating of dendritic cells synergizes with Toll-like receptor
stimuli and enhances the pro-inflammatory cytokine profile.
AB - BACKGROUND: As initiators of the adaptive immune response, dendritic cells (DCs)
can be used for anti-cancer immunotherapy. On addition of proper maturation
stimuli DCs mature and produce pro-inflammatory cytokines that skew T cells in
the direction needed for anti-cancer therapy. Further optimization of DC
maturation might improve the efficacy of DCs for clinical application. We
describe that replating and a subsequent resting period enhance the inflammatory
properties of the DCs. METHODS: Cultured immature monocyte-derived DCs were
harvested and, after replating, were stimulated immediately or after 2 h of rest.
Cytokine production was assessed using enzyme-linked immunosorbent assay (ELISA).
Dynamics of mitogen-activated protein kinase (MAPK) and nuclear factor kappa b
(NFkappaB) activation in DCs was analyzed using flow cytometry and imaging flow
cytometry. RESULTS: Resting immature DCs after replating, before addition of Toll
like receptor (TLR) ligands, increased the production of pro-inflammatory but not
anti-inflammatory cytokines. In addition, the speed of MAPK phosphorylation and
nuclear translocation of NFkappaB was increased when DCs were allowed to rest
before TLR stimulation. The effect was imprinted, transient and did not reflect a
temporary loss of responsiveness, indicating that signaling induced by culture
adaptation of DCs synergizes with TLR signals to increase cytokine production.
DCs rested before TLR stimulation induced more interferon (IFN)-gamma production
in CD4-positive and CD8-positive T cells. CONCLUSION: Introduction of a resting
step in the DC maturation method, which is cheap and easy to implement, will
improve the generation of pro-inflammatory DCs for cancer immunotherapy. These
DCs enhanced Th1 polarization and IFN-gamma production by CD8 T cells, both
important hallmarks for the induction of efficient anti-cancer immunity.
PMID- 27209278
TI - Cell-based product classification procedure: What can be done differently to
improve decisions on borderline products?
AB - In June 2015, European Medicines Agency/Committee for Advanced Therapies (CAT)
released the new version of the reflection paper on classification of advanced
therapy medicinal products (ATMPs) established to address questions of borderline
cases in which classification of a product based on genes, cells or tissues is
unclear. The paper shows CAT's understanding of substantial manipulation and
essential function(s) criteria that define the legal scope of cell-based
medicinal products. This article aims to define the authors' viewpoint on the
reflection paper. ATMP classification has intrinsic weaknesses derived from the
lack of clarity of the evolving concepts of substantial manipulation and
essential function(s) as stated in the EU Regulation, leading to the risk of
differing interpretations and misclassification. This might result in the
broadening of ATMP scope at the expense of other products such as cell/tissue
transplants and blood products, or even putting some present and future clinical
practice at risk of being classified as ATMP. Because of the major
organizational, economic and regulatory implications of product classification,
we advocate for increased interaction between CAT and competent authorities (CAs)
for medicines, blood and blood components and tissues and cells or for the
creation of working groups including representatives of all parties as recently
suggested by several CAs.
PMID- 27209280
TI - Diagnostic imaging of posterior fossa anomalies in the fetus.
AB - Ultrasound and magnetic resonance imaging are the two imaging modalities used in
the assessment of the fetus. Ultrasound is the primary imaging modality, whereas
magnetic resonance is used in cases of diagnostic uncertainty. Both techniques
have advantages and disadvantages and therefore they are complementary. Standard
axial ultrasound views of the posterior fossa are used for routine scanning for
fetal anomalies, with additional orthogonal views directly and indirectly
obtainable using three-dimensional ultrasound techniques. Magnetic resonance
imaging allows not only direct orthogonal imaging planes, but also tissue
characterization, for example to search for blood breakdown products. We review
the nomenclature of several posterior fossa anomalies using standardized
criteria, and we review cerebellar abnormalities based on an etiologic
classification.
PMID- 27209279
TI - DNetDB: The human disease network database based on dysfunctional regulation
mechanism.
AB - Disease similarity study provides new insights into disease taxonomy,
pathogenesis, which plays a guiding role in diagnosis and treatment. The early
studies were limited to estimate disease similarities based on clinical
manifestations, disease-related genes, medical vocabulary concepts or registry
data, which were inevitably biased to well-studied diseases and offered small
chance of discovering novel findings in disease relationships. In other words,
genome-scale expression data give us another angle to address this problem since
simultaneous measurement of the expression of thousands of genes allows for the
exploration of gene transcriptional regulation, which is believed to be crucial
to biological functions. Although differential expression analysis based methods
have the potential to explore new disease relationships, it is difficult to
unravel the upstream dysregulation mechanisms of diseases. We therefore estimated
disease similarities based on gene expression data by using differential
coexpression analysis, a recently emerging method, which has been proved to be
more potential to capture dysfunctional regulation mechanisms than differential
expression analysis. A total of 1,326 disease relationships among 108 diseases
were identified, and the relevant information constituted the human disease
network database (DNetDB). Benefiting from the use of differential coexpression
analysis, the potential common dysfunctional regulation mechanisms shared by
disease pairs (i.e. disease relationships) were extracted and presented.
Statistical indicators, common disease-related genes and drugs shared by disease
pairs were also included in DNetDB. In total, 1,326 disease relationships among
108 diseases, 5,598 pathways, 7,357 disease-related genes and 342 disease drugs
are recorded in DNetDB, among which 3,762 genes and 148 drugs are shared by at
least two diseases. DNetDB is the first database focusing on disease similarity
from the viewpoint of gene regulation mechanism. It provides an easy-to-use web
interface to search and browse the disease relationships and thus helps to
systematically investigate etiology and pathogenesis, perform drug repositioning,
and design novel therapeutic interventions.Database URL:
http://app.scbit.org/DNetDB/ #.
PMID- 27209281
TI - Traumatic aortic aneurysm diagnosed by computed tomography angiography in a 22
year-old man presenting with progressive dyspnea and dysphagia.
AB - Traumatic aortic aneurysm is a rare and potentially fatal complication that can
occur secondary to acute chest trauma. However, early diagnosis of this
complication is often neglected due to the presence of severe chest and abdominal
trauma. We report a case of delayed traumatic aortic aneurysm that was diagnosed
by computed tomography angiography on day 13 after a traffic accident.
PMID- 27209282
TI - Left and right ventricular longitudinal strain-volume/area relationships in elite
athletes.
AB - We propose a novel ultrasound approach with the primary aim of establishing the
temporal relationship of structure and function in athletes of varying sporting
demographics. 92 male athletes were studied [Group IA, (low static-low dynamic)
(n = 20); Group IC, (low static-high dynamic) (n = 25); Group IIIA, (high static
low dynamic) (n = 21); Group IIIC, (high static-high dynamic) (n = 26)].
Conventional echocardiography of both the left ventricles (LV) and right
ventricles (RV) was undertaken. An assessment of simultaneous longitudinal strain
and LV volume/RV area was provided. Data was presented as derived strain for %
end diastolic volume/area. Athletes in group IC and IIIC had larger LV end
diastolic volumes compared to athletes in groups IA and IIIA (50 +/- 6 and 54 +/-
8 ml/(m(2))(1.5) versus 42 +/- 7 and 43 +/- 2 ml/(m(2))(1.5) respectively). Group
IIIC also had significantly larger mean wall thickness (MWT) compared to all
groups. Athletes from group IIIC required greater longitudinal strain for any
given % volume which correlated to MWT (r = 0.4, p < 0.0001). Findings were
similar in the RV with the exception that group IIIC athletes required lower
strain for any given % area. There are physiological differences between athletes
with the largest LV and RV in athletes from group IIIC. These athletes also have
greater resting longitudinal contribution to volume change in the LV which, in
part, is related to an increased wall thickness. A lower longitudinal
contribution to area change in the RV is also apparent in these athletes.
PMID- 27209283
TI - Prognostic value of cardiovascular MR imaging biomarkers on outcome in peripheral
arterial disease: a 6-year follow-up pilot study.
AB - The objective of this pilot study was to explore the prognostic value of outcome
of cardiovascular magnetic resonance (MR) imaging biomarkers in patients with
symptomatic peripheral arterial disease (PAD) in comparison with traditional risk
factors. Forty-two consecutive patients (mean age 64 +/- 11 years, 22 men)
referred for contrast-enhanced MR angiography (CE-MRA) were included. At baseline
a comprehensive cardiovascular MRI examination was performed: CE-MRA of the infra
renal aorta and run-off vessels, carotid vessel wall imaging, cardiac cine
imaging and aortic pulse wave velocity (PWV) assessment. Patients were
categorized for outcome at 72 +/- 5 months follow-up. One patient was lost to
follow-up. Over 6 years, six patients had died (mortality rate 14.6 %), six
patients (14.6 %) had experienced a cardiac event and three patients (7.3 %) a
cerebral event. The mean MRA stenosis class (i.e., average stenosis severity
visually scored over 27 standardized segments) was a significant independent
predictor for all-cause mortality (beta 3.0 +/- standard error 1.3, p = 0.02).
Descending aorta PWV, age and diabetes mellitus were interrelated with stenosis
severity but none of these were significant independent predictors. For cardiac
morbidity, left ventricular ejection fraction (LVEF) and mean MRA stenosis class
were associated, but only LVEF was a significant independent predictor (beta
0.14 +/- 0.05, p = 0.005). Diabetes mellitus was a significant independent
predictor for cerebral morbidity (beta 2.8 +/- 1.3, p = 0.03). Significant
independent predictors for outcome in PAD are mean MRA stenosis class for all
cause mortality, LVEF for cardiac morbidity and diabetes mellitus for cerebral
morbidity.
PMID- 27209284
TI - Association of cardiovascular disease risk factors with left ventricular mass,
biventricular function, and the presence of silent myocardial infarction on
cardiac MRI in an asymptomatic population.
AB - The purposes of this study were to evaluate the relationship between risk factors
for cardiovascular disease (CVD) and cardiac mass and function on cardiac
magnetic resonance imaging (MRI), and to investigate possible risk factors for
silent myocardial infarction (SMI) in an asymptomatic Asian population. We
included 647 asymptomatic subjects (485 males, mean age 54.8 +/- 6.7 years; 162
females, mean age 55.2 +/- 7.6 years) who underwent 1.5-T cardiac MRI during a
health checkup. The association between biventricular functional parameters as
evaluated on MRI and CVD risk factors was examined using multivariable regression
and analysis of variance. The left ventricular mass-to-volume ratios were
positively related to body mass index (beta = 0.153, p < 0.001), systolic (beta =
0.165, p = 0.001) and diastolic (beta = 0.147, p = 0.002) blood pressure,
triglyceride levels (beta = 0.197, p = 0.006), and C-reactive protein levels
(beta = 0.130, p < 0.001), and were negatively related to estimated glomerular
filtration rates (beta = -0.076, p = 0.025). No significant relationship was
present between ventricular parameters and the presence of SMI after adjusting
for confounders. The prevalence (6.9 %, 7/101) of SMI in diabetics was
significantly greater than that in non-diabetics patients (0.9 %, 5/546;
confidence interval 1.739-12.848; p < 0.001). Traditional CVD risk factors are
associated with ventricular mass, geometry and function in asymptomatic subjects.
Silent MI may not independently influence ventricular mass and function and
diabetes mellitus may contribute to the development of SMI.
PMID- 27209285
TI - Automatic detection of aorto-femoral vessel trajectory from whole-body computed
tomography angiography data sets.
AB - Extraction of the aorto-femoral vessel trajectory is important to utilize
computed tomography angiography (CTA) in an integrated workflow of the image
guided work-up prior to trans-catheter aortic valve replacement (TAVR). The aim
of this study was to develop a new, fully-automated technique for the extraction
of the entire arterial access route from the femoral artery to the aortic root.
An automatic vessel tracking algorithm was first used to find the centerline that
connected the femoral accessing points and the aortic root. Subsequently, a
deformable 3D-model fitting method was used to delineate the lumen boundary of
the vascular trajectory in the whole-body CTA dataset. A validation was carried
out by comparing the automatically obtained results with semi-automatically
obtained results from two experienced observers. The whole framework was
validated on whole body CTA datasets of 36 patients. The average Dice similarity
indexes between the segmentations of the automatic method and observer 1 for the
left ilio-femoral artery, the right ilio-femoral artery and the aorta were 0.977
+/- 0.030, 0.980 +/- 0.019, 0.982 +/- 0.016; the average Dice similarity indexes
between the segmentations of the automatic method and observer 2 were 0.950 +/-
0.040, 0.954 +/- 0.031 and 0.965 +/- 0.019, respectively. The inter-observer
variability resulted in a Dice similarity index of 0.954 +/- 0.038, 0.952 +/-
0.031 and 0.969 +/- 0.018 for the left ilio-femoral artery, the right ilio
femoral artery and the aorta. The average minimal luminal diameters (MLDs) of the
ilio-femoral artery were 6.03 +/- 1.48, 5.70 +/- 1.43 and 5.52 +/- 1.32 mm for
the automatic method, observer 1 and observer 2 respectively. The MLDs of the
aorta were 13.43 +/- 2.54, 12.40 +/- 2.93 and 12.08 +/- 2.40 mm for the automatic
method, observer 1 and observer 2 respectively. The automatic measurement
overestimated the MLD slightly in the ilio-femoral artery at the average by 0.323
mm (SD = 0.49 mm, p < 0.001) compared to observer 1 and by 0.51 mm (SD = 0.71 mm,
p < 0.001) compared to observer 2. The proposed segmentation approach can
automatically provide reliable measurements of the entire arterial accessing
route that can be used to support TAVR procedures. To the best of our knowledges,
this approach is the first fully automatic segmentation method of the whole aorto
femoral vessel trajectory in CTA images.
PMID- 27209286
TI - Unusual clinical course after surgical repair of unruptured aneurysm of sinus of
Valsalva.
AB - Aneurysms of the sinus of Valsalva are characterized by dilatation of at least
one of the three aortic sinuses. We experienced a case with unruptured aneurysm
of the right sinus of Valsalva, in which serial imaging studies were useful in
assessing a rare complication after surgical repair. An asymptomatic 75-year-old
man underwent patch closure of the aneurysm orifice because of progressive
enlargement of the aneurysm. The postoperative course was uneventful, and
computed tomography (CT), performed a week after the patch repair, showed no
leakage of contrast medium into the isolated aneurysm. Three months later,
echocardiography showed decreased size of the aneurysm with heterogeneous
echogenicity and possible blood flow in the aneurysm, findings suggestive of
thrombus formation and a recurrent fistula. CT with contrast medium showed
partial recanalization between the patched aneurysm and the right sinus of
Valsalva. Follow-up echocardiography, performed 1 year after surgery, revealed
neither definite aneurysm nor shunt flow of Valsalva. The present case highlights
that non-invasive follow-up can be an alternative option when carried out with
caution in selected patients with incomplete closure of Valsalva aneurysm.
PMID- 27209288
TI - Modeling and predicting drug resistance rate and strength.
AB - Drug resistance has been worsening in human infectious diseases medicine over the
past several decades. Our ability to successfully control resistance depends to a
large extent on our understanding of the features characterizing the process.
Part of that understanding includes the rate at which new resistance has been
emerging in pathogens. Along that line, resistance data covering 90 infectious
diseases, 118 pathogens, and 337 molecules, from 1921 through 2007, are modeled
using various statistical tools to generate regression models for the rate of new
resistance emergence and for cumulative resistance build-up in pathogens.
Thereafter, the strength of the association between the number of molecules put
on the market and the number of resulting cases of resistance is statistically
tested. Predictive models are presented for the rate at which new resistance has
been emerging in infectious diseases medicine, along with predictive models for
the rate of cumulative resistance build-up in the aggregate of 118 pathogens as
well as in ten individual pathogens. The models are expressed as a function of
time and/or as a function of the number of molecules put on the market by the
pharmaceutical industry. It is found that molecules significantly induce
resistance in pathogens and that new or cumulative drug resistance across
infectious diseases medicine has been arising at exponential rates.
PMID- 27209289
TI - The future of aortic disease: Our 'aneurysm moonshot'.
PMID- 27209290
TI - Membership spotlight.
PMID- 27209287
TI - Emergence of Panton-Valentine leucocidin-positive ST8-methicillin-resistant
Staphylococcus aureus (USA300 clone) in Korea causing healthcare-associated and
hospital-acquired bacteraemia.
AB - Panton-Valentine leucocidin (PVL)-positive sequence type (ST)8-MRSA-SCCmec IVa
(USA300) is the epidemic strain of community-associated methicillin-resistant
Staphylococcus aureus (CA-MRSA) in North America. USA300 is extremely rare in
South Korea, and PVL-negative ST72 SCCmec type IVc is the predominant CA-MRSA
clone. In a multicentre, prospective cohort study of S. aureus bacteraemia, we
identified PVL-positive ST8-MRSA isolates by performing multilocus sequence
typing and PCR for PVL. We analyzed the clinical characteristics of patients with
PVL-positive ST8-MRSA bacteraemia, and performed SCCmec, spa, and agr typing, PCR
for arginine catabolic mobile element (ACME), virulence gene profiling, and
pulsed-field gel electrophoresis (PFGE). Among a total of 818 MRSA isolates, we
identified ten isolates of PVL-positive ST8-MRSA (USA300) (3 from Hospital D, 4
from Hospital G, and 3 from Hospital A), all of which involved exclusively
healthcare-associated (5 isolates) and hospital-acquired bacteraemia (5
isolates). This strain accounted for 8~10 % of the hospital-acquired MRSA
bacteraemia in Hospitals D and G. Bacteraemia of unknown origin was the most
common type of infection followed by pneumonia. All the isolates were SCCmec type
IVa, spa type t008, and agr group I. Eight of the isolates harboured ACME. In a
PFGE analysis, four isolates were identical to the USA300 control strain, five
differed by a single band, and the remaining one differed by two bands. All the
isolates were pulsed-field type USA300. This is the first report of healthcare
associated and hospital-acquired bacteraemia caused by USA300 in South Korea.
USA300 seems to be an emerging hospital clone in this country.
PMID- 27209291
TI - Population Pharmacokinetic and Pharmacodynamic Modeling of Lusutrombopag, a Newly
Developed Oral Thrombopoietin Receptor Agonist, in Healthy Subjects.
AB - OBJECTIVES: The aim of this study was to develop a population pharmacokinetic
(PK)/pharmacodynamic (PD) model for describing plasma lusutrombopag
concentrations and platelet response following oral lusutrombopag dosing and for
evaluating covariates in the PK/PD profiles. METHODS: A population PK/PD model
was developed using a total of 2539 plasma lusutrombopag concentration data and
1408 platelet count data from 78 healthy adult subjects following oral single and
multiple (14-day once-daily) dosing. Covariates in PK and PK/PD models were
explored for subject age, body weight, sex, and ethnicity. RESULTS: A three
compartment model with first-order rate and lag time for absorption was selected
as a PK model. A three-transit and one-platelet compartment model with a sigmoid
E max model for drug effect and feedback of platelet production was selected as
the PD model. The PK and PK/PD models well described the plasma lusutrombopag
concentrations and the platelet response, respectively. Body weight was a
significant covariate in PK. The bioavailability of non-Japanese subjects (White
and Black/African American subjects) was 13 % lower than that of Japanese
subjects, while the simulated platelet response profiles using the PK/PD model
were similar between Japanese and non-Japanese subjects. There were no
significant covariates of the tested background data including age, sex, and
ethnicity (Japanese or non-Japanese) for the PD sensitivity. CONCLUSION: A
population PK/PD model was developed for lusutrombopag and shown to provide good
prediction for the PK/PD profiles. The model could be used as a basic PK/PD model
in the drug development of lusutrombopag.
PMID- 27209292
TI - Neonatal Maturation of Paracetamol (Acetaminophen) Glucuronidation, Sulfation,
and Oxidation Based on a Parent-Metabolite Population Pharmacokinetic Model.
AB - OBJECTIVES: This study aimed to model the population pharmacokinetics of
intravenous paracetamol and its major metabolites in neonates and to identify
influential patient characteristics, especially those affecting the formation
clearance (CLformation) of oxidative pathway metabolites. METHODS: Neonates with
a clinical indication for intravenous analgesia received five 15-mg/kg doses of
paracetamol at 12-h intervals (<28 weeks' gestation) or seven 15-mg/kg doses at 8
h intervals (>=28 weeks' gestation). Plasma and urine were sampled throughout the
72-h study period. Concentration-time data for paracetamol, paracetamol
glucuronide, paracetamol-sulfate, and the combined oxidative pathway metabolites
(paracetamol-cysteine and paracetamol-N-acetylcysteine) were simultaneously
modeled in NONMEM 7.2. RESULTS: The model incorporated 259 plasma and 350 urine
samples from 35 neonates with a mean gestational age of 33.6 weeks (standard
deviation 6.6). CLformation for all metabolites increased with weight;
CLformation for glucuronidation and oxidation also increased with postnatal age.
At the mean weight (2.3 kg) and postnatal age (7.5 days), CLformation estimates
(bootstrap 95% confidence interval; between-subject variability) were 0.049 L/h
(0.038-0.062; 62 %) for glucuronidation, 0.21 L/h (0.17-0.24; 33 %) for
sulfation, and 0.058 L/h (0.044-0.078; 72 %) for oxidation. Expression of
individual oxidation CLformation as a fraction of total individual paracetamol
clearance showed that, on average, fractional oxidation CLformation increased <15
% when plotted against weight or postnatal age. CONCLUSIONS: The parent
metabolite model successfully characterized the pharmacokinetics of intravenous
paracetamol and its metabolites in neonates. Maturational changes in the fraction
of paracetamol undergoing oxidation were small relative to between-subject
variability.
PMID- 27209293
TI - Blinatumomab, a Bispecific T-cell Engager (BiTE((r))) for CD-19 Targeted Cancer
Immunotherapy: Clinical Pharmacology and Its Implications.
AB - BACKGROUND AND OBJECTIVES: Blinatumomab is a bispecific T-cell engager
(BiTE((r))) antibody construct that transiently links CD19-positive B cells to
CD3-positive T cells, resulting in induction of T-cell-mediated serial lysis of B
cells and concomitant T-cell proliferation. Blinatumomab showed anti-leukemia
activity in clinical trials and was approved by the US Food and Drug
Administration for the treatment of Philadelphia chromosome-negative
relapsed/refractory B-cell precursor acute lymphoblastic leukemia (r/r ALL). The
objectives of this work were to characterize blinatumomab pharmacokinetics and
pharmacodynamics and to evaluate dosing regimens. METHODS: Data from six phase I
and II trials in patients with r/r ALL, minimal residual disease-positive ALL,
and non-Hodgkin's lymphoma (NHL) were analyzed. Blinatumomab pharmacokinetics was
characterized by non-compartmental and population pharmacokinetic analyses and
pharmacodynamics was described graphically. RESULTS: Blinatumomab exhibited
linear pharmacokinetics under continuous intravenous infusion for 4-8 weeks per
cycle over a dose range of 5-90 ug/m(2)/day, without target-mediated disposition.
Estimated mean (standard deviation) volume of distribution, clearance, and
elimination half-life were 4.52 (2.89) L, 2.72 (2.71) L/h, and 2.11 (1.42) h,
respectively. Pharmacokinetics was similar in patients with ALL and NHL and was
not affected by patient demographics, supporting fixed dosing in adults. Although
creatinine clearance was a significant covariate of drug clearance, no dose
adjustment was required in patients with mild or moderate renal impairment.
Incidence of neutralizing antidrug antibodies was <1 %. Blinatumomab
pharmacodynamics featured T-cell redistribution and activation, B-cell depletion,
and transient dose-dependent cytokine elevation. Blinatumomab did not affect
cytochrome P450 enzymes directly; cytokines may trigger transient cytochrome P450
suppression with low potential for inducing drug interactions. CONCLUSIONS:
Blinatumomab has unique pharmacokinetic and immunological features that require
indication-dependent dosing regimens. Stepped dosing is required to achieve
adequate efficacy and minimize cytokine release in diseases with high tumor
burden.
PMID- 27209294
TI - Association Between Maternal Multivitamin Use and Preterm Birth in 24 States,
Pregnancy Risk Assessment Monitoring System, 2009-2010.
AB - Objectives The study objective was to examine the prevalence of maternal
multivitamin use and associations with preterm birth (<37 weeks gestation) in the
United States. We additionally examined whether associations differed by
race/ethnicity. Methods Using the Pregnancy Risk Assessment Monitoring System, we
analyzed 2009-2010 data among women aged >=18 years with a singleton live birth
who completed questions on multivitamin use 1 month prior to pregnancy (24
states; n = 57,348) or in the last 3 months of pregnancy (3 states, n = 5095).
Results In the month prior to pregnancy, multivitamin use >=4 times/week
continued to remain low (36.8 %). In the last 3 months of pregnancy, 79.6 % of
women reported using multivitamins >=4 times/week. Adjusting for confounders,
multivitamin use 1-3 times/week or >=4 times/week prior to pregnancy was not
associated with preterm birth overall. Though there was no evidence of dose
response, any multivitamin use in the last 3 months of pregnancy was associated
with a significant reduction in preterm birth among non-Hispanic black women.
Conclusions for Practice Multivitamin use during pregnancy may help reduce
preterm birth, particularly among populations with the highest burden, though
further investigations are warranted.
PMID- 27209295
TI - MR colonography with a fecal tagging technique and water-based enema for the
assessment of inflammatory bowel disease.
AB - PURPOSE: To assess the usefulness of MR colonography (MRC) with a fecal tagging
technique and water-based enema in patients with inflammatory bowel disease
(IBD). MATERIALS AND METHODS: Twenty-two patients with suspected or known IBD
underwent MRC with a 1.5-T MR system (Siemens Symphony) using a phased-array
coil. A fecal tagging technique was performed by oral administration of dense
barium sulfate (200 ml) at major meals starting 2 days before the MRI. After a
water enema (2000-2500 ml), the MR protocol was carried out, starting with HASTE
and true-FISP sequences. Coronal T1w 3D VIBE (2.0 mm thick) was obtained before
and 60 s after intravenous administration of Gd chelate. MR images were evaluated
by consensus agreement of two observers in terms of image quality and by
searching for bowel abnormalities. MRC findings were correlated with our gold
standard-conventional colonoscopy (incomplete in 6/22 patients) with
histopathological analysis, and surgery (performed in 8/22 subjects). RESULTS:
The MR imaging protocol was completed in all of the investigated subjects. In
terms of image quality, 128 out of 132 colon segments (97 %) were considered
diagnostic on MR examinations by the two reviewers; signs of bowel inflammation
were identified in 74 and 72 % of colon segments of patients with ulcerative
colitis (n = 6) and Crohn's disease (n = 15), respectively. In 13/15 patients
with Crohn's disease, involvement of both the large and small bowel was
demonstrated on MR imaging; perianal abscesses and fistulas were also identified
in 2 and 3 of these patients, respectively. In one patient with normal MRC, a
diagnosis of IBD could not be confirmed. CONCLUSION: MRC with a fecal tagging
technique and water-based enema is a promising minimally invasive technique for
evaluating the bowel in patients with a suspected or established diagnosis of
IBD.
PMID- 27209296
TI - Network topology of stable isotope interactions in a sub-arctic raptor guild.
AB - Predation is an ecologically important process, and intra-guild interactions may
substantially influence the ecological effects of predator species. Despite a
rapid expansion in the use of mathematical graph theory to describe trophic
relations, network approaches have rarely been used to study interactions within
predator assemblages. Assemblages of diurnal raptors are subject to substantial
intra- and interspecific competition. Here we used the novel approach of applying
analyzes based on network topology to species-specific data on the stable
isotopes (13)C and (15)N in feathers to evaluate patterns of relative resource
utilization within a guild of diurnal raptors in northern Sweden. Our guild
consisted of the golden eagle (Aquila chrysaetos), the gyrfalcon (Falco
rusticolus), the peregrine falcon (Falco peregrinus) and the rough-legged buzzard
(Buteo lagopus). We found a modular trophic interaction structure within the
guild, but the interactions were less nested than expected by chance. These
results suggest low redundancy and hence a strong ecological importance of
individual species. Our data also suggested that species were less connected
through intra-guild interactions than expected by chance. We interpret our
results as a convergence on specific isotope niches, and that body size and
different hunting behaviour may mediate competition within these niches. We
finally highlight that generalist predators could be ecologically important by
linking specialist predator species with disparate dietary niches.
PMID- 27209297
TI - Prevention of Hypertensive Disorders of Pregnancy: a Novel Application of the
Polypill Concept.
AB - Nearly all of the annual 287,000 global maternal deaths are preventable.
Hypertensive disorders of pregnancy (HDP) are among the major causes. A novel
fixed-dose combination pill or polypill to prevent cardiovascular disease is a
promising strategy for prevention of HDP. The aim of this study was to identify
eligible candidates for a polypill for the prevention of HDP. A comprehensive
review of systematic reviews on drug and dietary interventions to prevent HDP was
conducted. Interventions were evaluated based on efficacy, dose, route of
administration, and side effects. Fourteen interventions were assessed. Low-dose
aspirin and calcium were identified as candidates for a polypill, with risk
reduction estimations for pregnancy-induced hypertension and preeclampsia ranging
between 10 and 62 %, depending on patient population characteristics including a
priori risk, and gestation age at start of intervention. Their effect may be
augmented through the addition of vitamin D, vitamin B12, and folic acid. The
effect and optimal composition needs to be evaluated in future trials. Given the
persistent burden of maternal and perinatal mortality associated with HDP,
prevention of these disorders is key-especially in low-resource settings. The
polypill approach with a combination of aspirin, calcium, vitamin D, vitamin B12,
and folic acid is a promising strategy to improve maternal and perinatal health
outcomes.
PMID- 27209298
TI - Lessons from genetics: is it time to revise the therapeutic approach to children
with steroid-resistant nephrotic syndrome?
AB - Primitive nephrotic syndrome is one of the most common glomerular diseases in
childhood and represents the clinical manifestation of various pathologic changes
in the kidney. In children, nephrotic syndrome is classified based on the initial
response to empiric corticosteroid treatment, which is considered as the best
predictor of patients' final outcome. The advent of next-generation sequencing
technology showed that genetic alterations in structural genes of the podocyte
can be recognized in a significant proportion of not only familial or syndromic
patients with steroid-resistant nephrotic syndrome (SRNS), but also of sporadic
cases, raising the question of whether it is time to update current protocols of
patient care. In this review, we discuss the implications derived from several
studies describing a high prevalence in children with SRNS of pathogenic
mutations in a group of genes and their unresponsiveness to immunosuppressive
therapy. We propose a diagnostic and therapeutic algorithm to reduce the exposure
to immunosuppressants in individuals with unresponsive forms of the disease,
sparing patients the untoward side effects of prolonged ineffective treatments,
and at the same time guaranteeing the optimal immunosuppressive or other new
therapy in potentially responsive patients.
PMID- 27209299
TI - Body mass index is associated with inappropriate tidal volumes in adults
intubated in the ED.
PMID- 27209300
TI - Accuracy of trans-abdominal ultrasound in a simulated massive acute overdose.
AB - INTRODUCTION: Toxic ingestions are a common cause of morbidity and mortality in
the United States. In 2013, there were nearly 2 million reported ingestions and
nearly 1000 deaths from poisonings. There is no well-validated imaging study for
confirming the presence of pills in the stomach of an overdose patient. There are
case reports of ultrasound used for confirmation of pills in the stomach, and we
are aware of one prospective trial to evaluate sonography for this application.
OBJECTIVE: To determine the sensitivity and specificity of transabdominal
ultrasound for visualization of ingested pills. METHODS: Randomized, blinded,
placebo-controlled study. Twenty Subjects were randomized to one of two groups.
The experimental group ingested 50 enteric-coated placebo capsules with 1 liter
of fluid. The control group ingested only 1 liter of fluid. At time 0, 60, and 90
minutes after ingestion, expert sonographers performed transabdominal ultrasound
in an attempt to visualize pills in the stomach. RESULTS: At time 0, 60, and 90
minutes post-ingestion, ultrasound had a sensitivity of 62.5% (41.1%, 81.9%),
20.8% (4.6%, 37.1%), and 25% (7.7%, 42.3%) respectively. The specificity at time
0, 60, and 90 minutes post-ingestion were 58.3% (21.9%, 61.4%), 79.1% (62.9%,
95.5%), and 70.8% (52.6%, 89.0%) respectively. CONCLUSION: There is poor
sensitivity and specificity for ultrasound detection of pills in the stomach at
time 0; these values fell substantially at 60 and 90 minutes post-ingestion. In
this pilot study, we did not find ultrasound to be a useful screening tool for
detecting pills in the stomach.
PMID- 27209301
TI - Highly diversified Zika viruses imported to China, 2016.
PMID- 27209302
TI - Deacetylation of TFEB promotes fibrillar Abeta degradation by upregulating
lysosomal biogenesis in microglia.
AB - Microglia play a pivotal role in clearance of Abeta by degrading them in
lysosomes, countering amyloid plaque pathogenesis in Alzheimer's disease (AD).
Recent evidence suggests that lysosomal dysfunction leads to insufficient
elimination of toxic protein aggregates. We tested whether enhancing lysosomal
function with transcription factor EB (TFEB), an essential regulator modulating
lysosomal pathways, would promote Abeta clearance in microglia. Here we show that
microglial expression of TFEB facilitates fibrillar Abeta (fAbeta) degradation
and reduces deposited amyloid plaques, which are further enhanced by
deacetylation of TFEB. Using mass spectrometry analysis, we firstly confirmed
acetylation as a previously unreported modification of TFEB and found that SIRT1
directly interacted with and deacetylated TFEB at lysine residue 116.
Subsequently, SIRT1 overexpression enhanced lysosomal function and fAbeta
degradation by upregulating transcriptional levels of TFEB downstream targets,
which could be inhibited when TFEB was knocked down. Furthermore, overexpression
of deacetylated TFEB at K116R mutant in microglia accelerated intracellular
fAbeta degradation by stimulating lysosomal biogenesis and greatly reduced the
deposited amyloid plaques in the brain slices of APP/PS1 transgenic mice. Our
findings reveal that deacetylation of TFEB could regulate lysosomal biogenesis
and fAbeta degradation, making microglial activation of TFEB a possible strategy
for attenuating amyloid plaque deposition in AD.
PMID- 27209304
TI - PCBP2 Modulates Neural Apoptosis and Astrocyte Proliferation After Spinal Cord
Injury.
AB - PCBP2, a member of the poly(C)-binding protein (PCBP) family, plays a pivotal
role in posttranscriptional and translational regulation by interacting with
single-stranded poly(C) motifs in target mRNAs. It is reported that several PCBP
family members are involved in human malignancies. However, the distribution and
function of PCBP2 in the central nervous system (CNS) remain unclear. In this
study, we performed an acute spinal cord injury (SCI) model in adult rats and
investigated the dynamic changes of PCBP2 expression in the spinal cord. Western
blot and immunohistochemistry analysis revealed that PCBP2 presented in normal
spinal cord. It gradually increased, reached a peak at 3 day, and then declined
to basal levels at 14 days after SCI. We observed that the expression of PCBP2
was enhanced in the gray and white matter. Immunofluorescence indicated that
PCBP2 was located in the neurons and astrocytes. Moreover, colocalization of
PCBP2/active caspase-3 was detected in neurons, and colocalization of
PCBP2/proliferating cell nuclear antigen was detected in astrocytes after SCI.
These results indicated that PCBP2 might play an important role in neuronal
apoptosis and astrocyte proliferation. In vitro, PCBP2-specific siRNA-transfected
neuron showed significantly decrease of neuronal apoptosis and expression of cell
cycle related proteins following glutamate stimulation. Meanwhile, PCBP2
knockdown also reduced primary astrocytes proliferation. All above indicated that
PCBP2 might play a crucial role in cell proliferation and apoptosis.
Collectively, our data suggested that PCBP2 might play important roles in CNS
pathophysiology after SCI.
PMID- 27209303
TI - Naringin and Sertraline Ameliorate Doxorubicin-Induced Behavioral Deficits
Through Modulation of Serotonin Level and Mitochondrial Complexes Protection
Pathway in Rat Hippocampus.
AB - The present study was designed to investigate the neuroprotective effect of
naringin (NR) alone as well as its combination with sertraline (SRT) against
doxorubicin (DOX)-induced neurobehavioral and neurochemical anomalies. DOX (15
mg/kg; i.p.) administration caused behavioral alterations, oxidative stress,
neuroinflammation, mitochondrial dysfunction and monoamines alteration in male
Wistar rats. NR (50 and 100 mg/kg; i.p.) and SRT (5 mg/kg; i.p.) treatment
significantly attenuated DOX-induced anxiety and depressive-like behavior as
evident from elevated plus maze (EPM) and modified forced swimming test (mFST),
respectively. NR treatment significantly attenuated DOX-induced raised plasma
corticosterone (CORT), tumor necrosis factor-alpha (TNF-alpha) and interleukin-1
beta (IL-1beta) levels in the hippocampus (HC). Furthermore, we found that
combination of NR and SRT regimen ameliorated DOX-induced behavioral anomalies
through modulation of the 5-HT level and mitochondrial complexes protection
pathway along with alleviation of oxidative stress in the HC region. Therefore,
NR treatment alone or in combination with SRT could be beneficial against DOX
induced neurotoxicity.
PMID- 27209306
TI - Self-Directed Ostensions and Mediations of the Adult at the Age of 8-, 12- and 16
months.
AB - One of Vygotsky's main insights was to highlight the role of linguistic signs in
psychological development. In this paper, following the works carried out through
the Object Pragmatics paradigm, which is grounded in Vygotsky's cultural
historical and semiotic framework, we will explore non verbal signs addressed
toward herself by the infant in the process of communication toward oneself, in
relation to the appropriation of the objects' canonical uses by infants at 8-, 12
and 16 months in triadic infant-object-adult interaction. More specifically, our
focus will concern the development of self-directed ostensions. We will examine
how this movement, under the impulse related to other's people signs and public
meanings of the object, endorse the status of sign during development and
specifies itself in ostension. Ostension as a sign refers to a presentation of an
object to someone and has been initially defined within the framework of
communication toward other people in semiotic literature. In our works, this sign
is studied in communication toward oneself and in its development. It should be
noted that very few studies have been done on this topic. Following Vygotsky, we
consider that turned toward oneself, ostension plays a crucial role in the
formation of thought and consciousness (in the sense of awareness). This paper
will focus on the social and semiotic conditions of production of this sign and,
more precisely, on the role of the adult's non verbal and verbal mediations,
which aim to elicit and sustain its realisation by the infant.
PMID- 27209305
TI - Increases of Catalase and Glutathione Peroxidase Expressions by Lacosamide
Pretreatment Contributes to Neuroprotection Against Experimentally Induced
Transient Cerebral Ischemia.
AB - Lacosamide is a new antiepileptic drug which is widely used to treat partial
onset seizures. In this study, we examined the neuroprotective effect of
lacosamide against transient ischemic damage and expressions of antioxidant
enzymes such as Zn-superoxide dismutase (SOD1), Mn-superoxide dismutase (SOD2),
catalase (CAT) and glutathione peroxidase (GPX) in the hippocampal cornu ammonis
1 (CA1) region following 5 min of transient global cerebral ischemia in gerbils.
We found that pre-treatment with 25 mg/kg lacosamide protected CA1 pyramidal
neurons from transient global cerebral ischemic insult using hematoxylin-eosin
staining and neuronal nuclear antigen immunohistochemistry. Transient ischemia
dramatically changed expressions of SOD1, SOD2 and GPX, not CAT, in the CA1
pyramidal neurons. Lacosamide pre-treatment increased expressions of CAT and GPX,
not SOD1 and 2, in the CA1 pyramidal neurons compared with controls, and their
expressions induced by lacosamide pre-treatment were maintained after transient
cerebral ischemia. In brief, pre-treatment with lacosamide protected hippocampal
CA1 pyramidal neurons from ischemic damage induced by transient global cerebral
ischemia, and the lacosamide-mediated neuroprotection may be closely related to
increases of CAT and GPX expressions by lacosamide pre-treatment.
PMID- 27209308
TI - Proximity of uterosacral ligament suspension sutures and S3 sacral nerve to
pelvic landmarks.
AB - INTRODUCTION AND HYPOTHESIS: To describe the relationships between pelvic bony
landmarks to points along the third sacral nerve and to uterosacral ligament
suspension sutures. METHODS: Three transvaginal uterosacral ligament suspension
sutures were placed bilaterally in unembalmed female human cadavers. The third
sacral nerve was marked at the foramen (S3a) and at two additional points at 1-cm
intervals along its course caudally (S3b, S3c). Three bony pelvic landmarks were
identified and marked, including the ischial spine, pubic symphysis, and coccyx.
Distances from each landmark to each suture and nerve point were measured. The
distance from each landmark to each S3 nerve point was extended radially,
encompassing an arbitrary zone in which sutures may be placed and thus where
nerve injury may occur. Zones of potential nerve injury included: zone A (closest
to the sacral nerve root), zone B, and zone C (closest to the landmark).
Descriptive statistics were used and comparisons were made using Student's t test
and ANOVA. RESULTS: Ten cadaver specimens were dissected. For the ischial spine,
the distances to points S3a, S3b, and S3c were 6.3, 5.4, and 4.6 cm respectively.
Approximately two thirds of the sutures were noted beyond zone C, indicating a
potentially increased risk of nerve injury with suture placement in zones
farthest from the ischial spine given their proximity to the sacral nerve.
CONCLUSIONS: Using the ischial spine as a landmark, increased sacral nerve injury
could result from suture placement beyond the mean distance of 4.6 cm from the
ischial spine. The use of bony landmarks in avoiding sacral nerve injury may be
as important as suture depth and angle of suture placement.
PMID- 27209309
TI - Native tissue repair for central compartment prolapse: a narrative review.
AB - Central descent due to a level 1 defect is a main component in pelvic organ
prolapse (POP) reconstructive surgery, whether for symptomatic apical prolapse or
for the prolapse repair of other compartments. A recent growth in the rate of
native tissue repair procedures for POP, following the US Food and Drug
Administration (FDA) warnings regarding the safety and efficacy of synthetic
meshes, requires a re-evaluation of these procedures. The safety, efficacy, and
determination of the optimal surgical approach should be the center of attention.
Functional outcome measures and patient-centered results have lately gained
importance and received focus. A comprehensive literature review was performed to
evaluate objective and subjective outcomes of apical prolapse native tissue
repair, with a special focus on studies reporting impact on patients' functional
outcomes, quality of life, and satisfaction. We performed a MEDLINE search for
articles in the English language by using the following key words: apical
prolapse, sacrospinous ligament fixation, uterosacral ligament suspension, sacral
colpopexy, McCall culdoplasty, iliococcygeus vaginal fixation, and functional
outcomes. We reviewed references as well. Despite a prominent shortage of studies
reporting standardized prospective outcomes for native tissue repair
interventions, we noted a high rate of safety and efficacy, with a low
complication rate for most procedures and low recurrence or re-treatment rates.
The objective and subjective results of different procedures are reviewed.
Functional outcomes of native tissue repair procedures have not been studied
sufficiently, though existing data present those procedures as favorable and not
categorically inferior to sacrocolpopexy. Apical compartment prolapse repair
using native tissue is not a compromise. Functional outcomes of native tissue
repair procedures are favorable, have a high rate of success, improve women's
quality of life (QoL), and result in high rates of patient satisfaction. This
subject requires further long-term, standardized prospective studies following
the International Continence Society/International Urogynecologists Association
guidelines for surgical outcomes report, with the focus on patient-centered
functional outcomes.
PMID- 27209310
TI - Trends in internet search activity, media coverage, and patient-centered health
information after the FDA safety communications on surgical mesh for pelvic organ
prolapse.
AB - INTRODUCTION: In July 2011, the US Food and Drug Administration (FDA) issued a
safety communication regarding serious complications associated with surgical
mesh for pelvic organ prolapse, prompting increased media and public attention.
This study sought to analyze internet search activity and news article volume
after this FDA warning and to evaluate the quality of websites providing patient
centered information. METHODS: Google TrendsTM was utilized to evaluate search
engine trends for the term "pelvic organ prolapse" and associated terms between 1
January 2004 and 31 December 2014. Google NewsTM was utilized to quantify the
number of news articles annually under the term "pelvic organ prolapse." The
search results for the term "pelvic organ prolapse" were assessed for quality
using the Health On the Net Foundation (HON) certification. RESULTS: There was a
significant increase in search activity from 37.42 in 2010 to 57.75 in 2011, at
the time of the FDA communication (p = 0.021). No other annual interval had a
statistically significant increase in search activity. The single highest monthly
search activity, given the value of 100, was August 2011, immediately following
the July 2011 notification, with the next highest value being 98 in July 2011.
Linear regression analysis of news articles per year since the FDA communication
revealed r2 = 0.88, with a coefficient of 186. Quality assessment demonstrated
that 42 % of websites were HON-certified, with .gov sites providing the highest
quality information. CONCLUSIONS: Although the 2011 FDA safety communication on
surgical mesh was associated with increased public and media attention, the
quality of relevant health information on the internet remains of poor quality.
Future quality assurance measures may be critical in enabling patients to play
active roles in their own healthcare.
PMID- 27209311
TI - Interrater reliability of a near-miss risk index for incident learning systems in
radiation oncology.
AB - PURPOSE: Tools for assessing the severity and risk of near-miss events in
radiation oncology are few and needed. Recent work has described guidelines for
the use of a 5-tier near-miss risk index (NMRI) for the classification of near
miss events. The purpose of this study was to assess the reliability of the NMRI
among users in a radiation oncology department. METHODS AND MATERIALS:
Reliability of the NMRI was assessed using an online survey distributed to
members of a radiation oncology department. The survey contained 70 events
extracted from the department's incident learning system (ILS). Survey
participants rated each event using the NMRI guidelines, reported their
attendance to weekly ILS meetings (used as a surrogate for familiarity with the
ILS), and indicated their familiarity with the radiation oncology workflow.
Interrater reliability was determined using Krippendorff's alpha. Use of the NMRI
to rate actual events during 5 weekly ILS meetings was also assessed and
interrater reliability determined. RESULTS: Twenty-eight survey respondents
represented a wide variety of care providers. Krippendorff's alpha was calculated
for the whole respondent cohort to be 0.376, indicating fair agreement among
raters. Respondents who had the most participation at ILS meetings (n = 4) had
moderate agreement with an alpha of 0.501. Interestingly, there were significant
differences in reliability and median NMRI scores between professions. NMRI use
during weekly NMRI meetings (80 events rated), participants showed moderate
reliability (alpha = 0.607). CONCLUSIONS: Using the NMRI guidelines, raters from
a wide variety of professions were able to assess the severity of near-miss
incidents with fair agreement. Those experienced with the ILS showed better
agreement, and higher agreement was seen during multidisciplinary ILS meetings.
These data support the use the indices such as the NMRI for near-miss risk
assessment in patient safety and prioritization of process improvements in
radiation oncology.
PMID- 27209313
TI - Role of protein kinase D2 phosphorylation on Tyr in modulation by ghrelin of
Helicobacter pylori-induced up-regulation in gastric mucosal matrix
metalloproteinase-9 (MMP-9) secretion.
AB - Matrix metalloproteinas-9 (MMP-9) is a glycosylated endopeptidase associated with
host reaction to microbial endotoxins and also characterizes gastric mucosal
inflammatory response to H. pylori infection. Here, we report on the factors
involved in gastric mucosal MMP-9 secretion in response to H. pylori LPS, and the
effect of hormone, ghrelin. We show that both the LPS-elicited induction in MMP-9
secretion and also the modulatory influence of ghrelin occur at the level of MMP
9 processing between the endoplasmic reticulum (ER) and Golgi. Further, we
demonstrate that the LPS effect is associated with up-regulation in the
activation of Arf1, a small GTPase of the ADP-ribosylation factor family, and the
recruitment and phosphorylation of protein kinase D2 (PKD2), involved in the
secretory cargo processing in the Golgi. Moreover, we reveal that the LPS-induced
up-regulation in MMP-9 secretion is reflected in a marked increase in PKCdelta
mediated PKD2 phosphorylation on Ser, while the modulatory effect of ghrelin is
manifested by the SFK-PTKs-dependent phosphorylation of PKD2 on Tyr. Thus, our
findings demonstrate the role of Arf1/PKD2 in mediation of H. pylori LPS-induced
up-regulation in gastric mucosal MMP-9 secretion and suggest the modulatory
mechanism of ghrelin action.
PMID- 27209312
TI - Changes in the Fracture Resistance of Bone with the Progression of Type 2
Diabetes in the ZDSD Rat.
AB - Individuals with type 2 diabetes (T2D) have a higher fracture risk compared to
non-diabetics, even though their areal bone mineral density is normal to high.
Identifying the mechanisms whereby diabetes lowers fracture resistance requires
well-characterized rodent models of diabetic bone disease. Toward that end, we
hypothesized that bone toughness, more so than bone strength, decreases with the
duration of diabetes in ZDSD rats. Bones were harvested from male CD(SD) control
rats and male ZDSD rats at 16 weeks (before the onset of hyperglycemia), at 22
weeks (5-6 weeks of hyperglycemia), and at 29 weeks (12-13 weeks of
hyperglycemia). There were at least 12 rats per strain per age group. At 16
weeks, there was no difference in either body weight or glucose levels between
the two rat groups. Within 2 weeks of switching all rats to a diet with 48 % of
kcal from fat, only the ZDSD rats developed hyperglycemia (>250 mg/dL). They also
began to lose body weight at 21 weeks. CD(SD) rats remained normoglycemic (<110
mg/dL) on the high-fat diet and became obese (>600 g). From micro-computed
tomography (MUCT) analysis of a lumbar vertebra and distal femur, trabecular bone
volume did not vary with age among the non-diabetic rats but was lower at 29
weeks than at 16 weeks or at 22 weeks for the diabetic rats. Consistent with that
finding, MUCT-derived intra-cortical porosity (femur diaphysis) was higher for
ZDSD following ~12 weeks of hyperglycemia than for age-matched CD(SD) rats.
Despite an age-related increase in mineralization in both rat strains (MUCT and
Raman spectroscopy), material strength of cortical bone (from three-point bending
tests) increased with age only in the non-diabetic CD(SD) rats. Moreover, two
other material properties, toughness (radius) and fracture toughness (femur),
significantly decreased with the duration of T2D in ZDSD rats. This was
accompanied by the increase in the levels of the pentosidine (femur). However,
pentosidine was not significantly higher in diabetic than in non-diabetic bone at
any time point. The ZDSD rat, which has normal leptin signaling and becomes
diabetic after skeletal maturity, provides a pre-clinical model of diabetic bone
disease, but a decrease in body weight during prolonged diabetes and certain
strain-related differences before the onset of hyperglycemia should be taken into
consideration when interpreting diabetes-related differences.
PMID- 27209314
TI - The weepy nerve-different sensitivity of left and right recurrent laryngeal
nerves under tensile stress in a porcine model.
AB - PURPOSE: Recurrent laryngeal nerve palsy in thyroid surgery is still a
threatening complication. Our aim was to analyze the impact of prolonged tensile
stress on the recurrent laryngeal nerve (RLN) in an animal model using continuous
intraoperative neuromonitoring (C-IONM). METHODS: Constant tensile stress was
applied to left and right RLNs in 20 pigs (40 RLN). In a pilot study, five
animals were subjected to a tensile force of 0.34 +/- 0.07 N for 10 min and
changes in amplitude were documented using C-IONM. In the main study, a force of
1.2 N was applied until the signal amplitude was reduced by 85 %, in 15 pigs.
Nerve conductivity was analyzed by threshold current measurements. RESULTS: Good
correlation was found between stress and amplitude decrease in the pilot study as
well as between signal decrease and duration of trauma in the main study. Great
variations were found inter- and intra-individually. These variations were most
prominent at 85 % signal reduction (median 36 min, range 0.3-171 min). There was
no side specificity (left 0.3-171 min, right 0.3-168 min, respectively, p =
0.19). However, in each individual animal, there was a sensitive (0.3-98.9 min)
and less sensitive nerve (26.8-171 min). These differences became highly
significant at 85 % of signal reduction (p = 0.008), where the vulnerability is
1.4 to 146.4 times higher on one side (mean 4.3). CONCLUSIONS: Our study
demonstrates the presence of a sensitive RLN that was 4.3 times more vulnerable
than the contralateral nerve (range 1.4-146.4 times, p = 0.008). Thus, the right
and the left nerves cannot be assumed to be of equal sensitivity to trauma. In
our data, the more sensitive nerve does not occur predominantly on one side and
was named the "weepy nerve."
PMID- 27209315
TI - Experience with intraoperative neuromonitoring of the recurrent laryngeal nerve
improves surgical skills and outcomes of non-monitored thyroidectomy.
AB - PURPOSE: Intraoperative neuromonitoring (IONM) can serve as a tool to increase
skills in recurrent laryngeal nerve (RLN) identification and complete removal of
thyroid tissue. The aim of this study was to validate this hypothesis. METHODS:
This prospective study involved 632 patients (1161 RLNs at risk) who underwent
thyroid surgery in 2011-2014. Although IONM was not used until 2012, this
prospective study started on 1 January 2011. The three participating surgeons
knew about the study before that date and that the rate of RLN identification
would be carefully measured in total and near-total surgery. Solely, visual
identification of the RLN was used throughout 2011. IONM was introduced as a
training tool in 2012-2014 for the first 3 months of each year. In the remaining
months, thyroid operations were performed without IONM. Outcomes of non-monitored
thyroid operations were compared before (01-12/2011) vs. after (04-12/2012-2014)
3 months of exposure to IONM yearly (01-03/2012-2014). The rate of RLN
identification was assessed in total and near-total thyroidectomies and in
totally resected lobes in Dunhill's operation. The prevalence of RLN injury and
the utilization of total thyroidectomy were evaluated. RESULTS: In 2011, the rate
of successful RLN visual identification in total and near-total thyroidectomies
and in totally resected lobes in Dunhill's operation was 45.71 %. After the
introduction of IONM in 2012-2014, in the procedures performed without IONM, the
rate was 86.66, 90.81, and 91.3 %. The prevalence of RLN injury in 2011 was 6.8
%, while in the years following the introduction of IONM, it was 3.61, 2.65, and
1.45 %. Utilization of total thyroidectomy increased from 47.9 % in 2011 to 100 %
in 2014. CONCLUSIONS: Experience with IONM led to an increase in RLN
identification (p < 0.0001), a decrease of RLN injury (p < 0.05), and an increase
in the safe utilization of total thyroidectomy (p < 0.0001) in non-monitored
thyroid operations. IONM is a valuable tool for surgical training.
PMID- 27209316
TI - Daphnia parasite dynamics across multiple Caullerya epidemics indicate selection
against common parasite genotypes.
AB - Studies of parasite population dynamics in natural systems are crucial for our
understanding of host-parasite coevolutionary processes. Some field studies have
reported that host genotype frequencies in natural populations change over time
according to parasite-driven negative frequency-dependent selection. However, the
temporal patterns of parasite genotypes have rarely been investigated. Moreover,
parasite-driven negative frequency-dependent selection is contingent on the
existence of genetic specificity between hosts and parasites. In the present
study, the population dynamics and host-genotype specificity of the
ichthyosporean Caullerya mesnili, a common endoparasite of Daphnia water fleas,
were analysed based on the observed sequence variation in the first internal
transcribed spacer (ITS1) of the ribosomal DNA. The Daphnia population of lake
Greifensee (Switzerland) was sampled and subjected to parasite screening and host
genotyping during C. mesnili epidemics of four consecutive years. The ITS1 of
wild-caught C. mesnili-infected Daphnia was sequenced using the 454
pyrosequencing platform. The relative frequencies of C. mesnili ITS1 sequences
differed significantly among years: the most abundant C. mesnili ITS1 sequence
decreased and rare sequences increased over the course of the study, a pattern
consistent with negative frequency-dependent selection. However, only a weak
signal of host-genotype specificity between C. mesnili and Daphnia genotypes was
detected. Use of cutting edge genomic techniques will allow further investigation
of the underlying micro-evolutionary relationships within the Daphnia-C. mesnili
system.
PMID- 27209318
TI - New sex-determination system in the genus Panstrongylus (Hemiptera: Reduviidae)
revealed by chromosomal analysis of Panstrongylus lutzi.
AB - BACKGROUND: Panstrongylus lutzi (Neiva & Pinto, 1923) is a triatomine species
native to Caatinga habitats in north-eastern Brazil. It is considered an
important vector of Chagas disease in this region, presenting high rates of
natural infection with Trypanosoma cruzi Chagas, 1909, and readily invading
houses by flight. This study describes a previously unknown chromosomal sex
system in the genus Panstrongylus based on P. lutzi. METHODS: Fifth-instar and
male adults of P. lutzi originating from municipality of Varzea Alegre, Ceara
(Brazil) were analysed. Chromosomal analyses of male meiotic process were done by
Giemsa staining. RESULTS: Chromosomal analyses of male meiosis reveal a diploid
chromosome number of 24 chromosomes (20 autosomes plus X1X2X3Y). During meiotic
prophase I, the sex chromosomes remained close together, forming four
heteropycnotic chromocenters in zygotene, and a single chromocenter in pachytene
and diplotene. Still at the diplotene stage, each one of the ten autosomal
bivalents showed an evident chiasma. In metaphase I, the four sex chromosomes
appeared clearly separated. The three X chromosomes were the smallest of the
complement and isopycnotic with respect to the Y chromosome. Two bivalents appear
larger, whereas the other eight showed no significant difference in size.
CONCLUSION: Karyotype analysis of P. lutzi revealed a new sex system in the genus
Panstrongylus. This result is of utmost importance to karyosystematics of P.
lutzi, and demonstrates the need for further studies of this type in the
subfamily Triatominae.
PMID- 27209317
TI - Altered gene expression in the lower respiratory tract of Car6 (-/-) mice.
AB - From birth, the respiratory tract mucosa is exposed to various chemical,
physical, and microbiological stress factors. Efficient defense mechanisms and
strictly regulated renewal systems in the mucosa are thus required. Carbonic
anhydrase VI (CA VI) is the only secreted isoenzyme of the alpha-CA gene family.
It is transported in high concentrations in saliva and milk into the alimentary
tract where it contributes to optimal pH homeostasis. Earlier study of
transcriptomic responses of Car6 (-/-) mice has shown changes in the response to
oxidative stress and brown fat cell differentiation in the submandibular gland.
It has been suggested that CA VI delivered to the mucosal surface of the
bronchiolar epithelium is an essential factor in defense and renewal of the
lining epithelium. In this study, the transcriptional effects of CA VI deficiency
were investigated in both trachea and lung of Car6 (-/-) mice using a cDNA
microarray analysis. Functional clustering of the results indicated significant
changes of gene transcription in the lower airways. The altered biological
processes included antigen transport by M-cells, potassium transport, muscle
contraction, and thyroid hormone synthesis. Immunohistochemical staining
confirmed the absence of CA VI in the submandibular gland of Car6 (-/-) mice.
Immunostaining of the trachea and lung samples revealed no differences between
the knockout and wild type groups nor were any morphological changes observed.
The present findings can help us to recognize novel functions for CA VI-one of
the major protein constituents of saliva and milk.
PMID- 27209319
TI - Alloplastic total temporomandibular joint replacements: do they perform like
natural joints? Prospective cohort study with a historical control.
AB - The aim of this study was to qualitatively and quantitatively describe the
biomechanics of existing total alloplastic reconstructions of temporomandibular
joints (TMJ). Fifteen patients with unilateral or bilateral TMJ total joint
replacements and 15 healthy controls were evaluated via dynamic stereometry
technology. This non-invasive method combines three-dimensional imaging of the
subject's anatomy with jaw tracking. It provides an insight into the patient's
jaw joint movements in real time and provides a quantitative evaluation. The
patients were also evaluated clinically for jaw opening, protrusive and
laterotrusive movements, pain, interference with eating, and satisfaction with
the joint replacements. The qualitative assessment revealed that condyles of
bilateral total joint replacements displayed similar basic motion patterns to
those of unilateral prostheses. Quantitatively, mandibular movements of
artificial joints during opening, protrusion, and laterotrusion were all
significantly shorter than those of controls. A significantly restricted
mandibular range of motion in replaced joints was also observed clinically. Fifty
three percent of patients suffered from chronic pain at rest and 67% reported
reduced chewing function. Nonetheless, patients declared a high level of
satisfaction with the replacement. This study shows that in order to gain a
comprehensive understanding of complex therapeutic measures, a multidisciplinary
approach is needed.
PMID- 27209320
TI - The magnitude and mechanisms of the weekend effect in hospital admissions: A
protocol for a mixed methods review incorporating a systematic review and
framework synthesis.
AB - BACKGROUND: Growing literature has demonstrated that patients admitted to
hospital during weekends tend to have less favourable outcomes, including
increased mortality, compared with similar patients admitted during weekdays.
Major policy interventions such as the 7-day services programme in the UK NHS
have been initiated to reduce this weekend effect, although the mechanisms behind
the effect are unclear. Here, we propose a mixed methods review to systematically
examine the literature surrounding the magnitude and mechanisms of the weekend
effect. METHODS: MEDLINE, CINAHL, HMIC, EMBASE, EthOS, CPCI and the Cochrane
Library were searched from Jan 2000 to April 2015 using terms related to
'weekends or out-of-hours' and 'hospital admissions'. The 5404 retrieved records
were screened by the review team, and will feed into two component reviews: a
systematic review of the magnitude of the weekend effect and a framework
synthesis of the mechanisms of the weekend effect. A repeat search of MEDLINE
will be conducted mid-2016 to update both component reviews. The systematic
review will include quantitative studies of non-specific hospital admissions. The
primary outcome is the weekend effect on mortality, which will be estimated using
a Bayesian random effects meta-analysis. Weekend effects on adverse events,
length of hospital stay and patient experience will also be examined. The
development of the framework synthesis has been informed by the initial scoping
of the literature and focus group discussions. The synthesis will examine both
quantitative and qualitative studies that have compared the processes and quality
of care between weekends and weekdays, and explicate the underlying mechanisms of
the weekend effect. DISCUSSION: The weekend effect is a complex phenomenon that
has major implications for the organisation of health services. Its magnitude and
underlying mechanisms have been subject to heated debate. Published literature
reviews have adopted restricted scopes or methods and mainly focused on
quantitative evidence. This proposed review intends to provide a comprehensive
and in-depth synthesis of diverse evidence to inform future policy and research
aiming to address the weekend effect. SYSTEMATIC REVIEW REGISTRATION: PROSPERO
2016: CRD42016036487.
PMID- 27209321
TI - Who is at high risk of recurrent venous thrombosis and do they really benefit
from continuation of anticoagulation? Clinicians wield a double-edged sword that
might cut nothing at all.
PMID- 27209323
TI - Customer-perpetrated work-related violence: prevalence and trends in Britain.
AB - BACKGROUND: Incidents of work-related violence (WRV) have increased over the
years. These can be damaging to both individual psychological well-being and
organizational performance. AIMS: To examine the prevalence and causes of
customer-perpetrated WRV in Britain over a 12 year period. Demographic, work and
perpetrator's personality characteristics were examined as predictors of WRV.
METHODS: Data from the Crime Survey of England and Wales (CSEW) across 2001-13
were filtered specifically to explore responses from victims of customer
perpetrated crime within the working population. RESULTS: On average, 22% of all
violent crimes committed by customers occurred in the workplace. Further analysis
showed that differences in gender, age as well as managerial/supervisory duties,
working hours, employment status, organizational size and occupation were
significantly related to incidents of WRV. Perpetrators' personality
characteristics were also perceived as predictors of WRV. CONCLUSIONS: This paper
provides an alternative approach for reporting customer-perpetrated WRV. Violence
at work is considered a widespread problem within the organizational studies
literature, which can lead to a variety of stress-related symptoms in affected
workers. Based on the current study's findings, a theoretical model is proposed
to help combat customer-perpetrated WRV, and as a basis for future research.
PMID- 27209322
TI - Monosodium urate crystals induce oxidative stress in human synoviocytes.
AB - BACKGROUND: Gout is the most common inflammatory arthropathy of metabolic origin
and it is characterized by intense inflammation, the underlying mechanisms of
which are unknown. The aim of this study was to evaluate the oxidative stress in
human fibroblast-like synoviocytes (FLS) exposed to monosodium urate (MSU)
crystals, which trigger an inflammatory process. METHODS: Human FLS isolated from
synovial tissue explants were stimulated with MSU crystals (75 MUg/mL) for 24 h.
Cellular viability was evaluated by crystal violet staining, apoptosis was
assessed using Annexin V, and the cellular content of reactive oxygen species
(ROS) and nitrogen species (RNS) (O2 (-), H2O2, NO) was assessed with image-based
cytometry and fluorometric methods. In order to determine protein oxidation
levels, protein carbonyls were detected through oxyblot analysis, and cell
ultrastructural changes were assessed by transmission electron microscopy.
RESULTS: The viability of FLS exposed to MSU crystals decreased by 30 % (P <
0.05), while apoptosis increased by 42 % (P = 0.01). FLS stimulated with MSU
crystals exhibited a 2.1-fold increase in H2O2 content and a 1.5-fold increase in
O2 (-) and NO levels. Oxyblots revealed that the spots obtained from FLS protein
lysates exposed to MSU crystals exhibited protein carbonyl immunoreactivity,
which reflects the presence of oxidatively modified proteins. Concomitantly, MSU
crystals triggered the induction of changes in the morphostructure of FLS, such
as the thickening and discontinuity of the endoplasmic reticulum, and the
formation of vacuoles and misfolded glycoproteins. CONCLUSIONS: Our results prove
that MSU crystals induce the release of ROS and RNS in FLS, subsequently
oxidizing proteins and altering the cellular oxidative state of the endoplasmic
reticulum, which results in FLS apoptosis.
PMID- 27209325
TI - Osmosis process for leachate treatment in industrial platform: Economic and
performances evaluations to zero liquid discharge.
AB - The industrial processes require large quantities of water. The presence of
discharges results not only in significant environmental impact but implies
wastage of water resources. This problem could be solved treating and reusing the
produced wastewaters and applying the new zero liquid discharge approach. This
paper discusses the design and the performances of reverse osmosis membranes for
the upgrading of full scale platform for industrial liquid wastes. The final
effluent from the ultrafiltration unit of the full scale plant was monitored to
design the reverse osmosis unit. Previous modelling phase was used to evaluate
the specific ordinary and maintenance costs and the final effluent quality (2.7
?/m3). The system was designed in triple stages at different operative pressures.
The economic feasibility and the payback period of the technology at different
percentages of produced permeate were determined. The recovery of 90% was
identified as profitable for the reverse osmosis application. One experimental
pilot plant applying the reverse osmosis was used to test the final effluent.
Moreover, the same flow was treated with second pilot system based on the forward
osmosis process. The final efficiencies were compared. Removals higher than 95%
using the reverse system were obtained for the main macropollutants and ions. No
sustainable applicability of the forward osmosis was determined.
PMID- 27209324
TI - Multilocus genotyping of Giardia duodenalis isolates from children in Oromia
Special Zone, central Ethiopia.
AB - BACKGROUND: Giardia duodenalis is the etiologic agent of giardiasis in humans and
other mammals worldwide. The burden of disease is high among children in
developing countries where sanitation is inadequate. However, the epidemiology
and genetic diversity of this parasite is poorly understood in Ethiopia. This
study aimed to determine the prevalence and genetic diversity of G. duodenalis in
asymptomatic children in Oromia Special Zone, central Ethiopia. RESULTS: A total
of 286 fresh fecal specimens were collected from children and screened using
microscopy and PCR. The prevalence of Giardia duodenalis was 10.8 % (31/286) and
16.8 % (48/286) as detected by microscopy and nested PCR, respectively. The
infection rate by the study area, sex and age group difference was not
significant (P > 0.05). Genotyping results showed that 22.9 % (11/48) of the
isolates belonged to assemblage A while 77.1 % (37/48) belonged to assemblage B.
Although double peaks were observed at the chromatogram level, no mixed
assemblage or sub-assemblage infections were demonstrated. Isolates of assemblage
A mostly belonged to the sub-assemblage AII and showed similarity with previously
described isolates. However, there was great genetic variability within
assemblage B that showed heterogeneous nucleotide positions. Fifteen of them were
new genotypes: 5 at the triose phosphate isomerase (tpi), 2 at the beta-giardin
(bg), and 8 at the glutamate dehydrogenase (gdh) genes. CONCLUSIONS: Giardia
duodenalis mainly assemblage B infection was predominant among the asymptomatic
children in the study area. The high polymorphism found in isolates of assemblage
B warrants a more defining tool to discriminate assemblage B at the sub
assemblage level. The findings of the present study indicate that there is a need
to carry out national screening programs aiming to detect asymptomatic infections
to minimize the reservoir of the disease.
PMID- 27209328
TI - Prevalence of dementia, cognitive status and associated risk factors among
elderly of Zhejiang province, China in 2014.
AB - BACKGROUND: the prevalence of dementia in China has risen dramatically in recent
decades, but it is not well understood the status in the elderly population in
Zhejiang province, eastern China. METHODS: a cross-sectional survey was conducted
in four communities across 12 counties in Zhejiang province from May to November
2014. Recruitment included 2,015 subjects aged 65 or older. Trained assessors
performed assessments and interviews and collected information. Dementia was
diagnosed according to the NIA-AA criteria in 2011. RESULTS: the age-gender
standardised prevalence rates of dementia, Alzheimer's disease and vascular
dementia were 13.0, 6.9 and 0.5%, respectively. There were significant increasing
trends of rates over ages. Elderly, low educational level, heavy smoking, heavy
alcohol consumption, diabetes and stroke were associated with dementia; tea
consumption was associated with low prevalence of Alzheimer's disease and severe
cognitive impairment. CONCLUSIONS: dementia and cognitive impairment were
relatively high among the elderly in Zhejiang province; more attention and
population-based strategies are needed.
PMID- 27209326
TI - Update on the safety of second generation antipsychotics in youths: a call for
collaboration among paediatricians and child psychiatrists.
AB - During the past decade, a substantial increase in the use of second generation
antipsychotics (SGAs) has occurred for a number of juvenile psychiatric
disorders, often as off-label prescriptions. Although they were thought to be
safer than older, first generation antipsychotics, mainly due to a lower risk of
neurological adverse reactions, recent studies have raised significant concerns
regarding their safety regarding metabolic, endocrinological and cardiovascular
side effects. Aim of this paper is to update with a narrative review, the latest
findings on safety of SGAs in youths. Results suggest that different SGAs may
present different safety profiles. Metabolic adverse events are the most frequent
and troublesome, with increasing evidences of heightened risk for type II
diabetes mellitus. Results are discussed with specific emphasis on possible
strategies of an active monitoring, which could enable both paediatricians and
child psychiatrists to a possible prevention, early detection, and a timely
management of such effects.
PMID- 27209327
TI - Diagnosis and Treatment of Attention Deficit Hyperactivity Disorder During
Adolescence in the Primary Care Setting: A Concise Review.
AB - Attention deficit hyperactivity disorder (ADHD) is a chronic neurodevelopmental
disorder with a worldwide prevalence of about 5% in school-age children. This
review is intended to assist primary care providers (PCPs) in diagnosing and
treating ADHD in adolescents. PubMed, PsychInfo, and Science Citation Index
databases were searched from March 1990 to 2015 with the keywords: ADHD, primary
care/pediatrics, and children/adolescents. Abstracts addressing diagnosis and/or
treatment with 105 citations were identified including supplementary treatment
guidelines/books. Adolescent ADHD presents with significant disturbances in
attention, academic performance, and family relationships with unique issues
associated with this developmental period. Diagnostic challenges include the
variable symptom presentation during adolescence, complex differential diagnosis,
and limited training and time for PCPs to conduct thorough evaluations. The
evidence base for treatments in adolescence in comparison to those in children or
adults with ADHD is relatively weak. Providers should be cognizant of prevention,
early identification, and treatment of conditions associated with ADHD that
emerge during adolescence such as substance use disorders. Adolescent ADHD
management for the PCP is complex, requires further research, and perhaps new
primary care psychiatric models, to assist in determining the optimal care for
patients at this critical period.
PMID- 27209329
TI - New horizons: Reablement - supporting older people towards independence.
AB - As the overwhelming majority of older people prefer to remain in their own homes
and communities, innovative service provision aims to promote independence of
older people despite incremental age associated frailty. Reablement is one such
service intervention that is rapidly being adopted across high-income countries
and projected to result in significant cost-savings in public health expenditure
by decreasing premature admission to acute care settings and long-term
institutionalisation. It is an intensive, time-limited intervention provided in
people's homes or in community settings, often multi-disciplinary in nature,
focussing on supporting people to regain skills around daily activities. It is
goal-orientated, holistic and person-centred irrespective of diagnosis, age and
individual capacities. Reablement is an inclusive approach that seeks to work
with all kinds of frail people but requires skilled professionals who are willing
to adapt their practise, as well as receptive older people, families and care
staff. Although reablement may just seem the right thing to do, studies on the
outcomes of this knowledge-based practice are inconsistent-yet there is an
emerging evidence and practice base that suggests that reablement improves
performance in daily activities. This innovative service however may lead to
hidden side effects such as social isolation and a paradoxical increase in
hospital admissions. Some of the necessary evaluative research is already
underway, the results of which will help fill some of the evidence gaps outlined
here.
PMID- 27209330
TI - Prospective study comparing laparoscopic and open adenomectomy: Surgical and
functional results.
AB - INTRODUCTION: Open adenomectomy (OA) is the surgery of choice for large volume
benign prostatic hyperplasia, and laparoscopic adenomectomy (LA) represents a
minimally invasive alternative. We present a long-term, prospective study
comparing both techniques. PATIENTS AND METHODS: The study consecutively included
199 patients with benign prostatic hyperplasia and prostate volumes>80g who were
followed for more than 12 months. The patients underwent OA (n=97) or LA (n=102).
We recorded and compared demographic and perioperative data, functional results
and complications using a descriptive statistical analysis. RESULTS: The mean age
was 69.2+/-7.7 years (range 42-87), and the mean prostate volume (measured by
TRUS) was 112.1+/-32.7mL (range 78-260). There were no baseline differences among
the groups in terms of age, ASA scale, prostate volume, PSA levels, Qmax, IPSS,
QoL or treatments prior to the surgery. The surgical time (P<.0001) and catheter
time (P<.0002) were longer in the LA group. Operative bleeding (P<.0001),
transfusion rate (P=.0015) and mean stay (P<.0001) were significantly lower in
the LA group. The LA group had a lower rate of complications (P=.04), but there
were no significant differences between the groups in terms of major
complications (Clavien score>=3) (P=.13) or in the rate of late complications (at
one year) (P=.66). There were also no differences between the groups in the
functional postoperative results: IPSS (P=.17), QoL (P=.3) and Qmax (P=.17).
CONCLUSIONS: LA is a reasonable, safe and effective alternative that results in
less bleeding, fewer transfusions, shorter hospital stays and lower morbidity
than OA. LA has similar functional results to OA, at the expense of longer
surgical times and longer catheter times.
PMID- 27209331
TI - Utility of the RENAL index -Radius; Exophytic/endophytic; Nearness to sinus;
Anterior/posterior; Location relative to polar lines- in the management of renal
masses.
AB - CONTEXT: The growing incidence of renal masses and the wide range of available
treatments require predictive tools that support the decision making process. The
RENAL index -Radius; Exophytic/endophytic; Nearness to sinus; Anterior/posterior;
Location relative to polar lines- helps standardise the anatomy of a renal mass
by differentiating 3 groups of complexity. Since the introduction of the index,
there have been a growing number of studies, some of which have been conflicting,
that have evaluated the clinical utility of its implementation. OBJECTIVE: To
analyse the scientific evidence on the relationship between the RENAL index and
the main strategies for managing renal masses. ACQUISITION OF THE EVIDENCE: A
search was conducted in the Medline database, which found 576 references on the
RENAL index. In keeping with the PRISM Declaration, we selected 100 abstracts and
ultimately reviewed 96 articles. SYNTHESIS OF THE EVIDENCE: The RENAL index has a
high degree of interobserver correlation and has been validated as a predictive
nomogram of histological results. In active surveillance, the index has been
related to the tumour growth rate and probability of nephrectomy. In ablative
therapy, the index has been associated with therapeutic efficacy, complications
and tumour recurrence. In partial nephrectomy, the index has been related to the
rate of complications, conversion to radical surgery, ischaemia time, function
preservation and tumour recurrence, a finding also observed in radical
nephrectomy. CONCLUSIONS: The RENAL index is an objective, reproducible and
useful system as a predictive tool of highly relevant clinical parameters such as
the rate of complications, ischaemia time, renal function and oncological results
in the various currently accepted treatments for the management of renal masses.
PMID- 27209332
TI - Corrosion of Modular Junctions: Why Is This Happening Now?
PMID- 27209333
TI - Day-of-Surgery Mobilization Reduces the Length of Stay After Elective Hip
Arthroplasty.
AB - BACKGROUND: To determine the effect of mobilization on the day of surgery on the
readiness for discharge and length of stay after elective total hip arthroplasty
(THA). METHODS: We devised a randomized control trial with concealed allocation
and intention-to-treat analysis. Overall, 126 patients who underwent THA and met
the criteria for mobilization on the day of surgery were randomly allocated into
2 groups; the intervention group was mobilized on the day of surgery, n = 58 and
the control group was mobilized on the day after surgery, n = 68. Apart from
timing of mobilization, both groups received the same postoperative management.
The primary outcome measures were length of hospital stay and time to readiness
for discharge. RESULTS: The early mobilization group was ready for discharge 63
hours (standard deviation [SD] = 15 hours) after surgery, compared to 70 hours
(SD = 18 hours) for the control group (P = .03, 95% CI, 0.7-12.8). There was no
significant difference in hospital stay in the early mobilization group (77 hours
[SD = 30 hours]), compared to the control group (87 hours [SD = 35 hours]; P =
.11, 95% CI, -2.1 to 21.6). Despite this at any point in time after the surgery,
the intervention group was 1.8 times (P = .003, 95% CI, = 1.2-2.7) more likely to
have been discharged. CONCLUSION: Mobilization on the day of THA surgery
significantly increases the probability of discharge at any singular point in
time compared with mobilization on the day after surgery and decreases the time
to readiness for discharge.
PMID- 27209334
TI - Modeling Landscape-Level Spatial Variation in Sex Ratio Skew in the Mountain Pine
Beetle (Coleoptera: Curculionidae).
AB - Through their influence on effective population sizes, sex ratio skew affects
population dynamics. We examined spatial variation in female-biased sex ratios in
the mountain pine beetle (Dendroctonus ponderosae Hopkins) outbreak in western
Canada to better understand how environmental context affects sex ratio skew. Our
specific objectives were to: 1) characterize spatial variation in mountain pine
beetle sex ratio; 2) test previously asserted hypotheses that beetle sex ratio
varies with tree diameter and year in outbreak; and 3) develop predictive models
of sex ratio skew for larval and adult populations. Using logistic regression, we
modeled the probability that an individual beetle (n = 2,369) was female as a
function of multiple environmental variables across 34 stands in British Columbia
and Alberta, Canada. We identified a consistent female-biased sex ratio with
significantly greater skew in adults (2:1, n = 713) than in larvae (1.76:1, n =
1,643). We found that the proportion of larval females increased with decreasing
tree size and with outbreak age. However, adults did not respond to tree size and
larvae did not respond to outbreak age. Predictive models differed between larvae
and adults. All identified models perform well and included predictors related to
weather, tree diameter, and year in outbreak. Female-biased sex ratios appear to
originate from differential male mortality during development rather than from
sex-biased oviposition, suggesting sex ratio skew is not the cause of outbreaks,
but rather a consequence.
PMID- 27209336
TI - Multidisciplinary training in perineal care during labor and delivery for the
reduction of anal sphincter injuries.
AB - OBJECTIVE: To determine whether the introduction of a multidisciplinary
intrapartum perineal-care training program reduced the rate of obstetric anal
sphincter injuries in patients undergoing vaginal deliveries. METHODS: A
prospective observational cohort study enrolled women undergoing vaginal
deliveries at a district general hospital maternity unit in the United Kingdom
between April 1, 2012 and March 31, 2014. All women experiencing obstetric anal
sphincter injuries during the study period were identified and the rate of
obstetric anal sphincter injuries before (2012-2013) a multidisciplinary training
program was implemented was compared with the rate after (2013-2014)
implementation using logistic regression analysis. RESULTS: The study enrolled
4920 patients. Following the implementation of the training program, the rate of
obstetric anal sphincter injuries decreased from 4.8% to 3.1% of vaginal
deliveries (odds ratio 0.66; 95% confidence interval 0.493-0.899; P = 0.008).
CONCLUSIONS: The integration of intrapartum perineal-care training into mandatory
annual staff training was associated with a statistically and clinically
significant reduction in the rate of obstetric anal sphincter injuries.
PMID- 27209335
TI - A multicenter study comparing surgical outcomes and ultrasonographic evaluation
of scarring after laparoscopic myomectomy with conventional versus barbed
sutures.
AB - OBJECTIVE: To compare clinical outcomes after laparoscopic myomectomy using
traditional interrupted sutures (TIS) versus continuous barbed suture (CBS) for
treatment of symptomatic uterine myomas. METHODS: In a multicenter retrospective
study, data were obtained for women with uterine myomas who underwent
laparoscopic myomectomy at three centers between January 1, 2009 and January 31,
2015. Suturing of the uterine wall had been performed initially using TIS; CBS
were used from January 31, 2012. RESULTS: Overall, laparoscopic myomectomy was
performed using CBS for 360 women and using TIS for another 360. The mean
operative time was 52+/-19min using CBS and 67+/-21min using TIS groups
(P=0.001). The mean blood loss was 135+/-35mL in the CBS group and 215+/-55mL in
the TIS group (P=0.006). The mean decrease in hemoglobin was 1.2+/-0.2g/L in the
CBS group and 1.2+/-0.2g/L in the TIS group (P=0.072). CONCLUSION: Laparoscopic
myomectomy using CBS is a suitable alternative to TIS in the treatment of uterine
myomas for women with up to three tumors.
PMID- 27209337
TI - Spatial distribution and ecological risk assessment of phthalic acid esters and
phenols in surface sediment from urban rivers in Northeast China.
AB - Concentration and spatial distribution of six phthalic acid esters (PAEs) and
eight phenols in sediments of urban rivers, namely the Xi River (XR) and Pu River
(PR) in Shenyang city, Northeast China were investigated and the ecological risk
of these target pollutants was assessed based on the risk quotient (RQ) approach.
Target PAEs and phenols were detected in most of sediment samples collected from
the XR and PR. The concentrations of total PAEs in sediments varied from 22.4 to
369 MUg/g dw in the XR and 3.71-46.9 MUg/g dw in the PR. The levels of phenols
ranged from 2.72 to 106 MUg/g dw in the XR and 0.811-25.0 MUg/g dw in the PR,
respectively. The dominant pollutants in both XR and PR were DEHP, phenol and 4
methylphnol. The sampling locations XR1-3 in the XR suffered severe contamination
from PAEs and phenols. The sites PR1 and PR6 were heavily polluted by phenols and
PAEs, respectively. Almost all target PAEs and phenolic compounds in sediment of
the XR exhibited medium or high ecological risk to organisms and the ecological
risk in the PR mainly originated from PEAs, phenol and 4-methylphenol. These
results would provide guidance for individual pollutant control and indicate that
it is imperative to take some effective measures to reduce the pollution of those
contaminants.
PMID- 27209338
TI - Adsorption behavior of lead on aquatic sediments contaminated with cerium dioxide
nanoparticles.
AB - Aquatic sediments serve as an important sink for engineered nanomaterials (ENMs),
such as metal oxide nanoparticles (MeO NPs) and carbon nanotubes (CNTs). Owing to
their remarkable properties, ENMs demonstrate significant potential to disturb
the adsorption behavior of other contaminants in aquatic sediments, thereby
altering the bioavailability and toxicity of these contaminants. Thus far, most
studies have investigated the effect of CNTs on the adsorption of other
contaminants on sediments. Cerium dioxide nanoparticles (CeO2 NPs), as one of the
important MeO NPs, are also inevitably discharged into aquatic sediments because
of their widespread use. In this study, we investigated the adsorption behavior
of Pb2+ on sediments spiked with CeO2 NPs at a weight ratio of 5.0%. The results
showed that the adsorption rates at three stages occurring during adsorption
clearly increase for sediments contaminated with CeO2 NPs. Moreover, the results
obtained from the adsorption isotherms indicated that the Langmuir isotherm model
best fits the isotherm data for both sediments and those contaminated with CeO2
NPs. After spiking the sediments with CeO2 NPs, the theoretical maximum monolayer
adsorption capacity (Qmax) for Pb2+ increased from 4.433 to 4.995 mg/g and the
Langmuir isotherm coefficient (KL) decreased from 8.813 to 7.730 L/g. The effects
of CeO2 NPs on the surface charge and pore surface properties of sediments were
also studied as these properties affect the adsorption of several chemicals in
sediments. The results showed that pHzpc, SBET, Sext, and average pore size of
sediments clearly decrease for sediments contaminated with CeO2 NPs. Hence, the
strong adsorption capacity of CeO2 NPs and the changes of sediment surface charge
and pore surface properties caused by CeO2 NPs are important factors affecting
the adsorption behavior of Pb2+. The potential risk of Pb2+ in aquatic
environment may increase with CeO2 NPs buried in sediments.
PMID- 27209340
TI - Dominance and succession of Microcystis genotypes and morphotypes in Lake Taihu,
a large and shallow freshwater lake in China.
AB - Lake Taihu, which is the third largest freshwater lake in China, has experienced
extensive cyanobacterial (Microcystis spp.) blooms over the past two decades.
However, the distribution, dynamics and succession of the blooms have not been
fully studied. To better understand the basic characteristics of Microcystis
blooms in Lake Taihu, samples were collected from December 2008 to December 2009.
The distribution and dynamics of different Microcystis morphotypes were
characterized. Microcystis genotypes were analyzed also by sequencing the clone
library of the internal transcribed spacer of the rRNA operon (ITS). The
abundance of total Microcystis and the proportion of microcystin-producing
subpopulation were estimated by using a quantitative PCR assay. Marked succession
in both morphotypes and genotypes of the Microcystis population occurred during
the course of the Microcystis bloom. The 2337 ITS sequences were obtained and
were revealed to contain 618 Microcystis genotypes, which was the highest
Microcystis genetic diversity reported in Lake Taihu. The T1 genotype, which was
characterized by strains of Microcystis flos-aquae, was the most dominant
genotype during winter and spring around the entire lake and likely acted as the
main inoculum for forming blooms the following year. Water temperature
periodically affected the succession of both Microcystis genotypes and
morphotypes, whereas the micro-environment influenced the spatial distribution of
Microcystis genotypes and morphotypes. High ratios of mcyD containing Microcystis
subpopulations were detected during the onset and later bloom phases. A
redundancy analysis (RDA) indicated that the water temperature and PO4-P were the
major factors controlling both the total Microcystis abundance and the proportion
of microcystin-producing Microcystis in hyper-eutrophic waters.
PMID- 27209339
TI - Spatial and temporal dynamics of heavy metal pollution and source identification
in sediment cores from the short-term flooding riparian wetlands in a Chinese
delta.
AB - Sediment samples were collected to a depth of 60 cm along a 350-m sampling belt
in a short-term-flooding riparian wetland in the Yellow River Delta of China in
three sampling seasons. Contents of heavy metals were determined to investigate
their spatial and temporal distributions, sources and ecotoxities. Our results
showed that As contents in the top 20 cm sediments increased before decreasing
along the sampling belt in summer, whereas they kept stable before increasing in
fall and spring. Cd contents increased along the sampling belt in three sampling
seasons, whereas Ni and Cr generally exhibited a decreasing tendency.
Comparatively, Cu, Pb and Zn consistently increased at the first 50 m distance
and then decreased before increasing from the distance of 150 m in summer and
fall and increased to the maximum at the distance of 250 m and then showed a
decrease in spring. Two "hotspots" of heavy metal accumulation in sediment cores
along the belt were observed at the distance from 50 to 100 m in summer and at
the distance from 200 to 300 m in spring. Most of sediment samples contained
higher heavy metals in excess of threshold effect levels except for Zn and Pb in
three sampling seasons and the values of toxic units in more than 30% of sediment
samples exceeded 4 in summer. As, Ni and Cr had relatively higher contribution to
the values of toxic units compared with other heavy metals in three sampling
seasons. Multivariance analysis showed that As and Cd might originate from the
same source and Cu, Zn, Cr, Pb and Ni might derive from another similar source.
Cd was significantly correlated with salinity (p < 0.01) and pH (p < 0.05).
Meanwhile, these heavy metals were also significantly correlated with other
properties such as S, Al, TP, SOM and Silt + Clay.
PMID- 27209341
TI - Hysteroscopic proximal tubal occlusion versus laparoscopic salpingectomy as a
treatment for hydrosalpinges prior to IVF or ICSI: an RCT.
AB - STUDY QUESTION: Does hysteroscopic proximal tubal occlusion by intratubal devices
as a treatment for hydrosalpinges result in comparable ongoing pregnancy rates
following IVF/ICSI when compared with laparoscopic salpingectomy? SUMMARY ANSWER:
Hysteroscopic proximal tubal occlusion by intratubal devices is inferior to
laparoscopic salpingectomy in the treatment of hydrosalpinges in women undergoing
IVF/ICSI with respect to ongoing pregnancy rates. WHAT IS KNOWN ALREADY: It is
known that women with hydrosalpinges undergoing IVF have poorer pregnancy
outcomes compared with women with other forms of tubal infertility. In these
women, both laparoscopic salpingectomy and laparoscopic proximal tubal ligation
are known to improve IVF outcomes. At present, it is unclear whether a less
invasive hysteroscopic treatment with intratubal devices leads to similar ongoing
pregnancy rates following IVF when compared with laparoscopic salpingectomy.
STUDY DESIGN, SIZE, DURATION: A two-centre, randomized, controlled, non
inferiority trial. Between October 2009 and December 2014 a total of 85 women
were included in this study; of whom, 42 were randomized to hysteroscopic
proximal occlusion by intratubal device placement and 43 were randomized to
laparoscopic salpingectomy. Randomization was based on a computer-generated
randomization list. The study was unblinded. The primary outcome was ongoing
pregnancy rate, defined as a fetal heartbeat on ultrasound beyond 10-week
gestation following one IVF/ICSI treatment (fresh and frozen-thawed embryo
transfers). PARTICIPANTS/MATERIALS, SETTING, METHODS: We studied women aged 18-41
years, with uni- or bilateral ultrasound visible hydrosalpinges who were
scheduled for an IVF/ICSI treatment. MAIN RESULTS AND THE ROLE OF CHANCE: The
ongoing pregnancy rates per patient according to the intention-to-treat principle
were 11/42 (26.2%) after hysteroscopic proximal occlusion by intratubal devices
(intervention group) versus 24/43 (55.8%) after laparoscopic salpingectomy
(control group) (P = 0.008) [absolute difference: 26.1%; 95% confidence interval
(CI): 0.5-51.7, relative risk (RR): 0.56; 95% CI: 0.31-1.03, P = 0.01]. In the
per protocol analysis, the ongoing pregnancy rate per patient following
hysteroscopic proximal occlusion by intratubal devices was 9/27 (33.3%) compared
with 19/32 (59.4%) following laparoscopic salpingectomy (P = 0.067) (absolute
difference: 29.6%; 95% CI: 7.1 to 49.1, RR: 0.47; 95% CI: 0.27-0.83, P = 0.062).
LIMITATIONS, REASONS FOR CAUTION: Masking participants and investigators would be
difficult due to the nature of both interventions. Since we had objective outcome
measurements, we withheld sham procedures, leaving the study unblinded.
Furthermore, our low sample size resulted in wide CIs. A larger sample size would
result in a more accurate treatment effect; however, this was non-feasible for
recruitment and inclusion. WIDER IMPLICATIONS OF THE FINDINGS: In the treatment
of hydrosalpinges prior to IVF/ICSI, hysteroscopic proximal occlusion by
intratubal devices is inferior to laparoscopic salpingectomy. STUDY
FUNDING/COMPETING INTERESTS: The intratubal devices were received from Conceptus,
Inc., San Carlos, CA, USA, which was acquired by Bayer HealthCare
Pharmaceuticals, Inc., Whippany, NJ, USA in 2013. Conceptus, Inc./Bayer
HealthCare Pharmaceuticals, Inc. had no role in the study design, data collection
and analyses, decision to publish or preparation of the manuscript. The study as
a whole was funded by the SWOG (foundation for scientific investigation in
obstetrics and gynaecology of the VU University Medical Centre, Amsterdam, the
Netherlands). P.G.A.H. has received non-financial support from Conceptus, Inc.
during the conduct of this study. He has received grants from Ferring B.V., Merck
Serono and Abbott outside the submitted work. M.H.E. has received personal fees
from Smith and Nephew and IQ Medical Ventures outside the submitted work. TRIAL
REGISTRATION NUMBER: The Dutch Trial Register: NTR 2073. TRIAL REGISTRATION DATE:
October 21, 2009. DATE OF FIRST PATIENT'S ENROLMENT: October 26, 2009.
PMID- 27209342
TI - Why we need a process on breaking news of Juvenile Idiopathic Arthritis: a mixed
methods study.
AB - BACKGROUND: Juvenile Idiopathic Arthritis is the most common chronic pediatric
rheumatic disease. The announcement of Juvenile Idiopathic Arthritis poses for
parents a number of challenges that make it hard to accept a diagnosis of the
disease for their child; yet to our knowledge, no study to date has focused on
the time period immediately surrounding the diagnosis. This study sets out to
describe parents' experiences in engaging with their child's diagnosis of
Juvenile Idiopathic Arthritis. METHODS: This is a mixed methods study. Semi
structured interviews of families with a Juvenile Idiopathic Arthritis child were
conducted. A grounded-theory thematic analysis was performed. Items that emerged
in the interviews were compiled into a self-administered questionnaire. RESULTS:
Eleven families participated in the qualitative study. Sixty families responded
to the questionnaire. The path of parents was characterized by doubt (before,
during and after diagnosis) while the disease tended to take center stage. Doubt
was generated through mismatches in perspectives between the parents' circle of
acquaintances, physicians, and the parents' own subjective experiences of
symptoms. This study also found that social support and parent associations
occupied an ambiguous position between help and stigmatization. CONCLUSIONS:
Doubt fuels self-energizing spirals that take root as parents learn the news that
their child has Juvenile Idiopathic Arthritis. These spirals of doubt may
influence parents' experiences at every stage throughout the course of disease.
Our data support the implementation of a specific process dedicated to breaking
the news of Juvenile Idiopathic Arthritis to parents.
PMID- 27209343
TI - Effects of high di(2-ethylhexyl) phthalate (DEHP) exposure due to tainted food
intake on pre-pubertal growth characteristics in a Taiwanese population.
AB - On May 23, 2011, a major scandal involving the illegal use of phthalates as
clouding agents in food products was reported. Specifically, di(2-ethylhexyl)
phthalate (DEHP) was purposefully added to foods as a substitute emulsifier. The
purpose of this study was to examine the effects of DEHP exposure on the growth
characteristics of the child victims of this scandal. Eighty-eight victims,
originating from northern, central, and southern Taiwan and ranging in age from
6.0 to 10.5 years, were invited to participate in this study during clinic
visits. The participants underwent follow-up health examinations from August 2012
to February 2013. We collected information on each participant's history of
exposure to tainted food products using a questionnaire, and we analyzed their
urinary concentrations of DEHP metabolites using high-performance liquid
chromatography/tandem mass spectrometry. These data were then used to estimate
their daily DEHP intake (DIAll) during the scandal. We also measured physical
development parameters (height, weight, and bone age) and hormone levels
(thyroid, sex and growth hormones) to evaluate their overall growth
characteristics. The average (SD) duration of DEHP intake from tainted nutrition
supplements was 1.39 (1.01) years. The median DIAll values were 19.93 and
20.69MUg/kg bw/day for boys and girls, respectively. Among the enrolled children,
the DIAll values of 46.9% of boys and 51.3% of girls exceeded the reference dose
(RfD) of 20MUg/kg bw/day established by the US Environmental Protection Agency.
Our results demonstrate that DIAll is negatively associated with the height
percentile, weight percentile, bone age/chronological age, and insulin-like
growth factor 1 (IGF-1) levels but not with IGF binding protein 3 (IGF-BP3)
level, IGF-1/IGF-BP3, sex hormones, or thyroid hormone levels. The DEHP DIAll
value exceeded the RfD at high rates among children of both genders. Our results
suggest that high levels of DEHP exposure due to the consumption of tainted food
products are negatively associated with body weight, height, bone age, and IGF-1
levels in children. The likelihood of delayed puberty among the affected children
is therefore a reasonable concern, and further follow-up is required.
PMID- 27209344
TI - Nuclear inclusions mimicking poly(A)-binding protein nuclear 1 inclusions in a
case of inclusion body myopathy associated with Paget disease of bone and
frontotemporal dementia with a novel mutation in the valosin-containing protein
gene.
AB - A middle-aged Japanese man presented with slowly progressive asymmetric weakness
of legs and arm but had neither ptosis nor dysphagia. He had a family history of
similar condition suggestive of autosomal dominant inheritance. A muscle biopsy
showed mixture of neurogenic atrophy and myopathy with rimmed vacuoles.
Furthermore we found intranuclear inclusions that had a fine structure mimicking
that of inclusions reported in oculopharyngeal muscular dystrophy (OPMD).
Immunohistochemical staining for polyadenylate-binding nuclear protein 1, which
is identified within the nuclear inclusions of OPMD, demonstrated nuclear
positivity in this case. However, OPMD was thought unlikely based on the clinical
features and results of genetic analyses. Instead, a novel mutation in valosin
containing protein, c.376A>T (p.Ile126Phe), was revealed. A diagnosis of
inclusion body myopathy associated with Paget disease of bone and frontotemporal
dementia was made. This is the first report of polyadenylate-binding nuclear
protein 1-positive nuclear inclusions in the muscle of this condition.
PMID- 27209345
TI - The 6-minute walk test, motor function measure and quantitative thigh muscle MRI
in Becker muscular dystrophy: A cross-sectional study.
AB - Becker muscular dystrophy (BMD) has an incidence of 1 in 16 000 male births. This
cross-sectional study investigated the relation between validated functional
scores and quantitative MRI (qMRI) of thigh muscles in 20 ambulatory BMD
patients, aged 18.3-60 years (mean 31.2; SD 11.1). Clinical assessments included
the motor function measure (MFM) and its subscales, as well as timed function
tests such as the 6-minute walk test (6MWT) and the timed 10-m run/walk test.
Quantitative MRI of the thigh muscles included the mean fat fraction (MFF) using
a 2-point Dixon (2-PD) technique, and transverse relaxation time (T2)
measurements. The mean MFM value was 80.4%, SD 9.44 and the D1 subscore 54.5%, SD
19.9. The median 6MWT was 195m, IQR 160-330.2. The median 10-m run/walk test was
7.4 seconds, IQR 6.1-9.3. The mean fat fraction of the thigh muscles was 55.6%,
SD 17.4%, mean T2 relaxation times of all muscles: 69.9 ms, SD 14.4. The flexors
had the highest MFF and T2 relaxation times, followed by the extensors and the
adductors. MFF and global T2 relaxation times were highly negatively correlated
with the MFM total, D1-subscore and 6MWT, and positively correlated with the 10 m
run/walk test time (p < 0.01). Age was not correlated with MFF, global T2
relaxation time or clinical assessments. Both MFF and T2 measures in the thigh
muscle were well correlated with clinical function in BMD and may serve as a
surrogate outcome measure in clinical trials.
PMID- 27209346
TI - Forces and mechanotransduction in 3D vascular biology.
AB - The effects of hemodynamic and interstitial mechanical forces on endothelial
biology in vivo have been appreciated for over half a century, regulating vessel
network development, homeostatic function, and progression of vascular disease.
Investigations using cultures of endothelial cells on two-dimensional (2D)
substrates have elucidated important mechanisms by which microenvironmental
stresses are sensed and transduced into chemical signaling responses. However
recent studies in vivo and in three-dimensional (3D) in vitro models of vascular
beds have enabled the investigation of forces and cellular behaviors previously
not possible in traditional 2D culture systems. These studies support a
developing paradigm that the 3D chemo-mechanical architecture of the vascular
niche impacts how endothelial cells both sense and respond to microenvironmental
forces. We present evolving concepts in endothelial force sensing and mechanical
signaling and highlight recent insights gained from in vivo and 3D in vitro
vascular models.
PMID- 27209347
TI - It's hard to be green: Reverse green value chain.
AB - Firms have recently discovered that it is not enough to optimize internal
processes and relationships with partners along the value chain to create a
sustainable competitive market position. A clear customer orientation, which
acknowledges that consumer buying behavior is complex and includes many elements
implied in the value chain, is required. As companies offering green products are
no exception to this rule, this study analyzes consumer behavior in Europe from a
reserve green supply chain management perspective, using descriptive analyses and
a structural equation model, with data collected by Flash Barometer comprising
26,573 responses from 28 European countries. The results suggest that European
consumers are conscious of the green concept, but are not willing to buy or pay
more for these products since the value is unclear. Companies offering green
products must therefore rethink their strategies, especially in terms of value
proposition, communication strategies, and eco-labeling.
PMID- 27209348
TI - The Clinical Use of the Neutrophil to Lymphocyte Ratio (NLR) in Urothelial
Cancer: A Systematic Review.
AB - The neutrophil to lymphocyte ratio (NLR) is an inflammatory index that has been
considered as a potential prognostic factor in human cancer. The aim of this
study was to evaluate the available evidence regarding the NLR as a prognostic
value in patients affected by urothelial cancer. This literature review,
including papers on NLR in urothelial cancers, was done on PubMed/Medline and
Cochrane libraries in November 2015. The selection of the articles followed the
Preferred Reporting Items for Systematic Reviews and Meta-Analyses process.
Twenty-three of 99 articles fulfilled all the inclusion criteria, including data
on 6240 patients affected by urothelial cancers. Overall, cancer-specific, and
recurrence-free survival were evaluated as the main oncological outcomes. There
was significant heterogeneity among studies, and the majority of studies were of
poor quality. Overall, NLR was considered as a prognostic marker in 87.5%, 80%,
and 60% of the studies on upper tract urothelial cancer, urothelial bladder
cancer, and metastatic and advanced disease, respectively. The NLR cut-off value
ranged between 2 and 5. A high NLR was associated with worse overall, cancer
specific, and recurrence-free survival. NLR is a widely available, easy-to
collect, costless, prognostic marker in urothelial cancers. Its clinical use
still remains under investigation, especially for the need for cut-off values,
particularly in different subsets of patients.
PMID- 27209350
TI - Peri-implant defect regeneration in the diabetic pig: A preclinical study.
AB - OBJECTIVES: The study aims to establish a peri-implant dehiscence-type bone
defect in a diabetic animal model of human bone repair and to quantify the
influence of diabetes on peri-implant bone regeneration. MATERIAL AND METHODS:
Experimental diabetes was induced in three domestic pigs by streptozotocin. Three
animals served as healthy controls. After 12 months four standardized peri
implant dehiscence bone defects were surgically created in the ramus mandibulae.
The animals were sacrificed after 90 days. Samples were histologically analyzed
to quantify new bone height (NBH), bone-to-implant-contact (BIC), area of newly
formed bone (NFB), bone-density (BD), and bone mineralization (BM) in the
prepared defect (-D) and in a local control region (-L). RESULTS: After 90 days,
diabetic animals revealed a significantly lower BIC (p = 0.037) and BD (p =
0.041) in the defect area (-D). NBH and BM-D differences within the groups were
not significant (p > 0.05). Significant more NFB was measured in the healthy
control group (p = 0.046). In the region of local bone BIC-L was significant less
in the diabetic group (p = 0.028). In the local control region BD-L and BM-L was
lower in the diabetic group compared to the healthy control animals (p > 0.05).
CONCLUSION: Histological evidence indicates impaired peri-implant defect
regeneration in a diabetic animal model.
PMID- 27209349
TI - Intratumor Heterogeneity of Perfusion and Diffusion in Clear-Cell Renal Cell
Carcinoma: Correlation With Tumor Cellularity.
AB - BACKGROUND: Magnetic resonance imaging (MRI) has the potential to noninvasively
provide information about the tumor microenvironment. A correlation between
arterial spin-labeled (ASL) MRI and tumor vasculature has been previously
demonstrated; however, its correlation with tumor cellularity is unknown. We
sought to assess intratumor heterogeneity of perfusion and diffusion in vivo in
clear-cell renal cell carcinoma (ccRCC) using MRI and to correlate these findings
with tumor vascularity and cellularity at histopathology. PATIENTS AND METHODS:
Twenty-three ccRCC patients underwent ASL and diffusion-weighted MRI before
surgery after signing an informed consent in this prospective institutional
review board-approved, HIPAA (Insurance Portability and Accountability Act)
compliant study. Quantitative ASL perfusion and diffusion were measured in 2
areas within the same tumor with high and low perfusion. Microvessel density
(MVD) on CD31 and CD34 immunostains and tumor cellularity in anatomically
coregistered tissue samples were correlated to MRI measurements (Spearman; P <
.05 statistically significant). RESULTS: ASL perfusion (P < .0001), CD31 MVD (P =
.02), CD34 MVD (P = .04), and cellularity (P = .002) from high and low perfusion
areas were significantly different across all tumors. There were positive
correlations between tumor cellularity and CD31 MVD (rho = 0.350, P = .021), CD31
and CD34 MVD (rho = 0.838, P < .0001), ASL perfusion and cellularity (rho =
0.406, P = .011), and ASL perfusion and CD31 MVD (rho = 0.468, P = .003), and a
negative correlation between tissue diffusion coefficient and cellularity (rho =
0.316, P = .039). CONCLUSION: Tumor areas with high ASL perfusion exhibit higher
cellularity and MVD compared to areas with low perfusion in the same tumor. A
positive correlation between tumor vascularity and cellularity in ccRCC is newly
reported. A negative correlation between tumor diffusion and cellularity is
confirmed.
PMID- 27209351
TI - An uncommon manifestation of paraneoplastic cerebellar degeneration in a patient
with high grade urothelial, carcinoma with squamous differentiation: A case
report and literature review.
AB - BACKGROUND: Paraneoplastic neurological syndromes (PNS) are rare disorders
associated with malignant tumours, which are triggered by autoimmune reactions.
Paraneoplastic cerebellar degeneration (PCD) is the PNS type most commonly
associated with ovarian and breast cancer. Two bladder cancers manifesting in PCD
were previously reported. However, the cancers in these cases had poor outcomes.
CASE PRESENTATION: Here, we present a 68-year old man with history of high-grade
papillary urothelial carcinoma of the bladder. The patient suffered from
persistent cerebellar ataxia accompanied by bladder cancer recurrence five months
after transurethral resection of the bladder tumour (TURBt). Laboratory screening
for the specific antibodies of paraneoplastic neurological syndromes revealed no
positive results. Symptoms were not remitted after a 7-day-course of high-dose
glucocorticoid therapy. To our surprise, the patient recovered fully after
laparoscopic radical cystectomy. Postoperative pathology revealed that surgical
specimens were urothelial carcinoma in situ (CIS) and squamous cell carcinoma of
the bladder. The patient remained asymptomatic and there was no evidence of
recurrence after the followup period of 11 months. CONCLUSION: To our knowledge,
this is the third report of PCD in a patient with bladder cancer. This case
showed that tumour resection cured the PCD. To assist clinical evaluation and
management, literature regarding basic PNS characteristics and bladder cancers
was reviewed.
PMID- 27209352
TI - The effects of erythropoiesis stimulating therapy for anemia in chronic heart
failure: A meta-analysis of randomized clinical trials.
AB - BACKGROUND: Although anemia is common in chronic heart failure (CHF), the use of
erythropoiesis stimulating agents (ESAs) in CHF patients remains controversial.
In this meta-analysis, we sought to clarify the efficacy and safety of ESAs in
anemic patients with CHF. METHODS: We searched PubMed, Embase, Cochrane Central
Register of Controlled Trials, the U.S. National Institutes of Health registry of
clinical trials. We included 13 randomized clinical trials (RCTs) in the meta
analysis. The co-primary outcome was all-cause mortality and rehospitalization.
The safety analysis outcome was thromboembolic events. RESULTS: Preliminary
analysis showed that ESA-treatment did not have any effect for all-cause
mortality and rehospitalization. However, we revealed a significant small-study
bias, and used the trim-and-fill method to reduce this bias. The summary effect
of ESA-treatment was insignificant for all-cause mortality (risk ratio [RR] 0.91,
95% confidence interval [CI] 0.59-1.42, p=0.69) and for rehospitalization (RR
0.91, 95% CI 0.67-1.23, p=0.53). Regarding symptoms, ESA-treatment improved
dyspnea (NYHA grade improvement: 1.63, 95% CI 0.65-2.62, p<0.001) and quality-of
life measured by subjective questionnaires. However, in safety analysis, ESAs
increased the over-all risk for thromboembolic events (RR 1.28, 95% CI 1.03-1.58,
p=0.026), however, no specific increase was observed in severe thromboembolic
events. Subgroup analysis showed no difference in ESA-treatment according to the
type of ESAs (darbepoetin vs. erythropoietin) and between studies of different
follow-up durations (<6months or >=6months). CONCLUSION: Among CHF patients with
anemia, ESA-treatment has a neutral effect on all-cause mortality and
rehospitalization and improves symptoms, but has harmful effects on
thromboembolic events.
PMID- 27209353
TI - New functional pavements for pedestrians and cyclists.
AB - When many fields of pedestrian and cyclist safety have been extensively studied,
the surfacing has long been left unquestioned, despite being developed for
another mode of transport and being one of the main causes for falls and fall
injuries. In this project new surfacing materials for pedestrian and cyclist
safety have been produced. Focusing on augmenting previously largely disregarded
parameters as impact absorption, comfort and visibility at the same time as
avoiding deteriorating of crucial parameters as friction and wear resistance.
Rubber content, binder type, and pigment addition have been varied and evaluated.
The results demonstrate that by increasing rubber content of the mixtures the
head injury criterion (HIC) value and injury risk can be decreased while
maintaining frictional properties according to existing criteria. Assembly of
test-lanes demonstrate that some developed materials experience lower flow and
component separation than standard materials due to rubber addition, calling for
further optimisation of construction procedure linked to content development.
Initial trials on the test-lanes indicate that a polyurethane (PU) based material
has high cycling comfort, visibility and can be modified with phosphorescence
properties. For standard asphalt, impact absorption might be inflicted by
modification of bitumen alone but is mostly augmented by rubber addition. The
results also indicate that rubber content can decrease ice formation on the
materials.
PMID- 27209354
TI - A cellular automaton simulation model for pedestrian and vehicle interaction
behaviors at unsignalized mid-block crosswalks.
AB - At unsignalized crosswalks, interactions between pedestrians and vehicles often
lead to traffic safety hazards due to absence of traffic control and unclear
right-of-ways. To address this safety problem, there is a need to understand the
interaction behaviors of pedestrians and vehicles that are complicated by a
variety of traffic and roadway attributes. The prime objective of this study is
to establish a reliable simulation model to represent the vehicle yielding and
pedestrian crossing behaviors at unsignalized crosswalks in a realistic way. The
model is calibrated with detailed behavioral data collected and extracted from
field observations. The capability of the calibrated model in predicting the
pedestrian-interaction events as well as estimating the driver yielding rate and
pedestrian delay are also tested and demonstrated. Meanwhile, the traffic
dynamics in the vicinity of the crosswalk can be meaningfully represented with
simulation results based on the model. Moreover, with the definitions of the
vehicle-pedestrian conflicts, the proposed model is capable to evaluate the
pedestrian safety. Thereby, the simulation model has the potential to serve as a
useful tool for assessing safety performance and traffic operations at existing
facilities. Furthermore, the model can enable the evaluation of policy
effectiveness and the selection of engineering treatments at unsignalized
crosswalks to improve safety and efficiency of pedestrian crossing.
PMID- 27209355
TI - ATRX driver mutation in a composite malignant pheochromocytoma.
AB - Pheochromocytomas (PCCs) and paragangliomas (PGLs) are tumors arising from the
adrenal medulla and sympathetic/parasympathetic paraganglia, respectively.
Approximately 40% of PCCs/PGLs are due to germline mutations in one of 16
susceptibility genes, and a further 30% are due to somatic alterations in 5 main
genes. Recently, somatic ATRX mutations have been found in succinate
dehydrogenase (SDH)-associated hereditary PCCs/PGLs. In the present study we
applied whole-exome sequencing to the germline and tumor DNA of a patient with
metastatic composite PCC and no alterations in known PCC/PGL susceptibility
genes. A somatic loss-of-function mutation affecting ATRX was identified in tumor
DNA. Transcriptional profiling analysis classified the tumor within cluster 2 of
PCCs/PGLs (without SDH gene mutations) and identified downregulation of genes
involved in neuronal development and homeostasis (NLGN4, CD99 and CSF2RA) as well
as upregulation of Drosha, an important gene involved in miRNA and rRNA
processing. CpG island methylator phenotype typical of SDH gene-mutated tumors
was ruled out, and SNP array data revealed a unique profile of gains and losses.
Finally, we demonstrated the presence of alternative lengthening of telomeres in
the tumor, probably associated with the failure of ATRX functions. In conclusion,
somatic variants affecting ATRX may play a driver role in sporadic PCC/PGL.
PMID- 27209358
TI - Examining the impact of thought substitution on intentional forgetting in induced
and naturally occurring dysphoria.
AB - Two experiments were conducted to determine if natural and induced dysphoria is
associated with impaired forgetting and, whether a thought-substitution strategy
would ameliorate any observed deficits. Study 1: 36 dysphoric & 36 non-dysphoric
participants learnt a series of emotional word pairs. Participants were
subsequently presented with some of the cues and were asked to recall the targets
or prevent the targets from coming to mind. Half of the participants were
provided with substitute words to recall instead of the original targets (aided
suppression). At final memory testing, participants were asked to recall the
targets to all cues. Dysphoric participants exhibited impaired forgetting, even
when using a thought substitution strategy. Non-dysphoric participants, however,
were able to use substitutes to suppress words. Study 2: 50 healthy participants
initially completed the aided condition of the forgetting task. Participants were
then given a positive or negative mood-induction, followed by another version of
the forgetting task. Although all participants showed a forgetting effect prior
to the mood-induction, only the positive group was successful at forgetting after
the mood induction. Taken together, these findings do not support the utility of
thought-substitution as an aid to forgetting in individuals in a naturally or
induced dysphoric mood.
PMID- 27209356
TI - Euphorbia tirucalli modulates gene expression in larynx squamous cell carcinoma.
AB - BACKGROUND: Some plants had been used in the treatment of cancer and one of these
has attracted scientific interest, the Euphorbia tirucalli (E. tirucalli), used
in the treatment of asthma, ulcers, warts has active components with activities
scientifically proven as antimutagenic, anti-inflammatory and anticancer.
METHODS: We evaluate the influence of the antitumoral fraction of the E.
tirucalli latex in the larynx squamous cell carcinoma (Hep-2), on the morphology,
cell proliferation and gene expression. The Hep-2 cells were cultivated in
complete medium (MEM 10 %) and treated with E. tirucalli latex for 1, 3, 5 and 7
days. After statistically analyzing the proliferation of the tested cells, the
cells were cultivated again for RNA extraction and the Rapid Subtractive
Hybridization (RaSH) technique was used to identify genes with altered
expression. The genes found using the RaSH technique were analyzed by Gene
Ontology (GO) using Ingenuity Systems. RESULTS: The five genes found to have
differential expression were validated by real-time quantitative PCR. Though
treatment with E. tirucalli latex did not change the cell morphology in
comparison to control samples, but the cell growth was significantly decreased.
The RaSH showed change in the expression of some genes, including ANXA1, TCEA1,
NGFRAP1, ITPR1 and CD55, which are associated with inflammatory response,
transcriptional regulation, apoptosis, calcium ion transport regulation and
complement system, respectively. The E. tirucalli latex treatment down-regulated
ITPR1 and up-regulated ANXA1 and CD55 genes, and was validated by real-time
quantitative PCR. CONCLUSIONS: The data indicate the involvement of E. tirucalli
latex in the altered expression of genes involved in tumorigenic processes, which
could potentially be applied as a therapeutic indicator of larynx cancer.
PMID- 27209359
TI - Quality of life, functioning and cognition in bipolar disorder and major
depression: A latent profile analysis.
AB - This study aimed to identify profiles of functioning and quality of life (QOL) in
depression (MDD), bipolar disorder (BD) and healthy adults, as well as the
clinical, demographic and cognitive variables associated with each of these
profiles. Participants completed the WHODAS 2.0 and WHOQOL-BREF, which were
submitted to latent profile analysis. The four cluster solution provided the best
fit for our data. Cluster 1 consisted mostly of healthy adults, and had the
highest functioning and QOL. Clusters 2 contained older patients with subclinical
depressive symptoms and psychiatric comorbidities, whose impairments in QOL and
functioning were associated with mood symptoms and several cognitive abilities.
Patients with MDD, BDI or BDII with mild to moderate depression, such as those in
cluster 3, may benefit more significantly from interventions in cognitive
flexibility, inhibition, planning, and sustained attention. Lastly, patients with
mood disorders and clinically significant levels of depression, as well as a
history of suicide attempts, like those in cluster 4, may benefit from
interventions aimed at working memory, inhibitory control, and cognitive
flexibility; that is, the three core executive functions. These findings should
be further investigated, and used to guide treatments for patients with mood
disorders and different patterns of functional impairment.
PMID- 27209360
TI - [Epistemische Konkurrenz zwischen Entwicklungsbiologie und Genetik um 1900:
Traditionen, Begriffe, Kausalitat].
PMID- 27209357
TI - On the Self-Organizing Origins of Agency.
AB - The question of agency and directedness in living systems has puzzled
philosophers and scientists for centuries. What principles and mechanisms
underlie the emergence of agency? Analysis and dynamical modeling of experiments
on human infants suggest that the birth of agency is due to a eureka-like,
pattern-forming phase transition in which the infant suddenly realizes it can
make things happen in the world. The main mechanism involves positive feedback:
when the baby's initially spontaneous movements cause the world to change, their
perceived consequences have a sudden and sustained amplifying effect on the
baby's further actions. The baby discovers itself as a causal agent. Some
implications of this theory are discussed.
PMID- 27209361
TI - Withania somnifera and Its Withanolides Attenuate Oxidative and Inflammatory
Responses and Up-Regulate Antioxidant Responses in BV-2 Microglial Cells.
AB - Withania somnifera (L.) Dunal, commonly known as Ashwagandha, has been used in
Ayurvedic medicine for promoting health and quality of life. Recent clinical
trials together with experimental studies indicated significant neuroprotective
effects of Ashwagandha and its constituents. This study is aimed to investigate
anti-inflammatory and anti-oxidative properties of this botanical and its two
withanolide constituents, namely, Withaferin A and Withanolide A, using the
murine immortalized BV-2 microglial cells. Ashwagandha extracts not only
effectively inhibited lipopolysaccharide (LPS)-induced nitric oxide (NO) and
reactive oxygen species (ROS) production in BV-2 cells, but also stimulates the
Nuclear factor (erythroid-derived 2)-like 2 (Nrf2) pathway, leading to induction
of heme oxygenase-1 (HO-1), both in the presence and absence of LPS. Although the
withanolides were also capable of inhibiting LPS-induced NO production and
stimulating Nrf2/HO-1 pathway, Withaferin A was tenfold more effective than
Withanolide A. In serum-free culture, LPS can also induce production of long thin
processes (filopodia) between 4 and 8 h in BV-2 cells. This morphological change
was significantly suppressed by Ashwagandha and both withanolides at
concentrations for suppressing LPS-induced NO production. Taken together, these
results suggest an immunomodulatory role for Ashwagandha and its withanolides,
and their ability to suppress oxidative and inflammatory responses in microglial
cells by simultaneously down-regulating the NF-kB and upregulating the Nrf2
pathways.
PMID- 27209363
TI - Possible neuroprotective mechanisms of clove oil against icv-colchicine induced
cognitive dysfunction.
AB - BACKGROUND: Alzheimer's disease (AD), a common neurodegenerative disorder,
recognized to be a major cause of dementia. The aim of the present study was to
investigate the neuroprotective mechanisms of clove oil in
intracerebroventricular (icv)-colchicine induced cognitive dysfunction in rats.
METHODS: Single bilateral icv-colchicine (15MUg/5MUl) was administered, followed
by drug treatment with clove oil (0.05ml/kg and 0.1ml/kg, ip), minocycline (25
and 50mg/kg, ip) and their combinations for a period of 21 days. Various
neurobehavioral parameters followed by biochemical, acetylcholinesterase (AChE)
level and mitochondrial respiratory enzyme complexes (I-IV) were assessed.
RESULTS: Colchicine icv administration significantly impaired cognitive
performance in Morris water maze (MWM) causes oxidative stress, raised AChE
level, caused neuroinflammation and mitochondrial dysfunction as compared to sham
treatment. Treatment with clove oil (0.05ml/kg and 0.1ml/kg) and minocycline (25
and 50mg/kg) alone significantly improved cognitive performance as evidenced by
reduced transfer latency and increased time spent in target quadrant (TSTQ) in
MWM task, reduced AChE activity, oxidative damage (reduced lipid peroxidation
levels, nitrite level and restored glutathione levels) and restored mitochondrial
respiratory enzyme complex (I-IV) activities as compared to icv-colchicine
treatment. Further, combinations of clove oil (0.1ml/kg) with minocycline
(50mg/kg) significantly modulate the neuroprotective effect of clove oil as
compared to their effect alone. CONCLUSION: The present study highlights that the
major neuroprotective effect of clove oil due to its mitochondrial restoring and
anti-oxidant properties along with a microglial inhibitory mechanism.
PMID- 27209362
TI - Targeting MicroRNAs Involved in the BDNF Signaling Impairment in
Neurodegenerative Diseases.
AB - Neurodegenerative diseases are becoming an ever-increasing problem in aging
populations. Low levels of brain-derived neurotrophic factor (BDNF) have
previously been associated with the pathogenesis of numerous neurodegenerative
diseases. Recently, microRNAs (miRNAs) have been proposed as potential novel
therapeutic targets for treating various diseases of the central nervous system
(CNS), and interestingly, few studies have reported several miRNAs that
downregulate the expression levels of BDNF. However, substantial challenges exist
when attempting to translate these findings into practical anti-miRNA
therapeutics, especially when the targets remain inside the CNS. Thus, in this
review, we summarize the specific molecular mechanisms by which several miRNAs
negatively modulate the expressions of BDNF, address the potential clinical
difficulties that can be faced during the development of anti-miRNA-based
therapeutics and propose strategies to overcome these challenges.
PMID- 27209364
TI - Has the Spanish economic crisis affected the duration of sickness absence
episodes?
AB - The global economic crisis has had particularly intense effects on the Spanish
labor market. We investigated whether the duration of non-work related sickness
absence (SA) episodes in salaried workers had experienced any changes before and
after the crisis started. This was a repeated cross-sectional analysis conducted
in a dynamic cohort in 2006 and 2010. Database was provided by eight mutual
insurance companies, covering 983,108 workers and 451,801 SA episodes.
Descriptive analysis and crude, bivariate and multivariate analyses using Cox
proportional hazards modeling were performed, to quantify the changes in duration
of SA episodes between 2006 and 2010, stratified by sex. There was a higher
number of episodes in 2010 for both sexes, but especially for women. Unadjusted
median duration in men was similar for both years, while for women it was shorter
in 2010. Final multivariate models show a greater risk of longer episode duration
for men in 2010 (HR 0.95; 95% CI, 0.95-0.95), but a shorter one for women (HR
1.07; 95% CI, 1.07-1.07). Once the economic crisis started affecting the Spanish
labor market, the number of SA episodes in women equalized with those in men.
There was a decrease of episodes in the youngest age groups, in the construction
and in temporary contracts. The relative ranking of leading diagnoses was similar
in both years with an increase in infectious, nervous system and respiratory
diseases and in mental disorder episodes for both sexes, but especially for
women. The risk of longer episode duration was greater in 2010 among men, but
smaller in women.
PMID- 27209365
TI - Gender, education and Russia's tobacco epidemic: A life-course approach.
AB - While a number of studies, based on cross-sectional data for Russia, have
documented strong increases in female smoking during the past two decades, the
analysis of longer-term trends in smoking prevalence is hampered by the lack of
representative data for the Soviet era. In this paper we create life-course
smoking histories based on retrospective data from the Russia Longitudinal
Monitoring Survey of HSE (RLMS-HSE) and the Global Adult Tobacco Survey (GATS)
which allow us to examine the dynamics of smoking patterns over the past 7
decades. We find that smoking rates differ most strongly by gender within all
cohorts, but that this differential has decreased over time, driven by increases
in female smoking and more recently by decreases in smoking among men. For both
genders we observe that the education gradient has become steeper over time, with
smoking rates having increased at a higher rate among those with the lowest
educational attainment. These findings suggest that the development of smoking in
Russia mirrors that described in the model of the tobacco epidemic and observed
in Western high-income countries.
PMID- 27209366
TI - Interpreting suffering from illness: The role of culture and repressive suffering
construal.
AB - RATIONALE: Mental and physical illnesses are among the most prominent forms of
suffering. Cultural worldviews provide tools for making sense of and coping with
suffering. In this research, we examine how culture influences both experts' and
laypeople's interpretation of suffering from illness. OBJECTIVE: We focus on one
type of interpretation of suffering- repressive suffering construal-an
interpretation that frames suffering both as the result of immorality on the part
of the sufferer and as having the function of maintaining social order by
curtailing deviance. We sought to test whether this type of suffering
interpretation is more common in cultural ecologies (e.g., urban vs. rural;
higher vs. lower status) traditionally associated with collectivist values.
METHODS: Study 1 used data from the General Social Survey to examine variation in
suffering interpretation in a representative sample of the U.S. POPULATION: Study
2 examined variation in suffering interpretation with a survey completed by a
subsample of Chinese health-care professionals. RESULTS: Study 1 found that U.S.
citizens living in a rural environment are more likely to interpret illnesses as
being the fault of the sufferer. Study 2 found that those from a lower-SES
background are more likely to interpret illnesses in a repressive fashion. In
these studies, family size mediates the effect of ecological conditions on RSC.
CONCLUSION: Our research highlights how ecological variables associated with
collectivism may bias both laypeople and professionals to interpret suffering
from illness in a more repressive way.
PMID- 27209368
TI - Steroid hormone 20-hydroxyecdysone promotes higher calcium mobilization to induce
apoptosis.
AB - Calcium ions are essential secondary messengers that regulate diverse cellular
processes including gene transcription, cell proliferation, and apoptosis. The
steroid hormone 20-hydroxyecdysone (20E) promotes programmed cell death during
insect metamorphosis, whereas juvenile hormone (JH) counteracts 20E activity to
prevent metamorphosis. Both 20E and JH can induce cellular calcium increase;
however, the mechanisms and physiological consequences resulting from calcium
increase caused by the two counteracting hormones are unclear. Here, using
Helicoverpa armigera epidermal cell line, we show that 20E via a G-protein
coupled receptor induced a major calcium rise in the cells, whereas JH via
receptor tyrosine kinase induced a minor calcium increase. The calcium release
activated calcium modulator 1 (Orai1) and transient receptor potential (TRP)
channels were necessary for 20E-induced rapid calcium influx. A higher calcium
level was maintained in a long time and more genes including Orai1 and TRP
channels showed elevated expression after the treatment of 20E than did after JH
treatment. Caspase3/7 activation, cell death and pro-apoptotic gene expression
were elicited by 20E induction, but not by JH. JH could repress 20E-induced
calcium influx, caspase3/7 activation and gene expression. Higher calcium levels
induced apoptosis. These results suggest that 20E and JH via different pathways
regulate calcium mobilization and homeostasis at different levels, thus inform
different gene expression and cellular responses.
PMID- 27209367
TI - Subcellular ROS imaging methods: Relevance for the study of calcium signaling.
AB - Recent advances in genetically encoded fluorescent probes have dramatically
increased the toolkit available for imaging the intracellular environment.
Perhaps the biggest improvements have been made in sensing specific reactive
oxygen species (ROS) and redox changes under physiological conditions. The new
generation of probes may be targeted to a wide range of subcellular environments.
By targeting such probes to compartments and organelle surfaces they may be
exposed to environments, which support local signal transduction and regulation.
The close apposition of the endoplasmic reticulum (ER) with mitochondria and
other organelles forms such a local environment where Ca(2+) dynamics are greatly
enhanced compared to the bulk cytosol. We describe here how newly developed
genetically encoded redox indicators (GERIs) might be used to monitor ROS and
probe their interaction with Ca(2+) at both global and local level.
PMID- 27209371
TI - Instantaneous signal attenuation method for analysis of PFG fractional
diffusions.
AB - An instantaneous signal attenuation (ISA) method for analyzing pulsed field
gradient (PFG) fractional diffusion (FD) has been developed, which is modified
from the propagator approach developed in 2001 by Lin et al. for analyzing PFG
normal diffusion. Both, the current ISA method and the propagator method have the
same fundamental basis that the total signal attenuation (SA) is the accumulation
of all the ISA, and the ISA is the average SA of the whole diffusion system at
each moment. However, the manner of calculating ISA is different. Unlike the use
of the instantaneous propagator in the propagator method, the current method
directly calculates ISA as A(K(t'),t'+dt')/A(K(t'),t'), where A(K(t'),t'+dt') and
A(K(t'),t') are the SA. This modification makes the current method applicable to
PFG FD as the instantaneous propagator may not be obtainable in FD. The ISA
method was applied to study PFG SA including the effect of finite gradient pulse
widths (FGPW) for free FD, restricted FD and the FD affected by a non-homogeneous
gradient field. The SA expressions were successfully obtained for all three types
of free FDs while other current methods still have difficulty in obtaining all of
them. The results from this method agree with reported results such as that
obtained by the effective phase shift diffusion equation (EPSDE) method. The M
Wright phase distribution approximation was also used to derive an SA expression
for time FD as a comparison, which agrees with ISA method. Additionally, the
continuous-time random walk (CTRW) simulation was performed to simulate the SA of
PFG FD, and the simulation results agree with the analytical results.
Particularly, the CTRW simulation results give good support to the analytical
results including FGPW effect for free FD and restricted time FD based on a
fractional derivative model where there have been no corresponding theoretical
reports to date. The theoretical SA expressions including FGPW obtained here such
as [Formula: see text] may be applied to analyze PFG FD in polymer or biological
systems with improved accuracy where SGP approximation cannot be satisfied. The
method can perhaps provide new insight to FD MRI and hence benefit the
development of diffusion biomarkers based on fractional derivative.
PMID- 27209370
TI - Multidimensional NMR inversion without Kronecker products: Multilinear inversion.
AB - Multidimensional NMR inversion using Kronecker products poses several challenges.
First, kernel compression is only possible when the kernel matrices are
separable, and in recent years, there has been an increasing interest in NMR
sequences with non-separable kernels. Second, in three or more dimensions, the
singular value decomposition is not unique; therefore kernel compression is not
well-defined for higher dimensions. Without kernel compression, the Kronecker
product yields matrices that require large amounts of memory, making the
inversion intractable for personal computers. Finally, incorporating arbitrary
regularization terms is not possible using the Lawson-Hanson (LH) or the Butler
Reeds-Dawson (BRD) algorithms. We develop a minimization-based inversion method
that circumvents the above problems by using multilinear forms to perform
multidimensional NMR inversion without using kernel compression or Kronecker
products. The new method is memory efficient, requiring less than 0.1% of the
memory required by the LH or BRD methods. It can also be extended to arbitrary
dimensions and adapted to include non-separable kernels, linear constraints, and
arbitrary regularization terms. Additionally, it is easy to implement because
only a cost function and its first derivative are required to perform the
inversion.
PMID- 27209369
TI - The clinical significance of the MIF homolog d-dopachrome tautomerase (MIF-2) and
its circulating receptor (sCD74) in burn.
AB - BACKGROUND: We reported earlier that the cytokine macrophage migration inhibitory
factor (MIF) is a potential biomarker in burn injury. In the present study, we
investigated the clinical significance of the newly discovered MIF family member
d-dopachrome tautomerase (DDT or MIF-2) and their common soluble receptor CD74
(sCD74) in severely burned patients. METHODS: DDT and sCD74 serum levels were
measured 20 severely burned patients and 20 controls. Serum levels were
correlated to the abbreviated burn severity index (ABSI) and total body surface
area (TBSA) followed by receiver operating characteristic (ROC) analysis. Data
were supported by gene expression dataset analysis of 31 burn patients and 28
healthy controls. RESULTS: CD74 and DDT were increased in burn patients.
Furthermore, CD74 and DDT also were elevated in septic non-survivors when
compared to survivors. Serum levels of DDT showed a positive correlation with the
ABSI and TBSA in the early stage after burn, and the predictive character of DDT
was strongest at 24h. Serum levels of CD74 only correlated with the ABSI 5 days
after injury. CONCLUSIONS: DDT may assist in the monitoring of clinical outcome
and prediction of sepsis during the early post-burn period. Soluble CD74 and MIF,
by contrast, have limited value as an early predictor of death due to their
delayed response to burn.
PMID- 27209373
TI - Self-Esteem of 8-14-Year-Old Children with Psychiatric Disorders: Disorder- and
Gender-Specific Effects.
AB - In this study, we investigated the relation between global and domain-specific
self-esteem and psychiatric disorders. A sample of 577 children aged 8-14 years
was recruited via psychiatric hospitals and from the general population. Parents
were given a diagnostic interview to assess children's psychiatric diagnoses
(current/past). Parents and children completed questionnaires on child symptoms.
Children completed a questionnaire on global and domain-specific self-esteem
(scales: scholastic competence, social acceptance, athletic performance and
physical appearance, global self-esteem). Self-esteem of children with current
psychiatric disorders was lower than that of healthy controls (eta p2 between
0.01 and 0.08). Concerning scholastic competence, social acceptance and global
self-esteem, children with past psychiatric disorders scored also lower than
healthy controls. Different current psychiatric disorders showed specific but
small effects on dimensions of self-esteem (beta between -0.08 and 0.19).
Moreover, we found a gender * group interaction, indicating that girls with
depressive and adjustment disorders were specifically impaired in their global
self-esteem and perception of their physical appearance. Findings might help
clinicians to focus on particular domains of self-esteem during the diagnostic
process and to define adequate treatment goals.
PMID- 27209372
TI - Resveratrol attenuates cortical neuron activity: roles of large conductance
calcium-activated potassium channels and voltage-gated sodium channels.
AB - BACKGROUND: Resveratrol, a phytoalexin found in grapes and red wine, exhibits
diverse pharmacological activities. However, relatively little is known about
whether resveratrol modulates the ion channels in cortical neurons. The large
conductance calcium-activated potassium channels (BKCa) and voltage-gated sodium
channels were expressed in cortical neurons and play important roles in
regulation of neuronal excitability. The present study aimed to determine the
effects of resveratrol on BKCa currents and voltage-gated sodium currents in
cortical neurons. RESULTS: Resveratrol concentration-dependently increased the
current amplitude and the opening activity of BKCa channels, but suppressed the
amplitude of voltage-gated sodium currents. Similar to the BKCa channel opener
NS1619, resveratrol decreased the firing rate of action potentials. In addition,
the enhancing effects of BKCa channel blockers tetraethylammonium (TEA) and
paxilline on action potential firing were sensitive to resveratrol. Our results
indicated that the attenuation of action potential firing rate by resveratrol
might be mediated through opening the BKCa channels and closing the voltage-gated
sodium channels. CONCLUSIONS: As BKCa channels and sodium channels are critical
molecular determinants for seizure generation, our findings suggest that
regulation of these two channels in cortical neurons probably makes a
considerable contribution to the antiseizure activity of resveratrol.
PMID- 27209374
TI - Predicting Depression and Anxiety from Oppositional Defiant Disorder Symptoms in
Elementary School-Age Girls and Boys with Conduct Problems.
AB - This study investigated the relationship between the three DSM-5 categories of
oppositional defiant disorder (ODD) symptoms (irritable mood, defiant behavior,
vindictive behavior) and anxiety/depression in girls and boys with conduct
problems (CP) while controlling for comorbid child psychopathology at baseline.
Data were drawn from an ongoing longitudinal study of 6- to 9-year-old French
Canadian children (N = 276; 40.8 % girls) receiving special educational services
for CP at school and followed for 2 years. Using linear regression analysis, the
results showed that irritable mood symptoms predicted a higher level of
depression and anxiety in girls and boys 2 years later, whereas the behavioral
symptoms of ODD (e.g., defiant, vindictive symptoms) were linked to lower
depression scores. The contribution of ODD symptoms to these predictions, while
statistically significant, remained modest. The usefulness of ODD irritable
symptoms as a marker for identifying girls and boys with CP who are more
vulnerable to developing internalizing problems is discussed.
PMID- 27209375
TI - Gas Exchange Models for a Flexible Insect Tracheal System.
AB - In this paper two models for movement of respiratory gases in the insect trachea
are presented. One model considers the tracheal system as a single flexible
compartment while the other model considers the trachea as a single flexible
compartment with gas exchange. This work represents an extension of Ben-Tal's
work on compartmental gas exchange in human lungs and is applied to the insect
tracheal system. The purpose of the work is to study nonlinear phenomena seen in
the insect respiratory system. It is assumed that the flow inside the trachea is
laminar, and that the air inside the chamber behaves as an ideal gas. Further,
with the isothermal assumption, the expressions for the tracheal partial
pressures of oxygen and carbon dioxide, rate of volume change, and the rates of
change of oxygen concentration and carbon dioxide concentration are derived. The
effects of some flow parameters such as diffusion capacities, reaction rates and
air concentrations on net flow are studied. Numerical simulations of the tracheal
flow characteristics are performed. The models developed provide a mathematical
framework to further investigate gas exchange in insects.
PMID- 27209376
TI - Monthly reference change value of cardiac troponin in hemodialysis patients as a
useful tool for long-term cardiovascular management.
AB - PURPOSE OF RESEARCH: Circulating cardiac troponin (cTn) has been identified as a
risk factor for cardiovascular and overall mortality in patients undergoing
hemodialysis. However, its interpretation remains difficult due to the high
prevalence of patients with cTn level beyond the 99th percentile. Determining the
cTn reference change value (RCV) may help in assessing a clinically significant
change of cTn during regular follow-up of patients. We aimed to determine the
long-term RCV of cTn in such patients and to calculate the perdialytic reduction
rate of cTn. DESIGN AND METHODS: To calculate RCV, high-sensitivity (hs)-cTnT
(Roche), hs-cTnI (Abbott), and cTnI-ultra (Siemens) were determined every month
before the midweek dialysis session over a 3-month period in 36 stable
hemodialysis patients. cTn was also measured after the midweek dialysis session
to calculate the cTn removal rate. RESULTS: The mean RCV (95% confidence
interval) was 22% (18-26) for hs-cTnT versus 53% (34-73) for hs-cTnI versus 65%
(45-84) for cTnI-ultra. Log-normal RCV (%) was -19/+25 for hs-cTnT, -33/+96 for
hs-cTnI, and -39/+115 for cTnI-ultra. The index of individuality was <0.6
regardless of the cTn assay used. A significantly greater reduction rate was
observed for hs-cTnT (48%) than for hs-cTnI (30%, p<0.001) and cTnI-ultra (29%,
p<0.05). CONCLUSIONS: These results underline the need to use the RCV approach
rather than cutoff points to identify the critical change in long-term serial cTn
levels. In addition, RCV should be determined for each available assay due to
significant differences between assays. Removal of cTn during hemodialysis
sessions should also be considered if acute coronary syndrome is suspected during
a session.
PMID- 27209377
TI - Thyroid gland invasion in advanced squamous cell carcinoma of the larynx and
hypopharynx.
AB - INTRODUCTION: Squamous cell carcinoma of the larynx and hypopharynx has the
potential to invade the thyroid gland. Despite this risk, the proposition of
either partial or total thyroidectomy as part of the surgical treatment of all
such cases remains controversial. OBJECTIVES: To evaluate the frequency of
invasion of the thyroid gland in patients with advanced laryngeal or
hypopharyngeal squamous cell carcinoma submitted to total laryngectomy or
pharyngolaryngectomy and thyroidectomy; to determine whether clinic-pathological
characteristics can predict glandular involvement. METHODS: A retrospective case
series with chart review, from January 1998 to July 2013, was undertaken in a
tertiary care university medical center. An inception cohort of 83 patients with
larynx/hypopharynx squamous cell carcinoma was considered. All patients had
advanced stage disease (clinically T3-T4) and underwent total laryngectomy or
total pharyngolaryngectomy in association with thyroidectomy. Adjuvant therapy
was indicated when tumor or neck conditions required. Frequency of thyroid
cartilage invasion was calculated; univariate and multivariate analysis of
demographic, clinical and pathological characteristics associated with cartilage
invasion were performed. RESULTS: The overall frequency of invasion of the
thyroid gland was 18.1%. Glandular involvement was associated with invasion of
the following structures: anterior commissure (odds ratio=5.13; 95% confidence
interval 1.07-24.5), subglottis (odds ratio=12.44; 95% confidence interval 1.55
100.00) and cricoid cartilage (odds ratio=15.95; 95% confidence interval 4.23
60.11). CONCLUSIONS: Invasion of the thyroid gland is uncommon in the context of
laryngopharyngeal squamous cell carcinoma. Clinical and pathological features
such as invasion of the anterior commissure, subglottis and cricoid cartilage are
more associated with glandular invasion.
PMID- 27209378
TI - The effects of sildenafil citrate on feto-placental development and haemodynamics
in a rabbit model of intrauterine growth restriction.
AB - The present study evaluated the effectiveness of sildenafil citrate (SC) to
improve placental and fetal growth in a diet-induced rabbit model of intrauterine
growth restriction (IUGR). Pregnant rabbits were fed either ad libitum (Group C)
or restricted to 50% of dietary requirements (Group R) or restricted and treated
with SC (Group SC). The treatment with SC improved placental development by
increasing vascularity and vessel hypertrophy in the decidua. The assessment of
feto-placental haemodynamics showed higher resistance and pulsatility indices at
the middle cerebral artery (MCA) in fetuses treated with SC when compared with
Group R, which had increased systolic peak and time-averaged mean velocities at
the MCA. Furthermore, fetuses in the SC group had significantly higher biparietal
and thoracic diameters and longer crown-rump lengths than fetuses in Group R.
Hence, the SC group had a reduced IUGR rate and a higher kit size at birth
compared with Group R. In conclusion, SC may provide potential benefits in
pregnancies with placental insufficiency and IUGR, partially counteracting the
negative effects of food restriction on placental development and fetal growth.
However, the present study also found evidence of a possible blood overflow in
the brain that warrants further investigation.
PMID- 27209379
TI - Raising awareness of assay compatibility with heparinized plasma.
PMID- 27209380
TI - Red blood cell distribution: an index without additional cost in estimating the
prognosis of acute pancreatitis.
PMID- 27209381
TI - Phylogenetic Relationship and Secondary Metabolite Production of Marine Fungi
Producing the Cyclodepsipeptides Scopularide A and B.
AB - Strains originally affiliated to the genera Scopulariopsis and Microascus were
compared regarding the scopularide production in order to investigate their
ability to produce the cyclodepsipeptides and select the best suited candidate
for subsequent optimisation processes. Phylogenetic calculations using available
sequences of the genera Scopulariopsis and Microascus revealed that most of the
sequences clustered within two closely related groups, comprising mainly
Scopulariopsis/Microascus brevicaulis and Microascus sp., respectively.
Interestingly, high yields of scopularide A were exhibited by three strains
belonging to S./M. brevicaulis, while lower titres were observed for two strains
of Microascus sp. Close phylogenetic distances within and between the two groups
supported the proposed combination of both genera into one holomorph group. Short
phylogenetic distances did not allow a clear affiliation at the species level on
the basis of ribosomal DNA sequences, especially for Microascus sp. strains.
Additionally, several sequences originating from strains assigned to
Scopulariopsis exhibited a polyphyletic nature. The production pattern is in
accordance with the phylogenetic position of the strains and significant
production of scopularide B could only be observed for the S./M. brevicaulis
strain LF580. Thus, the phylogenetic position marks the biotechnologically
interesting strains and matters in optimisation strategies. In conclusion, the
ability of all five strains to produce at least one of the scopularides suggests
a distribution of the responsible gene cluster within the holomorph group.
Setting the focus on the production of the cyclodepsipeptides, strain LF580
represents the best candidate for further strain and process optimisation.
PMID- 27209382
TI - Engineering of acidic O/W emulsions with pectin.
AB - Pectins with distinct molecular design were isolated by aqueous extraction at pH
2.0 or 6.0 and were examined in terms of their formation and stabilisation
capacity of model n-alkane-in-water emulsions at acidic pH (pH 2.0). The
properties and stability of the resulting emulsions were examined by means of
droplet size distribution analysis, Lifshitz-Slyozov-Wagner modelling, bulk
rheology, interfacial composition analysis, large-amplitude oscillatory surface
dilatational rheology, electrokinetic analysis and fluorescence microscopy. Both
pectin preparations were able to emulsify alkanes in water but exhibited distinct
ageing characteristics. Emulsions prepared using pectin isolated at pH 6.0 were
remarkably stable with respect to droplet growth after thirty days of ageing,
while those prepared with pectin isolated at pH 2.0 destabilised rapidly.
Examination of chemical composition of interfacial layers indicated multi-layered
adsorption of pectins at the oil-water interface. The higher long-term stability
of emulsions prepared with pectin isolated at high pH is attributed to
mechanically stronger interfaces, the highly branched nature and the low
hydrodynamic volume of the chains that result in effective steric stabilisation
whereas acetyl and methyl contents do not contribute to the long-term stability.
The present work shows that it is possible by tailoring the fine structure of
pectin to engineer emulsions that operate in acidic environments.
PMID- 27209383
TI - Preparation of complementary glycosylated hyperbranched polymer/poly(ethylene
glycol) brushes and their selective interactions with hepatocytes.
AB - Selective cell adhesion and migration, which mimics the natural biological events
in vivo, is very important for the right repair of damaged tissues. In this
study, glycosylated hyperbranched polymers (LA-HPMA) were synthesized, and were
grafted on glass slide through dopamine deposition with different densities
adjusted by co-grafting of poly(ethylene glycol) (PEG). The LA-HPMA and PEG
molecular brushes were characterized by X-ray photoelectron spectroscopy (XPS),
quartz crystal microbalance with dissipation (QCM-d) and ellipsometry. The
adhesion of human hepatoma (HepG2) cells was promoted on the surface of a higher
LA-HPMA density, and the migration rate was accelerated from 6.4MUm/h on PEG
surface to 12.7MUm/h on 75% LA-HPMA surface. By contrast, the density and
spreading area of mouse embryonic fibroblast (NIH3T3) cells were not
significantly influenced by the LA-HPMA density, and the migration rate did not
change significantly on all types of surfaces either. Therefore, the specific
interactions of carbohydrate-protein can be used to modulate cell behaviors in
vitro, for example the selective adhesion and migration of HepG2 cells.
PMID- 27209384
TI - Annonaceous acetogenins (ACGs) nanosuspensions based on a self-assembly
stabilizer and the significantly improved anti-tumor efficacy.
AB - Annonaceous acetogenins (ACGs) have exhibited antitumor activity against various
cancers. However, these substances' poor solubility has limited clinical
applications. In this study, hydroxypropyl-beta-cyclodextrin (HP-beta-CD) and
soybean lecithin (SPC) were self-assembled into an amphiphilic complex. ACGs
nanosuspensions (ACGs-NSps) were prepared with a mean particle size of 144.4nm, a
zeta potential of -22.9mV and a high drug payload of 46.17% using this complex as
stabilizer. The ACGs-NSps demonstrated sustained release in vitro and good
stability in plasma as well as simulated gastrointestinal fluid, and met the
demand of both intravenous injection and oral administration. The ACGs-NSps
demonstrated significantly increased cytotoxicity against Hela and HepG2 cancer
cell lines compared to ACGs in solution (in vitro cytotoxicity assay). An in vivo
study with H22-tumor bearing mice demonstrated that nanosuspensions significantly
improved ACGs' antitumor activity. When orally administered, ACGs-NSps achieved a
similar tumor inhibition rate at 1/10th the dose of ACGs in an oil solution
(47.94% vs. 49.74%, p>0.05). Improved therapeutic efficacy was further achieved
when the ACGs-NSps were intravenously injected into mice (70.31%). With the help
of nanosuspension technology, ACGs may be an effective antitumor drug for clinic
use.
PMID- 27209385
TI - Impact of thermal annealing on wettability and antifouling characteristics of
alginate poly-l-lysine polyelectrolyte multilayer films.
AB - Polyelectrolyte multilayers (PEMs) of poly-l-lysine (PLL) and alginic acid sodium
salt (Alg) are fabricated applying the layer by layer technique and annealed at a
constant temperature; 37, 50 and 80 degrees C, for 72h. Atomic force microscopy
reveals changes in the topography of the PEM, which is changing from a fibrillar
to a smooth surface. Advancing contact angle in water varies from 36 degrees
before annealing to 93 degrees , 77 degrees and 95 degrees after annealing at
37, 50 and 80 degrees C, respectively. Surface energy changes after annealing
were calculated from contact angle measurements performed with organic solvents.
Quartz crystal microbalance with dissipation, contact angle and fluorescence
spectroscopy measurements show a significant decrease in the adsorption of the
bovine serum albumin protein to the PEMs after annealing. Changes in the physical
properties of the PEMs are interpreted as a result of the reorganization of the
polyelectrolytes in the PEMs from a layered structure into complexes where the
interaction of polycations and polyanions is enhanced. This work proposes a
simple method to endow bio-PEMs with antifouling characteristics and tune their
wettability.
PMID- 27209386
TI - Probing the interaction induced conformation transitions in acid phosphatase with
cobalt ferrite nanoparticles: Relation to inhibition and bio-activity of
Chlorella vulgaris acid phosphatase.
AB - The present study explored the interaction and kinetics of cobalt ferrite
nanoparticles (NPs) with acid phosphatase (ACP) by utilizing diverse range of
spectroscopic techniques. The results corroborate, the CoFe2O4 NPs cause
fluorescence quenching in ACP by static quenching mechanism. The negative values
of van't Hoff thermodynamic expressions (DeltaH=-0.3293Jmol(-1)K(-1) and DeltaG=
3.960kJmol(-1)K(-1)) corroborate the spontaneity and exothermic nature of static
quenching. The positive value of DeltaS (13.2893Jmol(-1)K(-1)) corroborate that
major contributors of higher and stronger binding affinity among CoFe2O4 NPs with
ACP were electrostatic. In addition, FTIR, UV-CD, UV-vis spectroscopy and three
dimensional fluorescence (3D) techniques confirmed that CoFe2O4 NPs binding
induces microenvironment perturbations leading to secondary and tertiary
conformation changes in ACP to a great extent. Furthermore, synchronous
fluorescence spectroscopy (SFS) affirmed the comparatively significant changes in
microenvironment around tryptophan (Trp) residue by CoFe2O4 NPs. The effect of
CoFe2O4 NPs on the activation kinetics of ACP was further examined in Chlorella
vulgaris. Apparent Michaelis constant (Km) values of 0.57 and 26.5mM with
activation energy values of 0.538 and 3.428kJmol(-1) were determined without and
with 200MUM CoFe2O4 NPs. Apparent Vmax value of -7Umml(-1) corroborate that
enzyme active sites were completely captured by the NPs leaving no space for the
substrate. The results confirmed that CoFe2O4 NPs ceased the activity by
unfolding of ACP enzyme. This suggests CoFe2O4 NPs perturbed the enzyme activity
by transitions in conformation and hence the metabolic activity of ACP. This
study provides the pavement for novel and simple approach of using sensitive
biomarkers for sensing NPs in environment.
PMID- 27209388
TI - Discovering New Transmission-Blocking Antimalarial Compounds: Challenges and
Opportunities.
AB - The ability to target human-mosquito parasite transmission challenges global
malaria elimination. However, it is not obvious what a transmission-blocking drug
will look like; should it target only parasite transmission stages; be combined
with a partner drug killing the pathogenic asexual stages; or kill both the
sexual and asexual blood stages, preferably displaying polypharmacology? The
development of transmission-blocking antimalarials requires objective analyses of
the current strategies. Here, pertinent issues and questions regarding the target
candidate profile of a transmission-blocking compound, and its role in malaria
elimination strategies, are highlighted and novel perspectives proposed. The
essential role of a test cascade that integrates screening and validation
strategies to identify next-generation transmission-blocking antimalarials is
emphasised.
PMID- 27209387
TI - Listening to the calls of the wild: The role of experience in linking language
and cognition in young infants.
AB - Well before they understand their first words, infants have begun to link
language and cognition. This link is initially broad: At 3months, listening to
both human and nonhuman primate vocalizations supports infants' object
categorization, a building block of cognition. But by 6months, the link has
narrowed: Only human vocalizations support categorization. What mechanisms
underlie this rapid tuning process? Here, we document the crucial role of
infants' experience as infants tune this link to cognition. Merely exposing
infants to nonhuman primate vocalizations permits them to preserve, rather than
sever, the link between these signals and categorization. Exposing infants to
backward speech-a signal that fails to support categorization in the first year
of life-does not have this advantage. This new evidence illuminates the central
role of early experience as infants specify which signals, from an initially
broad set, they will continue to link to core cognitive capacities.
PMID- 27209389
TI - Conserved effects of salinity acclimation on thermal tolerance and hsp70
expression in divergent populations of threespine stickleback (Gasterosteus
aculeatus).
AB - In natural environments, organisms must cope with complex combinations of abiotic
stressors. Here, we use threespine stickleback (Gasterosteus aculeatus) to
examine how changes in salinity affect tolerance of high temperatures. Threespine
stickleback inhabit a range of environments that vary in both salinity and
thermal stability making this species an excellent system for investigating
interacting stressors. We examined the effects of environmental salinity on
maximum thermal tolerance (CTMax) and 70 kDa heat shock protein (hsp70) gene
expression using divergent stickleback ecotypes from marine and freshwater
habitats. In both ecotypes, the CTMax of fish acclimated to 20 ppt was
significantly higher compared to fish acclimated to 2 ppt. The effect of salinity
acclimation on the expression of hsp70-1 and hsp70-2 was similar in both the
marine and freshwater stickleback ecotype. There were differences in the
expression profiles of hsp70-1 and hsp70-2 during heat shock, with hsp70-2 being
induced earlier and to a higher level compared to hsp70-1. These data suggest
that the two hsp70 isoforms may have functionally different roles in the heat
shock response. Lastly, acute salinity challenge coupled with heat shock revealed
that the osmoregulatory demands experienced during the heat shock response have a
larger effect on the hsp70 expression profile than does the acclimation salinity.
PMID- 27209391
TI - Polyaniline-modified 3D-flower-like molybdenum disulfide composite for efficient
adsorption/photocatalytic reduction of Cr(VI).
AB - Polyaniline (PANI) was modified onto 3D flower-like molybdenum disulfide (MoS2)
to prepare a novel organic-inorganic hybrid material, PANI@MoS2. PANI@MoS2 was
characterized by scanning and transmission electron microscopy, Fourier transform
infrared spectroscopy, X-ray diffraction and thermogravimetric analysis. The
results indicate that PANI was modified onto MoS2. PANI@MoS2 was applied as an
adsorbent to remove Cr(VI) from aqueous solutions, and the adsorption isotherms
fit well to the Langmuir model; the maximum removal capacity of Cr(VI) by
PANI@MoS2 was 526.3 and 623.2mg/g at pH 3.0 and 1.5, respectively. PANI@MoS2
exhibited an enhanced removal capacity of Cr(VI) in comparison with bare MoS2 and
other adsorbents. The adsorption of Cr(VI) on PANI@MoS2 might be attributed to
the complexation between the amine and imine groups on the surface of PANI@MoS2
with Cr(VI). This study implies that the hybrid material PANI@MoS2 is a potential
adsorbent for Cr(VI) removal from large volumes of aqueous solutions.
PMID- 27209390
TI - Modulatory effects on Drosophila larva hearts: room temperature, acute and
chronic cold stress.
AB - Ectothermic animals are susceptible to temperature changes such as cold shock
with seasons. To survive through a cold shock or season, ectotherms have
developed unique strategies. Our interest is focusing on the modulation of
physiological functions during cold shock and prolonged cold exposure in the
fruit fly. We use Drosophila melanogaster as a model system to investigate
cardiac function in response to modulators (5-HT-serotonin, Ach-acetylcholine, OA
octopamine, DA-dopamine and a cocktail of modulators) in acute cold shock and
chronic cold shock conditions. Semi-intact larvae are used to provide direct
access to the modulators of known concentration in a defined saline. The results
show that 10 uM 5HT is the only modulator which maintains heart rate for larva
raised at 21 degrees C and then exposed to acute cold shock (10 degrees C). The
modulators 1 uM OA, 10 uM 5HT, 1 mM Ach, 10 uM Ach and a cocktail of modulators
(at 10 uM) increased the heart rate significantly in larvae which were cold
conditioned (10 degrees C for 10 days). HPLC analysis indicated both OA and 5-HT
decreased in chronic cold conditioning. The larvae maintain heart function in the
cold which may be contributed by low circulating levels of modulators. The larval
heart responds better to 5-HT, OA, and Ach in conditioned cold than for acute
cold, suggesting some acclimation to cold.
PMID- 27209392
TI - Bismuth oxychloride modified titanium phosphate nanoplates: A new p-n type
heterostructured photocatalyst with high activity for the degradation of
different kinds of organic pollutants.
AB - In this work, BiOCl modified titanium phosphate nanoplates (BiOCl/TP) composite
photocatalysts with p-n heterojunctions were prepared by a in-situ growth method.
The morphology, crystal structure and optical properties of the prepared samples
were characterized by Scanning Electron Microscopy (SEM), Transmission Electron
Microscopy (TEM), X-ray diffraction (XRD), UV-vis diffuse reflectance
spectrometry (DRS). Rhodamine B (RhB), reactive brilliant Red X-3B (X-3B),
methylene blue (MB), ciprofloxacin (CIP) and phenol were used to investigate the
photocatalytic performance of the prepared samples under ultraviolet light
irradiation. Results showed that the BiOCl/TP exhibited much higher activity for
the degradation of all these model organic pollutants than pure TP. The mechanism
for the enhancement of the photocatalytic performance was established with the
help of the results of photocurrent measurements and Photoluminescence spectra.
The results illustrated that the enhanced activity could be attributed to the
formation of p-n heterojunctions between p-type BiOCl and n-type titanium
phosphate, which effectively suppressed the recombination of photo-induced
electron-hole pairs. Furthermore, the possible photocatalytic mechanisms on the
degradation of the organic pollutants were also proposed.
PMID- 27209394
TI - Highly flexible NiCo2O4/CNTs doped carbon nanofibers for CO2 adsorption and
supercapacitor electrodes.
AB - Controllable synthesis of carbon nanofibers (CNFs) with hierarchical porosity and
high flexibility are extremely desirable for CO2 adsorption and energy storage
applications. Herein, we report a nickel cobaltite/carbon nanotubes doped CNFs
(NiCo2O4/CNTs CNFs) mesoporous membrane that shows well-developed flexibility,
tailored pore structure, hydrophobic character, and high stability. Ascribed to
these unique features, NiCo2O4/CNTs CNFs membrane shows high CO2 capture of
1.54mmol/g at 25 degrees C and 1.0bar, and electrochemical measurements for
supercapacitors exhibit good performance with specific capacitances of 220F/g (in
1M KOH) at a current density of 1A/g. The successful synthesis of such hybrid
membrane provides new insight into development of various multifunctional
applications.
PMID- 27209393
TI - Processing and size range separation of pristine and magnetic poly(l-lactic acid)
based microspheres for biomedical applications.
AB - Biodegradable poly(l-lactic acid) (PLLA) and PLLA/CoFe2O4 magnetic microspheres
with average sizes ranging between 0.16-3.9MUm and 0.8-2.2MUm, respectively, were
obtained by an oil-in-water emulsion method using poly(vinyl alcohol) (PVA)
solution as the emulsifier agent. The separation of the microspheres in different
size ranges was then performed by centrifugation and the colloidal stability
assessed at different pH values. Neat PLLA spheres are more stable in alkaline
environments when compared to magnetic microspheres, both types being stable for
pHs higher than 4, resulting in a colloidal suspension. On the other hand, in
acidic environments the microspheres tend to form aggregates. The neat PLLA
microspheres show a degree of crystallinity of 40% whereas the composite ones are
nearly amorphous (17%). Finally, the biocompatibility was assessed by cell
viability studies with MC3T3-E1 pre-osteoblast cells.
PMID- 27209395
TI - Combinatorial localized dissolution analysis: Application to acid-induced
dissolution of dental enamel and the effect of surface treatments.
AB - A combination of scanning electrochemical cell microscopy (SECCM) and atomic
force microscopy (AFM) is used to quantitatively study the acid-induced
dissolution of dental enamel. A micron-scale liquid meniscus formed at the end of
a dual barrelled pipette, which constitutes the SECCM probe, is brought into
contact with the enamel surface for a defined period. Dissolution occurs at the
interface of the meniscus and the enamel surface, under conditions of well
defined mass transport, creating etch pits that are then analysed via AFM. This
technique is applied to bovine dental enamel, and the effect of various
treatments of the enamel surface on acid dissolution (1mM HNO3) is studied. The
treatments investigated are zinc ions, fluoride ions and the two combined. A
finite element method (FEM) simulation of SECCM mass transport and interfacial
reactivity, allows the intrinsic rate constant for acid-induced dissolution to be
quantitatively determined. The dissolution of enamel, in terms of Ca(2+) flux (
[Formula: see text] ), is first order with respect to the interfacial proton
concentration and given by the following rate law: [Formula: see text] , with
k0=0.099+/-0.008cms(-1). Treating the enamel with either fluoride or zinc ions
slows the dissolution rate, although in this model system the partly protective
barrier only extends around 10-20nm into the enamel surface, so that after a
period of a few seconds dissolution of modified surfaces tends towards that of
native enamel. A combination of both treatments exhibits the greatest protection
to the enamel surface, but the effect is again transient.
PMID- 27209396
TI - Rapid and efficient removal of fluoride ions from aqueous solution using a
polypyrrole coated hydrous tin oxide nanocomposite.
AB - Polypyrrole/hydrous tin oxide nanocomposites (PPy/HSnO NC 1, 2, 3, 4 and 5) were
synthesized through encapsulating HSnO by the PPy via an in situ polymerization
for fluoride removal. The optimized adsorbent i.e. PPy/HSnO NC 3 was
characterized using FE-SEM, HR-TEM, ATR-FTIR, XRD, BET, TGA and zeta sizer.
Microscopic images revealed the encapsulation of HSnO by precipitating PPy during
polymerization. The FTIR and XRD studies confirmed the presence of both
constituents. The BET surface area and pHpzc of the adsorbent were estimated to
be 65.758m(2)/g and 7.6, respectively. The fluoride adsorption followed pseudo
second-order model and was commendably rapid. The monolayer adsorption capacity
was found to be 26.16-28.99mg/g at pH 6.5+/-0.1. The thermodynamic parameters
indicated the sorption of F(-) was spontaneous, endothermic and that
physisorption occurred. The calculated activation energy (Ea~20.05kJ/mol)
provided further evidence of a physisorption mechanism. Moreover, the adsorbent
performed very well over a considerably wide pH range of 3.5-8.5 and in the
presence of other co-existing ions. The regeneration of the F(-) laden PPy/HSnO
NC 3 showed a high desorption efficiency of 95.81% up to 3 cycles. Ground water
tested results also demonstrate the potential utility of the PPy/HSnO NC as an
effective adsorbent.
PMID- 27209397
TI - Modeling two-rate adsorption kinetics: Two-site, two-species, bilayer and
rearrangement adsorption processes.
AB - The adsorption kinetics of many systems show apparent two-rate processes, where
there appears to be resolved fast and slow adsorption steps. Such non-standard
adsorption processes cannot be accounted for by conventional modeling methods,
motivating new approaches. In this work, we present four different models that
can account for two-rate adsorption and are based upon physically realistic
processes - two adsorbing species, two surface sites having different energies,
bilayer formation and molecular rearrangement modes. Each model is tested using a
range of conditions, and the characteristic behavior is explored and compared. In
these models, the effects of mass transport and bulk concentration are also
accounted for, making them applicable in systems which are transport-limited or
attachment-limited, or intermediate between the two. The applicability of these
models is demonstrated by fitting exemplar experimental data for each of the four
models, selecting the model on the basis of the known physical behavior of the
adsorption kinetics. These models can be applied in a wide range of systems, from
stagnant adsorption in large volume water treatment to highly dynamic flow
conditions relevant to printing, coating and processing applications.
PMID- 27209398
TI - Facile fabrication of novel porous graphitic carbon nitride/copper sulfide
nanocomposites with enhanced visible light driven photocatalytic performance.
AB - In this work, a novel organic-inorganic heterostructured photocatalyst: porous
graphitic carbon nitride (g-C3N4) hybrid with copper sulfide (CuS) had been
synthesized via a precipitation-deposition method at low temperature for the
first time. UV-vis spectroscopy revealed the porous g-C3N4/CuS nanocomposites
showed a strong and broad visible light absorption. Furthermore, the g-C3N4/CuS
nanocomposites showed higher photocatalytic activity in the photodegradation of
various organic dyes than that of pure g-C3N4 and CuS, and the selected sample of
g-C3N4/CuS-2 exhibited the best photocatalytic activity under visible light. The
good photocatalytic activity could be ascribed to the matching of the g-C3N4 and
CuS band gap energies. Besides, photoluminescent spectra and photoelectrochemical
measurements also proved that the CuS/g-C3N4 could greatly enhance the charge
generation and suppress the charge recombination of photogenerated carriers.
According to the experimental result, a possible photocatalytic mechanism has
been proposed. Due to the high stability, the porous g-C3N4/CuS could be applied
in the field of environmental remediation. Our work highlights that coupling
semiconductors with well-matched band energies provides a facile way to improve
the photocatalytic activity.
PMID- 27209399
TI - Selective removal of Cr(VI) from aqueous solution by polypyrrole/2,5
diaminobenzene sulfonic acid composite.
AB - A polypyrrole/2,5-diaminobenzenesulfonic acid (PPy/DABSA) composite, synthesised
by the in situ oxidative polymerization of pyrrole in the presence of DABSA, was
studied as an adsorbent for the removal of Cr(VI) from aqueous solution. The
structure and morphology of the composite were investigated by ATR-FTIR, FE-SEM,
EDX, TGA, XRD and XPS studies. The adsorption of Cr(VI) by PPy/DABSA composite
was highly pH dependent and optimum removal was achieved at pH 2. Adsorption of
Cr(VI) was confirmed by EDX and XPS studies. The isotherm data fitted the linear
Langmuir model well, with a maximum adsorption capacity of 303mg/g at 25 degrees
C. Thermodynamic parameters (DeltaG degrees , DeltaH degrees and DeltaS degrees
) were calculated using isotherm data and confirmed that the adsorption process
was spontaneous and endothermic. Adsorption kinetics was best described by the
pseudo-second-order model. The activation energy of the adsorption process
suggested that Cr(VI) was chemisorbed by PPy/DABSA composite. PPy/DABSA composite
could be used for three consecutive adsorption-desorption cycles without loss of
its original adsorption capacity. Highly selective removal of Cr(VI) was observed
even when co-existing ions such as Cu(2+), Zn(2+), Ni(2+), Cl(-), SO4(2)(-) and
NO3(-) were present in the solution. In summary, the potential of PPy/DABSA
composite for remediating industrial wastewater contaminated by Cr(VI) has been
demonstrated.
PMID- 27209400
TI - High-resolution 3D imaging of osteocytes and computational modelling in
mechanobiology: insights on bone development, ageing, health and disease.
AB - Osteocytes are involved in mechanosensation and mechanotransduction in bone and
hence, are key to bone adaptation in response to development, ageing and disease.
Thus, detailed knowledge of the three-dimensional (3D) structure of the osteocyte
network (ON) and the surrounding lacuno-canalicular network (LCN) is essential.
Enhanced understanding of the ON&LCN will contribute to a better understanding of
bone mechanics on cellular and sub-cellular scales, for instance through improved
computational models of bone mechanotransduction. Until now, the location of the
ON within the hard bone matrix and the sub-um dimensions of the ON&LCN have posed
significant challenges for 3D imaging. This review identifies relevant
microstructural phenotypes of the ON&LCN in health and disease and summarises how
light microscopy, electron microscopy and X-ray imaging techniques have been used
in studies of osteocyte anatomy, pathology and mechanobiology to date. In this
review, we assess the requirements for ON&LCN imaging and examine the state of
the art in the fields of imaging and computational modelling as well as recent
advances in high-resolution 3D imaging. Suggestions for future investigations
using volume electron microscopy are indicated and we present new data on the
ON&LCN using serial block-face scanning electron microscopy. A correlative
approach using these high-resolution 3D imaging techniques in conjunction with in
silico modelling in bone mechanobiology will increase understanding of osteocyte
function and, ultimately, lead to improved pathways for diagnosis and treatment
of bone diseases such as osteoporosis.
PMID- 27209401
TI - Predictors of poor outcome after carotid intervention.
AB - BACKGROUND: A variety of patient factors are known to adversely impact outcomes
after carotid endarterectomy (CEA) or carotid artery stenting (CAS). However,
their specific impact on complications and mortality and how they differ between
CEA and CAS is unknown. The purpose of this study is to identify patient and
hospital factors that adversely impact outcomes. METHODS: Patients who underwent
CEA or CAS between 1998 and 2012 (N = 1,756,445) were identified using the Agency
for Healthcare Research and Quality National Inpatient Sample and State
Ambulatory Services Databases. A multivariate analysis was completed to evaluate
the impact of demographics, patient factors, type of symptoms (transient ischemic
attack or cerebrovascular accident), volume of cases (3 per year vs 1-2
interventions), and interventions upon outcomes, perioperative complications
(stroke, myocardial infarction, and bleeding), duration of stay, inpatient
mortality, and cost. Significant factors were then used as part of a multivariate
regression analysis to determine odds ratios. A subgroup analysis using
propensity matching evaluating 1:1 risk-matched asymptomatic and symptomatic
patients was completed. Patient cohorts were matched on the basis of Charlson
scores. RESULTS: Over the study period a total of 1,583,614 asymptomatic CEA,
7317 asymptomatic CAS, 162,362 symptomatic CEA, and 3149 symptomatic CAS patients
were included. Symptomatic disease portends a worse outlook after either CEA or
CAS. Costs of the procedure increased with complications with stroke adding the
most significant cost burden. For risk-matched asymptomatic and symptomatic
patients, female gender (P < .001) and performing one or two cases per year (P <
.05) were associated with higher cerebrovascular accident risk. In asymptomatic
and symptomatic patients, predictors of myocardial infarction included congestive
heart failure (P < .001) and peripheral artery disease (P < .05) and predictors
of bleeding included peripheral artery disease (P < .05) and chronic obstructive
pulmonary disease (P < .01) for symptomatic patients only. For both asymptomatic
and symptomatic patients, predictors of mortality included female gender (P <
.001) and performing one or two cases per year (P < .01). Female gender was one
of the strongest overall predictors of adverse outcome after CAS (odds ratio,
21.39 for death; P < .001). Low volume (<3 cases per year per practitioner) is a
predictor of adverse outcome after CAS only. CONCLUSIONS: Higher rates of
postoperative stroke and inpatient mortality for women undergoing CAS is an
unexpected finding, and may indicate that this population is vulnerable to
complications after endovascular management. Low volume is a predictor of
complications and subsequent mortality primarily for CAS. Patients who undergo
CEA continue to have superior outcomes compared with matched cohorts who undergo
CAS.
PMID- 27209402
TI - Contemporary cardiovascular risk and secondary preventive drug treatment patterns
in peripheral artery disease patients undergoing revascularization.
AB - OBJECTIVE: Peripheral artery disease (PAD) is common worldwide, and PAD patients
are increasingly offered lower limb revascularization procedures. The aim of this
population-based study was to describe the current risk for cardiovascular (CV)
events and mortality and also to elucidate the current pharmacologic treatment
patterns in revascularized lower limb PAD patients. METHODS: This observational,
retrospective cohort study analyzed prospectively collected linked data retrieved
from mandatory Swedish national health care registries. The Swedish National
Registry for Vascular Surgery database was used to identify revascularized PAD
patients. Current risk for CV events and death was analyzed, as were prescribed
drugs aimed for secondary prevention. A Cox proportional hazard regression model
was used to explore risk factors for suffering a CV event. RESULTS: Between May
2008 and December 2013, there were 18,742 revascularized PAD patients identified.
Mean age was 70.0 years among patients with intermittent claudication (IC; n =
6959) and 76.8 years among patients with critical limb ischemia (CLI; n =
11,783). Antiplatelet therapy, statins, angiotensin-converting enzyme
inhibitors/angiotensin receptor blockers, and beta-blockers were used by 73%,
60%, 57%, and 49% at admission for revascularization. CV event rate (a composite
of myocardial infarction, ischemic stroke, or CV death) at 12, 24, and 36 months
was 5.1% (95% confidence interval [CI], 4.5-5.6), 9.5% (95% CI, 8.7-10.3), and
13.8% (95% CI, 12.8-14.8) in patients with IC and 16.8% (95% CI, 16.1-17.6),
25.9% (95% CI, 25.0-26.8), and 34.3% (95% CI, 33.2-35.4) in patients with CLI.
Best medical treatment, defined as any antiplatelet or anticoagulant therapy
along with statin treatment, was offered to 65% of IC patients and 45% of CLI
patients with little change during the study period. Statin therapy was
associated with reduced CV events (hazard ratio, 0.76; 95% CI, 0.71-0.81; P <
.001), whereas treatment with low-dose aspirin was not. CONCLUSIONS:
Revascularized PAD patients are still at a high risk for CV events without a
declining time trend. A large proportion of both IC and CLI patients were not
offered best medical treatment. The most commonly used agent was aspirin, which
was not associated with CV event reduction. This study calls for improved medical
management and highlights an important and partly unmet medical need among
revascularized PAD patients.
PMID- 27209404
TI - Renewable Lignosulfonate-Assisted Synthesis of Hierarchical Nanoflake-Array
Flower ZnO Nanomaterials in Mixed Solvents and Their Photocatalytic Performance.
AB - With the assistance of sodium lignosulfonate, hierarchical nanoflake-array-flower
nanostructure of ZnO has been fabricated by a facile precipitation method in
mixed solvents. The sodium lignosulfonate amount used in our synthetic route is
able to fine-tune ZnO morphology and an abundance of pores have been observed in
the nanoflake-array-flower ZnO, which result in specific surface area reaching as
high as 82.9 m(2) . g(-1). The synthesized ZnO exhibits superior photocatalytic
activity even under low-power UV illumination (6 W). It is conjectured that both
nanoflake-array structure and plenty of pores embedded in ZnO flakes may provide
scaffold microenvironments to enhance photocatalytic activity. Additionally, this
catalyst can be used repeatedly without a significant loss in photocatalytic
activity. The low-cost, simple synthetic approach as well as high photocatalytic
and recycling efficiency of our ZnO nanomaterials allows for application to treat
wastewater containing organic pollutants in an effective way.
PMID- 27209403
TI - The effects of fisetin on lipopolysaccharide-induced depressive-like behavior in
mice.
AB - Major depressive disorder (MDD) involves a series of pathological changes
including the inflammation and increased cytokine levels. Fisetin, a natural
flavonoid, has anti-inflammatory and antioxidant, and also has been shown in our
previous studies to exert anti-depressant-like properties. The present study
aimed to investigate the effect of fisetin on lipopolysaccharide (LPS)-induced
depressive-like behavior and inflammation in mice. The results suggested that the
immobility time in the forced swimming test (FST) and tail suspension test (TST)
were increased at 6 h, 12 h and 24 h after LPS injection (0.83 mg/kg). However,
only the group of 24 h treatment did not show any effect on locomotion counts.
Pretreatment with fisetin at doses of 20, 40 and 80 mg/kg (p.o.) for 7 days
reversed LPS-induced alterations of the immobility time in both of these two
tests. Further neurochemical assays suggested that pretreatment with fisetin
reversed LPS-induced overexpression of pro-inflammatory cytokine (IL-1beta, IL-6
and TNF-alpha) in the hippocampus and the prefrontal cortex (PFC). Moreover,
higher dose of fisetin effectively antagonized iNOS mRNA expression and nitrite
levels via the modulation of NF-kappaB in the hippocampus and PFC. Taken
together, fisetin may be an effective therapeutic agent for LPS-induced
depressive-like behaviors, which is due to its anti-inflammatory property.
PMID- 27209405
TI - Incorporation of Ln-Doped LaPO4 Nanocrystals as Luminescent Markers in Silica
Nanoparticles.
AB - Lanthanide ions are promising for the labeling of silica nanoparticles with a
specific luminescent fingerprint due to their sharp line emission at
characteristic wavelengths. With the increasing use of silica nanoparticles in
consumer products, it is important to label silica nanoparticles in order to
trace the biodistribution, both in the environment and living organisms.In this
work, we synthesized LaPO4 nanocrystals (NCs) with sizes ranging from 4 to 8 nm
doped with europium or cerium and terbium. After silica growth using an inverse
micelle method, monodisperse silica spheres were obtained with a single LaPO4 NC
in the center. We demonstrate that the size of the silica spheres can be tuned in
the 25-55 nm range by addition of small volumes of methanol during the silica
growth reaction. Both the LaPO4 core and silica nanocrystal showed sharp line
emission characteristic for europium and terbium providing unique optical labels
in silica nanoparticles of variable sizes.
PMID- 27209406
TI - Formation Regularities of Plasmonic Silver Nanostructures on Porous Silicon for
Effective Surface-Enhanced Raman Scattering.
AB - Plasmonic nanostructures demonstrating an activity in the surface-enhanced Raman
scattering (SERS) spectroscopy have been fabricated by an immersion deposition of
silver nanoparticles from silver salt solution on mesoporous silicon (meso-PS).
The SERS signal intensity has been found to follow the periodical repacking of
the silver nanoparticles, which grow according to the Volmer-Weber mechanism. The
ratio of silver salt concentration and immersion time substantially manages the
SERS intensity. It has been established that optimal conditions of nanostructured
silver layers formation for a maximal Raman enhancement can be chosen taking into
account a special parameter called effective time: a product of the silver salt
concentration on the immersion deposition time. The detection limit for porphyrin
molecules CuTMPyP4 adsorbed on the silvered PS has been evaluated as 10(-11) M.
PMID- 27209407
TI - Scientific Achievements May Not Reach Everyone: Understanding Disparities in
Acute Leukemia.
AB - Over the past decade, scientific advancements have resulted in improved survival
from acute leukemia. Continued advancements are expected given the attention to
precision medicine and the resulting growth in development and adoption of risk
stratified, personalized therapies. While precision medicine has great potential
to improve acute leukemia outcomes, there remain significant barriers to ensuring
equitable access to these technologies and receipt of these prescribed targeted,
personalized therapies. Over the past 3 years, studies report persistent outcome
disparities among patients from specific racial and ethnic backgrounds, insurance
and socioeconomic status, and other socio-demographic factors after a diagnosis
of acute leukemia. A few recent studies examine etiologies for acute leukemia
disparities and highlight the importance of ensuring access and equitable
delivery of scientific advancements. In the context of continued scientific
progress, future strategies require thoughtfully considered improvements in the
delivery of care that can overcome the current challenges our patients face.
PMID- 27209408
TI - Prognostic Role of Hyperuricemia in Acute Heart Failure.
PMID- 27209410
TI - Complex roles of NRAGE on tumor.
AB - NRAGE, also known as Dlxin-1or MAGE-D1, is a member of type II melanoma
associated antigen (MAGE) and plays an essential role in life activities,
including differentiation, apoptosis, and cell cycle. Studies increasingly found
that NRAGE is closely related to the tumor events, such as tumor occurrence,
invasion, and metastasis. However, complex and contradictory functions of NRAGE
in different circumstances are observed, suggesting that NRAGE is unique from
other MAGE gene family members. This review summarizes recent findings concerning
the structure and biological functions of NRAGE, which may provide a basis for a
more comprehensive understanding of and further research on NRAGE.
PMID- 27209411
TI - Genetic polymorphism of HLA-DQ confers susceptibility to hepatitis B virus
related hepatocellular carcinoma: a case-control study in Han population in
China.
AB - Several recent genome-wide studies showed that the genetic polymorphisms in the
HLA-DQ region (rs9275572 and rs2856718) were related to chronic hepatitis B virus
(HBV) infection and chronic hepatitis C virus (HCV)-associated hepatocellular
carcinoma (HCC). We analyzed the two single-nucleotide polymorphisms for major
HBV outcomes in Han Chinese. A total of 1291 samples were involved and peripheral
blood samples were collected in this study. Matrix-assisted laser
desorption/ionization time of flight mass spectrometry were used to genotype the
single-nucleotide polymorphisms in the HLA-DQ region. Our study indicated the
clear relationship between the HLA-DQ rs9275572 and HBV-related HCC after control
for the effects of sex, drinking, and smoking. Health subjects with the HLA-DQ
rs9275572 GA genotype would have a 0.641 (95 % CI 0.416, 0.985; P = 0.043) times
lower odds of having HCC, and those with the AA genotype would have a 0.256 (95 %
CI 0.106, 0.618; P = 0.002) times lower odds of having HCC, whereas the values of
the other covariates were fixed. Whereas there was no significant difference
found for the HLA-DQ rs2856718 AG and GG genotype. Our study suggested that HLA
DQ loci (rs9275572) were associated with HBV-related HCC as a protective factor
in Han Chinese.
PMID- 27209409
TI - Poly (I:C) enhances the anti-tumor activity of canine parvovirus NS1 protein by
inducing a potent anti-tumor immune response.
AB - The canine parvovirus NS1 (CPV2.NS1) protein selectively induces apoptosis in the
malignant cells. However, for an effective in vivo tumor treatment strategy, an
oncolytic agent also needs to induce a potent anti-tumor immune response. In the
present study, we used poly (I:C), a TLR3 ligand, as an adjuvant along with
CPV2.NS1 to find out if the combination can enhance the oncolytic activity by
inducing a potent anti-tumor immune response. The 4T1 mammary carcinoma cells
were used to induce mammary tumor in Balb/c mice. The results suggested that poly
(I:C), when given along with CPV2.NS1, not only significantly reduced the tumor
growth but also augmented the immune response against tumor antigen(s) as
indicated by the increase in blood CD4+ and CD8+ counts and infiltration of
immune cells in the tumor tissue. Further, blood serum analysis of the cytokines
revealed that Th1 cytokines (IFN-gamma and IL-2) were significantly upregulated
in the treatment group indicating activation of cell-mediated immune response.
The present study reports the efficacy of CPV2.NS1 along with poly (I:C) not only
in inhibiting the mammary tumor growth but also in generating an active anti
tumor immune response without any visible toxicity. The results of our study may
help in developing CPV2.NS1 and poly (I: C) combination as a cancer therapeutic
regime to treat various malignancies.
PMID- 27209412
TI - A Guide to Conducting a Meta-Analysis.
AB - Meta-analysis is widely accepted as the preferred method to synthesize research
findings in various disciplines. This paper provides an introduction to when and
how to conduct a meta-analysis. Several practical questions, such as advantages
of meta-analysis over conventional narrative review and the number of studies
required for a meta-analysis, are addressed. Common meta-analytic models are then
introduced. An artificial dataset is used to illustrate how a meta-analysis is
conducted in several software packages. The paper concludes with some common
pitfalls of meta-analysis and their solutions. The primary goal of this paper is
to provide a summary background to readers who would like to conduct their first
meta-analytic study.
PMID- 27209413
TI - Streptomyces xinjiangensis sp. nov., an actinomycete isolated from Lop Nur
region.
AB - A novel actinobacterial strain, designated LPA192(T), was isolated from a soil
sample collected from Lop Nur, Xinjiang Uygur Autonomous Region, Northwest China.
A polyphasic approach was used to investigate the taxonomic position of strain
LPA192(T). The isolate showed morphological and chemotaxonomic characteristics
typical of members of the genus Streptomyces. Peptidoglycan was found to contain
LL-diaminopimelic acid as the diagnostic diamino acid. The predominant
menaquinones were MK-9(H6) and MK-10(H4). Polar lipids were
phosphatidylethanolamine, diphosphatidylglycerol and phosphatidylinositol. Major
cellular fatty acids consist of C16:0, anteiso-C15:0 and C18:1 omega9c. The sugar
in whole-cell hydrolysates was mannose. Phylogenetic analysis indicated that
strain LPA192(T) is closely related to Streptomyces tanashiensis LMG 20274(T)
(99.3 %), Streptomyces gulbargensis DAS131(T) (99.3 %), Streptomyces
nashvillensis NBRC 13064(T) (99.3 %), Streptomyces roseolus NBRC 12816(T) (99.2
%) and Streptomyces filamentosus NBRC 12767(T) (99.1 %) while showing below 98.5
% sequencing similarities with other validly published Streptomyces species.
However, DNA-DNA relatedness values between LPA192(T) and the closely related
type strains were below 40 %, which are much lower than 70 % threshold value for
species delineation. The genomic DNA G + C content of strain LPA192(T) was 69.3
mol %. Based on the differences in genotypic and phenotypic characteristics from
the closely related strains, strain LPA192(T) is considered to represent a novel
species of the genus Streptomyces for which the name Streptomyces xinjiangensis
sp. nov. is proposed. The type strain is LPA192(T) (=KCTC 39601(T) = CGMCC
4.7288(T)).
PMID- 27209414
TI - Properties of Astragalus sp. microsymbionts and their putative role in plant
growth promotion.
AB - The plant growth-promoting rhizobacteria have developed many different (indirect
and direct) mechanisms that have a positive effect on plant growth and
development. Strains isolated from Astragalus cicer and Astragalus glycyphyllos
root nodules were investigated for their plant growth-promoting properties such
as production of indole-3-acetic acid (IAA) and siderophores, phosphate
solubilization, ACC deaminase activity, and tolerance to heavy metals. IAA
production and P-solubilization were frequent features in the analysed strains,
while siderophores were not produced by any of them. In this work, we
investigated the presence of the acdS genes and ACC deaminase activities in
Astragalaus cicer and A. glycyphyllos microsymbionts, classified within the genus
Mesorhizobium. The results demonstrated that the acdS gene is widespread in the
genome of Astragalus sp. microsymbionts; however, none of the tested strains
showed ACC deaminase activity. The acdS gene sequence similarity of the analysed
strains to each other was in the range from 84 to 99 %. On the phylogram of acdS
gene sequences of milkvetch, the symbionts clustered tightly with the genus
Mesorhizobium bacteria.
PMID- 27209415
TI - Genome-wide comparison and taxonomic relatedness of multiple Xylella fastidiosa
strains reveal the occurrence of three subspecies and a new Xylella species.
AB - A total of 21 Xylella fastidiosa strains were assessed by comparing their genomes
to infer their taxonomic relationships. The whole-genome-based average nucleotide
identity and tetranucleotide frequency correlation coefficient analyses were
performed. In addition, a consensus tree based on comparisons of 956 core gene
families, and a genome-wide phylogenetic tree and a Neighbor-net network were
constructed with 820,088 nucleotides (i.e., approximately 30-33 % of the entire
X. fastidiosa genome). All approaches revealed the occurrence of three well
demarcated genetic clusters that represent X. fastidiosa subspecies fastidiosa,
multiplex and pauca, with the latter appeared to diverge. We suggest that the
proposed but never formally described subspecies 'sandyi' and 'morus' are instead
members of the subspecies fastidiosa. These analyses support the view that the
Xylella strain isolated from Pyrus pyrifolia in Taiwan is likely to be a new
species. A widely used multilocus sequence typing analysis yielded conflicting
results.
PMID- 27209416
TI - JAK2, MPL, and CALR mutations in children with essential thrombocythemia.
PMID- 27209417
TI - Comparison of blood coagulation factors between patients with gastrointestinal or
intracranial bleeding under vitamin K antagonists.
PMID- 27209418
TI - Dynamic MR in patients affected by neurogenical claudication: technique and
results from a single-center experience.
AB - INTRODUCTION: This study aimed to determine changes in size of lumbar spinal
canal and related articular structures, during dynamic MR scans acquired in
symptomatic patients standing upright using a new open MR system. METHODS: Forty
patients (mean age 58.4 years) affected by lumbar back pain associated with
claudication, referring symptoms since more than 6 months. No one underwent to
previous spine surgery. MR scans were performed with a novel open 0.5-T scanner,
patient supine and upright (90 degrees ). Lumbar lordotic angle, flavum ligament
thickness, herniated discs, spinal canal area, spinal canal and dural sac antero
posterior diameters, and spinal alignment were measured and compared in both
supine and upright positions. Mean scanning time was 43 min. RESULTS: All the
considered parameters showed a statistically significant difference, except for
lumbar lordotic angle. Mean percentage differences moving from supine to upright
were +3.9 % for lumbar lordotic angle, +15 % for flavum ligament thickness, +16.2
% for sagittal disc bulge, -10.8 % for dural sac diameter, -13.1 % for spinal
canal diameter, and -15.8 % for spinal canal area. In supine position, no patient
presented with spondylolisthesis; moving to upright position, four patients
showed spondylolisthesis (grade I). CONCLUSION: Dynamic MR is a valuable
diagnostic exam to analyze the structures involved in lumbar back pain due to
spinal canal stenosis and spondylolisthesis; in supine position, relevant factors
can be underestimated or hidden, becoming appreciable only patient standing
upright. In this series, flavum ligament thickening presented a role comparable
to disc bulge for narrowing of lumbar spinal canal.
PMID- 27209419
TI - Erratum to: Optimal differentiation of high- and low-grade glioma and metastasis:
a meta-analysis of perfusion, diffusion, and spectroscopy metrics.
PMID- 27209420
TI - Retrospective clinicopathological study of 129 cancerous and 18 precancerous
lesions of the eyelids in North-Western Greece.
AB - This study aimed to present precancerous and cancerous epithelial eyelid lesions,
their histopathological features, and possible correlations with clinical
parameters. The retrospective study included 147 formalin-fixed, paraffin
embedded samples. We studied precancerous and cancerous epithelial eyelid
lesions. Preneoplastic tumors were represented by 12 actinic keratoses and 6 in
situ squamous cell carcinomas (Bowen disease) and skin epithelial tumors by 119
basal and 10 squamous cell carcinomas. We recorded the clinicomorphological and
histopathological features of the specimens and investigated possible
correlations. In our study, the vast majority of pre-malignant and malignant
tumors occurred in advanced age (mean age of occurrence: 70.18 years). The data
analysis showed that inflammation in patients with basal cell carcinoma (BCC)
positively correlated with advanced age (p < 0.01), tumor diameter (p < 0.05),
and the appearance of ulceration (p < 0.001). A prevalence of female sex was
noted in the BCC group. We also found that inflammation with or without the
presence of ulceration was more commonly seen in carcinomatous lesions than in
preneoplastic lesions (p < 0.05). Inflammation occurrence is present in high
proportions in the tumors studied and correlates with some clinicopathological
parameters such as the age of patients, the mean tumor diameter, and the presence
of ulceration. The comparison between premalignant and malignant conditions
showed that inflammation probability increases as we move toward the more
aggressive tumor phenotypes.
PMID- 27209421
TI - The effects of antipsychotics on weight gain, weight-related hormones and
homocysteine in children and adolescents: a 1-year follow-up study.
AB - To analyze weight gain, metabolic hormones, and homocysteine (Hcys) levels in
children and adolescents on antipsychotics (AP) during a year-long follow-up. 117
patients, AP-naive or quasi-naive (less than 30 days on AP), were included.
Weight, body mass index (BMI), BMI z-score (z-BMI), and levels of leptin,
insulin, insulin resistance (HOMA-IR), adiponectin, ghrelin, thyroid stimulating
hormone (TSH), free thyroxine (FT4), and Hcys were measured at baseline, and at
3, 6, and 12 months, while patients remained on the same AP. Patients (mean age:
14.4 +/- 3 years; 64.1 % male) were on risperidone (N = 84), olanzapine (N = 20)
or quetiapine (N = 13) from baseline up to 1-year follow-up and significantly
increased weight (5.8 +/- 4.3 kg at 3-month, 8.1 +/- 6.1 kg at 6-month, and 11.6
+/- 7.0 kg at 1 year), BMI, and z-BMI. Leptin levels significantly increased from
baseline to 3 and 6 months, as did TSH levels from baseline to 3 months, while
FT4 levels decreased from baseline to 3 and 6 months. Patients with BMI >85th
percentile at baseline (N = 16) significantly increased weight, BMI, and z-BMI,
more than patients with normal BMI over time. Higher baseline levels of insulin,
HOMA-IR, and leptin were associated with increased weight/BMI during follow-up,
while higher baseline levels of FT4, adiponectin, and ghrelin were associated
with lower weight/BMI during follow-up. All AP were associated with increased
weight and BMI/z-BMI in all of the assessments; however, at 1-year assessment,
this increase was significantly higher for patients on quetiapine. Both higher
baseline levels of insulin, HOMA-IR, and leptin, as well as being
overweight/obese at baseline were associated with increased weight/BMI during 1
year follow-up in children and adolescents on AP. Awareness of weight-related
parameters in this population may help inform decisions regarding AP
prescriptions.
PMID- 27209422
TI - Defining cognitive-behavior therapy response and remission in pediatric OCD: a
signal detection analysis of the Children's Yale-Brown Obsessive Compulsive
Scale.
AB - The objective of the study was to examine the optimal Children's Yale-Brown
Obsessive-Compulsive Scale (CY-BOCS) percent reduction and raw cutoffs for
predicting cognitive-behavioral treatment (CBT) response among children and
adolescents with obsessive-compulsive disorder (OCD). The sample consisted of
children and adolescents with OCD (N = 241) participating in the first step of
the Nordic long-term OCD treatment study and receiving 14 weekly sessions of CBT
in the form of exposure and response prevention. Evaluations were conducted pre-
and post-treatment, included the CY-BOCS, Clinical Global Impressions
severity/improvement. The results showed that the most efficient CY-BOCS cutoffs
were 35 % reduction for treatment response, 55 % reduction for remission, and a
post-treatment CY-BOCS raw total score of 11 for treatment remission. Overall,
our results diverge from previous research on pediatric OCD with more
conservative cutoffs (higher cutoff reduction for response and remission, and
lower raw score for remission). Further research on optimal cutoffs is needed.
PMID- 27209423
TI - Human pericoronary adipose tissue as storage and possible supply site for
oxidized low-density lipoprotein and high-density lipoprotein in coronary artery.
AB - BACKGROUND: Thickening of the pericoronary adipose tissue (PCAT) is a proven risk
factor for coronary artery disease, but it is poorly understood whether PCAT
stores pro-atherogenic substances with oxidized low-density lipoprotein (oxLDL)
and low-density lipoprotein (LDL), and an anti-atherogenic substance with high
density lipoprotein (HDL) and supply them to the coronary intima. METHODS: Using
immunohistochemical techniques, the localization of oxLDL, LDL and HDL in PCAT
and its adjacent coronary segments was examined in 30 epicardial coronary
arteries excised from 11 human autopsy cases. RESULTS: PCAT stored oxLDL and HDL
in all, but LDL rarely, in 77 specimens examined, irrespective of the presence or
absence of coronary plaques and underlying disease. The percentage (%) incidence
of oxLDL, HDL and LDL deposits in intima was, respectively, 28, 10, 35 in 29
normal segments, 80 (p<0.05 vs. normal segments), 12, 75 in 19 white plaques
(growth stage), 57, 36, 90 in 15 yellow plaques without necrotic core (NC; mature
stage), and 40, 21, 100 (p<0.05 vs. normal segments) in 14 yellow plaques with NC
(end-stage of maturation) as classified by angioscopy and histology. In coronary
intima, oxLDL deposited in either a dotted or diffuse pattern whereas HDL and LDL
showed diffuse patterns. Dotted oxLDL deposits were contained in CD68(+)
macrophages traversing the border of PCAT and adventitia, external and internal
elastic laminae. Diffuse oxLDL and HDL deposits colocalized with intimal vasa
vasorum. CONCLUSIONS: The results suggested that, as a hitherto unrecognized
supplying route, the human PCAT stores oxLDL and HDL and oxLDL is supplied to
coronary intima either by CD68(+)-macrophages or vasa vasorum and HDL by vasa
vasorum, and that deposition of oxLDL and HDL in the intima increased with plaque
growth but the former decreased while the latter increased further with plaque
maturation. Molecular therapy targeting PCAT before plaque maturation could be
effective in preventing atherosclerosis.
PMID- 27209425
TI - Preventive effects of electrical stimulation on inflammation-induced muscle
mitochondrial dysfunction.
AB - Cachexia is a complex metabolic syndrome associated with underlying chronic
diseases and is characterized by the overexpression of pro-inflammatory cytokines
such as tumor necrosis factor alpha (TNF-alpha), which impair muscle oxidative
metabolism. We hypothesized that electrical stimulation (ES) would prevent
decrement in muscle oxidative metabolism by suppressing the phosphorylation of
p38 MAPK, a critical regulator of inflammatory response. Therefore, the purpose
of the present study was to verify the effects of ES on inflammatory-induced
decrement of oxidative metabolism in mice tibialis anterior muscles. ICR mice
were randomly divided into three groups: control, lipopolysaccharide (LPS)
injection for 4days, and LPS injection plus ES (LPS+ES). Cachexia was induced in
the animals in the LPS groups via LPS injection (10mg/kg body weight/day, i.p.)
during the intervention period. The animals in the LPS+ES group were stimulated
electrically (carrier frequency, 2500Hz; modulation frequency, 100Hz; duration,
240s/day; type of contraction, isometric) during the intervention period. LPS
injection resulted in decreased body and muscle wet weight and increased
expression of TNF-alpha in plasma and skeletal muscle. In addition, LPS injection
decreased indicators of mitochondrial function such as succinate dehydrogenase
(SDH) and citrate synthase (CS) activity as well as the expression of PGC-1alpha,
and increased the phosphorylation of p38 MAPK. On the other hand, the
intervention of ES attenuated the changes in muscle wet weight, SDH activity, CS
activity, p38 MAPK, and PGC-1alpha. These results suggest that ES could prevent
decrement in muscle oxidative metabolism induced by pro-inflammatory cytokines in
cachexia.
PMID- 27209424
TI - Association between partial-volume corrected SUVmax and Oncotype DX recurrence
score in early-stage, ER-positive/HER2-negative invasive breast cancer.
AB - PURPOSE: Oncotype DX, a 21-gene expression assay, provides a recurrence score
(RS) which predicts prognosis and the benefit from adjuvant chemotherapy in
patients with early-stage, estrogen receptor-positive (ER-positive), and human
epidermal growth factor receptor 2-negative (HER2-negative) invasive breast
cancer. However, Oncotype DX tests are expensive and not readily available in all
institutions. The purpose of this study was to investigate whether metabolic
parameters on (18)F-FDG PET/CT are associated with the Oncotype DX RS and whether
(18)F-FDG PET/CT can be used to predict the Oncotype DX RS. METHODS: The study
group comprised 38 women with stage I/II, ER-positive/HER2-negative invasive
breast cancer who underwent pretreatment (18)F-FDG PET/CT and Oncotype DX
testing. On PET/CT, maximum (SUVmax) and average standardized uptake values,
metabolic tumor volume, and total lesion glycolysis were measured. Partial volume
corrected SUVmax (PVC-SUVmax) determined using the recovery coefficient method
was also evaluated. Oncotype DX RS (0 - 100) was categorized as low (<18),
intermediate (18 - 30), or high (>=31). The associations between metabolic
parameters and RS were analyzed. Multivariate logistic regression was used to
identify significant independent predictors of low versus intermediate-to-high
RS. RESULTS: Of the 38 patients, 22 (58 %) had a low RS, 13 (34 %) had an
intermediate RS, and 3 (8 %) had a high RS. In the analysis with 38 index tumors,
PVC-SUVmax was higher in tumors in patients with intermediate-to-high RS than in
those with low RS (5.68 vs. 4.06; P = 0.067, marginally significant). High PVC
SUVmax (>=4.96) was significantly associated with intermediate-to-high RS (odds
ratio, OR, 10.556; P = 0.004) in univariate analysis. In multivariate analysis
with clinicopathologic factors, PVC-SUVmax >=4.96 (OR 8.459; P = 0.013) was a
significant independent predictor of intermediate-to-high RS. CONCLUSIONS: High
PVC-SUVmax on (18)F-FDG PET/CT was significantly associated with an intermediate
to-high Oncotype DX RS. PVC metabolic parameters on (18)F-FDG PET/CT can be used
to predict the Oncotype DX RS in patients with early-stage, ER-positive/HER2
negative breast cancer.
PMID- 27209426
TI - Urinary incontinence and diuretic avoidance among adults with chronic kidney
disease.
AB - PURPOSE: Diuretics remain an important medication for hypertension management
among adults with chronic kidney disease (CKD), but diuretics may also worsen
urinary symptoms, especially urinary incontinence (UI). This single-center pilot
study examined the prevalence of UI among adults age >=60 years with CKD using
diuretics and assessed diuretic avoidance due to urinary symptoms. METHODS:
Patients with non-dialysis-dependent CKD (estimated glomerular filtration rate
<60 ml/min/1.73 m(2)) and diuretic use were recruited from outpatient nephrology
clinics. Urinary symptoms and diuretic avoidance were assessed using standardized
questionnaires. RESULTS: The cohort of 44 women and 54 men had a mean age of 71.8
(8.4) years, and urgency-UI, stress-UI and mixed-UI (the presence of both urgency
UI and stress-UI) were reported by 44.9 % (n = 44), 36.7 % (n = 36) and 26.5 % (n
= 26), respectively. Nocturia was noted in 68 % (n = 67). Overall, 15.3 % (6 men
and 9 women) reported diuretic avoidance. Avoidance of diuretics was 27.3 % (n =
12), 25.5 % (n = 9) and 34.6 % (n = 9) among participants with urgency-UI, stress
UI and mixed-UI, respectively, while only 6.8 % (n = 3) of participants without
any UI reported diuretic avoidance. After adjusting for age, sex and diuretic
type (loop vs. others), both urgency-UI (odds ratio 5.9 95 % CI 1.5-22.8) and
mixed-UI (odds ratio 5.7; 95 % CI 1.6-19.9) were significantly associated with
diuretic avoidance compared to participants without urgency-UI, or mixed-UI,
respectively. Stress-UI and nocturia were not significantly associated with
diuretic avoidance. CONCLUSIONS: UI is common among older adults with CKD
receiving diuretics. Patients with urgency-UI are more likely to avoid diuretics.
PMID- 27209427
TI - The quest for canine leishmaniasis in Romania: the presence of an autochthonous
focus with subclinical infections in an area where disease occurred.
AB - BACKGROUND: Canine leishmaniasis is a severe, potentially life-threatening,
systemic vector-borne disease of dogs caused by protozoan parasites of the genus
Leishmania. Romania has been traditionally regarded as a non-endemic country for
leishmaniasis with sporadic human disease cases. However, the recent report of an
autochthonous canine leishmaniasis case (the first in the last 80 years)
suggested the presence of an infection focus in the area of Ramnicu Valcea. The
present study describes a survey of canine leishmaniasis in this geographical
area with comparison to a georeferenced dataset of sand fly distribution based on
historical literature records. METHODS: The study was carried out in Ramnicu
Valcea and included samples (serum, blood and conjunctival swabs) collected from
80 dogs including client-owned dogs from two local practices and dogs from two
public shelters. Serum anti-leishmanial antibodies were assessed by ELISA. All
blood and conjunctival samples were assessed by real-time quantitative PCR,
targeting the leishmanial kinetoplast minicircle DNA. RESULTS: Three dogs (3.7 %)
were seropositive and another four (5.0 %) showed borderline results indicative
of exposure or infection. TaqMan PCR was performed for all dogs, on both blood
and conjunctival swabs. Seven dogs (8.7 %) were positive by conjunctival swab PCR
and one dog (1.2 %) by blood PCR. None of the positive dogs presented clinical
signs compatible with canine leishmaniasis. CONCLUSIONS: This is the first study
evaluating canine leishmaniasis in a dog population in Romania by both highly
sensitive PCR and serology. Although the prevalence was relatively low compared
to other endemic regions, our results clearly demonstrate the presence of a
canine leishmaniasis focus in Romania.
PMID- 27209428
TI - Brazilian oral herbal medication for osteoarthritis: a systematic review
protocol.
AB - BACKGROUND: Osteoarthritis affects 1 % of the world's population and is the most
common cause of musculoskeletal impairment in the elderly. Herbal medications are
commonly used in Brazil to manage symptoms associated with osteoarthritis, and
some of them are financed by the Brazilian government; however, the effectiveness
of most of these agents is uncertain. The aim was to systematically review the
efficacy and safety of 13 oral herbal medications used in Brazil for the
treatment of osteoarthritis. METHODS: Randomized clinical trials eligible for our
systematic review will enroll adults with osteoarthritis treated by a Brazilian
herbal medication or a control group (placebo or active control). Using terms to
include all forms of osteoarthritis combined with herbal medications, we will
search the following electronic databases: Cochrane Central Register of
Controlled Trials (CENTRAL); MEDLINE; EMBASE; CINAHL; Web of Science; Health
Star; AMED, the database of the Cochrane Complementary Medicine Field, LILACS;
CAB abstracts, Clinical trial.gov, WHO trials registry, and Bank of Brazil Thesis
(CAPES), to 31 January 2016, without restrictions concerning language or status
of publication. Outcomes of interest include the following: symptom relief (e.g.,
pain), adverse events (gastrointestinal bleeding, epigastric pain, nausea, and
allergic reactions), discontinuation due to adverse events, quality of life, and
the satisfaction with the treatment. Dichotomous data will be summarized as risk
ratios; continuous data will be given as standard average differences with 95 %
confidence intervals. A team of reviewers will assess each citation independently
for eligibility and in duplicate it. For eligible studies, the same reviewers
will perform data extraction, bias risk assessment, and determination of the
overall quality of evidence for each of the outcomes using the Grading of
Recommendations Assessment, Development and Evaluation (GRADE) classification
system. DISCUSSION: This is the first study that will evaluate the use of herbal
medications used in Brazil for the treatment of pain caused by osteoarthritis.
The results could guide prescribers in decision-making in clinical practice, to
inform the patients with pain caused by osteoarthritis in relation to effective
and safe treatment options and to inform the managers of the public health system
which of the plants could actually be financed by the Brazilian government.
SYSTEMATIC REVIEW REGISTRATION: PROSPERO 42015019793.
PMID- 27209429
TI - Cross-Modality Validation of Acetabular Surface Models Using 3-D Ultrasound
Versus Magnetic Resonance Imaging in Normal and Dysplastic Infant Hips.
AB - Current imaging diagnosis of developmental dysplasia of the hip (DDH) in infancy
relies on 2-D ultrasound (US), which is highly operator-dependent. 3-D US offers
more complete, and potentially more reliable, imaging of infant hip geometry. We
sought to validate the fidelity of acetabular surface models obtained by 3-D US
against those obtained concurrently by magnetic resonance imaging (MRI). 3-D US
and MRI scans were performed on the same d in 20 infants with normal to severely
dysplastic hips (mean age, 57 d; range 13-181 d). 3-D US was performed by two
observers using a Philips VL13-5 probe. Coronal 3-D multi-echo data image
combination (MEDIC) magnetic resonance (MR) images (1-mm slice thickness) were
obtained, usually without sedation, in a 1.5 T Siemens unit. Acetabular surface
models were generated for 40 hips from 3-D US and MRI using semi-automated
tracing software, separately by three observers. For each hip, the 3-D US and MRI
models were co-registered to overlap as closely as possible using Amira software,
and the root mean square (RMS) distances between points on the models were
computed. 3-D US scans took 3.2 s each. Inter-modality variability was visually
minimal. Mean RMS distance between corresponding points on the acetabular surface
at 3-D US and MRI was 0.4 +/- 0.3 mm, with 95% confidence interval <1 mm. Mean
RMS errors for inter-observer and intra-observer comparisons were significantly
less for 3-D US than for MRI, while inter-scan and inter-modality comparisons
showed no significant difference. Acetabular geometry was reproduced by 3-D US
surface models within 1 mm of the corresponding 3-D MRI surface model, and the 3
D US models were more reliable. This validates the fidelity of 3-D US modeling
and encourages future use of 3-D US in assessing infant acetabulum anatomy, which
may be useful to detect and monitor treatment of hip dysplasia.
PMID- 27209430
TI - Alteration of matrix metalloproteinase-3 O-glycan structure as a biomarker for
disease activity of rheumatoid arthritis.
AB - BACKGROUND: Nearly all secreted proteins are glycosylated, and serum
glycoproteins that exhibit disease-associated glycosylation changes have
potential to be biomarkers. In rheumatoid arthritis (RA), C-reactive protein
(CRP), and matrix metalloproteinase-3 (MMP-3) are widely used as serologic
biomarkers, but they lack sufficient specificity or precision. We performed
comparative glycosylation profiling of MMP-3 using a recently developed antibody
overlay lectin microarray technology that allows semicomprehensive and
quantitative analysis of specific protein glycosylation to develop an RA-specific
disease activity biomarker. METHODS: Serum was taken from patients with RA (n =
24) whose disease activity was scored using composite measures, and MMP-3 was
immunoprecipitated and subjected to lectin microarray analysis. A disease
activity index (DAI) based on lectin signal was developed and validated using
another cohort (n = 60). Synovial fluid MMP-3 in patients with RA and patients
with osteoarthritis (OA) was also analyzed. RESULTS: Intense signals were
observed on a sialic acid-binding lectin (Agrocybe cylindracea galectin [ACG])
and O-glycan-binding lectins (Jacalin, Agaricus bisporus agglutinin [ABA], and
Amaranthus caudatus agglutinin [ACA]) by applying subnanogram levels of serum MMP
3. ACG, ABA, and ACA revealed differences in MMP-3 quantity, and Jacalin revealed
differences in MMP-3 quality. The resultant index, ACG/Jacalin, correlated well
with disease activity. Further validation using another cohort confirmed that
this index correlated well with several DAIs and their components, and reflected
DAI changes following RA treatment, with correlations greater than those for MMP
3 and CRP. Furthermore, MMP-3, which generated a high ACG/Jacalin score,
accumulated in synovial fluid of patients with RA but not in that of patients
with OA. Sialidase digestion revealed that the difference in quality was derived
from O-glycan alpha-2,6-sialylation. CONCLUSIONS: This is the first report of a
glycoprotein biomarker using glycan change at a local lesion to assess disease
activity in autoimmune diseases. Differences in the degree of serum MMP-3 alpha
2,6-sialylation may be a useful index for estimating disease activity.
PMID- 27209431
TI - A Mid-South Perspective: African American Faith-based Organizations, HIV, and
Stigma.
AB - Shelby County, Tennessee has the fastest growing rate of HIV infection in the
state, and the majority of new infections are in African Americans. In 2011, a
Centers for Disease Control and Prevention report stated that Memphis (the
largest city in Shelby County) ranked seventh highest in new HIV infections.
Little research has addressed HIV-related themes in African American culture that
could hinder HIV prevention measures. Our qualitative study engaged African
American, faith-based leaders in areas with high rates of HIV in meaningful
conversations regarding their attitudes toward HIV and those who are infected.
Although faith-based leaders felt they had a role in HIV prevention, only 4% in
our study had participated in HIV prevention activities, but they were open to
HIV prevention programs. We found that faith-based leaders had limited knowledge
of health disparities and ongoing stigma concerning HIV, which served as a major
barrier to HIV prevention.
PMID- 27209432
TI - Erratum to "Early post-transplant survival: Interaction of MELD score and
hospitalization status".
PMID- 27209433
TI - Empirical Bayesian significance measure of neuronal spike response.
AB - BACKGROUND: Functional connectivity analyses of multiple neurons provide a
powerful bottom-up approach to reveal functions of local neuronal circuits by
using simultaneous recording of neuronal activity. A statistical methodology,
generalized linear modeling (GLM) of the spike response function, is one of the
most promising methodologies to reduce false link discoveries arising from pseudo
correlation based on common inputs. Although recent advancement of fluorescent
imaging techniques has increased the number of simultaneously recoded neurons up
to the hundreds or thousands, the amount of information per pair of neurons has
not correspondingly increased, partly because of the instruments' limitations,
and partly because the number of neuron pairs increase in a quadratic manner.
Consequently, the estimation of GLM suffers from large statistical uncertainty
caused by the shortage in effective information. RESULTS: In this study, we
propose a new combination of GLM and empirical Bayesian testing for the
estimation of spike response functions that enables both conservative false
discovery control and powerful functional connectivity detection. We compared our
proposed method's performance with those of sparse estimation of GLM and
classical Granger causality testing. Our method achieved high detection
performance of functional connectivity with conservative estimation of false
discovery rate and q values in case of information shortage due to short
observation time. We also showed that empirical Bayesian testing on arbitrary
statistics in place of likelihood-ratio statistics reduce the computational cost
without decreasing the detection performance. When our proposed method was
applied to a functional multi-neuron calcium imaging dataset from the rat
hippocampal region, we found significant functional connections that are possibly
mediated by AMPA and NMDA receptors. CONCLUSIONS: The proposed empirical Bayesian
testing framework with GLM is promising especially when the amount of information
per a neuron pair is small because of growing size of observed network.
PMID- 27209434
TI - Gene expressions and metabolomic research on the effects of polyphenols from the
involucres of Castanea mollissima Blume on heat-stressed broilers chicks.
AB - To study the effects of polyphenolic extract from involucres of Castanea
mollissima Blume ( PICB: ), a novel approach using gene expression by real time
polymerase chain reaction ( REAL-TIME PCR: ) coupled with metabolomic profiling
technique was established to explain the mechanism of PICB on heat-stressed
broiler chicks. Four thousand 28-day-old male Arbor Acres (AA) broilers were
randomly assigned to 5 groups (4 replicates / group, 20 chicks / replicate), in
which group 1 was normal control group fed with basic ration; groups 2, 3, 4, and
5 were fed with the basic ration with a supplementation of 0.2% Vitamin C ( VC:
), or 0.2%, 0.3%, or 0.4% of PICB respectively. After 1 wk of adaptation, heat
stress was applied for 7 consecutive days. On d 3 and d 7 of heat stress, the
chicks were sacrificed and sampled. The mRNA expression of heat stress protein 70
(HSP70), glutathione peroxidase ( GSH-PX: ), ornithine decarboxylase ( ODC: ),
epidermal growth factor ( EGF: ) and epidermal growth factor receptor ( EGFR: )
were detected by real-time PCR using samples from jejunum mucosa. The serum and
jejunum mucosa metabolomic profiles of PICB group showing best antioxidative
effects and control group at d 3 were studied using the method of the gas
chromatography - time of flight mass spectrometry ( GT-TOF-MS: ), followed by
principal component analysis and partial least squares-discriminate analysis.
Potential biomarkers were found using Student's t-test. The results showed mRNA
expressions of HSP70, GSH-Px, ODC, EGF, and EGFR were altered by the
supplementation of PICB. PICB exhibited antioxidative and growth promoting
effects, and 0.3% PICB supplementation level exhibited the best. Three
metabolites in the serum and 5 in the jejunum mucosa were identified as potential
biomarkers. They were considered to be in accordance with antioxidative and
growth promoting effects of PICB, which involved in the energy metabolism
(sorbitol, palmitic acid), carbohydrate metabolism, amino acids metabolism
(serine, L-ornithine), glutathione metabolism (glutamate, L-ornithine), GnRH
signaling pathway (inositol), etc. These findings provided novel insights into
our understanding of molecular mechanism of PICB effects on heat-stressed chicks.
PMID- 27209435
TI - Comparison of Th1/Th2 cytokine profiles between primary and secondary
haemophagocytic lymphohistiocytosis.
AB - BACKGROUND: Haemophagocytic lymphohistiocytosis (HLH) is a life-threatening
disorder of immune regulation, and HLH patients with mutations in genes including
PRF1, UNC13D, STX11, STXBP2, SH2D1A, XIAP, and ITK were reported to be primary
HLH. Due to the different treatment options, the differentiation between primary
and secondary HLH is critical. Our previous studies have showed that a Th1/Th2
cytokine profile is diagnostic for HLH, yet the cytokine profiles between primary
and secondary HLH have not been compared. The aim of the study was to test
whether the Th1/Th2 cytokine profile could be used as a tool to differentiate
between primary and secondary HLH. METHODS: A total of 45 hospitalized Chinese
children with HLH during the period of February 2010 through September 2012 were
enrolled in the study. Fifty healthy children were enrolled as controls. Primary
HLH related genes were sequenced using genomic DNA samples. The Th1/Th2 cytokine
levels including interferon-gamma (IFN-gamma), tumor necrosis factor-alpha (TNF
alpha), interleukin (IL)-10, IL-6, IL-4 and IL-2 were quantitatively determined
by cytometric bead assay techniques. RESULTS: Primary HLH group (n = 4) included
one patient with biallelic heterozygous mutations in PRF1 gene, and three
patients with hemizygous mutation in SH2D1A gene. Based on the available genetic
data, the other 41 patients were classified into the secondary HLH group. When
compared the cytokine levels between the two groups, IL-4 level in primary-HLH
was significantly lower than that in secondary HLH (P = 0.025), while IFN-gamma
level in primary HLH had a tendency of statistically lower than that in secondary
HLH (P = 0.051). Area under receiver operating characteristic (ROC) curves of IL
4 and IFN-gamma, IL-10, TNF-alpha, IL-2, and IL-6 levels were 0.841, 0.799,
0.506, 0.494, 0.457, and 0.250, respectively. ROC curves showed that 1.7 pg/ml of
IL-4 had sensitivity and specificity for differentiation between primary and
secondary HLH as 70.7 and 100.0 %, while 433.9 pg/ml of IFN-gamma had sensitivity
and specificity as 51.2 and 100.0 %, respectively. CONCLUSIONS: HLH patients with
lower IL-4 and IFN-gamma levels have higher possibility to be primary HLH. The
cytokine profile may be used as an additional tool for the quick differential
diagnosis between primary and secondary HLH.
PMID- 27209436
TI - Incidence, mortality, and prevalence of end-stage chronic renal disease in the
Bajo Lempa region of El Salvador: A ten-year community registry.
AB - : The Bajo Lempa is an impoverished rural coastal region of El Salvador affected
by the chronic kidney disease (CKD) epidemic known as Mesoamerican nephropathy.
The local community organisation Fondo Social de Emergencia para la Salud (FSES)
(Emergency social fund for health) is helping to fight the epidemic in 42
communities of the region (19,223 inhabitants; average age 26.7 years; 48.5%
male; 40.2% <18 years). OBJECTIVES: To report annual rates of end-stage renal
disease (ESRD) incidence and patient mortality in these communities during a 10
year period (2004-2013), and the prevalence of patients receiving renal
replacement therapy (RRT) as of 31 December 2013. METHODS: The FSES recorded new
ESRD cases, basic patient history, form of RRT if received and patient deaths.
RESULTS: We registered 271 new ESRD cases (annual average 27.1; 89% male; average
age 55.6 years, four <18 years). Average annual ESRD incidence rate: 1409.8 per
million population (pmp). Two-thirds did not report diabetes or hypertension. 94
patients (34.7%) received RRT: 58 in the Ministry of health, 26 in private
services, 9 in social security and 1 in the military health system. 246 patients
died (annual average 24.6 deaths; 89.4% male; average age 56.1 years; 92.3% at
home). Average annual mortality rate: 128/100,000 population. Prevalence of
patients receiving RRT in 2013: 1300.5 pmp (N=25; 84% male; average age 51
years). CONCLUSIONS: This region has a high incidence of ESRD. Few receive RRT.
Patient mortality is high even with RRT. Most patients are male (9:1). Social
determinants influence the high mortality.
PMID- 27209437
TI - Physical restraint in mechanically ventilated adults: A complex early diagnosis
by protocols?
PMID- 27209439
TI - Effects of probiotic supplementation on glycaemic control and lipid profiles in
gestational diabetes: A randomized, double-blind, placebo-controlled trial.
AB - BACKGROUND: To our knowledge, data on the effects of probiotic supplementation on
glycaemic control and lipid concentrations in patients with gestational diabetes
mellitus (GDM) are scarce. AIM: The aim of the present study was to determine the
effects of probiotic supplementation on glycaemic control and lipid profiles in
GDM patients. METHODS: Sixty pregnant women with GDM, primigravida and aged 18
40years, were divided into two groups to receive either probiotic capsules (n=30)
or a matching placebo (n=30) in this randomized double-blind, placebo-controlled
trial. The patients in the probiotic group took a daily capsule that contained
three viable freeze-dried strains: Lactobacillus acidophilus (2*10(9)CFU/g), L.
casei (2*10(9)CFU/g) and Bifidobacterium bifidum (2*10(9)CFU/g) for 6weeks. The
placebo group took capsules filled with cellulose for the same time period.
Fasting blood samples were taken at the beginning and end of the study to
quantify the relevant markers. RESULTS: After 6weeks of intervention, probiotic
supplementation vs a placebo resulted in significant decreases in fasting plasma
glucose (-9.2+/-9.2mg/dL vs +1.1+/-12.2mg/dL, P<0.001), serum insulin levels (
0.8+/-3.1MUIU/mL vs +4.5+/-10.6MUIU/mL, P=0.01), homoeostasis model assessment
(HOMA) for insulin resistance (-0.4+/-0.9 vs +1.1+/-2.5, P=0.003) and HOMA for
beta-cell function (+1.1+/-9.8 vs +18.0+/-42.5, P=0.03), and a significant
increase in the quantitative insulin sensitivity check index (+0.007+/-0.01 vs
0.01+/-0.02, P=0.007). In addition, significant decreases in serum triglycerides
(-1.6+/-59.4mg/dL vs +27.1+/-37.9mg/dL, P=0.03) and VLDL cholesterol
concentrations (-0.3+/-11.9mg/dL vs +5.4+/-7.6mg/dL, P=0.03) were seen following
supplementation with the probiotics compared with the placebo. However, no
significant changes in other lipid profiles were seen with the intervention.
CONCLUSION: Overall, the results of our study have demonstrated that taking
probiotic supplements for 6weeks in patients with GDM had beneficial effects on
glycaemic control, triglycerides and VLDL cholesterol concentrations, although
there was no effect on other lipid profiles.
PMID- 27209440
TI - The autoimmune hypothesis for acute bilateral cataract in type 1 diabetes.
PMID- 27209438
TI - Peripartum neuroactive steroid and gamma-aminobutyric acid profiles in women at
risk for postpartum depression.
AB - Neuroactive steroids (NAS) are allosteric modulators of the gamma-aminobutyric
acid (GABA) system. NAS and GABA are implicated in depression. The peripartum
period involves physiologic changes in NAS which may be associated with
peripartum depression and anxiety. We measured peripartum plasma NAS and GABA in
healthy comparison subjects (HCS) and those at-risk for postpartum depression (AR
PPD) due to current mild depressive or anxiety symptoms or a history of
depression. We evaluated 56 peripartum medication-free subjects. We measured
symptoms with the Hamilton Depression Rating Scale (HAM-D17), Hamilton Anxiety
Rating Scale (HAM-A) and Spielberger State-Trait Anxiety Inventory-State (STAI
S). Plasma NAS and GABA were quantified by liquid chromatography-mass
spectrometry. We examined the associations between longitudinal changes in NAS,
GABA and depressive and anxiety symptoms using generalized estimating equation
methods. Peripartum GABA concentration was 1.9+/-0.7ng/mL (p=0.004) lower and
progesterone and pregnanolone were 15.8+/-7.5 (p=0.04) and 1.5+/-0.7ng/mL
(p=0.03) higher in AR-PPD versus HCS, respectively. HAM-D17 was negatively
associated with GABA (beta=-0.14+/-0.05, p=0.01) and positively associated with
pregnanolone (beta=0.16+/-0.06, p=0.01). STAI-S was positively associated with
pregnanolone (beta=0.11+/-0.04, p=0.004), allopregnanolone (beta=0.13+/-0.05,
p=0.006) and pregnenolone (beta=0.02+/-0.01, p=0.04). HAM-A was negatively
associated with GABA (beta=-0.12+/-0.04, p=0.004) and positively associated with
pregnanolone (beta=0.11+/-0.05, p=0.05). Altered peripartum NAS and GABA profiles
in AR-PPD women suggest that their interaction may play an important role in the
pathophysiology of peripartum depression and anxiety.
PMID- 27209441
TI - Impaired RBC deformability is associated with diabetic retinopathy in patients
with type 2 diabetes.
AB - AIM: Red blood cell (RBC) deformability, the ability of RBCs to change shape
under stress, is known to be decreased in type 2 diabetes (T2D). However, as yet
little is known of the association between RBC deformability and diabetic
complications in T2D. For this reason, this study has investigated the
association between RBC deformability and diabetic complications. METHODS: In
this cross-sectional study, 452 T2D patients were initially enrolled. RBC
deformability was measured using a microfluidic ektacytometer and expressed as an
elongation index at 3Pa (EI@3Pa, %). RESULTS: A final total of 373 patients (mean
age: 60.04+/-11.93 years; males: 201) were included in the study. When
categorized into quartiles of RBC deformability, the lower EI@3Pa groups had
higher glycated haemoglobin (HbA1c), triglycerides and prevalence of diabetic
retinopathy compared with the higher quartiles (P<0.05 for trend). In particular,
the EI@3Pa was significantly lower in patients with retinopathy than in those
without retinopathy (30.53+/-1.95 vs 31.20+/-1.53, P=0.001). Between the lowest
EI@3Pa quartile (Q1) to the highest (Q4, reference), the odds ratio (OR) for Q1
was 2.81 (95% CI: 1.21-6.49, P=0.004 for trend), after adjusting for age, gender,
presence of hypertension and smoking, duration of diabetes, HbA1c, glomerular
filtration rate and triglycerides. CONCLUSION: In terms of diabetic
complications, the lowest EI@3Pa group was closely associated with only the risk
of diabetic retinopathy in our study. These results suggest that RBC
deformability might be contributory to the development of the microvascular
complication.
PMID- 27209442
TI - Cardiac Diastolic Dysfunction is Associated With Aortic Wave Reflection, but Not
Stiffness in a Predominantly Young-to-Middle-Aged Community Sample.
AB - BACKGROUND: Whether the impact of backward wave pressures (Pbs) on left
ventricular (LV) diastolic dysfunction (DD) antedates the effects of aortic
stiffness is uncertain. We compared the relative contribution of various aortic
hemodynamic parameters to preclinical DD in a predominantly young-to-middle-aged
community-based sample. METHODS: In 524 randomly selected participants of African
ancestry (mean age = 46.8+/-18.4 years), we assessed central aortic pulse
pressure (PPc), forward wave pressure (Pf), Pb, augmented pressure (Pa), the time
to-wave reflection (Rt), and aortic pulse wave velocity (PWV) using applanation
tonometry (SphygmoCor software). LV mass index (LVMI), early to late transmitral
velocity (E/A), and E/velocity of myocardial tissue lengthening (E/e') were
determined using echocardiography. RESULTS: Independent of age, sex, mean
arterial pressure, body mass index, diabetes mellitus and/or HbA1c > 6.1%,
regular smoking, regular alcohol intake, treatment for hypertension, pulse rate,
and LVMI; PPc (P < 0.002), Pb (P < 0.0005), Pa (P < 0.002), and Pf (P < 0.02),
but not Rt or PWV were independently associated with E/e' (but not with E/A).
With adjustments for confounders, PPc (P < 0.005), Pb (P < 0.002), and Pa (P <
0.001), but not Pf, Rt, or PWV were independently associated with E/e' >= 12
(moderate-to-severe DD, n = 69). The independent relations between PPc and E/e'
or moderate-to-severe DD were not affected by adjustments for PWV, Pf, or Rt, but
were abolished with adjustments for Pb. CONCLUSIONS: In a predominantly young-to
middle-aged community sample, the impact of Pbs on LV DD antedates the effects of
aortic stiffness, the time-to-wave reflection, or Pfs.
PMID- 27209444
TI - Uddanam Nephropathy/Regional Nephropathy in India: Preliminary Findings and a
Plea for Further Research.
PMID- 27209445
TI - Pterygopalatine fossa metastasis with severe trigeminal neuralgia from
neuroendocrine carcinoma of the breast.
AB - A 57-year-old woman presented to our department with a 2-month history of pain
and paresthesia on the left side of the face 12 years after having undergone
surgery for breast cancer. We performed an endoscopic biopsy and diagnosed
metastatic breast cancer to the pterygopalatine fossa. There has been no
recurrence for two years since the metastatic tumor was treated by radiation
therapy. A literature search shows only one case of metastatic breast cancer with
severe trigeminal neuralgia located in the V2 division of the trigeminal nerve
area. Metastatic disease should be considered part of the differential diagnosis
in patients presenting with trigeminal neuropathy.
PMID- 27209443
TI - Race/Ethnicity and Cardiovascular Outcomes in Adults With CKD: Findings From the
CRIC (Chronic Renal Insufficiency Cohort) and Hispanic CRIC Studies.
AB - BACKGROUND: Non-Hispanic blacks and Hispanics with end-stage renal disease have a
lower risk for death than non-Hispanic whites, but data for racial/ethnic
variation in cardiovascular outcomes for non-dialysis-dependent chronic kidney
disease are limited. STUDY DESIGN: Prospective cohort. SETTING & PARTICIPANTS:
3,785 adults with entry estimated glomerular filtration rates of 20 to
70mL/min/1.73m(2) enrolled in the CRIC (Chronic Renal Insufficiency Cohort)
Study. PREDICTORS: Race/ethnicity (non-Hispanic white, non-Hispanic black, and
Hispanic). OUTCOMES: Cardiovascular outcomes (atherosclerotic events [myocardial
infarction, stroke, or peripheral arterial disease] and heart failure) and a
composite of each cardiovascular outcome or all-cause death. MEASUREMENTS:
Multivariable Cox proportional hazards. RESULTS: During a median follow-up of 6.6
years, we observed 506 atherosclerotic events, 551 heart failure events, and 692
deaths. In regression analyses, there were no significant differences in
atherosclerotic events among the 3 racial/ethnic groups. In analyses stratified
by clinical site, non-Hispanic blacks had a higher risk for heart failure events
(HR, 1.59; 95% CI, 1.29-1.95), which became nonsignificant after adjustment for
demographic factors and baseline kidney function. In contrast, Hispanics had
similar risk for heart failure events as non-Hispanic whites. In analyses
stratified by clinical site, compared with non-Hispanic whites, non-Hispanic
blacks were at similar risk for atherosclerotic events or death. However, after
further adjustment for cardiovascular risk factors, medications, and mineral
metabolism markers, non-Hispanic blacks had 17% lower risk for the outcome (HR,
0.83; 95% CI, 0.69-0.99) than non-Hispanic whites, whereas there was no
significant association with Hispanic ethnicity. LIMITATIONS: Hispanics were
largely recruited from a single center, and the study was underpowered to
evaluate the association between Hispanic ethnicity and mortality. CONCLUSIONS:
There were no significant racial/ethnic differences in adjusted risk for
atherosclerotic or heart failure outcomes. Future research is needed to better
explain the reduced risk for atherosclerotic events or death in non-Hispanic
blacks compared with non-Hispanic whites.
PMID- 27209446
TI - Targeted virus detection in next-generation sequencing data using an automated e
probe based approach.
AB - The use of next-generation sequencing for plant virus detection is rapidly
expanding, necessitating the development of bioinformatic pipelines to support
analysis of these large datasets. Pipelines need to be easy implementable to
mitigate potential insufficient computational infrastructure and/or skills. In
this study user-friendly software was developed for the targeted detection of
plant viruses based on e-probes. It can be used for both custom e-probe design,
as well as screening preloaded probes against raw NGS data for virus detection.
The pipeline was compared to de novo assembly-based virus detection in grapevine
and produced comparable results, requiring less time and computational resources.
The software, named Truffle, is available for the design and screening of e
probes tailored for user-specific virus species and data, along with preloaded
probe-sets for grapevine virus detection.
PMID- 27209447
TI - Binding specificity of P[8] VP8* proteins of rotavirus vaccine strains with histo
blood group antigens.
AB - RotaTeq((r)) and RotarixTM are two common human rotavirus (RV) vaccines currently
on the market worldwide. Recent studies indicate histo-blood group antigens
(HBGAs) may be attachment factors for RVs. The P[8] VP8* proteins of RotaTeq and
Rotarix were expressed and purified, and their binding specificities were
evaluated. Saliva-based binding assays showed that the VP8* proteins bound to the
saliva samples of secretors irrespective of ABO blood types. However, in the
oligosaccharide binding assay, the VP8* proteins displayed no specific binding to
the HBGAs tested, including Lewis b and H1. The structure of RotaTeq P[8] VP8*
was solved at 1.9A. Structural comparisons revealed that the putative receptor
binding site was different to that of other genotypes and displayed a novel
potential binding region. These findings indicate RotaTeq and Rotarix may have
better efficiency in areas with a high percentage of secretors.
PMID- 27209448
TI - Novel 6xHis tagged foot-and-mouth disease virus vaccine bound to nanolipoprotein
adjuvant via metal ions provides antigenic distinction and effective protective
immunity.
AB - Here, we engineered two FMD viruses with histidine residues inserted into or
fused to the FMDV capsid. Both 6xHis viruses exhibited growth kinetics, plaque
morphologies and antigenic characteristics similar to wild-type virus. The 6xHis
tag allowed one-step purification of the mutant virions by Co(2+) affinity
columns. Electron microscopy and biochemical assays showed that the 6xHis FMDVs
readily assembled into antigen: adjuvant complexes in solution, by conjugating
with Ni(2+)-chelated nanolipoprotein and monophosphoryl lipid A adjuvant
(MPLA:NiNLP). Animals Immunized with the inactivated 6xHis-FMDV:MPLA:NiNLP
vaccine acquired enhanced protective immunity against FMDV challenge compared to
virions alone. Induction of anti-6xHis and anti-FMDV neutralizing antibodies in
the immunized animals could be exploited in the differentiation of vaccinated
from infected animals needed for the improvement of FMD control measures. The
novel marker vaccine/nanolipid technology described here has broad applications
for the development of distinctive and effective immune responses to other
pathogens of importance.
PMID- 27209450
TI - Forced degradation study of racecadotril: Effect of co-solvent, characterization
of degradation products by UHPLC-Q-TOF-MS/MS, NMR and cytotoxicity assay.
AB - Racecadotril, an enkephalinase inhibitor, was subjected to hydrolysis (acidic and
alkaline), oxidation, photolysis and thermal stress, as per ICH specified
conditions. The drug showed extensive degradation under acidic, basic hydrolysis
and oxidative stress conditions whereas, it was stable under other stress
conditions. A total of seven degradation products (DPs) were observed. The
chromatographic separation was optimized on Acquity HSS Cyano (100*2.1mm, 1.8MU)
column using 0.1% formic acid and acetonitrile as mobile phase in gradient mode.
Six DPs were characterised by LC-MS/MS and DP1 by GC-MS. The major DPs (DP 2 and
DP 5) were isolated and characterised by NMR. This is a typical case of
degradation where co solvent methanol reacts with racecadotril leading to the
formation of pseudo DPs, DP 6 and DP 5. Interestingly the MS/MS spectra of
protonated drug, DP 4 and DP 7 showed product ions which were formed due to
intramolecular benzyl migrations. In vitro cytotoxic activity studies on isolated
DP 2 and DP 5 revealed that the former has no cytotoxic nature, whereas the
latter has potential pulmonary and hepatic toxicity.
PMID- 27209449
TI - A new quantification method for assessing plasma concentrations of pemetrexed and
its polyglutamate metabolites.
AB - Currently no quantification method exists for potentially therapeutically
relevant polyglutamate metabolites of the drug pemetrexed which is used for the
treatment of lung carcinoma patients. We developed and tested an LC-MS/MS-based
analytical assay that uses isotope-labeled internal standards to quantify
pemetrexed and its (poly)glutamate metabolites in clinical human plasma samples
of lung carcinoma patients. UHPLC chromatography and triple quadrupole mass
spectrometry showed an LLOQ of 0.2nmol/L for pemetrexed and an LLOQ of 0.5nmol/L
for the two metabolites (one glutamate and two glutamate moieties covalently
bound to the pemetrexed molecule, for which no other quantification methods have
previously been published). The recoveries for PMTX and its metabolites ranged
between 30% and 67%. Precision and accuracy at a concentration of 20nmol/L for
all four analytes was well below 15% CV. The precision (RSD) in the biological
replicates of the separate days (within-run precision) as well as the
reproducibility over several days (between-run precision), tested in the range of
5-250nmol/L, were all below 15%. Autosampler, benchtop and freeze-thaw cycle
stability of the analytes was also demonstrated. To illustrate the new assay in a
relevant biological context, concentrations of pemetrexed and the two metabolites
were quantified in plasma samples of lung carcinoma patients treated with
pemetrexed. The assay is straightforward, relatively easy to perform, and has
potential for use in therapeutic drug monitoring in non-small cell lung carcinoma
patients.
PMID- 27209451
TI - Identification, characterization and HPLC quantification of process-related
impurities in Trelagliptin succinate bulk drug: Six identified as new compounds.
AB - A sensitive, selective and stability indicating reversed-phase LC method was
developed for the determination of process related impurities of Trelagliptin
succinate in bulk drug. Six impurities were identified by LC-MS. Further, their
structures were characterized and confirmed utilizing LC-MS/MS, IR and NMR
spectral data. The most probable mechanisms for the formation of these impurities
were also discussed. To the best of our knowledge, six structures among these
impurities are new compounds and have not been reported previously. The superior
separation was achieved on an InertSustain C18 (250mm*4.6mm, 5MUm) column in a
gradient mixture of acetonitrile and 20mmol potassium dihydrogen phosphate with
0.25% triethylamine (pH adjusted to 3.5 with phosphate acid). The method was
validated as per regulatory guidelines to demonstrate system suitability,
specificity, sensitivity, linearity, robustness, and stability.
PMID- 27209452
TI - Multifaceted effects of HZSM-5 (Proton-exchanged Zeolite Socony Mobil-5) on
catalytic cracking of pinewood pyrolysis vapor in a two-stage fixed bed reactor.
AB - The pinewood was pyrolyzed in the first reactor at a heating rate of 10 degrees
Cmin(-1) from room temperature to 700 degrees C, and the vapor was allowed to be
cracked through the second reactor in a temperature range of 450-750 degrees C
without and with HZSM-5. Attempts were made to determine a wide spectrum of
gaseous and liquid products, as well as the mass and element partitions to gas,
water, bio-oil, coke and char. HZSM-5 showed a preferential deoxygenation effect
via the facilitated decarbonylation and decarboxylation with the inhibited
dehydration at 550-600 degrees C. This catalyst also displayed a high selectivity
for the formations of aromatic hydrocarbons and olefins by the promoted hydrogen
transfer to these products at 550-600 degrees C. The bio-oil produced with HZSM-5
at 500-600 degrees C had the yields of 14.5-16.8%, the high heat values of 39.1
42.4MJkg(-1), and the energy recoveries of 33-35% (all dry biomass basis).
PMID- 27209454
TI - Energetic-environmental-economic assessment of the biogas system with three
utilization pathways: Combined heat and power, biomethane and fuel cell.
AB - A typical biogas system with three utilization pathways, i.e., biogas upgrading,
biogas combined heat and power (CHP), biogas solid oxide fuel cells (SOFCs) were
designed. It was assessed from the viewpoint of energy, environment and economy
by using energy efficiency, green degree and net present value index
respectively. The assessment considered the trade-off relationships among these
indexes, which is more comprehensive than previous systematic evaluation work
only included single or two of the pathway(s) by using one or two of the
index(es). Assessment results indicated that biogas upgrading pathway has the
highest systematic energy efficiency (46.5%) and shortest payback period
(8.9year) with the green degree production is the lowest (9.29gd/day). While for
biogas SOFC pathway, although the green degree production is the highest
(21.77gd/day), the payback period is longer (14.5year) and the energy efficiency
is 13.6% lower than the biogas upgrading pathway.
PMID- 27209453
TI - Correlations between bacterial populations and process parameters in four full
scale anaerobic digesters treating sewage sludge.
AB - Process parameters and bacterial populations were investigated in four full-scale
anaerobic digesters treating sewage sludge. Although the four digesters were
operated under similar conditions, digesters A and B had higher pH (7.2-7.4) and
lipid removal efficiencies (>50%) than C and D (pH 6.1-6.4; average lipid removal
<16%). Bacterial richness, diversity, and evenness were higher in digesters C and
D. Among the top-populated genera, ten (group I) were more abundant in digesters
A and/or B; they were putative syntrophic fatty acid or protein/amino acid
utilizers. In contrast, fifteen others (group II) were less abundant in A and/or
B and included potentially dormant/dead cells originated from activated sludge.
Despite the overall richness trend, the presence of the 25 genera in groups I/II
was greater in digesters A and B (24) than in C and D (17); this observation
suggests that group I bacteria might be essential in AD of sewage sludge.
PMID- 27209455
TI - Discrepant membrane fouling of partial nitrification and anammox membrane
bioreactor operated at the same nitrogen loading rate.
AB - In this study, two times more serious membrane fouling was found in anammox
membrane bioreactor, compared to partial nitrification membrane bioreactor (PN
MBR) operated at the same nitrogen loading rate. By protein, polysaccharide,
amino acids and functional groups analysis, it was found that the discrepancy in
membrane fouling was virtually due to the difference in microbial products of
nitrifiers and anammox bacteria. Protein and polysaccharide were main foulants on
membrane surface; meanwhile theirs content and ratio in the EPS, supernatant and
membrane surface were significantly different in PN-MBR and anammox-MBR. The
anammox metabolism products contained much more hydrophobic organics, hydrophobic
amino acids, and hydrophobic functional groups than nitrifiers. A mass of anammox
bacteria as well as hydrophobic metabolism products deposited on the hydrophobic
membrane surface and formed serious fouling. In further, hydrophilic modification
is more urgently needed to mitigate membrane fouling when running anammox-MBR,
than PN-MBR.
PMID- 27209456
TI - Ultrasounds pretreatment of olive pomace to improve xylanase and cellulase
production by solid-state fermentation.
AB - Olive mills generate a large amount of waste that can be revaluated. This work
aim to improve the production lignocellulolytic enzymes by solid-state
fermentation using ultrasounds pretreated olive mill wastes. The composition of
olive mill wastes (crude and exhausted olive pomace) was compared and several
physicochemical characteristics were significantly different. The use of both
wastes in SSF was evaluated and a screening of fungi for xylanase and cellulase
production was carried out. After screening, the use of exhausted olive pomace
and Aspergillus niger led to the highest enzyme activities, so that they were
used in the study of ultrasounds pre-treatment. The results showed that the
sonication led to a 3-fold increase of xylanase activity and a decrease of
cellulase activity. Moreover, the liquid fraction obtained from ultrasounds
treatment was used to adjust the moisture of solid and a positive effect on
xylanase (3.6-fold increase) and cellulase (1.2-fold increase) production was
obtained.
PMID- 27209458
TI - Post-thrombotic syndrome.
PMID- 27209459
TI - What do experts think about heart failure guidelines?
PMID- 27209457
TI - Evaluation of hydrolysis-esterification biodiesel production from wet microalgae.
AB - Wet microalgae hydrolysis-esterification route has the advantage to avoid the
energy-intensive units (e.g. drying and lipid extraction) in the biodiesel
production process. In this study, techno-economic evaluation of hydrolysis
esterification biodiesel production process was carried out and compared with
conventional (usually including drying, lipid extraction, esterification and
transesterification) biodiesel production process. Energy and material balance of
the conventional and hydrolysis-esterification processes was evaluated by Aspen
Plus. The simulation results indicated that drying (2.36MJ/L biodiesel) and
triolein transesterification (1.89MJ/L biodiesel) are the dominant energy
intensive stages in the conventional route (5.42MJ/L biodiesel). By contrast, the
total energy consumption of hydrolysis-esterification route can be reduced to
1.81MJ/L biodiesel, and approximately 3.61MJ can be saved to produce per liter
biodiesel.
PMID- 27209460
TI - Effect of Molecular Structure on the Relative Hydrogen Peroxide Scavenging
Ability of Some alpha-Keto Carboxylic Acids.
AB - The alpha-keto carboxylic acid, pyruvic acid (1) was found to be a very effective
peroxide scavenger but is subject to an aldol-like self
condensation/polymerization reaction. The purpose of this study was to evaluate
the hydrogen peroxide, H2O2, scavenging ability of 3-methyl-2-oxobutanoic acid
(2), 4-methyl-2-oxopentanoic acid (3), and 2-oxo-2-phenylacetic acid
(phenylglyoxylic acid, 4) in the pH range 2-9 at 25 degrees C and the effect of
molecular structure on the relative reactivity. The reaction with H2O2 was
followed by UV spectrophotometry at 220 or 260 nm and high-performance liquid
chromatography. Pseudo-first order, buffer-independent decarboxylation kinetics
were observed in the presence of molar excess H2O2. The second-order rate
constants for 2-4 followed a sigmoidal shape and mechanism similar to pyruvic
acid. Pyruvic acid was a superior H2O2 scavenger to 2-4 over the pH range 2-9 but
4 was more reactive than 2 and 3 at pH values above 6. There was a qualitative
correlation between the degree of keto-group hydration and reactivity of the
acids in the pH range 4-6 while the data above pH 7 suggested that the intrinsic
decarboxylation step for 4 was faster than for pyruvic acid. Differences in
reactivity to molecular structure were analyzed.
PMID- 27209461
TI - Biotin-Conjugated Multilayer Poly [D,L-lactide-co-glycolide]-Lecithin
Polyethylene Glycol Nanoparticles for Targeted Delivery of Doxorubicin.
AB - Multilayer nanoparticle combining the merits of liposome and polymer nanoparticle
has been designed for the targeted delivery of doxorubicin (DOX) in cancer
treatment. In this study, DOX-PLGA-lecithin-PEG-biotin nanoparticles (DOX-PLPB
NPs) were fabricated and functionalized with biotin for specific tumor targeting.
Under the transmission electron microscopy observation, the lipid layer was found
to be coated on the polymer core. The physical characteristics of PLPB-NPs were
also evaluated. The confocal laser scanning microscopy confirmed the cellular
uptake of nanoparticles and targeted delivery PLPB-NPs. The in vitro release
experiment demonstrated a pH-depending release of DOX from drug-loaded PLPB-NPs.
Cytotoxicity studies in HepG2 cells and in vivo antitumor experiment in tumor
bearing mice both proved DOX-PLPB-NPs showed the best inhibition effect of tumor
proliferation. In biodistribution studies, DOX-PLPB-NPs showed a higher DOX
concentration than free DOX and DOX-PLGA-lecithin-PEG nanoparticles (DOX-PLP-NPs)
in tumor site, especially in 24 h, and the lowest DOX level in normal organs. The
results were coincident with the strongest antitumor ability showed among in vivo
antitumor experiment. Histopathology analysis demonstrated that DOX-PLPB-NPs
exhibited the strongest antitumor ability and lowest cardiotoxicity. In brief,
the PLPB-NPs were proved to be an efficient delivery system for tumor-targeting
treatment.
PMID- 27209462
TI - Brain-Targeting Chemical Delivery Systems and Their Cyclodextrin-Based
Formulations in Light of the Contributions of Marcus E. Brewster.
AB - Here, we present a brief review of brain-targeting chemical delivery systems
(CDSs) and their cyclodextrin-based formulations. It is dedicated to the memory
of Marcus E. Brewster (1957-2014) and highlights those aspects where he made
particularly valuable contributions. During the first two decades of his
scientific career that were dedicated to these fields (1978-1997), Marcus was
involved in the development of several brain-targeted redox compounds, including
design, activity assays, physicochemical characterization, computational modeling
of theoretical aspects, and development of cyclodextrin-based formulation for
increased stability and water solubility, as well as preclinical and clinical
testing. CDSs are designed to provide site-specific or site-enhanced delivery
through sequential, multistep enzymatic, and chemical transformations. Brain
targeting CDSs incorporate a redox targetor that undergoes enzymatic
transformation resulting in a drastic change in physicochemical properties. They
can not only increase central nervous system access by making the molecule more
lipophilic and enabling its diffusion through the blood-brain barrier, but they
can also provide more sustained release by "locking" it behind the blood-brain
barrier by subsequently converting it into a hydrophilic intermediate. The
origins of the concept (Pro-2-PAM, berberine), one of the most important
representative (estradiol-CDS), and the introduction of 2-hydroxypropyl-beta
cyclodextrin for improved formulations are discussed in detail.
PMID- 27209463
TI - Interaction between rs10830963 polymorphism in MTNR1B and lifestyle intervention
on occurrence of gestational diabetes.
AB - AIMS/HYPOTHESIS: The aim of this study was to assess the interaction between
melatonin receptor 1B gene (MTNR1B) rs10830963 polymorphism and lifestyle
intervention during pregnancy on occurrence of gestational diabetes mellitus
(GDM) in high-risk women. METHODS: This is a secondary analysis of the randomised
controlled gestational diabetes prevention trial 'RADIEL', conducted between 2008
and 2014 in four maternity hospitals in southern Finland. A total of 226 women
with a history of GDM and/or a pre-pregnancy BMI >= 30 kg/m(2) were enrolled at
<20 weeks of gestation (mean 13 weeks) and randomised into an intervention group
receiving counselling on diet, physical activity and weight control and a control
group receiving standard antenatal care. The main outcome was incidence of GDM,
defined as one or more pathological glucose values in a standard 75 g 2-h OGTT.
The MTNR1B rs10830963 was genotyped for further analyses. RESULTS: No significant
differences were found in the genotype distribution between the intervention and
the control group. A significant interaction was observed between the rs10830963
genotypes and the lifestyle intervention on age-adjusted occurrence of
gestational diabetes (p = 0.038). Among women homozygous for the C allele of
rs10830963, the OR for GDM was significantly lower in the intervention group than
in the control group (OR 0.16 [95% CI 0.03, 0.85], p = 0.014). This difference
was not seen in women heterozygous (OR 0.88 [95% CI 0.32, 2.41], p = 0.798) or
homozygous (OR 2.25 [95% CI 0.34, 14.69], p = 0.384) for the risk allele G.
CONCLUSIONS/INTERPRETATION: In women at high risk of GDM, only those not carrying
the risk allele G benefited from the lifestyle intervention. Our results indicate
that certain genetic risk variants may modify the effectiveness of lifestyle
interventions. This may provide important information when planning GDM
prevention studies in the future.
PMID- 27209466
TI - [Role of care surgery in the treatment of pseudomembranous colitis].
AB - BACKGROUND: Pseudomembranous colitis, caused by Clostridium difficile, has seen
an increased incidence in recent years, driven mainly by the indiscriminate use
of antibiotics. Although initial treatment is medical, the role of emergency
surgery has gained ground due to high mortality and the emergence of increasingly
virulent strains. In our country the prevalence is still low so that sometimes
our experience in handling is limited. AIM: To analyze our surgical experience in
treatment of this disease and to remember the role of surgery as well as some
technical aspects of it. CLINICAL CASES: We present 2 cases of patients who have
suffered a fulminant pseudomembranous colitis unresponsive to initial medical
treatment and requiring urgent surgical intervention with a good response to it.
CONCLUSIONS: It is important to keep in mind the surgical option in treatment of
pseudomembranous colitis, especially when it presents as fulminant colitis, there
are associated complications or failure to respond to medical treatment.
PMID- 27209465
TI - Late-night salivary cortisol may be valuable for assessing treatment response in
patients with Cushing's disease: 12-month, Phase III pasireotide study.
AB - Measuring salivary cortisol is a simple, convenient and accurate technique with
potential value in monitoring patients with hypercortisolism. This analysis
reports changes in late-night salivary cortisol (LNSC) during a 12-month,
multicentre, Phase III study of patients with Cushing's disease who were
randomized to pasireotide 600 or 900 MUg sc bid. LNSC assessment was an
exploratory objective based on a single, optional measurement at midnight +/- 1 h
on the same day as one of the 24-h urinary free cortisol (UFC) measurements. Of
162 enrolled patients, baseline LNSC was measured in 93. Sixty-seven patients had
levels above the upper limit of normal (ULN); median baseline levels were 19.7
and 20.7 nmol/L in the groups subsequently randomized to 600 MUg (n = 40) and 900
MUg (n = 27), respectively. Median LNSC levels decreased from baseline to month
12; median changes in patients who had baseline LNSC > ULN in the 600 and 900 MUg
groups were -13.4 nmol/L (-52.6 %; n = 19) and -11.8 nmol/L (-56.1 %; n = 14),
respectively. LNSC normalized at months 6 and 12 in 25/67 (37.3 %) and 13/67
(19.4 %) patients, respectively; 10/25 and 8/13 patients also had normalized UFC,
and 7/25 and 4/13 had partial UFC control (UFC > ULN and >=50 % decrease from
baseline). There was a moderate correlation (r = 0.55) on the log scale between
individual patient LNSC and UFC values when all time points were pooled.
Pasireotide decreased LNSC levels during 12 months of treatment. Salivary
cortisol may be a simple, convenient biomarker for assessing treatment response
in patients with Cushing's disease.
PMID- 27209464
TI - Loss of BMP receptor type 1A in murine adipose tissue attenuates age-related
onset of insulin resistance.
AB - AIMS/HYPOTHESIS: Adipose tissue dysfunction is a prime risk factor for the
development of metabolic disease. Bone morphogenetic proteins (BMPs) have
previously been implicated in adipocyte formation. Here, we investigate the role
of BMP signalling in adipose tissue health and systemic glucose homeostasis.
METHODS: We employed the Cre/loxP system to generate mouse models with
conditional ablation of BMP receptor 1A in differentiating and mature adipocytes,
as well as tissue-resident myeloid cells. Metabolic variables were assessed by
glucose and insulin tolerance testing, insulin-stimulated glucose uptake and gene
expression analysis. RESULTS: Conditional deletion of Bmpr1a using the aP2 (also
known as Fabp4)-Cre strain resulted in a complex phenotype. Knockout mice were
clearly resistant to age-related impairment of insulin sensitivity during normal
and high-fat-diet feeding and showed significantly improved insulin-stimulated
glucose uptake in brown adipose tissue and skeletal muscle. Moreover, knockouts
displayed significant reduction of variables of adipose tissue inflammation.
Deletion of Bmpr1a in myeloid cells had no impact on insulin sensitivity, while
ablation of Bmpr1a in mature adipocytes partially recapitulated the initial
phenotype from aP2-Cre driven deletion. Co-cultivation of macrophages with pre
adipocytes lacking Bmpr1a markedly reduced expression of proinflammatory genes.
CONCLUSIONS/INTERPRETATION: Our findings show that altered BMP signalling in
adipose tissue affects the tissue's metabolic properties and systemic insulin
resistance by altering the pattern of immune cell infiltration. The phenotype is
due to ablation of Bmpr1a specifically in pre-adipocytes and maturing adipocytes
rather than an immune cell-autonomous effect. Mechanistically, we provide
evidence for a BMP-mediated direct crosstalk between pre-adipocytes and
macrophages.
PMID- 27209467
TI - Measuring Men's Gender Norms and Gender Role Conflict/Stress in a High HIV
Prevalence South African Setting.
AB - Gender norms and gender role conflict/stress may influence HIV risk behaviors
among men; however scales measuring these constructs need further development and
evaluation in African settings. We conducted exploratory and confirmatory factor
analyses to evaluate the Gender Equitable Men's Scale (GEMS) and the Gender Role
Conflict/Stress (GRC/S) scale among 581 men in rural northeast South Africa. The
final 17-item GEMS was unidimensional, with adequate model fit and reliability
(alpha = 0.79). Factor loadings were low (0.2-0.3) for items related to violence
and sexual relationships. The final 24-item GRC/S scale was multidimensional with
four factors: Success, power, competition; Subordination to women; Restrictive
emotionality; and Sexual prowess. The scale had adequate model fit and good
reliability (alpha = 0.83). While GEMS is a good measure of inequitable gender
norms, new or revised scale items may need to be explored in the South African
context. Adding the GRC/S scale to capture men's strain related to gender roles
could provide important insights into men's risk behaviors.
PMID- 27209468
TI - Bone metastases in patients with small cell lung carcinoma: rate of development,
early versus late onset, modality of treatment, and their impact on survival. A
single-institution retrospective cohort study.
AB - The aim of the present study was to further explore the impact of bone metastases
(BMs) and their therapeutic management on the overall prognosis of patients with
small cell lung carcinoma (SCLC). We performed a retrospective analysis of
medical records of 363 patients with histologically or cytologically confirmed
SCLC, diagnosed and treated in the Oncology Unit of Sotiria Athens General
Hospital, between January 2003 and December 2012. Demographic and
clinicopathological features, including BMs, their time point of development
(early onset/at diagnosis versus late onset/at a subsequent time point),
treatment modality for BMs (radiotherapy, bisphosphonates or both) and the
presence of skeletal-related events (SREs), were correlated with overall survival
(OS). Survival analysis was performed using the Kaplan-Meier method, log-rank
tests and Cox regression analysis. Overall, 130/363 patients (35.8 %) were
diagnosed with either early-onset (97/363 cases, 26.7 %) or late-onset BMs
(33/363 cases, 9.1 %). Patients with early-onset BMs had a reduced OS as compared
to those with late-onset BMs [Hazard ratio (HR) 0.61; 95 % Confidence interval
(CI) 0.41-0.91; p = 0.015) or those without BMs (HR 0.76; 95 % CI 0.6-0.96; p =
0.024). SREs and treatment modality of BMs had no impact on OS. Multiple Cox
regression analysis showed that increased age, poor performance status (PS),
presence of BMs and early onset BMs were independently associated with reduced
OS. The results of our single-institution study suggest that the development of
early-onset BMs may represent an independent predictor of a worse prognosis among
patients with SCLC, in addition to well-established adverse prognostic factors
such as poor PS.
PMID- 27209469
TI - Effects of letrozole on breast cancer micro-metastatic tumor growth in bone and
lung in mice inoculated with murine 4T1 cells.
AB - Breast cancer (BC) is the leading cancer in women worldwide. Metastasis occurs in
stage IV BC with bone and lung being common metastatic sites. Here we evaluate
the effects of the aromatase inhibitor letrozole on BC micro-metastatic tumor
growth in bone and lung metastasis in intact and ovariectomized (OVX) mice with
murine estrogen receptor negative (ER-) BC cells inoculated in tibia. Forty-eight
BALB/c mice were randomly assigned to one of four groups: OVX, OVX + Letrozole,
Intact, and Intact + Letrozole, and injected with 4T1 cells intra-tibially.
Letrozole was subcutaneously injected daily for 23 days at a dose of 1.75 ug/g
body weight. Tumor progression was monitored by bioluminescence imaging (BLI).
Following necropsy, inoculated tibiae were scanned via uCT and bone response to
tumor was scored from 0 (no ectopic mineralization/osteolysis) to 5 (extensive
ectopic mineralization/osteolysis). OVX mice had higher tibial pathology scores
indicative of more extensive bone destruction than intact mice, irrespective of
letrozole treatment. Letrozole decreased serum estradiol levels and reduced lung
surface tumor numbers in intact animals. Furthermore, mice receiving letrozole
had significantly fewer tumor colonies and fewer proliferative cells in the lung
than OVX and intact controls based on H&E and Ki-67 staining, respectively. In
conclusion, BC-inoculated OVX animals had higher tibia pathology scores than BC
inoculated intact animals and letrozole reduced BC metastases to lungs. These
findings suggest that, by lowering systemic estrogen level and/or by interacting
with the host organ, the aromatase inhibitor letrozole has the potential to
reduce ER- BC metastasis to lung.
PMID- 27209470
TI - The "steppingstone" phenomenon: a new endoscopic finding in slit-ventricle
syndrome.
AB - Slit-ventricle syndrome (SVS) seems to encompass various pathophysiological
abnormalities, including overdrainage of cerebrospinal fluid (CSF) and
craniocerebral disproportion after extracranial CSF shunt placement. These
pathologies result in small ventricle morphologically, and the ventricular
catheter is obstructed by the collapsed ventricular walls. Patients with
intermittent headaches, small ventricles on neuroimaging, and slow refill of the
shunt reservoir are diagnosed with SVS. In this report, we present a case of SVS
treated endoscopically. We detected bulges in a zigzag line on the ventricular
walls according to side holes of the ventricular tube, and named them
"steppingstone" phenomenon. It is a curious finding which directly shows that the
intermittent obstruction of the ventricular tube occurs in patients with SVS. No
previous articles have reported this phenomenon, and it indicates adequate
treatment for SVS should be provided.
PMID- 27209472
TI - Pathological effects of chronic myocardial infarction on peripheral neurons
mediating cardiac neurotransmission.
AB - OBJECTIVE: To determine whether chronic myocardial infarction (MI) induces
structural and neurochemical changes in neurons within afferent and efferent
ganglia mediating cardiac neurotransmission. METHODS: Neuronal somata in i) right
atrial (RAGP) and ii) ventral interventricular ganglionated plexi (VIVGP), iii)
stellate ganglia (SG) and iv) T1-2 dorsal root ganglia (DRG) bilaterally derived
from normal (n=8) vs. chronic MI (n=8) porcine subjects were studied. We examined
whether the morphology and neuronal nitric oxide synthase (nNOS) expression in
soma of RAGP, VIVGP, DRG and SG neurons were altered as a consequence of chronic
MI. In DRG, we also examined immunoreactivity of calcitonin gene related peptide
(CGRP), a marker of afferent neurons. Chronic MI increased neuronal size and nNOS
immunoreactivity in VIVGP (but not RAGP), as well as in the SG bilaterally.
Across these ganglia, the increase in neuronal size was more pronounced in nNOS
immunoreactive neurons. In the DRG, chronic MI also caused neuronal enlargement,
and increased CGRP immunoreactivity. Further, DRG neurons expressing both nNOS
and CGRP were increased in MI animals compared to controls, and represented a
shift from double negative neurons. CONCLUSIONS: Chronic MI impacts diverse
elements within the peripheral cardiac neuraxis. That chronic MI imposes such
widespread, diverse remodeling of the peripheral cardiac neuraxis must be taken
into consideration when contemplating neuronal regulation of the ischemic heart.
PMID- 27209473
TI - Surgical options for Chinese patients with early invasive breast cancer: Data
from the Hong Kong Breast Cancer Registry.
AB - BACKGROUND: Breast conserving surgery (BCS) is preferred for suitable candidates,
while mastectomy (MTX) with reconstruction (MTX + R) is considered a better
option for patients requiring MTX. In Hong Kong, the rates of BCS and breast
reconstruction are relatively low. This paper aims to study the surgical options
and their predictors among Hong Kong breast cancer patients. METHODS: Data is
retrieved from the Hong Kong Breast Cancer Registry (HKBCR) from 2007 to 2013. A
total of 4519 Stage I-II breast cancer patients who had surgical treatments were
included in this retrospective study. RESULTS: Our multivariate logistic
regression shows that people who were younger (age < 40 years: OR, 1.5; 95% CI,
1.1-2.1; p = 0.010), more educated (undergraduate/postgraduate: OR, 2.8; 95% CI,
1.7-4.4; p < 0.0001), never married (OR, 1.5; 95% CI, 1.1-1.9; p = 0.002), had
regular mammography screening (OR, 1.5; 95% CI, 1.3-1.8; p < 0.0001), had screen
detected cancers (OR, 1.3; 95% CI, 1.0-1.6; p = 0.031), and who underwent surgery
at a private medical service facility (OR, 1.8; 95% CI, 1.6-2.2; p < 0.0001) were
more likely to receive BCS. In addition, people who were younger (age < 40 years:
OR, 15.9; 95% CI, 6.5-39.2; p < 0.0001), more educated
(undergraduate/postgraduate: OR, 26.8; 95% CI, 3.6-201.4; p = 0.001), had regular
mammography screening (OR, 1.6; 95% CI, 1.1-2.3; p = 0.008), had screen-detected
cancers (OR, 2.1; 95% CI, 1.4-3.3; p = 0.001), and had smaller tumor (<= 2.0 cm:
OR, 0.39; 95% CI, 0.20-0.76; p = 0.005) were more likely to have reconstruction
after MTX. CONCLUSION: Chinese patients have lower BCS and breast reconstruction
rate. Besides cultural difference, patient-related factors such as age,
education, marital status, mammography screening, the use of private medical
facilities, and clinical characteristics including smaller tumor size and
peripherally located tumor were significant predictors for type of surgical
treatments in Chinese women with early breast cancer.
PMID- 27209475
TI - In silico evaluation, molecular docking and QSAR analysis of quinazoline-based
EGFR-T790M inhibitors.
AB - Mutated epidermal growth factor receptor (EGFR-T790M) inhibitors hold promise as
new agents against cancer. Molecular docking and QSAR analysis were performed
based on a series of fifty-three quinazoline derivatives to elucidate key
structural and physicochemical properties affecting inhibitory activity.
Molecular docking analysis identified the true conformations of ligands in the
receptor's active pocket. The structural features of the ligands, expressed as
molecular descriptors, were derived from the obtained docked conformations. Non
linear and spline QSAR models were developed through novel genetic algorithm and
artificial neural network (GA-ANN) and multivariate adaptive regression spline
techniques, respectively. The former technique was employed to consider non
linear relation between molecular descriptors and inhibitory activity of
quinazoline derivatives. The later technique was also used to describe the non
linearity using basis functions and sub-region equations for each descriptor. Our
QSAR model gave a high predictive performance [Formula: see text] and [Formula:
see text]) using diverse validation techniques. Eight new compounds were designed
using our QSAR model as potent EGFR-T790M inhibitors. Overall, the proposed in
silico strategy based on docked derived descriptor and non-linear descriptor
subset selection may help design novel quinazoline derivatives with improved EGFR
T790M inhibitory activity.
PMID- 27209474
TI - A modified open intraperitoneal mesh (Garestin) technique for incisional ventral
hernia repair.
AB - BACKGROUND/OBJECTIVE: Incisional hernias (IHs) are a major problem following
abdominal surgery. In an effort to resolve large IHs adequately, we herein
present our own modified "open intraperitoneal mesh" technique, termed the
Garestin technique. METHODS: We analyzed early postoperative complications (EPCs;
wound infection, hematoma, and seroma) and late postoperative complications
(recurrence) in 124 patients operated for IHs and recurrent IHs (RIHs) using our
new technique. Our technique involved repairing hernias by preserving the hernia
sac, which was later used to conceal the mesh that replaced the abdominal wall
defect, thus dividing the mesh from subcutaneous tissue. RESULTS: We operated 66
patients with IH and 58 patients with RIH. In the 4-week postoperative follow-up,
29 patients had EPC; 9 of them had wound infections that healed upon antibiotic
therapy, without the need for any surgical procedure. Of the 10 patients with
recurrent herniation in the long-term follow-up, 6 previously had EPC.
Recurrences occurred 4-25 months after the operation. CONCLUSION: Our method is
reliable and safe for large ventral hernia disposal, but the final conclusion
requires a larger number of patients and a longer follow-up period.
PMID- 27209471
TI - Nausea and vomiting of pregnancy - What's new?
AB - Nausea and vomiting of pregnancy (NVP) is one of the most common disorders of
pregnancy. The symptoms occur predominantly during the first trimester, although
in a subgroup of patients they can continue throughout the entire pregnancy and
can affect the woman's quality of life. A small percentage of women develop a
severe form of NVP called hyperemesis gravidarum (HG) that if left untreated may
lead to significant maternal morbidity and adverse birth outcomes. Overall, the
morbidity in pregnant women with NVP is significant, although it tends to be
underestimated. The pathogenesis of NVP remains unclear, but there is consensus
that the disorder is multifactorial and that various genetic, endocrine and
infectious factors may be involved. The treatment of NVP can be challenging as
the optimal targets for therapy are not known. Currently, the therapy used
depends on the severity of the disorder and it is focused on improving the
symptoms while minimizing risks to mother and fetus. Therapies range from dietary
changes, pharmacologic treatment or hospitalization with intravenous fluid
replacement and nutrition therapy. The aims of this review are 1) to provide an
overview of NVP, 2) to present possible links between the most important factors
associated with the pathogenesis of NVP and 3) to discuss the effectiveness and
safety of the pharmacologic and non-pharmacologic options available to treat this
disorder.
PMID- 27209476
TI - Synthesis of novel fused quinazolinone derivatives.
AB - A four-step synthetic route was developed for the synthesis of novel fused
quinazolinones, quinazolino[3,4-a]quinazolinones, and isoinodolo[2,1
a]quinazolino[1,2-c]quinazolineones. Reaction of isatoic anhydride and different
amines gave various 2-aminobenzamides. Then, reaction of 2-aminobenzamides with 2
nitrobenzaldehyde followed by the reduction of nitro group afforded 2-(2
aminophenyl)-3-aryl-2,3-dihydroquinazolin-4(1H)-one derivatives. Finally,
reaction of the latter compounds with aromatic aldehydes or 2-formylbenzoic acid
led to the formation of the corresponding products.
PMID- 27209477
TI - Laparoscopic and robotic ureteral stenosis repair: a multi-institutional
experience with a long-term follow-up.
AB - The treatment of ureteral strictures represents a challenge due to the
variability of aetiology, site and extension of the stricture; it ranges from an
end-to-end anastomosis or reimplantation into the bladder with a Boari flap or
Psoas Hitch. Traditionally, these procedures have been done using an open access,
but minimally invasive approaches have gained acceptance. The aim of this study
is to evaluate the safety and feasibility and perioperative results of minimally
invasive surgery for the treatment of ureteral stenosis with a long-term follow
up. Data of 62 laparoscopic (n = 36) and robotic (n = 26) treatments for ureteral
stenosis in 9 Italian centers were reviewed. Patients were followed according to
the referring center's protocol. Laparoscopic and robotic approaches were
compared. All the procedures were completed successfully without open conversion.
Average estimated blood loss in the two groups was 91.2 +/- 71.9 cc for the
laparoscopic and 47.2 +/- 32.3 cc for the robotic, respectively (p = 0.004). Mean
days of hospitalization were 5.9 +/- 2.4 for the laparoscopic group and 7.6 +/-
3.4 for the robotic group (p = 0.006). No differences were found in terms of
operative time and post-operative complications. After a median follow-up of 27
months, the robotic group yielded 2 stenosis recurrence, instead the laparoscopic
group shows no cases of recurrence (p = 0.091). Minimally invasive approach for
ureteral stenosis is safe and feasible. Both robotic and pure laparoscopic
approaches may offer good results in terms of perioperative outcomes, low
incidence of complications and recurrence.
PMID- 27209479
TI - Impact of early palliative interventions on the outcomes of care for patients
with non-small cell lung cancer.
AB - PURPOSE: The aim of this study is to address the question "does early palliative
care in addition to standard oncology care or late additional palliative care
improve patterns of terminal care in patients who died from non-small cell lung
cancer (NSCLC)?" METHODS: We performed retrospective single-institution study of
286 patients. Palliative care was provided by a dedicated multidisciplinary
palliative care team (PCT). An arbitrarily defined cutoff of 3 months before
death was chosen to distinguish between early and late additional palliative
care. Referral was at the discretion of the treating physicians who provided
standard anticancer treatments. RESULTS: Patients who received early (8 %) or
late (27 %) additional palliative care were significantly younger than those who
did not receive additional palliative care. The likelihood of active anticancer
treatment in the last month of life was lowest in the early additional palliative
care group, p = 0.03. Patients who received early or late additional palliative
care were significantly less likely to lack a documented resuscitation
preference, p = 0.0001. Patients who received early additional palliative care
were significantly less likely to become hospitalized in the last 3 months of
life, p = 0.003. Place of death was also numerically different, with hospital
death occurring in 33 % of patients who received early additional palliative
care, as compared to 48 % in the late and 50 % in the no PCT group, p = 0.35.
Anticancer treatment intensity was not reduced if the PCT contributed to the
overall management. CONCLUSION: Early additional palliative care resulted in
relevant improvements. The optimal timing of this intervention should be examined
prospectively.
PMID- 27209478
TI - Knowledge, attitudes, and influencing factors of cancer patients toward approving
advance directives in China.
AB - PURPOSE: Many cancer patients do not have advance directives (ADs), which may
lead to unwanted excessive or aggressive care when patients have lost decision
making capacity. The aim of this study was to investigate knowledge and attitudes
of approving ADs and explore factors associated with willing to designate ADs
among cancer patients in China. METHODS: We conducted semi-structured interview
method investigating 753 in-patients with cancer in two cancer centers. RESULTS:
Of those subjects, none of the cancer patients had an AD. Only 22.4 % (118 of
526) approved ADs. Comparing with the disapproved ADs group, the approved ADs
group were more likely to discuss the AD with oncologist or nurse (chi (2) =
180.4, p < 0.001) in the cancer center (chi (2) = 244.1, p < 0.001), and they
chose more comfort care (chi (2) = 18.8, p < 0.001). Most of cancer patients in
the two groups wanted to die at home (72.8 %, 73.7 %, respectively). The older
patients (OR, 1.04, 95 % CI, 1.02-1.07, p = 0.001), female (OR, 0.55, 95 % CI,
0.35-0.88, p = 0.013), with higher education levels (OR, 3.38, 95 % CI, 1.92
5.96, p < 0.001), with religious beliefs (OR, 2.91, 95 % CI, 1.71-4.94, p <
0.001), and with higher scores of ECOG (OR, 1.46, 95 % CI, 1.17-1.82, p = 0.001)
were associated with desiring for ADs. CONCLUSIONS: Our findings indicate that
there was a dearth of knowledge and different attitudes toward approving ADs
among cancer patients, and some factors of demographic and clinical
characteristics influenced their willing to designate ADs. This research
highlights the importance of propagandizing the ADs to the public, especially to
the patients, and further discussing with them when the time is ripe.
PMID- 27209480
TI - The influence of rigid gas permeable lens wear on the concentrations of
dinucleotides in tears and the effect on dry eye signs and symptoms in
keratoconus.
AB - PURPOSE: To evaluate the signs and symptoms of dry eye and dinucleotide secretion
in tears of keratoconus patients (KC) and the potential effect of rigid gas
permeable (RGP) contact lens wear. METHODS: Twenty-three KC patients and forty
control subjects were enrolled in this study. Signs of dry eye including tear
volume, tear stability and corneal staining along with symptoms were assessed
using the McMonnies questionnaire. Tears were collected using Schirmer strips,
and dinucleotide concentrations in collected tears measured using high pressure
liquid chromatography. Values obtained in KC and controls were compared. The
effect of contact lens wear in KC was also assessed. RESULTS: KC eyes showed a
significantly lower tear volume compared to controls, shorter tear break up time
(TBUT), higher corneal staining and higher McMonnies dry eye questionnaire scores
(p<0.05). When compared with non-wearers, KC contact lens wearers showed
significantly higher symptoms, lower Schirmer and TBUT values (p<0.05).
Concentration of Ap4A (0.695+/-0.304MUM vs. 0.185+/-0.178MUM) and Ap5A (0.132+/
0.128MUM vs. 0.045+/-0.036MUM) were higher in KC compared to controls (p<0.001)
and only Ap4A was statistically higher in RGP wearers compared to non-wearers
(0.794+/-0.478MUM vs. 0.417+/-0.313MUM) (p<0.05). CONCLUSION: Signs and symptoms
of dry eye as well as concentrations of Ap4A and Ap5A were markedly increased in
KC patients compared to controls. Moreover, Ap4A and symptoms of dry eye were
statistically higher in RGP wearers compared to non-wearers. This seems to
indicate that factors such as RGP contact lens wear might exacerbate the clinical
condition of dry eye.
PMID- 27209481
TI - Some Reflections on Diabetes Mellitus.
PMID- 27209483
TI - Accuracy of virtual surgical planning in two-jaw orthognathic surgery: comparison
of planned and actual results.
AB - OBJECTIVE: This study aims to evaluate the accuracy of virtual surgical planning
in two-jaw orthognathic surgery via quantitative comparison of preoperative
planned and postoperative actual skull models. STUDY DESIGN: Thirty consecutive
patients who required two-jaw orthognathic surgery were included. A composite
skull model was reconstructed by using Digital Imaging and Communications in
Medicine (DICOM) data from spiral computed tomography (CT) and STL
(stereolithography) data from surface scanning of the dental arch. LeFort I
osteotomy of the maxilla and bilateral sagittal split ramus osteotomy (of the
mandible were simulated by using Dolphin Imaging 11.7 Premium (Dolphin Imaging
and Management Solutions, Chatsworth, CA). Genioplasty was performed, if
indicated. The virtual plan was then transferred to the operation room by using
three-dimensional (3-D)-printed surgical templates. Linear and angular
differences between virtually simulated and postoperative skull models were
evaluated. RESULTS: The virtual surgical planning was successfully transferred to
actual surgery with the help of 3-D-printed surgical templates. All patients were
satisfied with the postoperative facial profile and occlusion. The overall mean
linear difference was 0.81 mm (0.71 mm for the maxilla and 0.91 mm for the
mandible); and the overall mean angular difference was 0.95 degrees. CONCLUSIONS:
Virtual surgical planning and 3-D-printed surgical templates facilitated the
diagnosis, treatment planning, and accurate repositioning of bony segments in two
jaw orthognathic surgery.
PMID- 27209484
TI - BRAF inhibitor treatment of primary BRAF-mutant ameloblastoma with pathologic
assessment of response.
AB - OBJECTIVE: Molecular characterization of ameloblastoma has indicated a high
frequency of driver mutations in BRAF and SMO. Preclinical data suggest that Food
and Drug Administration-approved BRAF-targeted therapies may be immediately
relevant for patients with ameloblastoma positive for the BRAF V600E mutation.
METHODS: A neoadjuvant treatment regime of dabrafenib was given to a patient with
recurrent BRAF-mutant mandibular ameloblastoma. The patient subsequently
underwent left mandible composite resection of the tumor and pathologic
evaluation of treatment response. RESULTS: The ameloblastoma had a slow but
dramatic response with >90% tumor volume reduction. The inner areas of the tumor
underwent degeneration and squamous differentiation, and intact ameloblastoma was
present in the outer areas associated with bone. CONCLUSIONS: Targeted
neoadjuvant therapy for ameloblastoma may be useful in certain clinical settings
of primary ameloblastoma. These might include tumors of advanced local stage when
a neoadjuvant reduction could alter the extent of surgery and instances of local
recurrence when surgical options are limited.
PMID- 27209482
TI - Correlation Among Hypoglycemia, Glycemic Variability, and C-Peptide Preservation
After Alefacept Therapy in Patients with Type 1 Diabetes Mellitus: Analysis of
Data from the Immune Tolerance Network T1DAL Trial.
AB - PURPOSE: In natural history studies, maintenance of higher levels of C-peptide
secretion (a measure of endogenous insulin production) correlates with a lower
incidence of major hypoglycemic events in patients with type 1 diabetes mellitus
(T1D), but it is unclear whether this is also true for drug-induced C-peptide
preservation. METHODS: We analyzed hypoglycemic events and glycemic control data
from the T1DAL (Inducing Remission in New-Onset T1D with Alefacept) study, a
trial of alefacept in new-onset T1D, which found significant C-peptide
preservation at 1 and 2 years. We performed a post hoc analysis using mixed
models of the association between the meal-stimulated 4-hour C-peptide AUC (4
hour AUC) and rates of major hypoglycemia, measures of glycemic control
(glycosylated hemoglobin [HbA1c]; mean glucometer readings), and variability
(glucometer SDs; highest and lowest readings), and an index of partial remission
(insulin dose-adjusted HbA1c[ IDAA1c]). FINDINGS: Data from 49 participants (33
in the alefacept group and 16 in the placebo group) were analyzed at baseline and
12 and 24 months. We found that the 4-hour AUC at baseline and at 1 year was a
significant predictor of the number of hypoglycemic events during the ensuing 12
month interval (p = 0.030). There was a strong association between the 4-hour AUC
and glucometer SDs (P < 0.001), highest readings (p < 0.001), and lowest readings
(p = 0.03), all measures of glycemic variability. There was a strong inverse
correlation between the 4-hour AUC and 2 measures of glycemic control: HbA1c and
mean glucometer readings (both p < 0.001). There was also a strong inverse
correlation between the 4-hour AUC and IDAA1c values (p < 0.001), as well as a
strong correlation between IDAA1c values and glucometer SDs (p < 0.001),
suggesting that reduced glycemic variability is associated with a trend toward
partial remission. None of these analyses found a significant difference between
the alefacept and placebo groups. IMPLICATIONS: Measures of glycemic variability
and control, including rates of hypoglycemia, are significantly correlated with
preservation of C-peptide regardless of whether this is achieved by immune
intervention with alefacept or natural variability in patients with new-onset
T1D. Thus, preservation of endogenous insulin production by an immunomodulatory
drug may confer clinical benefits similar to those seen in patients with higher C
peptide secretion due to slow disease progression.
PMID- 27209485
TI - Synthesis and evaluation of (18)F-labeled 5-HT2A receptor agonists as PET
ligands.
AB - INTRODUCTION: The serotonin 2A receptor (5-HT2AR) is the most abundant excitatory
5-HT receptor in the human brain and implicated in various brain disorders such
as schizophrenia, depression, and Alzheimer's disease. Positron emission
tomography (PET) can be used to image specific proteins and processes in the
human brain and several 5-HT2AR PET antagonist radioligands are available. In
contrast to an antagonist radioligand, an agonist radioligand should be able to
image the population of functional receptors, i.e., those capable of inducing
neuroreceptor signaling. Recently, we successfully developed and validated the
first 5-HT2AR agonist PET tracer, [(11)C]Cimbi-36, for neuroimaging in humans and
herein disclose some of our efforts to develop an (18)F-labeled 5-HT2AR agonist
PET-ligand. METHODS AND RESULTS: Three fluorine containing derivatives of Cimbi
36 were synthesized and found to be potent 5-HT2A agonists. (18)F-labeling of the
appropriate precursors was performed using [(18)F]FETos, typically yielding 0.2
2.0GBq and specific activities of 40-120GBq/MUmol. PET studies in Danish landrace
pigs revealed that [(18)F]1 displayed brain uptake in 5-HT2AR rich regions.
However, high uptake in bone was also observed. No blocking effect was detected
during a competition experiment with a 5-HT2AR selective antagonist. [(18)F]2 and
[(18)F]3 showed very low brain uptake. CONCLUSION: None of the investigated (18)F
labeled Cimbi-36 derivatives [(18)F]1, [(18)F]2 and [(18)F]3 show suitable tracer
characteristics for in vivo PET neuroimaging of the 5-HT2AR. Although for
[(18)F]1 there was reasonable brain uptake, we suggest that a large proportion
radioactivity in the brain was due to radiometabolites, which would explain why
it could not be displaced by a 5-HT2AR antagonist.
PMID- 27209487
TI - Erratum to: Epigenetic downregulated ITGBL1 promotes non-small cell lung cancer
cell invasion through Wnt/PCP signaling.
PMID- 27209486
TI - Future methods in pharmacy practice research.
AB - This article describes the current and future practice of pharmacy scenario
underpinning and guiding this research and then suggests future directions and
strategies for such research. First, it sets the scene by discussing the key
drivers which could influence the change in pharmacy practice research. These are
demographics, technology and professional standards. Second, deriving from this,
it seeks to predict and forecast the future shifts in use of methodologies.
Third, new research areas and availability of data impacting on future methods
are discussed. These include the impact of aging information technology users on
healthcare, understanding and responding to cultural and social disparities,
implementing multidisciplinary initiatives to improve health care, medicines
optimization and predictive risk analysis, and pharmacy as business and health
care institution. Finally, implications of the trends for pharmacy practice
research methods are discussed.
PMID- 27209489
TI - Identification and hazard prediction of tattoo pigments by means of pyrolysis-gas
chromatography/mass spectrometry.
AB - The implementation of regulation for tattoo ink ingredients across Europe has
generated the need for analytical methods suitable to identify prohibited
compounds. Common challenges of this subject are the poor solubility and the lack
of volatility for most pigments and polymers applied in tattoo inks. Here, we
present pyrolysis coupled to online gas chromatography and electron impact
ionization mass spectrometry (py-GC/MS) as quick and reliable tool for pigment
identification using both purified pigments and tattoo ink formulations. Some 36
organic pigments frequently used in tattoo inks were subjected to py-GC/MS with
the aim to establish a pyrogram library. To cross-validate pigment
identification, 28 commercially available tattoo inks as well as 18 self-made
pigment mixtures were analyzed. Pyrograms of inks and mixtures were evaluated by
two different means to work out the most reliable and fastest strategy for an
otherwise rather time-consuming data review. Using this approach, the declaration
of tattoo pigments currently used on the market could be verified. The pyrolysis
library presented here is also assumed suitable to predict decomposition patterns
of pigments when affected by other degradation scenarios, such as sunlight
exposure or laser irradiation. Thus, the consumers' risk associated with the
exposure to toxicologically relevant substances that originate from pigment
decomposition in the dermal layers of the skin can be assessed. Differentiation
between more or less harmful pigments for this field of application now will
become feasible.
PMID- 27209490
TI - Structural dynamics of nitrosylruthenium isomeric complexes studied with steady
state and transient pump-probe infrared spectroscopies.
AB - The characteristic nitrosyl stretching (NO) in the region of 1800-1900cm(-1) was
used to study the geometric and ligand effect on two nitrosylruthenium complexes,
namely [Ru(OAc)(2QN)2NO] (QN=2-chloro-8-quinolinol (H2cqn) or QN=2-methyl-8
quinolinol (H2mqn)). The NO stretching frequency (nuNO) was found in the
following order: nucis-1 (2cqn)>nucis-2 (2cqn)>nucis-1 (2mqn)>nutrans (2mqn). The
results exhibited a spectral sensitivity of the NO mode to both charge
distribution and ligand arrangement, which was supported by ab initio
computations and natural bond orbital (NBO) analyses. Further, the vibrational
population of the vibrationally excited NO stretching mode was found to relax on
the order of 7-10ps, showing less than 30% variation from one isomer to another,
which were explained on the basis of NO local structures and solute-solvent
interactions in these isomeric nitrosylruthenium complexes.
PMID- 27209491
TI - [Study of cardiovascular morbidity in nurses exposed to cytostatic drugs:
Multivaried approach analysis].
AB - OBJECTIVE: To investigate the relationship between cardiovascular morbidity and
exposure to cytostatic drugs. MATERIALS AND METHODS: A descriptive analytical
study was conducted with 74 nurses exposed to cytostatic drugs in oncology and
215 unexposed. A medical questionnaire was applied. Exposure to cytostatic drugs
was estimated by the exposure time and the index of cytostatic contact (ICC). The
statistical tests used are: relative risk, odds ratio, multivariate analysis:
descriptive (ACM) and predictive (AIC system). RESULTS: It is a young population;
the average age is 42+/-9.9years with a female predominance (81%). The average
length was 18.4+/-11.11years. The average of the ICC ranged from 0.60 to 12.6
with a highly significant difference. For morbidity, there was no difference for
most cardiovascular disease (RR, 1.03; 95% CI [0.59; 1.82]) outside of
hypertension and venous thrombosis. ACM objectified separation between the terms
and the comments of the two groups for HTA. The interpretation of results at
alpha=0.05 showed an association with cardiovascular disease. The study of the
association between cardiovascular morbidity and exposure to cytostatic
objectified association with seniority and the ICC with a statistically
significant difference (P=0.01). CONCLUSION: Multivariate analysis helped to
eliminate confounding factors and retain the ICC and length of exposure to
cytostatic in the onset of cardiovascular morbidity.
PMID- 27209488
TI - Re-evaluation of the WHO (2010) formaldehyde indoor air quality guideline for
cancer risk assessment.
AB - In 2010, the World Health Organization (WHO) established an indoor air quality
guideline for short- and long-term exposures to formaldehyde (FA) of 0.1 mg/m3
(0.08 ppm) for all 30-min periods at lifelong exposure. This guideline was
supported by studies from 2010 to 2013. Since 2013, new key studies have been
published and key cancer cohorts have been updated, which we have evaluated and
compared with the WHO guideline. FA is genotoxic, causing DNA adduct formation,
and has a clastogenic effect; exposure-response relationships were nonlinear.
Relevant genetic polymorphisms were not identified. Normal indoor air FA
concentrations do not pass beyond the respiratory epithelium, and therefore FA's
direct effects are limited to portal-of-entry effects. However, systemic effects
have been observed in rats and mice, which may be due to secondary effects as
airway inflammation and (sensory) irritation of eyes and the upper airways, which
inter alia decreases respiratory ventilation. Both secondary effects are
prevented at the guideline level. Nasopharyngeal cancer and leukaemia were
observed inconsistently among studies; new updates of the US National Cancer
Institute (NCI) cohort confirmed that the relative risk was not increased with
mean FA exposures below 1 ppm and peak exposures below 4 ppm. Hodgkin's lymphoma,
not observed in the other studies reviewed and not considered FA dependent, was
increased in the NCI cohort at a mean concentration >=0.6 mg/m3 and at peak
exposures >=2.5 mg/m3; both levels are above the WHO guideline. Overall, the
credibility of the WHO guideline has not been challenged by new studies.
PMID- 27209492
TI - [Anti-obesogenic effect of apple cider vinegar in rats subjected to a high fat
diet].
AB - AIM OF THE STUDY: The search of new anti-obesogenic treatments based on medicinal
plants without or with minimal side effects is a challenge. In this context, the
present study was conducted to evaluate the anti-obesogenic effect of apple cider
vinegar (ACV) in Wistar rats subjected to a high fat diet. MATERIALS AND METHODS:
Eighteen male Wistar rats (140+/-5g) were divided into 3 three equal groups. A
witness group submitted to standard laboratory diet and two groups subjected to a
high fat diet (cafeteria diet); one receives a daily gavage of apple cider
vinegar (7mL/kg/d) for 30 days. Throughout the experiment monitoring the
nutritional assessment, anthropometric and biochemical parameters is achieved.
RESULTS: In the RCV vs RC group, we observed a highly significant decrease
(P<0.001) in body weight and food intake. On the other hand, the VCP decreases
very significantly different anthropometric parameters: BMI (P<0.01), chest
circumference and abdominal circumference (P<0.001), decreases serum glucose
levels (26.83%) and improves the serum lipid profile by reducing plasma levels of
total cholesterol (34.29%), TG (51.06%), LDL-c (59.15%), VLDL (50%) and the total
lipid (45.15%), and increasing HDL-c (39.39%), thus offering protection against
oatherogenic risk (61.62%). CONCLUSION: This preliminary study indicates that the
metabolic disorders caused by high fat diet (cafeteria) are thwarted by taking
apple cider vinegar which proves to have a satiating effect, antihyperlipidemic
and hypoglycemic effects, and seems prevent the atherogenic risk.
PMID- 27209493
TI - Causes of secondary hypertension in the young population: A monocentric study.
AB - OBJECTIVE: To study the prevalence of different causes of hypertension in young
adults referred to a hypertension center in the south west of France. METHODS: We
conducted a retrospective overview of patients younger than 40years old
hospitalized consecutively in the Hypertension department of Toulouse University
Hospital between 2012 and 2014. Clinical data about gender, age, anthropomorphic
parameters and blood pressure measurement by 24h Ambulatory Blood Pressure
Monitoring (ABPM) were recorded. Biological data concerned dosages of kalemia,
renin and aldosterone in the supine or after 15min of seating. Recorded
radiological examinations were renal artery ultrasound and abdominal CT scan.
RESULTS: One hundred and forty-eight detailed medical records were analyzed, 69
women and 79 men. Among the 69 women, the causes of secondary hypertension were
primary aldosteronism (n=7), fibromuscular dysplasia (n=5) and renal disease
(n=4). Oral contraceptives were involved in 13 women. In addition, essential
hypertension concerned 40 women (58%). Among the 79 men, the causes of secondary
hypertension were primary aldosteronism (n=10), fibromuscular dysplasia (n=3),
left main renal artery entrapment by a diaphragmatic crura (n=2), renal disease
(n=1), pheochromocytoma (n=3) and coarctation of the aorta (n=2). In addition,
essential hypertension concerned 58 men (73%). CONCLUSIONS: In our population,
the prevalence of secondary hypertension is close to 33% (42% of females and 27%
of males), with the following main causes: primary aldosteronism for 11.5%;
fibromuscular dysplasia for 5.4%. Oral contraceptives were involved in the
hypertension of 19% of the females.
PMID- 27209495
TI - Asking the Patient or Measuring Blood Pressure in the Emergency Department: Which
One is Best?
AB - Blood pressure (BP) is obtained at the emergency department (ED) in the vast
majority of patients; irrespective of chief complaint, and elevated BP, above the
threshold for hypertension, is a common observation. In this review, we address
the predictive value of measured BP in the ED compared to that of a history of
hypertension in patients with chief complaints related to cardiovascular disease.
In chest pain patients, a high BP at the ED is associated to a good prognosis,
whereas the history of hypertension is associated to a poor prognosis. In heart
failure, a high admission BP is consistently linked to a good prognosis, whereas
the clinical value of history of hypertension in the ED is unknown. In stroke,
there is a U-shaped relation between admission BP and outcome. A history of
hypertension is common among stroke patients but does not seem to provide any
predictive value in the ED.
PMID- 27209494
TI - Importance of detoxifying enzymes in differentiating fibrotic development between
SHRSP5/Dmcr and SHRSP rats.
AB - OBJECTIVES: High-fat and -cholesterol diet (HFC) induced fibrotic steatohepatitis
in stroke-prone spontaneously hypertensive rat (SHRSP) 5/Dmcr, the fifth
substrain from SHRSP, by dysregulating bile acid (BA) kinetics. This study aimed
to clarify the histopathological and BA kinetic differences in HFC-induced
fibrosis between SHRSP5/Dmcr and SHRSP. METHODS: Ten-week-old male SHRSP5/Dmcr
and SHRSP were randomly allocated to groups and fed with either control diet or
HFC for 2 and 8 weeks. The liver histopathology, biochemical features, and
molecular signaling involved in BA kinetics were measured. RESULTS: HFC caused
more severe hepatocyte ballooning, macrovesicular steatosis and fibrosis in
SHRSP5/Dmcr than in SHRSP. It was noted that fibrosis was disproportionately
formed in retroperitoneal side of both strains. As for BA kinetics, HFC greatly
increased the level of Cyp7a1 and Cyp7b1 to the same degree in both strains at 8
weeks, while multidrug resistance-associated protein 3 was greater in SHRSP5/Dmcr
than SHRSP. The diet decreased the level of bile salt export pump by the same
degree in both strains, while constitutive androstane receptor, pregnane X
receptor, and UDP-glucuronosyltransferase activity more prominent in SHRSP5/Dmcr
than SHRSP at 8 weeks. In the fibrosis-related genes, only expression of
collagen, type I, alpha 1 mRNA was greater in SHRSP5/Dmcr than SHRSP.
CONCLUSIONS: The greater progression of fibrosis in SHRSP5/Dmcr induced by HFC
may be due to greater suppression of UDP-glucuronosyltransferase activity
detoxifying toxicants, such as hydrophobic BAs.
PMID- 27209496
TI - The First National Study of Neighborhood Parks: Implications for Physical
Activity.
AB - INTRODUCTION: An extensive infrastructure of neighborhood parks supports leisure
time physical activity in most U.S. cities; yet, most Americans do not meet
national guidelines for physical activity. Neighborhood parks have never been
assessed nationally to identify their role in physical activity. METHODS: Using a
stratified multistage sampling strategy, a representative sample of 174
neighborhood parks in 25 major cities (population >100,000) across the U.S. was
selected. Park use, park-based physical activity, and park conditions were
observed during a typical week using systematic direct observation during
spring/summer of 2014. Park administrators were interviewed to assess policies
and practices. Data were analyzed in 2014-2015 using repeated-measure negative
binomial regressions to estimate weekly park use and park-based physical
activity. RESULTS: Nationwide, the average neighborhood park of 8.8 acres
averaged 20 users/hour or an estimated 1,533 person hours of weekly use. Walking
loops and gymnasia each generated 221 hours/week of moderate to vigorous physical
activity. Seniors represented 4% of park users, but 20% of the general
population. Parks were used less in low-income than in high-income neighborhoods,
largely explained by fewer supervised activities and marketing/outreach efforts.
Programming and marketing were associated with 37% and 63% more hours of moderate
to vigorous physical activity/week in parks, respectively. CONCLUSIONS: The
findings establish national benchmarks for park use, which can guide future park
investments and management practices to improve population health. Offering more
programming, using marketing tools like banners and posters, and installing
facilities like walking loops, may help currently underutilized parks increase
population physical activity.
PMID- 27209497
TI - Higher beta-HCG concentrations and higher birthweights ensue from single
vitrified embryo transfers.
AB - To examine the effect of cryopreservation on developmental potential of human
embryos, this study compared quantitative beta-HCG concentrations at pregnancy
test after IVF-fresh embryo transfer (IVF-ET) with those arising after frozen
embryo transfer (FET). It also tracked outcomes of singleton pregnancies
resulting from single-embryo transfers that resulted in singleton live births (n
= 869; with 417 derived from IVF-ET and 452 from FET). The initial serum beta-HCG
concentration indicating successful implantation was measured along with the
birthweight of the ensuing infants. With testing at equivalent luteal phase
lengths, the median pregnancy test beta-HCG was significantly higher following
FET compared with fresh IVF-ET (844.5 IU/l versus 369 IU/l; P < 0.001). Despite
no significant difference in the average period of gestation (38 weeks 5 days for
both groups), the mean birthweight of infants born following FET was
significantly heavier by 161 g (3370 g versus 3209 g; P < 0.001). Furthermore,
more infants exceeded 4000 g (P < 0.001) for FET although there was no
significant difference for the macrosomic category (>=4500 g). We concluded that
FET programme embryos lead to infants with equivalent (if not better)
developmental potential compared with IVF-ET, demonstrated by higher pregnancy
beta-HCG concentrations and ensuing birthweights.
PMID- 27209498
TI - Planning Target Volume D95 and Mean Dose Should Be Considered for Optimal Local
Control for Stereotactic Ablative Radiation Therapy.
AB - PURPOSE: To identify the optimal dose parameters predictive for local/lobar
control after stereotactic ablative radiation therapy (SABR) in early-stage non
small cell lung cancer (NSCLC). METHODS AND MATERIALS: This study encompassed a
total of 1092 patients (1200 lesions) with NSCLC of clinical stage T1-T2 N0M0 who
were treated with SABR of 50 Gy in 4 fractions or 70 Gy in 10 fractions,
depending on tumor location/size, using computed tomography-based heterogeneity
corrections and a convolution superposition calculation algorithm. Patients were
monitored by chest CT or positron emission tomography/CT and/or biopsy after
SABR. Factors predicting local/lobar recurrence (LR) were determined by competing
risk multivariate analysis. Continuous variables were divided into 2 subgroups at
cutoff values identified by receiver operating characteristic curves. RESULTS: At
a median follow-up time of 31.7 months (interquartile range, 14.8-51.3 months),
the 5-year time to local recurrence within the same lobe and overall survival
rates were 93.8% and 44.8%, respectively. Total cumulative number of patients
experiencing LR was 40 (3.7%), occurring at a median time of 14.4 months (range,
4.8-46 months). Using multivariate competing risk analysis, independent
predictive factors for LR after SABR were minimum biologically effective dose
(BED10) to 95% of planning target volume (PTVD95 BED10) <=86 Gy (corresponding to
PTV D95 physics dose of 42 Gy in 4 fractions or 55 Gy in 10 fractions) and gross
tumor volume >=8.3 cm(3). The PTVmean BED10 was highly correlated with PTVD95
BED10. In univariate analysis, a cutoff of 130 Gy for PTVmean BED10
(corresponding to PTVmean physics dose of 55 Gy in 4 fractions or 75 Gy in 10
fractions) was also significantly associated with LR. CONCLUSIONS: In addition to
gross tumor volume, higher radiation dose delivered to the PTV predicts for
better local/lobar control. We recommend that both PTVD95 BED10 >86 Gy and
PTVmean BED10 >130 Gy should be considered for SABR plan optimization.
PMID- 27209499
TI - Supply and Demand for Radiation Oncology in the United States: Updated
Projections for 2015 to 2025.
AB - PURPOSE: Prior studies have forecasted demand for radiation therapy to grow 10
times faster than the supply between 2010 and 2020. We updated these projections
for 2015 to 2025 to determine whether this imbalance persists and to assess the
accuracy of prior projections. METHODS AND MATERIALS: The demand for radiation
therapy between 2015 and 2025 was estimated by combining current radiation
utilization rates determined by the Surveillance, Epidemiology, and End Results
data with population projections provided by the US Census Bureau. The supply of
radiation oncologists was forecast by using workforce demographics and full-time
equivalent (FTE) status provided by the American Society for Radiation Oncology
(ASTRO), current resident class sizes, and expected survival per life tables from
the US Centers for Disease Control. RESULTS: Between 2015 and 2025, the annual
total number of patients receiving radiation therapy during their initial
treatment course is expected to increase by 19%, from 490,000 to 580,000.
Assuming a graduating resident class size of 200, the number of FTE physicians is
expected to increase by 27%, from 3903 to 4965. In comparison with prior
projections, the new projected demand for radiation therapy in 2020 dropped by
24,000 cases (a 4% relative decline). This decrease is attributable to an overall
reduction in the use of radiation to treat cancer, from 28% of all newly
diagnosed cancers in the prior projections down to 26% for the new projections.
By contrast, the new projected supply of radiation oncologists in 2020 increased
by 275 FTEs in comparison with the prior projection for 2020 (a 7% relative
increase), attributable to rising residency class sizes. CONCLUSION: The supply
of radiation oncologists is expected to grow more quickly than the demand for
radiation therapy from 2015 to 2025. Further research is needed to determine
whether this is an appropriate correction or will result in excess capacity.
PMID- 27209501
TI - The 2-Year Cosmetic Outcome of a Randomized Trial Comparing Prone and Supine
Whole-Breast Irradiation in Large-Breasted Women.
AB - PURPOSE: To report the 2-year cosmetic outcome of a randomized trial comparing
prone and supine whole-breast irradiation in large-breasted patients. METHODS AND
MATERIALS: One hundred patients with a (European) cup size >=C were included.
Before and 2 years after radiation therapy, clinical endpoints were scored and
digital photographs were taken with the arms alongside the body and with the arms
elevated 180 degrees . Three observers rated the photographs using the 4-point
Harvard cosmesis scale. Cosmesis was also evaluated with the commercially
available Breast Cancer Conservation Treatment.cosmetic results (BCCT.core)
software. RESULTS: Two-year follow-up data and photographs were available for 94
patients (47 supine treated and 47 prone treated). Patient and treatment
characteristics were not significantly different between the 2 cohorts. A
worsening of color change occurred more frequently in the supine than in the
prone cohort (19/46 vs 10/46 patients, respectively, P=.04). Five patients in the
prone group (11%) and 12 patients in the supine group (26%) presented with a
worse scoring of edema at 2-year follow-up (P=.06). For retraction and fibrosis,
no significant differences were found between the 2 cohorts, although scores were
generally worse in the supine cohort. The cosmetic scoring by 3 observers did not
reveal differences between the prone and supine groups. On the photographs with
the hands up, 7 patients in the supine group versus none in the prone group had a
worsening of cosmesis of 2 categories using the BCCT.org software (P=.02).
CONCLUSION: With a limited follow-up of 2 years, better cosmetic outcome was
observed in prone-treated than in supine-treated patients.
PMID- 27209500
TI - Image Guided Radiation Therapy Using Synthetic Computed Tomography Images in
Brain Cancer.
AB - PURPOSE: The development of synthetic computed tomography (CT) (synCT) derived
from magnetic resonance (MR) images supports MR-only treatment planning. We
evaluated the accuracy of synCT and synCT-generated digitally reconstructed
radiographs (DRRs) relative to CT and determined their performance for image
guided radiation therapy (IGRT). METHODS AND MATERIALS: Magnetic resonance
simulation (MR-SIM) and CT simulation (CT-SIM) images were acquired of an
anthropomorphic skull phantom and 12 patient brain cancer cases. SynCTs were
generated using fluid attenuation inversion recovery, ultrashort echo time, and
Dixon data sets through a voxel-based weighted summation of 5 tissue
classifications. The DRRs were generated from the phantom synCT, and geometric
fidelity was assessed relative to CT-generated DRRs through bounding box and
landmark analysis. An offline retrospective analysis was conducted to register
cone beam CTs (n=34) to synCTs and CTs using automated rigid registration in the
treatment planning system. Planar MV and KV images (n=37) were rigidly registered
to synCT and CT DRRs using an in-house script. Planar and volumetric registration
reproducibility was assessed and margin differences were characterized by the van
Herk formalism. RESULTS: Bounding box and landmark analysis of phantom synCT DRRs
were within 1 mm of CT DRRs. Absolute planar registration shift differences
ranged from 0.0 to 0.7 mm for phantom DRRs on all treatment platforms and from
0.0 to 0.4 mm for volumetric registrations. For patient planar registrations, the
mean shift differences were 0.4 +/- 0.5 mm (range, -0.6 to 1.6 mm), 0.0 +/- 0.5
mm (range, -0.9 to 1.2 mm), and 0.1 +/- 0.3 mm (range, -0.7 to 0.6 mm) for the
superior-inferior (S-I), left-right (L-R), and anterior-posterior (A-P) axes,
respectively. The mean shift differences in volumetric registrations were 0.6 +/-
0.4 mm (range, -0.2 to 1.6 mm), 0.2 +/- 0.4 mm (range, -0.3 to 1.2 mm), and 0.2
+/- 0.3 mm (range, -0.2 to 1.2 mm) for the S-I, L-R, and A-P axes, respectively.
The CT-SIM and synCT derived margins were <0.3 mm different. CONCLUSION: DRRs
generated by synCT were in close agreement with CT-SIM. Planar and volumetric
image registrations to synCT-derived targets were comparable with CT for phantom
and patients. This validation is the next step toward MR-only planning for the
brain.
PMID- 27209502
TI - Disparities in the Use of Postmastectomy Radiation Therapy for Inflammatory
Breast Cancer.
AB - PURPOSE: Although radiation therapy improves locoregional control and survival
for inflammatory breast cancer (IBC), it is underused in this population. The
purpose of this study was to identify variables associated with the underuse of
postmastectomy radiation therapy (PMRT) for IBC. METHODS AND MATERIALS: Using the
1998 to 2011 National Cancer Data Base, we identified 8273 women who underwent
mastectomy for nonmetastatic IBC. We used logistic regression modeling to
determine the demographic, tumor, and treatment variables associated with the
underuse of PMRT. RESULTS: Although the use of PMRT increased over time, a total
of 30.3% of our cohort did not receive PMRT. On multivariate analysis, variables
associated with the underuse of PMRT for IBC included the following (all P<.05):
Medicare insurance (odds ratio [OR] = 0.70), annual income <$34,999 (<$30,000:
OR=0.79; $30,000-$34,999: OR=0.82), cN2 and cN0 disease (cN2: OR=0.71; cN0:
OR=0.63), failure to receive chemotherapy and hormone therapy (chemotherapy:
OR=0.15; hormone therapy: OR=0.35), treatment at lower-volume centers (OR=0.83),
and treatment in the South and West (South: OR=0.73; West: OR=0.80). Greater
distance between patient's residence and radiation facility was also associated
with the underuse of PMRT (P=.0001). CONCLUSIONS: Although the use of PMRT for
IBC has increased over time, it continues to be underused. Disparities related to
a variety of variables impact which IBC patients receive PMRT. A concerted effort
must be made to address these disparities in order to optimize the outcomes for
IBC.
PMID- 27209503
TI - High E6 Gene Expression Predicts for Distant Metastasis and Poor Survival in
Patients With HPV-Positive Oropharyngeal Squamous Cell Carcinoma.
AB - PURPOSE: Patients with human papillomavirus (HPV)-positive oropharyngeal squamous
cell carcinoma (OPSCC) have a favorable prognosis. As a result, de-escalation
clinical trials are under way. However, approximately 10% of patients will
experience distant recurrence even with standard-of-care treatment. Here, we
sought to identify novel biomarkers to better risk-stratify HPV-positive patients
with OPSCC. METHODS AND MATERIALS: Gene expression profiling by RNA sequencing
(RNA-seq) and quantitative polymerase chain reaction was performed on HPV
positive OPSCC primary tumor specimens from patients with and without distant
metastasis (DM). RESULTS: RNA-seq analysis of 39 HPV-positive OPSCC specimens
revealed that patients with DM had 2-fold higher E6 gene expression levels than
did patients without DM (P=.029). This observation was confirmed in a validation
cohort comprising 93 patients with HPV-positive OPSCC. The mean normalized E6
expression level in the 17 recurring primary specimens was 13 +/- 2 compared with
8 +/- 1 in the remaining 76 nonrecurring primaries (P=.001). Receiver operating
characteristic analysis established an E6 expression level of 7.3 as a cutoff for
worse recurrence-free survival (RFS). Patients from this cohort with high E6 gene
expression (E6-high) (n=51, 55%) had more cancer-related deaths (23% vs 2%,
P<.001) and DM (26% vs 5%, P<.001) than did patients with low E6 gene expression
(E6-low) (n=42, 45%). Kaplan-Meier survival analysis revealed that E6-high had
worse RFS (95% vs 69%, P=.004) and cancer-specific survival (97% vs 79%, P=.007).
E6-high maintained statistical significance in multivariate regression models
balancing surgery, chemotherapy, nodal stage, and smoking status. Gene set
enrichment analysis demonstrated that tumors with high E6 expression were
associated with P53, epidermal growth factor receptor, activating transcription
factor-2, and transforming growth factor-beta signaling pathways. CONCLUSION:
High E6 gene expression level identifies HPV-positive OPSCC patients with 5-fold
greater risk of distant disease recurrence and worse cancer-specific survival.
Validation in a multi-institutional prospective clinical trial is required to
assess the utility of E6 gene expression as a clinically useful prognostic
biomarker.
PMID- 27209504
TI - Impact of Sequencing Radiation Therapy and Chemotherapy on Long-Term Local
Toxicity for Early Breast Cancer: Results of a Randomized Study at 15-Year Follow
Up.
AB - PURPOSE: To compare long-term late local toxicity after either concomitant or
sequential chemoradiation therapy after breast-conserving surgery. METHODS AND
MATERIALS: From 1997 to 2002, women aged 18 to 75 years who underwent breast
conserving surgery and axillary dissection for early breast cancer and in whom
CMF (cyclophosphamide, methotrexate, and 5-fluorouracil) chemotherapy was planned
were randomized between concomitant and sequential radiation therapy. Radiation
therapy was delivered to the whole breast through tangential fields to 50 Gy in
20 fractions over a period of 4 weeks, followed by an electron boost. Surviving
patients were tentatively contacted and examined between March and September
2014. Patients in whom progressive disease had developed or who had undergone
further breast surgery were excluded. Local toxicity (fibrosis, telangiectasia,
and breast atrophy or retraction) was scored blindly to the treatment received. A
logistic regression was run to investigate the effect of treatment sequence after
correction for several patient-, treatment-, and tumor-related covariates on
selected endpoints. The median time to cross-sectional analysis was 15.7 years
(range, 12.0-17.8 years). RESULTS: Of 206 patients randomized, 154 (74.8%) were
potentially eligible. Of these, 43 (27.9%) refused participation and 4 (2.6%) had
been lost to follow-up, and for 5 (3.2%), we could not restore planning data;
thus, the final number of analyzed patients was 102. No grade 4 toxicity had been
observed, whereas the number of grade 3 toxicity events was low (<8%) for each
item, allowing pooling of grade 2 and 3 events for further analysis. Treatment
sequence (concomitant vs sequential) was an independent predictor of grade 2 or 3
fibrosis according to both the National Cancer Institute Common Terminology
Criteria for Adverse Events (odds ratio [OR], 4.05; 95% confidence interval [CI],
1.34-12.2; P=.013) and the SOMA (Subjective, Objective, Management and Analytic)
scale (OR, 3.75; 95% CI, 1.19-11.79; P=.018), as well as grade 2 or 3 breast
atrophy or retraction (OR, 3.87; 95% CI, 1.42-10.56; P=.008). No effect on
telangiectasia was detected. CONCLUSIONS: At long-term follow-up, concomitant
chemoradiation therapy has a detrimental effect on both fibrosis and retraction
with an approximately 4-fold increase in the odds of grade 2 or 3 toxicity.
PMID- 27209505
TI - Effect of p16 Status on the Quality-of-Life Experience During Chemoradiation for
Locally Advanced Oropharyngeal Cancer: A Substudy of Randomized Trial Trans
Tasman Radiation Oncology Group (TROG) 02.02 (HeadSTART).
AB - PURPOSE: Human papillomavirus-associated oropharyngeal cancer (OPC) has a
favorable prognosis. Current research de-escalates treatment, aiming to improve
quality of life (QOL). Understanding the QOL experience with current standard
treatment (chemoradiation therapy) provides context for emerging data. We report
the impact of p16 status on QOL for patients with stage III or IV OPC undergoing
chemoradiation therapy in an international phase 3 trial (TROG 02.02
[HeadSTART]). METHODS AND MATERIALS: A subgroup analysis by p16 status was
conducted in patients with OPC treated in a phase 3 randomized trial. The study
subset with OPC and known p16 status was mainly from Australasia, Western Europe,
and North America. Of 861 participants, 200 had OPC, known p16 status, and
baseline QOL data; 82 were p16 negative and 118 were p16 positive. Radiation
therapy (70 Gy over a period of 7 weeks) was given concurrently with 3 cycles of
either cisplatin (100 mg/m2) or cisplatin (75 mg/m2) plus tirapazamine. QOL was
measured with the Functional Assessment of Cancer Therapy-Head and Neck (FACT
H&N) questionnaire at baseline and 2, 6, 12, 23, and 38 months. Because no
significant difference in QOL score was observed between arms, results by p16
status are reported with arms combined. RESULTS: The p16-positive patients were
younger, had a better Eastern Cooperative Oncology Group performance status, and
were less often current smokers. Our primary hypothesis that the change in FACT
H&N score from baseline to 6 months would be more favorable in the p16-positive
cohort was not met (p16 positive, -6.3; p16 negative, -1.8; P=.14). The mean
baseline FACT-H&N score was statistically and clinically significantly better in
p16-positive patients (111 vs 102, P<.001); at 2 months, scores declined in both
groups but more dramatically for p16-positive patients. By 12 months, p16
positive patients again had superior scores. A higher baseline FACT-H&N score and
p16-positive status were independent predictors of overall survival. CONCLUSIONS:
Patients with p16-positive OPC exhibited better baseline QOL but showed a more
dramatic QOL drop with concurrent chemoradiation. Given the favorable prognosis
of p16-positive OPC, efforts to reduce the QOL burden of treatment are warranted.
PMID- 27209506
TI - Quality of Life Outcomes From a Phase 2 Trial of Short-Course Radiation Therapy
Followed by FOLFOX Chemotherapy as Preoperative Treatment for Rectal Cancer.
AB - PURPOSE: A prospective phase 2 trial of short-course (SC) radiation therapy (RT)
with 25 Gy over 5 fractions, followed by 4 cycles of 5-fluorouracil, oxaliplatin,
and leucovorin (mFOLFOX6) before surgery was recently completed at our
institution. We present here the patient-reported quality of life (QOL) outcomes
from this trial. METHODS AND MATERIALS: Eighty patients with cT3/T4, any N, any M
rectal adenocarcinoma planned for resection were enrolled between 2009 and 2012.
The QOL data were obtained prospectively using the Functional Assessment of
Cancer Therapy-Colon (FACT-C) questionnaire before RT, before surgery, and 1 year
after surgery. The previously validated minimally importance difference (MID)
method was used to measure clinically significant QOL changes in FACT-C scores
for each patient across time points. We examined the role of ostomy on QOL. We
also compared QOL with disease outcomes and physician-reported toxicity. RESULTS:
The FACT-C questionnaire was completed by 97% of patients before RT, 85%
immediately before surgery, and 62% 1 year after surgery. There was no
statistically significant change in mean FACT-C scores from before treatment to
after treatment. The majority of patients had either no change or an increase in
QOL 1 year after treatment using the MID method. There were significant changes
in QOL between patients with ostomy versus no ostomy 1 year after treatment for
functional well-being (FWB) (14.81 vs 20.52, P=.018) and the colorectal cancer
subscale (CCS) using the MID method (P=.004). Patients without ostomy reported
stable changes in bowel control 1 year after surgery. There was no statistically
significant correlation between QOL and disease recurrence, pathologic complete
response, pathologic T stage downstaging, or acute/late toxicity. CONCLUSIONS: SC
RT and sequential mFOLFOX6 as preoperative therapy for rectal cancer results in
stable patient-reported QOL outcomes 1 year after treatment. These findings in
conjunction with previously reported oncologic outcomes support further
evaluation of this regimen in a phase 3 setting.
PMID- 27209507
TI - International Medical Graduates in Radiation Oncology: Historical Trends and
Comparison With Other Medical Specialties.
AB - PURPOSE: This is the first National Resident Matching Program analysis evaluating
historical patterns of international medical graduates (IMGs) in radiation
oncology (RO) and providing comparison with American (MD) medical graduates
(AMGs), osteopathic students (DOs), unfilled positions, and other specialties.
METHODS AND MATERIALS: National Resident Matching Program data for IMGs were
available from 2003 to 2015, with limited data for other specialty matches. The
following RO-specific figures were obtained per year: total positions available;
total matched positions; number of unfilled positions; and number of IMG, AMG,
and DO matches. In addition, the number of IMG matches and total matched
positions were obtained for 19 other specialties. Fisher exact tests and chi(2)
tests were considered significant at alpha <.05. RESULTS: From 2010 to 2015, 0.8%
of RO matches were IMGs, a decline from 2.4% in 2003 to 2009 (P=.006).
Proportions of DO matches during these intervals increased by 40% (from 1.0% to
1.4%), significantly lower than IMGs for 2003 to 2009 (P=.03) but not 2010 to
2015 (P=.26). From 2003 to 2015, the percentage of IMG matches, at 1.5%, was
significantly lower than the percentage of unfilled seats, at 3.5% (P<.001). In
comparison with other specialties (2003-2015), RO had the fewest IMG matches
(1.5%), followed by otolaryngology (1.9%) and orthopedics (2.2%); specialties
with the highest IMG proportions were internal medicine (37.1%), family medicine
(35.7%), and neurology (31.1%). CONCLUSIONS: Presently, IMGs represent <1% of RO
matches, the lowest among major specialties. There are several speculative
factors associated with this low proportion. There are significantly more
unfilled positions than those filled by IMGs; programs at risk of not matching
could weigh the advantages and disadvantages of interviewing IMGs.
PMID- 27209508
TI - Single-Fraction Versus Multifraction (3 * 9 Gy) Stereotactic Radiosurgery for
Large (>2 cm) Brain Metastases: A Comparative Analysis of Local Control and Risk
of Radiation-Induced Brain Necrosis.
AB - PURPOSE: To investigate the local control and radiation-induced brain necrosis in
patients with brain metastases >2 cm in size who received single-fraction or
multifraction stereotactic radiosurgery (SRS); factors associated with clinical
outcomes and the development of brain radionecrosis were assessed. METHODS AND
MATERIALS: Two hundred eighty-nine consecutive patients with brain metastases
>2.0 cm who received SRS as primary treatment at Sant'Andrea Hospital, University
of Rome Sapienza, Rome, Italy, were analyzed. Cumulative incidence analysis was
used to compare local control and radiation-induced brain necrosis between groups
from the time of SRS. To achieve a balanced distribution of baseline covariates
between treatment groups, a propensity score analysis was used. RESULTS: The 1
year cumulative local control rates were 77% in the single-fraction SRS (SF-SRS)
group and 91% in the multifraction SRS (MF-SRS) group (P=.01). Recurrences
occurred in 25 and 11 patients who received SF-SRS or MF-SRS (P=.03),
respectively. Thirty-one patients (20%) undergoing SF-SRS and 11 (8%) subjected
to MF-SRS experienced brain radionecrosis (P=.004); the 1-year cumulative
incidence rate of radionecrosis was 18% and 9% (P=.01), respectively. Significant
differences between the 2 groups in terms of local control and risk of
radionecrosis were maintained after propensity score adjustment. CONCLUSIONS:
Multifraction SRS at a dose of 27 Gy in 3 daily fractions seems to be an
effective treatment modality for large brain metastases, associated with better
local control and a reduced risk of radiation-induced radionecrosis as compared
with SF-SRS.
PMID- 27209509
TI - Stereotactic Body Radiation Therapy for Spinal Metastases in the Postoperative
Setting: A Secondary Analysis of Mature Phase 1-2 Trials.
AB - PURPOSE: To evaluate the outcomes in patients treated on prospective phase 1-2
protocols with postoperative stereotactic body radiation therapy (SBRT) and
identify the associated prognostic variables. METHODS AND MATERIALS: Sixty-six
patients with 69 tumors were treated with SBRT on prospective phase 1-2 studies
for spinal metastases between 2002 and 2010. All patients underwent SBRT after
spine surgery, which included laminectomy, vertebrectomy, or a combination of
these techniques. Renal cell carcinoma was the most common histology represented
(n=35, 53%) followed by sarcomas (n=13, 20%). Thirty-one patients (47%) were
treated with prior conventional radiation to the spine (median dose 30 Gy).
Patients were followed up with spinal magnetic resonance imaging (MRI) studies to
determine the treated tumor control (TC). Pain and other symptom data were
collected prospectively to determine treatment response and toxicity. RESULTS:
The median follow-up time was 30 months (range, 1-145 months) for all patients
and 75 months for living patients (range, 6-145 months). The actuarial 1-year
rate of TC was 85%, adjacent vertebral body control was 85%, and overall survival
(OS) was 74% (median 29 months). On multivariate competing-risks analysis,
sarcoma histology (subhazard ratio [SHR] = 2.38, 95% confidence interval [CI]
1.05-5.6, P=.04) and larger preoperative tumor volumes (SHR=1.01, 95% CI 1.0
1.01, P=.006) were significantly associated with worse TC. Karnofsky performance
status was the only significant predictor for OS on multivariate analysis. There
were no differences in TC between patients treated with different surgical
techniques or different preoperative or postoperative Bilsky grades. There were
no grade 3 or higher neurologic toxicities. CONCLUSION: This study represents a
large series of prospective data available on patients treated with SBRT in the
postoperative setting. The combination of surgery with SBRT can offer patients
with metastatic disease to the spine the chance of durable tumor control with
minimal toxicity.
PMID- 27209510
TI - Magnetic Resonance-Guided High-Intensity Focused Ultrasound Hyperthermia for
Recurrent Rectal Cancer: MR Thermometry Evaluation and Preclinical Validation.
AB - PURPOSE: To evaluate the feasibility of magnetic resonance-guided high-intensity
focused ultrasound (MR-HIFU) mild hyperthermia in deep tissue targets for
enhancing radiation therapy and chemotherapy in the context of recurrent rectal
cancer. A preclinical study was performed to evaluate the safety and performance
of MR-HIFU mild hyperthermia. A prospective imaging study was performed in
volunteers with rectal cancer to evaluate MR thermometry quality near the rectum
and accessibility of rectal tumors using MR-HIFU. METHODS AND MATERIALS: Mild
hyperthermia was performed in pig thigh (9 sonications, 6 pigs) using a clinical
MR-HIFU system. Targets near the rectal wall and deep thigh were evaluated.
Thermal maps obtained in 6 planes every 3.2 seconds were used to control
sonications in 18-mm diameter treatment regions at temperatures of 42 degrees C
to 42.5 degrees C for 10 to 60 minutes. Volunteer imaging-only studies to assess
the quality of MR thermometry (without heating) were approved by the
institutional research ethics board. Anatomic and MR thermometry images were
acquired in consenting volunteers with rectal cancer. In 3 of 6 study
participants, rectal filling with saline was used to reduce motion-related MR
thermometry artifacts near the tumor. RESULTS: In pigs, mean target temperature
matched the desired hyperthermia temperature within 0.2 degrees C; temporal
standard deviation <=0.5 degrees C. With optimized control thresholds, no
undesired tissue damage was observed. In human volunteers, MR temperature
measurements had adequate precision and stability, especially when rectal filling
was used to reduce bowel motion. CONCLUSIONS: In pigs, MR-HIFU can safely deliver
mild hyperthermia (41 degrees C-43 degrees C) to a targeted volume for 30
minutes. In humans, careful patient selection and preparation will enable
adequate targeting for recurrent rectal cancers and sufficient MR temperature
mapping stability to control mild hyperthermia. These results enable human trials
of MR-HIFU hyperthermia.
PMID- 27209511
TI - Influence of Comorbidity on the Risk of Mortality in Men With Unfavorable-Risk
Prostate Cancer Undergoing High-Dose Radiation Therapy Alone.
AB - PURPOSE: To explore whether a subgroup of men with unfavorable-risk prostate
cancer (PC) exists in whom high-dose radiation therapy (RT) alone is sufficient
to avoid excess PC death due to competing risk from cardiometabolic comorbidity.
METHODS AND MATERIALS: This was a cohort study of 7399 men in whom comorbidity
(including congestive heart failure, diabetes mellitus, or myocardial infarction)
was assessed and recorded with T1-3NxM0 PC treated with brachytherapy with or
without neoadjuvant RT, October 1997 to May 2013 at a single providing
institution. Cox and competing risks regression analyses were used to assess
whether men with unfavorable-intermediate/high-risk versus favorable
intermediate/low-risk PC were at increased risk of PC-specific, all-cause, or
other-cause mortality (PCSM, ACM, OCM), adjusting for number of comorbidities,
age at and year of brachytherapy, RT use, and an RT treatment propensity score.
RESULTS: After a median follow-up of 7.7 years, 935 men died: 80 of PC and 855 of
other causes. Among men with no comorbidity, PCSM risk (adjusted hazard ratio
[AHR] 2.74 [95% confidence interval (CI) 1.49-5.06], P=.001) and ACM risk (AHR
1.30 [95% CI 1.07-1.58], P=.007) were significantly increased in men with
unfavorable-intermediate/high-risk PC versus favorable-intermediate/low-risk PC,
with no difference in OCM (P=.07). Although PCSM risk was increased in men with 1
comorbidity (AHR 2.87 [95% CI 1.11-7.40], P=.029), ACM risk was not (AHR 1.03
[95% CI 0.78-1.36], P=.84). Neither PCSM risk (AHR 4.39 [95% CI 0.37-51.98],
P=.24) or ACM risk (AHR 1.43 [95% CI 0.83-2.45], P=.20) was increased in men with
2 comorbidities. CONCLUSIONS: To minimize death from PC, high-dose RT alone may
be sufficient treatment in men with 2 or more cardiometabolic comorbidities and
unfavorable-intermediate- and high-risk PC.
PMID- 27209512
TI - Variation among individual bulls in the distribution of acrosomal tyrosine
phosphorylated proteins in epididymal and ejaculated spermatozoa.
AB - In Japanese black cattle, AI severely subfertile males have occasionally been
found. In order to solve this problem, we previously asserted the need for exact
examinations of acrosomal tyrosine-phosphorylated proteins and acrosome
morphology in cryopreserved spermatozoa. In the present study, we further
investigated acrosomal tyrosine-phosphorylated proteins in spermatozoa before
cryopreservation and examined possible relationships between these
phosphoproteins and acrosome stability. Ejaculated, epididymal and cryopreserved
spermatozoa were subjected to examinations of general characteristics (motility,
shape and acrosome morphology) and indirect immunofluorescence of acrosomal
phosphoproteins. Unlike all general characteristic parameters, the distribution
of acrosomal tyrosine-phosphorylated proteins in ejaculated and cauda epididymal
spermatozoa varied considerably among bulls and was linked to the maintenance of
morphologically normal acrosomes in cryopreserved spermatozoa or ejaculated
spermatozoa after 270min incubation. Moreover, the distribution of these
phosphoproteins was arranged in the spermatozoa of the proximal epididymides.
These findings indicate that acrosomal tyrosine-phosphorylated proteins are
distributionally arranged during early process of sperm maturation, that their
distribution of cauda epididymal and ejaculated spermatozoa are largely different
among bulls, and that varied states of acrosomal phosphoproteins may result in
individual differences in acrosome stability among bulls.
PMID- 27209513
TI - Solid papillary renal cell carcinoma: clinicopathologic, morphologic, and
immunohistochemical analysis of 10 cases and review of the literature.
PMID- 27209514
TI - The Interface of Syntax with Pragmatics and Prosody in Children with Autism
Spectrum Disorders.
AB - In order to study problems of individuals with Autism Spectrum Disorders (ASD)
with morphosyntax, we investigated twenty high-functioning Greek-speaking
children (mean age: 6;11) and twenty age- and language-matched typically
developing children on environments that allow or forbid object clitics or their
corresponding noun phrase. Children with ASD fell behind typically developing
children in comprehending and producing simple clitics and producing noun phrases
in focus structures. The two groups performed similarly in comprehending and
producing clitics in clitic left dislocation and in producing noun phrases in non
focus structures. We argue that children with ASD have difficulties at the
interface of (morpho)syntax with pragmatics and prosody, namely, distinguishing a
discourse prominent element, and considering intonation relevant for a particular
interpretation that excludes clitics.
PMID- 27209515
TI - Innovative leaching of cobalt and lithium from spent lithium-ion batteries and
simultaneous dechlorination of polyvinyl chloride in subcritical water.
AB - In this work, an effective and environmentally friendly process for the recovery
of cobalt (Co) and lithium (Li) from spent lithium-ion batteries (LIBs) and
simultaneously detoxification of polyvinyl chloride (PVC) in subcritical water
was developed. Lithium cobalt oxide (LiCoO2) power from spent LIBs and PVC were
co-treated by subcritical water oxidation, in which PVC served as a hydrochloric
acid source to promote metal leaching. The dechlorination of PVC and metal
leaching was achieved simultaneously under subcritical water oxidation. More than
95% Co and nearly 98% Li were recovered under the optimum conditions: temperature
350 degrees C, PVC/LiCoO2 ratio 3:1, time 30min, and a solid/liquid ratio 16:1
(g/L), respectively. Moreover, PVC was completely dechlorinated at temperatures
above 350 degrees C without any release of toxic chlorinated organic compounds.
Assessment on economical and environmental impacts revealed that the PVC and
LiCoO2 subcritical co-treatment process had significant technical, economic and
environmental benefits over the traditional hydrometallurgy and pyrometallurgy
processes. This innovative co-treatment process is efficient, environmentally
friendly and adequate for Co and Li recovery from spent LIBs and simultaneous
dechlorination of PVC in subcritical water.
PMID- 27209516
TI - Quantitative structure-activity relationship analysis of substituted arylazo
pyridone dyes in photocatalytic system: Experimental and theoretical study.
AB - A series of arylazo pyridone dyes was synthesized by changing the type of the
substituent group in the diazo moiety, ranging from strong electron-donating to
strong electron-withdrawing groups. The structural and electronic properties of
the investigated dyes was calculated at the M062X/6-31+G(d,p) level of theory.
The observed good linear correlations between atomic charges and Hammett sigmap
constants provided a basis to discuss the transmission of electronic substituent
effects through a dye framework. The reactivity of synthesized dyes was tested
through their decolorization efficiency in TiO2 photocatalytic system (Degussa P
25). Quantitative structure-activity relationship analysis revealed a strong
correlation between reactivity of investigated dyes and Hammett substituent
constants. The reaction was facilitated by electron-withdrawing groups, and
retarded by electron-donating ones. Quantum mechanical calculations was used in
order to describe the mechanism of the photocatalytic oxidation reactions of
investigated dyes and interpret their reactivities within the framework of the
Density Functional Theory (DFT). According to DFT based reactivity descriptors,
i.e. Fukui functions and local softness, the active site moves from azo nitrogen
atom linked to benzene ring to pyridone carbon atom linked to azo bond, going
from dyes with electron-donating groups to dyes with electron-withdrawing groups.
PMID- 27209517
TI - Chromium immobilization by extra- and intraradical fungal structures of
arbuscular mycorrhizal symbioses.
AB - Arbuscular mycorrhizal (AM) fungi can enhance plant Cr tolerance through
immobilizing Cr in mycorrhizal roots. However, the detailed processes and
mechanisms are unclear. The present study focused on cellular distribution and
speciation of Cr in both extraradical mycelium (ERM) and mycorrhizal roots
exposed to Cr(VI) by using field emission scanning electron microscopy equipped
with energy dispersive X-ray spectrometer (FE-SEM-EDS), scanning transmission
soft X-ray microscopy (STXM) and X-ray absorption fine structure (XAFS)
spectroscopy techniques. We found that amounts of particles (possibly
extracellular polymeric substances, EPS) were produced on the AM fungal surface
upon Cr(VI) stress, which contributed greatly to Cr(VI) reduction and
immobilization. With EDS of the surface of AM fungi exposed to various Cr(VI)
levels, a positive correlation between Cr and P was revealed, suggesting that
phosphate groups might act as counter ions of Cr(III), which was also confirmed
by the XAFS analysis. Besides, STXM and XAFS analyses showed that Cr(VI) was
reduced to Cr(III) in AM fungal structures (arbuscules, intraradical mycelium,
etc.) and cell walls in mycorrhizal roots, and complexed possibly with carboxyl
groups or histidine analogues. The present work provided evidence of Cr
immobilization on fungal surface and in fungal structures in mycorrhizal roots at
a cellular level, and thus unraveled the underlying mechanisms by which AM
symbiosis immobilize Cr.
PMID- 27209518
TI - Water-soluble lead in cathode ray tube funnel glass melted in a reductive
atmosphere.
AB - In the reduction-melting process, lead can be recovered from cathode ray tube
funnel glass (PbO=25wt%); however, resulting glass residues still contain
approximately 1-2wt% of unrecovered lead. For environmental protection in the
residue disposal or recycling, it is important to evaluate the quantities of
water-soluble species among the unrecovered lead. This study examined water
soluble lead species generated in the reduction-melting process of the funnel
glass and factors determining their generation. In the reduction-melting,
metallic lead was generated by reducing lead oxides in the glass, and a part of
the metallic lead remained in the glass residue. Such unrecovered metallic lead
can dissolve in water depending on its pH level and was regarded as water-soluble
lead. When 10g Na2CO3 was added to 20g funnel glass during reduction-melting, the
resulting glass contained high concentrations of sodium. In a water leaching of
the glass, the obtained leachate was alkalized by the sodium-rich glass (pH=12.7
13.0). The unrecovered metallic lead in the glass was extracted in the alkalized
leachate. The quantity of the unrecovered metallic lead (water-soluble lead) in
the glass decreased when the melting time, melting temperature, and carbon dosage
were controlled during reduction-melting.
PMID- 27209520
TI - High-resolution measurement and mapping of tungstate in waters, soils and
sediments using the low-disturbance DGT sampling technique.
AB - Increasing tungsten (W) use for industrial and military applications has resulted
in greater W discharge into natural waters, soils and sediments. Risk modeling of
W transport and fate in the environment relies on measurement of the
release/mobilization flux of W in the bulk media and the interfaces between
matrix compartments. Diffusive gradients in thin-films (DGT) is a promising
passive sampling technique to acquire such information. DGT devices equipped with
the newly developed high-resolution binding gels (precipitated zirconia, PZ, or
ferrihydrite, PF, gels) or classic/conventional ferrihydrite slurry gel were
comprehensively assessed for measuring W in waters. (Ferrihydrite)DGT can measure
W at various ionic strengths (0.001-0.5molL(-1) NaNO3) and pH (4-8), while
(PZ)DGT can operate across slightly wider environmental conditions. The three DGT
configurations gave comparable results for soil W measurement, showing that
typically W resupply is relatively poorly sustained. 1D and 2D high-resolution W
profiling across sediment-water and hotspot-bulk media interfaces from Lake Taihu
were obtained using (PZ)DGT coupled with laser ablation ICP-MS measurement, and
the apparent diffusion fluxes across the interfaces were calculated using a
numerical model.
PMID- 27209519
TI - In vivo tracing of organochloride and organophosphorus pesticides in different
organs of hydroponically grown malabar spinach (Basella alba L.).
AB - An in vivo uptake and elimination tracing study based on solid phase
microextraction (SPME) was conducted to investigate the accumulation, persistence
and distribution of organochloride pesticides (OCPs) and organophosphorus
pesticides (OPPs) in malabar spinach (Basella alba L.) plants. Uptake and
elimination of the pesticides were traced in leaves, stems and roots of living
malabar spinach plants. Root concentration factor (RCF), distribution
concentration factor (DCF) and transpiration stream concentration factor (TSCF)
were calculated based on the in vivo tracing data. The tracing data showed that
the OCPs were much more accumulative and persistent than the OPPs in roots, while
they were similarly accumulative and persistent in leaves and stems. RCF values
of the OPPs or OCPs were likely to increase with the increase in LogKow values
except fenthion. Obtained DCF values indicated that OPPs and OCPs were more
accumulative in the organs containing more lipids. TSCF values showed that the
translocation of OPPs and OCPs from roots to foliage was firstly dependent on the
hydrophobicity of the compounds, but also significantly affected by the water
solubility. This is the first study of generating RCF, DCF and TSCF data in
living plants by in vivo sampling method, which provides a foundation to promote
the application of in vivo SPME and improve understanding of contaminant
behaviors in living plants.
PMID- 27209521
TI - Endogenous salicylic acid is required for promoting cadmium tolerance of
Arabidopsis by modulating glutathione metabolisms.
AB - A few studies with NahG transgenic lines of Arabidopsis show that depletion of SA
enhances cadmium (Cd) tolerance. However, it remains some uncertainties that the
defence signaling may be a result of catechol accumulation in NahG transgenic
lines but not SA deficiency. Here, we conducted a set of hydroponic assays with
another SA-deficient mutant sid2 to examine the endogenous roles of SA in Cd
tolerance, especially focusing on the glutathione (GSH) cycling. Our results
showed that reduced SA resulted in negative effects on Cd tolerance, including
decreased Fe uptake and chlorophyll concentration, aggravation of oxidative
damage and growth inhibition. Cd exposure significantly increased SA
concentration in wild-type leaves, but did not affect it in sid2 mutants.
Depletion of SA did not disturb the Cd uptake in either roots or shoots. The
reduced Cd tolerance in sid2 mutants is due to the lowered GSH status, which is
associated with the decreased expression of serine acetyltransferase along with a
decline in contents of non-protein thiols, phytochelatins, and the lowered
transcription and activities of glutathione reductase1 (GR1) which reduced GSH
regeneration. Finally, the possible mode of SA signaling through the GR/GSH
pathway during Cd exposure is discussed.
PMID- 27209522
TI - Evolution of the SOUL Heme-Binding Protein Superfamily Across Eukarya.
AB - SOUL homologs constitute a heme-binding protein superfamily putatively involved
in heme and tetrapyrrole metabolisms associated with a number of physiological
processes. Despite their omnipresence across the tree of life and the biochemical
characterization of many SOUL members, their functional role and the evolutionary
events leading to such remarkable protein repertoire still remain cryptic. To
explore SOUL evolution, we apply a computational phylogenetic approach, including
a relevant number of SOUL homologs, to identify paralog forms and reconstruct
their genealogy across the tree of life and within species. In animal lineages,
multiple gene duplication or loss events and paralog functional specializations
underlie SOUL evolution from the dawn of ancestral echinoderm and mollusc SOUL
forms. In photosynthetic organisms, SOUL evolution is linked to the endosymbiosis
events leading to plastid acquisition in eukaryotes. Derivative features, such as
the F2L peptide and BH3 domain, evolved in vertebrates and provided innovative
functionality to support immune response and apoptosis. The evolution of elements
such as the N-terminal protein domain DUF2358, the His42 residue, or the
tetrapyrrole heme-binding site is modern, and their functional implications still
unresolved. This study represents the first in-depth analysis of SOUL protein
evolution and provides novel insights in the understanding of their obscure
physiological role.
PMID- 27209523
TI - Cloning, expression and characterization of a novel cold-active and organic
solvent-tolerant esterase from Monascus ruber M7.
AB - Cold active esterases are a class of important biocatalysts that exhibit high
activity at low temperatures. In this study, a search for putative cold-active
esterase encoding genes from Monascus ruber M7 was performed. A cold-active
esterase, named Lip10, was isolated, cloned, purified, and characterized. Amino
acid sequence analysis reveals that Lip10 contained a conserved sequence motif
Gly(173)-Xaa-Ser(175)-Xaa-Gly(177) that is also present in the majority of
esterases and lipases. Phylogenetic analysis indicated that Lip10 was a novel
microbial esterase. The lip10 gene was cloned and heterologously expressed in
Escherichia coli BL21(DE3), resulting in the expression of an active and soluble
protein that constituted 40 % of the total cell protein content. Lip10 maintained
almost 50 % of its maximal activity at 4-10 degrees C, with optimal activity at
40 degrees C. Furthermore, Lip10 retained 184-216 % of its original activity,
after incubation in 50 % (v/v) hydrophobic organic solvents for 24 h. The enzyme
also exhibited high activity under alkaline conditions and good tolerance to
metal ions in the reaction mixture. These results indicate that Lip10 may have
potential uses in chemical synthesis and food processing industrial applications
as an esterase.
PMID- 27209525
TI - BDNF and other biological markers are associated with schizophrenia onset and
positive symptoms: A different approach.
PMID- 27209526
TI - Cognitive remediation for vocational rehabilitation nonresponders.
AB - Cognitive remediation in people with severe mental illnesses (SMI) that interfere
with work, but less research has evaluated its effects in those who have not
benefitted from vocational services. Participants with SMI (83% schizophrenia)
who had not benefitted from vocational rehabilitation were randomized to
vocational services enhanced by training vocational specialists in recognizing
cognitive difficulties and providing job-relevant cognitive coping strategies
(Enhanced Vocational Rehabilitation: E-VR), or similarly enhanced vocational
services and cognitive remediation (Thinking Skills Work: TSW). Cognition and
symptoms were assessed at baseline, post-treatment (9months), and follow-up
(18months), with work tracked weekly for 3years. Fifty-four participants were
randomized to E-VR (N=26) or TSW (N=28). Participants in TSW had high rates of
exposure to the program (89%) and improved more than those in E-VR on cognitive
functioning post-training, with attenuation of some gains at the 18-months.
Participants in TSW and E-VR did not differ significantly in competitive work
(57% vs. 48%) or paid employment (61% vs. 48%) over the 3-year study, although
those in TSW were more likely to be engaged in any work activity, including paid
or volunteer work (75% vs. 50%, p=0.057), and had more weeks of work activity
(23.04 vs. 48.82, p=0.051), and improved marginally more on the clinical
symptoms. The significantly higher education level of participants in E-VR than
TSW at baseline may have obscured the effects of TSW. This study supports the
feasibility and potential benefits of cognitive remediation for persons who have
not benefited from vocational rehabilitation.
PMID- 27209524
TI - Differential effects of childhood trauma and cannabis use disorders in patients
suffering from schizophrenia.
AB - BACKGROUND: Childhood trauma (CT) and cannabis use are both environmental and
modifier risk factors for schizophrenia. However, little is known about how they
interact in schizophrenia. We examined the main effect of each of these two
environmental factors on the clinical expression of the disease using a large set
of variables, and we tested whether and how cannabis and CT interact to influence
the course and the presentation of the illness. METHODS: A sample of 366 patients
who met the DSM-IV-TR criteria for schizophrenia was recruited through the FACE
SCZ (Fondamental Advanced Centre of Expertise - Schizophrenia) network. Patients
completed a large standardized clinical evaluation including Structured Clinical
Interview for DSM Disorders-I (SCID-I), Positive and Negative Symptoms Scale
(PANSS), Columbia-Suicide Severity Rating Scale (C-SSRS), Global Assessment of
Functioning (GAF), Short-Quality of Life-18 (S-QoL-18), and Medication Adherence
Rating Scale (MARS). We assessed CT with the Childhood Trauma Questionnaire and
cannabis status with SCID-I. RESULTS: CT significantly predicted the number of
hospitalizations, GAF, and S-QoL-18 scores, as well as the PANSS total, positive,
excitement, and emotional distress scores. Cannabis use disorders significantly
predicted age of onset, and MARS. There was no significant interaction between CT
and cannabis use disorders. However, we found evidence of a correlation between
these two risk factors. CONCLUSIONS: CT and cannabis both have differential
deleterious effects on clinical and functional outcomes in patients with
schizophrenia. Our results highlight the need to systematically assess the
presence of these risk factors and adopt suitable therapeutic interventions.
PMID- 27209527
TI - Pathways to functional outcome in subjects with schizophrenia living in the
community and their unaffected first-degree relatives.
AB - RATIONALE: Variables influencing real-life functioning have repeatedly been
modeled in schizophrenia subjects but not systematically investigated in their
unaffected first-degree relatives (SRs), in whom milder forms of deficits
reported in schizophrenia have been observed, but confounders of clinical cohorts
are not in play. Demonstrating that pathways to functional outcome are similar
between patients and SRs would validate structural models developed in
schizophrenia subjects. The present multicenter study aimed to explore whether
variables associated with real-life functioning are similar in schizophrenia
patients and their unaffected relatives. METHODS: The study sample included 921
schizophrenia patients, 379 SRs and 780 healthy controls. Structural Equation
Models (SEMs) were used in patients and SRs to test associations of
psychopathological dimensions, neurocognition, social cognition, resilience,
perceived stigma and functional capacity with real-life functioning domains,
impaired in both patients and SRs. RESULTS: Interpersonal Relationships and Work
Skills were the only functional domains impaired in both patients and SRs. For
both domains, functional impairment in patients was found to predict impairment
in unaffected relatives, suggesting the involvement of similar illness-related
vulnerability factors. In both groups variables significantly associated with
Interpersonal Relationships included Social Cognition, Neurocognition, Avolition,
Resilience, Disorganization, Perceived Stigma and Gender, and those significantly
associated with Work Skills included Social Cognition, Neurocognition and
Disorganization. CONCLUSIONS: Pathways to functional outcome for Interpersonal
relationships and Work skills are similar between schizophrenia patients and
their unaffected first-degree relatives. These findings validate, in the absence
of confounders of clinical cohorts, structural models of determinants of
functional outcome in people with schizophrenia.
PMID- 27209528
TI - Withholding differential risk information on legal consumer nicotine/tobacco
products: The public health ethics of health information quarantines.
AB - The United States provides an example of a country with (a) legal
tobacco/nicotine products (e.g., snus, other smokeless tobacco, cigarettes)
differing greatly in risks to health and (b) respected health information
websites that continue to omit or provide incorrect differential risk
information. Concern for the principles of individual rights, health literacy,
and personal autonomy (making decisions for oneself), which are key principles of
public health ethics, has been countered by utilitarian arguments for the use of
misleading or limited information to protect public health overall. We argue that
omitting key health relevant information for current or prospective consumers
represents a kind of quarantine of health-relevant information. As with disease
quarantines, the coercive effects of quarantining information on differential
risks need to be justified, not merely by fears of net negative public health
effects, but by convincing evidence that such measures are actually warranted,
that public health overall is in imminent danger and that the danger is
sufficient to override principles of individual autonomy. Omitting such health
relevant information for consumers of such products effectively blindfolds them
and impairs their making informed personal choices. Moral psychological issues
that treat all tobacco/nicotine products similarly may also be influencing the
reluctance to inform on differential risks. In countries where tobacco/nicotine
products are legally sold and also differ greatly in disease risks compared to
cigarettes (e.g., smokeless tobacco and vape), science-based, comprehensible, and
actionable health information (consistent with health literacy principles) on
differential risks should be available and only reconsidered if it is established
that this information is causing losses to population health overall.
PMID- 27209530
TI - The effect of acute mechanical left ventricular unloading on ovine tricuspid
annular size and geometry.
AB - OBJECTIVES: Left ventricular assist device (LVAD) implantation may alter right
ventricular shape and function and lead to tricuspid regurgitation. This in turn
has been reported to be a determinant of right ventricular (RV) failure after
LVAD implantation, but the effect of mechanical left ventricular (LV) unloading
on the tricuspid annulus is unknown. The aim of the study was to provide insight
into the effect of LVAD support on tricuspid annular geometry and dynamics that
may help to optimize LV unloading with the least deleterious effect on the right
sided geometry. METHODS: In seven open-chest anaesthetized sheep, nine
sonomicrometry crystals were implanted on the right ventricle. Additional nine
crystals were implanted around the tricuspid annulus, with one crystal at each
commissure defining three separate annular regions: anterior, posterior and
septal. Left ventricular unloading was achieved by connecting a cannula in the
left atrium and the aorta to a continuous-flow pump. The pump was used for 15 min
at a full flow of 3.8 +/- 0.3 l/min. Epicardial echocardiography was used to
assess the degree of tricuspid insufficiency. Haemodynamic, echocardiographic and
sonomicrometry data were collected before and during full unloading. Tricuspid
annular area, and the regional and total perimeter were calculated from crystal
coordinates, while 3D annular geometry was expressed as the orthogonal distance
of each annular crystal to the least squares plane of all annular crystals.
RESULTS: There was no significant tricuspid regurgitation observed either before
or during LV unloading. Right ventricular free wall to septum diameter increased
significantly at end-diastole during unloading from 23.6 +/- 5.8 to 26.3 +/- 6.5
mm (P = 0.009), but the right ventricular volume, tricuspid annular area and
total perimeter did not change from baseline. However, the septal part of the
annulus significantly decreased its maximal length (38.6 +/- 8.1 to 37.9 +/- 8.2
mm, P = 0.03). Annular contraction was not altered. The tricuspid annulus had a
complex 3D saddle-shaped geometry that was unaffected during experimental
conditions. CONCLUSIONS: In healthy sheep hearts, left ventricular unloading
increased septal-free wall RV diameter and reduced the length of the septal
annulus, without altering the motion or geometry of the tricuspid annulus. Acute
left ventricular unloading alone in healthy sheep was not sufficient to
significantly perturb tricuspid annular dynamics and result in tricuspid
insufficiency.
PMID- 27209529
TI - Folate-conjugated gold nanoparticle as a new nanoplatform for targeted cancer
therapy.
AB - Conventional cancer treatment methods suffer from many limitations such as non
specificity and low efficacy in discrimination between healthy and cancer cells.
Recent developments in nanotechnology have introduced novel and smart therapeutic
nanomaterials that basically take advantage of various targeting approaches.
Targeted nanomaterials selectively bind to the cancer cells and affect them with
minor effects on healthy cells. Folic acid (folate) is an essential molecule in
DNA synthesis pathway which is highly needed for cancer cell duplication. Some
certain cancer cells overexpress folate receptors higher than normal cells, and
this fact is the basis of folate targeting strategy. There are many publications
reporting various folate conjugated nanomaterials among which folate-conjugated
gold nanoparticles hold great promises in targeted cancer therapy. Gold
nanoparticles have been identified as promising candidates for new cancer therapy
modalities because of biocompatibility, easy synthesis and functionalization,
chemo-physical stability, and optical tunable characteristics. In the last
decade, there has been a significant explosion in gold nanoparticles research,
with a rapid increase in publications related to the area of biomedicine.
Although there are many reports published on "gold nanoparticles" and "folate
targeting," there are a few reports on "folate-conjugated gold nanoparticles" in
biomedical literature. This paper intends to review and illustrate the recent
advances in biomedicine which have been designed on the basis of folate
conjugated gold nanoparticles.
PMID- 27209531
TI - Repair of isolated partial anomalous pulmonary venous connection of the right
upper pulmonary veins to the left vertical vein.
AB - The most common form of partial anomalous pulmonary venous connection is drainage
of the right pulmonary veins to the superior vena cava. On the contrary, partial
anomalous venous connection of right pulmonary veins to the left vertical vein is
described in few reports. The goal of this publication is to present the case of
diagnosis and surgical repair of this extremely rare pathology in a 19-year old
female. Methods of preoperative examination included but were not limited to
spiral computed tomography and angiocardiography. Intraoperative examination
confirmed that the collector of right upper pulmonary veins was connected to the
vertical vein that continued to the innominate vein and then to the superior vena
cava draining into the right atrium. During surgical intervention, the vertical
vein was transected in the area of connection to the innominate vein with the
suturing of inlet area. The collector of the right upper pulmonary veins was then
anastomosed with the left atrial appendage. Postoperative course was uneventful.
In conclusion, isolated partial anomalous pulmonary venous connection of the
right upper pulmonary veins to the left vertical vein is a very rare congenital
defect. Existing modern techniques contribute to the precise and timely diagnosis
of this anomaly.
PMID- 27209532
TI - Is moderate hypothermic circulatory arrest with selective antegrade cerebral
perfusion superior to deep hypothermic circulatory arrest in elective aortic arch
surgery?
AB - A best evidence topic in cardiac surgery was written according to a structured
protocol. The question addressed was whether moderate hypothermia circulatory
arrest with selective antegrade cerebral perfusion (SACP) is more beneficial than
deep hypothermic circulatory arrest in elective aortic arch surgery. Altogether,
1028 papers were found using the reported search, of which 6 represented the best
evidence to answer the clinical question. The authors, journal, date and country
of publication, patient group studied, study type, relevant outcomes and results
of these papers are tabulated. There were four retrospective observational
studies, one prospective randomized controlled trial and one meta-analysis study.
There were no local or neuromuscular complications related to axillary arterial
cannulation reported. In the elective setting, four studies showed that the in
hospital mortality for moderate hypothermia is consistently low, ranging from 1.0
to 4.3%. In a large series of hemiarch replacement comparing 682 cases of deep
hypothermia with 94 cases of moderate hypothermia with SACP, 20 cases (2.8%) of
permanent neurological deficit were reported, compared to 3 cases (3.2%) in
moderate hypothermia. Three observational studies and a meta-analysis study did
not identify an increased risk of postoperative renal failure and dialysis
following either deep or moderate hypothermia although a higher incidence of
stroke was reported in the meta-analysis study with deep hypothermia (12.7 vs
7.3%). Longer cardiopulmonary bypass time and circulatory arrest time were
reported in four studies for deep hypothermia, suggesting an increased time
required for systemic cooling and rewarming in that group. Overall, these
findings suggested that in elective aortic arch surgery, moderate hypothermia
with selective antegrade cerebral perfusion adapted to the duration of
circulatory arrest can be performed safely with acceptable mortality and
morbidity outcomes. The risk of spinal cord and visceral organ complications is
low with the use of this cerebral adjunct. Current studies did not identify an
advantage in terms of postoperative bleeding when compared with deep hypothermia.
The moderate hypothermia strategy reduced operative time without increasing the
mortality and morbidity of surgery.
PMID- 27209533
TI - Straight incision for extended descending and thoracoabdominal aortic
replacement: novel and simple exposure with rib-cross thoracotomy.
AB - OBJECTIVES: Spiral incision of the thoracic wall towards the tip of a scapula and
approach through the sixth intercostal space has been a standard method for the
replacement of thoracoabdominal and descending aortic aneurysms. However, the
exposure of the proximal lesion of the aorta with the spiral incision is not
always sufficient for patients with a lesion extending into the aortic arch.
Patients with Marfan syndrome tend to have a flat chest, and exposure using left
thoracotomy generally causes difficulty to operate on the aortic arch. METHODS:
Since May 2012, 47 patients (mean age 51.2 +/- 16.1, range 9-79, 33 males) have
received a novel incision for better exposure of the extended descending and
thoracoabdominal aneurysm. A straight incision instead of the traditional spiral
one was made from the axilla to the umbilical region and the fourth to sixth ribs
were transected. The latissimus dorsi muscle and thoracodorsal artery were
preserved, which could be a source for collateral circulation to the Adamkiewicz
artery. There were two emergent operations for acute aortic dissection. Twenty
four patients (51%) had undergone previous proximal aortic operation, and 2
patients undergone debranched thoracic endovascular aneurysm repair of the aortic
arch. Connective tissue disorders were diagnosed in 16 (34.0%) patients (Marfan
syndrome 13, Loeys-Dietz syndrome 3). All surgeries were performed under profound
hypothermia. RESULTS: Seven patients underwent total descending aortic
replacement, and the others had Type II thoracoabdominal aortic replacements.
Three had partial aortic arch replacement, 5 had total aortic arch replacement
and 3 had Y-grafting for the abdominal aorta concomitantly. Operation time was
567 +/- 141 min and cardiopulmonary bypass time was 259 +/- 60 min. Three
patients had a major stroke (6.4%), and 1 had a minor stroke. There was no spinal
cord complication among survivors. Hospital mortality rate was 4.3% (2/47). These
2 patients underwent thoracoabdominal aortic replacement, and had a major stroke.
CONCLUSIONS: This new exposure with straight incision with rib-cross thoracotomy
provided excellent exposures for the long segment of the thoracoabdominal aorta,
and it enabled extended replacement from the ascending aorta to the abdominal
aorta.
PMID- 27209534
TI - Short- and long-term follow-up after minimally invasive direct coronary artery
bypass in octogenarians.
AB - OBJECTIVES: Demographic changes lead to a rising incidence of octogenarians
undergoing surgical revascularization of coronary artery disease. Minimally
invasive direct coronary artery bypass (MIDCAB) represents a surgical treatment
with reduced trauma and without the use of cardiopulmonary bypass. The aim of
this study was to evaluate short- and long-term outcomes after MIDCAB in
octogenarians. METHODS: Between 1998 and 2012, 1060 patients underwent MIDCAB at
our department. Among them, 72 patients (6.8%) were older than 80 years at the
time of operation. Incidence of major adverse cardiac and cerebrovascular events
(MACCEs) was compared between octogenarians [median age 82 (81; 85) years, 63.9%
male] and younger MIDCAB patients [median age 64 (56; 70) years, 70.7% male]
after 30 days and during follow-up. The impact of demographics and preoperative
comorbidities on mortality during follow-up was analysed. RESULTS: At baseline,
the elderly group presented with a statistically significant higher logistic
EuroSCORE I (9.2 vs 2.2%, P < 0.001). Within 30 days, octogenarians showed a
mortality rate of 5.6% (younger patients 0.8%, P = 0.006) and an MACCE rate of
5.6% (younger patients 1.3%, P = 0.024). The median follow-up time of 30-day
survivors was 5.5 (2.9; 7.6) years and follow-up completeness reached 96.9%. In
the elderly group, 1-, 3- and 5-year survival rates were 89, 78 and 63% in
comparison with 97, 94 and 90% in the younger group (P < 0.001), respectively.
The estimated median survival time in octogenarians was 6.7 (CI 4.854; 8.629)
years. Logistic regression showed preoperative renal impairment, peripheral
arterial occlusive disease and pulmonary hypertension to have a stronger impact
on mortality during follow-up than age above 80 years. CONCLUSIONS: MIDCAB
represents a safe treatment option in octogenarians and is associated with a good
perioperative outcome and satisfying long-term results in octogenarians.
PMID- 27209535
TI - Managing patients with myelofibrosis and low platelet counts.
AB - Myelofibrosis (MF) is a chronic myeloproliferative neoplasm characterized by bone
marrow fibrosis, ineffective hematopoiesis, splenomegaly, constitutional
symptoms, and shortened survival. Patients often experience multiple disease
associated, as well as treatment-emergent, cytopenias, including
thrombocytopenia. However, patients with MF with thrombocytopenia have few
therapeutic options, and there is little information on the management of these
patients. Several Janus kinase (JAK) inhibitors have been developed for the
treatment of MF, with one (ruxolitinib) having been approved. However, given
their mechanism of action, JAK inhibitors are associated with high rates of
thrombocytopenia. Patients can be successfully managed with dose modifications,
but little is known about the safety and efficacy of these agents in patients
with thrombocytopenia. Recent studies of JAK inhibitors in patients with MF who
have low platelet counts have had mixed results. This review discusses the
prevalence, prognostic implications, and management of thrombocytopenia in MF and
the different therapeutic options available for this patient population, with an
emphasis on current clinical experience with targeted therapies, as well as
recent findings from several clinical studies currently underway.
PMID- 27209536
TI - Clinical and prognostic differences between ALK-negative anaplastic large cell
lymphoma and peripheral T cell lymphoma, not otherwise specified: a single
institution experience.
AB - Clinical differences between anaplastic lymphoma kinase (ALK)-negative anaplastic
large-cell lymphoma (ALK(-) ALCL) and peripheral T cell lymphoma, not otherwise
specified (PTCL-NOS), remain unclear. The aim of this study was to compare the
clinical and prognostic features of these two lymphoma types. We retrospectively
analyzed 167 patients with ALK(-) ALCL (n = 48) and PTCL-NOS (n = 119). Compared
with ALK(-) ALCL patients, PTCL-NOS patients exhibited distinct differences in
clinical features with a propensity for more advanced stages, frequent extranodal
involvement, and a poor performance status, leading to a higher risk group
according to the International Prognostic Index or Prognostic Index for PTCL-NOS.
Patients with ALK(-) ALCL were associated with a higher complete response rate
(47.9 vs. 31.0 %; P = 0.041) after initial chemotherapy than patients with PTCL
NOS. The prognosis was significantly different between two subtypes, with a 5
year overall survival (OS) rate of 57.9 % for ALK(-) ALCL and 23.9 % for PTCL-NOS
(P = 0.002). The subgroup analysis showed significant differences in OS and
progression-free survival between the two subtypes in early-stage diseases, but
not in advanced-stage diseases. We conclude that patients with ALK(-) ALCL showed
favorable clinical features, higher chemosensitivity, and a superior outcome than
those with PTCL-NOS.
PMID- 27209537
TI - The 35-amino acid C2 protein of Cotton leaf curl Kokhran virus, Burewala,
implicated in resistance breaking in cotton, retains some activities of the full
length protein.
AB - With one exception, all the begomoviruses characterized so far encode an ~134
amino acid (aa) (A)C2 protein. The exception is the "Burewala" strain of Cotton
leaf curl Kokhran virus (CLCuKoV-Bu), associated with resistance breaking in
cotton across Pakistan and northwestern India, that encodes a truncated 35-aa C2.
The C2 protein encoded by begomoviruses performs multiple functions including
suppression of post-transcriptional gene silencing (PTGS), modulating microRNA
(miRNA) expression and may be a pathogenicity determinant. The study described
here was designed to investigate whether the CLCuKoV-Bu 35-aa C2 retains the
activities of the full-length C2 protein. The results showed the 35-aa C2 of
CLCuKoV-Bu acts as a pathogenicity determinant, suppresses PTGS and upregulates
miRNA expression when expressed from a Potato virus X vector in Nicotiana
benthamiana. The symptoms induced by expression of full-length C2 were more
severe than those induced by the 35-aa C2. The accumulation of most developmental
miRNAs decreases with the full-length C2 protein and increases with the 35-aa
peptide of CLCuKoV-Bu. The study also revealed that 35-aa peptide of CLCuKoV-Bu
maintains suppressor of silencing activity at a level equal to that of full
length C2. The significance of the results with respect to virus fitness and
resistance breaking is discussed.
PMID- 27209538
TI - Robot-assisted Radical Prostatectomy and Extended Pelvic Lymph Node Dissection in
Patients with Locally-advanced Prostate Cancer.
AB - BACKGROUND: Limited data are available on the role of robot-assisted radical
prostatectomy (RARP) in patients with locally advanced prostate cancer (PCa).
OBJECTIVE: To describe our surgical technique of extrafascial RARP and extended
pelvic lymph node dissection (ePLND) in locally advanced PCa. DESIGN, SETTING,
AND PARTICIPANTS: Ninety-four patients with clinical stage >=T3 undergoing RARP
with ePLND at three European centers between 2011 and 2015 were retrospectively
evaluated. SURGICAL PROCEDURE: Surgery was performed using the DaVinci Si system.
The anatomically defined ePLND included nodes overlying the external iliac axis,
those in the obturator fossa, and around the internal iliac artery up to the
ureter. RARP was performed using an extrafascial approach where the Denonvillers'
fascia was dissected free and left on the posterior surface of the seminal
vesicles. MEASUREMENTS: Perioperative outcomes consisted of operative time, blood
loss, length of hospital stay, and complications occurred within 30 d after
surgery. Biochemical recurrence (BCR) was defined as two consecutive prostate
specific antigen values >=0.2ng/ml. Kaplan-Meier analyses assessed time to BCR
and clinical recurrence. Multivariable Cox regression analyses assessed
predictors of BCR. RESULTS AND LIMITATIONS: Median operative time, blood loss,
and length of hospital stay were 230min, 200ml, and 6 d. Overall, 12 (12.7%)
patients experienced complications and five (5.3%), four (4.3%), and three (3.2%)
patients had Clavien I, II, and III/IV complications. Overall, 72 (76.6%), 35
(37.2%), and 30 (32.3%) patients had pT3/4, pN1, and positive margins. The median
number of nodes removed was 16. Overall, 19 (20.2%) and 21 (22.3%) patients
received adjuvant radiotherapy and hormonal therapy. The median follow-up was
23.5 mo. At 3-yr follow-up, the BCR- and clinical recurrence-free survival rates
were 63.3% and 95.8%. Pathologic stage, Gleason score, and positive margins
represented predictors of BCR (all p<=0.03). Our study is limited by its
retrospective nature and by the follow-up duration. CONCLUSIONS: RARP represents
a well-standardized, safe, and oncological effective option in patients with
locally advanced PCa. Pathologic stage, Gleason score, and positive margins
should be considered to select patients for multimodal approaches. PATIENT
SUMMARY: Robot-assisted surgery represents a well-standardized, safe, and
oncological effective option in men with locally advanced prostate cancer. Two
out of three patients treated with this approach are free from recurrence at 3-yr
follow-up. Pathologic stage, Gleason score, and positive surgical margins
represent predictors of BCR and should be considered to select patients for
multimodal approaches.
PMID- 27209539
TI - Reply to Giandomenico Roviello, Alberto Bottini, and Daniele Generali's Letter to
the Editor re: Karim Fizazi, Kim N. Chi, Johann S. de Bono, et al. Low Incidence
of Corticosteroid-associated Adverse Events on Long-term Exposure to Low-dose
Prednisone Given with Abiraterone Acetate to Patients with Metastatic Castration
resistant Prostate Cancer. Eur Urol. In press.
http://dx.doi.org/10.1016/j.eururo.2016.02.035. Corticosteroid-associated Adverse
Events in Elderly Patients.
PMID- 27209540
TI - Re: Patrick C. Walsh, Nathan Lawrentschuk. Immediate Adjuvant Radiation Therapy
Following Radical Prostatectomy Should Not Be Advised for Men with Extraprostatic
Extension Who Have Negative Surgical Margins. Eur Urol 2016;69:191-2:
Personalization of Immediate Adjuvant Radiation Therapy in Prostate Cancer Does
Not Mean Omission.
PMID- 27209542
TI - Advancing Ecotoxicology in the US Southern States.
PMID- 27209541
TI - Source Apportionment of PM2.5 in Delhi, India Using PMF Model.
AB - Chemical characterization of PM2.5 [organic carbon, elemental carbon, water
soluble inorganic ionic components, and major and trace elements] was carried out
for a source apportionment study of PM2.5 at an urban site of Delhi, India from
January, 2013, to December, 2014. The annual average mass concentration of PM2.5
was 122 +/- 94.1 ug m(-3). Strong seasonal variation was observed in PM2.5 mass
concentration and its chemical composition with maxima during winter and minima
during monsoon. A receptor model, positive matrix factorization (PMF) was applied
for source apportionment of PM2.5 mass concentration. The PMF model resolved the
major sources of PM2.5 as secondary aerosols (21.3 %), followed by soil dust
(20.5 %), vehicle emissions (19.7 %), biomass burning (14.3 %), fossil fuel
combustion (13.7 %), industrial emissions (6.2 %) and sea salt (4.3 %).
PMID- 27209543
TI - Toxic Effects of Bromothalonil and Flutolanil on Multiple Developmental Stages in
Zebrafish.
AB - In this study, we applied various developmental stages of zebrafish to address
the potential environmental risk and aquatic toxicity of bromothalonil and
flutolanil. This results demonstrated that the acute toxicity of bromothalonil to
the three phases of zebrafish were 4.34 (embryo) < 3.27 (12 h old larvae) < 2.52
mg/L (adult fish) and that of flutolanil were 5.47 (embryo) < 4.09 (72 h old
larvae) < 3.91 (12 h old larvae) < 2.70 mg/L (adult). Sublethal effects induced
by both bromothalonil and flutolanil on zebrafish embryos were noted, including
growth inhibition, abnormal spontaneous movement, slower heart rate, complete
hatching failure, and morphological deformities. In addition, both bromothalonil
and flutolanil could cause notochord deformation and short body length of larvae.
This study provides a foundation for future investigation into the mechanism of
bromothalonil and flutolanil toxicity in zebrafish.
PMID- 27209544
TI - Effect of Olive Mill Wastewater Spreading on Soil Properties.
AB - The effect of untreated olive mill wastewater (OMW) spreading on chemical and
biological soil properties of two different fields located in Campania (Italy)
was investigated. Fields were irrigated since 2003 with quantities of about 30
m(3) ha(-1) year(-1), a volume lower than the maximum limit of 80 m(3) ha(-1)
year(-1) established by Italian law. Results showed that the addition of OMW,
even if repeated for many years, had little impact on pH, electrical
conductivity, organic matter, concentrations of main cations and polyphenolic
content of both soil plots; moreover, microbial respiration was low during the
winter time, but an increase was evident in the second sampling carried out in
warm season. This study suggests that OMW, without pre-treatments, can be
annually used for crops and tree irrigation. As a consequence, OMW should be a
readily and inexpensive source of nutrients that could replace chemical
fertilizers which are extensively employed in agricultural practices of
Mediterranean countries.
PMID- 27209545
TI - Fractionation and Mobility of Thallium in Volcanic Ashes after Eruption of
Eyjafjallajokull (2010) in Iceland.
AB - Volcanic ash contains thallium (Tl), which is highly toxic to the biosphere. The
aim of this study was to determine the Tl concentration in fractions of volcanic
ash samples originating from the Eyjafjallajokull volcano. A sequential
extraction scheme allowed for a study of element migration in the environment.
Differential pulse anodic stripping voltammetry using a flow measuring system was
selected as the analytical method to determine Tl content. The highest average
content of Tl in volcanic ash was determined in the fraction entrapped in the
aluminosilicate matrix (0.329 ug g(-1)), followed by the oxidizable fraction
(0.173 ug g(-1)). The lowest content of Tl was found in the water soluble
fraction (0.001 ug g(-1)); however, this fraction is important due to the fact
that Tl redistribution among all the fractions occurs through the aqueous phase.
PMID- 27209546
TI - Organochlorine Pesticides (OCPs) in Sediment and Fish of Two Tropical Water
Bodies Under Different Land Use.
AB - In this study we quantified and compared bioaccumulated OCPs in target fish
species Cichlasoma urophthalmus (Mayan cichlid) and Oreochromis niloticus (Nile
tilapia) and sediment in two lentic systems neighboring areas with different land
use (Xnoha = agricultural/Mocu = nature reserve). Fish at both sites showed the
same number of pesticide compounds (17) while in sediment were 17 and 20,
respectively. ?chlordane concentrations were significantly higher in Xnoha in
both fish and sediment (1.0 and 0.17 ug/g, respectively). Here higher
concentrations of o,p'DDT were found in fish than in sediments, this was
similarly demonstrated in Mocu but to a lesser extent. The proportion of
endosulfan sulfate was lower in Xnoha (<20 %) than in Mocu (<50 %) compared to
the original product. Detected concentrations of ?DDT and chlordane exceed
international permissible limits. Results indicate that OCPs were present in both
aquatic systems regardless of the differences in land use.
PMID- 27209547
TI - On the Data-Driven Road from Neurology to Neuronomy.
PMID- 27209548
TI - The relationship between advanced glycation end products and ocular circulation
in type 2 diabetes.
AB - AIMS: To determine whether skin autofluorescence (SAF) and serum pentosidine,
biomarkers of advanced glycation end products (AGEs), were associated with ocular
microcirculation in type 2 diabetes patients with early diabetic retinopathy
(DR). METHODS: This study included 46 eyes of 46 type 2 diabetes patients with no
DR or non-proliferative DR. SAF was measured with an autofluorescence reader.
Optic nerve head (ONH) microcirculation, represented by mean blur rate (MBR), was
measured with laser speckle flowgraphy. Overall MBR, vascular MBR, and tissue MBR
were calculated in software. MBR, SAF, pentosidine levels, and clinical findings,
including central macular thickness (CMT), were then compared. RESULTS: SAF in
the diabetes patients was correlated with age (P=0.018). Serum pentosidine was
correlated with age, vascular MBR and tissue MBR (P=0.046, P=0.035, and P=0.01,
respectively). CMT was correlated with tissue MBR (P=0.016), but not with
vascular MBR or overall MBR. Separate multiple regression analyses of independent
contributing factors revealed that age, SAF, serum pentosidine, duration of
diabetes, and pulse rate contributed to tissue MBR (P=0.041, P=0.046, P=0.022,
P=0.011 and P=0.036, respectively), while SAF, HbA1c, pulse rate, tissue MBR,
diastolic blood pressure, and creatinine contributed to CMT (P=0.005, P=0.039,
P<0.001, P<0.001, P=0.022 and P=0.001, respectively). CONCLUSIONS: Tissue MBR may
be closely related to AGE levels and CMT in type 2 diabetes patients with early
DR, suggesting that ocular circulation might be potential early biomarkers of DR.
PMID- 27209549
TI - Predictors of recurrence in Sydenham's chorea: Clinical observation from a single
center.
AB - OBJECTIVE: Sydenham's chorea is the most common cause of acquired chorea in
children and is the major manifestation for acute rheumatic fever. Despite being
known as a benign, self-limiting condition, recurrences and persistence of
symptoms can be seen. In this study, we aimed to evaluate retrospectively the
clinical and laboratory features of patients with Sydenham's chorea and the rate
and the course of recurrences, and to assess the risk of recurrences. METHODS:
The study was a retrospective study conducted in a tertiary hospital. Patients
with Sydenham's chorea who were admitted to our outpatient clinics between
January 2013 and June 2015 were included. Both newly diagnosed and follow-up
patients were enrolled during this period. We retrospectively reviewed the
medical charts of the patients. RESULTS: There were 90 patients with female
predominance. The mean age of onset was 11+/-2.4years. Complete remission was
maintained in 77 patients (85.6%) at 1-6months and 4 patients had symptoms at
more than 12months. Patients were followed for 6months to 9years. The recurrence
rate was 16%. When we compared recurrent patients with the non-recurrent group,
complete remission in 6months, the presence of persistent chorea, and regular use
of prophylaxis were significantly different between the 2 groups. CONCLUSIONS:
Sydenham's chorea is still an important health problem and has high morbidity in
patients with recurrent and persistent chorea. The irregular usage of antibiotic
prophylaxis, failure to achieve remission within 6months, and prolongation of
symptoms for more than 1year are risk factors for recurrence of chorea.
PMID- 27209550
TI - Preload substantially influences the intervertebral disc stiffness in loading
unloading cycles of compression.
AB - Disc hydration is controlled by fluid imbibition and exudation and hence by
applied load magnitude and history, internal osmotic pressure and disc
conditions. It affects both the internal load distribution and external load
bearing of a disc while variations therein give rise to the disc time-dependent
characteristics. This study aimed to evaluate the effect of changes in
compression preload magnitude on the disc axial cyclic compression stiffness
under physiological loading. After 20h of free hydration, effects of various
preload magnitudes (no preload, 0.06 and 0.28MPa, applied for eight hours) and
disc-bone preparation conditions on disc height and axial stiffness were
investigated using 36 disc-bone and 24 isolated disc (without bony endplates)
bovine specimens. After preloading, specimens were subjected to ten
loading/unloading cycles each of 7.5min compression at 0.5MPa followed by 7.5min
at 0.06MPa. Under 0.06MPa preload, the specimen height losses during high loading
periods of cyclic loading were greater than corresponding height recoveries
during low loading phases. This resulted in a progressive reduction in the
specimen height and increase in its stiffness. Differences between disc height
losses in high cyclic loads and between stiffness in both load increase and
release phases were significant for 0 and 0.06MPa vs. 0.28MPa preload. Results
highlight the significant role of disc preload magnitude/history and hence disc
height and hydration on disc stiffness in loading/unloading and disc height loss
in loading periods. Proper preconditioning and hence hydration level should be
achieved if recovery in height loss similar to in vivo conditions is expected.
PMID- 27209551
TI - Patient-specific bone geometry and segment inertia from MRI images for model
based analysis of pathological gait.
AB - Patient-specific modeling is a vital component in the translation of
computational multibody dynamics into clinical practice. Recent research has
focused on ways to derive such models from medical imaging, but the process is
usually time consuming and sensitive to operator skill. Here, we present methods
to derive kinematic and inertial properties of body segments from MRI images, and
condense them into a dynamically consistent patient-specific multibody model
(PSM). We develop a semi-automated tool chain to classify bone, muscle and fat in
the lower body and use optimization and geometrical methods to derive
personalized bone meshes and segment inertial properties. The tool chain is
applied to investigate the gait of a 12-yr old female with bone deformities. The
patient-specific results are compared to those arising from generic scaled models
with parameters based on regression equations. We found several kinematic and
inertial differences between the two models, and overall the PSM resulted in
markedly smaller angular and force residuals. The PSM was able to capture vital
aspects of this patient's gait in the transverse plane that were overlooked by
the generic model. These results are relevant to the use of multibody dynamics in
the planning of surgical interventions, and form the basis for developing
efficient and automatic methods to create patient-specific models.
PMID- 27209552
TI - Imaging and simulation of Achilles tendon dynamics: Implications for walking
performance in the elderly.
AB - The Achilles tendon (AT) is a complex structure, consisting of distinct fascicle
bundles arising from each triceps surae muscle that may act as mechanically
independent structures. Advances in tissue imaging are rapidly accelerating our
understanding of the complexities of functional Achilles tendon behavior, with
potentially important implications for musculoskeletal injury and performance. In
this overview of our recent contributions to these efforts, we present the
results of complementary experimental and computational approaches to investigate
AT behavior during walking and its potential relevance to reduced triceps surae
mechanical performance due to aging. Our experimental evidence reveals that older
tendons exhibit smaller differences in tissue deformations than young adults
between regions of the AT presumed to arise from the gastrocnemius and soleus
muscles. These observations are consistent with a reduced capacity for inter
fascicle sliding within the AT, which could have implications for the mechanical
independence of the triceps surae muscles. More uniform AT deformations are also
correlated with hallmark biomechanical features of elderly gait - namely, a loss
of net ankle moment, power, and positive work during push-off. Simulating age
related reductions in the capacity for inter-fascicle sliding in the AT during
walking predicts detriments in gastrocnemius muscle-tendon mechanical performance
coupled with underlying shifts in fascicle kinematics during push-off. AT
compliance, also suspected to vary due to age, systematically modulates those
effects. By integrating in vivo imaging with computational modeling, we have
gained theoretical insight into multi-scale biomechanical changes due to aging,
hypotheses regarding their functional effects, and opportunities for experiments
that validate or invalidate these assertions.
PMID- 27209553
TI - Evaluation of cytokines, oxidative stress markers and brain-derived neurotrophic
factor in patients with fibromyalgia - A controlled cross-sectional study.
AB - OBJECTIVES: Previous studies measuring serum levels of biomarkers of
inflammation/oxidative stress and neurotrophins levels in fibromyalgia (FM) have
rendered inconsistent results. In the present study, our aim was to explore the
levels of interleukins, oxidative stress markers and brain-derived neurotrophic
factor (BDNF) in patients with FM in relation to depression and severity of
disease. METHODS: In a prospective controlled cross-sectional study, serum
concentrations of IL-6, IL-8, IL-10, TNF-alpha, thiobarbituric acid reactive
substances (TBARS), protein carbonyl and BDNF were measured in 69 FM patients and
61 healthy controls (all women). In the FM group, the Fibromyalgia Impact
Questionnaire (FIQ), the Beck Depression Inventory (BDI) and the Hamilton
Depression Rating Scale (HDRS) were applied. Mann Whitney's and Spearman
correlation tests were used for statistical analysis. RESULTS: The FM patients
demonstrated a significant impact of the disease on quality of life (FIQ 70.2+/
17.8) and most of them had depression at some level (82.6% and 87.0% as assessed
by BDI and HDRS, respectively). Most biomarkers (IL-6, IL-8, TNF-alpha, TBARS and
protein carbonyl) and BDNF did not differ significantly between patients and
controls, but the IL-10 levels were higher in FM patients (adjusted p=0.041).
Among FM patients, there was no correlation of HDRS, FIQ, and BDI scores with any
biomarker tested here. CONCLUSION: We observed no significant differences in
biomarkers between FM patients and controls, except for higher levels of IL-10
(an anti-inflammatory cytokine) in patients. The levels of biomarkers were not
correlated with parameters of disease and depression severity.
PMID- 27209554
TI - Hormetic effect and mechanism of imidazolium-based ionic liquids on the nematode
Caenorhabditis elegans.
AB - In the present study, we used Caenorhabditis elegans assay system to investigate
in hormetic effects of imidazolium-based bromide Ionic Liquids (ILs) and explored
the possible underlying mechanism. Firstly, C. elegans was treated with ILs with
different alkyl chain lengths at different concentrations. We found that exposure
to ILs at 0.01 mg/L extended the mean lifespan of C. elegans and the ILs with
longer alkyl chain showed more obvious effects. To investigate the possible
mechanism, the nematodes were exposed to the three ILs at 0.01 mg/L for 2, 5, 7,
9 and 11 days. The levels of reactive oxygen species (ROS) in C. elegans
increased significantly when treated for 2 days and then declined gradually
compared to those of respective controls as time went on. After exposure for 11
days, the ROS levels and liposuscin accumulation were significantly lower in the
treated groups than those of control group. Meanwhile, the expression of aging
related genes sod-5 and daf-16 were both massively up-regulated for the three ILs
examined. Our results show that low concentration of ILs exert hormetic effect on
C. elegans. ROS generation and expression of aging-related genes may play
important roles in the IL-induced hormetic effect on C. elegans.
PMID- 27209555
TI - Biodegradation of free cyanide by bacterial species isolated from cyanide
contaminated artisanal gold mining catchment area in Burkina Faso.
AB - Soil and water samples were collected from a watershed in Burkina Faso where
illegal artisanal gold extraction using cyanidation occurs. The samples were used
to evaluate cyanide contamination and the presence of cyanide degrading bacteria
(CDB). Free cyanide (F-CN) was detected in all samples, with concentrations
varying from 0.023 to 0.9 mg kg(-1), and 0.7-23 MUg L(-1) in the soil and water
samples, respectively. Potential CDB also were present in the samples. To test
the effective F-CN degradation capacity of the isolated CDB species, the species
were cultivated in growth media containing 40, 60 or 80 mg F-CN L(-1), with or
without nutrients, at pH 9.5 and at room temperature. More than 95% of F-CN was
degraded within 25 h, and F-CN degradation was associated with bacterial growth
and ammonium production. However, initial concentrations of F-CN higher than 100
mg L(-1) inhibited bacterial growth and cyanide degradation. Abiotic tests showed
that less than 3% of F-CN was removed by volatilization. Thus, the degradation of
F-CN occurred predominately by biological mechanisms, and such mechanisms are
recommended for remediation of contaminated soil and water. The bacteria
consortium used in the experiment described above exist in a Sahelian climate,
which is characterized by a long hot and dry season. Because the bacteria are
already adapted to the local climate conditions and show the potential for
cyanide biodegradation, further applicability to other contaminated areas in West
Africa, where illegal gold cyanidation is widespread, should be explored.
PMID- 27209556
TI - Degradation of atrazine in aqueous solution with electrophotocatalytic process
using TiO2-x photoanode.
AB - The present study investigates the efficiency of a sustainable treatment
technology, the electrophotocatalytic (EPC) process using innovative photoanode
TiO2-x prepared by a magnetron sputter deposition process to remove the herbicide
atrazine (ATZ) from water. The coexistence of anatase and rutile were identified
by X-ray diffraction (XRD) and the presence of oxygen vacancies reduce the value
of the observed bandgap to 3.0 eV compared to the typical 3.2 eV TiO2, this
reduction is concomitant with a partial phase transition which is probably
responsible for the increase in photoactivity. The experimental results with an
initial concentration of ATZ (100 MUg L(-1)) show that more than 99% of ATZ
oxidation was obtained after 30 min of treatment and reaction kinetic constant
was about 0.146 min(-1). This good efficiency indicates that EPC process is an
efficient, simple and green technique for degradation of pesticides such as ATZ
in water. The analysis with liquid chromatography technique permits to identify,
quantify and see the evolution of ATZ by-products which are generated by
dechlorination, dealkylation and alkylic-oxidation mechanisms. Finally, the
possible pathways of ATZ degradation by hydroxyl radicals were proposed.
PMID- 27209557
TI - Elucidating the key role of the fungal mycelium on the biodegradation of n
pentane as a model hydrophobic VOC.
AB - The role of the aerial mycelium of the fungus Fusarium solani in the
biodegradation of n-pentane was evaluated in a continuous fungal bioreactor (FB)
to determine the contribution of the aerial (hyphae) and non-aerial (monolayer)
fungal biomass. The experimental results showed that although the aerial biomass
fraction represented only 25.9(+/-3)% on a dry weight basis, it was responsible
for 71.6(+/-4)% of n-pentane removal. The FB attained a maximum elimination
capacity (ECmax) of 680(+/-30) g m(-3) h(-1) in the presence of fungal hyphae
(which supported an interfacial area of 5.5(+/-1.5) * 10(6) m(2) m(-3)). In
addition, a mathematical model capable of describing n-pentane biodegradation by
the filamentous fungus was also developed and validated against the experimental
data. This model successfully predicted the influence of the aerial biomass
fraction and its partition coefficient on the n-pentane removal, with EC
decreasing from 680(+/-30) g m(-3) h(-1) to values of 200(+/-14) g m(-3) h(-1)
when the dimensionless partition coefficient increased from 0.21(+/-0.09) with
aerial biomass to 0.88(+/-0.06) without aerial biomass.
PMID- 27209559
TI - End-of-life care in intensive care unit: Family experiences.
AB - PURPOSE: To elicit family members' experiences of end-of-life care in adult
intensive care units. DESIGN AND METHODS: A descriptive, exploratory, qualitative
design was utilised. A purposive sampling method was used to select a sample of
seventeen family members who had relatives receiving end-of-life care in the
intensive care units at three academic affiliated, tertiary/quaternary specialist
hospitals in the Johannesburg and Pretoria regions, South Africa. An interview
guide was used to facilitate individual, semi-structured interviews with the
selected participants. Data collection and analysis took place simultaneously as
interviews were transcribed verbatim immediately after the interview. Tesch's
(1990) steps of analysis were used to establish the major themes that arose from
the data. Lincoln and Guba's (1985) criteria for ensuring trustworthiness of
qualitative research were applied. FINDINGS: Five major themes emerged: "most of
the time we are in darkness", "emotional support", "involvement", "family
presence" and "spiritual support". CONCLUSION: The findings reflect inadequate
care to the families who had dying relatives in the intensive care unit. Negative
experiences expressed by the families outweighed their positive experiences, as
most families were not happy with the care observed or personally received while
their relatives were in the intensive care unit.
PMID- 27209558
TI - Biomphalaria alexandrina as a bioindicator of metal toxicity.
AB - Heavy metals are common environmental pollutants to the aquatic ecosystems.
Several aquatic species have been used as bioindicators and biomonitoring
subjects for heavy metals pollution. In the present study, the effects of cadmium
(Cd) and manganese (Mn) on the survival, attachment, locomotion, and feeding
behaviours of the gastropod snail Biomphalaria alexandrina were determined. The
short-term (96 h) LC50 for Cd and Mn were found to be 0.219 and 154.2 mg/l,
respectively. Long-term exposures (16-20 days) to ascending concentrations of Cd
(0.01-1 mg/l) and Mn (50-500 mg/l) also caused gradual decreases in the survival
rate of B. alexandrina in a dose-dependent manner. Attachment, locomotion and
feeding behaviours of snails exposed to lethal and sublethal concentrations of Cd
and Mn at acute (96 h) and chronic exposure (24 days) intervals, respectively,
were also recorded. Compared to controls, a significant decrease (p <= 0.05) was
recorded in the different behaviours of exposed snails. These changes in
behaviour would potentially impact the snail's ability to survive in the wild.
Although Cd caused a more severe decline in snail survivorship than Mn, the
behavioural effects of Mn were much more severe than Cd when the metals were
roughly matched for lethality. In sum, the present study demonstrates B.
alexandrina to be a sensitive bioindicator and model organism to assess heavy
metals risk factors for severe toxicity in freshwater ecosystems.
PMID- 27209560
TI - Obstetric admissions to ICUs in Finland: A multicentre study.
AB - In this study, the objective was to describe and analyse reasons for obstetric
admissions to the ICU, severity of illness, level and types of interventions,
adverse events and patient outcomes. In a retrospective database study, we
identified 291 obstetric patients during pregnancy and puerperium from four
Finnish university hospitals. Most were admitted in the post-partum period and
hypertensive disorders were the main indications for admissions, followed by
obstetric haemorrhage. The median length of stay was 21hours. The most common
intervention was blood transfusion and mechanical ventilation was required in
nearly one fifth of the patients. Three patients had a prolonged stay and nine
had re-admissions. One maternal death was recorded. This study found that
severity of illness and organ failure scores describe the obstetric patient as
having a good probability of recovery and a short length of stay. However, the
obstetric patients reason for admission and their type of delivery were
associated with both the severity of illness scores and level of intervention
required. Those admitted for non-obstetric reasons and having had a vaginal
delivery demonstrated higher severity of illness scores, organ failure scores,
and levels of intervention when compared to those admitted for obstetric reasons
or those who had delivered by caesarean section. In conclusion, care of these
patients can be improved by understanding the severity of illness scores, common
ICU interventions and patient outcomes.
PMID- 27209561
TI - Moral distress and intention to leave: A comparison of adult and paediatric
nurses by hospital setting.
AB - OBJECTIVES: To assess moral distress intensity and frequency in adult/paediatric
nurses in critical care and non-critical care units; and explore relationships of
nurse characteristics and moral distress with intention to leave.
METHODS/SETTING: A descriptive, correlational design was used to administer an
online survey using the Moral Distress Scale to nurses across multiple settings.
MAIN OUTCOME MEASURES: Intensity and frequency of moral distress and intention to
leave current position. RESULTS: The survey response rate was 43% (n=426/1000).
Critical care nurses had the highest levels of moral distress intensity and
frequency, compared to non-critical care specialties (M=2.5+/-0.19, p=0.005 for
intensity and M=1.6+/-0.11, p<0.001 for frequency). Moral distress frequency
showed a positive relationship with intention to leave a position of employment.
Each unit increase in moral distress frequency doubled the odds of intention to
leave when adjusting for age, gender, ethnicity and specialty area (p=0.003).
Hispanic nurses had significantly higher levels of moral distress intensity
(p=0.01). CONCLUSION: Moral distress is a complex phenomenon requiring further
study, particularly with regard to the role of ethnic and cultural differences on
perceptions of moral distress.
PMID- 27209562
TI - Synthesis of novel 17-(4'-formyl)pyrazolylandrosta-5,16-dienes and their
derivatives as potent 17alpha-hydroxylase/C17,20-lyase inhibitors or
antiproliferative agents depending on the substitution pattern of the heteroring.
AB - A series of novel 17-(4'-formyl)pyrazolylandrosta-5,16-dienes were efficiently
synthesized in two steps from pregnadienolone acetate with monosubstituted
hydrazines via the cyclization/formylation sequence of the primarily formed
hydrazones on treatment with the Vilsmeier-Haack reagent. The products were
further transformed by deacetylation and subsequent reduction in order to enlarge
the compound library available for pharmacological studies. Moreover, 4'
formylpyrazoles containing H or Me on the heteroring-N were subjected to oxime
formation and Ac2O-induced dehydration to furnish the corresponding 4'-cyano
derivatives in good yields. The antiproliferative activities of the structurally
related steroidal 17-exo-pyrazole derivatives were tested in vitro on four human
adherent breast cancer cell lines (MCF7, T47D, MDA-MB-231 and MDA-MB-361): the
microculture tetrazolium assay revealed that seven compounds exerted better cell
growth-inhibitory effects on some or all these cell lines than those of the
reference cisplatin. With regard to the well-known structural features that a
potent C17,20-lyase inhibitor should possess, some relevant derivatives were
tested in vitro from the aspects of their inhibitory effects on rat testicular
enzyme, and one of them proved to exert noteworthy enzyme-inhibitory action, with
an IC50 (26 nM) of the same order of magnitude as that of abiraterone.
PMID- 27209563
TI - Corrigendum to 'Methods to account for movement and flexibility in cryo-EM data
processing' [Methods 100 (2016) 35-41].
PMID- 27209564
TI - ["Do not do" also as regards tobacco].
AB - We do have very effective and efficient interventions to help our patients to
stop smoking. The strategy that has more evidence and consensus in primary care
is the 5 A's, that is, ask, advise, assess willingness to try to quit smoking,
helping those who want to try and make follow-up visits. However, we intervene
lot less than we should. The available protocols oversized interventions, and
propose elements without scientific evidence or therapeutic effect. It is
therefore necessary to develop more simple, useful and evidence-based
interventions to assist us in carrying out our work interventions, and stop doing
those that dont contribute. In this article we will use as an example a critical
review of Smoker Care Service Portfolio of Madrid Health Service, and we will
propose a number of alternatives to allow a simple, effective and evidence-based
intervention.
PMID- 27209565
TI - [Keloid scars (part II): Treatment and prevention].
AB - Keloids scars are a dysregulated response to cutaneous wound healing and can be
associated with substantial physical and psychological distress. Unfortunately,
they occur when surgical excision is performed. While the pathogenesis of keloids
continues to be investigated, numerous treatment options exist. But there is
still no ideal treatment. Their management needs association of treatment and
long-term follow-up to observe and manage recurrence. In this second part, we
propose a strategy for management of keloids scars.
PMID- 27209566
TI - Technical feasibility of robot-assisted minimally-invasive neurolysis of the
lateral cutaneous nerve of thigh: About a case.
AB - To limit the risk of iatrogenic neuroma and recurrence after surgical treatment
of meralgia paresthetica, some authors have recently developed a technique of
endoscopic neurolysis of the lateral cutaneous nerve of thigh (LCNT) below the
level of the inguinal ligament. We report the case of a robot-assisted endoscopic
technique underneath the inguinal ligament. A 62-year-old patient suffering of
idiopathic meralgia paresthetica for the past 18 months received a Da Vinci robot
assisted minimally-invasive 10cm long neurolysis, of which 1/3 was situated above
the level of the inguinal ligament and 2/3 below it. The patient was discharged
the following day without complications. At 6-months follow-up the pain was rated
0/10 compared to 5/10 pre-operatively. Robot-assisted endoscopic neurolysis of
the LCNT retains the advantages of conventional endoscopy and enables to approach
the nerve in the most frequently compressed zone underneath the inguinal
ligament. The three-dimensional view offered by robotic surgery facilitates the
dissection. The superiority of this technique remains to be demonstrated by
comparing it to conventional techniques.
PMID- 27209567
TI - A Rapid and Cost-Effective Method for Genotyping Genome-Edited Animals: A
Heteroduplex Mobility Assay Using Microfluidic Capillary Electrophoresis.
AB - The recent emergence and application of engineered endonucleases have led to the
development of genome editing tools capable of rapidly implementing various
targeted genome editions in a wide range of species. Moreover, these novel tools
have become easier to use and have resulted in a great increase of applications.
Whilst gene knockout (KO) or knockin (KI) animal models are relatively easy to
achieve, there is a bottleneck in the detection and analysis of these mutations.
Although several methods exist to detect these targeted mutations, we developed a
heteroduplex mobility assay on an automated microfluidic capillary
electrophoresis system named HMA-CE in order to accelerate the genotyping
process. The HMA-CE method uses a simple PCR amplification of genomic DNA (gDNA)
followed by an automated capillary electrophoresis step which reveals a
heteroduplexes (HD) signature for each mutation. This allows efficient
discrimination of wild-type and genome-edited animals down to the single base
pair level.
PMID- 27209568
TI - Transcriptome profiling of the microalga Chlorella pyrenoidosa in response to
different carbon dioxide concentrations.
AB - To enrich our knowledge of carbon dioxide (CO2)-concentrating mechanism (CCM) in
eukaryotic algae, we used high-throughput sequencing to investigate the
transcriptome profiling of the microalga Chlorella pyrenoidosa (Chlorophyta)
response to different CO2 levels. Altogether, 53.86 million (M) and 62.10M clean
short reads of 100 nucleotides (nt) were generated from this microalga cultured
at 4-fold air CO2 (control) and air CO2 concentrations by Illumina sequencing. A
total of 32,662 unigenes were assembled from the two pooled samples. With an E
value cut-off of 1e-5, 9590, 6782, 5954, and 9092 unigenes were annotated in NR,
Gene Ontology (GO), Eukaryotic Cluster of Orthologous Groups of proteins (KOG),
and Kyoto Encyclopedia of Genes and Genomes (KEGG) databases, respectively. After
screening, 51 differentially expressed unigenes were up-regulated and 8 were down
regulated in the air CO2 group, relative to the control. The transcript levels of
eight differentially expressed unigenes were validated by real-time quantitative
PCR, which manifested that thioredoxin-like protein, laminin subunit beta-1, and
chlorophyll a/b binding protein might be associated with the utilization of
inorganic carbon at low CO2 levels.
PMID- 27209569
TI - Trait modality distribution of aquatic macrofauna communities as explained by
pesticides and water chemistry.
AB - Analyzing functional species' characteristics (species traits) that represent
physiological, life history and morphological characteristics of species help
understanding the impacts of various stressors on aquatic communities at field
conditions. This research aimed to study the combined effects of pesticides and
other environmental factors (temperature, dissolved oxygen, dissolved organic
carbon, floating macrophytes cover, phosphate, nitrite, and nitrate) on the trait
modality distribution of aquatic macrofauna communities. To this purpose, a field
inventory was performed in a flower bulb growing area of the Netherlands with
significant variation in pesticides pressures. Macrofauna community composition,
water chemistry parameters and pesticide concentrations in ditches next to flower
bulb fields were determined. Trait modalities of nine traits (feeding mode,
respiration mode, locomotion type, resistance form, reproduction mode, life
stage, voltinism, saprobity, maximum body size) likely to indicate pesticides
impacts were analyzed. According to a redundancy analysis, phosphate -and not
pesticides- constituted the main factor structuring the trait modality
distribution of aquatic macrofauna. The functional composition could be ascribed
for 2-4 % to pesticides, and for 3-11 % to phosphate. The lack of trait responses
to pesticides may indicate that species may have used alternative strategies to
adapt to ambient pesticides stress. Biomass of animals exhibiting trait
modalities related to feeding by predation and grazing, presence of diapause form
or dormancy, reproduction by free clutches and ovoviviparity, life stage of
larvae and pupa, was negatively correlated to the concentration of phosphate.
Hence, despite the high pesticide pollution in the area, variation in nutrient
related stressors seems to be the dominant driver of the functional composition
of aquatic macrofauna assembly in agricultural ditches.
PMID- 27209570
TI - Affection of the frontal lobe in Leigh syndrome due to the m.8993T>G mutation.
PMID- 27209571
TI - Relief of epilepsy and headache and quality of life after microsurgical treatment
of unruptured brain AVM-audit of a single-center series and comprehensive review
of the literature.
AB - Although the benefit of intervention for unruptured arteriovenous malformation
(AVM) with regard to stroke rates and long-term disability remains unclear, most
patients present with symptoms, such as epilepsy, headache, or neurological
deficits, compromising their quality of life. Detailed analysis of the long-term
effects of microsurgical treatment on quality of life, epilepsy, and headache was
the purpose of this audit. A series of 25 microsurgically treated patients were
interviewed on average 7 +/- 5 years after treatment. Detailed information was
obtained regarding frequency and severity of seizures and headaches. Outcome data
was compared with the initial complaints and neurological findings. The Short
Form (SF)-36 was used to assess health-related quality of life. On average, the
SF-36 scores did not differ significantly from the age-matched German norm
values. Patients suffering from chronic headache prior to treatment scored worse
in most SF-36 subscales than patients without headache at the time of treatment,
and the difference was significant in the SF-36 dimensions physical role
functioning and emotional role functioning (P = 0.04). In contrast, there was a
trend for patients treated for incidental AVM to score somewhat better than the
age norm. Twelve patients had been admitted with epilepsy. At the time of follow
up, all patients were seizure free (Engel class I), although 7 of them continued
to take antiepileptic medication. Two of 13 patients without epilepsy at the time
of treatment experienced seizures sometime during the post treatment course and
were under medication at the time of long-term follow-up interview. At the time
of the audit, 7 of 11 patients admitted with chronic headache necessitating
regular use of pain medication indicated not to use pain medication any longer.
Our data suggest that initial symptoms leading to diagnosis and treatment of
unruptured AVM may influence long-term quality of life following treatment.
Patients admitted with headache as the chief complaint appear to fare worse than
patients with epileptogenic or incidental AVMs.
PMID- 27209572
TI - Spermatozoa capacitation in female Varroa destructor and its influence on the
timing and success of female reproduction.
AB - Mating of Varroa destructor takes place inside the sealed honey bee brood cell.
During copulation, male mites transfer the spermatozoa into the genital openings
of the females. Before the fertilization of female germ cells, the transferred
spermatozoa have to pass through a final maturation process inside the genital
tract of the female, the so-called capacitation. We here describe for the first
time the morphological changes and chronological sequence of spermatozoa
capacitation within female V. destructor. We have defined seven distinct stages
of spermatozoa during the process of capacitation and have shown that it takes
about 5 days from mating to the occurrence of spermatozoa ready for
fertilization. This might explain the results of an additional experiment where
we could show that freshly mated daughter mites need a phoretic phase on bees
before their first reproduction cycle. The transfer of non-capacitated
spermatozoa from male V. destructor and the resulting long capacitation period
within the female mites seems to be a consequence of an adaptive pressure for the
male mites to inseminate several daughter mites within the short time span inside
the sealed honey bee brood cell.
PMID- 27209573
TI - The impact of ischaemic stroke on atrial fibrillation-related healthcare cost: a
systematic review.
AB - The aim of this study was to summarize healthcare costs incurred by patients with
atrial fibrillation (AF) who developed ischaemic stroke, explore factors
associated with increased cost, and highlight the importance of anticoagulation
therapy for stroke prophylaxis. A systematic literature search of PubMed, EMBASE,
Web of Science, and the health economic evaluation database was conducted up to
December 2015. Studies focused on the cost and/or resource utilization of
ischaemic stroke in patients with AF were included. Reported costs were converted
to international dollars (I$) and adjusted to 2015 values. Alongside the
narrative review of included studies, Spearman's correlation, independent-samples
t-test, and one-way ANOVA were used to explore factors associated with cost
differences between studies. Sixteen studies published from nine countries were
identified. Based on currency conversion rates in 2015, ischaemic stroke-related
healthcare costs were estimated to be I$41 420, I$12 895, and I$8184 for high
income, upper middle-income, and lower middle-income economies, respectively.
Local GDP per capita accounted for ~50% of the healthcare cost variation among
countries. Major component of overall cost was from hospitalization. Ischaemic
stroke incurring in patients with AF >=75 years was 2.3 times that of their
younger peers (P = 0.049). The economic burden from ischaemic stroke in patients
with AF is considerable with positive association to country income. Clinicians
and stakeholders should be aware of the importance of anticoagulation therapies
in stroke prophylaxis, the occurrence of stroke, and the downstream economic
burden on an increasingly ageing population.
PMID- 27209574
TI - A paper based graphene-nanocauliflower hybrid composite for point of care
biosensing.
AB - We demonstrate the first report of graphene paper functionalized with fractal
platinum nanocauliflower for use in electrochemical biosensing of small molecules
(glucose) or detection of pathogenic bacteria (Escherichia coli O157:H7). Raman
spectroscopy, scanning electron microscopy and energy dispersive spectroscopy
show that graphene oxide-coated nanocellulose was partially reduced by both
thermal treatment, and further reduced by chemical treatment (ascorbic acid).
Fractal nanoplatinum with cauliflower-like morphology was formed on the reduced
graphene oxide paper using pulsed sonoelectrodeposition, producing a conductive
paper with an extremely high electroactive surface area (0.29+/-0.13cm(2)),
confirmed by cyclic voltammetry and electrochemical impedance spectroscopy. The
platinum surface was functionalized with either glucose oxidase (via chitosan
encapsulation) or a RNA aptamer (via covalent linking) for demonstration as a
point of care biosensor. The detection limit for both glucose (0.08+/-0.02MUM)
and E. coli O157:H7 (~4 CFUmL(-1)) were competitive with, or superior to,
previously reported devices in the biosensing literature. The response time (6s
for glucose and 12min for E. coli) were also similar to silicon biochip and
commercial electrode sensors. The results demonstrate that the nanocellulose
graphene-nanoplatinum material is an excellent paper-based platform for
development of electrochemical biosensors targeting small molecules or whole
cells for use in point of care biosensing.
PMID- 27209575
TI - Sensitive NADH detection in a tumorigenic cell line using a nano-biosensor based
on the organic complex formation.
AB - A robust amperometric sensor for beta-nicotinamide adenine dinucleotide (NADH)
detection was developed through the organic complex formation with
ethylenediaminetetraacetic acid (EDTA) bonded on the polyethylenimine
(PEI)/activated graphene oxide (AGO) layer. The EDTA immobilized sensor probe
(GCE/AGO/PEI-EDTA) revealed a catalytic property towards NADH oxidation that
allows for the highly sensitive electrochemical detection of NADH at a low
oxidation potential. Surface characterization demonstrated that the negatively
charged AGO acted as nanofillers in the positively charged PEI matrix through the
charge interaction. The immobilization of EDTA on the polymer layer provided more
surface area for NADH to interact with through the enhanced chemical interlocking
between them. We observed the strong interaction between NADH and EDTA on the
AGO/PEI layer using a quartz crystal microbalance (QCM), X-ray photoelectron
spectroscopy (XPS), and the calculation of the minimized energy for complex
formation. The dynamic range of NADH was determined to be between 0.05MUM and
500MUM with a detection limit (LD) of 20.0+/-1.1nM. The reliability of the
developed sensor for biomedical applications was examined by detecting NADH in
tumorigenic lung epithelial cells using the standard addition method.
PMID- 27209576
TI - Design and synthesis of target-responsive hydrogel for portable visual
quantitative detection of uranium with a microfluidic distance-based readout
device.
AB - Due to uranium's increasing exploitation in nuclear energy and its toxicity to
human health, it is of great significance to detect uranium contamination. In
particular, development of a rapid, sensitive and portable method is important
for personal health care for those who frequently come into contact with uranium
ore mining or who investigate leaks at nuclear power plants. The most stable form
of uranium in water is uranyl ion (UO2(2+)). In this work, a UO2(2+) responsive
smart hydrogel was designed and synthesized for rapid, portable, sensitive
detection of UO2(2+). A UO2(2+) dependent DNAzyme complex composed of substrate
strand and enzyme strand was utilized to crosslink DNA-grafted polyacrylamide
chains to form a DNA hydrogel. Colorimetric analysis was achieved by
encapsulating gold nanoparticles (AuNPs) in the DNAzyme-crosslinked hydrogel to
indicate the concentration of UO2(2+). Without UO2(2+), the enzyme strand is not
active. The presence of UO2(2+) in the sample activates the enzyme strand and
triggers the cleavage of the substrate strand from the enzyme strand, thereby
decreasing the density of crosslinkers and destabilizing the hydrogel, which then
releases the encapsulated AuNPs. As low as 100nM UO2(2+) was visually detected by
the naked eye. The target-responsive hydrogel was also demonstrated to be
applicable in natural water spiked with UO2(2+). Furthermore, to avoid the visual
errors caused by naked eye observation, a previously developed volumetric bar
chart chip (V-Chip) was used to quantitatively detect UO2(2+) concentrations in
water by encapsulating Au-Pt nanoparticles in the hydrogel. The UO2(2+)
concentrations were visually quantified from the travelling distance of ink-bar
on the V-Chip. The method can be used for portable and quantitative detection of
uranium in field applications without skilled operators and sophisticated
instruments.
PMID- 27209577
TI - Enhanced catalytic activity of gold nanoparticle-carbon nanotube hybrids for
influenza virus detection.
AB - Multifunctional nanohybrids have created new and valuable opportunities for a
wide range of catalysis and biotechnology applications. Here, we present a
relatively simple method for producing nanohybrids composed of gold nanoparticles
(Au NPs) and carbon nanotubes (CNTs) that does not require an acidic pre
treatment of the CNTs. Transmission electron microscopy (TEM) images and
ultraviolet-visible (UV-vis) spectra revealed that Au NPs bonded to the CNT
surface. Surface-enhanced Raman scattering (SERS) revealed a stronger signal from
Au-CNT nanohybrids than from pristine CNTs. The Au-CNT nanohybrids showed
catalytic activity in the oxidation of 3, 3', 5, 5'-tetramethyl-benzidine (TMB)
by H2O2 and developed a unique blue colour in aqueous solution. Because of the
enhanced peroxidase-like activity of these Au-CNT nanohybrids, they were selected
for use as part of a highly sensitive colorimetric test for influenza virus A
(H3N2). In the presence of influenza A virus (H3N2) in the test system (specific
antibody-conjugated Au CNT nanohybrids-TMB-H2O2), a deep blue colour developed,
the optical density of which was dependent on the virus concentration (10-50,000
PFU/ml). The limit of detection of this proposed method was 3.4 PFU/ml, a limit
385 times lower than that of conventional ELISA (1312 PFU/ml). The sensitivity of
this test was also 500 times greater than that of commercial immunochromatography
kits. The nanohybrid preparation and colorimetric detection methods reported
herein may be easily adapted to other nanohybrid structures with enzyme mimetic
properties for broader applications in catalysis and nanobiotechnology.
PMID- 27209578
TI - BODIPY-based self-assembled nanoparticles as fluorescence turn-on sensor for the
selective detection of zinc in human hair.
AB - Zinc plays important roles in regulating physiological and pathological
processes. Regrettably, mild to moderate zinc deficiency is common worldwide.
Hair Zn(2+) concentration, which reflects a zinc storage status, is useful for
tracking trends in zinc status within populations. In this work, we report BODIPY
based self-assembled nanoparticles (NPs) as fluorescence turn-on sensor for the
selective sensing of Zn(2+) in human hair. The BODIPY monomers (BAN) self
assemble in aqueous medium to form nonfluorescent NPs. In the presence of Zn(2+)
ions, the NPs selectively show an obvious turn-on fluorescence change. This
selective response of the NPs allows the determination and quantification of
Zn(2+) in human hair with a detection limit of 61.3nM. This study demonstrates
that the small molecule self-assembled nanoparticle is a versatile and useful
tool, and shows great potential for applications in sensing of important analytes
in biological systems.
PMID- 27209579
TI - Development of SERS substrate using phage-based magnetic template for triplex
assay in sepsis diagnosis.
AB - Development of a new substrate for surface-enhanced Raman scattering (SERS) is
one area of interest for the improvement of SERS performance. Herein, we
introduce a new method for developing new mesoporous SERS substrates using M13
phages that display cysteine-rich peptides on the pVIII major units, which is an
alternative for thiol donor using chemical modifications. Together with the SERS
substrate development, and the use of the SERS technique for sepsis diagnostics
is a new approach in clinical settings. The substrates were characterized and
magnetized with magnetic immuno colloids made of gold-coated magnetic
nanoparticles and specific antibodies. Conventionally, the SERS-tags are prepared
by using gold nanoparticles and are modified with Raman dyes to immobilize
specific antibodies to capture the biomarkers in the serum samples. However, in
this method the SERS-tags are bound to the mesoporous substrate via
antibody/antigen interactions to form clusters or layer-by-layer assemblies of
SERS-tags for Raman signal enhancement. The SERS spectra showed distinct peaks
for tags corresponding to three typical sepsis-specific biomarkers for
diagnostics with the limit of detection values of 27 pM, 103 pM, and 78 pM for C
reactive protein (CRP), procalcitonin (PCT), and soluble triggering receptor
expressed on myeloid cells-1 (sTREM-1), respectively. With such an approach, SERS
can be used for clinical purposes and can be improved by phage display
modification rather than chemical alternatives.
PMID- 27209580
TI - The decline in cancer incidence in patients with long-duration type 1 diabetes:
what really happens over time?
PMID- 27209582
TI - Estimating frame bulk and shear moduli of two double porosity layers by
ultrasound transmission.
AB - The acoustic plane wave transmission by water saturated double porosity media is
investigated. Two samples of double porosity media assumed to obey Berryman and
Wang (BW) extension (Berryman and Wang, 1995, 2000) of Biot's theory in the low
frequency regime are under consideration: ROBU(r) (pure binder-free borosilicate
glass 3.3 manufactured to form the individual grains) and Tobermorite 11A (the
individual porous cement grains show irregular shapes). The de facto gap existing
between theoretical and experimental data can be minimized by modifying
adequately two of the parameters estimated from triaxial tests: the frame bulk
and shear moduli. The frequency dependent imaginary parts that follow necessary
from the minimization are in relation with the energy losses due to contact
relaxation and friction between grains.
PMID- 27209581
TI - Molecular cloning and characterization of drought stress responsive abscisic acid
stress-ripening (Asr 1) gene from wild jujube, Ziziphus nummularia (Burm.f.)
Wight & Arn.
AB - Drought is a calamitous abiotic stress hampering agricultural productivity all
over the world and its severity is likely to increase further. Abscisic acid
stress-ripening proteins (ASR), are a group of small hydrophilic proteins which
are induced by abscisic acid, stress and ripening in many plants. In the present
study, ZnAsr 1 gene was fully characterized for the first time from Ziziphus
nummularia, which is one of the most low water forbearing plant. Full length
ZnAsr 1 gene was characterised and in silico analysis of ZnASR1 protein was done
for predicting its phylogeny and physiochemical properties. To validate
transcriptional pattern of ZnAsr 1 in response to drought stress, expression
profiling in polyethylene glycol (PEG) induced Z. nummularia seedlings was
studied by RT-qPCR analysis and heterologous expression of the recombinant ZnAsr1
in Escherichia coli. The nucleotide sequence analysis revealed that the complete
open reading frame of ZnAsr 1 is 819 bp long encoding a protein of 273 amino acid
residues, consisting of a histidine rich N terminus with an abscisic acid/water
deficit stress domain and a nuclear targeting signal at the C terminus. In
expression studies, ZnAsr 1 gene was found to be highly upregulated under drought
stress and recombinant clones of E. coli cells expressing ZnASR1 protein showed
better survival in PEG containing media. ZnAsr1 was proven to enhance drought
stress tolerance in the recombinant E.coli cells expressing ZnASR1. The cloned
ZnAsr1 after proper validation in a plant system, can be used to develop drought
tolerant transgenic crops.
PMID- 27209583
TI - Cost Utility of Omalizumab Compared with Standard of Care for the Treatment of
Chronic Spontaneous Urticaria.
AB - BACKGROUND: Chronic spontaneous urticaria (CSU) negatively impacts patient
quality of life and productivity and is associated with considerable indirect
costs to society. OBJECTIVE: The aim of this study was to assess the cost utility
of add-on omalizumab treatment compared with standard of care (SOC) in moderate
or severe CSU patients with inadequate response to SOC, from the UK societal
perspective. METHODS: A Markov model was developed, consisting of health states
based on Urticaria Activity Score over 7 days (UAS7) and additional states for
relapse, spontaneous remission and death. Model cycle length was 4 weeks, and
total model time horizon was 20 years in the base case. The model considered
early discontinuation of non-responders (response: UAS7 <=6) and retreatment upon
relapse (relapse: UAS7 >=16) for responders. Clinical and cost inputs were
derived from omalizumab trials and published sources, and cost utility was
expressed as incremental cost-effectiveness ratios (ICERs). Scenario analyses
included no early discontinuation of non-responders and an altered definition of
response (UAS7 <16). RESULTS: With a deterministic ICER of L3183 in the base
case, omalizumab was associated with increased costs and benefits relative to
SOC. Probabilistic sensitivity analysis supported this result. Productivity
inputs were key model drivers, and individual scenarios without early
discontinuation of non-responders and adjusted response definitions had little
impact on results. ICERs were generally robust to changes in key model parameters
and inputs. CONCLUSIONS: In this, the first economic evaluation of omalizumab in
CSU from a UK societal perspective, omalizumab consistently represented a
treatment option with societal benefit for CSU in the UK across a range of
scenarios.
PMID- 27209585
TI - Double separate versus contiguous pituitary adenomas: MRI features and
endocrinological follow up.
AB - PURPOSE: Double pituitary adenomas are defined as two adenomas within a gland.
These have distinct light microscopic and immunohistochemical features and may be
clearly-separate or contiguous. Most reports have focused on the various hormonal
combinations in double tumors rather than on any potential increased risk for
residual mass or endocrinopathy. METHODS: Departmental files were searched to
identify all double adenomas from 1/1/2000 to 3/1/2016, with review of magnetic
resonance imaging (MRI) to determine if the dual nature of the lesions could be
discerned retrospectively after histologic diagnosis of double adenoma. All cases
were immunostained for standard anterior pituitary hormones. RESULTS: Eight cases
were identified: 2 follicle-stimulating hormone (FSH)/alpha subunit (ASU) +
prolactinoma (PRL); 1 PRL + corticotroph (ACTH); 1 hormone-negative + PRL; 1 ACTH
+ ASU/growth hormone (GH)/PRL; 1 GH/PR + PRL; 1 FSH/ASU, + ACTH; 1 GH +
luteinizing hormone (LH). One patient had clearly-separate lesions identified
preoperatively and required two surgical procedures for gross total resection. A
second patient had 2 lesions recognized at surgery and afterwards on
retrospective neuroimaging. The remaining 6 patients had double adenomas
discovered at the time of histologic examination that were not resolvable at
surgery or on retrospective neuroimaging. Four patients, 2 with clearly-separate
and 2 with contiguous double adenomas, had persistent MRI abnormalities, and one
had continued endocrine abnormalities. CONCLUSIONS: Double contiguous pituitary
adenomas are difficult to anticipate preoperatively or to resolve
intraoperatively. Although double contiguous adenomas are much more common than
double separate lesions, both have a risk for subtotal resection and, thus,
residual mass and/or endocrinopathy may ensue.
PMID- 27209584
TI - Causal factors for position-related SSEP changes in spinal surgery.
AB - BACKGROUND CONTEXT: Somatosensory evoked potentials (SSEPs) are effective in
detecting upper extremity positional injuries; however, causal factors for which
patient population is most at risk are not well established. PURPOSE: To review
causal factors for intraoperative SSEP changes due to patient positioning. STUDY
DESIGN: A case series with retrospective chart analysis was performed. PATIENT
SAMPLE: 398 patient charts and intraoperative neurophysiological monitoring data
from patients who underwent thoracolumbar and lumbosacral spine surgery were
reviewed in a consecutive sequence from 2012 to 2013. OUTCOME MEASURES: Adverse
events (AE) with the upper extremity SSEP recordings were compared to the
independent variables, sex, positioning, length of procedure, and body habitus.
METHODS: Thoracolumbar and lumbosacral spine surgeries using contemporaneous
ulnar and median nerve SSEPs were reviewed. The one-way analysis of variance
(ANOVA) test, Chi-square, and independent samples t test were used to determine
statistical significance in having an upper extremity SSEP AE to the
aforementioned independent variables. RESULTS: The sample consisted of 209 males
(52.5 %) and 189 females (47.5 %) (n = 398). AE to the upper extremity SSEP was
seen in 44 patients. Sex was found to be statistically significant for isolated
ulnar nerve AE (P <= 0.001) with males being most at risk (87.5 %). AE for
isolated median nerve SSEP was statistically significant for supine and prone
positions (P = 0.043). Length of procedure was statically significant for
isolated ulnar nerve SSEP AE (P = 0.039). BMI was statistically significant for
generalized upper extremity SSEP AE (P = 0.016), as well as isolated ulnar SSEP
AE (P = 0.006), isolated median SSEP AE (P <= 0.001) and contemporaneous median
and ulnar SSEP AE of the same limb (P <= 0.001). CONCLUSION: Sex, patient
positioning, length of procedure, and BMI are determinants for upper extremity
neural compromise during thoracolumbar and lumbosacral spine surgeries.
PMID- 27209586
TI - A Two-Period Open-Label, Single-Dose Crossover Study in Healthy Volunteers to
Evaluate the Drug-Drug Interaction Between Cimetidine and Inhaled Extrafine CHF
5993.
AB - BACKGROUND AND OBJECTIVES: CHF 5993 is an extrafine 'triple therapy' combination
of the long-acting muscarinic antagonist glycopyrronium bromide (GB), the long
acting beta2-agonist formoterol fumarate (FF), and the inhaled corticosteroid
beclometasone dipropionate (BDP). It is in development for chronic obstructive
pulmonary disease and asthma delivered via pressurised metered-dose inhaler.
METHODS: This two-period, open-label, crossover study examined the drug-drug
interaction of CHF 5993 and cimetidine. In one period, subjects received
cimetidine 800 mg twice daily for 6 days; on the fourth day they also received
CHF 5993 (BDP/FF/GB 400/24/100 ug). In the other, they received CHF 5993 alone.
Primary objective was to compare the area under the plasma concentration-time
curve from time 0 to last quantifiable concentration (AUC0-t) of GB, with and
without cimetidine. Secondary endpoints included GB AUC0-12h, maximum
concentration (C max), time to C max (t max), elimination half-life (t 1/2) and
urinary excretion. Pharmacokinetic parameters of BDP, beclometasone-17
monopropionate (B17MP; active metabolite of BDP) and formoterol were also
evaluated. RESULTS: Twenty-six subjects were randomised; 25 completed. Co
administration of CHF 5993 and cimetidine resulted in small, statistically
significant increases in GB AUC0-t, AUC0-12h and C max vs CHF 5993 (ratios 1.16,
1.21 and 1.26, respectively); t 1/2, t max and urinary excretion were unaffected.
There were small, statistically significant increases in formoterol AUC0-t, AUC0
24h and t 1/2 following co-administration of cimetidine and CHF 5993; urinary
excretion was unaffected. There were no significant differences for either BDP or
B17MP. There were few adverse events (AEs), and no serious AEs. CONCLUSIONS:
Overall, this study indicates that there is no clinically relevant drug-drug
interaction between CHF 5993 and cimetidine.
PMID- 27209587
TI - In Vitro Intestinal Absorption and Metabolism of Magnoflorine and its Potential
Interaction in Coptidis Rhizoma Decoction in Rat.
AB - BACKGROUND AND OBJECTIVES: In our previous studies, it was found that there
existed pharmacokinetic interactions between magnoflorine and the rest of the
ingredients in Coptidis Rhizoma. In this study, the pharmacokinetic interaction
mechanism of magnoflorine with the rest of the components in Coptidis Rhizoma was
researched based on the intestinal absorption and metabolism characteristics.
METHODS: The absorption characteristics of magnoflorine in each rat intestinal
segments were evaluated by non-everted intestinal sac model. To identify the
metabolites of magnoflorine, the acceptor solutions of each intestinal segment at
120 min were analyzed by HPLC-LTQ-Orbitrap MS. RESULTS: The accumulative
absorption (Q), the absorption rate (J) and the apparent permeability coefficient
(P app) of magnoflorine were increased in duodenum, jejunum, ileum and colon of
the Coptidis Rhizoma group as compared to the magnoflorine group, but there was
no statistical difference between the two groups (P > 0.05). Four phase I
metabolites of magnoflorine were identified in intestinal acceptor solutions of
pure compound, while eight metabolites were detected in that of Coptidis Rhizoma
decoction including six phase I metabolites and two phase II metabolic products.
CONCLUSIONS: It was shown that the rest of the ingredients in Coptidis Rhizoma
accelerated the absorption of magnoflorine weakly and promoted the metabolism of
magnoflorine in the gut. The effects of other processes in the pharmacokinetics
should be further evaluated.
PMID- 27209588
TI - Single-particle-ICP-MS advances.
PMID- 27209589
TI - Preparation of hydrophilic molecularly imprinted polymers via bulk polymerization
combined with hydrolysis of ester groups for selective recognition of iridoid
glycosides.
AB - Hydrophilic molecularly imprinted polymers (H-MIP) with molecular recognition
ability for iridoid glycosides (IGs) have been obtained via bulk polymerization
combined with hydrolysis of ester groups. H-MIP were characterized by Fourier
transform infrared spectroscopy (FT-IR). The hydrophilcity was measured by the
contact angle measurement and the water dispersion stability. The obtained H-MIP
demonstrated high selectivity and specific binding ability to five IGs in aqueous
media. The group extraction efficiency of molecular imprinted solid-phase
extraction (MISPE) for five IGs was investigated, including loading sample,
breakthrough volume, washing solvent, and elution solvent. Compared with non
imprinted solid-phase extraction (NISPE), the higher average recovery (95.5 %) of
five IGs with lower relative standard deviations values (below 6.1 %) using MISPE
combined with high-performance liquid chromatography (HPLC) were achieved at
three spiked levels in three blank samples. Under the optimum MISPE conditions,
the wide linear range with the correlation coefficient of R (2) >= 0.9950 for
five IGs with low limits of detection (LOD) and quantification (LOQ) (0.01-0.08
and 0.03-0.27 MUg mL(-1), respectively) were obtained. Chromatograms obtained
using MISPE columns demonstrated that the matrix interference has been minimized
and great interferences around IGs were also eliminated efficiently. These
results indicated that the developed MISPE-HPLC method was selective, accurate,
and applicable for the determination of IGs in water media. Graphical Abstract
Preparation of hydrophilic molecularly imprinted polymers via bulk polymerization
combined with hydrolysis of ester groups.
PMID- 27209590
TI - Mesoporous carbon-containing voltammetric biosensor for determination of tyramine
in food products.
AB - A voltammetric biosensor based on tyrosinase (TYR) was developed for
determination of tyramine. Carbon material (multi-walled carbon nanotubes or
mesoporous carbon CMK-3-type), polycationic polymer-i.e.,
poly(diallyldimethylammonium chloride) (PDDA), and Nafion were incorporated into
titania dioxide sol (TiO2) to create an immobilization matrix. The features of
the formed matrix were studied by scanning electron microscopy (SEM) and cyclic
voltammetry (CV). The analytical performance of the developed biosensor was
evaluated with respect to linear range, sensitivity, limit of detection, long
term stability, repeatability, and reproducibility. The biosensor exhibited
electrocatalytic activity toward tyramine oxidation within a linear range from 6
to 130 MUM, high sensitivity of 486 MUA mM(-1) cm(-2), and limit of detection of
1.5 MUM. The apparent Michaelis-Menten constant was calculated to be 66.0 MUM
indicating a high biological affinity of the developed biosensor for tyramine.
Furthermore, its usefulness in determination of tyramine in food product samples
was also verified. Graphical abstract Different food samples were analyzed to
determine tyramine using biosensor based on tyrosinase.
PMID- 27209592
TI - A new approach to design an efficient micropost array for enhanced direct-current
insulator-based dielectrophoretic trapping.
AB - Direct-current insulator-based dielectrophoresis (DC-iDEP) is a well-known
technique that benefits from the electric field gradients generated by an array
of insulating posts to separate or trap biological particles. The aim of this
study is to provide a first geometrical relationship of the post array that
independent of the particles and/or medium, maximizes the trapping. A novel
figure of merit is proposed to maximize the particle trapping in the post array
while minimizing the required voltage, with a similar footprint and channel
thickness. Different post array models with the variation of transversal distance
(10 to 60 MUm), longitudinal distance (10 to 80 MUm), and post radius (10 to 150
MUm) were analyzed using COMSOL Multiphysics finite element software. The
obtained results indicated that a post radius of 40 MUm larger than the
transversal distance between posts could enhance the trapping condition between
56 % (for a transversal distance of 10 MUm) and 341 % (for a transversal distance
of 60 MUm). For the validation of the numerical results, several microchannels
with embedded post arrays were manufactured in polydimethylsiloxane (PDMS) and
the particle trapping patterns of 6-MUm-diameter polystyrene particles were
measured experimentally. The experiments confirm the same trends as pointed out
by the numerical analysis. The results show that this new figure of merit and
geometrical relationship can be used to reduce the required electric field to
achieve effective particle trapping and, therefore, avoid the negative effects of
Joule heating in cells or viable particles. The main advantage of these results
is that they depend only on the geometry of the micropost array and are valid for
trapping different particles suspended in different media. Graphical abstract
Analysis to maximize the particle trapping in the post array while minimizing the
required voltage. I. Microfluidic channel design and experimental setup II.
Numerical and experimental results. III. Maximum trapping value.
PMID- 27209591
TI - VHH antibodies: emerging reagents for the analysis of environmental chemicals.
AB - A VHH antibody (or nanobody) is the antigen binding fragment of heavy chain only
antibodies. Discovered nearly 25 years ago, they have been investigated for their
use in clinical therapeutics and immunodiagnostics, and more recently for
environmental monitoring applications. A new and valuable immunoreagent for the
analysis of small molecular weight environmental chemicals, VHH will overcome
many pitfalls encountered with conventional reagents. In the work so far, VHH
antibodies often perform comparably to conventional antibodies for small molecule
analysis, are amenable to numerous genetic engineering techniques, and show ease
of adaption to other immunodiagnostic platforms for use in environmental
monitoring. Recent reviews cover the structure and production of VHH antibodies
as well as their use in clinical settings. However, no report focuses on the use
of these VHH antibodies to detect small environmental chemicals (MW < 1500 Da).
This review article summarizes the efforts made to produce VHHs to various
environmental targets, compares the VHH-based assays with conventional antibody
assays, and discusses the advantages and limitations in developing these new
antibody reagents particularly to small molecule targets. Graphical Abstract
Overview of the production of VHHs to small environmental chemicals and
highlights of the utility of these new emerging reagents.
PMID- 27209593
TI - Study on the interaction between three benzimidazole anthelmintics and eosin Y by
high performance liquid chromatography associating with resonance light
scattering and its application.
AB - A novel, highly selective, and sensitive resonance light scattering (RLS)
detection approach coupled with high performance liquid chromatography (HPLC) was
researched and developed for the synchronous analysis of three kinds of
benzimidazole anthelmintics, including mebendazole (MBZ), albendazole (ABZ), and
fenbendazole (FBZ) for the first time. In the pH range of 3.5-3.7 Britton
Robinson buffer medium, three kinds of anthelmintics, which were separated by
HPLC, reacted with eosin Y (EY) to form 1:1 ion-association complexes, resulting
in significantly enhanced RLS signals and the maximum peak located at 335 nm. The
enhanced RLS intensity was in proportion to the MBZ, ABZ, and FBZ concentration
in the range 0.2-25, 0.2-23, and 0.15-20 MUg/mL, respectively. The limit of
detection was in the range of 0.064-0.16 MUg/mL. In addition, human urine was
determined to validate the proposed method by spiked samples and real urine
samples. Satisfactory results were obtained by HPLC-RLS method. Graphical
Abstract The diagram mechanism of generating resonance between emitted light and
scattered light.
PMID- 27209594
TI - SPR imaging biosensor for determination of laminin-5 as a potential cancer marker
in biological material.
AB - A new method for the selective determination of laminin-5 concentration using a
biosensor and surface plasmon resonance imaging (SPRI) technique is presented. A
biosensor based on the specific interaction of laminin-5 with rabbit polyclonal
antibody was constructed. The analytically useful dynamic response range of the
biosensor is between 0.014 and 0.1 ng mL(-1). The detection limit is 4 pg mL(-1).
The potential influence of interferences on the SPRI signal was investigated, and
the high selectivity of the biosensor was confirmed. In order to demonstrate the
potential application of the biosensor, laminin-5 concentration in blood plasma
was determined. The results were compared with the laminin-5 concentration
obtained by the commercial enzyme-linked immunosorbent assay (ELISA) kit. A
comparison of results from healthy donors obtained by SPRI measurement and ELISA
indicates that they are close and shows good agreement with the data reported in
the literature. The plasma samples of bladder cancer patients gave higher
concentration measured with specific biosensor than by ELISA assay. The study
shows the clear difference in concentration of laminin-5 in healthy humans and
patients with bladder cancer. Extensive clinical studies using the newly
developed method can result in an increase in the use of laminin-5 as a potential
cancer marker.
PMID- 27209595
TI - Modification of the in vitro uptake mechanism and antioxidant levels in HaCaT
cells and resultant changes to toxicity and oxidative stress of G4 and G6
poly(amidoamine) dendrimer nanoparticles.
AB - The mechanism of cellular uptake by endocytosis and subsequent oxidative stress
has been identified as the paradigm for the toxic response of cationically
surface charged nanoparticles. In an attempt to circumvent the process, the
effect of increased cellular membrane permeability on the uptake mechanisms of
poly(amidoamine) dendrimers generations 4 (G4) and 6 (G6) in vitro was
investigated. Immortalised, non-cancerous human keratinocyte (HaCaT) cells were
treated with DL-buthionine-(S,R)-sulfoximine (BSO). Active uptake of the
particles was monitored using fluorescence microscopy to identify and quantify
endosomal activity and resultant oxidative stress, manifested as increased levels
of reactive oxygen species, monitored using the carboxy-H2DCFDA dye. Dose
dependent cytotoxicity for G4 and G6 exposure was registered using the
cytotoxicity assays Alamar Blue and MTT, from 6 to 72 h. Reduced uptake by
endocytosis is observed for both dendrimer species. A dramatic change, compared
to untreated cells, is observed in the cytotoxic and oxidative stress response of
the BSO-treated cells. The significantly increased mitochondrial activity, dose
dependent antioxidant behaviour and reduced degree of endocytosis for both
dendrimer generations, in BSO-treated cells, indicate enhanced permeability of
the cell membrane, resulting in the passive, diffusive uptake of dendrimers,
replacing endocytosis as the primary uptake mechanism. The complex MTT response
reflects the importance of glutathione in maintaining redox balance within the
mitochondria. The study highlights the importance of regulation of this redox
balance for cell metabolism but also points to the potential of controlling the
nanoparticle uptake mechanisms, and resultant cytotoxicity, with implications for
nanomedicine.
PMID- 27209596
TI - Should Epinephrine Autoinjectors Be Prescribed to All Patients on Subcutaneous
Immunotherapy?
AB - Subcutaneous allergen immunotherapy (SCIT) clearly benefits appropriately
selected patients with allergic rhinitis, asthma and anaphylaxis to stinging
insects. Since inception of SCIT, systemic allergic reactions (SRs) and severe
anaphylaxis have been risk management challenges facing the practicing allergist.
Recently it has estimated that 14% of reported SRs begin at least 30 minutes
after injection administration or after the 30 minute recommended clinic
observation period. Faced with the possibility that SRs could occur after the
patient leaves the clinic, some practicing allergists routinely prescribe
epinephrine auto-injectors to all injection patients. This article summarizes the
key arguments for and against routine prescription of epinephrine auto-injectors
for all allergen injection patients, discussed in a PRO/CON debate at the 2015
AAAAI meeting. Currently, there is insufficient clinical evidence to make a
strong recommendation for or against this practice.
PMID- 27209597
TI - Recommendations to Reduce Diagnostic Radiology Resident Misrepresentation in
Postinterview Communications.
PMID- 27209598
TI - ACR Appropriateness Criteria Clinically Suspected Pulmonary Arteriovenous
Malformation.
AB - Pulmonary arteriovenous malformations are often included in the differential
diagnosis of common clinical presentations, including hypoxemia, hemoptysis,
brain abscesses, and paradoxical stroke, as well as affecting 30% to 50% of
patients with hereditary hemorrhagic telangiectasia (HHT). Various imaging
studies are used in the diagnostic and screening settings, which have been
reviewed by the ACR Appropriateness Criteria Vascular Imaging Panel. Pulmonary
arteriovenous malformation screening in patients with HHT is commonly performed
with transthoracic echocardiographic bubble study, followed by CT for positive
cases. Although transthoracic echocardiographic bubble studies and radionuclide
perfusion detect right-to-left shunts, they do not provide all of the information
needed for treatment planning and may remain positive after embolization.
Pulmonary angiography is appropriate for preintervention planning but not as an
initial test. MR angiography has a potential role in younger patients with HHT
who may require lifelong surveillance, despite lower spatial resolution compared
with CT. The ACR Appropriateness Criteria are evidence-based guidelines for
specific clinical conditions that are reviewed every three years by a
multidisciplinary expert panel. The guideline development and review include an
extensive analysis of current medical literature from peer-reviewed journals and
the application of a well-established consensus methodology (modified Delphi) to
rate the appropriateness of imaging and treatment procedures by the panel. In
those instances in which evidence is lacking or not definitive, expert opinion
may be used to recommend imaging or treatment.
PMID- 27209599
TI - Systematic Review of the Application of Lean and Six Sigma Quality Improvement
Methodologies in Radiology.
AB - PURPOSE: Preventable yet clinically significant rates of medical error remain
systemic, while health care spending is at a historic high. Industry-based
quality improvement (QI) methodologies show potential for utility in health care
and radiology because they use an empirical approach to reduce variability and
improve workflow. The aim of this review was to systematically assess the
literature with regard to the use and efficacy of Lean and Six Sigma (the most
popular of the industrial QI methodologies) within radiology. METHODS: MEDLINE,
the Allied & Complementary Medicine Database, Embase Classic + Embase, Health and
Psychosocial Instruments, and the Ovid HealthStar database, alongside the
Cochrane Library databases, were searched on June 2015. Empirical studies in peer
reviewed journals were included if they assessed the use of Lean, Six Sigma, or
Lean Six Sigma with regard to their ability to improve a variety of quality
metrics in a radiology-centered clinical setting. RESULTS: Of the 278 articles
returned, 23 studies were suitable for inclusion. Of these, 10 assessed Six
Sigma, 7 assessed Lean, and 6 assessed Lean Six Sigma. The diverse range of
measured outcomes can be organized into 7 common aims: cost savings, reducing
appointment wait time, reducing in-department wait time, increasing patient
volume, reducing cycle time, reducing defects, and increasing staff and patient
safety and satisfaction. All of the included studies demonstrated improvements
across a variety of outcomes. However, there were high rates of systematic bias
and imprecision as per the Grading of Recommendations Assessment, Development and
Evaluation guidelines. CONCLUSIONS: Lean and Six Sigma QI methodologies have the
potential to reduce error and costs and improve quality within radiology.
However, there is a pressing need to conduct high-quality studies in order to
realize the true potential of these QI methodologies in health care and
radiology. Recommendations on how to improve the quality of the literature are
proposed.
PMID- 27209600
TI - Highly Efficient and Rapid Detection of the Cleavage Activity of Cas9/gRNA via a
Fluorescent Reporter.
AB - The RNA-guided endonuclease clustered regularly interspaced short palindromic
repeats (CRISPR)-associated protein 9 (Cas9) derived from CRISPR systems is a
simple and efficient genome-editing technology applied to various cell types and
organisms. So far, the extensive approach to detect the cleavage activity of
customized Cas9/guide RNA (gRNA) is T7 endonuclease I (T7EI) assay, which is time
and labor consuming. In this study, we developed a visualized fluorescent
reporter system to detect the specificity and cleavage activity of gRNA. Two
gRNAs were designed to target porcine immunoglobulin M and nephrosis 1 genes. The
cleavage activity was measured by using the traditional homology-directed repair
(HDR)-based fluorescent reporter and the single-strand annealing (SSA)-based
fluorescent reporter we established in this study. Compared with the HDR assay,
the SSA-based fluorescent reporter approach was a more efficient and dependable
strategy for testing the cleavage activity of Cas9/gRNA, thereby providing a
universal and efficient approach for the application of CRISPR/Cas9 in generating
gene-modified cells and organisms.
PMID- 27209601
TI - Effect of Silver Nanoparticles Against the Formation of Biofilm by Pseudomonas
aeruginosa an In silico Approach.
AB - Studies were undertaken to examine the mechanism of mediation of silver
nanoparticles in inhibiting biofilm formation by Pseudomonas aeruginosa through
LuxI/LuxR system of signal transduction. This study includes the basic signaling
transduction mechanism LasR, QscR, RhlR, and Vfr signaling model systems. The
arbitrary homology models built with the I-TASSER server were evaluated and
validated with the Qmean web server. Based on the Z-score and the relative square
mean distance (RMSD) values, the structures were validated. The interaction
results of the nanoparticle with the rigid docking proved the requirement of
minimal energy for the inhibition of the protein active site by the silver
nanoparticle. This principle docking experiment suggests that the biofilm
formation in Gram-negative bacteria can be inhibited by the silver nanoparticles
at the signal transduction level. Graphical abstract Systematic outline of
present study; Stage one provides the data sampling and generation of pdb systems
to conform the structure of bacterial signal sytems like LasR/LasI; RhlR/RhrI;
QscR/QscI; VfrR/VfrI. Stage two involves docking of silver nanoparticles with
Bacterial signal protein strucutres which are listed in Stage one. The Final
Stage involves in understanding the development of appropriate mechanism behind
the biofilm inhibition by silver nanoparticles.
PMID- 27209602
TI - Neuroscience in forensic psychiatry: From responsibility to dangerousness.
Ethical and legal implications of using neuroscience for dangerousness
assessments.
AB - Neuroscientific evidence is increasingly being used in criminal trials as part of
psychiatric testimony. Up to now, "neurolaw" literature remained focused on the
use of neuroscience for assessments of criminal responsibility. However, in the
field of forensic psychiatry, responsibility assessments are progressively being
weakened, whereas dangerousness and risk assessment gain increasing importance.
In this paper, we argue that the introduction of neuroscientific data by forensic
experts in criminal trials will be mostly be used in the future as a means to
evaluate or as an indication of an offender's dangerousness, rather than their
responsibility. Judges confronted with the pressure to ensure public security may
tend to interpret neuroscientific knowledge and data as an objective and reliable
way of evaluating one's risk of reoffending. First, we aim to show how the
current socio-legal context has reshaped the task of the forensic psychiatrist,
with dangerousness assessments prevailing. In the second part, we examine from a
critical point of view the promise of neuroscience to serve a better criminal
justice system by offering new tools for risk assessment. Then we aim to explain
why neuroscientific evidence is likely to be used as evidence of dangerousness of
the defendants. On a theoretical level, the current tendency in criminal policies
to focus on prognostics of dangerousness seems to be "justified" by a utilitarian
approach to punishment, supposedly revealed by new neuroscientific discoveries
that challenge the notions of free will and responsibility. Although often
promoted as progressive and humane, we believe that this approach could lead to
an instrumentalization of neuroscience in the interest of public safety and give
rise to interventions which could entail ethical caveats and run counter to the
interests of the offenders. The last part of this paper deals with some of these
issues-the danger of stigmatization for brain damaged offenders because of
adopting a purely therapeutic approach to crime, and the impact on their
sentencing, in particular.
PMID- 27209603
TI - Validity of symptom reports of asylum seekers in a psychiatric hospital: A
descriptive study.
AB - Our study involved three samples (N=85; N=38, and N=27) of asylum seekers in a
Dutch psychiatric hospital. We looked at how often they reported severe
dissociative episodes (i.e., not recognizing oneself in a mirror; seeing
traumatic images in a mirror) and whether these symptoms were related to deviant
performance on Symptom Validity Tests (SVTs), notably items from the Structured
Inventory of Malingered Symptomatology (SIMS; Widows & Smith, 2005) and a forced
choice task modeled after the Morel Emotional Numbing Test (MENT; Morel, 1998).
We also examined whether poor language proficiency and the presence of incentives
to exaggerate symptoms might affect scores on SVTs. Dissociative target symptoms
were reported by considerable percentages of patients (27-63%). Patients who
reported these symptoms had significantly more often deviant scores on SVT items
compared with those who did not report such symptoms. With a few exceptions,
deviant scores on SVT items were associated with incentives rather than poor
language skills. We conclude that the validity of self-reported symptoms in this
target group should not be taken for granted and that SVTs may yield important
information.
PMID- 27209604
TI - Prevalence and Anatomy of Retroesophageal Major Aortopulmonary Collateral
Arteries.
AB - BACKGROUND: Major aortopulmonary collateral arteries (MAPCAs) are the sole source
of pulmonary blood flow in patients with pulmonary atresia and absent ductus
arteriosus. The anatomy of MAPCAs can be highly variable, both in the number of
MAPCAs supplying each lung and the anatomic origin and course of the MAPCAs. This
study evaluated the prevalence and anatomy of retroesophageal MAPCAs in patients
undergoing repair of pulmonary atresia/ventricular septal defect/MAPCAs. METHODS:
This was a concurrent analysis of 68 consecutive patients (March 2013 through
October 2015) undergoing a primary surgical procedure for pulmonary
atresia/ventricular septal defect/MAPCAs. A detailed analysis of the MAPCA
anatomy was made intraoperatively for each patient, including the total number of
MAPCAs to each lung and the presence or absence of a retroesophageal course.
These data were correlated with the preoperative cardiac catheterization images.
RESULTS: A retroesophageal MAPCA was identified during the operation in 45 of the
68 patients (67%), all of which were located on the side opposite the arch. For
the 36 patients with a left aortic arch, 77% had a retroesophageal MAPCA compared
with 53% of patients with a right arch. Forty-six percent of retroesophageal
MAPCAs coursed within the muscular fibers of the esophagus (intraesophageal) and
were more common to the left lung than the right (72% vs 32%). A midsegment
stenosis was present in 84% of the retroesophageal MAPCAs, and this was more
severe when the MAPCAs were intraesophageal than when they were not (80% vs 42%).
CONCLUSIONS: These data demonstrate that two-thirds of patients had a
retroesophageal MAPCA and that there were significant differences in prevalence
and anatomy depending on the side of the aortic arch. These data provide
important insights into the origin and course of retroesophageal MAPCAs.
PMID- 27209605
TI - Outcomes After Resection of T4 Non-Small Cell Lung Cancer Using Cardiopulmonary
Bypass.
AB - BACKGROUND: Complete, en bloc resection offers the greatest chance of long-term
survival in T4 non-small cell lung cancer (NSCLC). The use of cardiopulmonary
bypass (CPB) to achieve an en bloc resection is controversial because of
potentially increased bleeding, lung dysfunction, and tumor dissemination. We
reviewed our institutional experience to assess CPB's effect on survival.
METHODS: All patients who underwent resection for T4 NSCLC at our institution
between 1980 and 2013 were retrospectively reviewed and stratified according to
whether they did (CPB group, n = 20) or did not (No CPB group, n = 355) undergo
CPB. Primary outcomes of interest were overall and disease-free survival and
perioperative complications. RESULTS: Baseline characteristics and medical
therapy were similar between the groups. Median overall survival for all patients
was 31 months, with 1-, 3-, 5-, and 10-year survival of 73%, 47%, 40%, and 26%,
respectively. Median disease-free survival for all patients was 19 months, with 1
, 3-, 5-, and 10-year disease-free survival of 61%, 40%, 33%, and 21%,
respectively. No difference was found in overall or disease-free survival at 1,
3, 5, and 10 years between the No CPB and CPB groups (p = 0.89 and p = 0.88). In
addition, no differences were found in the rates of major perioperative
complications. CONCLUSIONS: The use of CPB allows for complete, en bloc resection
in otherwise inoperable patients with T4 NSCLC and offers similar overall and
disease-free survival to patients resected without CPB. All thoracic surgeons who
manage T4 NSCLC should consider the use of CPB if it is necessary to achieve a
complete, en bloc resection.
PMID- 27209606
TI - The Society of Thoracic Surgeons Lung Cancer Resection Risk Model: Higher Quality
Data and Superior Outcomes.
AB - BACKGROUND: The Society of Thoracic Surgeons (STS) creates risk-adjustment models
for common cardiothoracic operations for quality improvement purposes. Our aim
was to update the lung cancer resection risk model utilizing the STS General
Thoracic Surgery Database (GTSD) with a larger and more contemporary cohort.
METHODS: We queried the STS GTSD for all surgical resections of lung cancers from
January 1, 2012, through December 31, 2014. Logistic regression was used to
create three risk models for adverse events: operative mortality, major
morbidity, and composite mortality and major morbidity. RESULTS: In all, 27,844
lung cancer resections were performed at 231 centers; 62% (n = 17,153) were
performed by thoracoscopy. The mortality rate was 1.4% (n = 401), major morbidity
rate was 9.1% (n = 2,545), and the composite rate was 9.5% (n = 2,654).
Predictors of mortality included age, being male, forced expiratory volume in 1
second, body mass index, cerebrovascular disease, steroids, coronary artery
disease, peripheral vascular disease, renal dysfunction, Zubrod score, American
Society of Anesthesiologists rating, thoracotomy approach, induction therapy,
reoperation, tumor stage, and greater extent of resection (all p < 0.05). For
major morbidity and the composite measure, cigarette smoking becomes a risk
factor whereas stage, renal dysfunction, congestive heart failure, and
cerebrovascular disease lose significance. CONCLUSIONS: Operative mortality and
complication rates are low for lung cancer resection among surgeons participating
in the GTSD. Risk factors from the prior lung cancer resection model are refined,
and new risk factors such as prior thoracic surgery are identified. The GTSD risk
models continue to evolve as more centers report and data are audited for quality
assurance.
PMID- 27209607
TI - Rapamycin Decreases the Osteogenic Response in Aortic Valve Interstitial Cells
Through the Stat3 Pathway.
AB - BACKGROUND: Calcific aortic valve disease (CAVD) is an age-related and slowly
progressive valvular disorder. We have previously found that the increased
inflammatory and osteogenic responses to Toll-like receptor 4 (TLR4) stimulation
is correlated with lower signal transducer and activator of transcription 3
(Stat3) activity in aortic valve interstitial cells (AVICs). Rapamycin, a drug
used clinically, induces feedback activation of Akt. Akt in turn may upregulate
Stat3. Therefore we hypothesized that rapamycin will decrease TLR4-induced
osteogenic response in human AVICs through modulation of Stat3 activity. METHODS:
AVICs were isolated from normal valves taken from the explanted hearts of
patients undergoing transplantation. Cells were treated with TLR4 ligand
lipopolysaccharide (LPS) or rapamycin, or both. The osteogenic markers runt
related transcription factor 2 (RUNX2), alkaline phosphatase (ALP), and bone
morphogenetic protein 2 (BMP-2), as well as activation of Stat3 and its
associated signaling molecules, were analyzed. RESULTS: LPS induces the
expression of RUNX2, ALP, and BMP-2. Rapamycin decreased both the baseline and
LPS-induced expression of RUNX2, ALP, and BMP-2. Rapamycin also decreased calcium
deposit formation. Rapamycin activated both Stat3 and Akt in AVICs. Suppression
of Akt resulted in abolishment of Stat3 activation. Inhibition of Stat3 enhanced
expression of RUNX2, ALP, and BMP-2 at baseline and in response to LPS.
CONCLUSIONS: Rapamycin inhibits TLR4-induced osteogenic responses in AVICs by
activation of Stat3 through Akt. Rapamycin may alleviate inflammation-induced
initiation and progression of CAVD.
PMID- 27209608
TI - Enteral Access is not Required for Esophageal Cancer Patients Undergoing
Neoadjuvant Therapy.
AB - BACKGROUND: The nutritional status of esophageal cancer patients during
neoadjuvant therapy remains a challenging problem. The objective of this study
was to determine whether routine enteral feeding tube placement improved
nutritional status and perioperative outcomes for patients undergoing neoadjuvant
therapy for esophageal cancer. METHODS: The Society of Thoracic Surgeons database
was used to identify patients who underwent neoadjuvant therapy and esophagectomy
at our institution between 2010 and 2014. Nutritional status before and after
neoadjuvant therapy was determined through standardized nutrition consultations.
Predictors of change in nutrition and adverse events were evaluated with
multivariable and univariate logistic regressions. RESULTS: Two hundred thirty
four esophagectomy patients were identified, and 127 (54%) received neoadjuvant
therapy. Of those receiving neoadjuvant therapy, 80% (102/127) presented with
dysphagia, and 48% (61/127) received enteral feeding access (EA). Multivariable
regression revealed that high initial albumin level, high initial body mass
index, and presence of EA were associated with nutritional stability during
neoadjuvant therapy. However, 27.9% (17/61) of patients who received EA did not
use their access at all or did not use it consistently during the course of
preoperative treatment. The preoperative grades of malnutrition and esophagectomy
outcomes were similar between groups (EA vs no EA). CONCLUSIONS: EA is associated
with improved nutritional status for patients undergoing neoadjuvant therapy for
esophageal cancer. However, adverse events and suboptimal use are common.
Esophagectomy outcomes were similar for patients with and without EA. These
results support judicious patient selection for EA, expedited neoadjuvant
therapy, and close collaboration with nutritionists.
PMID- 27209609
TI - The Use of Hypothermic Circulatory Arrest During Heart Transplantation Does Not
Worsen Posttransplant Survival.
AB - BACKGROUND: Hypothermic circulatory arrest (HCA) has been used as an adjunct to
cardiopulmonary bypass for decades, both electively and emergently, to facilitate
a bloodless operative field while maintaining cerebral protection. The aim of
this study is to determine the impact of HCA during heart transplantation on
posttransplant outcomes. METHODS: All adult patients undergoing orthotopic heart
transplantation at our institution between 2000 and 2012 were retrospectively
reviewed. Patients were stratified based on need for HCA during surgery; patients
who required HCA (HCA group, n = 25), and patients who did not (no-HCA group, n =
903). The primary outcomes of interest were 30-day and 1-year mortality and
postoperative complication rate. RESULTS: Indications for HCA included control of
significant hemorrhage (n = 9), need for distal aortic procedures (n = 9), or as
an aid in difficult mediastinal dissection (n = 7). Mean duration of HCA was 22
+/- 18 minutes at a mean temperature of 24.5 degrees +/- 5.5 degrees C.
Significantly more patients in the HCA group underwent transplant for congenital
heart disease (16.0% HCA versus 2.8% no-HCA, p = 0.006), and patients in the HCA
group had undergone more prior sternotomies (HCA 1 [interquartile range: 1 to 2]
versus no-HCA 1 [interquartile range: 0 to 1], p < 0.001]. There was no
statistical difference in 30-day mortality (8.0% HCA versus 4.2% no-HCA, p =
0.29) or 1-year mortality (8.0% HCA versus 12.3% no-HCA, p = 0.76). The HCA group
had higher rates of reoperation for mediastinal bleeding and postoperative
respiratory failure. CONCLUSIONS: The need for HCA during heart transplantation
is rare but, when required, it is frequently a life-saving adjunct to
cardiopulmonary bypass. However, patients who require HCA have higher rates of
postoperative complications. Risk factors for needing HCA during transplantation
include congenital heart disease and more than one prior sternotomies.
PMID- 27209610
TI - Descending Aortic Translocation for Relief of Distal Tracheal and Proximal
Bronchial Compression.
AB - BACKGROUND: A descending thoracic aorta that traverses the midline is an uncommon
cause of airway compression affecting the distal trachea and proximal main
bronchi. Posterior aortopexy has had inconsistent results. METHODS: A
retrospective review determined that, since 2004, 5 children have undergone
descending aortic translocation at Texas Children's Hospital. The average age at
the time of surgical treatment was 4.2 years, and all patients presented with
recurring respiratory illness requiring hospitalization. All patients had
preoperative imaging (4 patients with computed tomography scans and 1 with
magnetic resonance imaging) confirming a compromised airway caused by a midline
aorta, and 4 of the 5 patients had perioperative bronchoscopy. Three patients had
a right-dominant double aortic arch. Descending aortic translocation was
performed through a midline sternotomy with cardiopulmonary bypass and deep
hypothermia. The proximal descending aorta was transected distal to the
subclavian artery, brought up through the transverse sinus caudad to the tracheal
carina and pulmonary artery, and anastomosed in an end-to-side fashion to the
ascending aorta. RESULTS: Mean cardiopulmonary bypass was 144.8 +/- 32.6 minutes,
with an aortic cross-clamp time of 59 +/- 40.9. Absence of perfusion to the
descending thoracic aorta averaged 44.4 +/- 13.7 minutes. Concomitant procedures
were performed in 4 of the 5 patients. At a median follow-up of 26 months (range,
3 to 101 months), all patients had resolution of symptoms. CONCLUSIONS: A midline
descending aorta can cause compression of the tracheal carina and proximal
bronchi, with debilitating symptoms. Translocation of the descending aorta is a
reliable procedure that relieves the compression and results in long-term
resolution of symptoms.
PMID- 27209611
TI - Equivalency of Saphenous Vein and Arterial Composite Grafts: 5-Year Angiography
and Midterm Clinical Follow-Up.
AB - BACKGROUND: We compared 5-year graft patency rates and midterm clinical outcomes
of saphenous vein (SV) composite grafts with those of total arterial (TA)
composite grafts in patients who underwent off-pump coronary artery bypass graft
surgery (OPCAB). METHODS: Three hundred sixty-three patients who underwent OPCAB
using composite grafts based on the left internal thoracic artery were studied.
The SV was used as a second (connected to the left internal thoracic artery) or a
third (connected to the second arterial graft) composite graft in 90 patients (SV
group); TA composite grafting was performed in 273 patients (TA group). Follow-up
was complete in 96.4% of patients (350 of 363), with a median follow-up of 82
months. Five-year graft patency rates and long-term clinical outcomes were
compared. A propensity score-matched analysis was also performed to minimize
differences in preoperative and intraoperative variables (n = 69 in each group).
RESULTS: There were no differences in operative mortality and postoperative
complications between the SV group and TA group. Actuarial 5-year patency rates
of the venous and arterial composite grafts were 89.3% and 89.5%, respectively (p
= 0.958). Those were also similar between the two propensity score-matched SV and
TA groups (90.5% and 89.3%, respectively; p = 0.759). Five-year overall survival
and freedom from major adverse cardiac and cerebrovascular events were 88.5% and
85.6%, respectively. Those were similar between the two groups before and after
propensity score matching. CONCLUSIONS: The SV composite grafts were equivalent
to arterial composite grafts in terms of 5-year graft patency rates and midterm
clinical outcomes.
PMID- 27209612
TI - Arterial Switch Operation With and Without Coronary Relocation for Intramural
Coronary Arteries.
AB - BACKGROUND: The arterial switch operation (ASO) for the transposition of the
great arteries (TGA) with intramural coronary arteries has been performed using
several techniques to avoid coronary events. We mainly performed ASO without
coronary relocation by creating an aortopulmonary fenestration (Imai technique).
Coronary circulation was rerouted by covering the aortopulmonary window and
coronary orifices with a nonfacing sinus flap. Long-term results have not been
reported. We describe our early and late results. METHODS: Among 551 patients who
underwent an ASO between 1985 and 2014, intramural coronary arteries were
detected in 15 of them. Coronary arteries were managed using 2 techniques: the
double-button method in 5 patients (with unroofing and trapdoor incision in 1
patient) and the Imai technique in 10 patients. RESULTS: There were 3 hospital
deaths and 3 deaths after discharge, 5 of which showed coronary complications.
Actual survival and freedom from coronary complications at 15 years were 70% and
67%, respectively, with the Imai technique and 40% and 20%, respectively, with
the double-button method. Late coronary intervention was performed for a long
intramural coronary artery stenosis in 1 patient who underwent the Imai
technique. In the others, late aortography showed good patency of the
aortopulmonary window and growth of the coronary pouch after the Imai technique.
CONCLUSIONS: The Imai technique can be an option for coronary management in the
presence of high-risk coronary anatomy, particularly distal intramural coronary
artery stenosis and inseparable coronary arteries with an almost single orifice.
Adequate neopulmonary artery augmentation must be performed to prevent right
ventricular outflow stenosis.
PMID- 27209613
TI - Comparison of Video-Assisted Thoracoscopic Surgery and Robotic Approaches for
Clinical Stage I and Stage II Non-Small Cell Lung Cancer Using The Society of
Thoracic Surgeons Database.
AB - BACKGROUND: Data from selected centers show that robotic lobectomy is safe and
effective and has 30-day mortality comparable to that of video-assisted
thoracoscopic surgery (VATS). However, widespread adoption of robotic lobectomy
is controversial. We used The Society of Thoracic Surgeons General Thoracic
Surgery (STS-GTS) Database to evaluate quality metrics for these 2 minimally
invasive lobectomy techniques. METHODS: A database query for primary clinical
stage I or stage II non-small cell lung cancer (NSCLC) at high-volume centers
from 2009 to 2013 identified 1,220 robotic lobectomies and 12,378 VATS
procedures. Quality metrics evaluated included operative morbidity, 30-day
mortality, and nodal upstaging, defined as cN0 to pN1. Multivariable logistic
regression was used to evaluate nodal upstaging. RESULTS: Patients undergoing
robotic lobectomy were older, less active, and less likely to be an ever smoker
and had higher body mass index (BMI) (all p < 0.05). They were also more likely
to have coronary heart disease or hypertension (all p < 0.001) and to have had
preoperative mediastinal staging (p < 0.0001). Robotic lobectomy operative times
were longer (median 186 versus 173 minutes; p < 0.001); all other operative
measurements were similar. All postoperative outcomes were similar, including
complications and 30-day mortality (robotic lobectomy, 0.6% versus VATS, 0.8%; p
= 0.4). Median length of stay was 4 days for both, but a higher proportion of
patients undergoing robotic lobectomy had hospital stays less than 4 days (48%
versus 39%; p < 0.001). Nodal upstaging overall was similar (p = 0.6) but with
trends favoring VATS in the cT1b group and robotic lobectomy in the cT2a group.
CONCLUSIONS: Patients undergoing robotic lobectomy had more comorbidities and
robotic lobectomy operative times were longer, but quality outcome measures,
including complications, hospital stay, 30-day mortality, and nodal upstaging,
suggest that robotic lobectomy and VATS are equivalent.
PMID- 27209614
TI - Transapical Transcatheter Valve-in-Valve Implantation for Failed Mitral
Bioprostheses: Gradient, Symptoms, and Functional Status in 18 High-Risk Patients
Up to 5 Years.
AB - BACKGROUND: The recourse to mitral valve-in-valve implantation is expected to
rise consistently owing to the increasing use of bioprostheses and to the risks
related to redo valve replacement. However, there is concern that the excellent
early results of mitral valve-in-valve could be nullified by the development of
significant gradients. We report our experience with mitral valve-in-valve
implantation, with particular emphasis on the midterm follow-up. METHODS:
Eighteen patients underwent mitral valve-in-valve implantation at our
institution. The mean Society of Thoracic Surgeons score was 10.3. All patients
were heavily symptomatic. The mechanisms of bioprosthesis failure were stenosis
(3 patients), regurgitation (4 patients) or mixed (11 patients). The mean
transprosthetic gradient was 12.8 +/- 5.7 mm Hg. All the procedures were
transapical. Balloon predilation was never used. RESULTS: In the first patient,
the transcatheter valve embolized in the ventricle. The patient died 2 days later
of multiorgan failure. There were no other hospital deaths. Four patients died of
pneumonia, endocarditis, lung cancer, and stroke at 1, 8, 18, and 46 months,
postoperatively. The mean gradient at discharge was 5.1 +/- 2.3 mm Hg. At follow
up (median 27 months), all surviving patients were in New York Heart Association
functional class II or less. The mean transprosthetic gradient was 7 +/- 1.8 mm
Hg, and 1 patient had a gradient more than 10 mm Hg. CONCLUSIONS: Mitral valve-in
valve implantation allows good clinical and hemodynamic results. In our series,
the appearance of a significant gradient at follow-up was not associated with
echocardiographic signs of structural deterioration, and was possibly related to
the size of the transcatheter and recipient valve.
PMID- 27209615
TI - Does a Higher Society of Thoracic Surgeons Score Predict Outcomes in Transfemoral
and Alternative Access Transcatheter Aortic Valve Replacement?
AB - BACKGROUND: Nontransfemoral (non-TF) transcatheter aortic valve replacement
(TAVR) is often associated with worse outcomes than TF TAVR. We investigated the
relationship between increasing Society of Thoracic Surgeons (STS) predicted risk
of mortality (PROM) score and observed mortality and morbidity in TF and non-TF
TAVR groups. METHODS: We reviewed 595 patients undergoing TAVR at Emory
Healthcare between 2007 and 2014. Clinical outcomes were reported for 337 TF
patients (57%) and 258 non-TF patients (43%). We created 3 STS PROM score
subgroups: <8%, 8%-15%, and >15%. A composite outcome of postoperative events was
defined as death, stroke, renal failure, vascular complications, or new pacemaker
implantation. RESULTS: TF patients were older (82.4 +/- 8.0 vs 80.8 +/- 8.7
years, p = 0.02), whereas the STS PROM was higher in non-TF patients (10.5% +/-
5.3% vs 11.7% +/- 5.7%, p = 0.01). Observed/expected mortality was less than 1.0
in all groups. The rate of the composite outcome did not differ between STS PROM
subgroups in TF (p = 0.68) or non-TF TAVR (p = 0.27). One-year mortality was
higher for patients with STS PROM >8% in the non-TF group; however, this
difference was not observed in TF patients (p = 0.40). CONCLUSIONS: As expected,
non-TF patients were at a higher risk than TF patients for procedural morbidity
and death. Although no differences were observed in 30-day deaths or morbidity in
different STS PROM subgroups, those undergoing non-TF TAVR at a higher STS PROM
(>8%) had higher 1-year mortality. When applicable, TF TAVR remains the procedure
of choice in high- or extreme-risk patients undergoing TAVR.
PMID- 27209616
TI - Sealing Effect of Cross-Linked Gelatin Glue in the Rat Lung Air Leak Model.
AB - BACKGROUND: Air leak is a common problem in pulmonary surgical procedures. In
this study, we evaluated the efficacy and safety of gelatin glue (cross-linked
with glutaraldehyde) in a rat model of lung air leak. METHODS: A model of
pulmonary fistula was created in the rat lung with the use of a needle. The
fistula was then sealed with either gelatin glue (group A), fibrin glue (group
B), or fibrin glue with a polyglycolic acid sheet (group C). The seal breaking
pressures were measured for each group, and the results were compared. To assess
the biocompatibility of the gelatin glue, a model of lung damage was created with
incision, and the gelatin glue was applied to seal the wound. Histologic analysis
was then performed on the lung tissue. RESULTS: The seal breaking pressure in
group A (47.88 +/- 6.69 mm Hg) was significantly higher than that in group B
(24.67 +/- 3.24 mm Hg, p = 0.0302) or group C (28.67 +/- 3.55 mm Hg, p = 0.0406).
Histologically, the gelatin glue adhered firmly to the lung surface, and only
localized tissue inflammation was observed. CONCLUSIONS: The sealing effect of
gelatin glue was superior to that of fibrin glue, with or without a polyglycolic
acid sheet. In addition, the gelatin glue only caused mild inflammation of the
lung and was absorbed without any adverse foreign body response. These findings
suggest that gelatin glue may be a therapeutically effective biomaterial for
sealing lung wounds and restoring respiratory function.
PMID- 27209617
TI - Time is Money: Hospital Costs Associated With Video-Assisted Thoracoscopic
Surgery Lobectomies.
AB - BACKGROUND: Proposed changes in health care will place an increasing burden on
surgeons to care for patients more efficiently to minimize cost. We reviewed
costs surrounding video-assisted thoracoscopic surgery (VATS) lobectomies to see
where changes could be made to ensure maximum value. METHODS: We queried The
Society of Thoracic Surgeons database for all VATS lobectomies performed for lung
cancer from January 2011 to December 2013. Clinical data were linked with
hospital financial data to determine hospital expenditures for each patient.
RESULTS: In all, 263 VATS lobectomies were included. Mean operating room time was
236 minutes, and median length of stay was 4 days. Mean hospital cost was
$19,769. The majority of cost (58%) was attributed to operating room and floor
costs (length of stay), and the majority of operating room costs were secondary
to room rate and staplers. A total of 77 complications, as defined by STS,
occurred in the cohort; 41 patients had only one complication, 11 patients had
two complications, and 6 patients had three or more complications. The occurrence
of one complication was associated with a net loss of $496 whereas two
complications in a patient led to a $3,882 net loss. Overall, complications were
independently correlated with significant cost increases. CONCLUSIONS: Our study
shows that the most significant costs associated with VATS lobectomies relate to
operating room time, stapler use, floor charges, and cost associated with
complications. Cost-reducing strategies will need to concentrate on optimizing
operating room times and reducing length of stay while simultaneously minimizing
complications.
PMID- 27209619
TI - Predictive Value of 3-T Magnetic Resonance Imaging in Diagnosing Grade 3 and 4
Chondral Lesions in the Hip.
AB - PURPOSE: To assess the diagnostic capability and predictive value of 3-T magnetic
resonance imaging (MRI) in detecting grade 3 and 4 cartilage lesions in the hip.
METHODS: From August 2010 to April 2015, patients who underwent 3-T MRI and hip
arthroscopy were included in the study. Data were prospectively collected and
retrospectively reviewed. A radiologist prospectively documented MRI findings,
and the surgeon documented cartilage damage at arthroscopy using the Outerbridge
grading system. Arthroscopy was considered the diagnostic gold standard. This
study was approved by the institutional review board. RESULTS: The study group
comprised 606 patients, with 354 men (58%) and 252 women (42%). The mean patient
age was 34 years (range, 18 to 71 years). For femoral head defects, the
sensitivity was 61% (95% confidence interval [CI], 53% to 68%), specificity was
58% (95% CI, 55% to 62%), positive predictive value was 29% (95% CI, 25% to 33%),
and negative predictive value was 84% (95% CI, 81% to 87%). For chondral defects
of the acetabulum, the sensitivity was 80% (95% CI, 75% to 84%), specificity was
41% (95% CI, 38% to 44%), positive predictive value was 42% (95% CI, 39% to 45%),
and negative predictive value was 79% (95% CI, 74% to 84%). CONCLUSIONS: The
results of this study showed that 3-T MRI had sensitivity, as well as
specificity, for identifying chondral defects that is similar to what has been
previously reported. MRI showed increased sensitivity when identifying acetabular
defects compared with femoral head defects. With a low positive predictive value,
MRI may be most useful in ruling out cartilage lesions. LEVEL OF EVIDENCE: Level
III, diagnostic study.
PMID- 27209618
TI - A rapid and highly specific immunofluorescence method to detect Escherichia coli
O157:H7 in infected meat samples.
AB - Developing rapid and sensitive methods for the detection of pathogenic
Escherichia coli O157:H7 remains a major challenge in food safety. The present
study attempts to develop an immunofluorescence technique that uses Protein-A
coated, magnetic beads as the platform. The immunofluorescence technique
described here is a direct detection method in which E. coli O157:H7 cells are
labeled with tetramethylrhodamine (TRITC) fluorescent dye. TRITC-labeled bacteria
are captured by the desired antibody (Ab), which is immobilized on the Protein-A
magnetic beads. Fluorescence of the captured cells is recorded in a fluorescence
spectrophotometer, where the fluorescence values are shown to be directly
proportional to the number of bacteria captured on the immunobead. The formation
of an immunocomplex is evidenced by the fluorescence of the beads under
microscopy. The Ab immobilization procedure is also evidenced by microscopy using
fluorescein isothiocyanate (FITC)-labeled Ab. The total experimental time,
including preparation of the sample, is just 1h. The minimum bacterial
concentration detected by this method is 1.2+/-0.06*10(3)CFUml(-1). The high
specificity of this method was proved by using the specific monoclonal Ab (MAb)
in the test. The proposed protocol was successfully validated with E. coli
O157:H7-infected meat samples. This approach also opens the door for the
detection of other bacterial pathogens using Protein-A magnetic beads as a
detection platform.
PMID- 27209620
TI - Anatomic Posterolateral Corner Reconstruction Using a Fibula Cross-Tunnel
Technique: A Cadaveric Biomechanical Study.
AB - PURPOSE: To compare the biomechanical properties of a fibula cross-tunnel
technique for posterolateral corner (PLC) reconstruction with those of intact
knees. METHODS: Seven fresh-frozen cadaveric knees were tested while intact,
after PLC tear, and after reconstruction. Testing of the parameters listed above
was performed at 0 degrees , 30 degrees , 60 degrees , and 90 degrees of knee
flexion. Reconstruction was performed using 2 independent tendon autografts.
Afterward, the fibula and graft were loaded to failure. RESULTS: Reconstruction
restored external rotation (0 degrees : 11.75 degrees +/- 2.02 degrees to 9.81
degrees +/- 1.81 degrees , P = .57; 30 degrees : 17.91 degrees +/- 1.32 degrees
to 13.96 degrees +/- 2.84 degrees , P = .12; 60 degrees : 15.86 degrees +/-
1.68 degrees to 13.26 degrees +/- 3.58 degrees , P = .41; 90 degrees : 15.53
degrees +/- 1.62 degrees to 14.07 degrees +/- 2.95 degrees , P = .54) to the
intact state, and posterior translation (0 degrees : 3.66 +/- 0.85 mm to 3.31 +/-
0.89 mm, P = .87; 60 degrees : 3.15 +/- 0.45 mm to 2.96 +/- 0.45 mm, P = .73; 90
degrees : 2.74 +/- 0.33 mm to 3.05 +/- 0.41 mm, P = .41) and varus angulation (0
degrees : 0.92 degrees +/- 0.35 degrees to 1.98 degrees +/- 0.42 degrees , P =
.55; 30 degrees : 2.65 degrees +/- 0.27 degrees to 1.09 degrees +/- 0.90
degrees , P = .37; 90 degrees : 4.29 degrees +/- 0.44 degrees to 2.53 degrees
+/- 1.13 degrees , P = .19) under most conditions. During load to failure
testing, the construct revealed properties similar to those of native structures
(yield load: 330.4 +/- 45.8 N; ultimate load: 420.9 +/- 37.4 N). CONCLUSIONS:
This technique restored external rotation to the intact state after PLC injury in
all testing conditions, as well as posterior translation at 0 degrees , 60
degrees , and 90 degrees of flexion, and varus angulation under all conditions
tested except 60 degrees of flexion. CLINICAL RELEVANCE: Clinically, this
surgical technique may eliminate the need for a tibial tunnel for posterolateral
corner reconstruction.
PMID- 27209621
TI - Anterior Cruciate Ligament Revision Surgery: Ipsilateral Quadriceps Versus
Contralateral Semitendinosus-Gracilis Autografts.
AB - PURPOSE: To evaluate the subjective outcomes, knee stability, and donor-site
morbidity after revision ACL reconstruction using either autologous ipsilateral
quadriceps tendon or contralateral semitendinosus-gracilis tendon. METHODS: A
sample size calculation suggested that we needed 25 patients in each group to
detect equality between both groups. Therefore, we evaluated 30 consecutive
patients who underwent an ACL revision surgery with ipsilateral bone-quadriceps
tendon grafts and 30 consecutive patients with the contralateral semitendinosus
gracilis grafts between January 2010 and December 2012. Because of follow-up and
exclusion criteria, finally 51 patients were evaluated. All patients were
followed prospectively for at least 2 years with KT1000 arthrometer testing and
the International Knee Documentation Committee (IKDC) objective grading. At the
24-month follow-up, additional clinical scores were evaluated: the Knee Injury
Osteoarthritis Outcome Score (KOOS), the Lysholm score, assessing pain during
kneeling, and anterior knee pain. RESULTS: The KT1000 postoperative arthrometer
side-to-side difference was 2.0 +/- 1.2 mm for the quadriceps group and 3.0 +/-
2.9 mm for the semitendinosus-gracilis group. The difference was not
statistically significant (P = .461). There was also no difference in the rate of
positive pivot-shift tests between groups (P = .661). The Lysholm score was 82.5
+/- 18 in the quadriceps group and 73.8 +/- 19 in the semitendinosus-gracilis
group. The difference was not statistically significant (P = .060). There was
also no significant difference in the single KOOS subscores, assessing pain while
kneeling and anterior knee pain (included in the KOOS score). No rerupture
occurred during follow-up. CONCLUSIONS: Revision ACL reconstruction using the
quadriceps tendon graft showed clinical outcomes similar to those of the
contralateral semitendinosus-gracilis graft in terms of knee stability and
function. Thus, the bone-quadriceps tendon graft may be a good alternative to the
contralateral semitendinosus-gracilis tendon graft for revision ACL
reconstruction. LEVEL OF EVIDENCE: Level II, prospective comparative study.
PMID- 27209622
TI - High Femoral Anteversion Is Related to Femoral Trochlea Dysplasia.
AB - PURPOSE: To investigate the possible relation between femoral anteversion (AV)
and trochlear morphology. METHODS: Among 560 available lower-limb computed
tomography (CT) scans, those with previous fracture, arthroplasty, or osteotomy
were excluded and 40 cases were randomly selected. The following 4 lines were
determined from the CT scans: 1 through the center of the femoral head and neck;
1 through the lesser trochanter and the center of the femoral shaft; 1 as a
tangent to the dorsal part of the distal femur, just above the gastrocnemius
insertion; and 1 as a tangent to the posterior condyles. Between the respective
lines, the following parameters of femoral AV were determined: (1) total AV, (2)
proximal AV, (3) diaphyseal AV, and (4) distal AV. Trochlea parameters were
determined from 2 separate axial CT slices (proximal trochlea and 5 mm farther
distally): trochlea height (medial, central, lateral), transverse trochlea shift,
trochlea depth, sulcus angle, lateral trochlea slope, and Dejour trochlea type.
To prove or disprove our study hypothesis, a correlation analysis was performed
between the variables of AV and trochlear morphology. RESULTS: The total AV was
significantly correlated with the trochlea parameters trochlea depth (P = .032),
sulcus angle (P = .05), and lateral trochlea slope (P = .001). The diaphyseal AV
was significantly correlated with the sulcus angle (P = .009). The distal AV
showed significant correlations with medial, central, and lateral trochlea height
(.005 =12 months), tear size
according to the Kim classification (group I, A and B; group II, C; group III,
D), tendon involvement (group I, supraspinatus; group II, supraspinatus and
subscapularis; group III, supraspinatus and infraspinatus; group IV, all 3
tendons), and degree of fatty degeneration of the supraspinatus and infraspinatus
(group I, Goutallier stages 1 and 2 for each tendon; group II, Goutallier stages
3 and 4 for each tendon). RESULTS: Two hundred eighty-two patients underwent
arthroscopic repairs of full-thickness rotator cuff tears. The overall retear
rate was 13.1%. Age, sex, diabetes, smoking, and degree of fatty degeneration of
the supraspinatus and infraspinatus did not affect retear rates. However, symptom
duration (P = .006), Kim classification (P < .001), and tendon involvement (P <
.001) did affect retear rates. The retear rates were 8.5% (14 of 165 patients)
and 19.7% (23 of 117) in symptom duration groups I and II, respectively; 8.0% (13
of 163), 15.2% (16 of 105), and 57.1% (8 of 14) in Kim classification groups I,
II, and III, respectively; and 6.6% (11 of 167), 22.2% (18 of 81), 10.0% (2 of
20), and 42.9% (6 of 14) in tendon involvement groups I, II, III, and IV,
respectively. In the multiple logistic regression analysis, the respective odds
ratios of symptom duration group II, Kim classification group III, tendon
involvement group II, and tendon involvement group IV were 2.853 (P = .011),
18.108 (P = .001), 4.184 (P = .001), and 5.727 (P = .021), respectively.
CONCLUSIONS: To preoperatively predict retears after arthroscopic repair of full
thickness rotator cuff tears, the duration of symptoms before surgery, tear size,
and tendon involvement can be used. In addition, regarding tear size, the Kim
classification can be used more effectively than the modified DeOrio and Cofield
classification. LEVEL OF EVIDENCE: Level IV, prognostic case series.
PMID- 27209625
TI - Outcomes After Diagnostic Hip Injection.
AB - PURPOSE: To provide a comprehensive review of outcomes associated with local
anesthetic (LA) or LA and corticosteroid (CS) diagnostic hip injections, and how
well response predicts subsequent operative success. METHODS: A systematic review
from database (PubMed, Medline, Scopus, Embase) inception to January 2015 for
English-language articles reporting primary patient outcomes data was performed,
excluding studies with >50% underlying osteoarthritis. Studies were assessed by 2
reviewers who collected pertinent data. RESULTS: Seven studies were included,
reporting on a total 337 patients undergoing diagnostic hip injection. The mean
age was 34.4 years, with 5 studies reporting 94 (35.2%) males and 173 (64.8%)
females. One study examined the rate of pain relief with LA (92.5%); 2 CS studies
reported relief on a scale from 0% to 100% (no to complete relief), ranging from
61% to 82.3%; and 3 studies used 10-point pain scales, with a CS study noting a
pain score of 1.0, an LA study with a score of 3.03, and 1 study using either CS
or LA scores of 3 to 5.6. Duration of pain relief was 9.8 (CS) and 2.35 days
(LA). By pathology, greatest relief was achieved in acetabular chondral injury
(93.3%) and least in cam impingement (81.6%), with clinical and imaging findings
being unreliable predictors of relief. One study showed nonresponse to be a
strong predictor of negative surgical outcome for femoroacetabular impingement.
CONCLUSIONS: Diagnostic hip injections provide substantial pain relief for
patients with various hip pathologies, with limited data to suggest greatest
relief for those with chondral injury. Clinical and imaging findings are
unreliable predictors of injection response, and nonresponse to injection is a
strong negative predictor of surgical outcome. Future research should focus on
elucidating differences by underlying pathology and predicting future operative
success. LEVEL OF EVIDENCE: Level IV, systematic review.
PMID- 27209626
TI - Double-Row Capsulolabral Repair Increases Load to Failure and Decreases Excessive
Motion.
AB - PURPOSE: Using a cadaver shoulder instability model and load-testing device, we
compared biomechanical characteristics of double-row and single-row capsulolabral
repairs. We hypothesized a greater reduction in glenohumeral motion and
translation and a higher load to failure in a mattress double-row capsulolabral
repair than in a single-row repair. METHODS: In 6 matched pairs of cadaveric
shoulders, a capsulolabral injury was created. One shoulder was repaired with a
single-row technique, and the other with a double-row mattress technique.
Rotational range of motion, anterior-inferior translation, and humeral head
kinematics were measured. Load-to-failure testing measured stiffness, yield load,
deformation at yield load, energy absorbed at yield load, load to failure,
deformation at ultimate load, and energy absorbed at ultimate load. RESULTS:
Double-row repair significantly decreased external rotation and total range of
motion compared with single-row repair. Both repairs decreased anterior-inferior
translation compared with the capsulolabral-injured condition, however, no
differences existed between repair types. Yield load in the single-row group was
171.3 +/- 110.1 N, and in the double-row group it was 216.1 +/- 83.1 N (P = .02).
Ultimate load to failure in the single-row group was 224.5 +/- 121.0 N, and in
the double-row group it was 373.9 +/- 172.0 N (P = .05). Energy absorbed at
ultimate load in the single-row group was 1,745.4 +/- 1,462.9 N-mm, and in the
double-row group it was 4,649.8 +/- 1,930.8 N-mm (P = .02). CONCLUSIONS: In cases
of capsulolabral disruption, double-row repair techniques may result in decreased
shoulder rotational range of motion and improved load-to-failure characteristics.
CLINICAL RELEVANCE: In cases of capsulolabral disruption, repair techniques with
double-row mattress repair may provide more secure fixation. Double-row
capsulolabral repair decreases shoulder motion and increases load to failure,
yield load, and energy absorbed at yield load more than single-row repair.
PMID- 27209627
TI - Antiepileptic drugs for the primary and secondary prevention of seizures in viral
encephalitis.
AB - BACKGROUND: Viral encephalitis is characterised by diverse clinical and
epidemiological features. Seizures are an important clinical manifestation and
are associated with increased mortality and morbidity. Patients may have seizures
during the acute illness or they may develop after recovery. There are no
recommendations regarding the use of antiepileptic drugs for the primary or
secondary prevention of seizures in patients with viral encephalitis.This is an
updated version of the original Cochrane review published in The Cochrane Library
2014, Issue 10. OBJECTIVES: To assess the efficacy and tolerability of
antiepileptic drugs for the primary and secondary prophylaxis of seizures in
viral encephalitis. We had intended to answer the following questions.1. Do
antiepileptic drugs used routinely as primary prophylaxis for all patients with
suspected or proven viral encephalitis reduce the risk of seizures during the
acute illness and reduce neurological morbidity and mortality?2. Do antiepileptic
drugs used routinely as secondary prophylaxis for all patients who have had at
least one seizure due to suspected or proven viral encephalitis reduce the risk
of further seizures during the acute illness and reduce neurological morbidity
and mortality? SEARCH METHODS: For the latest version of this review, we searched
the Cochrane Epilepsy Group Specialized Register (11 April 2016), the Cochrane
Central Register of Controlled Trials (CENTRAL) via the Cochrane Register of
Studies Online (CRSO, 11 April 2016), MEDLINE (Ovid 1946 to 11 April 2016), the
WHO International Clinical Trials Registry Platform (ICTRP, 11 April 2016), and
ClinicalTrials.gov (11 April 2016). We did not impose any language restrictions.
SELECTION CRITERIA: Randomised and quasi-randomised controlled trials in which
patients were assigned to a treatment or control group (placebo or no drug). DATA
COLLECTION AND ANALYSIS: One review author (SP) searched the publications by
title, abstract and keywords, and decided on their suitability for the review.
For any studies where their suitability was unclear, the co-authors (CR, BM) were
consulted. The co-authors (CR, BM) independently evaluated the selected studies.
Since there were no included studies, we carried out no data analysis. MAIN
RESULTS: We did not find any randomised or quasi-randomised controlled trials
that compared the effects of antiepileptic drugs with placebo (or no drug) for
the primary or secondary prevention of seizures in viral encephalitis. We
identified two studies from the literature search where different antiepileptic
drugs were used in patients with viral encephalitis, however both failed to meet
the inclusion criteria. AUTHORS' CONCLUSIONS: There is insufficient evidence to
support or refute the routine use of antiepileptic drugs for the primary or
secondary prevention of seizures in viral encephalitis. There is a need for
adequately powered randomised controlled trials in patients with viral
encephalitis to assess the efficacy and tolerability of antiepileptic drugs for
the primary and secondary prophylaxis of seizures, which is an important clinical
problem.
PMID- 27209628
TI - Immunoselection techniques in hematopoietic stem cell transplantation.
AB - Hematopoietic Stem Cells Transplantation (HSCT) is an effective treatment for
hematological and non-hematological diseases. The main challenge in autologous
HSCT is purging of malignant cells to prevent relapse. In allogeneic HSCT graft
versus-host disease (GvHD) and opportunistic infections are frequent
complications. Two types of graft manipulation have been introduced: the first
one in the autologous context aimed at separating malignant cells from
hematopoietic stem cells (HSC), and the second one in allogeneic HSCT aimed at
reducing the incidence of GvHD and at accelerating immune reconstitution. Here we
describe the manipulations used for cell purging in autologous HSCT or for T Cell
Depletion (TCD) and T cell selection in allogeneic HSCT. More complex
manipulations, requiring a Good Manufacturing Practice (GMP) facility, are
briefly mentioned.
PMID- 27209629
TI - Outcomes and genotype-phenotype correlations in 52 individuals with VLCAD
deficiency diagnosed by NBS and enrolled in the IBEM-IS database.
AB - Very long chain acyl-CoA dehydrogenase (VLCAD) deficiency can present at various
ages from the neonatal period to adulthood, and poses the greatest risk of
complications during intercurrent illness or after prolonged fasting. Early
diagnosis, treatment, and surveillance can reduce mortality; hence, the disorder
is included in the newborn Recommended Uniform Screening Panel (RUSP) in the
United States. The Inborn Errors of Metabolism Information System (IBEM-IS) was
established in 2007 to collect longitudinal information on individuals with
inborn errors of metabolism included in newborn screening (NBS) programs,
including VLCAD deficiency. We retrospectively analyzed early outcomes for
individuals who were diagnosed with VLCAD deficiency by NBS and describe initial
presentations, diagnosis, clinical outcomes and treatment in a cohort of 52
individuals ages 1-18years. Maternal prenatal symptoms were not reported, and
most newborns remained asymptomatic. Cardiomyopathy was uncommon in the cohort,
diagnosed in 2/52 cases. Elevations in creatine kinase were a common finding, and
usually first occurred during the toddler period (1-3years of age). Diagnostic
evaluations required several testing modalities, most commonly plasma
acylcarnitine profiles and molecular testing. Functional testing, including
fibroblast acylcarnitine profiling and white blood cell or fibroblast enzyme
assay, is a useful diagnostic adjunct if uncharacterized mutations are
identified.
PMID- 27209630
TI - Seminal plasma HSPA2 mRNA content is associated with semen quality.
AB - PURPOSE: Cell-free mRNAs (cfmRNAs) were quantitatively measured in human seminal
plasma and its relationship with semen quality was investigated. METHODS: Herein,
a prospectively, controlled investigation was performed to study seminal plasma
HSPA2 and uPA cfmRNA alterations between 21 asthenozoospermic patients and 16
normozoospermic individuals. Standard semen analysis was performed and seminal
plasma cfmRNAs content was measured by real-time quantitative PCR. In addition,
the regression analysis between seminal plasma cfmRNAs expression and semen
parameters was performed. RESULTS: Seminal plasma HSPA2, but not uPA cfmRNA
indicated significant difference between normozoospermia and asthenozoospermia
men (P = 0.02444 and 0.07811, respectively). Negative correlation between HSPA2
cfmRNA and sperm motility (R (2) = 0.213, P = 0.004) as well as sperm
concentration (R (2) = 0.133, P = 0.026) were revealed. However, no correlation
was found between seminal plasma uPA cfmRNA content and semen parameters.
CONCLUSIONS: Our data suggest that seminal plasma HSPA2 cfmRNA is different
between asthenozoospermic and normozoospermic individuals and it might be an
indicator for semen quality.
PMID- 27209631
TI - Quality of life and outcomes for femoral hernia repair: does laparoscopy have an
advantage?
AB - BACKGROUND: Due to their relative scarcity and to limit single-center bias, multi
center data are needed to study femoral hernias. The aim of this study was to
evaluate outcomes and quality of life (QOL) following laparoscopic vs. open
repair of femoral hernias. METHODS: The International Hernia Mesh Registry was
queried for femoral hernia repairs. Laparoscopic vs. open techniques were
assessed for outcomes and QOL, as quantified by the Carolinas Comfort Scale
(CCS), preoperatively and at 1, 6, 12, and 24 months postoperatively. Outcomes
were evaluated using the standard statistical analysis. RESULTS: A total of 80
femoral hernia repairs were performed in 73 patients: 37 laparoscopic and 43
open. There was no difference in mean age (54.7 +/- 14.6 years), body mass index
(24.2 +/- 3.8 kg/m2), gender (60.3 % female), or comorbidities (p > 0.05). The
hernias were recurrent in 21 % of the cases with an average of 1.23 +/- 0.6 prior
repairs (p > 0.1). Preoperative CCS scores were similar for both groups and
indicated that 59.7 % of patients reported pain and 46.4 % had movement
limitations (p > 0.05). Operative time was equivalent (47.2 +/- 21.2 vs. 45.9 +/-
14.8 min, p = 0.82). There was no difference in postoperative complications, with
an overall 8.2 % abdominal wall complications rate (p > 0.05). The length of stay
was shorter in the laparoscopic group (0.5 +/- 0.6 vs. 1.3 +/- 1.6 days, p =
0.02). Follow-up was somewhat longer in the open group (23.8 +/- 10.2 vs. 17.3 +/
10.9 months, p = 0.02). There was one recurrence, which was in the laparoscopic
group (3.1 vs. 0 %, p = 0.4). QOL outcomes at all time points demonstrated no
difference for pain, movement limitation, or mesh sensation. Postoperative QOL
scores improved for both groups when compared to preoperative scores. CONCLUSION:
In this prospective international multi-institution study of 80 femoral hernia
repairs, no difference was found for operative times, long-term outcomes, or QOL
in the treatment of femoral hernias when comparing laparoscopic vs. open
techniques. After repair, QOL at all time-points postoperatively improved
compared to QOL scores preoperatively for laparoscopic and open femoral hernia
repair. While international data supports improved outcomes with laparoscopic
approach for femoral hernia repair, no data had existed prior to this study on
the difference of approach impacting QOL. In the setting where recurrence and
complication rates are equal after femoral hernia repair for either approach,
surgeons should perform the technique with which they are most confident, as the
operative approach does not appear to change QOL outcomes after femoral hernia
repair.
PMID- 27209632
TI - Hsp90 mediates the crosstalk between galactose metabolism and cell morphology
pathways in yeast.
AB - Galactose metabolism in the yeast Saccharomyces cerevisiae is carried out by a
specialized GAL pathway consisting of structural and regulatory proteins. It is
known that cells with unbalanced Gal proteins accumulate toxic metabolic
intermediates and exhibit severe growth defects. Recently, we found that the
molecular chaperone Hsp90 controls the abundance of multiple Gal proteins,
possibly to prevent these defects. Hsp90 regulates various cellular processes
including cell morphology in response to environmental cues. Yeast cells are
known to resort to filamentous growth upon exposure to galactose or other
environmental stresses. Our previous and current findings support the "Hsp90
titration model" of Hsp90 buffering, which links the cell morphology and
galactose pathways. Our results suggest that, when a large proportion of Hsp90
molecules are used to help Gal proteins, the Hsp90 client proteins in cell
morphology pathways are left unattended, leading to filamentous growth. It
remains unclear whether this phenomenon serves any biological function or simply
reflects a cellular constraint. Nonetheless, it provides an alternative
explanation why the GAL pathway is degenerated in some yeast species.
PMID- 27209634
TI - International Conference on Contaminated Sediments-ContaSed 2015 : 8-13 March
2015, Monte Verita, Ascona, Switzerland.
PMID- 27209633
TI - Bidirectional association between physical activity and muscular strength in
older adults: Results from the UK Biobank study.
AB - Background: The relationship between physical activity and muscular strength has
not been examined in detail among older adults. The objective of this study was
to examine the associations between physical activity and hand grip strength
among adults aged >= 60 years. Methods: Using data from the UK Biobank study, we
included 66 582 men and women with complete baseline data and 6599 with 4.5 years
of follow-up data. We used multiple linear regression models to examine the cross
sectional, longitudinal and bidirectional associations between moderate-to
vigorous physical activity (MVPA) and grip strength, adjusting for potential
confounding by age, sex, height, weight, health status, education level, smoking
status, Townsend deprivation index and retirement status. Results: In cross
sectional analyses, grip strength and MVPA were linearly and positively
associated with each other. Longitudinally, baseline MVPA was not associated with
grip strength at follow-up {difference between quintile [Q] 5 and Q1 = 0.40 [95%
confidence interval (CI): -0.14, 0.94]kg}, whereas baseline grip strength was
associated with MVPA at follow-up [Q5 vs Q1 = 7.15 (1.18, 13.12) min/day]. People
who maintained/increased time spent in MVPA did not experience any benefit in
grip strength [0.08 (-0.20, 0.37) kg] whereas those who increased their grip
strength spent 3.69 (0.20, 7.17) min/day extra in MVPA. Conclusion: Promotion of
strength-training activities may enable and maintain participation in regular
physical activity among older adults.
PMID- 27209635
TI - Uptake and degradation of trimethylamine by Euphorbia milii.
AB - Trimethylamine (TMA) is a volatile organic compound which causes not only
unpleasant odor but also health concerns to humans. The average emission of TMA
from food and fishery industries is 20.60 parts per billion (ppb) and emission
from the gas exhausters is even higher which reaches 370 parts per million (ppm).
In order to select the best plant TMA removal agent, in this study, 13 plants
were exposed to 100 ppm of TMA and the remaining TMA concentration in their
system was analyzed by gas chromatography (GC). Furthermore, plant metabolites
from the selected plant were identified by gas chromatography-mass spectrometry
(GC-MS). The result showed that Euphorbia milii was the most superior plant for
TMA removal and could absorb up to 90 % of TMA within 12 h. E. milii absorbed TMA
via leaf and stem with 55 and 45 % uptake efficiency, respectively. Based on its
stomatal movement during the exposure to TMA, it was implied that the plant
switched the photosynthetic mode from crassulacean acid metabolism (CAM)-cycling
to CAM and CAM-idling. The switching of photosynthetic mode might reduce the
stomata role in TMA absorption. Fatty acids, alkanes, and fatty alcohols in the
plant leaf wax were also found to contribute to TMA adsorption. Leaf wax,
stomata, and other leaf constituents contributed 58, 6, and 36 %, respectively,
of the total TMA absorption by the leaf. The analysis and identification of plant
metabolites confirmed that TMA was degraded and mineralized by E. milii.
PMID- 27209636
TI - Effect of feeding dried sweet orange (Citrus sinensis) peel and lemon grass
(Cymbopogon citratus) leaves on growth performance, carcass traits, serum
metabolites and antioxidant status in broiler during the finisher phase.
AB - The current experiment was conducted to evaluate the effects of feeding dried
sweet orange peel (SOP) and lemon grass leaves (LGL) as feed additives on broiler
growth performance, serum metabolites, and antioxidant status. A total of 192-day
old (Ross 308) broiler chickens were distributed randomly into 4 dietary
treatments with 4 replicates per each treatment. The dietary treatments included
a control diet without any feed additive (T1), a diet containing 0.8 % SOP (T2),
a diet containing 0.8 % LGL (T3), and a diet containing combination of 0.4 % SOP
+ 0.4 % LGL (T4) was fed during the growth period from 22 to 42 days. Feed intake
(FI), body weight gain (BWG), feed conversion ratio (FCR), carcass traits, serum
components, and antioxidant status were measured. At the end of the experimental
period, the results indicated that supplementation of SOP and LGL alone or in
combination did not significantly (P > 0.05) affect BWG, FI, FCR, and carcass
characteristics in broiler chickens. Serum total protein was increased
significantly (P < 0.05) in T3 and T4 compared to the other treatments. Also,
serum globulin increased significantly (P < 0.05) in the treated groups. Serum
glucose, low density lipoprotein, triglyceride, and very low density lipoprotein
decreased significantly (P < 0.05) in the treatment groups, while cholesterol and
high-density lipoprotein decreased in T2 compared to the other groups.
Significantly (P < 0.05) higher total antioxidant status was observed in T2
compared to the other treatments. In conclusion, these results indicate that SOP,
LGL, and their combination may positively modify some serum components and the
antioxidant status without any beneficial effect on growth performance and
carcass traits in broiler chickens.
PMID- 27209637
TI - Potential of fly ash for neutralisation of acid mine drainage.
AB - Lignite (PK), bituminous (FI) and biomass (SE) fly ashes (FAs) were
mineralogically and geochemically characterised, and their element leachability
was studied with batch leaching tests. The potential for acid neutralisation
(ANP) was quantified by their buffering capacity, reflecting their potential for
neutralisation of acid mine drainage. Quartz was the common mineral in FAs
detected by XRD with iron oxide, anhydrite, and magnesioferrite in PK, mullite
and lime in FI, and calcite and anorthite in SE. All the FAs had high contents of
major elements such as Fe, Si, Al and Ca. The Ca content in SE was six and eight
times higher compared to PK and FI, respectively. Sulphur content in PK and SE
was one magnitude higher than FI. Iron concentrations were higher in PK. The
trace element concentrations varied between the FAs. SE had the highest ANP
(corresponding to 275 kg CaCO3 tonne(-1)) which was 15 and 10 times higher than
PK and FI, respectively. The concentrations of Ca(2+), SO4 (2-), Na(+) and Cl(-)
in the leachates were much higher compared to other elements from all FA samples.
Iron, Cu and Hg were not detected in any of the FA leachates because of their
mild to strong alkaline nature with pH ranging from 9 to 13. Potassium leached in
much higher quantity from SE than from the other ashes. Arsenic, Mn and Ni
leached from PK only, while Co and Pb from SE only. The concentrations of Zn were
higher in the leachates from SE. The FAs used in this study have strong potential
for the neutralisation of AMD due to their alkaline nature. However, on the other
hand, FAs must be further investigated, with scaled-up experiments before full
scale application, because they might leach pronounced concentrations of elements
of concern with decreasing pH while neutralising AMD.
PMID- 27209639
TI - Case of unrecognised food bone ingestion with dual site intestinal perforations.
AB - Food bone perforation of the bowel is a relatively rare diagnosis. Its
presentation is non-specific and often misdiagnosed. We present a case where a
food bone perforation in both the large and small bowel was diagnosed on CT scan.
A successful outcome was achieved with surgical treatment.
PMID- 27209640
TI - Analysis of the enablers of capacities to produce primary health care-based
reforms in Latin America: a multiple case study.
AB - BACKGROUND: Primary health care (PHC)-based reforms have had different results in
Latin America. Little attention has been paid to the enablers of collective
action capacities required to produce a comprehensive PHC approach. OBJECTIVE: To
analyse the enablers of collective action capacities to transform health systems
towards a comprehensive PHC approach in Latin American PHC-based reforms.
METHODS: We conducted a longitudinal, retrospective case study of three municipal
PHC-based reforms in Bolivia and Argentina. We used multiple data sources and
methodologies: document review; interviews with policymakers, managers and
practitioners; and household and services surveys. We used temporal bracketing to
analyse how the dynamic of interaction between the institutional reform process
and the collective action characteristics enabled or hindered the enablers of
collective action capacities required to produce the envisioned changes. RESULTS:
The institutional structuring dynamics and collective action capacities were
different in each case. In Cochabamba, there was an 'interrupted' structuring
process that achieved the establishment of a primary level with a selective PHC
approach. In Vicente Lopez, there was a 'path-dependency' structuring process
that permitted the consolidation of a 'primary care' approach, but with limited
influence in hospitals. In Rosario, there was a 'dialectic' structuring process
that favoured the development of the capacities needed to consolidate a
comprehensive PHC approach that permeates the entire system. CONCLUSION: The
institutional change processes achieved the development of a primary health care
level with different degrees of consolidation and system-wide influence given how
the characteristics of each collective action enabled or hindered the
'structuring' processes.
PMID- 27209641
TI - Now, It Is Time to Consider Job Stress in the Field of Gastroenterology.
PMID- 27209638
TI - Unique and Overlapping Symptoms in Schizophrenia Spectrum and Dissociative
Disorders in Relation to Models of Psychopathology: A Systematic Review.
AB - Schizophrenia spectrum disorders (SSDs) and dissociative disorders (DDs) are
described in the fifth edition of the Diagnostic and Statistical Manual for
Mental Disorders (DSM-5) and tenth edition of the International Statistical
Classification of Diseases and Related Health Problems (ICD-10) as 2
categorically distinct diagnostic categories. However, several studies indicate
high levels of co-occurrence between these diagnostic groups, which might be
explained by overlapping symptoms. The aim of this systematic review is to
provide a comprehensive overview of the research concerning overlap and
differences in symptoms between schizophrenia spectrum and DDs. For this purpose
the PubMed, PsycINFO, and Web of Science databases were searched for relevant
literature. The literature contained a large body of evidence showing the
presence of symptoms of dissociation in SSDs. Although there are quantitative
differences between diagnoses, overlapping symptoms are not limited to certain
domains of dissociation, nor to nonpathological forms of dissociation. In
addition, dissociation seems to be related to a history of trauma in SSDs, as is
also seen in DDs. There is also evidence showing that positive and negative
symptoms typically associated with schizophrenia may be present in DD.
Implications of these results are discussed with regard to different models of
psychopathology and clinical practice.
PMID- 27209642
TI - Synchronous Peripancreatic Lymph Node Gastrinoma and Gastric Neuroendocrine Tumor
Type 2.
AB - A 34-year-old man was referred to our hospital with gastric polypoid lesions and
biopsy-confirmed neuroendocrine tumor (NET). Computed tomography (CT) revealed a
3*3.5*8-cm retroperitoneal mass behind the pancreas, with multiple hepatic
metastases. His serum gastrin level was elevated to 1,396 pg/mL. We performed a
wedge resection of the stomach, a right hemi-hepatectomy, and a retroperitoneal
mass excision. After careful review of the clinical, radiological,
histopathological, and immunohistochemical findings, peripancreatic gastrinoma,
and synchronous gastric NET were ultimately diagnosed. We reviewed a CT scan that
had been performed 6 years previously after surgery for a duodenal perforation.
There was no evidence of gastric or hepatic lesions, but the retroperitoneal mass
was present at the same site. Had gastrinoma been detected earlier, our patient
could have been cured using less invasive treatment. This case demonstrates how
important it is to consider Zollinger-Ellison syndrome in patients with a
recurrent or aggressive ulcer.
PMID- 27209643
TI - Role of Endoscopic Ultrasonography in Guiding Treatment Plans for Upper
Gastrointestinal Subepithelial Tumors.
AB - Gastrointestinal (GI) subepithelial tumors (SETs) are usually observed
incidentally by endoscopy and have diverse prognoses, varying from benign to
potentially malignant. When a GI SET is suspected, endoscopic ultrasonography
(EUS) is the most accurate diagnostic method to differentiate it from
extraluminal compression. To determine the nature of GI SETs, EUS is also the
most accurate diagnostic method, and reveals the precise sonographic nature of
the lesion. There are some SETs with typical EUS findings of GI SETs, but most
hypoechoic lesions are difficult to diagnose based on EUS images alone. EUS is
also helpful to determine GI wall involvement in SETs and optimal treatment
methods. For the diagnosis of GI SETs, obtaining a proper specimen is essential.
EUS-guided cytology or biopsy methods such as fine-needle aspiration, Tru-Cut
biopsy, and the newly introduced fine-needle biopsy (FNB) provide good results.
To increase the diagnostic yield for GI SETs, cytology with immunocytochemical
staining is used for cytological interpretation, resulting in good diagnostic
yields. Recently, EUS-FNB using cheese slicer technology has been introduced, and
has been reported to provide good diagnostic results for GI SETs.
PMID- 27209644
TI - "New Old Pathologies": AD, PART, and Cerebral Age-Related TDP-43 With Sclerosis
(CARTS).
AB - The pathology-based classification of Alzheimer's disease (AD) and other
neurodegenerative diseases is a work in progress that is important for both
clinicians and basic scientists. Analyses of large autopsy series, biomarker
studies, and genomics analyses have provided important insights about AD and shed
light on previously unrecognized conditions, enabling a deeper understanding of
neurodegenerative diseases in general. After demonstrating the importance of
correct disease classification for AD and primary age-related tauopathy, we
emphasize the public health impact of an underappreciated AD "mimic," which has
been termed "hippocampal sclerosis of aging" or "hippocampal sclerosis dementia."
This pathology affects >20% of individuals older than 85 years and is strongly
associated with cognitive impairment. In this review, we provide an overview of
current hypotheses about how genetic risk factors (GRN, TMEM106B, ABCC9, and
KCNMB2), and other pathogenetic influences contribute to TDP-43 pathology and
hippocampal sclerosis. Because hippocampal sclerosis of aging affects the "oldest
old" with arteriolosclerosis and TDP-43 pathologies that extend well beyond the
hippocampus, more appropriate terminology for this disease is required. We
recommend "cerebral age-related TDP-43 and sclerosis" (CARTS). A detailed case
report is presented, which includes neuroimaging and longitudinal neurocognitive
data. Finally, we suggest a neuropathology-based diagnostic rubric for CARTS.
PMID- 27209645
TI - A case of portal hypertension by presumed as plexiform neurofibroma at the
hepatic hilum.
AB - Neurofibromas can occur anywhere in the body, but they usually involve the head,
neck, pelvis, and extremities. Abdominal visceral involvement is rare, and
intrahepatic involvement is even less common. We describe a patient who suffered
from plexiform neurofibromatosis with liver involvement. A 49-year-old man, who
had previously been diagnosed with neurofibromatosis, underwent
esophagogastroduodenoscopy and abdominal ultrasonography for screening purposes.
Esophagogastroduodenoscopy showed grade 2 esophageal varices and abdominal
ultrasonography showed conglomerated nodules with echogenic appearances in the
perihepatic space. Magnetic resonance imaging showed presumed plexiform
neurofibroma involving the lesser sac and hepatic hilum and encasing the common
hepatic artery celiac trunk and superior mesenteric artery left portal triad. We
report an unusual case of portal hypertension attributed to the compressive
narrowing of the portal vein by presumed as plexiform neurofibroma at the lesser
sac and hepatic hilum.
PMID- 27209647
TI - William J. Bair (1924-2015).
PMID- 27209648
TI - Smallpox in the post eradication era.
PMID- 27209646
TI - Drug-induced liver injury caused by iodine-131.
AB - Iodine-131 is a radioisotope that is routinely used for the treatment of
differentiated thyroid cancer after total or near-total thyroidectomy. However,
there is some evidence that iodine-131 can induce liver injury . Here we report a
rare case of drug-induced liver injury (DILI) caused by iodine-131 in a patient
with regional lymph node metastasis after total thyroidectomy. A 47-year-old
woman was admitted with elevated liver enzymes and symptoms of general weakness
and nausea. Ten weeks earlier she had undergone a total thyroidectomy for
papillary thyroid carcinoma and had subsequently been prescribed levothyroxine to
reduce the level of thyroid-stimulating hormone. Eight weeks after surgery she
underwent iodine-131 ablative therapy at a dose of 100 millicuries, and
subsequently presented with acute hepatitis after 10 days. To rule out all
possible causative factors, abdominal ultrasonography, endoscopic ultrasonography
(on the biliary tree and gall bladder), and a liver biopsy were performed. DILI
caused by iodine-131 was suspected. Oral prednisolone was started at 30 mg/day,
to which the patient responded well.
PMID- 27209649
TI - [Not Available].
PMID- 27209650
TI - [Not available].
PMID- 27209651
TI - [Not Available].
PMID- 27209652
TI - [The Continuity Between World War II and the Postwar Period: Grant Distribution
by the Japan Society for the Promotion of Science and the Subsidiary Fund for
Scientific Research].
AB - This paper analyzes the distribution of the Subsidiary Fund for Scientific
Research, a predecessor to the Grant-in-Aid for Scientific Research (KAKENHI),
which operated in Japan from the 1930s to 1950s. It reveals that the Japanese
government maintained this wide-ranging promotion system since its establishment
during the war until well into the postwar period. Previous studies insist that,
at the end of the war, the Japanese government generally only funded the research
that it considered immediately and practically useful. In contrast to this
general perception, my analysis illustrates that both before and after the war,
funding was allotted to four research areas: natural science, engineering,
agriculture, and medicine. In order to illuminate this continuity, I compare the
Subsidiary Fund with another research fund existing from 1933 to 1947: the Grant
of the Japan Society for the Promotion of Science (JSPS). The comparison
demonstrates that the JSPS received externally raised capital from the military
and munitions companies. However, while this group focused upon engineering and
military-related research as the war dragged on, the Subsidiary Fund has
consistently entrusted scientists with the authority to decide the allocation of
financial support.
PMID- 27209653
TI - How Oncology Nurses Are Working on the Cancer Moonshot Initiative.
PMID- 27209654
TI - Academic-Community Partnerships Facilitate Access to Cutting-Edge Resources.
PMID- 27209655
TI - How Have University-Community Partnerships Addressed Cancer Disparities in
Hawai'i?
PMID- 27209657
TI - What Oncology Nurses Need to Know About Supporting AYAs With Cancer.
PMID- 27209656
TI - How Academic Research Guides Community Cancer Center Practice.
PMID- 27209658
TI - Be a Leader by Cultivating a Culture of Safety.
PMID- 27209659
TI - New Treatment Approach May Be Effective for Aggressive Ovarian Cancer.
PMID- 27209660
TI - Biosimilar Treatments Have Practice Implications.
PMID- 27209661
TI - Multiple Myeloma Is Incurable but Treatable.
PMID- 27209662
TI - ONS CEO Represents Nursing at Vice President's Cancer Moonshot Panel.
PMID- 27209663
TI - Why We Celebrate Oncology Nurses.
PMID- 27209664
TI - Here Come The Boomers.
PMID- 27209666
TI - Pressure Ulcers Are Easy Pickings For Lawsuits.
PMID- 27209665
TI - New Regs Put Emphasis On Care Planning.
PMID- 27209667
TI - Facility Purchasers Require A Lot Of Paperwork.
PMID- 27209668
TI - Insurers should stick to insurance.
PMID- 27209669
TI - The presidential candidates and health care.
PMID- 27209670
TI - Sound familiar?
PMID- 27209671
TI - It's time to sound the alarm!
PMID- 27209673
TI - Where we practice: Augusta.
PMID- 27209672
TI - Keep the focus on the patient.
PMID- 27209674
TI - CTE - Learning what we don't know.
PMID- 27209675
TI - The secret language of doctors.
PMID- 27209676
TI - Georgia's new medical marijuana law.
PMID- 27209677
TI - Why documentation matters.
PMID- 27209678
TI - Methadone for opiate-naive patient leads to deadly outcome.
PMID- 27209679
TI - Compliance issues in marketing physician practices.
PMID- 27209680
TI - Important changes in the MPFS.
PMID- 27209681
TI - Life lessons: a continuation of lessons of 59 years in medicine.
PMID- 27209682
TI - Sports Eye Safety Month.
PMID- 27209683
TI - The Art, Science, and Soul of Healthcare Professionals.
PMID- 27209684
TI - Retinal Imaging: Past, Present, and Future.
AB - Summary The field of retinal imaging has grown in leaps and bounds over the past
10 years. As these new technologies allow us to visualize different pathologies
and anatomy, it is critical that we take the time to establish a consensus on
what is normal, in order to define what is abnormal. We might consider how this
technology affects the diagnosis and treatment of eye disorders and diseases, and
ultimately, most importantly, the patient.
PMID- 27209685
TI - A Role for Children in Health Research.
AB - Children are not passive recipients of knowledge but active creators of their own
understandings of their world (Hampshire et al., 2012). The competent child has a
right to full participation in research as in other areas of their lives, with
full value in the present, not just as a future adult citizen (Quennerstedt,
2013). While research studies with child participants demand additional
considerations, there is great potential for notable impacts on the lives and
well-being of children.
PMID- 27209686
TI - Ocular Pharmacology.
AB - Medications are a common treatment for glaucoma. Pharmacologic agents include
sympathomimetics, beta-blockers, miotics (direct acting and cholinesterase
inhibitors), carbonic anhydrase inhibitors, and prostaglandin agonists. Other
agents include hyperosmotic agents and nonsteroidal anti-inflammatory drugs.
Members of the ophthalmic health-care team, with heightened attention to patient
safety, prescribe, administer, monitor side effects, and educate patients on
glaucoma medications.
PMID- 27209687
TI - Just Another Trauma Case in the Ophthalmic Emergency Room.
PMID- 27209688
TI - Improving Healthcare Experiences for Visually Impaired Service Members and
Veterans: A Multidisciplinary Joint Agency Collaboration.
PMID- 27209689
TI - The ADA and Its Amendment. Impact on All Nurses.
PMID- 27209690
TI - Brain Trauma and Vision Problems.
PMID- 27209691
TI - Racing Kona: Blind Triathlete Fulfills Childhood Dream.
PMID- 27209692
TI - Veronica Arellano RN, BSN, CRNO, CLNC.
PMID- 27209693
TI - Potential of pigment epithelium-derived factor (PEDF) as a bone regenerative
biopharmaceutical.
AB - Bone is very much a dynamic tissue, capable of various functions not limited to
protection of the marrow, serving as a reservoir for calcium, maintaining posture
and facilitating mobility. It is also a tissue that is fully capable of
regenerating itself at most stages of life, with a diminishing capacity with
increasing age. Bone defects can arise from a variety of factors not limited to
bone tumours and fractures. At present, clinically, most diseased bone is removed
and the patient fitted with prosthetics, with use of certain factors such as bone
morphogenetic proteins (BMPs) to aid healing. Recently, the protein pigment
epithelium-derived factor (PEDF) has been found to have favourable effects on
bone regeneration, which is reviewed here. Numerous studies have shown the
potential of PEDF in vitro, with increasing reports of success in small animal
models of bone trauma. This review puts forward the advantages, and some
disadvantages, in the use of PEDF as a biopharmaceutical for bone regeneration.
PMID- 27209694
TI - A novel RP-HPLC method for the detection and quantification of roxithromycin in
topical delivery studies.
AB - A novel HPLC method with UV detection for the identification and quantification
of roxithromycin (ROX) during in vitro skin penetration studies has been
developed and validated. The method proved to be simple and rapid with isocratic
elution (flow rate: 1.0 mL/min) of ROX, using a C18 column and UV detection at
205 nm. The mobile phase consisted of 0.06 M potassium di-hydrogen orthophosphate
buffer (pH adjusted to 7.4 with sodium hydroxide) and acetonitrile in a 50:50
(v/v) ratio. This method showed linearity across the concentration range of 5 -
1000 MUg/mL with a correlation coefficient of 0.9999. An average recovery of
101.78% was obtained. Limit of detection (LOD) and lower limit of quantification
(LLOQ) values proved that ROX can still be detected at a concentration level of
0.3 MUg/mL and accurately quantified at a concentration of 0.5 MUg/mL. The
specificity testing during method validation proved that this method is suitable
for the accurate detection and quantification of ROX even when combined with
different compounds typically used during the formulation of topical delivery
systems.
PMID- 27209695
TI - Microwave-assisted digestion using nitric acid for heavy metals and sulfated ash
testing in active pharmaceutical ingredients.
AB - The monitoring of inorganic impurities in active pharmaceutical ingredients plays
a crucial role in the quality control of the pharmaceutical production. The heavy
metals and residue on ignition/sulfated ash methods employing microwave-assisted
digestion with concentrated nitric acid have been demonstrated as alternatives to
inappropriate compendial methods recommended in United States Pharmacopoeia (USP)
and European Pharmacopoeia (Ph. Eur.). The recoveries using the heavy metals
method ranged between 89% and 122% for nearly all USP and Ph. Eur. restricted
elements as well as the recoveries of sodium sulfate spikes were around 100% in
all tested matrices. The proposed microwave-assisted digestion method allowed
simultaneous decomposition of 15 different active pharmaceutical ingredients with
sample weigh up to 1 g. The heavy metals and sulfated ash procedures were
successfully applied to the determination of heavy metals and residue on
ignition/sulfated ash content in mycophenolate mofetil, nicergoline and
silymarin.
PMID- 27209696
TI - Carrier free dry powder formulation of sildenafil for potential application in
pulmonary arterial hypertension.
AB - The present study was designed to prepare sildenafil carrier free dry powder
inhalation (DPI) formulation for the treatment of idiopathic pulmonary arterial
hypertension (IPAH). Sildenafil DPI formulations were fabricated by spray drying
technique. The ideal formulation was optimized using different solvent type
(methanol, dimethyformamide and water), concentration (5 and 50 mg/mL) and pH (2
and 7.4) of feed solution. Particles size distribution, morphology and
crystallinity of fabricated microparticles were evaluated by scanning electron
microscopy (SEM) and differential scanning calorimetry (DSC) methods,
respectively. The aerosolization efficiency of formulations were assessed by next
generation impactor equipped with an Aerolizer. Results indicated that evaluated
variables had great impacts on powder characteristics which significantly
influenced aerosolization performance of formulations. The aerosolized fraction
of formulations was improved from 2 to 70% by changing in solvent type and drug
concentration in spray dryer feeding solution. Aerosolization performance of
powders were well correlated and interpreted by their morphologies as depicted
from SEM images. DSC results also indicated that crystallinity of all
formulations were reduced by spray drying procedure. Optimization of spray drying
technique for production of Sildenafil carrier free DPI formulation in this study
may pave a way for locally treatment of IPAH.
PMID- 27209697
TI - Compatibility of cholecalciferol, haloperidol, imipramine hydrochloride,
levodopa/carbidopa, lorazepam, minocycline hydrochloride, tacrolimus monohydrate,
terbinafine, tramadol hydrochloride and valsartan in SyrSpend SF PH4 oral
suspensions.
AB - A challenge with compounding oral liquid formulations is the limited availability
of data to support the physical, chemical and microbiological stability of the
formulation. This poses a patient safety concern and a risk for medication
errors. The objective of this study was to evaluate the compatibility of the
following active pharmaceutical ingredients (APIs) in 10 oral suspensions, using
SyrSpend SF PH4 (liquid) as the suspending vehicle: cholecalciferol 50,000 IU/mL,
haloperidol 0.5 mg/mL, imipramine hydrochloride 5.0 mg/mL, levodopa/carbidopa
5.0/1.25 mg/mL, lorazepam 1.0 mg/mL, minocycline hydrochloride 10.0 mg/mL,
tacrolimus monohydrate 1.0 mg/mL, terbinafine 25.0 mg/mL, tramadol hydrochloride
10.0 mg/mL and valsartan 4.0 mg/mL. The suspensions were stored both refrigerated
(2 - 8 degrees C) and at controlled room temperature (20 - 25 degrees C). This is
the first stability study for these APIs in SyrSpend SF PH4 (liquid). Further,
the stability of haloperidol,ilmipramine hydrochloride, minocycline, and
valsartan in oral suspension has not been previously reported in the literature.
Compatibility was assessed by measuring percent recovery at varying time points
throughout a 90 days period. Quantification of the APIs was performed by high
performance liquid chromatography (HPLC-UV). Given the percentage of recovery of
the APIs within the suspensions, the beyond-use date of the final preparations
was found to be at least 90 days for most suspensions both refrigerated and at
room temperature. Exceptions were: Minocycline hydrochloride at both storage
temperatures (60 days), levodopa/carbidopa at room temperature (30 days), and
lorazepam at room temperature (60 days). This suggests that compounded
suspensions of APIs from different pharmacological classes in SyrSpend SF PH4
(liquid) are stable.
PMID- 27209698
TI - Chronic administration of calcitriol enhanced neuregulin-1/ErbB signaling in rat
myocardium.
AB - Although emerging evidence suggests that vitamin D has beneficial effects in the
cardiovascular health, the underlying mechanisms are far from fully elucidated.
Given the indispensable role of neuregulin-1 (NRG1)/ErbB signaling in the
cardiovascular system, the present study investigated the influences of prolonged
administration of calcitriol, the active form of vitamin D, on the NRG1/ErbB
system. We examined the protein expression of NRG1, ErbB receptors (ErbB2 and
ErbB4) and their phosphorylated forms in the myocardium of rats following 6-week
administration of calcitriol (50 ng/kg/day or 100 ng/kg/day). We further assessed
the myocardial vitamin D receptor (VDR) to confirm the effect of calcitriol
treatment. Additionally, serum neuregulin-1 level was also analyzed. Generally,
calcitriol enhanced myocardial VDR expression and NRG1/ErbB signaling. Calcitriol
increased NRG1 protein level at the higher dose, while both doses promoted ErbB2
and phosphorylated ErbB2 expression. Although calcitriol has no significant
influence on ErbB4 expression, phosphorylated ErbB4 receptors were enhanced at
the higher dose. Furthermore, the serum neuregulin-1 concentration was increased
at both doses. Overall, our data firstly showed that chronic calcitriol
administration enhanced NRG1/ErbB signaling in the heart, indicating a novel
mechanism underlying the cardiac effects of vitamin D.
PMID- 27209699
TI - Inhibition of dual-specificity phosphatase 26 by ethyl-3,4-dephostatin: Ethyl-3,4
dephostatin as a multiphosphatase inhibitor.
AB - Protein tyrosine phosphatases (PTPs) regulate protein function by
dephosphorylating phosphorylated proteins in many signaling cascades and some of
them have been targets for drug development against many human diseases. There
have been many reports that some chemical inhibitors could regulate particular
phosphatases. However, there was no extensive study on specificity of inhibitors
towardss phosphatases. We investigated the effects of ethyl-3,4-dephostatin, a
potent inhibitor of five PTPs including PTP-1B and Src homology-2-containing
protein tyrosine phosphatase-1 (SHP-1), on thirteen other PTPs using in vitro
phosphatase assays. Of them, dual-specificity protein phosphatase 26 (DUSP26),
which inhibits mitogen-activated protein kinase (MAPK) and p53 tumor suppressor
and is known to be overexpressed in anaplastic thyroid carcinoma, was inhibited
by ethyl-3,4-dephostatin in a concentration-dependent manner. Kinetic studies
with ethyl-3,4-dephostatin and DUSP26 revealed competitive inhibition, suggesting
that ethyl-3,4-dephostatin binds to the catalytic site of DUSP26 like other
substrate PTPs. Moreover, ethyl-3,4-dephostatin protects DUSP26-mediated
dephosphorylation of p38, a member of the MAPK family, and p53. Taken together,
these results suggest that ethyl-3,4-dephostatin functions as a multiphosphatase
inhibitor and is useful as a therapeutic agent for cancers overexpressing DUSP26.
PMID- 27209700
TI - Effects of Acanthopanax senticosus polysaccharide on the proliferation, apoptosis
and cell cycle in human HepG2 cells.
AB - One water-soluble polysaccharide (ASPS), with four molecular weight distributions
of 74, 3.8, 4.5, 2.3 x 10(4) Da, was isolated from the root of Acanthopanax
senticosus and the yield was 4.8% (w/w). ASPS was composed of arabinose (51.4%),
glucose (24.5%), galactose (10.2%), xylose (5.7) and galacturonic acid (4.9%).
Effects of ASPS on the proliferation, apoptosis and Wnt/beta-catenin signaling
pathway were investigated in human hepatocellular carcinoma cell line HepG2
cells. The study showed that ASPS could inhibit the proliferation, increase the
apoptosis rate in HepG2 cells; meanwhile, ASPS could increase the proportion of
cells in G0/G1 phase, decrease the proportion of cells in S phase and G2/M phase,
and elevate the expression level of beta-catenin, C-myc and Cyclin D1 proteins in
HepG2 cells. These results indicate that ASPS has a certain inhibition on the
proliferation, can induce the apoptosis and G0/G1 phase arrest in HepG2 cells,
and the mechanism may be related to the inhibition of ASPS on the activation of
Wnt/beta-catenin pathway HepG2 cells.
PMID- 27209701
TI - Gemfibrozil not fenofibrate decreases systemic glucose level via PPARalpha.
AB - BACKGROUND: Concurrence of high glucose or diabetes in patients with dyslipidemia
is presenting major challenges for clinicians. Although sporadically reported, a
rational basis for the use of fibrates for the treatment of dyslipidemia with
concurrent metabolic syndrome has not been established. METHODS: In this study,
wild-type (WT) and Ppara-null (KO) mice were fed a serial gemfibrozil- and
fenofibrate-containing diet under the same experimental conditions for 14 days.
Glucose level in the blood, glycogen storage in the liver tissues, and the
potential toxic responses were assayed. Genes involved in glucose metabolism were
determined by quantitative polymerase chain reaction analysis. RESULTS: Both the
blood glucose level and the glycogen content in the liver were down-regulated by
gemfibrozil but not by fenofibrate in WT mice, in a dose-dependent manner. This
decrement did not occur in KO mice for either fibrate agent. Secondary regulation
on the transcription of pyruvate kinase, and gluconolactonase were observed
following gemfibrozil treatment, which was differential between WT mice and KO
mice. CONCLUSIONS: Gemfibrozil, not fenofibrate, down-regulates systemic glucose
level and glycogen storage in the liver dependent on PPARalpha, suggesting its
potential value for treatment of dyslipidemia with concurrent diabetes or high
glucose levels.
PMID- 27209702
TI - The combination use of 1-O-acetylbritannilactone (ABL) and gemcitabine inhibits
cell growth and induces cell apoptosis in lung adenocarcinoma cells.
AB - 1-O-acetylbritannilactone (ABL), a natural chemical component obtained from
Chinese traditional medicine, Inula britannica, has been demonstrated to have
anticancer activities. In the present study, we evaluated the anti-proliferative
and the pro-apoptotic abilities of ABL alone or in combination with gemcitabine
in human NSCLC cell line. A549 cells were treated, in vitro, with ABL,
gemcitabine, and the combination of ABL and gemcitabine for 72 h. Our results
showed ABL and gemcitabine inhibited cell growth and induced apoptosis of A549
cells. These effects after the combination of ABL and gemcitabine were superior
to those of each alone. Furthermore, signal transduction analysis revealed NF
kappaB expression was significantly decreased by ABL and the combination
treatment. IkappaBalpha and Bax levels were up regulated whereas Bcl-2 was
substantially downregulated after all treatments. Our findings suggest that ABL
combined with gemcitabine elicits a potent apoptosis of lung cancer cell and
hence ABL has the potential to be developed as a chemotherapeutic agent.
PMID- 27209704
TI - Ethnobotany, chemical constituents and biological activities of the flowers of
Hydnora abyssinica A.Br. (Hydnoraceae).
AB - Hydnora abyssinica A.Br. (Hydnoraceae), a holoparasitic herb, is for the first
time recorded for Abyan governorate of South Yemen. Flowers of this species were
studied for their ethnobotanical, biological and chemical properties for the
first time. In South Yemen, they are traditionally used as wild food and to cure
stomach diseases, gastric ulcer and cancer. Phytochemical analysis of the
extracts showed the presence of terpenes, tannins, phenols, and flavonoids. The
volatile components of the air-dried powdered flowers were identified using a
static headspace GC/MS analysis as acetic acid, ethyl acetate, sabinene, alpha
terpinene, (+)-D-limonene and gamma-terpinene. These volatile compounds that
characterize the odor and taste of the flowers were detected for the first time
in a species of the family Hydnoraceae. The flowers were extracted by n-hexane,
dichlormethane, ethyl acetate, ethanol, methanol and water. With exception of the
water extract all extracts demonstrated activities against Gram-positive bacteria
as well as remarkable radical scavenging activities in DPPH assay. Ethyl acetate,
methanol and water extracts exhibited good antifungal activities. The cytotoxic
activity of the extracts against FL cells, measured in neutral red assay, was
only weak (IC50 > 500 MUg/mL). The results justify the traditional use of the
flowers of Hydnora abyssinica in South Yemen.
PMID- 27209706
TI - Where was NYSDA when E-Prescribing was Passed?
PMID- 27209703
TI - Safety of an oral anticancer agent (trifluridine/tipiracil combination tablet) in
patients with advanced and recurrent colorectal cancer.
AB - We retrospectively studied the safety of trifluridine/tipiracil combination
tablet (TAS-102) monotherapy in patients with advanced and recurrent colorectal
cancer. Adverse events to TAS-102 monotherapy were observed in 22 out of 23 cases
(95.7%). The most frequent adverse events were neutropenia (69.6%), nausea
(53.2%), and malaise (30.4%). Treatment was postponed in 54 (59.3%) out of 91
courses, and in 34 (66.7%) of these 54 courses, the delay in treatment was due to
bone marrow suppression. Seven patients with peritoneal metastases suffered from
nausea, whilst none of the patients without peritoneal metastases had nausea (p =
0.0139). Nausea and vomiting during a previous chemotherapy cycle was
significantly associated with nausea after TAS-102 treatment (p = 0.0007), and
the treatment cycles were significantly longer in patients with grade 3 or 4
neutropenia (p = 0.0061). Our results suggest that the incidence of nausea was
higher in patients treated with TAS-102. Therefore, it is important to inform
patients of the risk of these toxicities and to provide enhanced supportive care.
Moreover, we recommend that, for patients with repeated treatment postponement
due to neutropenia, the dosage should be fixed based on therapeutic efficacy and
prognosis.
PMID- 27209705
TI - Beta vulgaris subspecies cicla var. flavescens (Swiss chard): flavonoids,
hepatoprotective and hypolipidemic activities.
AB - The novel flavonoids, 2",2"'-di-O-alpha-rhamnopyranosyl-vicenin II, a di-C
glycosyl flavone, and herbacetin 3-O-beta-xylopyranosyl- (1"' --> 2")-O-beta
glucopyranoside, were isolated from the leaves of Beta vulgaris subspecies cicla
L. var. flavescens, an edible plant which is consumed in the Mediterranean areas,
additional to the known flavonoids, 6-C-glucosyl isoscutellarein, vitexin-(1"' -
> 2")-O-beta-xylopyranosyl, vitexin-(1'" --> 2")-O-alpha-rhamnopyranosyI and
vitexin. All metabolites were established by conventional methods of analysis and
their structures were confirmed by spectroscopic analysis, including 1 D and 2D
NMR and by HR-ESIMS, as well. The extract of the plant leaves shows
hepatoprotective effects in rats intoxicated by administration of acetaminophen
and exhibits hypolipidemic activity in rats with high-fat-diet induced
hypercholesterolemia. The evaluation was done through measuring the liver
function enzymes (aspartate and alanine aminotransferases and alkaline
phosphatase, the lipid profile (total cholesterol, high density lipoprotein
cholesterol, low density lipoprotein cholesterol and triglycerides) and
histopathological analysis of liver slides.
PMID- 27209708
TI - Fine Effort Wasted?
PMID- 27209707
TI - How's that Contract Working for You?
PMID- 27209709
TI - Author reply.
PMID- 27209710
TI - Dab Alert.
PMID- 27209711
TI - Personal Perspective on Dental Service Organizations.
PMID- 27209712
TI - Perfection is Just a Moment in Time.
PMID- 27209713
TI - Wiping the Slate Clean.
PMID- 27209714
TI - A Survey of Oral Cancer Screening Insurance Coverage in New York City.
AB - Clinical studies show that fewer than 25% of people who visit a dentist regularly
are screened for oral cancer, and that the majority of oral cancers present at an
advanced stage, when cure rates are already abysmal. This study explores the
current status of oral cancer screening coverage among a variety of insurance
providers in New York City. The study focuses on determining the coverage and
frequency of the cluster of salient CDT (dental) codes surrounding oral cancer
screenings.
PMID- 27209715
TI - Treatment of Parotid Sialocele after Sustaining a Facial Injury. Case Report and
Literature Review.
AB - We are presenting the case of a patient who developed a parotid sialocele after
sustaining a facial injury. The patient was treated by creating a controlled
intraoral fistula that drained saliva into the oral cavity and led to resolution
of the sialocele. Articles were reviewed for discussion of current treatment
methods in managing parotid sialocele and fistula. The articles reported
successful management of parotid sialocele and fistula using both nonsurgical and
surgical methods. Treatment depends upon the location of the injury (ductal
injury vs. parenchymal injury) and the time of treatment (delayed vs. immediate).
PMID- 27209716
TI - Spontaneous Gingival Bleeding A Surprising and Sinister Etiology: A Case Report.
AB - It is rare for a dentist to be confronted with a situation where the immediate
well-being of a patient is dependent upon his or her diagnosis. Spontaneous
gingival bleeding, as in this case report, may present such a situation. The
patient had no remarkable medical history, was not taking medication and had a
recent (two weeks) normal CBC. Only by noticing petechiae on the patient's palate
and buccal mucosa was the dentist convinced of an underlying clotting problem. A
patient visit to his physician confirmed thrombocytopenia due to quinine in the
tonic water he was taking.
PMID- 27209717
TI - Burning Mouth Syndrome and "Burning Mouth Syndrome".
AB - Burning mouth syndrome is distressing to both the patient and practitioner unable
to determine the cause of the patient's symptoms. Burning mouth syndrome is a
diagnosis of exclusion, which is used only after nutritional deficiencies,
mucosal disease, fungal infections, hormonal disturbances and contact stomatitis
have been ruled out. This article will explore the many causes and treatment of
patients who present with a chief complaint of "my mouth burns," including
symptomatic treatment for those with burning mouth syndrome.
PMID- 27209718
TI - Ethics, Economics and Dentistry for Individuals with Disabilities in New York
State.
AB - The ADA Principles of Ethics and Code of Professional Conduct is an expression of
the obligation occurring between the profession and society to meet the oral
health needs of the public. At a time of economic concerns for the profession,
suggestions are made to bring together the ethics of the profession and the need
to expand services to underserved populations, including individuals with
disabilities and the poor. The profession's effort to secure economic support for
such an effort is possible with increased legislative awareness of the magnitude
of the problem. To this end, the number of individuals with disabilities was
developed for each Congressional district in New York State in an effort to
challenge members of Congress to recognize the need in terms of their
constituents, rather than in terms of the tens of millions with disabilities in
the United States-which become "just numbers," not actual people.
PMID- 27209719
TI - Surgical Management of Implant-Associated Gingival Fenestrations.
AB - Soft tissue fenestrations are extremely rare in the natural dentition and have
only recently been reported on dental implants. Although the pathogenesis of
their formation is not completely understood, several predisposing risk factors
have been identified, some of which may affect dental implants as well. This
article presents a thorough review of the literature pertaining to gingival
fenestration. It also describes the surgical management of lesions developed
approximately six years following osseointegration of two dental implants.
PMID- 27209720
TI - [The Measuring Method of Atomic Polarization of Alkali Metal Vapor Based on
Optical Rotation and the Analysis of the Influence Factors].
AB - High sensitivity measurements of inertia and magnetic field could be achieved by
utilizing a category of devices, which manipulate the atomic spins in the spin
exchange-relaxation-free regime. The alkali cell which contains the alkali metal
vapor is used to sense magnetic field and inertia. The atomic number density of
alkali vapor and the polarization of alkali metal vapor are two of the most
important parameters of the cell. They play an important role in the research on
atomic spins in the spin-exchange-relaxation-free regime. Besides, optical
polarization plays an important role in quantum computing and atomic physics. We
propose a measurement of alkali vapor polarization and alkali number density by
detecting the optical rotation in one system. This method simplifies existing
experimental equipment and processes. A constant bias magnetic field is applied
and the Faraday rotation angle is detected by a bunch of the probe beam to deduce
alkali-metal density. Then the magnetic field is closed and a bunch of the pump
laser is utilized to polarize alkali-metal. Again, the probe beam is utilized to
obtain the polarization of alkali metal. The alkali density obtained at first is
used to deduce the polarization. This paper applies a numerical method to analyze
the Faraday rotation and the polarization rotation. According to the numerical
method, the optimal wavelength for the experiment is given. Finally, the
fluctuation of magnetic field and wavelength on signal analysis are analyzed.
PMID- 27209721
TI - [Atmospheric Influences Analysis on the Satellite Passive Microwave Remote
Sensing].
AB - Passive microwave remote sensing offers its all-weather work capabilities, but
atmospheric influences on satellite microwave brightness temperature were
different under different atmospheric conditions and environments. In order to
clarify atmospheric influences on Advanced Microwave Scanning Radiometer-Earth
Observing System (AMSR-E), atmospheric radiation were simulated based on AMSR-E
configuration under clear sky and cloudy conditions, by using radiative transfer
model and atmospheric conditions data. Results showed that atmospheric water
vapor was the major factor for atmospheric radiation under clear sky condition.
Atmospheric transmittances were almost above 0.98 at AMSR-E's low frequencies (<
18.7 GHz) and the microwave brightness temperature changes caused by atmosphere
can be ignored in clear sky condition. Atmospheric transmittances at 36.5 and 89
GHz were 0.896 and 0.756 respectively. The effects of atmospheric water vapor
needed to be corrected when using microwave high-frequency channels to inverse
land surface parameters in clear sky condition. But under cloud cover or cloudy
conditions, cloud liquid water was the key factor to cause atmospheric radiation.
When sky was covered by typical stratus cloud, atmospheric transmittances at
10.7, 18.7 and 36.5 GHz were 0.942, 0.828 and 0.605 respectively. Comparing with
the clear sky condition, the down-welling atmospheric radiation caused by cloud
liquid water increased up to 75.365 K at 36.5 GHz. It showed that the atmospheric
correction under different clouds covered condition was the primary work to
improve the accuracy of land surface parameters inversion of passive microwave
remote sensing. The results also provided the basis for microwave atmospheric
correction algorithm development. Finally, the atmospheric sounding data was
utilized to calculate the atmospheric transmittance of Hailaer Region, Inner
Mongolia province, in July 2013. The results indicated that atmospheric
transmittances were close to 1 at C-band and X-band. 89 GHz was greatly
influenced by water vapor and its atmospheric transmittance was not more than
0.7. Atmospheric transmittances in Hailaer Region had a relatively stable value
in summer, but had about 0.1 fluctuations with the local water vapor changes.
PMID- 27209722
TI - [Identification of Official Rhubarb Samples by Using PLS and Terahertz Time
Domain Spectroscopy].
AB - The development of terahertz technology is attracting broad intention in recent
years. The quality identification is important for the quality control of Chinese
medicine production. In the present work, terahertz time-domain spectroscopy (THz
TDS) combined with partial least squares (PLS) were used for the identification
model building and studied based on 41 official and unofficial rhubarb samples.
First, the THz-TDS spectra of rhubarb samples were collected and were
preprocessed by using chemometrics methods rather than transformed to absorption
spectra. The identification models were then established based on the processed
terahertz time domain spectra. The spectral preprocessing methods include
Savitzky-Golay (S-G) first derivative, detrending, standard normal transformation
(SNV), autoscaling, and mean centering. The identification accuracy of 90% was
accomplished by using proper pretreatment methods, which was higher than the
classified accuracy of 80% without any preprocessing for the time domain spectra.
The component number of the PLS model was evaluated by leave-one-out cross
validation (LOOCV). The minimum values of the root-mean squared error of cross
validation (RMSECV) and root-mean squared error of prediction (RMSEP) were 0.076
6 and 0.169 0 by using mean centering method, respectively. The results of this
work showed that the combination of terahertz time domain spectroscopy technology
with chemometrics methods, as well as PLS can be applied for the recognition of
genuine and counterfeit Chinese herbal medicines, as well as official and
unofficial rhubarbs. The advantage of using terahertz time domain spectra
directly with no transformation into absorption spectra is: (1) the thickness of
samples could not be considered in the model establishment, and (2) the spectral
processing was simplified. The proposed method based on the combination of THz
TDS and chemometrics proved to be rapid, simple, non-pollution and solvent free,
suitable to be developed as a promising tool for quality control of many other
Chinese herbal medicines.
PMID- 27209723
TI - [The Detection of Ultra-Broadband Terahertz Spectroscopy of InP Wafer by Using
Coherent Heterodyne Time-Domain Spectrometer].
AB - Indium Phosphide (InP) has attracted great physical interest because of its
unique characteristics and is indispensable to both optical and electronic
devices. However, the optical property of InP in the terahertz range (0. 110 THz)
has not yet been fully characterized and systematically studied. The former
researches about the properties of InP concentrated on the terahertz frequency
between 0.1 and 4 THz. The terahertz optical properties of the InP in the range
of 4-10 THz are still missing. It is fairly necessary to fully understand its
properties in the entire terahertz range, which results in a better utilization
as efficient terahertz devices. In this paper, we study the optical properties of
undoped (100) InP wafer in the ultra-broad terahertz frequency range (0.5-18 THz)
by using air-biased-coherent-detection (ABCD) system, enabling the coherent
detection of terahertz wave in gases, which leads to a significant improvement on
the dynamic range and sensitivity of the system. The advantage of this method is
broad frequency bandwidth from 0.2 up to 18 THz which is only mainly limited by
laser pulse duration since it uses ionized air as terahertz emitter and detector
instead of using an electric optical crystal or photoconductive antenna. The
terahertz pulse passing through the InP wafer is delayed regarding to the
reference pulse and has much lower amplitude. In addition, the frequency spectrum
amplitude of the terahertz sample signal drops to the noise floor level from 6.7
to 12.1 THz. At the same time InP wafer is opaque at the frequencies spanning
from 6.7 to 12.1 THz. In the frequency regions of 0.8-6.7 and 12.1-18 THz it has
relativemy low absorption coefficient. Meanwhile, the refractive index increases
monotonously in the 0.8-6.7 THz region and 12.1-18 THz region. These findings
will contribute to the design of InP based on nonlinear terahertz devices.
PMID- 27209724
TI - [The Influence of Deposition Pressure on the Properties of Hydrogenated Amorphous
Silicon Thin Films].
AB - Hydrogenated amorphous silicon (a-Si:H) thin films on soda-lime glass substrates
were deposited by plasma enhanced chemical vapor deposition (PECVD) using
disilane and hydrogen as source gases. To study the influence of deposition
pressure on the deposition rate, optical band gap and structure factor, a surface
profilometer, an ultraviolet-visible spectrometer, a Fourier transform infrared
(FTIR) spectrometer and a scanning electron microscopy (SEM) were used to
characterize the deposited thin films. It is found that the deposition rate
firstly increased and then decreased and the optical band gap monotonically
decreased with the increasing deposition pressure. Moreover, the formation of SiH
bond was preferable to the formation of SH2 or SiH3 bond when the deposition
pressure was less than 210 Pa, while it was opposite when the deposition pressure
is higher than 210 Pa. Finally, the deposition pressure in the range of 110~210
Pa was found to be more suitable for the preparation of high quality a-Si:H thin
films.
PMID- 27209725
TI - [Study on the Effects of Alq3:CsF Composite Cathode Buffer Layer on the
Performances of CuPc/C60 Solar Cells].
AB - This paper introduces the methods improving the performance and stability of
copper-phthalocyanine(CuPc) / fullerene (C60) small molecule solar cells by using
tris-(8-hydroxyquinoline) aluminum(Alq3): cesium fluoride(CsF) composite cathode
buffer layer. The device with Alq3:CsF composite cathode buffer layer with a 4
wt. % CsF at a thickness of 5 nm exhibits a power conversion efficiency (PCE) of
up to 0.76%, which is an improvement of 49%, compared to a device with single
Alq3 cathode buffer layer and half-lifetime of the cell in air at ambient
circumstance without any encapsulation is almost 9.8 hours, 6 times higher than
that of without buffer layer, so the stability is maintained. The main reason of
the device performance improvement is that doping of CsF can adjust the interface
energy alignment, optimize the electronic transmission characteristics of Alq3
and improve the short circuit current and the fill factor of the device using
ultraviolet-visible absorption, external quantum efficiency and single-electron
devices. Placed composite cathode buffer layer devices with different time in the
air, by comparing and analyzing current voltage curve, Alq3:CsF can maintain a
good stability as Alq3. Alq3:CsF layer can block the diffusion of oxygen and
moisture so completely as to improve the lifetime of the device.
PMID- 27209726
TI - [Preparation and Performances of the M'-Type LuTaO4:Eu3+ Transparent Scintillator
Films].
AB - X-ray imaging has a very important role in life sciences and material
microstructure analysis and other applications. One of the core components of X
ray imaging equipment is the X-rays-visible light conversion screen. Flashing
transparent film is an effective way to achieve high spatial resolution X-ray
imaging. M'-type LuTaO4: Eu3+ is an excellent scintillation material. It has high
light yield, high density, good radiation hardness and good chemical stability.
Therefore, to research and develop the transparent conversion screen with M'-type
LuTaO4: Eu+ is very important for the application of X-ray detector in high
spatial resolution X-ray imaging. In this paper, the M'-type LuTaO4:Eu3+
transparent scintillator films were successfully prepared from the inorganic salt
and 2-methoxyethanol solution containing polyvinylpyrrolidone (PVP) via sol-gel
technique, and transmittance, photoluminescence, X-ray excitation emission
spectral and spatial resolution, and a series of film properties were
characterized. A film thickness of about 2.1 um was achieved after 8 coatings.
The thick film was homogeneous and crack free, and the transmittance was
approximately 70% in its emission region. The spatial resolution of the thick
film was 1.5 um, which measured by the standard spatial resolution panels. An X
ray imageof fruit fly was obtained by using this thick film. Additionally, thesol
gel derived M'-type LuTaO4:Eu3+ thick film revealed excellent photoluminescence
and X-ray excited luminescence per- formances. All results indicated that the M'
type LuTaO4:Eu3+ thick films have satisfied the essential requirements for
applications in high-spatial-resolution X-ray imaging.
PMID- 27209727
TI - [Study on Hydrothermal Preparation and Luminescence Properties of Luminescent
Material BaSrMg(PO4)2:Eu3+].
AB - Eu3+ doped BaSrMg (PO4)2 were prepared by a hydrothermal method. The crystal
structure and morphology of BaSrMg(PO4)2:Eu3+ phosphor were characterized by X
ray powder diffraction (XRD) and field emission scanning electron microscopy
(FESEM). The effects of different pH values (5, 6, 7 and 8) and different
reaction temperatures (120, 140, 160, 180 and 200 degrees C) on the crystal
structure and morphology of BaSrMg(PO4)2:Eu3+ phosphor were studied in this
paper. The results of XRD indicate that diffraction peaks are sharp and strong
only when pH value is 6, meanwhile the FESEM shows the morphology is regular
shaped. The XRD patterns show amorphous halos superimposed with several weak
sharp peaks for the samples preparing under the pH values of 5, 7 and 8. It
indicates that these three samples are solid solution or mixed phases, which are
in accord with the results of FESEM. From the fluorescence spectra, the peaks in
the excitation spectra were assigned to the transition from 7F0 to 5D4, 5L8, 5L6
and 5D2, while the peaks of emission spectra corresponding to the transition of
5D1 --> 7F1 and 5D0-->7Fj (J = 0, 1, 2, 3 and 4). The strongest emission peak of
the optimized phosphor located at 613 nm (5D0--> 7F2), excited by the main
excitation peak with wavelength of 394 nm. The splitting of the emission peaks
changes depends on pH values and temperatures, which indicating that luminescence
properties is closely related to the crystal structure and morphology of
particles.
PMID- 27209728
TI - [Fluorescence Properties and the Detection of Benzaldehyde of Lanthanide Complex
with 2-Sufoterephthalalic Acid].
AB - A new lanthanide coordination polymer, [Eu(2-stp) (2,2'-bipy) (H2O)] . H2O (2-stp
= 2-sufoterephthalalic acid, 2, 2'-bipy=2,2'-bipyridine) was obtained by
hydrothermal method. The crystal structure was determined by single crystal X-ray
diffraction. The complex has a one-dimensional parallel double-chain structure.
Eu3+ is a nine-coordinated by six O atoms from three 2-sufoterephthalalic acids,
one water molecule and two N atoms from 2,2'-bipyridine. The fluorescence
properties of the complex were studied. The complex shows the characteristics
narrow emission of ion at 581, 594, 619, 654 and 698 nm, corresponding to 5D0-
>Fj (J = 0-4) transitions. The strongest emission peak is at 619 nm,
corresponding to 5D0-F2 transition for red light. Different organic solvents have
different effects on the fluorescence intensity of the complex, and enzaldehyde
exerts the most significant fluorescence quenching effect. So, this complex can
be used as a fluorescent sensing probe for benzaldehyde.
PMID- 27209729
TI - [Research on the Highly Stable White LED with CdSe/ZnS Quantum Dot as Light
Conversion Layer].
AB - In accordance with the one-step synthesis, in this paper, we synthesized 510, 550
and 630 nm three emission peaks CdSe/ZnS core-shell quantum dots with high
stability and high quantum yield whose quantum yield were 82%, 98% and 97%. We
used the quantum dot material to replace the phosphor material, and mixed QDs
with the silicone uniformly, then dispersed the QDs/silicone composites onto the
blue InGaN LEDs to fabricate the QDs-WLEDs. By successively adding different
colors of quantum dots for the preparation of quantum dot light converting layer,
We investigated that how does the ratio of the three kind of quantum dots whose
peaks were 510, 550 and 630 nm effect on the properties of the white LED devices.
This paper also studied the mechanism of energy conversion between different
colors of quantum dots. We also utilized the mechanism that the quantum dots
effect on the white spectrum and color coordinates; we got the results of the
optimization of the white device and the ratio of three-color quantum dots. The
results show that when the quantum dot ratio is 24:7:10, white LED devices with
high stability and high efficiency can be obtained, in the current range of 20
200 mA, the range of color temperature is from 4 607 to 5 920 K, the CIE-1931
coordinates is from (0.355 1,0.348 3) to (0.323 4, 0.336 1), the color rendering
index is from 77. 6 to 84. 2, and the highest power efficiency of the devices
achieves to 31.69 lm . W-1 @ 20 mA. In addition, in order to further investigate
the reason of stable device performance, We studied the effects of time,
temperature, UV treatment on the stability of CdSe/ZnS QDs/silicone light
conversion material, the results show that the excellent stability of the devices
attributes to the stability of the one-step synthesis of core-shell structure of
the quantum dot material, the final optimized device is a low-power high-quality
white light source and the device has good application prospects in the field of
standard white light source which can truly perceive the color and original
features of objects.
PMID- 27209730
TI - [Testing Research of Transient Temperature Distribution for the Barrel Surface by
Speckle Pattern Interferometry].
AB - There are some problems in the traditional transient temperature test equipment.
The thermal inertia is great, and can only be a single point of detection. To be
able to achieve real-time monitoring for transient temperature distribution
change of the gun body surface, the test system for transient temperature
distribution was designed based on Speckle Pattern Interferometry (SPI) and
spectroscopy. In the system, transient temperature change of the barrel led to
slight deformation, and it was converted into speckle interference fringes by SPI
technology. Spectral distribution function was obtained by the interference
fringes by the Fourier transform, so the information of interference fringe
deformation was incorporated into the frequency domain. The data of temperature
distribution can be inverted on any sampling time by spectral distribution
function. In experiments, the ZX-FB1 fiber optic thermometer was used to test
transient temperature on a single point as the standard value. The center
wavelength of the laser was 555 nm, and the speckle pattern interference fringes
were collected by area array CCD. Image Recognition-Speckle Pattern
Interferometry (IR-SPI) and Fourier Transform-Speckle Pattern Interferometry (FT
SPI) were used in experiments, the calculation of transient temperature was
completed through two methods. Experimental results are that both methods can
achieve transient temperature detection. But the FT-SPI is higher in terms of
accuracy, and it can effectively overcome the gross error caused by the surface
defects, paint wear and other similar problems.
PMID- 27209731
TI - [Air Dielectric Barrier Discharge Emission Spectrum Measurement and Particle
Analysis of Discharge Process].
AB - The emission spectrum detection and diagnosis is one of the most common methods
of application to the plasma. It provides wealth of information of the chemical
and physical process of the plasma. The analysis of discharge plasma dynamic
behavior plays an important role in the study of gas discharge mechanism and
application. An air dielectric discharge spectrum measuring device was designed
and the emission spectrum data was measured under the experimental condition. The
plasma particles evolution was analyzed from the emission spectrum. The numerical
calculation model was established and the density equation, energy transfer
equation and the Boltzmann equation was coupled to analyze the change of the
particle density to explain the emission spectrum characteristics. The results
are that the particle density is growing with the increasing of reduced electric
field. The particle density is one or two orders of magnitude difference for the
same particle at the same moment for the reduced electric field of 40, 60 or 80
Td. A lot of N2 (A3), N2 (A3) and N2 (C3) particles are generated by the electric
field excitation. However, it transforms quickly due to the higher energy level.
The transformation returns to the balance after the discharge of 10-6 s. The
emission spectrometer measured in the experiments is mostly generated by the
transition of excited nitrogen. The peak concentration of O2 (A1), O2 (B1) and O2
(A3 ?+u) is not low compared to the excited nitrogen molecules. These particles
energy is relatively low and the transition spectral is longer. The spectrometer
does not capture the oxygen emission spectrum. And the peak concentration of O
particles is small, so the transition emission spectrum is weak. The calculation
results of the stabled model can well explain the emission spectrum data.
PMID- 27209732
TI - [Study on Hexagonal Super-Lattice Pattern with Light Spot and Dim Spot in
Dielectric Barrier Discharge by Optical Emission Spectra].
AB - The hexagonal super-lattice pattern composed of the light spot and the dim spot
is firstly observed and investigated in the discharge of gas mixture of air and
argon by using the dielectric barrier discharge device with double water
electrodes. It is found that the dim spot is located at the center of its
surrounding three light spots by observing the discharge image. Obviously, the
brightness of the light spot and the dim spot are different, which indicates that
the plasma states of the light spot and the dim spot may be different. The
optical emission spectrum method is used to further study the several plasma
parameters of the light spot and the dim spot in different argon content. The
emission spectra of the N2 second positive band (C3IIu --> B3IIg) are measured,
from which the molecule vibration temperatures of the light spot and the dim spot
are calculated. Based on the relative intensity ratio of the line at 391.4 nm and
the N2 line at 394.1 nm, the average electron energies of the light spot and the
dim spot are investigated. The broadening of spectral line 696.57 nm (2P2-1S5) is
used to study the electron densities of the light spot and the dim spot. The
experiment shows that the molecule vibration temperature, average electron energy
and the electron density of the dim spot are higher than those of the light spot
in the same argon content. The molecule vibration temperature and electron
density of the light spot and dim spot increase with the argon content increasing
from 70% to 95%, while average electron energies of the light spot and dim spot
decrease gradually. The short-exposure image recorded by a high speed video
camera shows that the dim spot results from the surface discharges (SDs). The
surface discharge induced by the volume discharge (VD) has the decisive effect on
the formation of the dim spot. The experiment above plays an important role in
studying the formation mechanism of the hexagonal super-lattice pattern with
light spot and dim spot. In addition, the studies exert influences on the
application of surface discharge and volume discharge in different fields.
PMID- 27209733
TI - [Study on Square Super-Lattice Pattern with Surface Discharge in Dielectric
Barrier Discharge by Optical Emission Spectra].
AB - Square super-lattice pattern with surface discharge consisting of central spots
and dim spots is firstly observed in the mixture of argon and air by using a
dielectric barrier discharge device with water electrodes. By observing the
image, it is found that the central spot is located at the centriod of its
surrounding four dim spots. The short-exposure image recorded by a high speed
video camera shows that the dim spot results from the surface discharges (SDs).
The brightness of the central spot and is quite different from that of the dim
spot, which indicates that the plasma states of the central spot and the dim spot
may be differentiated. The optical emission spectrum method is used to further
study the several plasma parameters of the central spot and the dim spot in
different argon content. The emission spectra of the N2 second positive band
(C3IIu --> B3 IIg) are measured, from which the molecule vibration temperatures
of the central spot and the dim spot are calculated respectively. The broadening
of spectral line 696.57 nm (2P2-->1S5) is used to study the electron densities of
the central spot and the dim spot. It is found that the molecule vibration
temperature and electron density of the dim spot are higher than those of the
central spot in the same argon content The molecule vibration temperature and
electron density of the central spot and the dim spot increase with the argon
content increasing from 90% to 99.9%. The surface discharge induced by the volume
discharge (VD) has the determinative effect on the formation of the dim spot The
experimental results above play an important role in studying the formation
mechanism of surface discharg&of square super-lattice pattern with surface
discharge. In addition, the studies exert an influence on the application of
surface discharge and volume discharge in different fields.
PMID- 27209734
TI - [Spectroscopic Study of Salbutamol Molecularly Imprinted Polymers].
AB - In order to solve the problem of on-site rapid detection of salbutamol residues
in feed and animal products, and develop a new method of fast detection of
salbutamol on the basis of the molecular imprinting technology, this article uses
the salbutamol (SAL) working as template molecule, methacrylic acid (MAA) working
as functional monomer. On this basis, a new type of core-shell type salbutamol
molecularly imprinted polymers were prepared with colloidal gold particles as
triggering core. Superficial characteristics of the MIPs and the related
compounds were investigated by ultraviolet (UV) spectra and infrared (IR)
spectra, Raman spectra, Scanning electron microscopy (SEM) respectively. The
results indicated that a stable hydrogen bonding complex has been formed between
the carboxyl groups of SAL and MA with a matching ratio of 1:1. The complex can
be easily eluted by the reagent containing hydrogen bonding. The chemical binding
constant K reaches -0.245 x 106 L2 . mol-2. The possible binding sites of the
hydrogen bonding was formed between the hydrogen atoms of -COOH in MA and the
oxygen atoms of C==O in SAL. IR and Raman spectrum showed that, compared with MA,
a significant red shift of -OH absorption peak was manifested in MIPs, which
proved that SAL as template molecule occurred a specific bond between MA. Red
shift of stretching vibration absorption peak of C==O was also detected in the un
eluted MIPs and obvious energy loss happened, which demonstrated a possible
binding sites is SAL intramolecular of C==O atom of oxygen. If the hydrogen atoms
of -COOH in MA wanted to generate hydrogen bond. However, the shapes of
absorption peak of other functional groups including C==C, C==O, and -OH were
very similar both in MIPs and NIPs. Specific cavities were formed after the
template molecules in MIPs were removed. It was proved by the adsorption
experiment that the specific sites in these cavities highly match with the
chemical and space structure of SAL. Besides, colloidal gold type core-shell
molecularly imprinted polymers have looser surface, more cavities in the surface
compared with ordinary molecularly imprinted polymers, which increased the
effective area of adsorption to target molecules. So it have better performance
in adsorption. Based on the principle that these cavities can specificly
recognize and combine with target molecule in the test sample, and the excellent
ability of colloidal gold core-shell molecularly imprinted polymers, the
development of novel methods for fast determination of SAL based on the molecular
imprinting technology can be expected in the near future.
PMID- 27209735
TI - [Use of Near-Infrared Hyperspectral Images to Differentiate Architectural
Coatings with Different Qualities].
AB - Architectural coatings sold in market fall into many categories which mean
different models and qualities. The research plans to differentiate different
kinds of architectural coatings in quality using hyperspectral technology. Near
Infrared hyperspectral images of four kinds of architectural coatings (in a
descending quality order of brand A, B, C, and D) in same color were acquired.
The optimal wavelengths were selected at 1283 and 2447 nm to differentiate the
four kinds of coatings through ANOVA (Analysis of Variance) method. The band
ratio index of R1283/R2447 was built and the results were segmented into the
corresponding coatings, and the accuracies of segmentation were compared with
that from Maximum Likely Classification (MLC). The results indicated all J-M
distances are more than 1.8 except between C and D; the lowest accuracy of 87.54%
in segmentation and 95.63% in MLC were both from brand D, and others' accuracies
all were over 90% in both ratio index and MLC. Therefore, the ratio index
R1283/R2447 could be used to distinguish different kinds of architectural
coatings. Also, the research could provide support for identification, quality
acceptance, as well as conformity assessment of architectural coatings.
PMID- 27209736
TI - [Local Regression Algorithm Based on Net Analyte Signal and Its Application in
Near Infrared Spectral Analysis].
AB - Abstract To overcome the problems of significant difference among samples and
nonlinearity between the property and spectra of samples in spectral quantitative
analysis, a local regression algorithm is proposed in this paper. In this
algorithm, net signal analysis method(NAS) was firstly used to obtain the net
analyte signal of the calibration samples and unknown samples, then the Euclidean
distance between net analyte signal of the sample and net analyte signal of
calibration samples was calculated and utilized as similarity index. According to
the defined similarity index, the local calibration sets were individually
selected for each unknown sample. Finally, a local PLS regression model was built
on each local calibration sets for each unknown sample. The proposed method was
applied to a set of near infrared spectra of meat samples. The results
demonstrate that the prediction precision and model complexity of the proposed
method are superior to global PLS regression method and conventional local
regression algorithm based on spectral Euclidean distance.
PMID- 27209737
TI - [Analysis and Discrimination of the Medicinal Plants Swertia Davidi Franch Based
on Infrared Spectroscopy].
AB - Fourier-transform infrared spectroscopy combined with partial least squares
discriminate analysis (PLS-DA) and hierarchical cluster analysis (HCA) were used
to rapidly discriminate the Swertia davidi Franch which collected from different
origins. The original infrared spectra data of different parts of all the 70
samples which collected from four different regions were preprocessed by
automatic calibration, automatic smoothing, the first derivative and the,second
derivative. Then the processed data were imported into OMNIC 8.2 and the
absorption peaks were compared; PLS-DA was performed by SIMCA-P+ 10.0 and the
effect of discrimination of different origins was compared by 3D score plot of
the first three principal components; the infrared spectral data were imported
into SPSS 19. 0 for HCA to compare classification results of different parts by
the dendrogram. The results showed that: (1) There were differences among the
spectra of the roots of different origins in the spectral peaks in 1,739, 1,647,
1,614, 1,503, 1,271, 1,243, 1,072 cm-1. The spectra of the stems of different
origins showed differentiation in the wavelength in 1 503, 1 270, 1 246 cm-1; (2)
The characteristic peaks of different parts of the same origin were different;
(3) PLS-DA indicated that the data which were processed by automatic correction,
automatic smoothing and second derivative have showed the best classification. In
addition, the discrimination of roots which collected from different origins
could be the best; (4) Tree diagram of HCA showed that the accuracy rate of
cluster in roots, stems and leaves were 83%, 56%, and 70%, respectively. In
conclusion: FTIR combined with PLS-DA and HCA can rapidly and accurately
differentiate S. davidi that collected from different origins, the origin
discrimination effect of different parts was clearly different that the
classification of roots is the best, the second derivative could enhance the
specificity of the samples, the classification in 3D score plot could be
visualized and obvious.
PMID- 27209738
TI - [Study on Application of NIR Spectral Information Screening in Identification of
Maca Origin].
AB - Medicinal and edible plant Maca is rich in various nutrients and owns great
medicinal value. Based on near infrared diffuse reflectance spectra, 139 Maca
samples collected from Peru and Yunnan were used to identify their geographical
origins. Multiplication signal correction (MSC) coupled with second derivative
(SD) and Norris derivative filter (ND) was employed in spectral pretreatment.
Spectrum range (7,500-4,061 cm-1) was chosen by spectrum standard deviation.
Combined with principal component analysis-mahalanobis distance (PCA-MD), the
appropriate number of principal components was selected as 5. Based on the
spectrum range and the number of principal components selected, two abnormal
samples were eliminated by modular group iterative singular sample diagnosis
method. Then, four methods were used to filter spectral variable information,
competitive adaptive reweighted sampling (CARS), monte carlo-uninformative
variable elimination (MC-UVE), genetic algorithm (GA) and subwindow permutation
analysis (SPA). The spectral variable information filtered was evaluated by model
population analysis (MPA). The results showed that RMSECV(SPA) > RMSECV(CARS) >
RMSECV(MC-UVE) > RMSECV(GA), were 2. 14, 2. 05, 2. 02, and 1. 98, and the
spectral variables were 250, 240, 250 and 70, respectively. According to the
spectral variable filtered, partial least squares discriminant analysis (PLS-DA)
was used to build the model, with random selection of 97 samples as training set,
and the other 40 samples as validation set. The results showed that, R2: GA > MC
UVE > CARS > SPA, RMSEC and RMSEP: GA < MC-UVE < CARS 0.999) over the
concentration range of 0.02-100 mg . L-1, with a limit of detection of 5.48 and
9.76 ug . L-1 for 32S16O42- and 34S16O42-, respectively. The Chinese herbal
medicines was treated using microwave digestion added 6 mL nitric acid and 2 mL
hydrogen peroxide before analysis. The amount of nitric acid and hydrogen
peroxide were optimized. The method was validated using Chinese herbal standard
reference material GBW10020. The sample was treated and detected in six parallel,
and the average concentrations obtained using the developed method (0.42% +/-
0.01%) is in excellent agreement with the standard concentration (0.41%+/-
0.03%). The study demonstrates an accurate and convenient approach for the
quantification of SO2 in Chinese herbal medicines.
PMID- 27209763
TI - [Multivariate Calibration Combined with Mass Spectrometry for Rapid Analysis].
AB - A mixture of four substances of benzaldehyde, iso-octane, butyl acetate,
acetophenone were quantitatively analyzed by mass spectrometry combined with
chemometrics. The mass chromatogram data of mixture were proceeded with two
methods for quantitative analysis. One is feature selection--Multiple Linear
Regression (MLR) and the other is full spectrum--Partial Least Squares (PLS). The
results show that the RMSEP of benzaldehyde were 0.062 and 0.091 after selecting
m/z spectrum and full spectrum respectively; RMSEP of isooctane were 0.048 and
0.057 after selecting spectrum and full spectrum respectively; which of butyl
acetate were 0.021 and 0.020 and of acetophenone were 0.010 and 0.032. The
feature selection results of the mixture were better than that of the full
spectrum modeling results expect butyl acetate which got similar results by the
two methods.
PMID- 27209764
TI - [Laser Induced Fluorescence Spectrum Characteristics of Paddy under Nitrogen
Stress].
AB - Order to guide fertilizing andreduce waste of resources as well as enviro nmental
pollution, especially eutrophication, which are caused by excessive
fertilization, a system of laser-induced fluorescence(LIF) was built. The system
aimed to investigate the correlation between nitrogen(N) content of paddy leaf
and the fluorescence intensity. We measuredNcontent and SPAD of paddy leaf (the
samples came from the second upper leaves of paddy in tillering stage and the
study area was located in Jianghan plain of China) by utilizing the Plant
Nutrient (Tester TYS-3N). The fluorescence spectrum was also obtained by using
the systembuilt based on theLIFtechnology. Fluorescence spectra of leaf with
different N-content were collected and then a fluorescence spectra database
wasestablished. It is analyzed that the relationship between the parameters of
fluorescence (F740/F685 is the ratio of fluorescence intensity of 740 nm.
dividing that of 685 nm) and the N level of paddy. It is found that the effect of
different N-content on the fluorescence spectrum characteristics is significant.
The experiment demonstrated the positive correlation between fluorescence
parameters and paddy leaf N-content. Results showed a positive linear correlation
between the ratio of peak fluorescence (F740/F685) and N-content The correlation
coefficient (r) reached 0.871 8 and the root mean square error (RMSE) was 0.076
82. The experiment demonstrated that LIF spectroscopy detection technology has
the advantages of rapidand non-destructive measurement, and it also has the
potential to measure plant content of nutrient elements. It will provide a more
accurate remote sensing method to rapidly detect the crop nitrogen levels.
PMID- 27209765
TI - [Determination of Carbaryl in Rice by Using FT Far-IR and THz-TDS Techniques].
AB - Determination of carbaryl in rice by using Fourier transform far-infrared (FT-
Far-IR) and terahertz time-domain spectroscopy (THz-TDS) combined with
chemometrics was studied and the spectral characteristics of carbaryl in
terahertz region was investigated. Samples were prepared by mixing carbaryl at
different amounts with rice powder, and then a 13 mm diameter, and about 1 mm
thick pellet with polyethylene (PE) as matrix was compressed under the pressure
of 5-7 tons. Terahertz time domain spectra of the pellets were measured at
0.5~1.5 THz, and the absorption spectra at 1.6. 3 THz were acquired with Fourier
transform far-IR spectroscopy. The method of sample preparation is so simple that
it does not need separation and enrichment. The absorption peaks in the frequency
range of 1.8-6.3 THz have been found at 3.2 and 5.2 THz by Far-IR. There are
several weak absorption peaks in the range of 0.5-1.5 THz by THz-TDS. These two
kinds of characteristic absorption spectra were randomly divided into calibration
set and prediction set by leave-N-out cross-validation, respectively. Finally,
the partial least squares regression (PLSR) method was used to establish two
quantitative analysis models. The root mean square error (RMSECV), the root mean
square errors of prediction (RMSEP) and the correlation coefficient of the
prediction are used as a basis for the model of performance evaluation. For the
R,, a higher value is better; for the RMSEC and RMSEP, lower is better. The
obtained results demonstrated that the predictive accuracy of. the two models
with PLSR method were satisfactory. For the FT-Far-IR model, the correlation
between actual and predicted values of prediction samples (Rv) was 0.99. The root
mean square error of prediction set (RMSEP) was 0.008 6, and for calibration set
(RMSECV) was 0.007 7. For the THz-TDS model, R. was 0. 98, RMSEP was 0.004 4, and
RMSECV was 0.002 5. Results proved that the technology of FT-Far-IR and THz- TDS
can be a feasible tool for quantitative determination of carbaryl in rice. This
paper provides a new method for the quantitative determination pesticide in other
grain samples.
PMID- 27209766
TI - [Accuracy Improvement of Temperature Calculation of the Laser-Induced Plasma
Using Wavelet Transform Baseline Subtraction].
AB - Temperature is one of the most important parameters in studying of laser-induced
plasma characteristics. To reduce the impact of continuous background on the
calculation of temperatures using Boltzmann plots, the wavelet transform was used
to decompose the spectrums, and the low-frequency signals represented the
spectral baseline were deducted by using soft-threshold method. Selecting the
appropriate wavelet decomposition level L and threshold coefficient a can
increase the linear regression coefficient R2 of Boltzmann plots, and the
calculation accuracy of plasma temperature was improved. The LIBS spectra of low
alloy steel sample region from 417 to 445 nm were decomposed by using db4
wavelet, and then baseline subtraction and signal reconstruction were carried
out, respectively. Twelve Fe atomic lines were chosen to establish Boltzmann
plots, and the temperatures were calculated from the slope of the fitted lines in
the plots. The value L and a were optimized according R2, the results showed that
the 8-layer db4 wavelet decomposition can gain the high R2, while the value of a
associated with the delay time td, e. g. , the optimum a corresponding to maximum
values of R2 is 0.3 when td <= 4.0 us, and then decrease with the increasing of
td, and reduced to 0 when td >= 6. 0 us. The interference due to baseline on the
spectral characteristic lines gradually reduced with the increasing of td, and
therefore a decreased with td increase. After the baseline was deducted, the
temperature calculated by Boltzmann plot decrease of about 2 000 to 3 000 K. The
temperature gradually decreased with the increasing of the td, and the
temperature fluctuation is reduced after baseline subtraction, these results are
consistent with the physical process of plasma expansion.
PMID- 27209767
TI - [The X-Ray Fluorescence Spectrometer Based on Pyroelectric Effect].
AB - Pyroelectric X-ray generator is implemented, and an X-ray fluorescence
spectrometer is accomplished by combining the pyroelectric X-ray generator with a
high energy resolution silicon drift detector. Firstly, the parameters of the X
ray generator are decided by analyzing and calculating the influence of the
thickness of the pyroelectriccrystal and the thickness of the target on emitted X
ray. Secondly, the emitted X-ray is measured. The energy of emitted X-ray is from
1 to 27 keV, containing the characteristic X-ray of Cu and Ta, and the max
counting rate is more than 3 000 per second. The measurement also proves that the
detector of the spectrometer has a high energy resolution which the FWMH is 210
eV at 8. 05 keV. Lastly, samples of Fe, Ti, Cr and high-Ti basalt are analyzed
using the spectrometer, and the results are agreed with the elements of the
samples. It shows that the spectrometer consisting of a pyroelectric X-ray
generator and a silicon drift detector is effective for element analysis.
Additionally, because each part of the spectrometer has a small volume, it can be
easily modified to a portable one which is suitable for non-destructive, on-site
and quick element analysis.
PMID- 27209768
TI - [Analysis and Correction of Spectral Curvature in Hadamard Transform Spectrometer
with DMD].
AB - Due to the advantages of its low cost and high utilization rate of light energy
and no moving parts, Hadamard transform spectrometer with DMD has become a focus
in the research of spectrometer. In order to solve the reduction of spectral
resolution caused by the spectral curvature of Hadamard transform spectrometer
with DMD (Digital Micro-mirror Device), the spectral aliasing in the spectrometer
was investigated. Firstly, the mathematical relationship of spectral aliasing to
radius of spectral curvature was deduced. Then, two procedures were proposed to
solve the spectral aliasing. One is making the DMD encoded spectral band
accordant with the standard spectral band as far as possible by adjusting the DMD
encoded stripe, and another is correcting remaining spectral aliasing by means of
data processing. Finally, by analyzing and correcting spectral curvature in six
situations of the curvature radius of 15.8 x 104, 7.8 x 104, 9.7 x 104 um and
etc, we fit out the relationship of spectral aliasing and spectrum correction
effect of spectral-curvature to the curvature radius. The simulation indicates
that the spectral resolution increases to the resolution of optical system. It
shows that the proposed methods are universal, simple and effective in the
improvement of spectral resolution.
PMID- 27209769
TI - [The Research on Measurement System and Method of Tissue Optical Parameters with
Wide Spectra Based on Double-Integrating-Spheres].
AB - The measurement of tissue optical parameters is the focusing research content of
Biomedical Photonics. The optical properties of human tissue are closely related
to the physiological and pathological state. In recent years, the tissue imaging
diagnosis and non-invasive detection of componentsbecome the hot research topics,
applying the tissue optical properties especially the absorption and scattering
properties. These provide the basis for the study of optical imaging and the
spectrum detection of body composition etc. The Double-Integrating-Spheres (DIS)
method can measure the absorption coefficient, scattering coefficient and so on
in vitro tissuesimultaneously. It has the advantages of accurate, rapid, large
applicable scope. The method applya standard method for measuring the optical
parameters. This paper build the wide spectrum measurement system of optical
parameters based on DIS and super continuum lasers. Then we analyze the transfer
function, error sources and the best measuring conditions of the system. Finally
we establish the correction forward model based on BP-MCML and the inverse
algorithm of the optical parameters based on L-M algorithm. The optical
parameters of intralipid solution in the wavelength range of 1,100~1,400 nm are
measured. The experiment results show that the improved inverse algorithm is
accurate. The multiple measurements standard deviation is within 3%. Compared the
results of scattering coefficient and absorption coefficient at different
wavelengths to the results of other research groups, the deviation is less than
3.4%.
PMID- 27209770
TI - [The Preparation and Properties Study of Micellar Thiacalixarenes Self-Assembled
Fluorescent Chemosensor].
AB - A novel ON-OFF micelle-based fluorescent chemosensor for Cu2+ ions was prepared
through the micellar self-assembling in water solutions with p-tert
butylthiacalix[4]arene (TCA) as receptor, perylene as fluorophore and Sodium
dodecylbenzenesulphonate (SDBS) as self-assembling template. Several effecting
factors, such as the quantities of the receptor TCA, the concentrations of the
self-assembling template SDBS, the concentrations of the Cu2+ ions and the co
current metal ions, were investigated for the systematical investigation on the
detecting capabilities of the micelle-based fluorescent chemosensor for Cu2+ ions
through measuring the quenching of the fluorescent emission of the fluorophore.
As the results indicated, when the molar concentration ratio value of the
receptor TCA to the fluorophore was equal to 1 000 and the concentrations of the
self-assembling template SDBS reached 50 mmol . L-1, the Cu2+ ions could be
detected preferably by the prepared micelle-based fluorescent chemosensor. The
concentrations of the Cu2+ ions could be almost linearly measured according to
the quenching ratios of the fluorescent emission in a certain range of
concentration. Furthermore, the detecting capabilities of the micelle-based
fluorescent chemosensor for the Cu2+ ions were almost not influenced by the co
existing metal ions such as Pb2+, Cd2+, Mn2+, Na+ , K+, Ca2+,Mg22+ A1l3+ NiZ2+and
Zn22+ions. The selective detecting capabilities of the obtained micelle-based
fluorescent chemose5nor for the Cu2+ions could be mainly attributed to the
recognition of the receptor TCA in the surfactant micelle with the Cu22+ions, and
the quenching of the fluorescent emission of the fluorophore was estimated to be
based on an intramicellar electron-transfer or energy-transfer mechanism.
PMID- 27209771
TI - [Brand-New Ge20Ga5Sb10S65 Prism Biosensor Based on Inverted SPR].
AB - Based on inverted surface plasmon resonance (ISPR) a novel biosensor consisting
of Ge20Ga5Sb10S65-palladium-graphene layer-biomolecule layer is proposed. The
refractive index of biomolecule layer alters as biomolecule experience
interactions, thus leading to a shift of ISPR angle. On this basis, the spectrum
output of sensor is derived by transfer matrix method. The sensitivity, the
resolution, the dynamic detection range and the signal to noise ratio of the
presented sensor are discussed and compared with the performance of traditional
sensors. Moreover, the influences of grapheme layer thickness on sensors are
analyzed with comparative study. Finally, near infrared is used as the incident
light of the presented sensor. The results show that, the best thickness of
grapheme layer is monolayer; the peak intensity of the ISPR reflection is about
80%~90% of intensity of incident light, guaranteeing a high signal to noise
ratio; In the visible light, when lambda = 632.8 nm, the presented sensor is 1.9
times the resolution of the sensor based on SiO2 coupling inverted surface
plasmon resonance, is 3. 5 times the resolution of the sensor based on surface
plasmon resonance(SPR), and is 2 times the dynamic detection range of pre
existing biosensor based on SPR. The application of Ge20Ga5Sb10S65 prism extends
the detection light wavelength from the visible region to the near infrared
region. When lambda = 1,000 nm, the sensor is 3-4 times of the sensor in visible
region. The research greatly contributes to the realization and application of
biosensor based on inverted surface plasmon resonance.
PMID- 27209772
TI - [An Extraction and Recognition Method of the Distributed Optical Fiber Vibration
Signal Based on EMD-AWPP and HOSA-SVM Algorithm].
AB - Given that the traditional signal processing methods can not effectively
distinguish the different vibration intrusion signal, a feature extraction and
recognition method of the vibration information is proposed based on EMD-AWPP and
HOSA-SVM, using for high precision signal recognition of distributed fiber optic
intrusion detection system. When dealing with different types of vibration, the
method firstly utilizes the adaptive wavelet processing algorithm based on
empirical mode decomposition effect to reduce the abnormal value influence of
sensing signal and improve the accuracy of signal feature extraction. Not only
the low frequency part of the signal is decomposed, but also the high frequency
part the details of the signal disposed better by time-frequency localization
process. Secondly, it uses the bispectrum and bicoherence spectrum to accurately
extract the feature vector which contains different types of intrusion vibration.
Finally, based on the BPNN reference model, the recognition parameters of SVM
after the implementation of the particle swarm optimization can distinguish
signals of different intrusion vibration, which endows the identification model
stronger adaptive and self-learning ability. It overcomes the shortcomings, such
as easy to fall into local optimum. The simulation experiment results showed that
this new method can effectively extract the feature vector of sensing
information, eliminate the influence of random noise and reduce the effects of
outliers for different types of invasion source. The predicted category
identifies with the output category and the accurate rate of vibration
identification can reach above 95%. So it is better than BPNN recognition
algorithm and improves the accuracy of the information analysis effectively.
PMID- 27209773
TI - Determination of Poly(Diallyldimethylammonium Chloride) via Spectrophotometric
Titration with Coomassie Brilliant Blue G Dye.
AB - Poly(diallyldimethylammonium chloride) (PDDA) is used as organic coagulant in
water purification and auxiliary agent in paper production. Determination of PDDA
in aqueous solutions is carried out usually by means of polyelectrolyte titration
using streaming current detector or o-Toluidine Blue dye for endpoint
recognition. The proposed method of PDDA assay takes advantage of Coomassie
Brilliant Blue G dye having strong affinity to cationic macromolecules. Titration
of PDDA solution with the dye is carried out measuring absorbance at 585 nm.
Inflection points of the obtained zigzag photometric curves have good
repeatability provided that titration rate is low enough to attain endpoint for 1
hour or more. The method assures rectilinear calibration (R2 = 0.999 5) in the
broad range of PDDA concentrations from 0.3 to 9.6 mg . dm-3.
PMID- 27209774
TI - Examination of Correlation between Histidine and Cadmium Absorption by Eleagnus
angustifolia L., Vitis vinifera L. and Nerium oleander L. Using HPLC-MS and ICP
MS.
AB - In this study, HPLC-MS and ICP-MS methods wereused for the determination of
histidine and cadmium in Eleagnus angustifolia L., Vitis vinifera L. and Nerium
oleander L. leaves taken from industrial area including Gaziantep and Bursa
cities. To histidine determination by HPLC-MS, flow rate of mobile phase,
fragmentor potential, injection volume and column temperature were optimized as
0.2 mL . min-1, 70 V, 15 uL and 20 degrees C, respectively. For extraction of
histidine from plants, distilled water was used by applying on 90 degrees C and
30 min. The concentrations (as mg . kg-1) of histidine were found to be in range
of 8~22 for Eleagnus angustifolia L., 10~33 for Vitis vinifera L. and 6~11 for
Nerium oleander L. The concentrations of cadmium were found to be in ranges of
6~21 ug . kg-1 for Vitis vinifera L. 15~110 ug . kg-1 for Eleagnus angustifolia
L. and 63~218 ug . kg-1 for Nerium oleander L.
PMID- 27209775
TI - The Characteristic Spectral Selection Method Based on Forward and Backward
Interval Partial Least Squares.
AB - In the near-infrared spectroscopy, the Forward Interval Partial Least Squares
(FiPLS) and Backward Interval Partial Least Squares (BiPLS) are commonly used
modeling methods, which are based on the wavelength variable selection. These
methods are usually of high prediction accuracy, but are strongly characteristic
of greedy search, which causes that the intervals selected are not good enough to
indicate the analyte information. To solve the problem, a spectral characteristic
intervals selection strategy (FB-iPLS) based on the combination of FiPLS and
BiPLS is proposed. On the basis of spectral segmentation, both FiPLSs are used to
select useful intervals, and BiPLS is used to delete useless intervals, so as to
perform the selection and deletion of the characteristic variables alternatively,
which conducts a two-way choice of the target characteristic variables, and is
used to improve the robustness of the model. The experiments on determining the
ethanol concentration in pure water are conducted by modeling with FiPLS, BiPLS
and the proposed method. Since different size of intervals will affect the result
of the model, the experiments here will also examine the model results with
different intervals of these three models. When the spectrum is divided into 60
segments, the FB-iPLS method obtains the best prediction performance. The
correlation coefficients (r) of the calibration set and validation set are 0.967
7 and 0.967 0 respectively, and the cross-validation root mean square errors
(RMSECV) are 0.088 8 and 0.057 1, respectively. Compared with FiPLS and BiPLS,
the overall prediction performance of the proposed model is better. The
experiments show that the proposed method can further improve the predictive
performance of the model by resolving the greedy search feature against BiPLS and
FiPLS, which is more efficient for and representative of the selection of
characteristic intervals.
PMID- 27209776
TI - Research on Ground-Based LWIR Hyperspectral Imaging Remote Gas Detection.
AB - The new progress of ground-based long-wave infrared remote sensing is presented,
which describes the windowing spatial and temporal modulation Fourier
spectroscopy imaging in details. The prototype forms the interference fringes
based on the corner-cube of spatial modulation of Michelson interferometer, using
cooled long-wave infrared photovoltaic staring FPA (focal plane array) detector.
The LWIR hyperspectral imaging is achieved by the process of collection,
reorganization, correction, apodization, FFT etc. from data cube. Noise
equivalent spectral radiance (NESR), which is the sensitivity index of CHIPED-1
LWIR hyperspectral imaging prototype, can reach 5.6 x 10-8 W . (cm-1 . sr . cm2)
1 at single sampling. The data is the same as commercial temporal modulation
hyperspectral imaging spectrometer. It can prove the advantage of this technique.
This technique still has space to be improved. For instance, spectral response
range of CHIPED-1 LWIR hyperspectral imaging prototype can reach 11. 5 um by
testing the transmission curve of polypropylene film. In this article, choosing
the results of outdoor high-rise and diethyl ether gas experiment as an example,
the authors research on the detecting method of 2D distribution chemical gas VOC
by infrared hyperspectral imaging. There is no observed diethyl ether gas from
the infrared spectral slice of the same wave number in complicated background and
low concentration. By doing the difference spectrum, the authors can see the
space distribution of diethyl ether gas clearly. Hyperspectral imaging is used in
the field of organic gas VOC infrared detection. Relative to wide band infrared
imaging, it has some advantages. Such as, it has high sensitivity, the strong
anti-interference ability, identify the variety, and so on.
PMID- 27209777
TI - A sonic net excludes birds from an airfield: implications for reducing bird
strike and crop losses.
AB - Collisions between birds and aircraft cause billions of dollars of damages
annually to civil, commercial, and military aviation. Yet technology to reduce
bird strike is not generally effective, especially over longer time periods.
Previous information from our lab indicated that filling an area with acoustic
noise, which masks important communication channels for birds, can displace
European Starlings (Sturnus vulgaris) from food sources. Here we deployed a
spatially controlled noise (termed a "sonic net"), designed to overlap with the
frequency range of bird vocalizations, at an airfield. By conducting point
counts, we monitored the presence of birds for four weeks before deployment of
our sonic net, and for four weeks during deployment. We found an 82% reduction in
bird presence in the sonic net area compared with change in the reference areas.
This effect was as strong in the fourth week of exposure as in the first week. We
also calculated the potential costs avoided resulting from this exclusion. We
propose that spatially controlled acoustic manipulations that mask auditory
communication for birds may be an effective long term and fairly benign way of
excluding problem birds from areas of socioeconomic importance, such as
airfields, agricultural sites, and commercial properties.
PMID- 27209778
TI - Influences of prior wildfires on vegetation response to subsequent fire in a
reburned Southwestern landscape.
AB - Large and severe wildfires have raised concerns about the future of forested
landscapes in the southwestern United States, especially under repeated burning.
In 2011, under extreme weather and drought conditions, the Las Conchas fire
burned over several previous burns as well as forests not recently exposed to
fire. Our purpose was to examine the influences of prior wildfires on plant
community composition and structure, subsequent burn severity, and vegetation
response. To assess these relationships, we used satellite-derived measures of
burn severity and a nonmetric multidimensional scaling of pre- and post- Las
Conchas field samples. Earlier burns were associated with shifts from forested
sites to open savannas and meadows, oak scrub, and ruderal communities. These non
forested vegetation types exhibited both resistance to subsequent fire, measured
by reduced burn severity, and resilience to reburning, measured by vegetation
recovery relative to forests not exposed to recent prior fire. Previous shifts
toward non-forested states were strongly reinforced by reburning. Ongoing losses
of forests and their ecological values confirm the need for restoration
interventions. However, given future wildfire and climate projections, there may
also be opportunities presented by transformations toward fire-resistant and
resilient vegetation types within portions of the landscape.
PMID- 27209779
TI - Synthetic predator cues impair immune function and make the biological pesticide
Bti more lethal for vector mosquitoes.
AB - The control of vector mosquitoes is one of the biggest challenges facing
humankind with the use of chemical pesticides often leading to environmental
impact and the evolution of resistance. Although to a lesser extent, this also
holds for Bacillus thuringiensis israelensis (Bti), the most widely used
biological pesticide to control mosquito populations. This raises the need for
the development of integrated pest management strategies that allow the reduction
of Bti concentrations without loss of the mosquito control efficiency. To this
end, we tested in a laboratory experiment the combined effects of larval exposure
to a sublethal Bti concentration and predation risk cues on life history and
physiology of larval and adult Culex pipiens mosquitoes. Besides natural predator
kairomones and prey alarm cues, we also tested synthetic kairomones of Notonecta
predators. Neither Bti nor predation risk cues affected mortality, yet when both
stressors were combined mortality increased on average by 133% compared to the
treatment with only predation risk cues. This synergistic interaction was also
present when Bti was combined with synthetic kairomones. This was further
reflected in changes of the composite index of population performance, which
suggested lowered per capita growth rates in mosquitoes exposed to Bti but only
when Bti was combined with synthetic kairomones. Furthermore, predation risk cues
shortened larval development time, reduced mass at metamorphosis in males, and
had an immunosuppressive effect in larval and adult mosquitoes which may affect
the mosquito vector competence. We provide the first demonstration that synthetic
kairomones may generate similar effects on prey as natural kairomones. The
identified immunosuppressive effect of synthetic kairomones and the novel lethal
synergism type between a biological pesticide and synthetic predator kairomones
provide an important proof of principle illustrating the potential of this
combination for integrated mosquito control and should in a next step be
evaluated under more natural conditions. It may guide novel integrated pest
management programs with Bti that incorporate synthetic kairomones and thereby
can reduce environmental impact and evolution of resistance creating more
efficient and sustainable mosquito control.
PMID- 27209780
TI - Pathogen exposure varies widely among sympatric populations of wild and domestic
felids across the United States.
AB - Understanding how landscape, host, and pathogen traits contribute to disease
exposure requires systematic evaluations of pathogens within and among host
species and geographic regions. The relative importance of these attributes is
critical for management of wildlife and mitigating domestic animal and human
disease, particularly given rapid ecological changes, such as urbanization. We
screened > 1000 samples from sympatric populations of puma (Puma concolor),
bobcat (Lynx rufus), and domestic cat (Felis catus) across urban gradients in six
sites, representing three regions, in North America for exposure to a
representative suite of bacterial, protozoal, and viral pathogens (Bartonella
sp., Toxoplasma gondii, feline herpesvirus-1, feline panleukopenea virus, feline
calicivirus, and feline immunodeficiency virus). We evaluated prevalence within
each species, and examined host trait and land cover determinants of exposure;
providing an unprecedented analysis of factors relating to potential for
infections in domesticated and wild felids. Prevalence differed among host
species (highest for puma and lowest for domestic cat) and was greater for
indirectly transmitted pathogens. Sex was inconsistently predictive of exposure
to directly transmitted pathogens only, and age infrequently predictive of both
direct and indirectly transmitted pathogens. Determinants of pathogen exposure
were widely divergent between the wild felid species. For puma, suburban land use
predicted increased exposure to Bartonella sp. in southern California, and FHV-1
exposure increased near urban edges in Florida. This may suggest interspecific
transmission with domestic cats via flea vectors (California) and direct contact
(Florida) around urban boundaries. Bobcats captured near urban areas had
increased exposure to T. gondii in Florida, suggesting an urban source of prey
Bobcats captured near urban areas in Colorado and Florida had higher FIV
exposure, possibly suggesting increased intraspecific interactions through pile
up of home ranges. Beyond these regional and pathogen specific relationships,
proximity to the wildland-urban interface did not generally increase the
probability of disease exposure in wild or domestic felids, empha- sizing the
importance of local ecological determinants. Indeed, pathogen exposure was often
negatively associated with the wildland-urban interface for all felids. Our
analyses suggest cross-species pathogen transmission events around this interface
may be infrequent, but followed by self-sustaining propagation within the new
host species. virus; puma (Puma concolor); Toxoplasma gondii; urbanization.
PMID- 27209781
TI - Restoring forest structure and process stabilizes forest carbon in wildfire-prone
southwestern ponderosa pine forests.
AB - Changing climate and a legacy of fire-exclusion have increased the probability of
high-severity wildfire, leading to an increased risk of forest carbon loss in
ponderosa pine forests in the southwestern USA. Efforts to reduce high-severity
fire risk through forest thinning and prescribed burning require both the removal
and emission of carbon from these forests, and any potential carbon benefits from
treatment may depend on the occurrence of wildfire. We sought to determine how
forest treatments alter the effects of stochastic wildfire events on the forest
carbon balance. We modeled three treatments (control, thin-only, and thin and
burn) with and without the occurrence of wildfire. We evaluated how two different
probabilities of wildfire occurrence, 1% and 2% per year, might alter the carbon
balance of treatments. In the absence of wildfire, we found that thinning and
burning treatments initially reduced total ecosystem carbon (TEC) and increased
net ecosystem carbon balance (NECB). In the presence of wildfire, the thin and
burn treatment TEC surpassed that of the control in year 40 at 2%/yr wildfire
probability, and in year 51 at 1%/yr wildfire probability. NECB in the presence
of wildfire showed a similar response to the no-wildfire scenarios: both thin
only and thin and burn treatments increased the C sink. Treatments increased TEC
by reducing both mean wildfire severity and its variability. While the carbon
balance of treatments may differ in more productive forest types, the carbon
balance benefits from restoring forest structure and fire in southwestern
ponderosa pine forests are clear.
PMID- 27209782
TI - Space-time investigation of the effects of fishing on fish populations.
AB - Species distribution models (SDMs) are important statistical tools for obtaining
ecological insight into species-habitat relationships and providing advice for
natural resource management. Many SDMs have been developed over the past decades,
with a focus on space- and more recently, time-dependence. However, most of these
studies have been on terrestrial species and applications to marine species have
been limited. In this study, we used three large spatio-temporal data sources
(habitat maps, survey-based fish density estimates, and fishery catch data) and a
novel space-time model to study how the distribution of fishing may affect the
seasonal dynamics of a commercially important fish species (Pacific Dover sole,
Microstomus pacificus) off the west coast of the USA. Dover sole showed a large
scale change in seasonal and annual distribution of biomass, and its distribution
shifted from mid-depth zones to inshore or deeper waters during late summer/early
fall. In many cases, the scale of fishery removal was small compared to these
broader changes in biomass, suggesting that seasonal dynamics were primarily
driven by movement and not by fishing. The increasing availability of appropriate
data and space-time modeling software should facilitate extending this work to
many other species, particularly those in marine ecosystems, and help tease apart
the role of growth, natural mortality, recruitment, movement, and fishing on
spatial patterns of species distribution in marine systems.
PMID- 27209783
TI - Impacts of harvesting on brine shrimp (Artemia franciscana) in Great Salt Lake,
Utah, USA.
AB - Selective harvesting can cause evolutionary responses in populations via shifts
in phenotypic characteristics, especially those affecting life history. Brine
shrimp (Artemia franciscana) cysts in Great Salt Lake (GSL), Utah, USA are
commercially harvested with techniques that select against floating cysts. This
selective pressure could cause evolutionary changes over time. Our objectives are
to (1) determine if there is a genetic basis to cyst buoyancy, (2) determine if
cyst buoyancy and nauplii mortality have changed over time, and (3) to examine
GSL environmental conditions over time to distinguish whether selective
harvesting pressure or a trend in environmental conditions caused changes in cyst
buoyancy and nauplii mortality. Mating crosses between floating and sinking
parental phenotypes with two food concentrations (low and high) indicated there
is a genetic basis to cyst buoyancy. Using cysts harvested from 1991-2011, we
found cyst buoyancy decreased and nauplii mortality increased over time. Data on
water temperature, salinity, and chlorophyll a concentration in GSL from 1994 to
2011 indicated that although water temperature has increased over time and
chlorophyll a concentration has decreased over time, the selective harvesting
pressure against floating cysts is a better predictor of changes in cyst buoyancy
and nauplii mortality over time than trends in environmental conditions.
Harvesting of GSL A. franciscana cysts is causing evolutionary changes, which has
implications for the sustainable management and harvesting of these cysts.
Monitoring phenotypic characteristics and life-history traits of the population
should be implemented and appropriate responses taken to reduce the impacts of
the selective harvesting.
PMID- 27209784
TI - Birds of a feather: using species assemblages to assess vulnerability to
extinction.
AB - Estimating extinction vulnerability for a large number of species presents
significant challenges for researchers, but is of high importance considering the
large number of species that are currently unassessed. We present a method using
a type of artificial neural network (self organizing map; SOM), which utilizes
the co-occurrence patterns of species to estimate each species' vulnerability to
extinction. We use this method on Australian bird assemblages and compare the SOM
generated rankings for vulnerability with assessments from the IUCN Red List for
those species in which populations have actually been estimated. For species that
have had their populations estimated, the SOM performed well in distinguishing
those species ranked of least concern by IUCN from those species in one of the
other IUCN categories. Further, 19 species that were identified as highly
vulnerable by the SOM analysis have never had their populations estimated and
have been ranked by the IUCN of least concern. We show how the SOM can identify
spatial variation in vulnerability for a species, and identify those regions in
Australia in which the resident species have the greatest levels of vulnerability
(central Australia). We conclude that the SOM provides a useful tool for
researchers and agencies dealing with conservation strategies focused on large
numbers of species and we urge a more detailed assessment of the 19 bird species
identified by this analysis as vulnerable to extinction.
PMID- 27209785
TI - Effects of breeding versus winter habitat loss and fragmentation on the
population dynamics of a migratory songbird.
AB - Many migratory species are in decline and understanding these declines is
challenging because individuals occupy widely divergent and geographically
distant habitats during a single year and therefore populations across the range
are interconnected in complex ways. Network modeling has been used to show,
theoretically, that shifts in migratory connectivity patterns can occur in
response to habitat or climate changes and that habitat loss in one region can
affect sub-populations in regions that are not directly connected. Here, we use a
network model, parameterized by integrating long-term monitoring data with direct
tracking of -100 individuals, to explain population trends in the rapidly
declining Wood Thrush (Hylocichla mustelina) and to predict future trends. Our
model suggests that species-level declines in Wood Thrush are driven primarily by
tropical deforestation in Central America but that protection of breeding habitat
in some regions is necessary to prevent shifts in migratory connectivity and to
sustain populations in all breeding regions. The model illustrates how shifts in
migratory connectivity may lead to unexpected population declines in key regions.
We highlight current knowledge gaps that make modeling full life-cycle population
demographics in migratory species challenging but also demonstrate that modeling
can inform conservation while these gaps are being filled.
PMID- 27209786
TI - Sunflower (Helianthus annuus) pollination in California's Central Valley is
limited by native bee nest site location.
AB - The delivery of ecosystem services by mobile organisms depends on the
distribution of those organisms, which is, in turn, affected by resources at
local and landscape scales. Pollinator-dependent crops rely on mobile animals
like bees for crop production, and the spatial relationship between floral
resources and nest location for these central-place foragers influences the
delivery of pollination services. Current models that map pollination coverage in
agricultural regions utilize landscape-level estimates of floral availability and
nesting incidence inferred from expert opinion, rather than direct assessments.
Foraging distance is often derived from proxies of bee body size, rather than
direct measurements of foraging that account for behavioral responses to floral
resource type and distribution. The lack of direct measurements of nesting
incidence and foraging distances may lead to inaccurate mapping of pollination
services. We examined the role of local-scale floral resource presence from
hedgerow plantings on nest incidence of ground-nesting bees in field margins and
within monoculture, conventionally managed sunflower fields in California's
Central Valley. We tracked bee movement into fields using fluorescent powder. We
then used these data to simulate the distribution of pollination services within
a crop field. Contrary to expert opinion, we found that ground-nesting native
bees nested both in fields and edges, though nesting rates declined with distance
into field. Further, we detected no effect of field-margin floral enhancements on
nesting. We found evidence of an exponential decay rate of bee movement into
fields, indicating that foraging predominantly occurred in less than 1% of medium
sized bees' predicted typical foraging range. Although we found native bees
nesting within agricultural fields, their restricted foraging movements likely
centralize pollination near nest sites. Our data thus predict a heterogeneous
distribution of pollination services within sunflower fields, with edges
receiving higher coverage than field centers. To generate more accurate maps of
services, we advocate directly measuring the autecology of ecosystem service
providers, which vary by crop system, pollinator species, and region. Improving
estimates of the factors affecting pollinator populations can increase the
accuracy of pollination service maps and help clarify the influence of farming
practices on wild bees occurring in agricultural landscapes.
PMID- 27209787
TI - Scale-dependent effects of landscape composition and configuration on natural
enemy diversity, crop herbivory, and yields.
AB - (1) Land-use intensification in agricultural landscapes has led to changes in the
way habitats and resources are distributed in space. Pests and their natural
enemies are influenced by these changes, and by the farming intensity of crop
fields. However, it is unknown whether the composition of landscapes (amount and
diversity of land cover types) or their configuration (spatial arrangement of
cover types) are more important for natural enemy diversity, and how they impact
crop damage and yields. In addition, effects of interactions between local
farming practices (organic vs. conventional) and landscape variables are unclear.
(2) Here, we make use of a data set where landscape composition and configuration
were uncorrelated across multiple spatial scales. Natural enemies, crop damage,
and yields were sampled in 35 organic and conventional crop fields. Out of seven
broad natural enemy taxa, five were positively affected by a complex landscape
configuration. In contrast, only carabids were positively affected by the amount
of seminatural habitat around fields. Increasing diversity of land cover types
had positive effects on some, but negative effects on other taxa. Effect sizes
varied among taxa but increased with increasing spatial scale, defined by
circular areas of increasing radius around fields. (3) The diversity of aerial,
but not of ground-dwelling enemies was higher in fields under organic than
conventional management. Interactions of local and landscape variables were
important for birds, but not other enemies. Bird richness was higher in organic
fields in simple landscapes, but not in landscapes with complex configuration or
high land cover diversity. (4) Crop damage decreased with landscape diversity,
but increased in conventional fields with complex configuration. Yields increased
with both parameters in conventional fields only, and were higher on average in
organic compared to conventional fields. Enemy diversity was positively related
to crop damage, indicating positive density-dependence of enemies on pests.
However, the diversity of aerial enemies was also positively related to yields.
(5) Our results suggest that the effectiveness of agrienvironmental schemes for
managing natural enemy diversity, crop damage and yields could be enhanced by
optimizing the effects of distinct landscape parameters, particularly landscape
configuration and diversity, across scales.
PMID- 27209788
TI - Can we control the invasive cane toad using chemicals that have evolved under
intraspecific competition?
AB - Many invasive species experience intense intraspecific competition, because they
are abundant in anthropogenically disturbed habitats where few native species
persist. Species-specific competitive mechanisms that evolve in this context may
offer novel, highly targeted means to control invasive taxa. We conducted
laboratory experiments to evaluate the feasibility of this method of control,
based on waterborne cues that are produced by tadpoles of the cane toad (Rhinella
marina) to suppress the development of conspecific embryos. Our trials examined
the nature and species-specificity of the effect, the robustness of the cue to
freezing and storage, and the amounts required to suppress toad embryos. Our
results were encouraging. The cue appears to be chemical rather than a biological
organism, and may well be species-specific; the four species of native anurans
that we tested were not influenced by toad larval cues. The cue retains its
effectiveness after being frozen, but not after being dried, or after 7 d in
water. It is effective at very low concentrations (the amount produced by three
tadpoles within 750 L of water). Overall, the cane toad's suppressor pheromone
may offer an effective new way to control invasive toads.
PMID- 27209789
TI - Using counterfactuals to evaluate the cost-effectiveness of controlling
biological invasions.
AB - Prioritizing limited conservation funds for controlling biological invasions
requires accurate estimates of the effectiveness of interventions to remove
invasive species and their cost-effectiveness (cost per unit area or individual).
Despite billions of dollars spent controlling biological invasions worldwide, it
is unclear whether those efforts are effective, and cost-effective. The paucity
of evidence results from the difficulty in measuring the effect of invasive
species removal: a researcher must estimate the difference in outcomes (e.g.
invasive species cover) between where the removal program intervened and what
might have been observed if the program had not intervened. In the program
evaluation literature, this is called a counterfactual analysis, which formally
compares what actually happened and what would have happened in the absence of an
intervention. When program implementation is not randomized, estimating
counterfactual outcomes is especially difficult. We show how a thorough
understanding of program implementation, combined with a matching empirical
design can improve the way counterfactual outcomes are estimated in
nonexperimental contexts. As a practical demonstration, we estimated the cost
effectiveness of South Africa's Working for Water program, arguably the world's
most ambitious invasive species control program, in removing invasive alien trees
from different land use types, across a large area in the Cape Floristic Region.
We estimated that the proportion of the treatment area covered by invasive trees
would have been 49% higher (5.5% instead of 2.7% of the grid cells occupied) had
the program not intervened. Our estimates of cost per hectare to remove invasive
species, however, are three to five times higher than the predictions made when
the program was initiated. Had there been no control (counter-factual), invasive
trees would have spread on untransformed land, but not on land parcels containing
plantations or land transformed by agriculture or human settlements. This implies
that the program might have prevented a larger area from being invaded if it had
focused all of its clearing effort on untransformed land. Our results show that,
with appropriate empirical designs, it is possible to better evaluate the impacts
of invasive species removal and therefore to learn from past experiences.
PMID- 27209790
TI - Quantifying dilution and amplification in a community of hosts for tick-borne
pathogens.
AB - Recent controversy over whether biodiversity reduces disease risk (dilution
effect) has focused on the ecology of Lyme disease, a tick-borne zoonosis. A
criticism of the dilution effect is that increasing host species richness might
amplify disease risk, assuming that total host abundance, and therefore feeding
opportunities for ticks, increase with species richness. In contrast, a dilution
effect is expected when poor quality hosts for ticks and pathogens (dilution
hosts) divert tick blood meals away from competent hosts. Even if host densities
are additive, the relationship between host density and tick encounters can be
nonlinear if the number of ticks that encounter a host is a saturating function
of host density, which occurs if ticks aggregate on the remaining hosts rather
than failing to find a host before death. Both dilution and amplification are
theoretical possibilities, and assessing which is more prevalent required
detailed analyses of empirical systems. We used field data to explore the degree
of tick redistribution onto fewer individuals with variation in intraspecific
host density and novel data-driven models for tick dynamics to determine how
changes in vertebrate community composition influence the density of nymphs
infected with the Lyme bacterium. To be conservative, we allowed total host
density to increase additively with species richness. Our long-term field studies
found that larval and nymphal ticks redistribute onto fewer individuals as host
densities decline, that a large proportion of nymphs and adults find hosts, and
that mice and chipmunks feed a large proportion of nymphs. White-footed mice,
eastern chipmunks, short-tailed shrews, and masked shrews were important
amplification hosts that greatly increased the density of infected nymphs. Gray
squirrels and Virginia opossums were important dilution hosts. Removing these two
species increased the maximum number of larvae attached to amplification hosts by
57%. Raccoons and birds were minor dilution hosts under some conditions. Even
under the assumption of additive community assembly, some species are likely to
reduce the density of infected nymphs as diversity increases. If the assumption
of additivity is relaxed, then species that reduce the density of small mammals
through predation or competition might substantially reduce disease risk.
PMID- 27209792
TI - Landscape patterns of bioenergy in a changing climate: implications for crop
allocation and land-use competition.
AB - Rural landscapes face changing climate, shifting development pressure, and loss
of agricultural land. Perennial bioenergy crops grown on existing agricultural
land may provide an opportunity to conserve rural landscapes while addressing
increased demand for biofuels. However, increased bioenergy production and
changing land use raise concerns for tradeoffs within the food-energy-environment
trilemma. Heterogeneity of climate, soils, and land use complicate assessment of
bioenergy potential in complex landscapes, creating challenges to evaluating
future tradeoffs. The hypothesis addressed herein is that perennial bioenergy
production can provide an opportunity to avoid agricultural land conversion to
development. Using a process-based crop model, we assessed potential bioenergy
crop growth through 2100 in a southern Appalachian Mountain region and asked: (1)
how mean annual yield differed among three crops (switchgrass Panicum virgatum,
giant miscanthus Miscanthus x giganteus, and hybrid poplar Populus x sp.) under
current climate and climate change scenarios resulting from moderate and very
high greenhouse gas emissions; (2) how maximum landscape yield, spatial
allocation of crops, and bioenergy hotspots (areas with highest potential yield)
varied among climate scenarios; and (3) how bioenergy hotspots overlapped with
current crop production or lands with high development pressure. Under both
climate change scenarios, mean annual yield of perennial grasses decreased (-4%
to -39%), but yield of hybrid poplar increased (+8% to +20%) which suggests that
a switch to woody crops would maximize bioenergy crop production. In total,
maximum landscape yield increased by up to 90 000 Mg/yr (6%) in the 21st century
due to increased poplar production. Bioenergy hotspots (> 18 Mg x ha(-1) x yr(
1)) consistently overlapped with high suburban/exurban development likelihood and
existing row crop production. If bioenergy production is constrained to marginal
(non-crop) lands, landscape yield decreased by 27%. The removal of lands with
high development probability from crop production resulted in losses of up to 670
000 Mg/yr (40%). This study demonstrated that tradeoffs among bioenergy
production, crop production, and exurban expansion in a mountainous changing
rural landscape vary spatially with climate change over time. If markets develop,
bioenergy crops could potentially counter losses of agricultural land to
development.
PMID- 27209791
TI - Assessing cumulative impacts of forest development on the distribution of
furbearers using expert-based habitat modeling.
AB - Cumulative impacts of anthropogenic landscape change must be considered when
managing and conserving wildlife habitat. Across the central-interior of British
Columbia, Canada, industrial activities are altering the habitat of furbearer
species. This region has witnessed unprecedented levels of anthropogenic
landscape change following rapid development in a number of resource sectors,
particularly forestry. Our objective was to create expert-based habitat models
for three furbearer species: fisher (Pekania pennanti), Canada lynx (Lynx
canadensis), and American marten (Martes americana) and quantify habitat change
for those species. We recruited 10 biologist and 10 trapper experts and then used
the analytical hierarchy process to elicit expert knowledge of habitat variables
important to each species. We applied the models to reference landscapes (i.e.,
registered traplines) in two distinct study areas and then quantified the change
in habitat availability from 1990 to 2013. There was strong agreement between
expert groups in the choice of habitat variables and associated scores. Where
anthropogenic impacts had increased considerably over the study period, the
habitat models showed substantial declines in habitat availability for each focal
species (78% decline in optimal fisher habitat, 83% decline in optimal lynx
habitat, and 79% decline in optimal marten habitat). For those traplines with
relatively little forest harvesting, the habitat models showed no substantial
change in the availability of habitat over time. The results suggest that habitat
for these three furbearer species declined significantly as a result of the
cumulative impacts of forest harvesting. Results of this study illustrate the
utility of expert knowledge for understanding large-scale patterns of habitat
change over long time periods.
PMID- 27209793
TI - Will climate change increase the risk of plant invasions into mountains?
AB - Mountain ecosystems have been less adversely affected by invasions of non-native
plants than most other ecosystems, partially because most invasive plants in the
lowlands are limited by climate and cannot grow under harsher high-elevation
conditions. However, with ongoing climate change, invasive species may rapidly
move upwards and threaten mid-, and then high-elevation mountain ecosystems. We
evaluated this threat by modeling the current and future habitat suitability for
48 invasive plant species in Switzerland and New South Wales, Australia. Both
regions had contrasting climate interactions with elevation, resulting in
possible different responses of species distributions to climate change. Using a
species distribution modeling approach that combines data from two spatial
scales, we built high-resolution species distribution models (<= 250 m) that
account for the global climatic niche of species and also finer variables
depicting local climate and disturbances. We found that different environmental
drivers limit the elevation range of invasive species in each of the two regions,
leading to region-specific species responses to climate change. The optimal
suitability for plant invaders is predicted to markedly shift from the lowland to
the montane or subalpine zone in Switzerland, whereas the upward shift is far
less pronounced in New South Wales where montane and subalpine elevations are
already suitable. The results suggest that species most likely to invade high
elevations in Switzerland will be cold-tolerant, whereas species with an affinity
to moist soils are most likely to invade higher elevations in Australia. Other
plant traits were only marginally associated with elevation limits. These results
demonstrate that a more systematic consideration of future distributions of
invasive species is required in conservation plans of not yet invaded mountainous
ecosystems.
PMID- 27209794
TI - Long-term declines in stream and river inorganic nitrogen (N) export correspond
to forest change.
AB - Human activities have exerted a powerful influence on the biogeochemical cycles
of nitrogen (N) and carbon (C) and drive changes that can be a challenge to
predict given the influence of multiple environmental stressors. This study
focused on understanding how land management and climate change have together
influenced terrestrial N storage and watershed inorganic N export across boreal
and sub-arctic landscapes in northern Sweden. Using long-term discharge and
nutrient concentration data that have been collected continuously for over three
decades, we calculated the hydrologic inorganic N export from nine watersheds in
this region. We found a consistent decline in inorganic N export from 1985 to
2011 over the entire region from both small and large watersheds, despite the
absence of any long-term trend in river discharge during this period. The
steepest declines in inorganic N export were observed during the growing season,
consistent with the hypothesis that observed changes are biologically mediated
and are not the result of changes in long-term hydrology. Concurrent with the
decrease in inorganic N export, we report sustained increases in terrestrial N
accumulation in forest biomass and soils across northern Sweden. Given the close
communication of nutrient and energy stores between plants, soils, and waters,
our results indicate a regional tightening of the N cycle in an already N-limited
environment as a result of changes in forest management and climate-mediated
growth increases. Our results are consistent with declining inorganic N efflux
previously reported from small headwater streams in other ecosystems and shed new
light on the mechanisms controlling these patterns by identifying corresponding
shifts in the terrestrial N balance, which have been altered by a combination of
management activities and climate change.
PMID- 27209795
TI - Temporal trends in mammal responses to fire reveals the complex effects of fire
regime attributes.
AB - Fire is a major ecological process in many ecosystems worldwide. We sought to
identify which attributes of fire regimes affect temporal change in the presence
and abundance of Australian native mammals. Our detailed study was underpinned by
time series data on 11 mammal species at 97 long-term sites in southeastern
Australia between 2003 and 2013. We explored how temporal aspects of fire regimes
influenced the presence and conditional abundance of species. The key fire regime
components examined were: (1) severity of a major fire in 2003, (2) interval
between the last major fire (2003) and the fire prior to that, and (3) number of
past fires. Our long-term data set enabled quantification of the interactions
between survey year and each fire regime variable: an ecological relationship
missing from temporally restricted studies. We found no evidence of any
appreciable departures from the assumption of independence of the sites. Multiple
aspects of fire regimes influenced temporal variation in the presence and
abundance of mammals. The best models indicated that six of the 11 species
responded to two or more fire regime variables, with two species influenced by
all three fire regime attributes. Almost all species responded to time since
fire, either as an interaction with survey year or as a main effect. Fire
severity or its interaction with survey year was important for most terrestrial
rodents. The number of fires at a site was significant for terrestrial rodents
and several other species. Our findings contain evidence of the effects on native
mammals of heterogeneity in fire regimes. Temporal response patterns of mammal
species were influenced by multiple fire regime attributes, often in conjunction
with survey year. This underscores the critical importance of long-term studies
of biota that are coupled with data sets characterized by carefully documented
fire history, severity, and frequency. Long-term studies are essential to predict
animal responses to fires and guide management of when and where (prescribed)
fire or, conversely, long-unburned vegetation is needed. The complexity of
observed responses highlights the need for large reserves in which patterns of
heterogeneity in fire regimes can be sustained in space and over time.
PMID- 27209796
TI - Pastoral and woodcutting activities drive Cedrus atlantica Mediterranean forest
structure in the Moroccan Middle Atlas.
AB - Human activities are historical ecological drivers, and we need to better
understand their effects on ecosystems. In particular, they have been very
important in the shaping of the Mediterranean biodiversity hotspot. Researchers
and managers nonetheless lack knowledge concerning the impacts of their
combinations and their current intensity on the structure of forest ecosystems of
the southern part of the Mediterranean basin. In this study, we have develped a
new methodology in order to understand the impacts of combined pastoral and
woodcutting activities on the forest structure of the still ill-described but
ecologically and economically important Moroccan Middle Atlas cedar forests. In a
40 000 ha forest, we chose 103 sites and sampled human activities through proxies
and forest structures through circumference and vertical structures. A typology
of sites yielded four human activity types: dominant pastoral activities,
dominant oak cutting or cedar cutting activities, and an intermediate mid
disturbance type. This typology did not depend on altitude or substrate,
confirming that the ecosystem structures linked to the different types depend
more on human activities than on main environmental parameters. Pastoral
activities modified forests the most, converting them to parklands with reduced
canopies and low dynamics but high tree maturation. Woodcutting activities
induced gap dynamics, favoring Cedrus atlantica in favorable environmental
conditions and Quercus ilex otherwise, while they affected vertical structure
depending on the local environment and competition for light and soil resources.
Moderately disturbed stands showed forest maturation with low competition for
light. Unlike previous studies, we found no evidence of a general degradation of
cedar forests due to local human activities. However, cedar logging has reduced
standing basal area regionally and one third of the sites may have vulnerable
cedar populations due to pastoral activities and to unfavorable environmental
conditions. These results can direct future research and management needs for a
better protection of Mediterranean forests and parklands and their biodiversity,
although to be effective such efforts must also partner with sociogeographical
studies.
PMID- 27209797
TI - Characterizing forest structure variations across an intact tropical peat dome
using field samplings and airborne LiDAR.
AB - Tropical peat swamp forests (PSF) are one of the most carbon dense ecosystems on
the globe and are experiencing substantial natural and anthropogenic
disturbances. In this study, we combined direct field sampling and airborne LiDAR
to empirically quantify forest structure and aboveground live biomass (AGB)
across a large, intact tropical peat dome in Northwestern Borneo. Moving up a 4 m
elevational gradient, we observed increasing stem density but decreasing canopy
height, crown area, and crown roughness. These findings were consistent with
hypotheses that nutrient and hydrological dynamics co-influence forest structure
and stature of the canopy individuals, leading to reduced productivity towards
the dome interior. Gap frequency as a function of gap size followed a power law
distribution with a shape factor (lambda) of 1.76 +/- 0.06. Ground-based and dome
wide estimates of AGB were 217.7 +/- 28.3 Mg C/ha and 222.4 +/- 24.4 Mg C/ha,
respectively, which were higher than previously reported AGB for PSF and tropical
forests in general. However, dome-wide AGB estimates were based on height
statistics, and we found the coefficient of variation on canopy height was only
0.08, three times less than stem diameter measurements, suggesting LiDAR height
metrics may not be a robust predictor of AGB in tall tropical forests with dense
canopies. Our structural characterization of this ecosystem advances the
understanding of the ecology of intact tropical peat domes and factors that
influence biomass density and landscape-scale spatial variation. This ecological
understanding is essential to improve estimates of forest carbon density and its
spatial distribution in PSF and to effectively model the effects of disturbance
and deforestation in these carbon dense ecosystems.
PMID- 27209798
TI - Tree mortality from an exceptional drought spanning mesic to semiarid ecoregions.
AB - Significant areas of the southern USA periodically experience intense drought
that can lead to episodic tree mortality events. Because drought tolerance varies
among species and size of trees, such events can alter the structure and function
of terrestrial ecosystem in ways that are difficult to detect with local data
sets or solely with remote-sensing platforms. We investigated a widespread tree
mortality event that resulted from the worst 1-year drought on record for the
state of Texas, USA. The drought affected ecoregions spanning mesic to semiarid
climate zones and provided a unique opportunity to test hypotheses related to how
trees of varying genus and size were affected. The study was based on an
extensive set of 599 distributed plots, each 0.16 ha, surveyed in the summer
following the drought. In each plot, dead trees larger than 12.7 cm in diameter
were counted, sized, and identified to the genus level. Estimates of total
mortality were obtained for each of 10 regions using a combination of design
based estimators and calibrated remote sensing using MODIS 1-yr change in
normalized difference vegetation index products developed by the U.S. Forest
Service. As compared with most of the publicized extreme die-off events, this
study documents relatively low rates of mortality occurring over a very large
area. However, statewide, regional tree mortality was massive, with an estimated
6.2% of the live trees perishing, nearly nine times greater than normal annual
mortality. Dead tree diameters averaged larger than the live trees for most
ecoregions, and this trend was most pronounced in the wetter climate zones,
suggesting a potential re-ordering of species dominance and downward trend in
tree size that was specific to climatic regions. The net effect on carbon storage
was estimated to be a redistribution of 24-30 Tg C from the live tree to dead
tree carbon pool. The dead tree survey documented drought mortality in more than
29 genera across all regions, and surprisingly, drought resistant and sensitive
species fared similarly in some regions. Both angiosperms and gymnosperms were
affected. These results highlight that drought-driven mortality alters forest
structure differently across climatic regions and genera.
PMID- 27209799
TI - Maintaining animal assemblages through single-species management: the case of
threatened caribou in boreal forest.
AB - With the intensification of human activities, preserving animal populations is a
contemporary challenge of critical importance. In this context, the umbrella
species concept is appealing because preserving a single species should result in
the protection of multiple co-occurring species. Practitioners, though, face the
task of having to find suitable umbrellas to develop single-species management
guidelines. In North America, boreal forests must be managed to facilitate the
recovery of the threatened boreal caribou (Rangifer tarandus). Yet, the effect of
caribou conservation on co-occurring animal species remains poorly documented. We
tested if boreal caribou can constitute an effective umbrella for boreal fauna.
Birds, small mammals, and insects were sampled along gradients of post-harvest
and post-fire forest succession. Predictive models of occupancy were developed
from the responses of 95 species to characteristics of forest stands and their
surroundings. We then assessed the similarity of species occupancy expected
between simulated harvested landscapes and a 90 000-km2 uncut landscape. Managed
landscapes were simulated based on three levels of disturbance, two timber
harvest rotation cycles, and dispersed or aggregated cut-blocks. We found that
management guidelines that were more likely to maintain caribou populations
should also better preserve animal assemblages. Relative to fragmentation or
harvest cycle, we detected a stronger effect of habitat loss on species
assemblages. Disturbing 22%, 35%, and 45% of the landscape should result,
respectively, in 80%, 60%, and 40% probability for caribou populations to be
sustainable; in turn, this should result in regional species assemblages with
Jaccard similarity indices of 0.86, 0.79, and 0.74, respectively, relative to the
uncut landscape. Our study thus demonstrates the value of single-species
management for animal conservation. Our quantitative approach allows for the
evaluation of management guidelines prior to implementation, thereby providing a
tool for establishing suitable compromises between economic and environmental
sustainability of human activities.
PMID- 27209800
TI - Partitioning ecosystems for sustainability.
AB - Decline in the abundance of renewable natural resources (RNRs) coupled with
increasing demands of an expanding human population will greatly intensify
competition for Earth's natural resources during this century, yet curiously,
analytical approaches to the management of productive ecosystems (ecological
theory of wildlife harvesting, tragedy of the commons, green economics, and
bioeconomics) give only peripheral attention to the driving influence of
competition on resource exploitation. Here, I apply resource competition theory
(RCT) to the exploitation of RNRs and derive four general policies in support of
their sustainable and equitable use: (1) regulate resource extraction technology
to avoid damage to the resource base; (2) increase efficiency of resource use and
reduce waste at every step in the resource supply chain and distribution network;
(3) partition ecosystems with the harvesting niche as the basic organizing
principle for sustainable management of natural resources by multiple users; and
(4) increase negative feedback between consumer and resource to bring about long
term sustainable use. A simple policy framework demonstrates how RCT integrates
with other elements of sustainability science to better manage productive
ecosystems. Several problem areas of RNR management are discussed in the light of
RCT, including tragedy of the commons, overharvesting, resource collapse,
bycatch, single species quotas, and simplification of ecosystems.
PMID- 27209801
TI - A critique of claims for negative impacts of Marine Protected Areas on fisheries.
PMID- 27209802
TI - Response to Hughes et al., critique of our study on the effects of large-scale
closures in the GBR.
PMID- 27209803
TI - No More Excuses for CRC Screening.
PMID- 27209804
TI - Help Make Colon Cancer Screening a Priority.
PMID- 27209805
TI - The Impact of Colorectal Cancer (CRC) in Mississippi, and the need for
Mississippi to Eliminate its CRC Burden.
AB - Colorectal cancer (CRC), while highly preventable and highly treatable, is a
major public health problem in Mississippi. This article reviews solutions to
this problem, beginning with the relationship between modifiable behavioral risk
factors and CRC incidence. It then describes the impact of CRC screening on
national downward trends in CRC incidence and mortality and summarizes recent
data on the burden of CRC in Mississippi. While other states have created
Comprehensive Colorectal Cancer Control Programs in an organized effort to manage
this public health problem, Mississippi has not. Responding to Mississippi's
situation, the 70x2020 Colorectal Cancer Screening Initiative arose as an
unconventional approach to increase CRC screening rates throughout the state.
This article concludes by considering the current limits of CRC treatment success
and proposes that improved clinical outcomes should result from research to
translate recently-identified colorectal cancer subtype information into novel
clinical paradigms for the treatment of early-stage colorectal cancer.
PMID- 27209806
TI - Colonoscopy Atlas of Colon Polyps and Neoplasms.
AB - Optical colonoscopy is the gold standard for colon cancer screening and adenoma
detection and is the only screening option that can potentially provide
therapeutic interventions and adenoma removal during the same session. When other
screening strategies generate positive results, currently colonoscopy is the next
step for definitive diagnosis and potentially curative therapy. For
gastrointestinal endoscopists, the ileocecum is the finishing line during
colonoscopy, and it is identified by three endoscopic landmarks: terminal ileum,
ileocecal valve, and the appendiceal orifice. Careful and systematic examination
should be stressed during endoscopic training and practice. In this pictorial
review, the authors demonstrate common colon polyps and neoplasms that can be
found during colonoscopy. Our aim is to educate gastroenterologists, endoscopy
staff other health care providers, and interested patients on certain colon
pathologies and common endoscopic interventions.
PMID- 27209807
TI - GI Associates Serving The Community. FREE COLONOSCOPY TO THOSE IN NEED.
PMID- 27209808
TI - Colon Cancer: Yes, It's a Terrorist.
PMID- 27209809
TI - The Impact of Preventive Screening Resource Distribution On Geographic and
Population-Based Disparities in Colorectal Cancer in Mississippi.
PMID- 27209810
TI - How to Achieve Colon Cancer Screening in Average Risk Population: A Review of
Different Screening Options.
PMID- 27209811
TI - Back To Genesis or How We Got Here.
PMID- 27209813
TI - Colon Cancer.
PMID- 27209812
TI - Review of Bowel Preparation Agents for Colonoscopy.
PMID- 27209814
TI - Aging in Nebraska.
PMID- 27209816
TI - TIME IS BLOOD.
PMID- 27209815
TI - ANA Sets 'Zero Tolerance' Policy for Workplace Violence, Bullying. Position
Statement Calls on Health Care Employers to Implement Violence Prevention
Programs.
PMID- 27209817
TI - GERIATRIC WEAKNESS.
PMID- 27209818
TI - PEARLS OF WISDOM.
PMID- 27209819
TI - MOVIE MAGIC.
PMID- 27209820
TI - CHICAGO-STYLE TEMS.
PMID- 27209821
TI - PARADIGM SHIFT.
PMID- 27209822
TI - ON THE BLEEDING EDGE.
PMID- 27209823
TI - STOP THE BLEED.
PMID- 27209824
TI - TEXAS RESPONSE AGENCIES STOP THE BLEED.
PMID- 27209825
TI - SURVIVAL MINDSET.
PMID- 27209827
TI - BLEEDING CONTROL.
PMID- 27209826
TI - TOURNIQUET TIPS.
PMID- 27209828
TI - CAMPUS RAPE.
PMID- 27209829
TI - OBSERVING PAIN.
PMID- 27209831
TI - THE (RED) ELEPHANT.
PMID- 27209830
TI - RESPOND, EVALUATE, DIVERT.
PMID- 27209832
TI - EMOTIONAL TRIAGE.
PMID- 27209833
TI - Bronchodilators or combination of long acting beta 2 adrenergic and inhaled
steroids: another competition in the future?!.
PMID- 27209834
TI - Endobronchial Tuberculosis in Anthracotic Bronchitis.
AB - BACKGROUND: Endobronchial tuberculosis (EBTB) is a serious form of pulmonary
tuberculosis. In EBTB, mycobacterium tuberculosis involves trachea, large airways
and bronchial trees Combustion of biomass fuels causes anthracotic bronchitis
that is characterized by black pigmentation in bronchial tissue. The majority of
anthracotic bronchitis are in aged patients, particularly rural women, that use
biomass fuel for cooking or traditional bakery .The aim of this study was to
evaluate the endobronchial tuberculosis in anthracotic bronchitis. MATERIAL AND
METHODS: In total, 483 EBTB patients who underwent flexible bronchoscopy (FB),
for various indications, were included. Tuberculosis was confirmed by
microbiological analysis of bronchoalveolar (smear and culture for Koch's
bacillus) and histopathological methods. EBTB patients were divided in two
groups: subjects with anthracotic bronchitis (group 1) and without anthracosis
(group 2). Demographic data and important clinical, radiological and
bronchoscopic findings were recorded. Chi-squre test and Spss 11.5 software were
used for statistical analysis. RESULTS: 483 out of 1824 patients who underwent FB
had EBTB. 73.7% patients had EBTB and anthracotic bronchitis (versus 27.3% EBTB
without anthracosis). The mean age was significantly higher in EBTB and
anthracotic bronchitis (68.45 +/- 16.31 versus 49.08 +/- 14.7). Female/male ratio
was 1.4:4 in EBTB and anthracotic group versus 1:1 in non anthracotic patients.
Dyspnea, cough, hemoptysis and wheezing were higher in group 1. History of
biomass fuel was more significant in EBTB and anthracotic bronchitis. Mass,
diffuse infiltration in lower lobes were revealed higher in radiography of group
1. Bronchoscopy showed the higher frequency of bronchial stenosis in EBTB with
anthracosis (P value < 0.05). CONCLUSION: This study suggested significant
association between EBTB and anthracotic bronchitis. Unusual findings in
radiological and bronchoscopic features were seen in EBTB with anthracosis.
PMID- 27209835
TI - Obesity in association with Sleep Apnea Syndrome as predictor for coronary
vascular comorbidities.
AB - BACKGROUND AND AIMS: Sleep apnea syndrome (SAS) is a common disorder with growing
awareness. We sought to evaluate if the presence of obesity in patients with SAS
is associated with a high risk for development of coronary-vascular
comorbidities. METHODS: We performed a retrospective study that included 1370
patients (30.3% female and 69.7% male) diagnosed with SAS from May 2005 to May
2012. The collected data included body mass index (BMI), waist/ hip ratio,
abdominal, neck, hip circumference and Epworth Sleepiness Scale. The positive
diagnostic of SAS was based on apnea-hypopnea index (AHI) provided by
polysomnography, and patient comorbidities were obtained from the sleep
laboratory records. RESULTS: From the total of 1370 patients, 989 (72%) had grade
I to III obesity, 305 (22%) were overweight and only 76 (6%) had a normal weight.
Cardiovascular comorbidities were presented in 60.6% of patients, with coronary
disease ranking first (34.2%) followed by heart failure (22.6%) and stroke
(3.8%). The predictors for cardiovascular comorbidities were coronary disease (OR
2.1, 95% Cl 1.20-3.39, p = 0.0063), heart failure (OR 3.44, 95% Cl 1.60-7.74, p <
0.001) but not stroke (OR 2.3 95% Cl 0.57-13.84, p = 0.357). Analyzing the
polysomnography parameters we found a strong correlation for AHI (p < 0.0001),
oxygen desaturation index (p < 0.0001) and mean average oxyhaemoglobin saturation
(p < 0.0001). CONCLUSIONS: Overweight and obese patients with SAS have a poor
outcome, being at high risk of developing other comorbidities like coronary
disease and heart failure.
PMID- 27209836
TI - From smoking to COPD--current approaches.
AB - Chronic obstructive pulmonary disease (COPD) remains a leading cause of death all
over the world. Even though it is the most intensely studied disease induced by
cigarette smoking there are still incomplete researches concerning its
pathophysiology and treatment. So far it has been determined the deleterious
effects of the secreted molecules diversity and some feasible therapies for their
diminution. According to current studies more relevance gains the possible
autoimmune origin of COPD and the epigenetic modifications. The idea of
autoimmunity in smoking induced COPD began to be speculated with the discovery of
autoantibodies in patient's serum, but there are some studies who consider
antibody complexes that reside in the lung tissue as more relevant for future
research. By developing the autoimmune aspect of COPD it will become possible to
select more precise treatment strategies. The importance of epigenetic changes in
this field might be appreciated starting with the fact of an existing connection
between epigenetic modifications induced by maternal smoking and latter COPD
development. This explains the tendency toward different drugs capable of
restoring these transformations such as deacetylation agents expected also to
prevent steroid resistance. Nevertheless smoking cessation remains as the
indispensable approach for COPD treatment and prevention.
PMID- 27209837
TI - Is servoventilation in central sleep apnea syndrom still working?.
AB - Last black box on adaptive servoventilation in central apnoea syndrome generate
many connective problems concerning the alternative therapies. The authors are
starting from two recent french articles on this same subject and are trying to
see what is missing and what we have to do.
PMID- 27209838
TI - Influence of smoking on sleep and obstructive sleep apnea syndrome.
AB - The various ill effects that tobacco smoking has on health have been largely
studied, particularly on vascular, neoplastic, and respiratory diseases. Lately,
the discussion about the negative impact of cigarette smoking moved towards sleep
medicine. Tobacco consumption has been associated with sleep disordered
architecture, both during regular intake and after withdrawal. Its effects on
sleep disordered breathing (SDB) and especially obstructive sleep apnea syndrome
(OSAS) still remain a matter of debate. It is unclear whether smoking represents
a risk factor for OSAS or whether smoking cessation has any beneficial effects on
OSAS and its therapy. There seems to be a synergistic effect between smoking and
OSAS, both causing an increase in cardiovascular morbidity. Future studies are
needed in order to establish the strength of this association. We aim to review
the literature regarding the consequences of smoking on sleep architecture and
SDB, adding emphasis on OSAS clinical implications and treatment.
PMID- 27209839
TI - Skin, ear and testis--unusual sites of tuberculosis.
AB - Pulmonary localization is the most common site of tuberculosis (TB)and the most
contagious form. Extrapulmonary tuberculosis with the rarest and most unexpected
localizations represents a significant proportion of all cases of tuberculosis
and remains an important public health problem. We report three unusual TB
locations: skin, ear and testis occurred in three immunocompetent patients. In
the case of skin and testicular lesions, diagnosis was based on pathological
confirmation of granulomas with caseous necrosis. In the third case the diagnosis
was made possible by identification of positive Acid-Fast Bacilli smear and
positive culture from othic drainage fluid. The outcome at all three patients was
good with antituberculous treatment. These unusual localization of tuberculosis
also highlight the possibility of extrapulmonary tuberculosis as a differential
diagnosis in many common diseases.
PMID- 27209840
TI - Williams-Beuren syndrome--a rare cause of recurrent hemoptysis.
AB - Williams-Beuren syndrome (WBS) is a rare genetic disease with a distinctive
constellation of clinical findings. The disease can be diagnosed clinically by a
recognizable pattern of malformations, including cardiovascular malformations, a
characteristic facial dysmorphism, as well as neurological and cognitive
features. We present the case of a 23-years-old woman repeatedly admitted to
Pulmonology Clinic for massive hemoptysis. Diagnosis of Williams-Beuren syndrome
was revealed by clinical findings and confirmed by CT-angiography data of
cardiovascular malformations and fluorescence in situ hybridization (FISH)
genetic test. WBS is a multisystem disorder and usually is recognized by
clinician. If clinical impression is not clearly consistent with WBS, FISH
remains the most widely used test.
PMID- 27209841
TI - Severe pulmonary arterial hypertension in an adult patient with total anomalous
pulmonary venous connection operated in infancy.
AB - The goal of total anomalous pulmonary venous connection repair is to obtain an
unobstructed communication between the pulmonary veins and the left atrium and
removing intracardiac shunting. However, pulmonary venous obstruction orstenosis
may be seen in 5-10% of patients, is usually evident in the first 6 months
following surgery and may lead to pulmonary congestion, pulmonary arterial
hypertension, and late mortality. In such cases, early intervention may be
indicated before irreversible secondary changes occur. We present the case and
the therapeutic approach of an adolescent patient with total anomalous pulmonary
venous drainage to the superior vena cava operated in infancy who developed
pulmonary venous obstruction and secondary severe pulmonary arterial
hypertension.
PMID- 27209842
TI - Massive hemoptysis due to intercostal artery aneurysm in pulmonary tuberculosis.
AB - Massive hemoptysis is a life-threatening complication of pulmonary tuberculosis.
In the presence of pulmonary tuberculosis, massive hemoptysis can result from a
number of different causes, with an artery aneurysm being rarely reported. Here
we present a case of recurrent massive hemoptysis in a 28-year-old man suffering
from active pulmonary tuberculosis due to an aneurysmatic lesion of the second
intercostal artery. In patients presented with recurrent massive hemoptysis the
non-bronchial systemic arterial blood supply should be assessed.
PMID- 27209843
TI - A small but warm-hearted event.
PMID- 27209844
TI - Pulmonary arterial hypertension in cavo-pulmonary shunts.
PMID- 27209846
TI - FIXING A BROKEN EHR.
PMID- 27209845
TI - Towards a regional network of respiratory medicine.
PMID- 27209847
TI - Ready or Not, HIM is Changing.
PMID- 27209848
TI - Privacy and Security for All.
PMID- 27209849
TI - Restrictions in Action: Three Case Studies.
PMID- 27209850
TI - Using Information Governance Principles to Respond to Litigation.
PMID- 27209851
TI - An Overview of Health IT Standards.
PMID- 27209853
TI - ICD-10-CM Coding Guidance for Long-Term Care Facilities.
PMID- 27209852
TI - The Expanding Role of Care Coordination in HIM.
PMID- 27209854
TI - Calendar Year 2015 OPPS Update.
PMID- 27209855
TI - Physician Fee Schedule Released for 2015.
PMID- 27209856
TI - [Advanced Medical Healthcare in Ophthalmic Medical Care].
PMID- 27209857
TI - [Indication and Efficacy of Amniotic Membrane Transplantation Performed under
Advanced Medical Healthcare].
AB - PURPOSE: To survey indications and outcomes of amniotic membrane transplantation
(AMT) performed under Advanced Medical Healthcare (AMH) in Japan. METHODS:
Questionnaires were sent to 21 facilities performing AMT under AMH from 2010
2012. Diagnosis, effectiveness, and final outcomes were surveyed. Moreover, we
retrospectively examined the diagnosis, treatment effectiveness, and final
outcomes in all AMTs performed under AMH at Kyoto Prefectural University of
Medicine (KPUM) from April 2009 through June 2013. RESULTS: Clinical data of 311
eyes that underwent AMT under AMH was obtained. Of those, diagnoses included
pterygium (n = 148), corneal ulcer/epithelial defect (n = 60), corneal
perforation (n = 28), intractable glaucoma (n = 24), and others (n = 51). The
surgical outcome was completely or partially successful in 287 eyes (92.3%). The
final outcome was effective in 281 eyes (90.4%). A total of 45 eyes of 37
patients underwent AMT under AMH at KPUM. Of those, diagnoses included pterygium
(n = 15), recurrence of pterygium (n = 27), and symblepharon (n = 3). The
surgical outcome was completely successful in 98% and partially successful in 2%.
The final outcome was effective in all cases. CONCLUSION: AMT performed under AMH
in Japan was most common in eyes with pterygium, and was highly effective in
these cases, as well as corneal ulcer/epithelial defect, corneal perforation, and
intractable glaucoma.
PMID- 27209858
TI - [Epidemiological Study of Poor Visual Acuity among Schoolchildren in Bonin
Islands].
AB - PURPOSE: To investigate the change in uncorrected visual acuity (UCVA) among
schoolchildren in Bonin Islands. SUBJECTS AND METHODS: UCVA of schoolchildren
aged 6 to 14 years, was collected from reports of School Health Examination
Surveys conducted from 1981 to 2012. The proportion of schoolchildren with poor
UCVA in the Bonin Islands was compared with those in metropolitan Tokyo. The
results in Bonin Islands were also divided into two groups, before- and after
1996 when terrestrial television broadcasting service has been started, and the
data of those two groups were compared. RESULTS: The proportion of schoolchildren
with poor UCVA in Bonin Islands was lower than that in Tokyo. Among the residents
of Bonin Islands, the proportion of schoolchildren with UCVA of < 1.0 was higher
in the after-1996 group than in the before- 1996 group, with a clear increase in
schoolchildren with poor UCVA after 1996 (p < 0.01, Fisher's exact test),
examined among the 4th grade of elementary school or above. After 1996, 26.6% of
first graders at a public junior high school had poor UCVA of < 0.7, whereas
before 1996 no such case could be detected. CONCLUSION: The present study
revealed that the proportions of schoolchildren with poor UCVA in Bonin Islands
was lower than that in Tokyo; but in the residents of Bonin Islands after 1996,
the proportion of the schoolchildren with poor UCVA increased.
PMID- 27209859
TI - [Stereoacuity in Glaucoma Patients].
AB - PURPOSE: Studies report that glaucoma significantly affects stereoacuity. We
investigated the stereoacuity in glaucoma and preperimetric glaucoma patients.
METHODS: The near stereoacuity in glaucoma patients and preperimetric glaucoma
patients was evaluated using Titmus stereo test, and distance stereoacuity was
evaluated using Distance Randot stereotest. RESULTS: We found a significant
reduction both in near and in distance stereoacuity in the glaucoma group
compared with the control group, but the difference between the preperimetric
glaucoma group and the control group were not significant. We observed a trend of
decrease in near stereoacuity with increase in age and increased number of
central scotoma cases, as well as a trend of decrease in distance stereoacuity
with increased age. CONCLUSIONS: The near and distance stereoacuity in glaucoma
patients was significantly impaired. Our results suggest that visual field damage
within the central 5 degrees may affect the near stereoacuity in glaucoma
patients.
PMID- 27209860
TI - [Foreign Body in the Anterior Chamber 10 Months after Uneventful Cataract
Surgery].
AB - PURPOSE: A foreign body detected in the anterior chamber 10 months after
uneventful sutureless cataract surgery. CASE REPORT: Clear corneal
phacoemulsification and intraocular lens placement (PEA + IOL) were performed on
a 74-year-old man suffering from vision loss caused by senile cataracts. After
uneventful surgery, the postoperative course appeared to be problem-free.
OBSERVATION: However, 10 months after the operation, the patient reported blurred
vision. Slit-lamp examination revealed an oil droplet, reminiscent of a foreign
body, about 1 mm in diameter, on the IOL surface. The visual acuity problem did
not become exacerbated and the anterior segment of the eye remained intact.
Although we removed the foreign body, qualitative testing was impossible because
the body disintegrated. CONCLUSIONS: A rare case of a foreign body in the
anterior chamber 10 months after uneventful PEA + IOL. We are of the view that
the body may have been composed of ophthalmic ointment delivered from the
conjunctival sac at the end of surgery.
PMID- 27209861
TI - Faculty Role: A Choice of Styles.
PMID- 27209862
TI - Unlearning Revisited.
PMID- 27209863
TI - What Student Cues Prompt Online Instructors to Offer Caring Interventions?
AB - AIM: The aim was to describe how instructors respond to students' demonstrating a
need for caring in online classrooms. BACKGOUND: Previous studies have documented
student perceptions of caring in online classrooms. Knowledge development of
instructor perceptions was needed. METHOD: Fifty-six online nurse educators from
10 states and 20 institutions completed an online survey requesting information
about student cues that prompt caring interventions, what instructors do in
response to those cues, and how students respond to the interventions. RESULTS:
Identified student cues fell into six categories: Academic Struggle, Appeals for
Help, Concerning Behaviors, Withdrawal, Personal Problems, and Positive Events.
Instructor responses fell into three categories: Reaching Out, Concrete Academic
Support, and Intentional Caring Comportment. Student responses to caring
interventions fell into three categories: Gratitude, Finding Their Voice, and
Academic Improvement. CONCLUSION Results clarify the dynamics of unstructured
caring interactions between teachers and students in the online setting.
PMID- 27209864
TI - Effectiveness of Integrated Simulation and Clinical Experiences Compared to
Traditional Clinical Experiences for Nursing Students.
AB - AIM: The focus of this research study was the evaluation of the effectiveness of
using high-fidelity simulations to replace 50 percent of traditional clinical
experiences in obstetrics, pediatrics, critical care, and mental health nursing.
BACKGROUND: Increasing student admissions to nursing programs require additional
clinical learning opportunities to accommodate extra students. METHOD: Three
schools with associate degree nursing programs partnered to identify, implement,
and evaluate a creative solution to this dilemma. The resulting quasi
experimental study investigated if substituting half of the conventional clinical
experiences with simulations was as effective as traditional clinical activities
in obstetrics, pediatrics, mental health, and critical care. One hour of
simulation counted for two hours of clinical time. RESULTS Findings indicated
combining simulations with conventional clinical experiences resulted in
significantly higher scores on the pre-graduation exit exam than traditional
clinical experiences alone. CONCLUSIONS: Findings have implications for
articulation and basic students in associate degree nursing programs.
PMID- 27209866
TI - The Impact of Critical Thinking on Clinical Judgment During Simulation With
Senior Nursing Students.
AB - AIM: The study examined the impact of critical thinking (CT) on clinical judgment
(CJ) during a pediatric Objective Structured Clinical Evaluation (OSCE) with 160
pre-licensure nursing students. BACKGROUND: Educators are called to transform
teaching strategies to develop CJ but confusion exists over definitions. METHOD:
A descriptive correlational design was used to examine demographics and Tower of
Hanoi (TOH) and Health Science Reasoning Test (HSRT) scores. CJ was measured by
scores on the Lasater Clinical Judgment Rubric (LCJR) from videotaped OSCEs.
RESULTS: Participants were: 86 percent female, 42 percent Caucasian, median 23
years, with 49 percent having health care experience. Students averaged seven
moves over minimum on the TOH. Average scores were: HSRT 25/38 and LCJR 31/44.
Statistically significant predictors of CJ were gender, ethnicity, HSRT
deduction, and analysis; 11 CT variables accounted for 17 percent of LCJR scores.
CONCLUSION: Educators need to utilize/develop innovative teaching strategies
addressing CJ predictors.
PMID- 27209865
TI - Rater Bias in Simulation Performance Assessment: Examining the Effect of
Participant Race/Ethnicity.
AB - AIM: The purpose of this study was to determine whether scores assigned to
simulation participants using the Lasater Clinical Judgment Rubric (LCJR) were
influenced by participants' racial/ethnic backgrounds. BACKGROUND: Scores on the
LCJR demonstrate strong reliability and validity. However, little evidence exists
about whether scores are influenced by factors that are not relevant to the
demonstration of clinical judgment, such as simulation participants'
racial/ethnic backgrounds. METHOD: Using video-recorded simulations portraying
male and female nursing students of different racial/ethnic backgrounds, LCJR
scores assigned by 68 raters were compared to determine whether there were
significant differences among them. RESULTS: This study provides validity
evidence indicating LCJR scores were not significantly affected by the simulation
participants' racial/ethnic backgrounds. CONCLUSION: Findings support the use of
the LCJR for providing valid data about student performance in simulation
activities and provide a catalyst for further examination of simulation
evaluation practices.
PMID- 27209867
TI - A Concept Analysis of Vulnerability During Transitions.
AB - AIM: Define the concept of vulnerability during transitions. BACKGROUND: There is
a need to produce RNs with the knowledge, skills, and attitudes (KSAs) necessary
to care for the growing older adult population. The NLN Advancing Care Excellence
for Seniors (ACE.S) project developed a framework to help faculty and pre
licensure students develop these KSAs. Key to this framework is the concept of
vulnerability during transitions. METHOD: Rogers and Knafl's evolutionary method
of concept analysis. RESULTS: The analysis revealed two antecedents (use of
multiple medications to treat disease, fragmentation of the health care system),
two attributes (inadequate continuity of care, poor communication and
coordination of care among health care providers, patients, and families), and
two consequences (readmission to a previous or new care setting, potential
negative health outcomes). CONCLUSION: Knowledge of the antecedents, attributes,
and consequences of vulnerability during transitions will facilitate improved
care for older adults in all setting.
PMID- 27209868
TI - QSEN: Curriculum Integration and Bridging the Gap to Practice.
AB - The purpose of this project was to revise the curriculum at a diploma school of
nursing to meet the call issued by QSEN. Course objectives were revised and
principles of quality and safety were threaded throughout the curriculum with
classroom, clinical, and simulation strategies. Students and faculty completed
the Healthcare Professionals Patient Safety Assessment Curriculum Survey, and
preceptors were surveyed regarding students' ability to meet QSEN competencies.
No difference was found post-implementation, but statistically significant
differences were found between students and faculty and between students at the
start of the nursing program and one year later.
PMID- 27209869
TI - Capital We Must Develop: Emotional Competence Educating Pre-Licensure Nursing
Students.
AB - Emotional competency is a skill commonly overlooked within the nursing
curriculum. However, with the complexity of the health care environment and
increased emphasis on team collaboration, nurse educators who focus on health
promotion and technical, medical, and organizational competencies need to
consider adding a focus on soft skills, such as emotional competency. This pilot
study engaged 14 pre-licensure nursing students who were involved in an 18-month
leadership program. Pre-post scores of the Emotional and Social Competency
Inventory are described. Statistical significance was found with three core
areas: emotional self-awareness, emotional self-control, and inspirational
leadership.
PMID- 27209870
TI - Nursing Students' Clinical Experience With Death: A Pilot Study.
AB - Although debriefing in simulation settings is routine in nursing education,
debriefing does not routinely take place in clinical settings with nursing
students after a patient has died. This pilot study sought to explore nursing
students' perceptions of their first experience with the death of a patient.
Students reported emotional distress and feelings of inadequacy with regard to
communicating with and supporting the family of the dying patient. Only half the
students sampled reported debriefing by their clinical instructor or staff. Nurse
educators must include debriefing and student support following a patient death
in the clinical setting.
PMID- 27209871
TI - Nursing Student Perceptions of Digital Textbooks: A Pilot Study.
AB - Digital textbooks are increasing in popularity, often resulting from the
perception that students demand the use of technology in academics. However, few
studies have been done on student perceptions of digital textbooks. A pilot study
was conducted with students enrolled in a nursing research course; 123 nursing
students participated. This study found that students overwhelmingly preferred
print textbooks over digital textbooks. More research needs to be done before
assuming students would prefer digital textbooks over print.
PMID- 27209872
TI - The Integrated Nursing Pathway: An Innovative Collaborative Model to Increase the
Proportion of Baccalaureate-Prepared Nurses.
AB - This manuscript describes a collaborative, seamless program between a community
college and a university college of nursing designed to increase the number of
nurses prepared with a baccalaureate degree. The three-year Integrated Nursing
Pathway provides community college students with a non-nursing associate degree,
early introduction to nursing, and seamless progression through BSN education.
The model includes dual admission and advising and is driven by the need for
collaboration with community colleges, the need to increase the percentage of
racial-ethnic minority students, the shortage of faculty, and employer
preferences for BSN graduates.
PMID- 27209873
TI - Perspectives From the Field: Bringing Nurse Leaders Into the Classroom.
AB - Leadership is a vital component of nurses' careers and baccalaureate nursing
programs are required to include leadership competencies in undergraduate nursing
education. To design learning experiences that emphasize professional identity
formation, nurse leaders were invited as guest speakers in a senior-level
didactic leadership course, but scheduling often interfered with participation.
To inspire students and maximize nurse leaders' time, recorded video was
investigated as a solution. Following videotaped interviews with nurse leaders, a
10-minute video was produced and shown to students in a nursing leadership
course. The video project was evaluated for feasibility, cost-effectiveness, and
usefulness as an instructional tool for empowering nursing students.
PMID- 27209874
TI - Preparing BSN Students for Population-Focused Nursing Care.
AB - A baccalaureate nursing program in central North Carolina, in partnership with a
local homeless shelter and community clinic, serves a vulnerable, underserved
population while helping students gain hands-on experience in population-focused
nursing. Students assess health needs, issues in access to care, and other health
challenges using health assessment tools, surveys, and one-on-one dialogue. They
then prioritize the top three health challenges and issues for the population and
plan and implement educational sessions. After this experience, students report
greater understanding of the concepts of community health and are able to apply
them in practice. It is recommended that others considering this approach collect
data to document the effectiveness of services to the population served and for
funding of such initiatives.
PMID- 27209875
TI - Health Literacy Concepts in Nursing Education.
AB - The impact of low health literacy on the health care system is astronomical. The
ability to learn, retain, and apply health information is greatly affected by
health literacy and thus greatly affects patient outcomes. The responsibility of
patient education is mostly shouldered by nurses and yet nursing is the
discipline that is most lacking in knowledge and awareness about health literacy.
Providing nursing students with the necessary tools to assess patient health
literacy and to assess their own patient teaching is a vital component of patient
education. Nursing curricula is the place to start.
PMID- 27209876
TI - Consumer Electronics Show 2016: Implications for Nursing Education.
PMID- 27209878
TI - Impact of 'Internet of Things' expected to increase for facilities.
PMID- 27209879
TI - Health network serves up food and jobs from grocery store in renovated building.
PMID- 27209877
TI - Simulation Education Solutions for Nursing.
PMID- 27209880
TI - Waste-recycling program a model of sustainability, care.
PMID- 27209881
TI - Rooftop data center overcomes space challenges.
PMID- 27209882
TI - Patient safety initiatives are everybody's business.
PMID- 27209883
TI - Xenon UV technology, teamwork cut infections.
PMID- 27209884
TI - LET IT FLOW. Outpatient facilities embrace Lean design to improve patient
throughput.
PMID- 27209885
TI - Time-tested success. Legacy Project Award looks beyond momentary trends.
PMID- 27209886
TI - LEARNING BY DOING: Johns Hopkins expands sim center to meet growing demand.
PMID- 27209887
TI - Property values. Monetizing health care real estate to tap potential capital.
PMID- 27209889
TI - Get involved, get rewarded: The benefits of volunteering.
PMID- 27209888
TI - Clean hands compliance. Monitoring systems provide high-tech infection
prevention.
PMID- 27209890
TI - Improving HCAHPS scores by offering hospitable service.
PMID- 27209891
TI - Mass notification system planning. Designing infrastructure to handle any
emergency.
PMID- 27209892
TI - A welcome distraction.
PMID- 27209893
TI - [Not Available].
PMID- 27209894
TI - [Histone deacetylase inhibitors: new synergistic third-line option in multiple
myeloma].
AB - Despite advances in drug therapy of the orphan disease multiple myeloma, patients
relapse or become refractory to first-line therapy, and the disease remains
incurable. Therefore, histone deacetylase inhibitors have emerged as a new class
of anti-myeloma drugs, with synergistic results on progression free survival when
given in combination to current first-line therapy. Histone deacetylase
inhibitors influence gene expression of target genes. Based on results of an
extensive multicenter phase III trial, panobinostat was approved by the FDA in
February 2015 as the first histone deacetylase inhibitor for the treatment of
multiple myeloma. In Europe, panobinostat received marketing authorization by
August 2015.
PMID- 27209895
TI - [Diabetic retinopathy--Current aspects of therapy].
AB - Pathological changes of the small blood vessels are the main risk for diabetic
retinopathy. A distinction is made between proliferative and non-proliferative
processes. The diabetic macular edema can manifest itself at any stage of the
diabetic retinopathy and poses a serious threat to vision and quality of life.
Evidence based therapy primarily focuses on laser coagulation. Laser coagulation
suspends progression of the disease and is used particularly for extrafoveolar
edema. Especially a pathological swelling, such as the cystoid edema, in this
central part of the retina, can cause a rapid deterioration of vision. The
treatment of cystoid macular edema with intravitreal application of drugs is a
widespread therapeutical approach. Invasive therapeutical drug application into
the vitreous cavity has to be sterile in order to prevent infection. The usage of
VEGF (Vascular endothelial growth factor) antagonists is an effective treatment
for the diabetic macular edema. Several drugs are now available for intravitreal
injection. Nevertheless a small number of medical drugs regularly administered to
patients still have to be approved by the authorities (off-label use). One can
distinguish mainly between VEGF antagonist (growth factor antagonist) like
ranibizumab, aflibercept and bevacizumab and steroid therapy which includes
dexamethasone, fluocinolone and triamcinolone.
PMID- 27209896
TI - [Non-inferiority trials].
AB - More and more clinical trials for new drugs are designed as non-inferiority
trials. In order to assess the validity of these trials, however, a number of
peculiarities have to be considered. This applies, e.g., to the exact definition
of "non-inferiority" as well as to the data evaluation methods.
PMID- 27209897
TI - [Not Available].
PMID- 27209898
TI - Facile synthesis of mosquitocidal silver nanoparticles using Mussaenda glabra
leaf extract: characterisation and impact on non-target aquatic organisms.
AB - Plant-borne compounds have been proposed for extracellular synthesis of
mosquitocidal nanoparticles. However, their impact against mosquito natural
enemies has been scarcely studied. Here, we synthesised silver nanoparticles (Ag
NPs) using Mussaenda glabra leaf extract as reducing and stabilising agent.
Biofabricated Ag NPs were characterised by UV-vis spectrophotometry, X-ray
diffraction, Fourier transform infrared (FTIR) spectroscopy, scanning electron
microscopy (SEM) and transmission electron microscopy (TEM). Compared to the leaf
aqueous extract, biosynthesised Ag NPs showed higher toxicity against mosquito
vectors Anopheles subpictus, Aedes albopictus and Culex tritaeniorhynchus with
LC50 of 17-19 MUg/mL, respectively. Ag NPs were found safer to non-target
organisms Diplonychus indicus and Gambusia affinis, with respective LC50 values
ranging from 1446 to 8628 MUg/mL. Overall, M. glabra-fabricated Ag NPs are a
promising and eco-friendly tool against larval populations of mosquito vectors of
medical and veterinary importance, with negligible toxicity against other non
target aquatic organisms.
PMID- 27209899
TI - Diagnosis and Management of Iliac Artery Endofibrosis: Results of a Delphi
Consensus Study.
AB - OBJECTIVE: Iliac endofibrosis is a rare condition that may result in a reduction
of blood flow to the lower extremity in young, otherwise healthy individuals. The
data to inform everyday clinical management are weak and therefore a Delphi
consensus methodology was used to explore areas of consensus and disagreement
concerning the diagnosis and management of patients with suspected iliac
endofibrosis. METHODS: A three-round Delphi questionnaire approach was used among
vascular surgeons, sports physicians, sports scientists, radiologists, and
clinical vascular scientists with experience of treating this condition to
explore diagnosis and clinical management issues for patients with suspected
iliac artery endofibrosis. Analysis is based on 18 responses to round 2 and 14
responses to round 3, with agreement reported when 70% of respondents were in
agreement. RESULTS: Initially there was agreement on the typical symptoms at
presentation and the need for an exercise test in the diagnosis. Round 3
clarified that duplex ultrasound was a useful tool in the diagnosis of
endofibrosis. There was consensus on the most appropriate type of surgery
(endarterectomy and vein patch) and that endovascular interventions were
inadvisable. The final round helped to inform aspects of the natural history and
post-operative surveillance. Progression of the disease was likely with continued
exercise but cessation may prevent progression. Surveillance after surgery is
generally recommended yearly with at least a clinical assessment. CONCLUSIONS:
There is broad agreement about the presenting symptoms and the investigations
required to confirm (or exclude) the diagnosis of iliac endofibrosis. There was
consensus on the surgical approach to repair. Disagreement existed about the
specific diagnostic criteria that should be applied during non-invasive testing
and about post-operative care and resumption of exercise.
PMID- 27209900
TI - Effectiveness of perindopril/amlodipine fixed dose combination in everyday
clinical practice: results from the EMERALD study.
AB - OBJECTIVE: The rates of blood pressure (BP) control worldwide are discouraging.
This study had the purpose of assessing the effectiveness of
perindopril/amlodipine fixed dose combination on BP-lowering efficacy, and
recording adherence, safety and tolerability during a 4 month treatment period.
RESEARCH DESIGN AND METHODS: In this multicenter, observational study 2269
hypertensive patients were prospectively enrolled. The data were recorded at 1
and 4 months of treatment. MAIN OUTCOME MEASURES AND RESULTS: Between the first
and third visits mean BP values (systolic/diastolic) decreased from 158.4 +/-
13.6/89.9 +/- 8.7 mmHg to 130.0 +/- 7.9/77.7 +/- 6.3 mmHg (P < 0.001). The
magnitude of BP reduction depended on baseline blood pressure levels and total
cardiovascular (CV) risk (P < 0.001). Patients with grade 1, 2 and 3 showed a BP
reduction of 21.9/10.0 mmHg, 34.4/14.2 mmHg and 51.4/21.2 mmHg, accordingly (P <
0.001). Patients with very high, high, moderate and low added CV risk showed a BP
reduction of 35.7/14.9 mmHg, 27.5/12.1 mmHg, 28.6/12.2 mmHg and 14.5/5.8 mmHg
respectively (P < 0.001). Adherence to treatment was high: 98.3% of the sample
was taking the treatment "every day" or "quite often", while only 15 patients
(0.7% of the sample) prematurely discontinued treatment. Study interpretation may
be limited by the fact that this is an observational study with no comparator and
a short follow-up period. CONCLUSIONS: A perindopril/amlodipine fixed dose
combination significantly decreases BP levels. The degree of BP reduction is
related to baseline BP levels and total CV risk.
PMID- 27210001
TI - Cholesterol Autoxidation Revisited: Debunking the Dogma Associated with the Most
Vilified of Lipids.
AB - The longstanding dogma that cholesterol (chol) autoxidation gives chol 7
hydroperoxide (7-OOH) as the sole primary product is shown to be invalid. In
fact, the epimers of each of chol 4-OOH, 6-OOH, and 7-OOH are readily formed.
Although the C4-H bond that must be cleaved to produce the chol 4-OOH and 6-OOH
products is significantly stronger than the C7-H bond, H-atom abstraction from C4
is facilitated by H-bond formation between the attacking peroxyl radical and the
3beta-OH. Chol 5alpha-OOH is also formed, but only in the presence of a good H
atom donor. Chol 5alpha-OOH and 6-OOH undergo Hock fragmentation to yield the
secosterols implicated in cardiovascular and neurodegenerative diseases,
suggesting that they are likely to arise simply from autoxidation and not from
reactions with O3 or (1)O2.
PMID- 27210002
TI - Fetal developmental programing: insights from human studies and experimental
models.
AB - BACKGROUND: Environmental factors, particularly nutrition during pregnancy and
early life can influence the risk of chronic diseases in later life. The
underlying mechanism, termed "programing", postulates that an environmental
stimulus during a critical window of time, early in life, has a permanent effect
on subsequent structure and function of the organism. OBJECTIVE: In this study we
review the concept of fetal programing on chronic diseases and the proposed
hypotheses for the association between early development and later disease,
including epigenetic variation. We concentrate on specific aspects of maternal
nutrition, particularly under-nutrition and over-nutrition, in humans and animal
models. CONCLUSION: An adequate maternal nutrition during pregnancy is crucial
for the health outcome of the offspring at adulthood.
PMID- 27210003
TI - Effects of low-protein diets supplemented with indispensable amino acids on
growth performance, intestinal morphology and immunological parameters in 13 to
35 kg pigs.
AB - The objective of this study was to determine if a moderate or high reduction of
dietary CP, supplemented with indispensable amino acids (IAA), would affect
growth, intestinal morphology and immunological parameters of pigs. A total of 40
barrows (initial BW=13.50+/-0.50 kg, 45+/-2 day of age) were used in a completely
randomized block design, and allocated to four dietary treatments containing CP
levels at 20.00%, 17.16%, 15.30% and 13.90%, respectively. Industrial AA were
added to meet the IAA requirements of pigs. After 4-week feeding, blood and
tissue samples were obtained from pigs. The results showed that reducing dietary
CP level decreased average daily gain, plasma urea nitrogen concentration and
relative organ weights of liver and pancreas (P<0.01), and increased feed
conversion ratio (P<0.01). Pigs fed the 13.90% CP diet had significantly lower
growth performance than that of pigs fed higher CP at 20.00%, 17.16% or 15.30%.
Moreover, reducing dietary CP level decreased villous height in duodenum (P<0.01)
and crypt depth in duodenum, jejunum and ileum (P<0.01). The reduction in the
dietary CP level increased plasma concentrations of methionine, alanine (P<0.01)
and lysine (P<0.05), and decreased arginine (P<0.05). Intriguingly, reducing
dietary CP level from 20.00% to 13.90% resulted in a significant decrease in
plasma concentration of IgG (P<0.05), percentage of CD3+T cells of the peripheral
blood (P<0.01), also down-regulated the mRNA abundance of innate immunity-related
genes on toll-like receptor 4, myeloid differentiation factor 88 (P<0.01) and
nuclear factor kappa B (P<0.05) in the ileum. These results indicate that
reducing dietary CP level from 20.00% to 15.30%, supplemented with IAA, had no
significant effect on growth performance and had a limited effect on
immunological parameters. However, a further reduction of dietary CP level up to
13.90% would lead to poor growth performance and organ development, associated
with the modifications of intestinal morphology and immune function.
PMID- 27210004
TI - Safety of mTOR inhibitors in breast cancer.
AB - INTRODUCTION: Despite advances in the treatment of metastatic breast cancer, the
lack of response or relapse/progression during the course of therapy continue to
present a challenge towards deeper understanding of dysregulated signaling
pathways in breast cancer. Consequently, there is an unmet medical need for the
development of new agents to overcome the resistance to therapy and improve the
treatment outcome. AREAS COVERED: In this review, the mechanism of action and the
role of intracellular PI3K/AKT/mTOR signaling pathway inhibition in breast cancer
patients are described. Everolimus has been approved in combination with
exemestane for the treatment of hormone-receptor-positive advanced breast cancer
after failure of nonsteroidal aromatase inhibitor therapy. The aim of this paper
is to focus on the safety and efficacy of mTOR inhibitors in the treatment of
breast cancer. Current strategies of major adverse event management and
prevention are delineated. EXPERT OPINION: Study results demonstrate clearly that
the inhibition of the PI3K/AKT/mTOR pathway represents a promising approach to
improve the efficacy of other targeted therapies in estrogen receptor-positive
breast cancer patients with an acceptable safety profile. Although side effects
are not uncommon, these are usually mild to moderate in severity and manageable
with supportive care and dose adjustments.
PMID- 27210006
TI - Phosphate Supplementation During Refeeding of Hospitalized Adolescents With
Anorexia Nervosa-Watch and Wait or Empirically Treat.
PMID- 27210007
TI - The State of Sex Education in the United States.
PMID- 27210008
TI - Promoting Uptake of Long-Acting Reversible Contraception Among Teen Mothers.
PMID- 27210009
TI - Determinants and Correlates of Preventive Behaviors at First Sex With a First
Partner and Second Partner: Analysis of the FECOND Study.
AB - PURPOSE: This study explores transitions in contraceptive use in early sexual
life in France and has three objectives: describe predictors of contraceptive use
at first sex with first and second partners, describe contraceptive trajectories
in these partnerships, and test associations between use at first sex and
switching in first partnership on use with second partner. METHODS: Our analyses
include 1,823 participants, aged 15-29 years, of the 2010 French national sexual
health survey who reported at least two lifetime sexual partners and a subset of
1,593 people who report contraceptive use throughout their first partnership. We
use logistic regression and generalized estimating equation models to investigate
the three objectives. RESULTS: Our results reveal a decline in contraceptive use
between first and second partner, driven primarily by decreases in condom use,
from 87.9% to 79.5% between first and second partner. This is partially offset by
an increase in use of effective methods (from 7.8% to 38.1%), particularly by
women. Any method use and discontinuation with first partner were predictors of
patterns with second partner. CONCLUSIONS: Analysis of early transitions in
contraceptive use of adolescents in early sexual life reveals shifts from
sexually transmitted infection to pregnancy prevention and an increase in
unprotected sex.
PMID- 27210010
TI - The Role of Pejorative Search Terms and Professional Antivaccine Advocates on
Search Engine Results for Human Papillomavirus Vaccine.
PMID- 27210011
TI - In Reply to the Letter from McDermott et al.
PMID- 27210012
TI - Diffusion of Intervention Effects.
PMID- 27210013
TI - Response to Gorman et al., "Comment on Rulison et al. (2015). Diffusion of
Intervention Effects".
PMID- 27210014
TI - Increasing Referrals for Gender Dysphoria.
PMID- 27210015
TI - Reply to: Zucker et al., "Comment on Chen, Fuqua, and Eugster's (2016)
'Characteristics of Referrals for Gender Dysphoria Over a 13-Year Period'".
PMID- 27210016
TI - Identification of Bacillus megaterium and Microbacterium liquefaciens genes
involved in metal resistance and metal removal.
AB - Bacillus megaterium MNSH1-9K-1 and Microbacterium liquefaciens MNSH2-PHGII-2, 2
nickel- and vanadium-resistant bacteria from mine tailings located in Guanajuato,
Mexico, are shown to have the ability to remove 33.1% and 17.8% of Ni,
respectively, and 50.8% and 14.0% of V, respectively, from spent petrochemical
catalysts containing 428 +/- 30 mg.kg(-1) Ni and 2165 +/- 77 mg.kg(-1) V. In
these strains, several Ni resistance determinants were detected by conventional
PCR. The nccA (nickel-cobalt-cadmium resistance) was found for the first time in
B. megaterium. In M. liquefaciens, the above gene as well as the czcD gene
(cobalt-zinc-cadmium resistance) and a high-affinity nickel transporter were
detected for the first time. This study characterizes the resistance of M.
liquefaciens and B. megaterium to Ni through the expression of genes conferring
metal resistance.
PMID- 27210017
TI - Placental cadmium as an additional noninvasive bioindicator of active maternal
tobacco smoking.
AB - Tobacco smoke (TS) is a mixture of chemicals that is known to exert carcinogenic
and endocrine-disrupting effects, as well as adverse effects on various systems.
In TS nicotine is the major alkaloid and cadmium (Cd) the most abundant metal
ion. The aim of this investigation was to assess exposure to Cd attributed to TS
in healthy postpartum subjects (mean age 28 years) after term vaginal delivery in
a clinical hospital by determining metal levels in maternal blood, placenta, and
cord blood in relation to nicotine in maternal hair (12-cm-long samples). Two
study groups were compared based upon self-reporting data: smokers (n = 32;
continual cigarette smoking 3 months before and 9 months during pregnancy) and
nonsmokers (n = 54; including passive smokers whose parameters did not differ
from unexposed nonsmokers). In smokers compared to nonsmokers maternal hair
nicotine concentrations increased approximately sevenfold, while Cd levels rose
fourfold in maternal blood and up to twofold in placenta. Significant positive
correlations were noted between maternal hair nicotine and placental Cd, maternal
hair nicotine and maternal blood Cd, and placental Cd and maternal blood Cd.
Levels of cord blood Cd were low in both study groups (<0.1 ng/ml). Data indicate
that Cd in placenta may serve as a noninvasive bioindicator in addition to
commonly used noninvasive hair nicotine in maternal TS assessment, especially in
cases where unavailable or inappropriate (short or chemically treated) hair
samples occur.
PMID- 27210018
TI - Obviating much of the need for insulin therapy in type 2 diabetes mellitus: A re
assessment of insulin therapy's safety profile.
AB - Current processes of care for diabetes mellitus (DM) were shaped during the era
when insulin therapy was considered inexorable to the management of advanced
stage type 2 (T2DM), though this no longer appears to be categorically true.
There are also dashed hopes that insulin therapy can prevent or stall diabetes.
While exogenous insulin remains a life-sparing tool for fully insulin-dependent
DM, insulin therapy-induced hyperinsulinemia now appears to contribute to serious
safety issues beyond hypoglycemia and weight gain. Iatrogenic and compensatory
hyperinsulinemia are metabolic disruptors of beta-cells, liver, muscle, kidney,
brain, heart and vasculature, inflammation, and lipid homeostasis, among other
systems. This may compromise beta-cells, exacerbate insulin resistance (IR), and
increase risk of cardiovascular (CV) disease. Striking associations between
exogenous insulin and risks of CV events, cancer, and all-cause mortality in
clinical trial and real-world cohorts caution that insulin may pose more harm
than previously evidenced. At our disposal are numerous alternate tools that,
alone or in combination, efficaciously manage hyperglycemia and
glucolipotoxicity, and do so without inducing hypoglycemia, weight gain, or
hyperinsulinemia. Moreover, these new tools support true precision therapy, as
modern day drug classes can be aligned with the various mediating pathways of
hyperglycemia at work in any given patient. Some also appear to promote beta-cell
survival, with intriguing data being presented for newer agents, such as
incretins. As such, we encourage preferential use of non-insulin antidiabetic
agents to injected insulin for the management of non-insulin-dependent patients
with T2DM, including in advanced stage T2DM. The goal of this article is to
augment existing literature to 1) correct misconceptions on the rationale and
necessity for insulin therapy in T2DM, 2) discuss emerging negative safety data
with insulin therapy, and, 3) offer a practical means to reduce reliance on
insulin through delayed initiation, minimized dose, and, drug switching to safer
agents, and, potentially, reframes processes of care.
PMID- 27210022
TI - Does topical use of autologous serum help to reduce post-tonsillectomy morbidity?
A prospective, controlled preliminary study.
AB - BACKGROUND: To evaluate the effects of autologous serum usage on throat pain,
haemorrhage and tonsillar fossa epithelisation in patients after tonsillectomy.
METHODS: Thirty-two patients (aged 4-15 years) were included in the study.
Tonsillectomy was performed and autologous serum was administered topically to
the right tonsillar fossa during the operation, and at 8 and 24 hours post
operatively. The left side served as the control. A visual analogue scale was
used to record the patient's pain every day. Each patient's oropharynx was
observed on the 5th and 10th post-operative days to examine bleeding and
epithelisation. RESULTS: The pain scores for the side administered autologous
serum were significantly lower than those for the control side, on the night
following the operation and on the 1st, 2nd, 5th and 6th post-operative days.
Tonsillar fossa epithelisation was significantly accelerated on the study side
compared with the control side on the 5th and 10th post-operative days.
CONCLUSION: In tonsillectomy patients, topically administered autologous serum
contributed to throat pain relief and tonsillar fossa epithelisation during the
post-operative period.
PMID- 27210019
TI - Lysines in the tetramerization domain of p53 selectively modulate G1 arrest.
AB - Functional in a tetrameric state, the protein product of the p53 tumor suppressor
gene confers its tumor-suppressive activity by transactivating genes which
promote cell-cycle arrest, senescence, or programmed cell death. How p53
distinguishes between these divergent outcomes is still a matter of considerable
interest. Here we discuss the impact of 2 mutations in the tetramerization domain
that confer unique properties onto p53. By changing lysines 351 and 357 to
arginine, thereby blocking all post-translational modifications of these
residues, DNA binding and transcriptional regulation by p53 remain virtually
unchanged. On the other hand, by changing these lysines to glutamine (2KQ-p53),
thereby neutralizing their positive charge and potentially mimicking acetylation,
p53 is impaired in the induction of cell cycle arrest and yet can still
effectively induce cell death. Surprisingly, when 2KQ-p53 is expressed at high
levels in H1299 cells, it can bind to and transactivate numerous p53 target genes
including p21, but not others such as miR-34a and cyclin G1 to the same extent as
wild-type p53. Our findings show that strong induction of p21 is not sufficient
to block H1299 cells in G1, and imply that modification of one or both of the
lysines within the tetramerization domain may serve as a mechanism to shunt p53
from inducing cell cycle arrest.
PMID- 27210023
TI - What We Have Learned About Trial of Labor After Cesarean Delivery from the
Maternal-Fetal Medicine Units Cesarean Registry.
AB - The cesarean delivery rate in the United States has risen steadily over the past
5 decades such that approximately one in three women now undergo cesarean
section. The rise in repeat operations and accompanying decline in trial of labor
after cesarean (TOLAC) have been major contributors to this phenomenon. The
appropriate use of TOLAC continues to be a topic of interest with the recognition
that most women with a history of prior cesarean are candidates for trial of
labor. The NICHD MFMU Network Cesarean Registry conducted from 1999 to 2002
provided contemporary data concerning the risks and benefits of TOLAC, which in
turn have helped inform practitioners and women considering their options for
childbirth following cesarean delivery.
PMID- 27210024
TI - What we have learned about quality measures for intrapartum obstetrical care.
AB - One of the most important purposes of measuring the quality of care is to improve
the quality of care. Without measurement, it is not possible to determine whether
changes in medical care delivery are helping patients. This article reviews
important concepts in the measurement of quality obstetrical care, and
demonstrates how these concepts have been informed by the Assessment of Perinatal
Excellence (APEX) study performed by the Eunice Kennedy Shriver National
Institute of Child Health and Human Development (NICHD) Maternal-Fetal Medicine
Units (MFMU) Network.
PMID- 27210025
TI - A Comparison of the Effects of Intraosseous and Intravenous 5% Albumin on
Infusion Time and Hemodynamic Measures in a Swine Model of Hemorrhagic Shock.
AB - Introduction Obtaining intravenous (IV) access in patients in hemorrhagic shock
is often difficult and prolonged. Failed IV attempts delay life-saving treatment.
Intraosseous (IO) access may often be obtained faster than IV access. Albumin
(5%) is an option for prehospital volume expansion because of the absence of
interference with coagulation and platelet function. Hypothesis/Problem There are
limited data comparing the performance of IO and IV administered 5% albumin. The
aims of this study were to compare the effects of tibial IO (TIO) and IV
administration of 500 mL of 5% albumin on infusion time and hemodynamic
measurements of heart rate (HR), mean arterial pressure (MAP), cardiac output
(CO), and stroke volume (SV) in a swine model of hemorrhagic shock. METHODS:
Sixteen male swine were divided into two groups: TIO and IV. All subjects were
anesthetized and a Class III hemorrhage was achieved by exsanguination of 31% of
estimated blood volume (EBV) from a femoral artery catheter. Following
exsanguination, 500 mL of 5% albumin was administered under pressurized infusion
(300 mmHg) by the TIO or IV route and infusion time was recorded. Hemodynamic
measurements of HR, MAP, CO, and SV were collected before and after
exsanguination and every 20 seconds for 180 seconds during 5% albumin infusion.
RESULTS: An independent t-test determined that IV 5% albumin infusion was
significantly faster compared to IO (P=.01). Mean infusion time for TIO was seven
minutes 35 seconds (SD=two minutes 44 seconds) compared to four minutes 32
seconds (SD=one minute 08 seconds) in the IV group. Multivariate Analysis of
Variance was performed on hemodynamic data collected during the 5% albumin
infusion. Analyses indicated there were no significant differences between the
TIO and IV groups relative to MAP, CO, HR, or SV (P>.05). CONCLUSION: While
significantly longer to infuse 5% albumin by the TIO route, the longer TIO
infusion time may be negated as IO devices can be placed more quickly compared to
repeated IV attempts. The lack of significant difference between the TIO and IV
routes relative to hemodynamic measures indicate the TIO route is a viable route
for the infusion of 5% albumin in a swine model of Class III hemorrhage. Muir SL
, Sheppard LB , Maika-Wilson A , Burgert JM , Garcia-Blanco J , Johnson AD ,
Coyner JL . A comparison of the effects of intraosseous and intravenous 5%
albumin on infusion time and hemodynamic measures in a swine model of hemorrhagic
shock. Prehosp Disaster Med. 2016;31(4):436-442.
PMID- 27210026
TI - Building diagnoses with four layers: WHO 2016 classification of CNS tumors.
PMID- 27210027
TI - Genetic analysis results of mature cystic teratomas of the ovary in Taiwan
disagree with the previous origin theory of this tumor.
AB - The most accepted theory regarding mature cystic teratomas of the ovary is that
they are of parthenogenetic origin from oocyte after the completion of first
division. Our previous study demonstrated that the origin of mature cystic
teratoma of the uterus is not related to the parthenogenetic process, but is most
likely pluripotential stem cell or primordial germ cell before meiosis I. Further
studies are needed to clarify the origin of benign mature cystic teratomas of the
ovary in Taiwan. In the present study, we investigated the DNA profiles of 9
mature cystic teratomas of the ovary using short tandem repeat analysis with
AmpFLSTR SGM Plus, Profiler PCR amplification kits. The methylation statuses of
the HhaI sites in the SNRPN, H19DMR, and KvDMR regions were determined on
methylation-sensitive multiplex ligation-dependent probe amplification analysis.
DNA profiling data from the 9 mature cystic teratomas of the ovary excluded
parthenogenetic origin, as most of the 15 short tandem repeat loci were
heterozygous on genotyping. There were varying degrees of hypermethylation of
SNRPN gene and KvDMR locus in the presence of maternal uniparental disomy in all
9 mature cystic teratomas of the ovary. In light of these results, we further
postulated that the origin of these mature cystic teratomas of the ovary is
oogonia or primary oocyte before germinal vesicle stage failure of meiosis I.
PMID- 27210028
TI - Distal intramural and tumor spread in the mesorectum after neoadjuvant
radiochemotherapy in rectal cancer: about 124 consecutive patients.
AB - This observational prospective study aimed to assess the distribution of
intramural and mesorectal tumor spread in mid/low rectal cancer after neoadjuvant
radiochemotherapy. Distribution of mesorectal metastatic lymph nodes (MLNs) and
mesorectal extranodal cancer tissue (EX), according to the tumor location, were
analyzed. Distal intramural tumor spread was also performed. A total of 1676 LNs,
135 MLNs, and 69 EX were detected on 124 consecutive surgical specimens. Forty
two patients (34%) had MLNs. Six patients (4.8%) were classified as ypN1c. Distal
viable cancer spread was observed in 3 patients (2.4%), all with mid rectal
carcinoma. Two patients (1.6%) presented distal direct intramural extension less
than 1 cm; and 1 (0.8%), with EX localized no more than 2 cm from the lower edge
of the tumor. MLNs (76%) and EX (94%) were preferentially localized in the
peritumoral area and in the first 3 cm just above the tumor. No viable distal
intramural or mesorectal spread was observed in low rectal carcinoma. Distal
intramural and mesorectal cancer spread is a rare event after neoadjuvant RCT.
These results suggest that the 1-cm distal margin recommended in patients with
low rectal carcinoma could be reduced with insurance to obtain a negative distal
margin. The knowledge of preferential localization of MLNs and EX would help the
pathologist to improve patient's lymph node staging.
PMID- 27210029
TI - Critical role of CD44 in antigen-induced Th2- but not Th17-madiated murine airway
inflammation.
PMID- 27210030
TI - Cooperative Vinculin Binding to Talin Mapped by Time-Resolved Super Resolution
Microscopy.
AB - The dimeric focal adhesion protein talin contains up to 22 cryptic vinculin
binding sites that are exposed by unfolding. Using a novel method to monitor the
in situ dynamics of the talin dimer stretch, we find that in contrast to several
prevalent talin dimer models the integrin-binding talin N-termini are separated
by 162 +/- 44 nm on average whereas as expected the C-terminal dimerization
domains colocalize and are mobile. Using vinculin tagged by DHFR-TMP Atto655
label, we found that optimal vinculin and vinculin head binding occurred when
talin was stretched to 180 nm, while the controls did not bind to talin.
Surprisingly, multiple vinculins bound within a single second in narrowly
localized regions of the talin rod during stretching. We suggest that talin
stretches as an antiparallel dimer and that activates vinculin binding in a
cooperative manner, consistent with the stabilization of folded talin by other
binding proteins.
PMID- 27210032
TI - Altered states: psilocybin for treatment-resistant depression.
PMID- 27210031
TI - Psilocybin with psychological support for treatment-resistant depression: an open
label feasibility study.
AB - BACKGROUND: Psilocybin is a serotonin receptor agonist that occurs naturally in
some mushroom species. Recent studies have assessed the therapeutic potential of
psilocybin for various conditions, including end-of-life anxiety, obsessive
compulsive disorder, and smoking and alcohol dependence, with promising
preliminary results. Here, we aimed to investigate the feasibility, safety, and
efficacy of psilocybin in patients with unipolar treatment-resistant depression.
METHODS: In this open-label feasibility trial, 12 patients (six men, six women)
with moderate-to-severe, unipolar, treatment-resistant major depression received
two oral doses of psilocybin (10 mg and 25 mg, 7 days apart) in a supportive
setting. There was no control group. Psychological support was provided before,
during, and after each session. The primary outcome measure for feasibility was
patient-reported intensity of psilocybin's effects. Patients were monitored for
adverse reactions during the dosing sessions and subsequent clinic and remote
follow-up. Depressive symptoms were assessed with standard assessments from 1
week to 3 months after treatment, with the 16-item Quick Inventory of Depressive
Symptoms (QIDS) serving as the primary efficacy outcome. This trial is registered
with ISRCTN, number ISRCTN14426797. FINDINGS: Psilocybin's acute psychedelic
effects typically became detectable 30-60 min after dosing, peaked 2-3 h after
dosing, and subsided to negligible levels at least 6 h after dosing. Mean self
rated intensity (on a 0-1 scale) was 0.51 (SD 0.36) for the low-dose session and
0.75 (SD 0.27) for the high-dose session. Psilocybin was well tolerated by all of
the patients, and no serious or unexpected adverse events occurred. The adverse
reactions we noted were transient anxiety during drug onset (all patients),
transient confusion or thought disorder (nine patients), mild and transient
nausea (four patients), and transient headache (four patients). Relative to
baseline, depressive symptoms were markedly reduced 1 week (mean QIDS difference
11.8, 95% CI -9.15 to -14.35, p=0.002, Hedges' g=3.1) and 3 months (-9.2, 95% CI
5.69 to -12.71, p=0.003, Hedges' g=2) after high-dose treatment. Marked and
sustained improvements in anxiety and anhedonia were also noted. INTERPRETATION:
This study provides preliminary support for the safety and efficacy of psilocybin
for treatment-resistant depression and motivates further trials, with more
rigorous designs, to better examine the therapeutic potential of this approach.
FUNDING: Medical Research Council.
PMID- 27210034
TI - Oral health-related quality of life changes after placement of immediately loaded
single implants in healed alveolar ridges or extraction sockets: a 5-year
prospective follow-up study.
AB - OBJECTIVES: The impact of single implants on oral health-related quality of life
(OHRQoL) is scarcely investigated, especially when combined with immediate
placement and loading in extraction sockets. The aim was to describe
prospectively the changes of OHRQoL with single implants placed in the esthetic
zone in healed ridges or in extraction sockets after 5 years. MATERIAL AND
METHODS: Ninety-six patients, enrolled at three clinical centers, received 102
single implants placed in a healed ridge (n = 54 implants/50 patients) or in
extraction sockets (n = 48 implants/46 patients). Implants were immediately
provisionalized, and permanent crowns were cemented after 12 weeks. Oral health
impact profile questionnaires (OHIP-14) were completed before surgery, after 1
(provisional crown), 6 (permanent crown), 12 and 60 months, respectively. The
overall OHIP-14 score pertains to seven domains with two items each and was
assessed on a Likert scale of 0-4 (0 = never and 4 = very often). The evolution
of the total OHIP-14 score and changes within all OHIP domains over time and
between groups were assessed with a linear mixed-effect model analysis. RESULTS:
After 5 years, overall implant survival was 98%. The total OHIP-14 score for both
groups combined decreased from 0.50 at baseline to 0.17 at 6 months (P < 0.001),
indicative of improvement. For both groups, this remained stable up to 5 years (P
= 0.41). However, after 5 years, the total OHIP-14 score revealed a statistically
significantly higher improvement in the healed group compared with the extraction
group (P = 0.027). CONCLUSION: Missing a single tooth in the maxillary esthetic
zone leads to limited OHRQoL problems as reflected by a low overall OHIP score.
However, OHRQoL improves less in the extraction group, reflecting that replacing
a missing tooth is perceived as more beneficial than replacing a present tooth.
PMID- 27210033
TI - Embryo Microinjection of Selenomethionine Reduces Hatchability and Modifies
Oxidant Responsive Gene Expression in Zebrafish.
AB - In previous studies we demonstrated that exposure to selenomethionine (SeMet)
causes developmental toxicities in zebrafish (Danio rerio). The objectives of
this study were to establish a dose-response relationship for developmental
toxicities in zebrafish after embryo microinjection of Se (8, 16 or 32 MUg/g dry
mass of eggs) in the form of SeMet, and to investigate potential underlying
mechanism(s) of SeMet-induced developmental toxicities. A dose-dependent increase
in frequencies of mortality and total deformities, and reduced hatchability were
observed in zebrafish exposed to excess Se via embryo microinjection. The egg Se
concentration causing 20% mortality was then used to investigate transcript
abundance of proteins involved in antioxidant protection and methylation. Excess
Se exposure modified gene expression of oxidant-responsive transcription factors
(nuclear factor erythroid 2-related factor nrf2a and nrf2b), and enzymes involved
in cellular methylation (methionine adenosyltransferase mat1a and mat2ab) in
zebrafish larvae. Notably, excess Se exposure up-regulated transcript abundance
of aryl hydrocarbon receptor 2 (ahr2), a signalling pathway involved in the
toxicity of dioxin-related compounds. Our findings suggest that oxidative stress
or modification of methylation, or a combination of these mechanisms, might be
responsible for Se-induced developmental toxicities in fishes.
PMID- 27210035
TI - Chronic exposure to ivabradine reduces readmissions in the vulnerable phase after
hospitalization for worsening systolic heart failure: a post-hoc analysis of
SHIFT.
AB - AIMS: During the post-discharge phase following a heart failure hospitalization
(HFH), patients are at high risk of early readmission despite standard of care
therapy. We examined the impact of chronic exposure to ivabradine on early
readmissions in patients hospitalized for heart failure during the course of the
SHIFT study (Systolic Heart Failure treatment with the If inhibitor ivabradine
Trial). METHODS AND RESULTS: A total of 1186 of the 6505 randomized patients
experienced at least one HFH during the study, and had a more severe profile than
those without HFH. Of these 1186 patients, 334 patients (28%) were rehospitalized
within 3 months for any reason, mostly for cardiovascular causes (86%), including
HFH (61%). Ivabradine was associated with fewer all-cause hospitalizations at 1
month [incidence rate ratio (IRR) 0.70, 95% confidence interval (CI) 0.50-1.00, P
< 0.05], 2 months (IRR 0.75, 95% CI 0.58-0.98, P = 0.03), and 3 months (IRR 0.79,
95% CI 0.63-0.99, P = 0.04). A trend for a reduction in cardiovascular and HF
hospitalizations was also observed in ivabradine-treated patients. CONCLUSION: We
demonstrate in this post-hoc analysis that chronic exposure to ivabradine reduces
the incidence of all-cause hospitalizations during the vulnerable phase after a
HFH. Further studies are needed to investigate if in-hospital or early post
discharge initiation of ivabradine could be useful to improve early outcomes in
patients hospitalized for HF.
PMID- 27210036
TI - Investigating the Causal Role of rOFA in Holistic Detection of Mooney Faces and
Objects: An fMRI-guided TMS Study.
AB - BACKGROUND: The right occipital face area (rOFA) is known to be involved in face
discrimination based on local featural information. Whether this region is also
involved in global, holistic stimulus processing is not known. OBJECTIVE: We used
fMRI-guided transcranial magnetic stimulation (TMS) to investigate whether rOFA
is causally implicated in stimulus detection based on holistic processing, by the
use of Mooney stimuli. METHODS: Two studies were carried out: In Experiment 1,
participants performed a detection task involving Mooney faces and Mooney
objects; Mooney stimuli lack distinguishable local features and can be detected
solely via holistic processing (i.e. at a global level) with top-down guidance
from previously stored representations. Experiment 2 required participants to
detect shapes which are recognized via bottom-up integration of local (collinear)
Gabor elements and was performed to control for specificity of rOFA's implication
in holistic detection. RESULTS: In Experiment 1, TMS over rOFA and rLO impaired
detection of all stimulus categories, with no category-specific effect. In
Experiment 2, shape detection was impaired when TMS was applied over rLO but not
over rOFA. CONCLUSIONS: Our results demonstrate that rOFA is causally implicated
in the type of top-down holistic detection required by Mooney stimuli and that
such role is not face-selective. In contrast, rOFA does not appear to play a
causal role in detection of shapes based on bottom-up integration of local
components, demonstrating that its involvement in processing non-face stimuli is
specific for holistic processing.
PMID- 27210037
TI - Administration of Gemcitabine After Pancreatic Tumor Resection in Mice Induces an
Antitumor Immune Response Mediated by Natural Killer Cells.
AB - BACKGROUND & AIMS: Even after potentially curative R0 resection, patients with
pancreatic ductal adenocarcinoma (PDAC) have a poor prognosis owing to high rates
of local recurrence and metastasis to distant organs. However, we have no
suitable transgenic animal models for surgical interventions. METHODS: To induce
formation of pancreatic tumor foci, we electroporated oncogenic plasmids into
pancreata of LSL-KrasG12D * p53fl/fl mice; mutant Kras was expressed in p53fl/fl
mice using a sleeping beauty transposon. We co-delivered a transposon encoding a
constitutively active form of Akt2 (myrAkt2). Carcinogenesis and histopathologic
features of tumors were examined. Metastasis was monitored by bioluminescence
imaging. Tumors were resected and mice were given gemcitabine, and tumor
recurrence patterns and survival were determined. Immune cells were collected
from resection sites and analyzed by flow cytometry and in depletion experiments.
RESULTS: After electroporation of oncogenic plasmids, mice developed a single
pancreatic tumor nodule with histopathologic features of human PDAC. Pancreatic
tumors that expressed myrAkt2 infiltrated the surrounding pancreatic tissue and
neurons and became widely metastatic, reflecting the aggressive clinical features
of PDAC in patients. Despite early tumor resection, mice died from locally
recurring and distant tumors, but adjuvant administration of gemcitabine after
tumor resection prolonged survival. In mice given adjuvant gemcitabine or
vehicle, gemcitabine significantly inhibited local recurrence of tumors, but not
metastasis to distant organs, similar to observations in clinical trials.
Gemcitabine inhibited accumulation of CD11b+Gr1intF4/80int myeloid-derived
suppressor cells at the resection margin and increased the number of natural
killer (NK) cells at this location. NK cells but not T cells were required for
gemcitabine-mediated antitumor responses. CONCLUSIONS: Gemcitabine administration
after resection of pancreatic tumors in mice activates NK cell-mediated antitumor
responses and inhibits local recurrence of tumors, consistent with observations
from patients with PDAC. Transgenic mice with resectable pancreatic tumors might
be promising tools to study adjuvant therapy strategies for patients.
PMID- 27210039
TI - Size and distance are perceived independently in an optical tunnel: Evidence for
direct perception.
AB - The historical but questionable size-distance invariance hypothesis (SDIH)
features computation over geometric, oculomotor, and binocular cues and the
coupling of percepts-perceived size, S', is mediated by perceived distance, D'. A
contemporary non-mediational hypothesis holds that S' and D' are specific to
distinct optical variables. We report two experiments with an optical tunnel, an
arrangement of alternating black and white concentric rings, that allows
systematic manipulation of the optic array at a point of observation while
controlling a variety of size and depth cues. Participants viewed targets of
different sizes at different distances monocularly, reporting S' and D' via
magnitude production. In Experiment 1, the target was either placed in a
continuous tunnel (extending 164cm) or in a tunnel that truncated at the target's
location. Experiment 2 included a third tunnel, one that was truncated with a
flat depiction of the posterior surface structure that would have been visible in
the continuous tunnel. In both experiments, S' decreased with D but D' was
unaffected by S. Partial correlation analyses showed that the relationship
between S' and D' was not significant when the contributions of other variables
were removed. Importantly, S' and D' were affected differently by manipulations
of the optical tunnel's continuity while computationally obvious visual cues were
controlled. These outcomes suggest that D' is not a mediator of S'. Rather S' and
D' are independently determined with correlated but different optical bases,
results that support the direct model.
PMID- 27210038
TI - Influence of background size, luminance and eccentricity on different adaptation
mechanisms.
AB - Mechanisms of light adaptation have been traditionally explained with reference
to psychophysical experimentation. However, the neural substrata involved in
those mechanisms remain to be elucidated. Our study analyzed links between
psychophysical measurements and retinal physiological evidence with consideration
for the phenomena of rod-cone interactions, photon noise, and spatial summation.
Threshold test luminances were obtained with steady background fields at mesopic
and photopic light levels (i.e., 0.06-110cd/m(2)) for retinal eccentricities from
0 degrees to 15 degrees using three combinations of background/test field sizes
(i.e., 10 degrees /2 degrees , 10 degrees /0.45 degrees , and 1 degrees /0.45
degrees ). A two-channel Maxwellian view optical system was employed to eliminate
pupil effects on the measured thresholds. A model based on visual mechanisms that
were described in the literature was optimized to fit the measured luminance
thresholds in all experimental conditions. Our results can be described by a
combination of visual mechanisms. We determined how spatial summation changed
with eccentricity and how subtractive adaptation changed with eccentricity and
background field size. According to our model, photon noise plays a significant
role to explain contrast detection thresholds measured with the 1/0.45 degrees
background/test size combination at mesopic luminances and at off-axis
eccentricities. In these conditions, our data reflect the presence of rod-cone
interaction for eccentricities between 6 degrees and 9 degrees and luminances
between 0.6 and 5cd/m(2). In spite of the increasing noise effects with
eccentricity, results also show that the visual system tends to maintain a
constant signal-to-noise ratio in the off-axis detection task over the whole
mesopic range.
PMID- 27210040
TI - CRISPR Double Cutting through the Labyrinthine Architecture of 3D Genomes.
AB - The genomes are organized into ordered and hierarchical topological structures in
interphase nuclei. Within discrete territories of each chromosome, topologically
associated domains (TADs) play important roles in various nuclear processes such
as gene regulation. Inside TADs separated by relatively constitutive boundaries,
distal elements regulate their gene targets through specific chromatin-looping
contacts such as long-distance enhancer-promoter interactions. High-throughput
sequencing studies have revealed millions of potential regulatory DNA elements,
which are much more abundant than the mere ~20,000 genes they control. The
recently emerged CRISPR-Cas9 genome editing technologies have enabled efficient
and precise genetic and epigenetic manipulations of genomes. The multiplexed and
high-throughput CRISPR capabilities facilitate the discovery and dissection of
gene regulatory elements. Here, we describe the applications of CRISPR for
genome, epigenome, and 3D genome editing, focusing on CRISPR DNA-fragment editing
with Cas9 and a pair of sgRNAs to investigate topological folding of chromatin
TADs and developmental gene regulation.
PMID- 27210041
TI - Delivery of Cas9 Protein into Mouse Zygotes through a Series of Electroporation
Dramatically Increases the Efficiency of Model Creation.
AB - Previously we established Zygote Electroporation of Nucleases (ZEN) technology as
an efficient and high-throughput way to generate genetically modified mouse
models. However, there were significant variations of the targeting efficiency
among different genomic loci using our previously published protocol. In this
study, we improved the ZEN technology by delivering Cas9 protein into mouse
zygotes through a series of electroporation. Using this approach, we were able to
introduce precise nucleotide substitutions, large segment deletion and short
segment insertion into targeted loci with high efficiency.
PMID- 27210043
TI - Spermatogenic Cell-Specific Gene Mutation in Mice via CRISPR-Cas9.
AB - Tissue-specific knockout technology enables the analysis of the gene function in
specific tissues in adult mammals. However, conventional strategy for producing
tissue-specific knockout mice is a time- and labor-consuming process, restricting
rapid study of the gene function in vivo. CRISPR-Cas9 system from bacteria is a
simple and efficient gene-editing technique, which has enabled rapid generation
of gene knockout lines in mouse by direct injection of CRISPR-Cas9 into zygotes.
Here, we demonstrate CRISPR-Cas9-mediated spermatogenic cell-specific disruption
of Scp3 gene in testes in one step. We first generated transgenic mice by
pronuclear injection of a plasmid containing Hspa2 promoter driving Cas9
expression and showed Cas9 specific expression in spermatogenic cells. We then
produced transgenic mice carrying Hspa2 promoter driven Cas9 and constitutive
expressed sgRNA targeting Scp3 gene. Male founders were infertile due to
developmental arrest of spermatogenic cells while female founders could produce
progeny normally. Consistently, male progeny from female founders were infertile
and females could transmit the transgenes to the next generation. Our study
establishes a CRISPR-Cas9-based one-step strategy to analyze the gene function in
adult tissues by a temporal-spatial pattern.
PMID- 27210042
TI - Genome Editing with CRISPR-Cas9: Can It Get Any Better?
AB - The CRISPR-Cas revolution is taking place in virtually all fields of life
sciences. Harnessing DNA cleavage with the CRISPR-Cas9 system of Streptococcus
pyogenes has proven to be extraordinarily simple and efficient, relying only on
the design of a synthetic single guide RNA (sgRNA) and its co-expression with
Cas9. Here, we review the progress in the design of sgRNA from the original dual
RNA guide for S. pyogenes and Staphylococcus aureus Cas9 (SpCas9 and SaCas9). New
assays for genome-wide identification of off-targets have provided important
insights into the issue of cleavage specificity in vivo. At the same time, the on
target activity of thousands of guides has been determined. These data have led
to numerous online tools that facilitate the selection of guide RNAs in target
sequences. It appears that for most basic research applications, cleavage
activity can be maximized and off-targets minimized by carefully choosing guide
RNAs based on computational predictions. Moreover, recent studies of Cas proteins
have further improved the flexibility and precision of the CRISPR-Cas toolkit for
genome editing. Inspired by the crystal structure of the complex of sgRNA-SpCas9
bound to target DNA, several variants of SpCas9 have recently been engineered,
either with novel protospacer adjacent motifs (PAMs) or with drastically reduced
off-targets. Novel Cas9 and Cas9-like proteins called Cpf1 have also been
characterized from other bacteria and will benefit from the insights obtained
from SpCas9. Genome editing with CRISPR-Cas9 may also progress with better
understanding and control of cellular DNA repair pathways activated after Cas9
induced DNA cleavage.
PMID- 27210044
TI - Early postnatal treatment with soluble epoxide hydrolase inhibitor or 15-deoxy
Delta(12,14)-prostagandin J2 prevents prenatal dexamethasone and postnatal high
saturated fat diet induced programmed hypertension in adult rat offspring.
AB - Prenatal dexamethasone (DEX) exposure, postnatal high-fat (HF) intake, and
arachidonic acid pathway are closely related to hypertension. We tested whether a
soluble epoxide hydrolase (SEH) inhibitor, 12-(3-adamantan-1-yl-ureido)
dodecanoic acid (AUDA) or 15-deoxy-Delta(12,14)-prostagandin J2 (15dPGJ2) therapy
can rescue programmed hypertension in the DEX+HF two-hit model. Four groups of
Sprague Dawley rats were studied: control, DEX+HF, AUDA, and 15dPGJ2.
Dexamethasone (0.1mg/kg body weight) was intraperitoneally administered to
pregnant rats from gestational day 16-22. Male offspring received high-fat diet
(D12331, Research Diets) from weaning to 4 months of age. In AUDA group, mother
rats received 25mg/L in drinking water during lactation. In the 15dPGJ2 group,
male offspring received 15dPGJ2 1.5mg/kg BW by subcutaneous injection once daily
for 1 week after birth. We found postnatal HF diet aggravated prenatal DEX
induced programmed hypertension, which was similarly prevented by early treatment
with AUDA or 15dPGJ2. The beneficial effects of AUDA and 15d-PGJ2 therapy include
inhibition of SEH, increases of renal angiotensin converting enzyme-2 (ACE2) and
angiotensin II type 2 receptor (AT2R) protein levels, and restoration of nitric
oxide bioavailability. Better understanding of the impact of arachidonic acid
pathway in the two-hit model will help prevent programmed hypertension in
children exposed to corticosteroids and postnatal HF intake.
PMID- 27210045
TI - The inpatient burden of psoriasis in the United States.
AB - BACKGROUND: Although psoriasis has been linked to increased inpatient
cardiovascular mortality, little is known about hospitalization for psoriasis and
its inpatient burden in the United States in terms of frequency and cost.
OBJECTIVE: We sought to determine risk factors for hospitalization for psoriasis
and quantify cost of care, length of stay, and in-hospital mortality. METHODS: We
conducted a cross-sectional study of the Nationwide Inpatient Sample from 2002 to
2012, containing a representative 20% sample of all US hospitalizations. RESULTS:
Hospitalization for psoriasis was associated with nonwhite race (Asian odds ratio
[OR] 2.08, 95% confidence interval [CI] 1.55-2.78; black OR 1.65, 95% CI 1.43
1.89; and multiracial/other OR 1.54, 95% CI 1.13-2.11) and insurance status
(Medicare OR 1.33, 95% CI 1.26-1.40; Medicaid OR 1.32, 95% CI 1.25-1.40; and
uninsured OR 1.94, 95% CI 1.64-2.30). Mean cost of care was lower for a primary
diagnosis of psoriasis in comparison with patients without psoriasis ($7433 +/-
$254 vs $9956 +/- $76; P = .002). Length of stay was significantly prolonged for
patients with a primary diagnosis of psoriasis compared with no psoriasis (5.4 +/
0.2 vs 4.6 +/- 0.02 days; P < .0001). Mean adjusted in-hospital mortality was
0.4% and 1.8% for a primary or no diagnosis of psoriasis, respectively.
LIMITATIONS: We were unable to look at medication usage and its impact on
hospitalization. Information regarding the severity of psoriasis and how this may
have affected in-hospital procedures was not available. CONCLUSION: There are
racial and health care disparities in hospitalization for psoriasis, stressing
the need for improved access to dermatologic care for all patients.
PMID- 27210046
TI - Natural gas anodes for aluminium electrolysis in molten fluorides.
AB - Industrial primary production of aluminium has been developed and improved over
more than 100 years. The molten salt electrolysis process is still suffering from
low energy efficiency and considerable emissions of greenhouse gases (CO2 and
PFC). A new concept has been suggested where methane is supplied through the
anode so that the CO2 emissions may be reduced significantly, the PFC emissions
may be eliminated and the energy consumption may decrease significantly. Porous
carbon anodes made from different graphite grades were studied in controlled
laboratory experiments. The anode potential, the anode carbon consumption and the
level of HF gas above the electrolyte were measured during electrolysis. In some
cases it was found that the methane oxidation was effectively participating in
the anode process.
PMID- 27210047
TI - Can community consciousness be a bad thing? A moderated mediation analysis of
heterosexism, mental health and body appreciation in sexual minority men.
AB - This study examined the connections between heterosexism, mental health, body
appreciation and community consciousness in sexual minority men (SMM).
Participants (n = 89) completed a national online survey. Simultaneous multiple
regressions found that heterosexism explained 9.4% of the variance in body
appreciation and 25.8% of the variance in mental health; mental health accounted
for 28.0% of the variance in body appreciation. Within these models,
harassment/rejection heterosexism was a unique positive predictor of mental
health problems and a unique negative predictor of body appreciation; depression
was a unique negative predictor of body appreciation. A moderated mediational
model found that depression mediated the relationship between
harassment/rejection heterosexism and body appreciation, but only in men who
endorsed high community consciousness. Intervention research might benefit from
helping SMM explore the ways in which body image is affected by heterosexism and
mental health, as well as the ways that contemporary Western gay communities
might contribute to these connections.
PMID- 27210048
TI - Contribution of the pod wall to seed grain filling in alfalfa.
AB - Three genotypes of alfalfa viz. Medicago sativa (Zhongmu No. 1, Zhongmu No. 2)
and M. varia (Caoyuan No. 3) grown in the filed were investigated for the
contribution of pod wall and leaves by shading all pods and leaves on July 15, 20
and 25, respectively. Date was recorded for total pod weight (TPW), pod wall
weight (PWW), seed weight per pod (SWP), seed number per pod (SNP) and single
seed weight (SSW) of one-coil and two-coil spiral pods. TPW, SNP, PWW and SWP
were reduced by shading all leaves or pods, whereas SSW was not significantly
affected. The relative photosynthetic contribution of pod wall to SWP was 25.6
48.1% in three genotypes on July 15. The pod wall in one-coil spiral pods
generated a greater relative contribution to the TPW and SWP than in two-coil
spiral pods. In the last stage (July 25), the relative photosynthetic
contribution of leaves to SWP sharply decreased, whereas the relative
photosynthetic contribution of pod wall to SWP was stable in the late stage (July
20 and 25). In conclusion, the pod wall of alfalfa could carry out photosynthesis
and the pod wall played an important role in pod filling at the late growth
stage.
PMID- 27210049
TI - Consideration about "sonographic evaluation of peripheral nerves in subtypes of
Guillain-Barre syndrome".
PMID- 27210050
TI - CT-Finder: A Web Service for CRISPR Optimal Target Prediction and Visualization.
AB - The CRISPR system holds much promise for successful genome engineering, but
therapeutic, industrial, and research applications will place high demand on
improving the specificity and efficiency of this tool. CT-Finder
(http://bioinfolab.miamioh.edu/ct-finder) is a web service to help users design
guide RNAs (gRNAs) optimized for specificity. CT-Finder accommodates the original
single-gRNA Cas9 system and two specificity-enhancing paired-gRNA systems: Cas9
D10A nickases (Cas9n) and dimeric RNA-guided FokI nucleases (RFNs). Optimal
target candidates can be chosen based on the minimization of predicted off-target
effects. Graphical visualization of on-target and off-target sites in the genome
is provided for target validation. Major model organisms are covered by this web
service.
PMID- 27210051
TI - The impact of switching to the one-step method for GDM diagnosis on the rates of
postpartum screening attendance and glucose disorder in women with prior GDM. The
San Carlos Gestational Study.
AB - AIMS: To compare rates of FPG-HbA1C-based postpartum-glucose disorder (PGD) of
women with prior gestational diabetes mellitus (GDM) by Carpenter-Coustan
criteria (CCc) versus International Association of Diabetes and Pregnancy Study
Groups criteria (IADPSGc). METHODS: 1620 women with GDM were divided into CCc
group (2007-March 2012, n=915), and IADPSGc group (April 2012-2013, n=705).
Pregravid (PG) body weight (BW) and body mass index (BMI) and postdelivery (PD)
BW, BMI, waist circumference (WC), HOMA-insulin resistance (HOMA-IR), HbA1c,
glucose and lipid profile were analysed. PGD definition: HbA1c >=5.7% and/or FPG
>=5.6mmol/l. RESULTS: Postpartum screening attendance rates (PSAr) were similar
in both groups, CCc: 791 (86.5%) and IADPSGc: 570 (81%) as in PGD rates (PGDr),
CCc: 233 (29.5%) and IADPSGc: 184 (32.3%). Both cohorts had similar PG-BMI, WC
and PD-BMI. Both CCc and IADPSGc women had a significantly higher probability of
having PGD when PG-BMI >=25Kg/m(2) (CCc: OR: 1.55; IC 95% 1.06-2.26; p=0.016),
(IADPSGc: OR: 1.42; IC 95% 1.03-2.38; p=0.046) as well as when WC >=89.5cm, and
age >=34years, and in CCc women when PD-WG >0Kg, all adjusted by ethnicity and
parity. CONCLUSIONS: Changing GDM diagnostic methodology did not affect PSAr and
PGDr, in spite of screening more women. Thus, using IADPSGc allowed the
identification of a larger number of women with PGD.
PMID- 27210052
TI - Prevalence of subclinical hypercortisolism in type 2 diabetic patients from the
Rio de Janeiro Type 2 Diabetes Cohort Study.
AB - AIMS: Subclinical hypercortisolism was reported to be more prevalent among
diabetic, obese and hypertensive patients. Our primary aim was to investigate the
prevalence of subclinical hypercortisolism in patients from the Rio de Janeiro
Type 2 Diabetes (RIO-T2D) Cohort; and secondarily to assess its associated
factors. METHODS: From May 2013 to August 2014, 393 diabetic outpatients
underwent overnight 1mg dexamethasone suppression test (DST). Patients with non
suppressive morning cortisol (>=1.8MUg/dl) were further evaluated with nocturnal
salivary cortisol, two readings >0.35MUg/dl were considered confirmatory for
subclinical hypercortisolism. RESULTS: One-hundred twenty-eight patients (32.6%)
failed to suppress morning cortisol, and in 33 patients (8.6%) subclinical
hypercortisolism was confirmed. Independent correlates of a positive DST were
older age (OR: 1.04; 95% CI: 1.01-1.07; p=0.007), number of anti-hypertensive
drugs in use (OR: 1.26; 95% CI: 1.05-1.50; p=0.012), longer diabetes duration
(OR: 1.03; 95% CI: 1.004-1.06; p=0.023), and presence of diabetic nephropathy
(OR: 1.70; 95% CI: 1.01-2.87; p=0.047). Independent correlates of confirmed
subclinical hypercortisolism were a greater number of anti-hypertensive
medications (OR: 1.54; 95% CI: 1.14-2.06; p=0.004), shorter diabetes duration
(OR: 0.92; 95% CI: 0.87-0.98; p=0.006), and increased aortic stiffness (OR: 2.81;
95% CI: 1.20-6.57; p=0.017); metformin use was protective (OR: 0.27; 95% CI: 0.10
0.73; p=0.010). CONCLUSION: Patients with type 2 diabetes had a high prevalence
of subclinical hypercortisolism, and its presence was associated with more severe
hypertension and increased aortic stiffness.
PMID- 27210054
TI - Introduction to special papers presented at Measuring Behavior 2014.
PMID- 27210053
TI - A computational study of the interaction of graphene structures with biomolecular
units.
AB - Due to the great interest that biochemical sensors constructed from graphene
nanostructures have raised recently, in this work we analyse in detail the
electronic factors responsible for the large affinity of biomolecular units for
graphene surfaces using ab initio quantum chemical tools based on density
functional theory. Both finite and periodic graphene structures have been
employed in our study. Whereas the former allows the analysis of the different
energy components contributing to the interaction energy separately, the periodic
structure provides a more realistic calculation of the total adsorption energy in
an extended graphene surface and serves to validate the results obtained using
the finite model. In addition, qualitative relations between interaction energy
and electron polarization upon adsorption have been established using the finite
model. In this work, we have analysed thermodynamically stable adsorption
complexes formed by glycine, melamine, pyronin cation, porphine,
tetrabenzoporphine and phthalocyanine with a 2D structure of ninety six carbons
and periodic structures formed by cells of fifty and seventy two carbons.
Differences in the electrostatic, Pauli repulsion, induction and dispersion
energies among aromatic and non-aromatic molecules, charged and non-charged
molecules and H-pi and stacking interactions have been thoroughly analysed in
this work.
PMID- 27210056
TI - Pain Syndrome and Ventricular Arrhythmia Induced by Sirolimus and Resolved by
Dosage Adjustment in a Child After Heart Transplant: A Case Report.
AB - For patients with late congenital heart diseases and advanced heart failure,
heart transplant is the one of the most effective known treatment methods. With
the development of immunosuppressive medicines, it is possible to prevent and
treat rejection, and survival after organ transplant has increased rapidly.
Calcineurin inhibitors (tacrolimus and cyclosporine), mycophenolate mofetil, and
corticosteroids are used together in many centers as immunosuppressive
medications. Although the use of calcineurin inhibitors is essential, therapy is
switched to sirolimus in some specific cases and when significant adverse effects
occur. The most seen sirolimus-based adverse effects are diarrhea, constipation,
vomiting, nausea, abdominal pain, leg pain, acne, headache, and sleep problems.
Here, we present a patient who had abdominal pain, nausea, vomiting, and
ventricular extrasystole attacks due to sirolimus toxicity, which improved with
dose adjustment during follow-up after heart transplant. Pain associated with the
use of calcineurin inhibitors improving with sirolimus has been previously
reported before; however, because we did not encounter pain syndrome associated
with use of sirolimus, we chose to report our experience with this patient.
PMID- 27210055
TI - Conductive Paper with Antibody-Like Film for Electrical Readings of Biomolecules.
AB - This work reports a novel way of producing an inexpensive substrate support to
assemble a sensing film, designed for the electrical transduction of an intended
biomolecule. The support uses cellulose paper as substrate, made hydrophobic with
solid wax and covered by a home-made conductive ink having graphite as core
material. The hydrophobicity of the paper was confirmed by contact angle
measurements and the conductive ink composition was optimized with regard to its
adhesion, conductivity, and thermal stability. This support was further modified
targeting its application in quantitative analysis. Carnitine (CRT) was selected
as target compound, a cancer biomarker. The recognition material consisted of an
antibody-like receptor film for CRT, tailored on the support and prepared by
electrically-sustained polymerization of 3,4-ethylenedioxythiophene (EDOT) or
dodecylbenzenesulfonic acid (DBS). Fourier transform infrared spectroscopy (FTIR)
and Raman spectroscopy analysis confirmed the presence of the polymeric film on
the support, and the performance of the devices was extensively evaluated with
regard to linear response ranges, selectivity, applicability, and reusability.
Overall, the paper-based sensors offer simplicity of fabrication, low cost and
excellent reusability features. The design could also be extended to other
applications in electrical-based approaches to be used in point-of-care (POC).
PMID- 27210058
TI - Metformin in combination with 5-fluorouracil suppresses tumor growth by
inhibiting the Warburg effect in human oral squamous cell carcinoma.
AB - Cancer cells show enhanced glucose consumption and lactate production even in the
presence of abundant oxygen, a phenomenon known as the Warburg effect, which is
related to tumor proliferation, progression and drug-resistance in cancers.
Hypoxia-inducible factor-1 (HIF-1) and several members of Phosphatidylinositol-4,
5-bisphosphate 3-kinase (PI3K)/AKT/mammalian target of rapamycin (mTOR) signaling
pathway positively contribute to the Warburg effect, whereas AMP activated
protein Kinase (AMPK) acts as a negative regulator. Targeting the regulator
molecules of Warburg effect might be a useful strategy to effectively kill cancer
cells. Metformin was reported to be effective against various cancers as it
inhibits cell proliferation by activating AMPK, and inhibiting mTOR and HIF
1alpha. Several studies suggested the efficacy of metformin with 5-fluorouracil
(5-FU) against esophageal and colon cancer. In this study, we evaluated the
efficacy of metformin and 5-FU combined therapy against human oral squamous cell
carcinoma (OSCC) in vitro and in vivo. MTT assay and TUNEL assay revealed that
metformin (4 mg/ml) and 5-FU (2.5 ug/ml) combination treatment effectively
inhibited cell growth and induced apoptosis in OSCC cell lines (HSC2, HSC3 and
HSC4) compared to either agent alone. Lactate colorimetric assay detected
decreased level of lactate in the supernatants of metformin and 5-FU treated
cells compared to cells treated with metformin or 5-FU. Western blot analysis
showed marked downregulation of HIF-1alpha and mTOR expression, and upregulation
of AMPKalpha in cells treated with metformin and 5-FU combination treatment.
Combination therapy with metformin (200 mg/kg, i.p.) and 5-FU (10 mg/kg, i.p.)
for 4 weeks (5 days/week) effectively reduced HSC2 tumor growth (77.6%) compared
to metformin (59.9%) or 5-FU (52%) alone in nude mice. These findings suggest
that metformin and 5-FU combined therapy could exert strong antitumor effect
against OSCC through the inhibition of Warburg phenomenon in tumor cells.
PMID- 27210059
TI - Sulcal pattern, extension, and morphology of the precuneus in adult humans.
AB - The precuneus represents a relevant cortical component of the parietal lobes. It
is involved in visuospatial integration, imagery and simulation, self-awareness,
and it is a main node of the Default Mode Network. Its morphology is extremely
variable among adult humans, and it has been hypothesized to have undergone major
morphological changes in the evolution of Homo sapiens. Recent studies have
evidenced a marked variation also associated with its sulcal patterns. The
present survey contributes to add further information on this topic,
investigating the extension of its main folds, their geometrical influence on the
lateral parietal areas, and the relationships with the sulcal schemes. The
subparietal sulcus, on average, extends 14mm in its anterior and middle regions
and 11mm in its posterior area. The precuneal area extends 36mm above this
sulcus. The subparietal sulcus is generally wider on the right hemisphere. Males
have larger values than females, but differences are not significant. Sulcal
pattern is not correlated with the size of the subparietal sulcus extension.
There is a lack of consistent correspondence between hemispheres in the sulcal
patterns, pointing further towards a notable individual variability and random
asymmetries. The vertical extension of the precuneus influences the height and
proportions of the upper parietal profile, but the lateral parietal outline is
not sensitive to precuneal variation. There is no correlation between external
cortical shape and the size of the subparietal sulcus. Morphological analyses of
the precuneus must be integrated with studies on histological factors involved in
its variability and, ultimately, with analyses on possible relationships with
functional factors.
PMID- 27210057
TI - Increased arachidonic acid-containing phosphatidylcholine is associated with
reactive microglia and astrocytes in the spinal cord after peripheral nerve
injury.
AB - Peripheral nerve injury (PNI) triggers cellular and molecular changes in the
spinal cord. However, little is known about how the polyunsaturated fatty acid
containing phosphatidylcholines (PUFA-PCs) are regulated in the spinal cord after
PNI and the association of PUFA-PCs with the non-neuronal cells within in the
central nervous system (CNS). In this study, we found that arachidonic acid
containing phosphatidylcholine (AA-PC), [PC(16:0/20:4)+K](+), was significantly
increased in the ipsilateral ventral and dorsal horns of the spinal cord after
sciatic nerve transection, and the increased expression of [PC(16:0/20:4)+K](+)
spatiotemporally resembled the increase of reactive microglia and the astrocytes.
From the lipidomics point of view, we conclude that [PC(16:0/20:4)+K](+) could be
the main phospholipid in the spinal cord influenced by PNI, and the regulation of
specific phospholipid molecule in the CNS after PNI is associated with the
reactive microglia and astrocytes.
PMID- 27210060
TI - Post mortem CT scans as a supplementary teaching method in gross anatomy.
AB - Despite increasing the integration of radiologic imaging teaching in anatomy
dissection courses, studies on learning outcome of these interventions are rare
or have certain shortcomings in study design. In this study, students were
randomly allocated to an intervention group (n=53) receiving five weekly CT
courses of 30min duration during a 6-week gross anatomy course. Students in the
control group (n=329) received no additional teaching. Total teaching time did
not differ among groups. All students were asked to participate in a pre- and
post-course self-assessment (comparative self-assessment; CSA) of learning
objectives related to anatomical spatial relationships and a post-course
formative assessment on radiologic anatomy. Items of both assessments were
matched. Moreover, students of the intervention group were asked to evaluate the
CT-courses. Most participants of the intervention group classified the CT-courses
as "good" or "very good". Nevertheless, results of the CSA and formative
assessment did not differ among study and control groups. These findings indicate
that the teaching intervention (CT-courses) did not have an impact on recognition
of anatomical structures in radiological images beyond the knowledge acquired in
the anatomical dissection course. As a consequence, interventions integrating
radiology imaging into dissection courses should be based on psychological
considerations of how to best foster student learning. Learning outcome has to be
monitored, as results of evaluation surveys can be misleading. Further research
on curricular concepts is needed considering both short- and long-term effects.
PMID- 27210061
TI - Effect of the supplementation with a blend containing short and medium chain
fatty acid monoglycerides in milk replacer on rumen papillae development in
weaning calves.
AB - Feeding of neonates with artificial milk formulas is a popular trend toward early
weaning of newborn dairy calves. These milk replacers (MR) should accelerate the
rumen development, determining early solid feed intake and leading to better
performances in cattle. Previous research demonstrated that sodium butyrate
supplementation in MR can affect both small intestine and rumen development. Also
acetate and propionate showed similar properties, while only a few studies
indicate some potential benefit of monoglycerides on gut functions. The present
study is aimed to determine the effect of the supplementation of a blend
containing short and medium chain fatty acids monoglycerides (SMCFA) in milk
replacer on rumen papillae development and growth performances in weaning calves.
Twenty bull calves (about 2 weeks old, weighing around 43kg) were randomly
allocated into two groups: control (C) and treated (T). Besides MR and starter
diet, the latter offered at libitum, T calves received 0.2% SMCFA in MR. Animals
were slaughtered after 56 days from the beginning of the trial. No difference was
found between groups either in growth performances or in mean number of
papillae/cm(2) of mucosa, total surface of papillae (mm(2))/cm(2) of mucosa or
papillary size. In both groups, the morphology of the rumen epithelium was
typical of parakeratosis. The cells of the stratum spinosum were directly
transformed into swollen, ovoid, still nucleated keratinocytes, particularly at
the papillary tip, probably as a result of unphysiological osmolarities caused by
high concentrate intake. Degenerated squamous horn cells covered the "balloon
like" cells forming several layers, particularly in the places of the rumen
mucosa more protected from an abrasive action of solid feed. This was more
evident in C animals. The squamous cells covering the papillary tip showed
cytoplasmic protrusion, representing remains of the attachment sites of
desmosomes, which increased the total absorptive surface and were more numerous
and higher in T compared to C animals. It might be hypothesized that SMCFA
supplementation in MR could better regulate epithelial cell proliferation and
probably have an "emollient effect" leading to an easier "peeling" that might
increase efficiency for nutrient transport across the epithelium.
PMID- 27210062
TI - Structural study of skeletal muscle fibres in healthy and pseudomyotonia affected
cattle.
AB - Cattle congenital pseudomyotonia (PMT), recognized as naturally occurring animal
model of human Brody disease, is an inherited recessive autosomal muscular
disorder due to missense mutations in ATP2A1 gene, encoding sarco(endo)plasmic
reticulum Ca(2+)-ATPase protein, isoform 1 (SERCA1). PMT has been described in
the Chianina and Romagnola italian cattle breeds and as a single case in Dutch
improved Red and White cross-breed. The genetic defect turned out to be
heterogeneous in different cattle breeds, even though clinical symptoms were
homogeneous. Skeletal muscles of affected animals are characterized by a
selective deficiency of SERCA1 in sarcoplasmic reticulum (SR) membranes.
Recently, we provided evidence that in Chianina breed, the ubiquitin proteasome
system is responsible for SERCA1 mutant premature disposal, even when the
mutation does not affect the catalytic properties of the pump. Results presented
here show that all SERCA1 mutants described until now, although expressed at low
level, are correctly targeted to SR membranes. Ultrastructural studies confirm
that in pathological muscle fibres, structure, as well as triads, is well
preserved. All together these results suggest that a possible therapeutical
approach based on the rescue of the defective protein at SR membranes could be
hypothesized. Only fully functionally active missense mutants, whem located at
the SR membrane could restore the efficient control of Ca(2+) homeostasis and
prevent the appearance of the pathological signs. Moreover, these data
demonstrate the increasing importance of domestic animals as genetic models of
human pathologies.
PMID- 27210063
TI - Reply.
PMID- 27210064
TI - Indications for primary cesarean delivery relative to body mass index.
AB - BACKGROUND: Obesity is a known risk factor for cesarean delivery. Limited data
are available regarding the reasons for the increased rate of primary cesarean in
obese women. It is important to identify the factors leading to an increased risk
of cesarean to identify opportunities to reduce the primary cesarean rate.
OBJECTIVE: We evaluated indications for primary cesarean across body mass index
(kg/m(2)) classes to identify the factors contributing to the increased rate of
cesarean among obese women. STUDY DESIGN: In the Consortium of Safe Labor study
from 2002 through 2008, we calculated indications for primary cesarean including
failure to progress or cephalopelvic disproportion, nonreassuring fetal heart
tracing, malpresentation, elective, hypertensive disease, multiple gestation,
placenta previa or vasa previa, failed induction, HIV or active herpes simplex
virus, history of uterine scar, fetal indication, placental abruption,
chorioamnionitis, macrosomia, and failed operative delivery. For women with
primary cesarean for failure to progress or cephalopelvic disproportion, dilation
at the last recorded cervical examination was evaluated. Women were categorized
according to body mass index on admission: normal weight (18.5-24.9), overweight
(25.0-29.9), and obese classes I (30.0-34.9), II (35.0-39.9), and III (>=40).
Cochran-Armitage trend test and chi(2) tests were performed. RESULTS: Of 66,502
nulliparous and 76,961 multiparous women in the study population, 19,431
nulliparous (29.2%) and 7329 multiparous (9.5%) women underwent primary cesarean.
Regardless of parity, malpresentation, failure to progress or cephalopelvic
disproportion, and nonreassuring fetal heart tracing were the common indications
for primary cesarean. Regardless of parity, the rates of primary cesarean for
failure to progress or cephalopelvic disproportion increased with increasing body
mass index (normal weight, overweight, and classes I, II, and III obesity in
nulliparous women: 33.2%, 41.6%, 46.4%, 47.4%, and 48.9% [P < .01] and
multiparous women: 14.5%, 20.3%, 22.8%, 27.2%, and 25.3% [P < .01]), whereas the
rates for malpresentation decreased (normal weight, overweight, and classes I,
II, and III obesity in nulliparous women: 23.7%, 17.2%, 14.6%, 12.0%, and 9.1% [P
< .01] and multiparous women: 35.6%, 30.6%, 26.5%, 24.3%, and 22.9% [P < .01]).
Rates of primary cesarean for nonreassuring fetal heart tracing were not
statistically different for nulliparous (P > .05) or multiparous (P > .05) women.
Among nulliparous women who had a primary cesarean for failure to progress or
cephalopelvic disproportion, rates of cesarean prior to active labor (6 cm)
increased as body mass index increased, accounting for 39.3% of women with class
I, 47.1% of women with class II, and 56.8% of women with class III obesity
compared to 35.2% for normal-weight women (P < .01). CONCLUSION: Similar to
normal-weight women, the indication of cesarean for failure to progress or
cephalopelvic disproportion was the major factor contributing to the increase in
primary cesarean in obese women, but was even more prevalent with increasing
obesity class. The rates of intrapartum primary cesarean prior to achieving
active labor increased with increasing obesity class in nulliparous women.
PMID- 27210065
TI - Is maternal disclosure of HIV serostatus associated with a reduced risk of
postpartum depression?
AB - BACKGROUND: Patients with chronic diseases that include HIV infection are at
increased risk of experiencing postpartum depression. In addition, social
isolation has been associated with depression among women with HIV. Yet, it is
unclear whether disclosure of HIV serostatus before the birth is associated with
the risk of postpartum depression. OBJECTIVE: The purpose of this study was to
determine whether maternal disclosure of her positive HIV serostatus before the
delivery is associated with the risk of early postpartum depression. STUDY
DESIGN: In this retrospective cohort study, women who received obstetric care in
a specialty perinatal HIV clinic (2007-2014) were stratified by whether, before
the delivery, they had disclosed their HIV serostatus to (1) their sexual
partner(s) or (2) at least 1 family member aside from sexual partner(s).
Postpartum depression was identified initially by a positive result on a
validated depression screening tool (Patient Health Questionnaire-9 or Edinburgh
Postnatal Depression Scale) at the 6-week postpartum visit and then confirmed by
evaluation with a mental health professional. Postpartum depression rates were
compared by disclosure status. Multivariable logistic regression was performed to
identify whether disclosure to either sexual partner(s) or family members
remained associated independently with postpartum depression after we controlled
for potential confounders that included antenatal mental health disorders.
RESULTS: Of the 215 women who received perinatal HIV care in this center and who
had a documented disclosure status, 149 women (71.3%) had disclosed to their
sexual partner(s), and 78 women (42.9%) had disclosed to at least 1 family member
who was not a sexual partner. Although disclosure to sexual partner(s) was
associated with a reduction in the proportion of women with postpartum depression
(15.6% vs 25.5%), this difference did not reach statistical significance (P =
.126) and remained statistically insignificant after we controlled for potential
confounders (adjusted odds ratio, 0.47; 95% confidence interval, 0.15-1.41). In
contrast, disclosure to family member(s) was associated with a decreased
prevalence of postpartum depression (11.4% vs 24.7%; P = .03), and this
difference persisted in multivariable regression (adjusted odds ratio, 0.35; 95%
confidence interval, 0.13-0.95). CONCLUSION: In this cohort, maternal disclosure
of HIV serostatus to family members (other than sexual partner[s]) was associated
independently with a reduction in postpartum depression by more than one-half.
Disclosure of HIV serostatus to a family member may be a marker for psychosocial
well-being and enhanced support that affords protection against postpartum
depression.
PMID- 27210066
TI - Emergency cerclage: Does the surgical technique matter?
PMID- 27210067
TI - Implementation of universal screening for depression during pregnancy:
feasibility and impact on obstetric care.
AB - BACKGROUND: Given the growing policy and public health interest in the
identification and treatment of depression in pregnancy, an understanding of the
feasibility, challenges, and implications for resource utilization of the
implementation of a universal screening program is crucial. OBJECTIVE: The
purpose of this study was to assess the feasibility of large-scale implementation
of universal screening for depression in pregnancy and during the postpartum
period with the use of the Edinburgh Postnatal Depression Scale. STUDY DESIGN: A
prospective observational cohort study was conducted from July 2010 to June 2014
at a large academic medical center. Pregnant women were screened at 24-28 weeks
gestation and again 6 weeks postpartum. An Edinburgh Postnatal Depression Scale
score of >=12 was the cutoff for referral to mental health services for
diagnostic evaluation and treatment. RESULTS: Among 8985 women who were enrolled
in prenatal care at the participating sites, 8840 women (98%) were screened for
depression antepartum, and 7780 women (86%) were screened postpartum. A total of
576 women (6.5%) screened positive for probable depression; of these, 69%
screened positive antepartum, and 31% screened positive postpartum (P < .01). All
women who screened positive were referred for an evaluation by a mental health
professional; 79% of the women were evaluated, which was more common antepartum
than postpartum (83% vs 71%; P < .01). One hundred twenty-one women (21%) were
not evaluated further after a positive screen; primary reasons included declining
a mental health evaluation (30%) or transferring obstetric care (12%). Among
women who underwent a mental health evaluation, 67% were diagnosed with major
depression; 37% were diagnosed with an anxiety disorder; 28% were diagnosed
concurrently with major depression and an anxiety disorder; 76% were diagnosed
with either depression or anxiety, and 35% were treated with an antidepressant
medication, which was more frequent during the postpartum period than during the
antepartum period (54% vs 28%; P < .001). After adjustment for maternal age,
parity, race, and household income, women who screened positive antepartum were
significantly more likely to link to mental health services compared with women
who screened positive postpartum (adjusted odds ratio, 2.09; 95% CI, 1.24-3.24; P
= .001). CONCLUSION: This study demonstrates the feasibility of universal
depression screening during both the antepartum and postpartum periods with the
use of the Edinburgh Postnatal Depression Scale as an initial screen followed by
mental health referral for further diagnostic evaluation and treatment. The
population of women who screened positive and who accepted additional services
differed at the 2 time points, which reinforces the utility of screening during
both the antepartum and postpartum periods. Although universal screening for
depression is feasible, further study of the barriers to mental health evaluation
and treatment and the impact of treatment on obstetric outcomes are needed.
PMID- 27210068
TI - Opportunities for improvement in care among women with severe maternal morbidity.
AB - BACKGROUND: Severe maternal morbidity is increasing in the United States and has
been estimated to occur in up to 1.3% of all deliveries. A standardized,
multidisciplinary approach has been recommended to identify and review cases of
severe maternal morbidity to identify opportunities for improvement in maternal
care. OBJECTIVE: The aims of our study were to apply newly described gold
standard guidelines to identify true severe maternal morbidity and to utilize a
recently recommended multidisciplinary approach to determine the incidence of and
characterize opportunities for improvement in care. STUDY DESIGN: We conducted a
retrospective cohort study of all women admitted for delivery at Cedars-Sinai
Medical Center from Jan. 1, 2012, through June 30, 2014. Electronic medical
records were screened for severe maternal morbidity using the following criteria:
International Classification of Diseases, Ninth Revision codes for severe illness
identified by the Centers for Disease Control and Prevention; prolonged length of
stay; intensive care unit admission; transfusion of >=4 U of packed red blood
cells; or hospital readmission within 30 days of discharge. A multidisciplinary
team conducted in-depth review of each medical record that screened positive for
severe maternal morbidity to determine if true severe maternal morbidity
occurred. Each true case of severe maternal morbidity was presented to a
multidisciplinary committee to determine a consensus opinion about the morbidity
and if opportunities for improvement in care existed. Opportunity for improvement
was described as strong, possible, or none. The incidence of opportunity for
improvement was determined and categorized as system, provider, and/or patient.
Morbidity was classified by primary cause, organ system, and underlying medical
condition. RESULTS: There were 16,323 deliveries of which 386 (2%) screened
positive for severe maternal morbidity. Following review of each case, true
severe maternal morbidity was present in 150 (0.9%) deliveries. We determined by
multidisciplinary committee review that there was opportunity for improvement in
care in 66 (44%) cases. The 2 most common underlying causes of severe maternal
morbidity were hemorrhage (71.3%) and preeclampsia/eclampsia (10.7%). In cases
with opportunity for improvement in care, provider factors were present in 78.8%,
followed by patient (28.8%) and system (13.6%) factors. CONCLUSION: We
demonstrated the feasibility of a recently recommended review process of severe
maternal morbidity at a large, academic medical center. We demonstrated that
opportunity for improvement in care exists in 44% of cases and that the majority
of these cases had contributing provider factors.
PMID- 27210069
TI - Potent social synchronization can override photic entrainment of circadian
rhythms.
AB - Circadian rhythms in behaviour and physiology are important for animal health and
survival. Studies with individually isolated animals in the laboratory have
consistently emphasized the dominant role of light for the entrainment of
circadian rhythms to relevant environmental cycles. Although in nature
interactions with conspecifics are functionally significant, social signals are
typically not considered important time-givers for the animal circadian clock.
Our results challenge this view. By studying honeybees in an ecologically
relevant context and using a massive data set, we demonstrate that social
entrainment can be potent, may act without direct contact with other individuals
and does not rely on gating the exposure to light. We show for the first time
that social time cues stably entrain the clock, even in animals experiencing
conflicting photic and social environmental cycles. These findings add to the
growing appreciation for the importance of studying circadian rhythms in
ecologically relevant contexts.
PMID- 27210070
TI - Tyrosine-kinase inhibitors to treat radioiodine-refracted, metastatic, or
recurred and progressive differentiated thyroid carcinoma [Review].
AB - Differentiated thyroid carcinoma (DTC) is generally indolent in nature and, even
though it metastasizes to distant organs, the prognosis is normally excellent. In
contrast, the overall survival (OS) of patients with radioactive iodine (RAI)
refractory and progressive metastases is dire, because no effective therapies
have been available to control the metastatic lesions. However, recently,
administration of tyrosine-kinase inhibitors (TKIs) has become a new line of
therapy for RAI-refractory and progressive metastases. Previous studies have
reported significant improvement regarding the progression-free survival rates of
patients with metastatic lesions. However, TKIs cause various severe adverse
events (AEs) that damage patients' quality of life and can even be life
threatening. Additionally, metastatic lesions may progress significantly after
stopping TKI therapy. Therefore, it is difficult to determine who is a candidate
for TKI therapy, as well as how and when physicians start and stop the therapy.
The present review, created by Committee of pharmacological therapy for thyroid
cancer of the Japanese Society of Thyroid Surgery (JSTS) and the Japan
Association of Endocrine Surgeons (JAES) describes how to appropriately use TKIs
by describing what we do and do not know about treatment using TKIs.
PMID- 27210071
TI - A continuous roll-pulling approach for the fabrication of magnetic artificial
cilia with microfluidic pumping capability.
AB - Magnetic artificial cilia are micro-hairs covering a surface that can be actuated
using a time-dependent magnetic field to pump or mix fluids in microfluidic
devices. This paper presents a novel fabrication method to realize magnetic
artificial cilia using a roll-pulling process, in which a cylinder decorated with
micro-pillars rolls over a liquid precursor film that contains magnetic particles
at a speed up to 1 m s(-1), while a magnetic field is applied. Due to the
interaction between the pillars and the liquid film, micro-hairs are pulled out
of the film. In this way, surfaces with slender cone-shaped magnetic artificial
cilia were produced. When integrated in a closed-loop channel, the artificial
cilia were shown to be capable of generating substantial microfluidic pumping
using external magnetic actuation. The spatial arrangement of the cilia can be
varied by altering the layout of the micro-pillars on the roll surface. In
addition, the final geometry of the individual cilia depends on the rheological
properties of the precursor material in combination with the processing
parameters of the roll-pulling process. A rheological study and fabrication tests
were carried out for a range of precursor material compositions to obtain insight
into the relation between precursor rheology and processing conditions on the one
hand, and cilia geometry on the other hand. The development of this cleanroom
free, high speed and potentially large area method of production of artificial
cilia is another step towards their implementation in real-life applications.
PMID- 27210072
TI - Causes and consequences of intra-specific variation in vertebral number.
AB - Intraspecific variation in vertebral number is taxonomically widespread. Much
scientific attention has been directed towards understanding patterns of
variation in vertebral number among individuals and between populations,
particularly across large spatial scales and in structured environments. However,
the relative role of genes, plasticity, selection, and drift as drivers of
individual variation and population differentiation remains unknown for most
systems. Here, we report on patterns, causes and consequences of variation in
vertebral number among and within sympatric subpopulations of pike (Esox lucius).
Vertebral number differed among subpopulations, and common garden experiments
indicated that this reflected genetic differences. A QST-FST comparison suggested
that population differences represented local adaptations driven by divergent
selection. Associations with fitness traits further indicated that vertebral
counts were influenced both by stabilizing and directional selection within
populations. Overall, our study enhances the understanding of adaptive variation,
which is critical for the maintenance of intraspecific diversity and species
conservation.
PMID- 27210073
TI - Omalizumab: what benefits should we expect?
AB - Chronic spontaneous urticaria (CSU) is a skin disease characterised by wheal
appearance, swelling, itching, and painful skin. Omalizumab has been used for CSU
treatment demonstrating good efficacy. To investigate the efficacy and safety of
omalizumab treatment in CSU patients in real-life practice. A retrospective
analysis was performed on 38 patients suffering from CSU who received 300 mg of
omalizumab every four weeks. After omalizumab treatment, 68.4% of patients showed
a complete response (UAS7 = 0). All the patients were able to stop treatment with
corticosteroids, cyclosporine, and anti-leukotrienes, and only 39.5% of patients
remained on anti-histamines. Omalizumab treatment led to a 96% and 65% decrease
in emergency room and primary health care visits, respectively, as well as a
reduction in the direct costs associated with the disease. No omalizumab-related
adverse events were reported. Omalizumab exhibits good efficacy in alleviating
the symptoms of CSU, leads to a decrease in concomitant medication use, restores
patients' quality of life, and has economic benefits by reducing disease-related
health care costs.
PMID- 27210074
TI - Infusion of solutions of pre-irradiated components in rats.
AB - INTRODUCTION: The objective of this study was to conduct a 14-day toxicology
assessment for intravenous solutions prepared from irradiated resuscitation fluid
components and sterile water. METHODS: Healthy Sprague Dawley rats (7-10/group)
were instrumented and randomized to receive one of the following Field
IntraVenous Resuscitation (FIVR) or commercial fluids; Normal Saline (NS),
Lactated Ringer's, 5% Dextrose in NS. Daily clinical observation, chemistry and
hematology on days 1,7,14, and urinalysis on day 14 were evaluated for
equivalence using a two sample t-test (p<0.05). A board-certified pathologist
evaluated organ histopathology on day 14. RESULTS: Equivalence was established
for all observation parameters, lactate, sodium, liver enzymes, creatinine, WBC
and differential, and urinalysis values. Lack of equivalence for hemoglobin
(p=0.055), pH (p=0.0955), glucose (p=0.0889), Alanine-Aminotransferase
(p=0.1938), albumin (p=0.1311), and weight (p=0.0555, p=0.1896), was deemed not
clinically relevant due to means within physiologically normal ranges. Common
microscopic findings randomly distributed among animals of all groups were
endocarditis/myocarditis and pulmonary lesions. DISCUSSION: These findings are
consistent with complications due to long-term catheter use and suggest no
clinically relevant differences in end-organ toxicity between animals infused
with FIVR versus commercial fluids.
PMID- 27210075
TI - Female Flinders Sensitive Line rats show estrous cycle-independent depression
like behavior and altered tryptophan metabolism.
AB - Clinical studies suggest a link between depression and dysfunctional tryptophan
(TRP) metabolism. Even though depression is twice as prevalent in women as men,
the impact of the estrous cycle on TRP metabolism is not well-understood. Here we
investigated 13 kynurenine and serotonin metabolites in female Flinders Sensitive
Line (FSL) rats, a genetic rat model of depression. FSL rats and controls
(Flinders Resistant Line rats), 12-20weeks old, were subject to the forced swim
test (FST), a commonly used measure of depression-like behavior. Open field was
used to evaluate locomotor ability and agoraphobia. Subsequently, plasma and
hemispheres were collected and analyzed for their content of TRP metabolites
using liquid chromatography-tandem mass spectrometry. Vaginal saline lavages were
obtained daily for ?2 cycles. To estimate the effects of sex and FST we included
plasma from unhandled, naive male FSL and FRL rats. Female FSL rats showed a
depression-like phenotype with increased immobility in the FST, not confounded by
anxiety. In the brain, 3-hydroxykynurenine was increased whereas anthranilate and
5-hydroxytryptophan were decreased. In plasma, anthranilate and quinolinate
levels were lower in FSL rats compared to the control line, independent of sex
and FST. The estrous cycle neither impacted behavior nor TRP metabolite levels in
the FSL rat. In conclusion, the female FSL rat is an interesting preclinical
model of depression with altered TRP metabolism, independent of the estrous
cycle. The status of the pathway in brain was not reflected in the plasma, which
may indicate that an inherent local, cerebral regulation of TRP metabolism
occurs.
PMID- 27210076
TI - Optimization of phenylhydrazine induced hyperbilirubinemia in experimental
rabbit.
AB - Induction of hyperbilirubinemia in experimental rabbits by phenylhydrazine was
optimized in terms of dose, dose interval and number of doses using response
surface methodology. Central Composite Design was employed using five levels for
each of the three input variables. Degree of hyperbilirubinemia was measured in
terms of bilirubin level in serum of animals. A dose dependent significant
elevation (P<0.05) of total serum bilirubin level was observed which was
optimized by using eight factorial, six axial and six central points as suggested
by experimental design. Optimum levels of phenylhydrazine dose, total number of
doses and a dose interval to achieve maximum elevation (4.06 mg/dl-1) of total
serum bilirubin were found to be 11.56 mg/kg-1 body weight, 8 and 24.65 h,
respectively. The induction procedure was validated by performing five replicate
experiments on a group of five animals which showed 3.56 +/- 0.47 mg/kg-1 body
weight elevation in total serum bilirubin level.
PMID- 27210078
TI - [Safe Handling of Cancer Chemotherapy Drugs].
AB - In Japan, JSCN/JSMO/JASPO Joint Guidelines for Safe Handling of Cancer
Chemotherapy Drugs was published in July, 2015. Occupational exposure of
hazardous drugs (HD) should be prevented and safely managed by comprehensive team
approaches throughout all processes of cancer chemotherapy; preparation,
delivery, administration to abandonment of HD. All medical stuffs who deal with
HD occupationally should acquire knowledge and skills for safe handling of HD.
Understanding of hierarchy control and practical use of BSC, CSTD, PPE are keys
for prevention of HD exposure.
PMID- 27210077
TI - Evolutionary potential and adaptation of Banksia attenuata (Proteaceae) to
climate and fire regime in southwestern Australia, a global biodiversity hotspot.
AB - Substantial climate changes are evident across Australia, with declining rainfall
and rising temperature in conjunction with frequent fires. Considerable species
loss and range contractions have been predicted; however, our understanding of
how genetic variation may promote adaptation in response to climate change
remains uncertain. Here we characterized candidate genes associated with rainfall
gradients, temperatures, and fire intervals through environmental association
analysis. We found that overall population adaptive genetic variation was
significantly affected by shortened fire intervals, whereas declining rainfall
and rising temperature did not have a detectable influence. Candidate SNPs
associated with rainfall and high temperature were diverse, whereas SNPs
associated with specific fire intervals were mainly fixed in one allele. Gene
annotation further revealed four genes with functions in stress tolerance, the
regulation of stomatal opening and closure, energy use, and morphogenesis with
adaptation to climate and fire intervals. B. attenuata may tolerate further
changes in rainfall and temperature through evolutionary adaptations based on
their adaptive genetic variation. However, the capacity to survive future climate
change may be compromised by changes in the fire regime.
PMID- 27210079
TI - [Small-Bowel Cancer].
AB - Diagnosis of small-bowel cancer has become easier thanks to the development of
both balloon-assisted endoscopy and capsule endoscopy. Balloon-assisted endoscopy
allows not only for observation of the deep intestine but also for biopsies and
for establishing a histological diagnosis. Although endoscopic diagnosis is
reported to improve the prognosis of small-bowel cancer by early detection, it is
still difficult and the prognosis in general is poor. Surgery and chemotherapy
protocols for this disease are similar to those for colon cancer. At present, the
response rate to chemotherapy for small-bowel cancer is low. There is an urgent
need in this patient population to establish a new diagnostic and therapeutic
algorithm using balloon-assisted endoscopy and capsule endoscopy.
PMID- 27210080
TI - [Clinical Pathological Diagnosis, and Treatment for Pleural Mesothelioma].
AB - For the differential diagnosis between fibrous pleuritis and other malignancies
such as lung cancer, multiple immunostaining is essential to diagnose pleural
mesothelioma. For cytological diagnosis of pleural effusions, differentiation
between mesothelioma cells and reactive mesothelial cells is very difficult.
Therefore, histological diagnoses of tumor tissues obtained via biopsy are
essential. To diagnose epthelioid mesothelioma, more than 2 positive and negative
markers must be consistent with those known for mesothelioma. To diagnose
sarcomatoid mesothelioma, keratin is usually positive, differentiating the
diagnosis from that for real sarcoma. For surgical treatment for pleural
mesothelioma, extrapleural pneumonectomy (EPP) and pleurectomy/decortication
(P/D) are usually performed. The proportion of P/D increases because of the low
death rates with surgery and similar survivals. However, a trimodal approach,
such as EPP with chemotherapy and radiotherapy, is best for longer survival and
expected to be curative. For chemotherapy, only cisplatin (CDDP) combined with
pemetrexed (PEM) is effective, and no other agents have been identified for this
disease. Nowadays, clinical immunotherapy trials start with phase II study.
PMID- 27210081
TI - [New TNM Staging System for Thymic Malignancies].
AB - In patients with malignant tumors, the TNM classification has been widely used by
clinicians as a guide for estimating prognosis, and is the basis for treatment
decisions. Recently, the International Association for the Study of Lung Cancer
Staging and Prognostic Factors Committee and the International Thymic Malignancy
Interest Group have proposed a new classification for thymic malignancies to be
included in the next official staging system of the forthcoming 8th edition of
the TNM classification. In this study, we reviewed 154 consecutive patients with
thymic epithelial tumors who underwent complete resection at our institution, and
compared their characteristics and outcomes when classified according to the
proposed system with those when classified under the current Masaoka-Koga system.
The proportion of patients with stage I disease increased markedly to 77.3% under
the proposed system because a certain number of patients with Masaoka-Koga stages
II and III diseases were downstaged to the new stage I. Regarding histology,
among 69 patients with type A, AB, or B1 thymoma, 68 tumors (99%) were diagnosed
as new stage I disease. When using the proposed system, the recurrence-free
survival rates showed significant deterioration with increasing stage, while the
overall survival rates did not. Although the new TNM classification does not
serve as an effective prognostic prediction model for overall survival, it
appears to offer some benefit, especially in the analysis of recurrence-free
survival.
PMID- 27210082
TI - [Adult T-Cell Leukemia/Lymphoma (ATL)].
AB - Adult T-cell leukemia/lymphoma (ATL) is a distinct malignancy of CD3+/CD4+/CD8
/CD25+/CCR4+/FoxP3+ or -/TdT- Treg/Th2 cells that is etiologically associated
with human T-cell lymphotropic virus type I (HTLV-1), which is endemic in several
regions in the world including southwest Japan. ATL is a rare malignancy and is a
single HTLV-1-mediated disease entity with diverse molecular features.
Additionally, ATL clinical features and prognosis are diverse, leading to subtype
classification into acute, lymphomatous, chronic, and smoldering types according
to organ involvement and LDH and calcium levels. For the acute, lymphomatous, or
unfavorable chronic subtypes (aggressive ATL) and favorable chronic or smoldering
ATL (indolent ATL), intensive chemotherapy followed by allo-HSCT and careful
observation until disease progression has been recommended in Japan,
respectively. Maintenance of a medical treatment system for ATL, a rare leukemic
lymphoma with diverse clinical features and poor prognosis, is required in Japan
and other endemic areas in the world.
PMID- 27210083
TI - [Missile-Type Tumor-Targeting Polymer Drug, P-THP, Seeks Tumors via Three
Different Steps Based on the EPR Effect].
AB - The enhanced permeability and retention (EPR) effect, a tumor-targeting principle
of nanomedicine, serves as a standard for tumor-targeted anticancer drug design.
There are 3 key issues in ideal EPR-based antitumor drug design: i) stability in
blood circulation; ii) tumor-selective accumulation (EPR effect) and efficient
release of the active anticancer moiety in tumor tissues; and iii) the active
uptake of the active drug into tumor cells. Using these principles, we developed
N-(2- hydroxypropyl)methacrylamide (HPMA) copolymer-conjugated pirarubicin (P
THP), which uses hydrazone bond linkage; it was shown to exhibit prolonged
circulation time, thereby resulting in good tumor-selective accumulation. More
importantly, the hydrazone bond ensured selective and rapid release of the active
drug, pirarubicin (THP), in acidic tumor environments. Further, compared to other
anthracycline anticancer drugs (eg, doxorubicin), THP demonstrated more rapid
intracellular uptake. Consequently, P-THP showed remarkable antitumor effect with
minimal side effects. In a clinical pilot study of a stage IV prostate cancer
patient with multiple metastases in the lung and bone, P-THP (50-75 mg
administered once every 2-3 weeks) was shown to clear the metastatic nodules in
the lung almost completely after 3 treatments where 50-70 mg THP equivalent each
was administerd per 70 kg body wt, and bone metastasis disappeared after 6
months. There was no recurrence after 2 years. The patient also retained an
excellent quality of life during the treatment without any apparent side effects.
Thus, we propose the clinical development of P-THP as an EPR-based tumor-targeted
anticancer drug.
PMID- 27210084
TI - Little Impact on Renal Function in Advanced Renal Cell Carcinoma Patients Treated
with Sorafenib--Analyses of Postmarketing Surveillance in Japan in over 3,200
Consecutive Cases.
AB - BACKGROUND: To assess the effect of sorafenib on renal function in patients with
advanced renal cell carcinoma (RCC) included in a postmarketing surveillance.
METHODS: All patients in Japan with advanced RCC treated with sorafenib between
February 2008 and September 2009 were followed for 12 months. Baseline
characteristics, renal function, survival, safety, and dosage were stratified
according to baseline estimated glomerular filtration rate (eGFR): G1 (eGFR>=90),
G2 (eGFR>=60-<90), G3a (eGFR>=45-<60), G3b (eGFR>=30-<45), G4 (eGFR>=15-<30), and
G5 (eGFR<15). A total of 3,255 and 3,171 patients were included in this analysis
for safety and efficacy, respectively. RESULTS: The mean eGFRs (mL/min/1.73 m2)
were not substantially changed for each group at baseline and 12 months,
respectively. Median daily doses of sorafenib were 726 mg (G1), 522 mg (G2), 524
mg (G3a), 517 mg (G3b), 483 mg (G4), and 400 mg (G5). Renal failure, reported as
an adverse event, occurred more frequently in the G4 and G5 groups (9%and 3%,
respectively) than in other groups. Objective response rates for each subgroup
were as follows: G1, 23%; G2, 28%; G3a, 29%; G3b, 26%; G4, 24%; and G5, 18%. One
year survival was higher in the G3a and G3b groups (82% and 78%, respectively)
and lower in the G1 group (50%). CONCLUSIONS: This study demonstrated little
impact of sorafenib on renal function in advanced RCC patients during the
observational period. Patients showed sufficient clinical response and safety
irrespective of baseline eGFR value.
PMID- 27210085
TI - [Chemotherapy and Anticancer Immune Responses].
AB - Chemotherapeutic agents are widely used for cancer treatment. However,
conventional and maximum-tolerated dose chemotherapy is often associated with the
risk of immune deterioration via the induction and recruitment of
immunosuppressive cells. Therefore, certain chemotherapeutic agents with
immunostimulatory effects, including the induction of immunogenic cancer cell
death and inhibition of immunosuppressive cells, must be useful. The combination
of chemotherapy and immunotherapy is a promising new modality for the treatment
of cancer patients. In this manuscript, I outline the in vivo induction of
antitumor T cell immunity after chemotherapy and its underlying mechanisms and
introduce immunogenic chemotherapy and several combinations of chemotherapy and
immunotherapy.
PMID- 27210086
TI - [Efficacy and Safety of Neoadjuvant Chemotherapy Containing Nanoparticle Albumin
Bound Paclitaxel (NabPTX) in Operable Human Epidermal Growth Factor Receptor 2
Positive Breast Cancer].
AB - The efficacy and safety of nanoparticle albumin-bound paclitaxel (nabPTX)
containing neoadjuvant chemotherapy (NAC) were investigated in patients with
human epidermal growth factor receptor 2 (HER2)-positive breast cancers. Thirteen
HER2-positive patients received NAC containing nabPTX or paclitaxel between June
2008 and December 2014. Of 13 HER2-positive patients, those who received nabPTX
containing NAC showed an 85.7% (6/7) pathological complete response (pCR) rate,
whereas those who received paclitaxel-containing NAC showed a pCR rate of 50.0%
(3/6). While 5 of 7 patients who received nabPTX-containing NAC developed Grade
3/4 neutropenia, none of them developed febrile neutropenia. Grade 1/2 peripheral
neuropathy developed in all 7 patients who received nabPTX-containing NAC. This
therapy may be a safe and effective treatment for HER2-positive breast cancer
patients.
PMID- 27210087
TI - [Study of the Efficacy of Aprepitant in FOLFOX/FOLFIRI for Elderly Patients with
Advanced Colorectal Cancer].
AB - For patients undergoing cancer chemotherapy, sufficient supportive antiemetic
therapy is important for maintaining the quality of life. Although there are many
studies on antiemetic support therapy for high emetic risk regimens, studies on
moderately emetic risk regimens are scarce. FOLFOX and FOLFIRI are generic
regimens for the treatment of advanced colorectal cancer; evidence for antiemetic
supportive therapy at the time of this treatment is scarce. In addition, research
on patients over 65 years of age is limited. Among the advanced colorectal cancer
patients who received treatment with FOLFOX or FOLFIRI at Koyama Memorial
Hospital, patients older than 65 years of age were selected in order to assess
the effectiveness of aprepitant as an antiemetic supportive therapy. Aprepitant
was used in combination with granisetron and dexamethasone in 14 patients. After
5 days of treatment, complete control rate of emesis was 100%, and complete
control rate of nausea was 64.3%. Our results suggest that aprepitant can be used
as a safe antiemetic supportive therapy in elderly advanced colorectal cancer
patients undergoing FOLFOX and FOLFIRI, as well as granisetron and dexamethasone.
PMID- 27210088
TI - [Postoperative Adjuvant Chemotherapy for Stage III Colon Cancer--Drug Selection,
Tolerability, and Safety in Clinical Practice].
AB - In the National Comprehensive Cancer Network (NCCN) guidelines, oxaliplatin (L
OHP)-based chemotherapeutic regimens, including 5-fluorouracil, Leucovorin (LV),
and L-OHP (FOLFOX); capecitabine and L-OHP (CapeOX); and 5-fluorouracil, folinic
acid, and L-OHP (FLOX) are designated as category 1 recommendations for
postoperative adjuvant chemotherapy in Stage III colon cancer, followed by
capecitabine and 5-fluorouracil plus LV as category 2A recommendations. We
studied the selection of drugs for adjuvant chemotherapy and assessed the
tolerability and safety of CapeOX and tegafur-uracil (UFT) plus LV (UFT/LV) in
patients with Stage III colon cancer. The study group included 104 consecutive
patients with Stage III colon cancer who underwent curative surgery. One patient
changed hospitals immediately after surgery. Among the remaining 103 patients, 82
(80%) received adjuvant chemotherapy and 21 (20%) did not. CapeOX was
administered to 32 patients (31%), UFT/LV to 49 patients (48%), and capecitabine
to 1 patient (1%). In 59 patients, the treatment choice was determined according
to the patient's preference; 32 patients (54%) selected CapeOX, 26 (44%) selected
UFT/LV, and 1 (2%) selected no chemotherapy. The treatment completion rate was
80% for CapeOX and 84% for UFT/LV. Among patients who completed chemotherapy,
dose reduction and drug withdrawal were not required in 22% of patients who
received CapeOX and 80% of those who received UFT/LV. Neither CapeOX nor UFT/LV
was associated with any serious adverse events. The tolerability and safety of
CapeOX and UFT/LV were acceptable. However, CapeOX dose had to be carefully
adjusted according to each patient's condition.
PMID- 27210089
TI - [Efficacy of Levofloxacin Hydrate in Febrile Neutropenia for Outpatient
Chemotherapy].
AB - Management of febrile neutropenia (FN) is important for the safety of patients
undergoing outpatient chemotherapy. Oral antimicrobials are usually prescribed as
the initial treatment for FN, and outpatients are instructed to begin medication
prior to chemotherapy. However, the effectiveness and safety of the use of these
oral antibiotics have not yet been established. In this study, we investigated
the effectiveness and safety of levofloxacin hydrate (LVFX) for breast cancer
patients with FN, and the factors associated with the onset of FN in 134 breast
cancer patients who underwent chemotherapy including the anticancer drug
anthracycline (total, 513 courses), in an outpatient chemotherapy department. The
effectiveness and safety of LVFX were defined respectively as defervescence
within 5 days, and the appearance of side effects such as diarrhea and rashes.
Fever was observed in 89 (66%) of the 134 patients, and during 164 (32%) of 513
courses. Defervescence was observed with the LVFX medication in 149 (93%) of 160
courses. The primary side effect was the development of rashes, and only 2 (1%)
of the 160 courses were discontinued. Onset of stomatitis during chemotherapy was
observed as a factor of FN (odds ratio: 1.36, p<0.05). Our results suggest that
the use of LVFX according to the patients' discretion might be an effective and
safe option for the management of FN during outpatient chemotherapy.
PMID- 27210090
TI - [Operational Management of Multidisciplinary Organ-Based Tumor Units in Our
Cancer Center].
AB - Owing to the advances in diagnosis and treatment, it is imperative to develop a
multidisciplinary approach for the management of cancer patients. In our cancer
center, multidisciplinary organ-based tumor units have been organized for team
medical care. These units consist of cancer specialists from multiple departments
including medical oncology, surgery, radiology, histopathology, and nursing.
Members of each unit regularly conduct meetings to discuss diagnostic and
therapeutic aspects, as well as to report the progress of cancer patients. Co
operation with the counseling and support center, utilization of the computerized
medical record system, and using brochures for advertisement, all play important
roles in adequate management of multidisciplinary organ-based tumor units.
PMID- 27210091
TI - [Intraoperative BCNU Wafer Implantation for High-Grade Glioma--A Questionnaire
Targeting Japanese Neurosurgeons].
AB - Carmustine (BCNU) wafer implantation has been used in Japanese patients after
resection of high-grade glioma (HGG) since 2013. Wafer implantation plays an
important role in improving the prognosis of HGG patients, but it often causes
particular changes as observed on neuroimaging and various adverse effects (AEs).
Here, we conducted a questionnaire-based survey of Japanese neurosurgeons to
determine how they feel about this treatment based on their actual observations.
Most neurosurgeons had a positive impression of the treatment based on previous
evidence of clinical effectiveness. Additionally, we found that the Japanese
neurosurgeons are taking measures to cope with some AEs including cerebral edema,
postoperative convulsions, cerebrospinal fluid leakage, and protracted wound
healing.
PMID- 27210092
TI - [A Case of Carcinomatous Pericarditis of Breast Cancer Successfully Treated with
Intrapericardial Mitomycin C Instillations and Systemic Chemotherapy].
AB - A 67-year-old woman who underwent left breast mastectomy and right breast partial
mastectomy under the diagnosis of left breast cancer and suspected right breast
cancer 10 years earlier was admitted because of dyspnea. Chest computed
tomography revealed pericardial fluid accumulation. The patient was treated with
pericardial drainage; thereby, 800 mL of bloody fluid was removed. The
cytological diagnosis was malignancy. After receiving mitomycin C instillation,
she underwent systemic chemotherapy and endocrine therapy. At the last follow-up
more than 5 years after the recurrence, no reaccumulation of the pericardial
fluid was observed, and she remained alive in good condition.
PMID- 27210093
TI - [A Case of Acute Arterial Occlusion of the Lower Limb during Chemotherapy for
Lung Cancer].
AB - A 69-year-old man visited a clinic for left leg weakness. With suspicions of lung
cancer and a metastatic brain tumor, he was referred to our hospital and was
diagnosed with large cell neuroendocrine carcinoma, cT1bN0M1b (BRA), stage IV.
After stereotactic radiosurgery for his brain metastasis, he was treated with
chemotherapy containing cisplatin and irinotecan. A week after initiating
chemotherapy, he suddenly developed severe right leg pain and adynamia. A
computed tomography angiogram revealed occlusion of the right common femoral
artery, and percutaneous thrombectomy was performed. The symptoms resolved
completely, and he was discharged without any sequelae or recurrence. Acute
arterial occlusion of the limbs during chemotherapy is uncommon and requires
prompt diagnosis and treatment; hence, caution should be paid when it is
clinically suspected.
PMID- 27210094
TI - [A Patient with Lung Adenocarcinoma, Lymphangitis Carcinomatosa, and Multiple
Bone Metastases Who Achieved Long-Term Survival after Successful Treatment with
Carboplatin, Paclitaxel, and Bevacizumab].
AB - BACKGROUND: Lymphangitis carcinomatosa of the lung is intractable and associated
with a poor prognosis. CASE: A 53-year-old woman was admitted to our hospital due
to an uncomfortable feeling on deep inspiration. She was diagnosed with left lung
adenocarcinoma with lymphangitis carcinomatosa and bone metastases to the frontal
bone of the skull and thoracic vertebrae. The lung carcinoma was positive for an
EGFR mutation. Because the patient's performance status (PS) was 0, carboplatin
plus paclitaxel plus bevacizumab therapy was initiated and she received
zoledronic acid and concurrent radiation therapy of 40 Gy for the metastasis to
the thoracic vertebrae. After 2 courses of treatment, the respiratory symptoms
had improved. After 6 courses of treatment, a chest CT indicated that the
lymphangitis carcinomatosa had disappeared. The serum CEA level, which was 126.2
ng/mL (normal<5.0) before treatment, reduced to 5.0 ng/mL. She was administered
10 courses of bevacizumab as a maintenance therapy; however, the CEA level rose
again to 11.7 ng/mL, the lung tumor volume increased, and the metastasis of the
frontal bone deteriorated. As second-line chemotherapy, EGFR-TKI was started.
However, after 11 months, because of grade 4 liver dysfunction, EGFR-TKI was
stopped. She then received fourth-line chemotherapy in our outpatient hospital.
This patient has survived 52 months since the initial diagnosis. CONCLUSION:
Chemotherapy including bevacizumab facilitated long-term survival (52 months) of
a patient with lung adenocarcinoma accompanied by lymphangitis carcinomatosa and
multiple bone metastases.
PMID- 27210095
TI - [A Case of Subacute Thyroiditis during Chemotherapy after Distal Pancreatectomy].
AB - A 68-year-old woman who underwent distal pancreatectomy combined with resection
of the celiac axis had an abdominal wall recurrence and resection 2 years after
the first surgery. She was treated with S-1 at an outpatient clinic following the
surgery. She returned to the clinic with a high fever after the S-1
administration and was diagnosed with febrile neutropenia. However, treatment for
febrile neutropenia including antibiotics and G-CSF did not improve her symptoms.
Her history of chronic severe neck pain and painful enlargement of her thyroid
gland suggested thyroiditis. After blood tests and thyroid scintigraphy, she was
diagnosed with subacute thyroiditis and was treated with prednisolone. Her
symptoms improved within a week. Although patients with neutropenia and a high
fever during chemotherapy are likely to have febrile neutropenia, the possibility
of another cause of neutropenia with fever should be considered if treatments for
febrile neutropenia are not effective.
PMID- 27210096
TI - [Complete Response in a Patient with HER2-Positive Gastric Cancer and Multiple
Lung Metastases with Trastuzumab-Containing Chemotherapy].
AB - We report the case ofa 79-year-old Japanese woman diagnosed with human epidermal
growth factor receptor 2 (HER2)-positive gastric cancer of the cardia with
multiple lung metastases that showed complete response to trastuzumab-containing
chemotherapy. First, we administered tegafur/gimeracil/oteracil (S-1) and
cisplatin (CDDP) concurrently to the patient. Next, we switched to trastuzumab in
combination with capecitabine and CDDP because gastric cancer tissue indicated
HER2-positivity. Considering the patient's age and renal function, the dose of
CDDP was decreased to 50% after starting the medication. Before the 2nd course of
trastuzumab-containing chemotherapy, oral mucositis (Grade 3) and hand-foot
syndrome (Grade 1) were observed. Therefore, a one-step dose reduction of
capecitabine was necessary. After the 4th course, the primary gastric tumor was
no longer visible endoscopically. After the 7th course, computed tomography (CT)
showed the disappearance of all lung metastases. Accordingly, the patient was
considered to be completely responsive to the medication. After the 12th course,
recurrence of the tumor was not identified and at the request of the patient, the
trastuzumab-containing chemotherapy was discontinued. Regular follow-up showed no
evidence of recurrence 8 months after discontinuing treatment and the patient was
in good condition 21 months after her initial diagnosis.
PMID- 27210097
TI - [Surgical Resection and Adjuvant Chemotherapy with FOLFOX6 for Primary Duodenal
Adenocarcinoma and Nodal Metastasis Resulted in Complete Remission].
AB - Primary duodenal adenocarcinoma is a rare disease, and cases with nodal
metastases have a poor prognosis. A 46-year-old man complaining of bloody stool
visited our hospital. Endoscopy, CT, and PET-CT showed adenocarcinoma in the 2nd
portion of the duodenum. We performed radical resection (PpPD) and pathological
findings showed T3N1M0 (Stage III). Chemotherapy consisting of FOLFOX6 was
administered for 6 months after surgery. The patient was alive without recurrence
5 years later. This case suggests that adjuvant chemotherapy (FOLFOX regimen)
following curative resection including lymph node removal is an effective
treatment for cases with tumor involvement of the lymph nodes.
PMID- 27210098
TI - [A Case of Advanced Colon Cancer with Long-Term and Re-Administration of
Regorafenib].
AB - A 54-year-old woman diagnosed with sigmoid colon cancer and multiple liver
metastases underwent sigmoidectomy, partial hepatectomy, and RFA in September
2009. Because of postoperative liver and lung recurrence, 5 regimens with
combinations of L-OHP/CPT-11 plus anti-VEGF antibody/anti-EGFR antibody was
performed. Following these treatments, she underwent hepatic arterial infusion
therapy with UFT/Krestin for progressive liver metastases. Starting in November
2014, regorafenib was administered, with an immediate decrease in tumor marker
levels; tumor reduction demonstrated enhanced effect against liver metastases.
After 8 months of administration, we stopped regorafenib and changed to TAS-102
due to diarrhea and eating disorders. However, TAS-102 was not effective; there
were significant increases in tumor markers, liver function tests, and tumor size
on computed tomography, and worsening of abdominal pain. After re-administration
of regorafenib, a rapid decrease in tumor marker levels and improvement of liver
dysfunction and abdominal pain were observed. Re-administration continued for 8
months until best supportive care was instituted. In cases with observed
therapeutic effect of regorafenib, long-term or re-administration is possible,
with extension of the prognosis depending on the adjustment, and without size
reduction of metastatic tumors.
PMID- 27210099
TI - [A Case of Unresectable Rectal Cancer with Multiple Liver Metastases Treated
Effectively with 22 Courses of XELOX Therapy].
AB - The patient was a 64-year-old man who underwent medical examination for anorexia
and hematochezia. An abdominal computed tomography (CT) scan showed a large
advanced rectal cancer with multiple metastases to the liver. We judged a radical
operation to be impossible and performed a sigmoid colostomy for unresectable
rectal cancer with multiple liver metastases. Postoperatively, we started XELOX
therapy and confirmed the patient had a complete response (CR) using the Response
Evaluation Criteria in Solid Tumors (RECIST) after completion of the 5th course.
In addition, the multiple liver metastases reduced remarkably in size and were
judged as having a partial response (PR). To date, the patient has completed 22
courses and these good effects continue.
PMID- 27210101
TI - [Efficacy of Ipragliflozin in Patients with Steroid-Induced Hyperglycemia during
Cancer Chemotherapy].
AB - Steroid is a key drug in cancer chemotherapy-induced emesis. However, it may
sometimes cause inadequately controlled hyperglycemia. Ipragliflozin is a novel
selective sodium-dependent glucose cotransporter 2 inhibitor of urinary glucose
excretion. In this case, we controlled steroid-induced hyperglycemia by
administering ipragliflozin. The case was a 47-year-old man who was diagnosed
with Stage IV esophageal cancer (T3N2M1). He had type 2 diabetes. He was treated
with cisplatin (70 mg/m2; day 1) and 5-FU (700 mg/m2; days 1-4) as
radiochemotherapy. Intravenous infusion of dexamethasone (9.9 mg) was
administered on day 1, followed by additional doses (6.6 mg) for 3 days, as one
of the emetic therapies. He received intensive insulin therapy during the first
course of chemotherapy, but had Grade 3 hyperglycemia regardless. For the next
treatment course, we additionally administered ipragliflozin along with
dexamethasone. As a result, the hyperglycemia subsided to Grade 2. These findings
suggest that ipragliflozin suppresses steroid-induced hyperglycemia.
PMID- 27210100
TI - [A Case of Advanced Rectal Cancer Resected Successfully after Induction
Chemotherapy with Modified FOLFOX6 plus Panitumumab].
AB - We report a case of advanced colon cancer that was effectively treated with
mFOLFOX6 plus panitumumab combination chemotherapy. The patient was a 54-year-old
man who had type 2 colon cancer of the rectum. An abdominal CT scan demonstrated
rectal cancer with bulky lymph node metastasis and 1 hepatic node (rectal cancer
SI [bladder retroperitoneum], N2M0H1P0, cStage IV). He was treated with mFOLFOX6
plus panitumumab as neoadjuvant chemotherapy. After 4 courses of chemotherapy, CT
revealed that the primary lesion and regional metastatic lymph nodes had reduced
in size (rectal cancer A, N1H1P0M0, cStage IV). Anterior rectal resection with D3
nodal dissection and left lateral segmentectomy of the liver was performed. The
histological diagnosis was tubular adenocarcinoma (tub2-1), int, INF a, pMP, ly0,
v0, pDM0, pPM0, R0. He was treated with 4 courses of mFOLFOX6 after surgery. The
patient has been in good health without a recurrence for 2 years and 5 months
after surgery. This case suggests that induction chemotherapy with mFOLFOX6 plus
panitumumab is a potentially effective regimen for advanced colon cancer.
PMID- 27210102
TI - [BRAF Inhibitor-Induced Erythema Nodosum-Like Lesions].
AB - BRAF inhibitors have been licensed for the treatment of unresectable or
metastatic BRAF-mutated melanomas. In Japan, the BRAF inhibitor vemurafenib has
been available since December 2014. Several adverse events induced by BRAF
inhibitors have been reported, such as Stevens-Johnson syndrome, toxic epidermal
necrosis, squamous cell carcinoma, secondary melanoma, and hand-foot syndrome.
Recently, inflammatory skin lesions clinically resembling erythema nodosum have
been reported as side effects that may lead to treatment discontinuation. In this
report, we described the first Japanese case of erythema nodosum-like lesions
induced by vemurafenib and discussed the countermeasures to this adverse
reaction. Dose reduction or interruption of BRAF inhibitors should be considered
on a case-by-case basis because the condition may resolve spontaneously or under
symptomatic treatment. We postulate that erythema nodosum-like lesions can be
controlled by careful follow-up and supportive care.
PMID- 27210103
TI - Identification and Characterization of Mitochondrial Subtypes in Caenorhabditis
elegans via Analysis of Individual Mitochondria by Flow Cytometry.
AB - Mitochondrial bioenergetics has been implicated in a number of vital cellular and
physiological phenomena, including aging, metabolism, and stress resistance.
Heterogeneity of the mitochondrial membrane potential (Deltapsi), which is
central to organismal bioenergetics, has been successfully measured via flow
cytometry in whole cells but rarely in isolated mitochondria from large animal
models. Similar studies in small animal models, such as Caenorhabditis elegans
(C. elegans), are critical to our understanding of human health and disease but
lack analytical methodologies. Here we report on new methodological developments
that make it possible to investigate the heterogeneity of Deltapsi in C. elegans
during development and in tissue-specific studies. The flow cytometry methodology
described here required an improved collagenase-3-based mitochondrial isolation
procedure and labeling of mitochondria with the ratiometric fluorescent probe JC
9. To demonstrate feasibility of tissue-specific studies, we used C. elegans
strains expressing blue-fluorescent muscle-specific proteins, which enabled
identification of muscle mitochondria among mitochondria from other tissues. This
methodology made it possible to observe, for the first time, critical changes in
Deltapsi during C. elegans larval development and provided direct evidence of the
elevated bioenergetic status of muscle mitochondria relative to their
counterparts in the rest of the organism. Further application of these
methodologies can help tease apart bioenergetics and other biological
complexities in C. elegans and other small animal models used to investigate
human disease and aging.
PMID- 27210104
TI - Cholesterol influences potassium currents in inner hair cells isolated from
guinea pig cochlea.
AB - OBJECTIVE: There is a correlation between serum hyperlipidemia and hearing loss.
Cholesterol is an integral component of the cell membrane and regulates the
activity of ion channels in the lipid bilayer. The aim of this study was to
investigate the effects of cholesterol on the potassium currents in IHCs by using
the cholesterol-depleting drug, MbetaCD, and water-soluble cholesterol. METHODS:
IHCs were acutely isolated from a mature guinea-pig cochlea and potassium
currents were recorded. MbetaCD and water-soluble cholesterol were applied to
IHCs under pressure puff pipettes. RESULTS: IHCs showed outwardly rectifying
currents (IK,f and IK,s) in response to depolarizing voltage pulses, with only a
slight inward current (IK,n) when hyperpolarized. In 10mM MbetaCD solutions, the
amplitude of outward K currents reversely decreased; however, fast activation
kinetics was preserved. In contrast, in solution of 1mM water-soluble
cholesterol, the amplitude of outward K currents reversely increased. At the
membrane potential of +110mV, relative conductances were 0.87+/-0.07 and 1.18+/
0.11 in MbetaCD solutions and cholesterol solutions, respectively. CONCLUSION:
The amplitude of K currents in isolated IHCs was reversely changed by cholesterol
depleting drug and water-soluble cholesterol. These results demonstrated the
possibility of the involvement of IHC function in hyperlipidemia-induced inner
ear disorders.
PMID- 27210106
TI - Secretory cells in honeybee hypopharyngeal gland: polarized organization and age
dependent dynamics of plasma membrane.
AB - The honeybee hypopharyngeal gland consists in numerous units, each comprising a
secretory cell and a canal cell. The secretory cell discharges its products into
a convoluted tubular membrane system, the canaliculus, which is surrounded at
regular intervals by rings of actin filaments. Using probes for various membrane
components, we analyze the organization of the secretory cells relative to the
apicobasal configuration of epithelial cells. The canaliculus was defined by
labeling with an antibody against phosphorylated ezrin/radixin/moesin (pERM), a
marker protein for the apical membrane domain of epithelial cells. Anti
phosphotyrosine visualizes the canalicular system, possibly by staining the
microvillar tips. The open end of the canaliculus leads to a region in which the
secretory cell is attached to the canal cell by adherens and septate junctions.
The remaining plasma membrane stains for Na,K-ATPase and spectrin and represents
the basolateral domain. We also used fluorophore-tagged phalloidin, anti
phosphotyrosine and anti-pERM as probes for the canaliculus in order to describe
fine-structural changes in the organization of the canalicular system during the
adult life cycle. These probes in conjunction with fluorescence microscopy allow
the fast and detailed three-dimensional analysis of the canalicular membrane
system and its structural changes in a developmental mode or in response to
environmental factors.
PMID- 27210105
TI - Neuromusculoskeletal Model Calibration Significantly Affects Predicted Knee
Contact Forces for Walking.
AB - Though walking impairments are prevalent in society, clinical treatments are
often ineffective at restoring lost function. For this reason, researchers have
begun to explore the use of patient-specific computational walking models to
develop more effective treatments. However, the accuracy with which models can
predict internal body forces in muscles and across joints depends on how well
relevant model parameter values can be calibrated for the patient. This study
investigated how knowledge of internal knee contact forces affects calibration of
neuromusculoskeletal model parameter values and subsequent prediction of internal
knee contact and leg muscle forces during walking. Model calibration was
performed using a novel two-level optimization procedure applied to six normal
walking trials from the Fourth Grand Challenge Competition to Predict In Vivo
Knee Loads. The outer-level optimization adjusted time-invariant model parameter
values to minimize passive muscle forces, reserve actuator moments, and model
parameter value changes with (Approach A) and without (Approach B) tracking of
experimental knee contact forces. Using the current guess for model parameter
values but no knee contact force information, the inner-level optimization
predicted time-varying muscle activations that were close to experimental muscle
synergy patterns and consistent with the experimental inverse dynamic loads (both
approaches). For all the six gait trials, Approach A predicted knee contact
forces with high accuracy for both compartments (average correlation coefficient
r = 0.99 and root mean square error (RMSE) = 52.6 N medial; average r = 0.95 and
RMSE = 56.6 N lateral). In contrast, Approach B overpredicted contact force
magnitude for both compartments (average RMSE = 323 N medial and 348 N lateral)
and poorly matched contact force shape for the lateral compartment (average r =
0.90 medial and -0.10 lateral). Approach B had statistically higher lateral
muscle forces and lateral optimal muscle fiber lengths but lower medial, central,
and lateral normalized muscle fiber lengths compared to Approach A. These
findings suggest that poorly calibrated model parameter values may be a major
factor limiting the ability of neuromusculoskeletal models to predict knee
contact and leg muscle forces accurately for walking.
PMID- 27210107
TI - Structural analysis of IPC zeolites and related materials using positron
annihilation spectroscopy and high-resolution argon adsorption.
AB - The advanced investigation of pore networks in isoreticular zeolites and
mesoporous materials related to the IPC family was performed using high
resolution argon adsorption experiments coupled with the development of a state
of-the-art non-local density functional theory approach. The optimization of a
kernel for model sorption isotherms for materials possessing the same layer
structure, differing only in the interlayer connectivity (e.g. oxygen bridges,
single- or double-four-ring building units, mesoscale pillars etc.) revealed
remarkable differences in their porous systems. Using high-resolution adsorption
data, the bimodal pore size distribution consistent with crystallographic data
for IPC-6, IPC-7 and UTL samples is shown for the first time. A dynamic
assessment by positron annihilation lifetime spectroscopy (PALS) provided
complementary insights, simply distinguishing the enhanced accessibility of the
pore network in samples incorporating mesoscale pillars and revealing the
presence of a certain fraction of micropores undetected by gas sorption.
Nonetheless, subtle differences in the pore size could not be discriminated based
on the widely-applied Tao-Eldrup model. The combination of both methods can be
useful for the advanced characterization of microporous, mesoporous and
hierarchical materials.
PMID- 27210109
TI - Methodological quality of the injury surveillance system used in international
athletics championships.
AB - OBJECTIVES: Incidence and prevalence data obtained from injury surveillance
studies could be biased by the response rate as well as by the completeness and
quality of the reports. It therefore appears crucial to analyse the quality of
the injury surveillance system itself and thereby validate the quality of the
data. This study aimed to analyse the quality of and compliance with the injury
surveillance system implemented during international athletics championships.
DESIGN: Prospective, epidemiological study. METHODS: The national medical teams
and the local organising committee physicians daily reported all injuries on a
standardised injury report form during 14 international athletics championships
from 2007 to 2015. The quality of the injury surveillance system was analysed
following the guidelines laid down by the Centre for Disease Control and
Prevention. RESULTS: On average 41.7+/-17.4% (mean+/-standard deviation) of all
registered countries participated in the injury surveillance project, accounting
for a coverage of athletes of 79.5+/-10.2% of all registered athletes. Their
medical staff returned 89.2+/-8.4% of the expected injury report forms
(information is missing for one championship). The completeness of injury data
provided by medical teams and local organising committee physicians averaged
95.8+/-6.5%. National medical teams reported 60.6+/-16.6% of all injuries, and
local organising committee physicians 28.7+/-15.0% whereas 10.6+/-6.5% of
injuries were reported by both. CONCLUSIONS: The injury surveillance system used
during international athletics championships provided good national medical team
participation, coverage of athletes, response rate, and completeness of reports.
These parameters should be systematically reported for injury surveillance
studies to show the quality of the study.
PMID- 27210108
TI - Size and targeting to PECAM vs ICAM control endothelial delivery, internalization
and protective effect of multimolecular SOD conjugates.
AB - Controlled endothelial delivery of SOD may alleviate abnormal local surplus of
superoxide involved in ischemia-reperfusion, inflammation and other disease
conditions. Targeting SOD to endothelial surface vs. intracellular compartments
is desirable to prevent pathological effects of external vs. endogenous
superoxide, respectively. Thus, SOD conjugated with antibodies to cell adhesion
molecule PECAM (Ab/SOD) inhibits pro-inflammatory signaling mediated by
endogenous superoxide produced in the endothelial endosomes in response to
cytokines. Here we defined control of surface vs. endosomal delivery and effect
of Ab/SOD, focusing on conjugate size and targeting to PECAM vs. ICAM. Ab/SOD
enlargement from about 100 to 300nm enhanced amount of cell-bound SOD and
protection against extracellular superoxide. In contrast, enlargement inhibited
endocytosis of Ab/SOD and diminished mitigation of inflammatory signaling of
endothelial superoxide. In addition to size, shape is important: endocytosis of
antibody-coated spheres was more effective than that of polymorphous antibody
conjugates. Further, targeting to ICAM provides higher endocytic efficacy than
targeting to PECAM. ICAM-targeted Ab/SOD more effectively mitigated inflammatory
signaling by intracellular superoxide in vitro and in animal models, although
total uptake was inferior to that of PECAM-targeted Ab/SOD. Therefore, both
geometry and targeting features of Ab/SOD conjugates control delivery to cell
surface vs. endosomes for optimal protection against extracellular vs. endosomal
oxidative stress, respectively.
PMID- 27210111
TI - Skeletal Fragility in Endogenous Hypercortisolism.
AB - Skeletal fragility is a frequent complication of endogenous hypercortisolism, and
fragility fractures may be the first clinical manifestation of the disease.
Fractures involve more frequently the vertebrae and may occur in 30-50% of the
patients exposed to glucocorticoid excess, in close relationship with severity
and duration of hypercortisolism. Although improvement of bone mineral density
was reported after resolution of hypercortisolism, there are patients with
persistently high fracture risk after the cure of hypercortisolism, and other
patients in whom the resolution of hypercortisolism may take a long time,
implying a multistep therapeutic approach. Since vertebral fractures tend to
occur early during the natural history of disease, a skeletal-specific approach
should be undertaken in these patients; however, the cost-effectiveness of this
approach is still largely unknown since data on effectiveness and safety of bone
active drugs in endogenous hypercortisolism are scarce.
PMID- 27210113
TI - Effect of chronic intraperitoneal aminoguanidine on memory and expression of Bcl
2 family genes in diabetic rats.
AB - Long-term hyperglycemia associates with memory defects via hippocampal cells
damaging. The aim of the present study was to examine the effect of 1 month of
i.p. injections of AG on passive avoidance learning (PAL) and hippocampal
apoptosis in rat. Eighty male rats were divided into 10 groups: control,
nondiabetics and STZ-induced diabetics treated with AG (50, 100, 200, and 400
mg/kg, i.p.). PAL and the Bcl-2 family gene expressions were determined. Diabetes
resulted in memory and Bcl-2 family gene expression deficits. AG (50 and 100
mg/kg) significantly improved the learning and Bcl-2, Bcl-xl, Bax, and Bak
impairment in diabetic rats. However, negative effects were indicated by higher
doses of the drug (200 and 400 mg/kg). Present study suggests that 1 month of
i.p. injections of lower doses of AG, may improve the impaired cognitive tasks in
STZ-induced diabetic rats possibly by modulating Bcl-2 family gene expressions.
PMID- 27210110
TI - Raised HIF1alpha during normoxia in high altitude pulmonary edema susceptible non
mountaineers.
AB - High altitude pulmonary edema (HAPE) susceptibility is associated with EGLN1
polymorphisms, we hypothesized that HAPE-susceptible (HAPE-S, had HAPE episode in
past) subjects may exhibit abnormal HIF1alpha levels in normoxic conditions. We
measured HIF1alpha levels in HAPE-S and HAPE resistant (HAPE-R, no HAPE episode)
individuals with similar pulmonary functions. Hemodynamic responses were also
measured before and after normobaric hypoxia (Fi02 = 0.12 for 30 min duration at
sea level) in both groups. . HIF1alpha was higher in HAPE-S (320.3 +/- 267.5 vs
58.75 +/- 33.88 pg/ml, P < 0.05) than HAPE-R, at baseline, despite no significant
difference in baseline oxygen saturations (97.7 +/- 1.7% and 98.8 +/- 0.7). As
expected, HAPE-S showed an exaggerated increase in pulmonary artery pressure
(27.9 +/- 6 vs 19.3 +/- 3.7 mm Hg, P < 0.05) and a fall in peripheral oxygen
saturation (66.9 +/- 11.7 vs 78.7 +/- 3.8%, P < 0.05), when exposed to hypoxia.
HIF1alpha levels at baseline could accurately classify members of the two groups
(AUC = 0.87). In a subset of the groups where hemoglobin fractions were
additionally measured to understand the cause of elevated hypoxic response at
baseline, two of four HAPE-S subjects showed reduced HbA. In conclusion, HIF 1
alpha levels during normoxia may represent an important marker for determination
of HAPE susceptibility.
PMID- 27210114
TI - PP2A in meiotic oocytes.
PMID- 27210216
TI - Denosumab versus zoledronic acid to prevent aromatase inhibitors-associated
fractures in postmenopausal early breast cancer; a mixed treatment meta-analysis.
AB - BACKGROUND: A mixed treatment analysis of the comparative effectiveness of
denosumab versus zoledronic acid in the prevention of fractures in early
postmenopausal breast cancer patients treated with aromatase inhibitors has been
conducted. METHODS: Eligible studies included randomized studies evaluating
adjuvant immediate denosumab or immediate zoledronic acid versus delayed
treatment for patients with postmenopausal early breast cancer on adjuvant
aromatase inhibitors. RESULTS: At 12 months cutoff, the risk of fractures is not
different between immediate treatment (denosumab or zoledronic acid) vs. delayed
treatment [OR: 0.78 (95% CrI 0.48 - 1.38) and 0.88 (95% CrI 0.46 - 1.58);
respectively]. On the other hand, at the 36 months cutoff, the risk of fractures
is reduced for immediate denosumab compared to delayed treatment while it was not
decreased for immediate zoledronic acid versus delayed treatment [OR: 0.50 (95%
Crl 0.33-0.75) and 0.91 (95% Crl 0.56-1.44) respectively]. For immediate
denosumab versus zoledronic acid, there was a significant difference when
analyzed by fixed effects models but not by random effects model [OR: 0.55 (95%
Crl 0.33-0.89) and 0.55 (95% Crl 0.30-1.04) respectively]. CONCLUSION: This
analysis demonstrated that in postmenopausal patients receiving adjuvant
aromatase inhibitors, immediate denosumab decreases the risk of fractures
compared to delayed treatment at the cutoff of 36 months. Immediate zoledronic
acid, however, does not provide the same level of fractures' protection in this
category of patients.
PMID- 27210115
TI - Clinical impact of a 31-gene expression profile test for cutaneous melanoma in
156 prospectively and consecutively tested patients.
AB - OBJECTIVE: DecisionDx-Melanoma * is a 31-gene expression profile test that
predicts the risk of metastasis in patients with primary cutaneous melanoma (CM).
This study was designed to ascertain clinical management changes determined by
the test outcome, which classifies CM patients being at low (Class 1) or high
(Class 2) risk for recurrence. RESEARCH DESIGN AND METHODS: Medical charts were
reviewed from 156 CM patients from six institutions (three dermatology and three
surgical oncology practices) who were consecutively tested between May 2013 and
December 2015. Clinical management data that were compiled and compared before
and after receipt of the 31-gene expression test result included frequency of
physical exams, frequency and modality of imaging, and referrals to surgical and
medical oncologists. RESULTS: Forty-two percent of patients were Stage I, 47%
were Stage II and 8% were Stage III. Overall, 95 patients (61%) were Class 1 and
61 (39%) were Class 2. Documented changes in management were observed in 82 (53%)
patients, with the majority of Class 2 patients (77%) undergoing management
changes compared to 37% of Class 1 patients (p < 0.0001 by Fisher's exact test).
The majority (77/82, 94%) of these changes were concordant with the risk
indicated by the test result (p < 0.0001 by Fisher's exact test), with increased
management intensity for Class 2 patients and reduced management intensity for
Class 1 patients. CONCLUSIONS: Molecular risk classification by gene expression
profiling has clinical impact and influences physicians to direct clinical
management of CM patients. The vast majority of the changes implemented after the
receipt of test results were reflective of the low or high recurrence risk
associated with the patient's molecular classification. Because follow-up data
was not collected for this patient cohort, the study is limited for the
assessment of the impact of gene expression profile based management changes on
healthcare resource utilization and patient outcome.
PMID- 27210217
TI - Pistagremic acid as a broad spectrum natural inhibitor from Pistacia integerrima
Stewart.
AB - Pistagremic acid (PA) is a bioactive tri-terpene isolated in bulk quantity from
the galls of Pistacia integerrima. PA has been documented for a broad range of in
vitro and in vivo biological properties. This letter documented the bioloigical
potential of PA, which directed the researcher to re-isolate this compound and
enhanced their biological potential.
PMID- 27210227
TI - Effect of Heart Valve Decellularization on Xenograft Rejection.
AB - OBJECTIVES: Endothelial cells harbor many antigenic determinants that may be
targets for the immune system. The aim of this study was to determine the
immunologic effects of decellularization, using 3 different methods, on xenograft
rejection. MATERIALS AND METHODS: In a sterile plate containing phosphate
buffered saline, fresh sheep aortic heart valves were decellularized using 3
different enzymatic methods: with 900 MUg/mL of collagenase at 40 degrees C
(method A), with 450 MUg/mL of collagenase at 4 degrees C (method B), and with
900 MUg/mL of collagenase at 4 degrees C (method C). Intact and decellularized
valves were implanted subdermally into inbred male albino rabbits and extracted
after 21 days (extra valve pieces were also extracted after 60 days, as control
samples, for assessing chronic rejection). Valves were histologically analyzed
for inflammatory cell infiltration. Subendothelial structure integrity was
determined using surface electron microscope. RESULTS: No inflammatory cell
infiltration was seen around the decellularized valve with method A, and no
subendothelial structure change was observed by surface electron microscope.
Infiltration of immune cells involved in rejection was not seen around valves
decellularized with method B, although the subendothelial structure was
relatively preserved and valve stiffness was increased. With method C, we
observed a foreign body-type reaction around the intact valve and the
decellularized valve. CONCLUSIONS: Method A is considered the optimal method of
decellularization in our study, as this method significantly reduced the immune
response to xenograft tissue, while maintaining subendothelial tissue.
PMID- 27210229
TI - Force Fields for Carbohydrate-Divalent Cation Interactions.
AB - We report molecular dynamics simulations to study intermolecular interactions for
carbohydrate-divalent cation complexes. We observed that common force fields from
literature with standard Lorentz-Berthelot combining rules are unable to
reproduce the experimental stability constants for model carbohydrate monomer
(alpha-d-Allopyranose) and alkali earth metal cation (Mg(2+), Ca(2+), Sr(2+), or
Ba(2+)) complexes. A modified combining rule with rescaled effective cross
interaction radius between cations and the hydroxyl oxygens on the carbohydrates
was introduced to reproduce the experimental stability constants, which the
preferential carbohydrate-cation complexing structures through the ax-eq-ax
sequence of O-1, O-2, and O-3 on alpha-d-Allopyranose were also observed. The
effective radius scaling factor obtained from (alpha-d-Allopyranose)-Ca(2+)
complexes was directly transferrable to the similar six-membered ring (alpha-d
Ribopyranose)-Ca(2+) complexes; however, reparameterization for the scaling
factor may be necessary for the five-membered ring (alpha-d-Ribofuranose)-Ca(2+)
complexes.
PMID- 27210230
TI - Pulmonary Involvement in Brucellosis, a Rare Complication of Renal Transplant:
Case Report and Brief Review.
AB - Brucellosis, a disease endemic in many countries including Turkey, is a systemic
infectious disease. Brucellosis is rare in renal transplant recipients. Only 4
cases have been reported in the literature. In this report, we describe the
clinical manifestations and laboratory findings of a brucellosis case with
pulmonary involvement in a renal transplant recipient. A 20-year-old man who had
a living-donor kidney transplant 4 months earlier presented to our transplant
clinic with fever, cough, and right flank pain. Clarithromycin and ceftriaxone
were started for the diagnosis of pneumonia. However, piperacillin/tazobactam,
meropenem plus teicoplanin, and antituberculosis treatment were continued because
the patient was unresponsive to the initial therapy. Serum Brucella agglutination
titer was found to be 1/320. Treatment was started with a 6-week course of oral
doxycycline and rifampin, resulting in cure. Brucellosis and especially its
pulmonary involvement are rare after kidney transplant. However, in endemic
areas,it should be considered as it mimics several other infectious diseases.
PMID- 27210231
TI - A Radiologist's Primer on Bundles and Care Episodes.
AB - Bundled or episode payments are among the most heavily emphasized approaches to
aligning incentives and promoting care coordination, efficiency, and
accountability in health care redesign. Bundled or episode payments price a
market basket of services for an entire episode of care with both a clearly
defined trigger and termination. Because the radiologist is "ancillary" in many
bundles, the specialty is often unaware of the phenomenon. This is likely to
change rapidly. Radiology is pivotal in high-prevalence, high-impact care areas
such as low back pain and stroke that are focuses of widely used system
performance metrics. More important, radiology is central to the diagnosis and
management of a wide range of important diagnostic issues in areas such as breast
cancer, pulmonary nodules, and incidental findings. Three models of bundled care
will probably involve radiology intimately in the near future. Pure radiology
bundles might be constructed for breast cancer screening and diagnosis, and these
could be priced on the basis of guideline-based best-practice frequencies of care
events such as recall and biopsy. Clinical bundles, for example low back pain,
could be priced on the basis of optimal imaging frequencies. Finally, pricing of
imaging studies might include evidence-based frequencies of follow-up imaging for
incidental findings.
PMID- 27210233
TI - Bithiophenesulfonamide Building Block for pi-Conjugated Donor-Acceptor
Semiconductors.
AB - We report here pi-conjugated small molecules and polymers based on the new pi
acceptor building block, bithiophenesulfonamide (BTSA). Molecular orbital
computations and optical, electrochemical, and crystal structure analyses
illuminate the architecture and electronic structure of the BTSA unit versus
other acceptor building blocks. Field-effect transistors and photovoltaic cells
demonstrate that BTSA is a promising unit for the construction of pi-conjugated
semiconducting materials.
PMID- 27210232
TI - A Prostate Fossa Contouring Instructional Module: Implementation and Evaluation.
AB - PURPOSE/OBJECTIVE: Radiation oncology trainees frequently learn to contour
through clinical experience and lectures. A hands-on contouring module was
developed to teach delineation of the postoperative prostate clinical target
volume (CTV) and improve contouring accuracy. METHODS: Medical students
independently contoured a prostate fossa CTV before and after receiving
educational materials and live instruction detailing the RTOG approach to
contouring this CTV. Metrics for volume overlap and surface distance (Dice
similarity coefficient, Hausdorff distance (HD), and mean distance) determined
discordance between student and consensus contours. An evaluation assessed
perception of session efficacy (1 = "not at all" to 5 = "extremely"; reported as
median[interquartile range]). Non-parametric statistical tests were used.
RESULTS: Twenty-four students at two institutions completed the module, and 21
completed the evaluation (88% response). The content was rated as "quite"
important (4[3.5-5]). The module improved comfort contouring a prostate fossa
(pre 1[1-2] vs. post 4[3-4], p<.01), ability to find references (pre 2[1-3] vs.
post 4[3.5-4], p<0.01), knowledge of CT prostate/pelvis anatomy (pre 2[1.5-3] vs.
post 3[3-4], p<.01), and ability to use contouring software tools (pre 2[2-3.5]
vs. post 3[3-4], p=.01). After intervention, mean DSC increased (0.29 to 0.68,
p<0.01) and HD and mean distance both decreased, respectively (42.8 to 30.0,
p<.01; 11.5 to 1.9, p<.01). CONCLUSIONS: A hands-on module to teach CTV
delineation to medical students was developed and implemented. Student and expert
contours exhibited near "excellent agreement" (as defined in the literature)
after intervention. Additional modules to teach target delineation to all
educational levels can be developed using this model.
PMID- 27210234
TI - Fascial Manipulation Associated With Standard Care Compared to Only Standard
Postsurgical Care for Total Hip Arthroplasty: A Randomized Controlled Trial.
AB - BACKGROUND: Postsurgical physiotherapy programs after total hip arthroplasty
(THA) show important differences between types and numbers of treatment sessions.
To increase functional recovery in postsurgical patients, manual therapy can be
added to traditional physiotherapy programs. Fascial manipulation (FM) has been
demonstrated to be effective in decreasing pain and increasing muscular capacity.
OBJECTIVE: To compare the effectiveness of FM when added to a standard protocol
of care. DESIGN: Randomized controlled trial. SETTING: Rehabilitation center.
PATIENTS: A total of 51 patients were recruited after total hip arthroplasty.
Inclusion criteria were first THA surgery, posterior-lateral access, and onset of
pain within a maximum 2 years. Exclusion criteria were previous hip or knee
prosthesis, congenital hip dysplasia, elective THA secondary to trauma, real leg
length discrepancy (>=1.5 cm), cognitive impairment, concomitant rheumatic
pathology in acute phase, and serious comorbidities such as cardiac, respiratory,
and/or neuromuscular pathologies. METHODS: Patients were randomized into 2
groups; both followed a standard protocol based on 2 daily sessions of active
exercises for 45 minutes. In the study group, 2 sessions were replaced by FM. The
clinical trial was registered at clinicaltrials.gov (NCT02576028). MAIN OUTCOME
MEASURES: Functional outcome measures were collected before and after treatment
and at the end of the rehabilitation program. The measures included the Harris
Hip Score; Timed Up-and-Go test; articular range of motion in abduction, flexion,
extension, and bilateral external rotation with heels together; and verbal
numerical scale. RESULTS: Statistically significant differences were observed in
degrees of flexion between the study and control group with 25.4 (+/-11.3) and
18.7 (+/-9.5), respectively (P = .04); for abduction with 16.8 (+/-7.0) and 11.1
(+/-6.1), respectively (P = .005); for extension with 16.2 (+/-4.9) and 9.3 (+/
3.8), respectively (P = .001); for bilateral external rotation with heels
together with 8.3 (+/-4.3) and 5.5 (+/-4.6), respectively (P = .04); for the
Harris Hip Score 23.3 (+/-8.9) and 14.5 (+/-8.5), respectively (P = .002); and
for verbal numerical scale score 1.1 (+/-2.1) and 0.5 (+/-1.1), respectively.
CONCLUSIONS: This study demonstrates that 2 FM sessions are able to significantly
improve several functional outcomes in patients compared to usual treatment after
THA. LEVEL OF EVIDENCE: II.
PMID- 27210235
TI - Effects of Botulinum Toxin Landmark-Guided Intra-articular Injection in Subjects
With Knee Osteoarthritis.
AB - BACKGROUND: Increasing evidence has suggested that botulinum toxin A (BoNT/A) can
inhibit the release of selected neuropeptide transmitters from primary sensory
neurons. Thus, intra-articular (IA) injection therapies with BoNT/A may reduce
pain in patients with knee osteoarthritis (OA). OBJECTIVE: To investigate the
effects of landmark-guided IA injection of BoNT/A on patients with knee OA.
DESIGN: A prospective randomized controlled trial. SETTING: A rehabilitation
clinic of a private teaching hospital. PATIENTS: A total of 46 patients with
symptomatic knee OA (mostly Kellgren-Lawrence grade 2-3). METHODS: The patients
were randomly assigned to 1 of the following groups: BoNT/A group (BoNT/A
injection; n = 21) or control group (education only; n = 20). The patients in the
BoNT/A group received an IA injection of 100 units of BoNT/A into the affected
knee. MAIN OUTCOME MEASURES: The short-term (1 week posttreatment) and long-term
(6 months posttreatment) effects were evaluated using a pain visual analogue
scale (VAS) and questionnaires concerning functional status, including the
Lequesne and Western Ontario and McMaster Universities (WOMAC) indexes. RESULTS:
The between-group comparison revealed significant differences with regard to the
pain VAS score at 1 week (P < .001) and at 6 months (P = .001) posttreatment.
Similar findings for the between-group comparison were observed for the WOMAC and
Lequesne indexes at 6 months (P < .05) posttreatment. The pain VAS score in the
BoNT/A group significantly decreased from 5.05 +/- 1.12 (pretreatment) to 2.89 +/
1.04 at 1 week (P < .001) and 3.45 +/- 1.70 at 6 months posttreatment (P < .001)
but not in the control group (P = .476). CONCLUSIONS: The IA injection of BoNT/A
provided pain relief and improved functional abilities in patients with knee OA
in both the short- and long-term follow-up. LEVEL OF EVIDENCE: I.
PMID- 27210236
TI - Ultrasound Measurement of Abdominal Muscle Thickness With and Without Transducer
Fixation During Standing Postural Tasks in Participants With and Without Chronic
Low Back Pain: Intrasession and Intersession Reliability.
AB - BACKGROUND: Ultrasound (US) imaging can be used for the measurement of trunk
muscle activity. The displacements of US transducer, especially during more
dynamic situations, however, may disturb the measurement results. To control this
variable, some studies have used transducer fixator (TF), but no study evaluated
the effect of using TF on US reliability in dynamic situations. The present study
discriminated this issue. OBJECTIVE: To investigate the intrasession and
intersession reliability of lateral abdominal muscle thickness measurement in
dynamic standing postural tasks by using US with and without TF in participants
with and without chronic low back pain (CLBP). DESIGN: An intersession and
intrasession reliability study. SETTING: Biomechanics laboratory, Neuromuscular
Rehabilitation Research Center, Semnan University of Medical Sciences, Semnan,
Iran. PARTICIPANTS: Twenty-three patients with CLBP and 23 healthy matched
individuals. METHODS: Abdominal muscle thickness of all the subjects was
evaluated with use of US imaging with the patient in the supine position and
double-leg stance at different levels of platform stability of BBS (static,
levels 6 and 3), with and without using TF. Intraclass correlation coefficients
(ICCs), standard errors of measurement, minimal metrically detectable changes,
and coefficients of variation were calculated to determine intersession and
intrasession reliability of muscle activity measure. MAIN OUTCOME MEASUREMENTS:
Lateral abdominal muscle thickness. RESULTS: The intersession ICCs in the
conditions with TF ranged from 0.93 to 0.98 and 0.97 to 0.99 in CLBP and healthy
individuals, respectively. The intersession ICCs in the conditions without TF
ranged from 0.67 to 0.79 and 0.7 to 0.86 in CLBP and healthy groups,
respectively. In addition, smaller standard errors of measurement and minimal
metrically detectable change values were observed with US measurement in both the
groups when TF was used. CONCLUSION: US imaging appears to have acceptable
reliability for the assessment of abdominal muscle thickness during dynamic
standing tasks in individuals with and without CLBP. The use of TF results in
greater levels of reliability during US measurement of abdominal muscle. LEVEL OF
EVIDENCE: III.
PMID- 27210237
TI - Sonographic Visualization of Thenar Motor Branch of the Median Nerve: A Cadaveric
Validation Study.
AB - BACKGROUND: The thenar motor branch (TMB) of the median nerve may be affected in
carpal tunnel syndrome and can be injured during carpal tunnel surgery. Although
ultrasound has been used to identify small nerves throughout the body, the
sonographic evaluation of the TMB has not been investigated formally. OBJECTIVE:
To document the ability of ultrasound to visualize the TMB of the median nerve in
an unembalmed cadaveric model. DESIGN: Prospective laboratory investigation.
SETTING: Procedural skills laboratory at a tertiary medical center. METHODS: On
the basis of anatomical descriptions, dissection and clinical experience, a
technique was developed to sonographically identify the presumed TMB of the
median nerve at the distal carpal tunnel. A single, experienced examiner then
identified the presumed TMB in 10 unembalmed, cadaveric upper limb specimens (4
right, 6 left) obtained from 9 donors (4 male, 5 female) ages 76-85 years with
body mass indices of 18.2-29.5 kg/m2 with both 12-3 MHZ and 16-7 MHz linear array
transducers. The same examiner then injected 0.2-0.3 mL of diluted colored latex
into and around the presumed TMB using direct ultrasound guidance. At a minimum
of 24 hours postinjection, specimens were dissected under loupe magnification to
determine the location of the latex injectate. MAIN OUTCOME MEASURE: The location
of latex injectate relative to the anatomically identified TMB. RESULTS: A
vertical, linear, hypoechogenic region was sonographically identified arising
from the median nerve at the distal carpal tunnel in all 10 specimens and was
hypothesized to represent the vertical segment of the TMB. Both transducers
allowed identification of the TMB, although localization was subjectively
facilitated by the higher frequency transducer. All 10 sonographically guided
injections placed latex into and around the TMB of the median nerve, confirming
that ultrasound had accurately identified the TMB. CONCLUSIONS: Sonographic
evaluation of the TMB of the median nerve is technically feasible and should be
considered when clinically indicated. Further research and clinical experience is
necessary to define the role of sonographic TMB imaging in the evaluation and
management of patients with carpal tunnel syndrome. LEVEL OF EVIDENCE: IV.
PMID- 27210238
TI - Magnetite recovery from copper tailings increases arsenic distribution in
solution phase and uptake in native grass.
AB - Reprocessing magnetite-rich copper (Cu) tailings prompted a concern about arsenic
(As) risks in seepage water and revegetated plants at Ernest Henry Cu Mine (EHM)
in North Queensland, Australia, due to the closely coupled relationship between
iron (Fe) minerals and As mobility. The magnetite removal alone significantly
decreased the content of crystalline Fe minerals and the maximum arsenate (As(V))
sorption capacity of the resultant tailings. A glasshouse experiment with native
grass Red Flinders (Iseilema Vaginiflorum) was conducted with the reprocessed
(low magnetite (LM)) and original (high magnetite (HM)) tailings, which were
amended with 5% sugarcane residue (SR) as a basal treatment in combination with
0, 1 and 5% pine-biochar (BC). The organic matter treatments and plant growth
stimulated the formation of secondary Fe minerals. The amount of extractable
amorphous Fe in the amended and revegetated HM tailings was significantly higher
than those in the LM. Arsenic forms in the specifically sorbed and the sorbed by
amorphous Fe oxides were significantly increased by the SR amendment in the LM
tailings, but which were decreased in the HM, compared to the unamended tailings.
Soluble As levels in the porewater of the LM under revegetation were
significantly higher (300-1150 MUg As L-1) than those (up to 45-90 MUg As L-1) in
HM tailings in the same treatment, which led to the higher As concentrations in
the plants grown in the LM tailings. In particular, root As concentration (62-146
mg kg-1) in the LM tailings was almost a magnitude higher than those (8-17 mg kg
1) in the HM. The present results confirmed the initial expectation that the
recovery of magnetite from the Cu tailings significantly elevated the risk of As
solubility in the tailings by decreasing As sorption capacity and increasing
soluble As levels. Thus, it would be beneficial to retain high contents of
magnetite in the top layer (e.g., root zone) of the Cu tailings for managing As
risk and revegetation in the future.
PMID- 27210239
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy: an ILAE Task
Force Report.
PMID- 27210240
TI - Spin Lifetimes Exceeding 12 ns in Graphene Nonlocal Spin Valve Devices.
AB - We show spin lifetimes of 12.6 ns and spin diffusion lengths as long as 30.5 MUm
in single layer graphene nonlocal spin transport devices at room temperature.
This is accomplished by the fabrication of Co/MgO-electrodes on a Si/SiO2
substrate and the subsequent dry transfer of a graphene-hBN-stack on top of this
electrode structure where a large hBN flake is needed in order to diminish the
ingress of solvents along the hBN-to-substrate interface. Interestingly, long
spin lifetimes are observed despite the fact that both conductive scanning force
microscopy and contact resistance measurements reveal the existence of conducting
pinholes throughout the MgO spin injection/detection barriers. Compared to
previous devices, we observe an enhancement of the spin lifetime in single layer
graphene by a factor of 6. We demonstrate that the spin lifetime does not depend
on the contact resistance area products when comparing all bottom-up devices
indicating that spin absorption at the contacts is not the predominant source for
spin dephasing.
PMID- 27210241
TI - Clinical efficacy of dual-phase scanning using (68)Ga-DOTATOC-PET/CT in the
detection of neuroendocrine tumours.
AB - AIM: To investigate whether delayed scanning at approximately 90 minutes post
injection of (68)Ga-labelled 1,4,7,10-tetraazacyclododecane-N,N',N",N'''
tetraacetic acid-d-Phe(1)-Tyr(3)-octreotide (DOTATOC) had any clinical benefits
regarding the evaluation of neuroendocrine tumours (NETs), relative to
conventional combined positron-emission tomography (PET) and computed tomography
(CT) at 60 minutes post-injection. MATERIALS AND METHODS: Fifty-four patients who
underwent DOTATOC-PET/CT for suspected or known NETs were retrospectively
reviewed. PET/CT was performed twice at approximately 60 and 90 minutes post
injection. For visual analysis, a five-point grading scale (0: definitely normal
to 4: definitely abnormal) was used, and grade 3-4 lesions were regarded as
positive. For quantitative analysis, the time course of the maximum standardised
uptake value (SUVmax) in each lesion and the mean SUV of physiological uptake in
the liver were evaluated. RESULTS: Of the 54 patients, 43 had a total of 132
lesions. In interpreting the early images, there were four grade 3 lesions, and
the remaining 128 lesions were grade 4. All 132 lesions were grade 4 in the
delayed images. SUVs and tumour-to-liver ratios for hepatic lesions were slightly
higher in delayed scanning than in early scanning (SUV, 26.8+/-21.2 versus 28.2+/
21.2 [p<0.01]; tumour-to-liver ratio, 5.9+/-4.5 versus 6.2+/-4.6 [p<0.01]), which
did not affect the detection rate. Additionally, bone and peritoneal metastases
had slightly higher SUVs at delayed imaging (p<0.05), but there was no difference
in diagnostic performance. No significant difference in the SUVs for pancreatic
lesions and primary sites in the bowel were observed between the early and
delayed scans. CONCLUSION: Delayed scanning may be helpful for improving
diagnostic confidence in some cases, although it provided no specific merits for
diagnostic accuracy in detecting primary or metastatic NETs.
PMID- 27210242
TI - Radiology imaging delays as independent predictors of length of hospital stay for
emergency medical admissions.
AB - AIM: To investigate the extent to which the time to completion for computed
tomography (CT), magnetic resonance imaging (MRI), and ultrasound could be shown
to influence the length of stay and costs incurred while in hospital, while
accounting for patient acuity. MATERIALS AND METHODS: All emergency admissions,
totalling 25,326 imaging investigations between 2010-2014 were evaluated. The
50(th), 75(th), and 90(th) centiles of completion times for each imaging type was
entered into a multivariable truncated Poisson regression model predicting the
length of hospital stay. Estimates of risk (odds or incidence rate ratios [IRRs])
of the regressors were adjusted for acute illness severity, Charlson comorbidity
index, chronic disabling disease score, and sepsis status. Quantile regression
analysis was used to examine the impact of imaging on total hospital costs.
RESULTS: For all imaging examinations, longer hospital lengths of stay were shown
to be related to delays in imaging time. Increased delays in CT and MRI were
shown to be associated with increased hospital episode costs, while ultrasound
did not independently predict increased hospital costs. The magnitude of the
effect of imaging delays on episode costs were equivalent to some measures of
illness severity. CONCLUSION: CT, MRI, and ultrasound are undertaken in patients
with differing clinical complexity; however, even with adjustment for complexity,
the time delay in a more expeditious radiological service could potentially
shorten the hospital episode and reduce costs.
PMID- 27210243
TI - Fatty change in moderately and poorly differentiated hepatocellular carcinoma on
MRI: a possible mechanism related to decreased arterial flow.
AB - AIM: To clarify the frequency of fatty change in moderately and poorly
differentiated hepatocellular carcinomas (mHCCs and pHCCs) and its relationship
to arterial blood flow. MATERIALS AND METHODS: One hundred and thirty-six
surgically resected HCC lesions were studied. All patients had undergone dynamic
magnetic resonance imaging (MRI) with chemical-shift-encoded water-fat imaging
(CSI). The presence of fat was identified by a signal drop-off on CSI and
confirmed at pathology. Lesions were classified into four groups in the arterial
phase; G1, hypointense; G2, isointense; G3, slightly and heterogeneously
hyperintense; G4, markedly and homogeneously hyperintense. The number of
cumulative arteries (CAs) in the tumours in the pathology examination were
counted. RESULTS: A fat component was observed significantly more frequently in
the pHCCs (13/21; 61.9%) compared to the mHCCs (32/101; 31.7%; p=0.013). The
numbers of lesions in each group were as follows: (G1, G2, G3, G4) = (18, 9, 23,
4) in the HCCs with fat; (1, 6, 24, 51) in the HCCs without fat (p<0.001); (5, 5,
18, 4) in the mHCCs with fat; (0, 3, 19, 47) in the mHCCs without fat (p<0.001);
(11, 0, 2, 0) in the pHCCs with fat; (0, 2, 3, 3) in the pHCCs without fat
(p=0.001). The number of CAs in the fat-containing HCCs (5.5+/-2.9) was
significantly lower than that in the HCCs without fat (10.8+/-5.3; p<0.001).
CONCLUSION: A fat component was more commonly observed in the pHCCs than in the
mHCCs. The present results showed a possible mechanism of fatty change in mHCCs
and pHCCs in relation to decreased arterial blood supply.
PMID- 27210244
TI - Intravoxel incoherent motion diffusion-weighted imaging in stroke patients:
initial clinical experience.
AB - AIM: To evaluate the feasibility of using intravoxel incoherent motion (IVIM) to
measure diffusion and perfusion parameter variations in stroke. MATERIALS AND
METHODS: Thirty-eight stroke patients were enrolled in the study. IVIM imaging
was performed using 15 b-values from 0 to 1000 s/mm(2). Arterial spin labelling
(ASL) magnetic resonance perfusion was also undertaken. Relations between the
IVIM parameters (including apparent diffusion coefficient [ADC], diffusion
coefficient Dslow [D], pseudo-diffusion coefficient Dfast [D*], fractional
perfusion-related volume [f]) and fD* (the multiplication of the first two
parameters) and the ASL-derived parameter, cerebral blood flow (CBF), were
analysed using paired t-tests. Comparisons of all the parameters between lesions
and contralateral normal regions, as well as between acute and subacute groups
were analysed using Student's t-test. RESULTS: There were positive correlations
between f and CBF as well as fD* and CBF (r=0.472 and 0.653). Quantitative
analysis showed a significant decrease in ADC, D, D*, f, fD*, and CBF of the
lesions compared with the contralateral side, in which the decrease of fD*
(68.6%) was highest. The values of ADC, f, and fD* increased in the subacute
period group compared with the acute period group. CONCLUSIONS: IVIM analysis
allowed separation of perfusion contribution from true diffusion and thus
provided an evaluation of the perfusion and diffusion variations during stroke,
which might further elucidate the mechanisms of ischaemic stroke.
PMID- 27210245
TI - Digital breast tomosynthesis as an adjunct to digital mammography for detecting
and characterising invasive lobular cancers: a multi-reader study.
AB - AIM: To examine the interpretive performance of digital breast tomosynthesis
(DBT) as an adjunct to digital mammography (DM) compared to DM alone in a series
of invasive lobular carcinomas (ILCs) and to assess whether DBT can be used to
characterise ILC. MATERIALS AND METHODS: A retrospective, multi-reader study was
conducted of 83 mammographic examinations of women with 107 newly diagnosed ILCs
ascertained at histology. Consenting women underwent both DM and DBT
acquisitions. Twelve radiologists, with varying mammography experience,
interpreted DM images alone, reporting lesion location, mammographic features,
and malignancy probability using the Breast Imaging-Reporting and Data System (BI
RADS) categories 1-5; they then reviewed DBT images in addition to DM, and
reported the same parameters. Statistical analyses compared sensitivity, false
positive rates (FPR), and interpretive performance using the receiver operating
characteristics (ROC) curve and the area under the curve (AUC), for reading with
DM versus DM plus DBT. RESULTS: Multi-reader pooled ROC analysis for DM plus DBT
yielded AUC=0.89 (95% confidence interval [CI]: 0.88-0.91), which was
significantly higher (p<0.0001) than DM alone with AUC=0.84 (95% CI: 0.82-0.86).
DBT plus DM significantly increased pooled sensitivity (85%) compared to DM alone
(70%; p<0.0001). FPR did not vary significantly with the addition of DBT to DM.
Interpreting with DBT (compared to DM alone) increased the correct identification
of ILCs depicted as architectural distortions (84% versus 65%, respectively) or
as masses (89% versus 70%), increasing interpretive performance for both
experienced and less-experienced readers; larger gains in AUC were shown for less
experienced radiologists. Multifocal and/or multicentric and bilateral disease
was more frequently identified on DM with DBT. CONCLUSION: Adding DBT to DM
significantly improved the accuracy of mammographic interpretation for ILCs and
contributed to characterising disease extent.
PMID- 27210247
TI - A new sesquiterpene from the barks of Manglietia hookeri.
AB - A new sesquiterpene manghookerins A (1) was isolated from the barks of Manglietia
hookeri (Magnoliaceae) together with five known eudesmane-type sesquiterpenes.
The structure of manghookerins A (1) was elucidated by physical and spectroscopic
data analysis, including 1D and 2D NMR, HR-ESI-MS and X-ray diffraction. The
structures of known sesquiterpenes were established by comparing their
spectroscopic data with those in literatures.
PMID- 27210246
TI - Selection of Reference Genes for Gene Expression Studies related to lung injury
in a preterm lamb model.
AB - Preterm newborns often require invasive support, however even brief periods of
supported ventilation applied inappropriately to the lung can cause injury. Real
time quantitative reverse transcriptase-PCR (qPCR) has been extensively employed
in studies of ventilation-induced lung injury with the reference gene 18S
ribosomal RNA (18S RNA) most commonly employed as the internal control reference
gene. Whilst the results of these studies depend on the stability of the
reference gene employed, the use of 18S RNA has not been validated. In this study
the expression profile of five candidate reference genes (18S RNA, ACTB, GAPDH,
TOP1 and RPS29) in two geographical locations, was evaluated by dedicated
algorithms, including geNorm, Normfinder, Bestkeeper and DeltaCt method and the
overall stability of these candidate genes determined (RefFinder). Secondary
studies examined the influence of reference gene choice on the relative
expression of two well-validated lung injury markers; EGR1 and IL1B. In the
setting of the preterm lamb model of lung injury, RPS29 reference gene expression
was influenced by tissue location; however we determined that individual
ventilation strategies influence reference gene stability. Whilst 18S RNA is the
most commonly employed reference gene in preterm lamb lung studies, our results
suggest that GAPDH is a more suitable candidate.
PMID- 27210248
TI - Academic Goals and Self-Handicapping Strategies in University Students.
AB - In highly competitive settings like university, the fear of failure leads some
students to protect their self-worth using self-handicapping strategies. The
present investigation examines to what extent academic goals are related to those
tactics in university students. Specifically, MANCOVA was applied to estimate
statistical differences linked to behavioral and claimed self-handicapping
strategies according to the level (high/medium/low) of four types of academic
goal (achievement approach, achievement avoidance, mastery approach, and work
avoidance). Degree, year in school, and gender were entered as covariates. 940
students (86.5% women) from University of A Coruna (M = 20.44; SD = 1.73)
participated. Results show that: (a) both behavioral and claimed self
handicapping are promoted by ego-oriented goals (achievement avoidance, F(2, 937)
= 23.56, p < .001, eta p 2 = .048; achievement approach, F(2, 937) = 7.49, p <
.001, eta p 2 = .016); (b) work avoidance goals are related to behavioral self
handicapping (F(2, 937) = 9.09, p < .001, eta p 2 = .019), but are not
statistically linked to claimed self-handicapping; and (c) mastery approach goals
are significantly, negatively related to both types of self-handicapping (F(2,
937) = 20.09, p < .001, eta p 2 = .041). Psychological and educational
implications of the findings are discussed.
PMID- 27210249
TI - Age of onset of Meniere's disease in the Netherlands: data from a specialised
dizziness clinic.
AB - OBJECTIVES: To determine the age of onset of Meniere's disease in patients who
visited a specialised dizziness clinic, and to verify whether the trend of a
delayed onset age of Meniere's disease as reported for the Japanese population
also occurs in the Netherlands. METHOD: A retrospective data analysis was
performed of patients diagnosed with 'definite' Meniere's disease who visited our
clinic between January 2000 and December 2013. RESULTS: Mean onset age of
Meniere's disease among the 296 patients was 53.0 +/- 14.1 years; 209 patients
(71 per cent) were diagnosed between the fifth and seventh decades of life. No
trend towards a later onset of Meniere's disease was found (regression beta co
efficient for year of presentation was 0.03; 95 per cent confidence interval =
0.34-0.61; p = 0.58). CONCLUSION: Meniere's disease has a peak incidence between
40 and 69 years of age. No shift towards a later onset age of Meniere's disease
was found.
PMID- 27210251
TI - [Early and Mid-term Outcomes of Surgical Treatment with Conservative Approach
Using Adventitial Inversion Technique for an Acute Type A Aortic Dissection].
AB - OBJECTIVE: This study was performed to assess the early and mid-term outcomes of
surgical treatment with adventitial inversion technique for an acute type A
aortic dissection. METHODS: From June 2008 to June 2015, 48 patients underwent
emergent surgery for acute type A aortic dissection. Ascending aorta/hemiarch
replacement was performed in 44/48(91.7%)patients, and total arch replacement in
4/48(8.3%)patients. The adventitial inversion technique was used for both
proximal and distal stump constructions of the dissected aortic wall without the
reinforcement of Teflon felt. Aortic regurgitation was treated with resuspention
of aortic commissures. RESULTS: The operative mortality was 8.3%(4/48). There was
no re-exploration in all patients. Postoperative computed tomography showed the
obliteration of false lumen in aortic root in all of patients, and aortic arch
and/or descending thoracic aorta in 80.9%(38/47)of patients. The actuarial
survival rates at 5 years were 74.7%.The freedom from aortic or aortic valve
event rate and reoperation rate at 5 year were 90.9% and 95.2%, respectively.
CONCLUSION: The adventitial inversion technique provides excellent early and mid
term outcomes for the repair of acute type A aortic dissection.
PMID- 27210250
TI - [Influence of an Operative Strategy on Outcomes of Acute Type A Aortic Dissection
Cases].
AB - OBJECTIVE: To compare the surgical outcomes of total arch replacement with the
outcomes of ascending aorta replacement in patients with acute Stanford type A
aortic dissection. METHODS: From April 2002 to May 2014, 90 patients with acute
Stanford type A aortic dissection underwent emergency surgical treatment at our
institution. Among them, 16 underwent total arch replacement and 74 underwent
ascending aorta replacement. The decision to perform total arch versus ascending
aorta replacement was dependent on an intimal tearing site. RESULTS: There were
no statistically significant differences in characteristics between the groups
with regard to the 2 surgical procedures. Twenty early deaths were reported, but
there was no statistically significant difference in mortality rate between the
groups. The aortic operation had to be redone in 12 patients. The reoperations
were not associated with an increased risk of death or neurological
complications. Overall 5-year survival rates were 68% in the ascending aorta
replacement group and 59% in the total arch replacement group. There was no
statistically significant difference between the groups. CONCLUSIONS: The
surgical outcome and prognosis of ascending aorta replacement is satisfactory
among patients undergoing treatment of acute type A aortic dissection.
PMID- 27210252
TI - [Surgical Procedure Using the Elephant Trunk Technique at All Anastomotic Sites
for Acute Type A Aortic Dissection].
AB - OBJECTIVE: We report the use of the elephant trunk technique at all anastomotic
sites in acute type A aortic dissection surgery. METHODS: Artificial graft
carrying a 2-mm short elephant trunk were prepared for both distal and proximal
anastomotic sites. Subjects were 82 patients with acute type A aortic dissection
who underwent surgery using this procedure between March 2009 and August 2015.
The mean age was 69.6 +/-10.7 years( range, 43~89 years). Seventeen patients(
20.7%) had cardiac tamponade or shock, while 8 cases( 9.8%) had organ ischemia
due to malperfusion. RESULTS: Total aortic arch replacement was performed in 22
patients (26.8%), while replacement of the ascending aorta was performed in 60(
73.2%). The mean durations of surgery, extracorporeal circulation, and cardiac
ischemia were 352 +/- 64, 199 +/- 37, and 123 +/- 26 min, respectively. Five in
hospital deaths( 6.1%) occurred. With respect to early complications, 5 patients(
6.1%) had permanent encephalopathy. Nine (11.0%) had respiratory failure, and 4
(4.9%) required tracheotomy. None of the patients had bleeding requiring
additional thoracotomy for hemostasis and none had complications attributed to
preparation of the artificial vessels. CONCLUSION: Artificial graft were prepared
using the elephant trunk technique for use at all anastomotic sites in acute type
A aortic dissection surgery. This procedure, involving stepwise proximal
anastomosis, is highly effective for hemostasis and safe.
PMID- 27210253
TI - [Surgical Outcomes of Aortic Root Repair in Type A Acute Aortic Dissection].
AB - We carried out a retrospective evaluation of the early and long-term outcomes of
aortic root reconstruction for type A acute aortic dissection. Between January
2001 and March 2015, a total of 21 patients underwent aortic root reconstruction.
Bentall operation was performed in 9 patients( B group) and Patch plasty of sinus
of Valsalva patients was performed in 12 patients (V group). There were 3 in
hospital deaths due in the B group and 1 death due in the V group. Overall
survival in the V group was 72.9% and was not significantly different from
survival in the B group(66.7%). In conclusion, our study demonstrated good early
and long-term outcomes for patch plasty of sinus of Valsalva for type A acute
aortic dissection. There was no postoperative patch-related complication in the V
group. Thus, a patch plasty of sinus of Valsalva for type A acute aortic
issection may be acceptable.
PMID- 27210254
TI - [Endovascular Repair for Acute Phase of Retrograde Type A Aortic Dissection with
an Entry in the Descending Aorta].
AB - OBJECTIVE: Endovascular repair for retrograde type A aortic dissection with an
entry in the descending aorta (RAAD) is challenging. We present early and mid-
term results of endovascular repair for acute phase of RAAD by using commercially
available device. METHODS: From April 2012 to June 2014, 10 consecutive patients
with acute phase of RAAD underwent endovascular repair in our hospital. Of them,
9 patients had emergency surgery within 24 hours after the onset. The other one
patient had urgent surgery 3 days after the onset. In all patients, the entry
tear was covered with TAG or conformable TAG. RESULTS: Technical success was
achieved in all patients. No in-hospital mortality was experienced. In all
patients, follow-up computed tomography images showed significant remodeling in
the ascending aorta 3 months after surgery. During a median follow-up period of
19.5 months, no patients died and no re-intervention occurred. CONCLUSIONS: In
patients with acute phase of RAAD, endovascular repair with commercially
available device can be safely performed and it provides sufficient remodeling in
the ascending aorta early after surgery. This technique is an alternative to open
repair in these patients.
PMID- 27210255
TI - [Impact of Patent False Lumen at the Descending Aorta after Total Aortic
Replacement for Acute type A Aortic Dissection].
AB - OBJECTIVE: Acute type A aortic dissection remains one of the most challenging
diseases facing cardiovascular surgeons. It is associated with high mortality and
morbidity. However, prevention of disease process progression in the residual
dissected aorta is an important aspect of the patient's long-term outcome. The
aim of this study was to examine the impact of patent false lumen at the
descending aorta after total arch replacement for acute type A aortic dissection.
METHODS: Between December 1994 and August 2014, a total of 145 patients underwent
total arch replacement for acute type A aortic dissection. The hospital mortality
was 5.5%.Of these 145 patients, 33 had patent false lumen at the descending aorta
after surgery, and 94 had thrombosed false lumen. The perioperative variables and
late results were statistically analyzed. RESULTS: The incidence of residual
thoracic patent false lumen was 26.0%.No significant difference was observed in
the cumulative survival rate between groups. The patent false lumen group was
associated with significant higher risk of the descending aortic event than the
thrombosed group. By multivariate analysis, younger age and non-resection of the
primary tear were significant prospective factors for the patent false lumen at
the descending aorta. CONCLUSIONS: The patent false lumen at the descending aorta
was associated with the late aortic critical events after total arch replacement
for aortic dissection.
PMID- 27210256
TI - [Successful Two-stage Surgical Treatment of Acute Type A Aortic Dissection with
Mesenteric Ischemia].
AB - We report the case of a patient with acute type A aortic dissection associated
with mesenteric ischemia who underwent superior mesenteric artery (SMA) bypass
grafting prior to aortic repair, and obtained a satisfactory outcome. A 70-year
old man presented with sudden chest pain. Computed tomography( CT) revealed acute
type A aortic dissection with occlusion of the celiac artery and severe stenosis
of the SMA. The false lumen, extending from the aortic root to the inferior
mesenteric artery, was completely thrombosed except around the entry at the
proximal aortic arch. Mesenteric ischemia was diagnosed. In light of the stable
hemodynamics and ongoing mesenteric ischemia, a right common iliac artery-to-SMA
bypass was performed, primarily to relieve mesenteric ischemia. At 5 days
postoperatively, hemodynamics gradually became unstable. CT demonstrated further
dilatation of the ascending aorta, growth of pericardial effusion, and
recanalization of the thrombosed false lumen. We performed emergency ascending
aorta and hemiarch replacement. He was discharged in a good state, 34 days after
onset. Two-stage surgical treatment should be considered as alternative
management in patients with mesenteric ischemia.
PMID- 27210257
TI - [Myocardial Ischemia in Acute Type A Aortic Dissection; Coronary Artery
Dissection and Functional Ischemia].
AB - Myocardial ischemia due to acute type A dissection is a fatal complication. This
study was undertaken to evaluate the surgical results of acute type A aortic
dissection with myocardial ischemia. Between 1986 and 2014, 364 patients were
treated for acute type A dissection in our hospital. Twenty-four patients were
underwent myocardial revascularization. Preoperative coronary artery stent
placement was involved in 2, coronary-artery bypass grafting (CABG) 18 (right 12,
left 4, both 2), reCABG 2, and Carrel patch with coronary orifice restoration 2.
Seven of CABG group had no symptom of myocardial ischemia, but right coronary
artery was circumferentially detached from the intimal ostia. Hospital mortality
was 20.1% in patients who underwent CABG. Sixteen patients with significant
electrocardiogram ischemic change were not undertaken with CABG, because coronary
artery was not involved by dissection. In these cases, acute aortic valve
regurgitation, loss of backward pressure from distal aorta, or valve formation by
intimal tear in ascending aorta might decrease diastolic pressure at aortic root
and make myocardial ischemia.
PMID- 27210258
TI - [Permanent Neurological Deficit in Surgical Repair for Acute Type A Aortic
Dissection].
AB - BACKGROUND: This study elucidated incidence and risk factors of permanent
neurological deficit( PND) after surgical repair of the acute type A aortic
dissection. METHODS AND RESULTS: 669 patients who underwent emergent
ascending/hemiarch replacement( AAR/HAR) and total arch replacement (TAR) were
analyzed. AAR/HAR was performed in 441(65.9%) and TAR in 228 (34.1%). PND
occurred in 58 patients(8.7%). Incidence of PND in AAR/HAR and TAR were 8.4% and
9.2% respectively(p=0.772). Logistic regression analysis showed preoperative
conscious disturbance, preoperative paralysis, preoperative myocardial ischemia,
and longer operation time associated postoperative PND. CONCLUSIONS: Rapid
initiation of surgery after diagnosis and shorten operation time are advisable to
improve outcomes. Preoperative neurological deficit and myocardial ischemia are
risk factor of postoperative PND. Incidence of PND in AAR/HAR and TAR was
equivalent.
PMID- 27210259
TI - [Early and Long Term Outcomes of Surgery for Acute Type A Aortic Dissection
Complicated with Organ Malperfusion].
AB - OBJECTIVE: The purpose of this study was to evaluate early and long term outcomes
of surgery for acute type A aortic dissection complicated with organ
malperfusion. METHOD: From January 2001 to October 2015, 336 consecutive patients
(mean age 68.6+/-12.2, male 172) underwent surgery for acute type A aortic
dissection at out center. Early and late outcomes were compared between patients
accompanied with and without organ malperfusion. RESULTS: Preoperative organ
malperfusion was observed in 76 patients( 22.6%). That consisted of 38
neurological systems, 13 coronary, 8 visceral, and 26 extremities. Nine patients
had 2 organ malperfusion. In-hospital mortality was 22.4% and 6.5% in patients
with and without organ malperfusion, respectively. Multivariate logistic analysis
showed preoperative organ malperfusion was a significant risk factor for in
hospital mortality (Odds ratio 3.59, 95% confidence interval 1.56~8.28, p<0.01).
Five year survival rate of hospital survivors were 84.5+/-5.5% and 80.9+/-3.3%
with and without organ malperfusion (p=0.51). CONCLUSIONS: Although organ
malperfusion is still associated with high mortality, however, acceptable long
term outcomes could be obtained if organ malperfusion is treated appropriately.
Ischemic organ oriented approach might be very important to improve surgical
outcomes of these critically ill conditions.
PMID- 27210260
TI - [Application of Curved Stapler for Pulmonary Wedge Resection].
AB - End stapler is one of the indispensable devices for pulmonary resections. Various
surgical staplers are now available and appropriate types are selected to dissect
pulmonary parenchyma, vessel, or bronchus. In this study, we retrospectively
reviewed the patients who received pulmonary wedge resections using newly
released curved stapler (Endo GIA Radial Reload with Tri-Staple technology).
Between April 2013 and October 2014, 10 lesions from the 9 patients were resected
using the curved staplers. The reasons for the application of the staplers were
pleuro-pulmonary adhesion in 3 lesions and centrally location in 7 lesions. There
was no intraoperative complication. After the operations, temporary residual lobe
congestion caused by the pulmonary vein stenosis was developed in 1 patient.
PMID- 27210261
TI - [Aortic Valve Replacement of Quadricuspid Valve with Regurgitation].
AB - Congenital quadricuspid aortic valve is a very rare malformation. In most cases
it has been found incidentally at aortic valve surgery or at autopsy. It
frequently causes aortic regurgitation, which may become manifest in adulthood
and require surgical treatment. We reported 4 cases of aortic regurgitation with
quadricuspid aortic valve. In all cases, aortic valve replacement was preformed
with prosthetic valve, and their postoperative courses were uneventful. Two were
Hurwitz's classification type b, one was type a and the last patient was type c.
Although quadricuspid aortic valve is a rare anomaly, its potential for severe
valve failure in adulthood should be kept in mind.
PMID- 27210262
TI - [Emergency Surgery for a Lung Hernia after Resection of a Metastatic Rib
Tumor;Report of a Case].
AB - The patient was a 60-year-old woman who underwent chest wall resection for a
metastatic tumor in the anterior portion of the right 3rd rib, from thyroid
cancer. The anterior portion of the right 3rd rib and the 2nd and 3rd intercostal
muscles were resected, and chest wall reconstruction was not performed. On the
5th postoperative day, the patient developed a pulmonary hernia. Radiologically,
more than half of the right upper lobe and middle lobe were prolapsed from the
resected chest wall. The patient complained of cough and dyspnea, and an
emergency surgery was performed. Elevated intrathoracic pressure due to obesity
was considered to be a serious risk factor of the lung hernia after chest wall
resection without reconstruction.
PMID- 27210263
TI - LASSO-based NTCP model for radiation-induced temporal lobe injury developing
after intensity-modulated radiotherapy of nasopharyngeal carcinoma.
AB - We investigated the incidence of temporal lobe injury (TLI) in 132 nasopharyngeal
carcinoma (NPC) patients who had undergone intensity-modulated radiotherapy
(IMRT) in our hospital between March 2005 and November 2009; and identified
significant dosimetric predictors of TLI development. Contrast-enhanced lesions
or cysts in the temporal lobes, as detected by magnetic resonance imaging (MRI),
were regarded as radiation-induced TLIs. We used the least absolute shrinkage and
selection operator (LASSO) method to select Dmax (the maximum point dose) and the
D1cc (the top dose delivered to a 1-mL volume) from 15 dose-volume-histogram
associated and four clinically relevant candidate factors; the Dmax and the D1cc
were the most significant predictors of TLI development. We drew dose-response
curves for Dmax and D1cc. The tolerance dose (TD) for the 5% and 50%
probabilities of TLI development were 69.0 +/- 1.6 and 82.1 +/- 2.4 Gy for Dmax
and 62.8 +/- 2.2 and 80.9 +/- 3.4 Gy for D1cc, respectively. The incidence of TLI
in NPC patients after IMRT was higher than expected because the therapeutic
window is narrow. High-quality longitudinal studies are needed to gain further
insight into the complex spatiotemporal effects of non-uniform irradiation on TLI
development in NPC patients.
PMID- 27210264
TI - EMPA-REG and Other Cardiovascular Outcome Trials of Glucose-lowering Agents:
Implications for Future Treatment Strategies in Type 2 Diabetes Mellitus.
AB - During the last decade, the armamentarium for glucose-lowering drugs has
increased enormously by the development of DPP-4 inhibitors, GLP-1 receptor
agonists and SGLT2 inhibitors, allowing individualization of antidiabetic therapy
for patients with type 2 diabetes (T2DM). Some combinations can now be used
without an increased risk for severe hypoglycemia and weight gain. Following a
request of the US Food and Drug Administration, many large cardiovascular (CV)
outcome studies have been performed in patients with longstanding disease and
established CV disease. In the majority of CV outcome studies, CV risk factors
were well controlled and a high number of patients were already treated with ACE
inhibitors/angiotensin receptor blockers, statins and antiplatelet drugs. Most
studies with insulin glargine and newer glucose-lowering drugs (saxagliptin,
alogliptin, sitagliptin, lixisenatide) demonstrated safety of newer glucose
lowering agents but did not show superiority in the CV outcomes compared with
placebo. By contrast, in the EMPA-REG OUTCOME (Empagliflozin Cardiovascular
Outcome Event Trial in Type 2 Diabetes Mellitus Patients) study, CV death, all
cause mortality, and hospitalization for heart failure were significantly
decreased when empagliflozin was added instead of placebo to therapy for patients
with high CV risk and T2DM already well treated with statins, glucose-lowering
drugs, and blood pressure-lowering drugs as well as antiplatelet agents. In
addition, renal endpoints including endstage renal disease were also
significantly reduced when empagliflozin was added instead of placebo.
Interestingly, the reduction of these clinically relevant end points was observed
after a few months, making antiatherogenic effects an unlikely cause. The fact
that the incidence of myocardial infarction (MI) and stroke were not reduced is
in line with the hypothesis that hemodynamic factors in particular have
contributed to the impressive improvement of the prognosis. To reduce the CV
burden of patients with T2DM, drugs influencing factors involved in atherogenesis
(eg, insulin resistance, chronic inflammation, increase of HDL, prothrombotic
state) are more promising. The recent IRIS (Insulin Resistance Intervention after
Stroke) study documented a significant reduction in stroke and MI when
pioglitazone instead of placebo was given to nondiabetic patients presenting with
both stroke/transient ischemic attack and insulin resistance, confirming results
from the PROactive (Prospective Pioglitazone Clinical Trial in Macrovascular
Events) study in patients with T2DM. Based on these new data, we suggest that the
addition of both empagliflozin and pioglitazone to metformin might be the
relative best option to reduce the high CV morbidity and mortality of patients
with T2DM and already established CV complications. The very recent announcement
that the CV outcome study with liraglutide (LEADER) also demonstrated a
significant reduction of the composite endpoint (cardiovascular death, non-fatal
myocardial infarction or non-fatal stroke) gives new hope for further beneficial
treatment options for T2DM patients with established CVD.
PMID- 27210265
TI - Big Data to the Rescue: Is there a benefit to combined-modality adjuvant therapy
in endometrial cancer?
PMID- 27210266
TI - Transient New-Onset Atrial Fibrillation Is Associated With Poor Clinical Outcomes
in Patients With Acute Myocardial Infarction.
AB - BACKGROUND: Atrial fibrillation (AF) is considered to be associated with poor
clinical outcomes in patients with acute myocardial infarction (AMI). However, it
remains uncertain whether transient new-onset AF (NOAF) during AMI has a
subsequent increased risk of poor clinical outcomes. METHODS AND RESULTS:
Transient NOAF was defined as AF that developed during AMI without a prior
history and not documented for 1 month after discharge. The primary endpoints
were major adverse cardiac events (MACE) and all-cause death. We enrolled 2,105
consecutive AMI patients. Overall, AF was observed in 209 (9.9%) and transient
NOAF occurred in 102 (4.8%) among 150 patients (7.1%) with NOAF. The transient
NOAF group showed higher 1-month (21.8 vs. 7.0%, P<0.001), 2-year (37.8 vs.
20.7%, P<0.001), and 5-year MACE rates (51.8 vs. 28.0%, P<0.001) than the group
without AF. In-hospital (16.7 vs. 5.2%, P<0.001), 1-month (17.9 vs. 5.7%,
P<0.001), 2-year (30.0 vs. 11.6%, P<0.001), and 5-year mortality rates (36.9 vs.
14.0%, P<0.001) were also higher in patients with transient NOAF. Transient NOAF
was a significant independent predictor of both MACE (hazard ratio [HR] 1.55, 95%
confidence interval [CI] 1.10-2.18, P=0.013) and death (HR 1.87, 95% CI 1.22
2.85, P=0.004). CONCLUSIONS: Transient NOAF was associated with the poorer
clinical outcomes and was an important independent predictor of MACE and death in
AMI patients. (Circ J 2016; 80: 1615-1623).
PMID- 27210267
TI - Risk factors for epilepsy in Bas-Uele Province, Democratic Republic of the Congo:
a case-control study.
AB - BACKGROUND: The reason for the high prevalence of epilepsy in onchocerciasis
endemic areas remains unknown. The aim of this study was to detect risk factors
associated with epilepsy in a region endemic for onchocerciasis. METHODS: In June
2014, a case-control study was performed in Titule, Bas-Uele Province in the
Democratic Republic of the Congo. Individuals with unprovoked convulsive epilepsy
of unknown aetiology were enrolled as cases (n=59). Healthy members of families
without cases of epilepsy in the same village were recruited as controls (n=61).
A multivariate binomial logistic regression analysis was performed to identify
potential risk factors associated with epilepsy. To evaluate the potential
protective effect of ivermectin treatment on the development of epilepsy, a
nested age-matched case-control study was performed including only those who were
eligible for ivermectin treatment in the year before they developed epilepsy.
RESULTS: Suspected onchocerciasis skin lesions were more often present in cases
than in controls: 12/41 (29%) vs. 1/56 (2%), respectively (odds ratio (OR) 20.26,
95% confidence interval (CI) 2.42-170; p<0.01). Ivermectin had been taken 7
months earlier in 29/59 (49%) cases and 29/61 (48%) controls. Onchocerca volvulus
(OV) DNA was detected by PCR in skin snips in 26/34 cases (76%) and 10/14
controls (71%) (p=0.7), and there was presence of OV IgG4 antibodies in 35/48
(73%) cases and 15/18 (83%) controls (p=0.5). OV DNA was not detected in the
cerebrospinal fluid of cases (controls not tested). Both cases and controls
reported frequent bites by blackflies (Diptera, Simuliidae). Bathing daily as
opposed to less often (OR 16.7, 95% CI 2.2-125.8; p<0.01), bathing between 11
a.m. and 4 p.m. (OR 12.7, 95% CI 1.6-103.7; p=0.02), and washing clothes between
11 a.m. and 4 p.m. (OR 10.9, 95% CI 1.5-77.3; p=0.02) were all independently
associated with epilepsy. Blood screening by specific PCR tests for Toxoplasma
and Wuchereria bancrofti was negative in all cases and controls. A Loa loa
infestation was found in only one case and one control by PCR and Giemsa smear.
Antibodies to Taenia solium, Toxocara, and Trypanosoma sp were not detected in
any of the participants. In an age-matched case-control analysis, 16/18 (89%)
cases had not taken ivermectin the year before they developed epilepsy, compared
to 7/18 (39%) controls that same year (p=0.002). CONCLUSIONS: These data suggest
that frequent activities at rivers known to be blackfly breeding sites and a
historical lack of ivermectin treatment were risk factors for epilepsy in this
onchocerciasis endemic area.
PMID- 27210269
TI - Polarization induced two dimensional confinement of carriers in wedge shaped
polar semiconductors.
AB - A novel route to achieve two dimensional (2D) carrier confinement in a wedge
shaped wall structure made of a polar semiconductor has been demonstrated
theoretically. Tapering of the wall along the direction of the spontaneous
polarization leads to the development of charges of equal polarity on the two
inclined facades of the wall. Polarization induced negative (positive) charges on
the facades can push the electrons (holes) inward for a n-type (p-type) material
which results in the formation of a 2D electron (hole) gas at the central plane
and ionized donors (acceptors) at the outer edges of the wall. The theory shows
that this unique mode of 2D carrier confinement can indeed lead to a significant
enhancement of carrier mobility. It has been found that the reduced
dimensionality is not the only cause for the enhancement of mobility in this
case. Ionized impurity scattering, which is one of the major contributer to
carrier scattering, is significantly suppressed as the carriers are naturally
separated from the ionized centers. A recent experimental finding of very high
electron mobility in wedge shaped GaN nanowall networks has been analyzed in the
light of this theoretical reckoning.
PMID- 27210268
TI - Progress and challenges for treating Type 1 diabetes.
AB - It has been more than 30 years since the initial trials of Cyclosporin A to treat
patients with new onset Type 1 diabetes (T1D). Since that time, there have been
insights into genetic predisposition to the disease, the failures of immune
tolerance, and mechanisms that cause the immune mediated beta cell destruction.
The genetic loci associated affect lymphocyte development and tolerance
mechanisms. Discoveries related to the roles of specific immune responses gene
such as the major histocompatibility complex, PTPN22, CTLA-4, IL-2RA, as well as
the mechanisms of antigen presentation in the thymus have suggested ways in which
autoreactivity may follow changes in the functions of these genes that are
associated with risk. Antigens that are recognized by the immune system in
patients with T1D have been identified. With this information, insights into the
novel cellular mechanisms leading to the initiation and orchestration of beta
cell killing have been developed such as the presentation of unique antigens
within the islets. Clinical trials have been performed, some of which have shown
efficacy in improving beta cell function but none have been able to permanently
prevent loss of insulin secretion. The reasons for the lack of long term success
are not clear but may include the heterogeneity of the immune response and in
individual responses to immune therapies, recurrence of autoimmunity after the
initial effects of the therapies, or even intrinsic mechanisms of beta cell death
that proceeds independently of immune attack after initiation of the disease. In
this review, we cover developments that have led to new therapeutics and
characteristics of patients who may show the most benefits from therapies. We
also identify areas of incomplete understanding that might be addressed to
develop more effective therapeutic strategies.
PMID- 27210270
TI - Hepatic insulin-like growth-factor binding protein (igfbp) responses to food
restriction in Atlantic salmon smolts.
AB - The growth hormone (Gh)/insulin-like growth-factor (Igf) system plays a central
role in the regulation of growth in fishes. However, the roles of Igf binding
proteins (Igfbps) in coordinating responses to food availability are unresolved,
especially in anadromous fishes preparing for seaward migration. We assayed
plasma Gh, Igf1, thyroid hormones and cortisol along with igfbp mRNA levels in
fasted and fed Atlantic salmon (Salmo salar). Fish were fasted for 3 or 10days
near the peak of smoltification (late April to early May). Fasting reduced plasma
glucose by 3days and condition factor by 10days. Plasma Gh, cortisol, and
thyroxine (T4) were not altered in response to fasting, whereas Igf1 and 3,5,3'
triiodo-l-thyronine (T3) were slightly higher and lower than controls,
respectively. Hepatic igfbp1b1, -1b2, -2a, -2b1 and -2b2 mRNA levels were not
responsive to fasting, but there were marked increases in igfbp1a1 following 3
and 10days of fasting. Fasting did not alter hepatic igf1 or igf2; however,
muscle igf1 was diminished by 10days of fasting. There were no signs that fasting
compromised branchial ionoregulatory functions, as indicated by unchanged
Na(+)/K(+)-ATPase activity and ion pump/transporter mRNA levels. We conclude that
dynamic hepatic igfbp1a1 and muscle igf1 expression participate in the modulation
of Gh/Igf signaling in smolts undergoing catabolism.
PMID- 27210271
TI - Source-case investigation of Mycobacterium wolinskyi cardiac surgical site
infection.
AB - The non-tuberculous mycobacteria (NTM) Mycobacterium wolinskyi caused bacteraemia
and massive colonization of an aortic prosthesis in a patient 16 days after
cardiac surgery, necessitating repeat surgery and targeted antimicrobial
chemotherapy. The infection control team investigated the source and conditions
of infection. Peri-operative management of the patient complied with
recommendations. The environmental investigation showed that although M.
wolinskyi was not recovered, diverse NTM species were present in water from point
of-use taps and heater-cooler units for extracorporeal circulation. This case and
increasing evidence of emerging NTM infections in cardiac surgery led to the
implementation of infection control procedures in cardiac surgery wards.
PMID- 27210272
TI - MERS-CoV in a healthcare worker in Jeddah, Saudi Arabia: an index case
investigation.
AB - In September 2015, a confirmed case of Middle East respiratory syndrome (MERS)
was diagnosed in a healthcare worker in Jeddah, Saudi Arabia. Given the absence
of confirmed MERS cases in Jeddah at the time, an epidemiological index case
investigation took place. The investigation identified a probable source of an
index case who had been in hospital in Jordan in August 2015 while there was an
ongoing MERS outbreak and who then subsequently sought medical care in Jeddah.
PMID- 27210273
TI - Trauma-Informed Schools.
AB - Violence has impacted every aspect of daily life. These tragedies have shocked
the world. This has resulted in school communities being fractured. Additionally,
The National Survey of Children Exposed to Violence found that 60% of the
children surveyed have been exposed to some form of trauma, either in or out of
school. Traumatology research has shown most people respond to a wide range of
traumatic events in similar ways. The common responses include traumatic
responses, posttraumatic stress responses, and posttraumatic stress disorder
(PTSD). In this article the authors outline the impact of trauma on children
within school systems; discuss the mental health services schools are providing;
present a trauma-informed school model; identifies tools which can be utilized in
schools; and provide resources needed for a trauma-informed school, along with
additional tools and resources. The authors discuss future recommendations for
the community and schools as traumatic events continue to grow and impact a large
number of children.
PMID- 27210274
TI - [Evaluation of cardiac tumors by multidetector computed tomography and magnetic
resonance imaging].
AB - Cardiac tumors, are a rare pathology (0.002-0.3%) in all age groups, however,
they have a clinic importance, due the affected organ. They are classified in
primary (benign or malignant) and secondary (metastasis) types. Among primary
type, mixoma, is the most common benign tumor, and sarcoma represents most of the
malignant injuries. Cardiac metastasis are more frequent than primary tumors.
Clinic effects of cardiac tumors are unspecific and vary according their
location, size and agresivity. The use of Multidetector Computed Tomography
(MDCT) and Magnetic Resonance Imaging (MRI) assist on the location, sizing,
anatomical relationships and the compromise of adyacents structures, besides, MRI
is useful for tissue characterization of the tumor. Due to the previous reasons,
studies based on noninvasive cardiovascular imaging, have an important role on
the characterization of these lesions and the differential diagnosis among them.
PMID- 27210275
TI - Comparison of Latanoprostene Bunod 0.024% and Timolol Maleate 0.5% in Open-Angle
Glaucoma or Ocular Hypertension: The LUNAR Study.
AB - PURPOSE: To compare the intraocular pressure (IOP)-lowering effect of
latanoprostene bunod (LBN) 0.024% with timolol maleate 0.5% in subjects with open
angle glaucoma (OAG) or ocular hypertension (OHT). DESIGN: Prospective,
randomized, double-masked, parallel-group, noninferiority clinical trial.
METHODS: Adults with OAG or OHT from 46 clinical sites (United States and
European Union) were randomized 2:1 to LBN instilled once daily (QD) in the
evening and vehicle in the morning or timolol instilled twice a day (BID) for 3
months. IOP was measured at week 2, week 6, and month 3 (8 AM, 12 PM, and 4 PM
each visit). RESULTS: A total of 387 subjects (LBN, n = 259; timolol, n = 128)
completed the study. Analysis of covariance showed that mean IOP reduction with
LBN was not only noninferior to timolol but significantly greater (P <= .025)
than timolol at all but the first time point in this study (week 2, 8 AM). Of LBN
and timolol-treated subjects, respectively, 31.0% and 18.5% (P = .007) had their
IOP reduced >=25% from baseline, and 17.7% and 11.1% (P = .084) had their IOP
reduced to <=18 mm Hg over all time points/visits in this study. Ocular treatment
emergent adverse events, while uncommon, appeared more frequently in the LBN
group (all mild-moderate except 1 case of severe hyperemia). CONCLUSIONS: LBN
0.024% QD in the evening was noninferior to timolol 0.5% BID over 3 months of
treatment, with significantly greater IOP lowering in subjects with OAG or OHT at
all but the earliest time point evaluated, and demonstrated a good safety
profile.
PMID- 27210276
TI - Comparison of Amniotic Membrane Transplantation and Umbilical Cord Serum in Acute
Ocular Chemical Burns: A Randomized Controlled Trial.
AB - PURPOSE: To compare the efficacy of topical umbilical cord serum drops (UCS) and
amniotic membrane transplantation (AMT) in acute ocular chemical burns. DESIGN:
Randomized controlled trial. METHODS: setting: Tertiary care hospital. STUDY
POPULATION: Forty-five eyes with acute chemical burns of grade III, IV, and V
(Dua's classification) presenting within the first week of injury were randomized
into 3 groups (15 each). Patients with perforation/impending corneal perforation
were excluded from the study. INTERVENTION: Groups 1, 2, and 3 received UCS with
medical therapy (MT), AMT with MT, and MT alone, respectively. MAIN OUTCOME
MEASURE: Time to complete epithelialization. RESULTS: The mean time to complete
epithelialization was 56.7 +/- 14.9, 22.0 +/- 10.2, and 22.9 +/- 10.1 days in MT,
AMT, and UCS groups, respectively, with a significant difference between MT and
AMT (P = .001) and between MT and UCS (P = .001), but not between UCS and AMT (P
= .9). Improvement in pain score was better with UCS than AMT (P value: .012,
.002, and .012 on days 7, 14, and 21, respectively). Corneal clarity was better
in the UCS group at 21 (P = .008) and 30 days (P = .002), but not at 3 months (P
= .9). By month 3, visual outcome, symblepharon, tear film status, and lid
abnormalities were comparable between the 3 groups. CONCLUSIONS: UCS and AMT, as
an adjuvant to standard medical therapy in acute chemical injury, are equally
efficacious. UCS has the advantage of faster improvement in corneal clarity,
better pain control, and avoidance of surgery in an inflamed eye.
PMID- 27210278
TI - Inner-Retinal Irregularity Index Predicts Postoperative Visual Prognosis in
Idiopathic Epiretinal Membrane.
AB - PURPOSE: To investigate the correlation between the inner-retinal irregularity
index and visual outcomes before and after idiopathic epiretinal membrane (ERM)
surgery. DESIGN: Retrospective cohort study. METHODS: We analyzed 66 eyes of 66
patients with idiopathic ERM. Ophthalmic examinations included best-corrected
visual acuity (BCVA) measurements, metamorphopsia assessment, and spectral-domain
optical coherence tomography before surgery and 1, 3, and 6 months post-surgery.
Correlations between the inner-retinal irregularity index, defined as the length
ratio between the inner plexiform layer and retinal pigment epithelium, and
visual outcomes before and after ERM surgery were evaluated and compared with the
correlation between the central foveal thickness, ganglion cell-inner plexiform
layer (GC-IPL) thickness, interdigitation zone defect, and visual outcomes.
RESULTS: Inner-retinal irregularity index and central foveal thickness were
significantly correlated with BCVA and metamorphopsia at each follow-up
examination (all P < .05). The interdigitation zone defect correlated with BCVA
at 3 and 6 months post-surgery (P < .001 and P < .015, respectively). However, GC
IPL thickness was not correlated with visual outcomes at any follow-up
examination. The preoperative interdigitation zone defect was correlated with 6
month BCVA (P = .035) and the preoperative inner-retinal irregularity index was
significantly correlated with the 6-month BCVA and marginally correlated with the
6-month metamorphopsia (P = .018 and P = .097, respectively). CONCLUSION: The
inner-retinal irregularity index was significantly correlated with visual
outcomes before and after ERM surgery. This index can be used as a new surrogate
marker for inner-retinal damage and a predictive prognostic marker in ERM.
PMID- 27210277
TI - Consensus on the Diagnosis and Management of Nonparaneoplastic Autoimmune
Retinopathy Using a Modified Delphi Approach.
AB - PURPOSE: To develop diagnostic criteria for nonparaneoplastic autoimmune
retinopathy (AIR) through expert panel consensus and to examine treatment
patterns among clinical experts. DESIGN: Modified Delphi process. METHODS: A
survey of uveitis specialists in the American Uveitis Society, a face-to-face
meeting (AIR Workshop) held at the National Eye Institute, and 2 iterations of
expert panel surveys were used in a modified Delphi process. The expert panel
consisted of 17 experts, including uveitis specialists and researchers with
expertise in antiretinal antibody detection. Supermajority consensus was used and
defined as 75% of experts in agreement. RESULTS: There was unanimous agreement
among experts regarding the categorization of autoimmune retinopathies as
nonparaneoplastic and paraneoplastic, including cancer-associated retinopathy and
melanoma-associated retinopathy. Diagnostic criteria and tests essential to the
diagnosis of nonparaneoplastic AIR and multiple supportive criteria reached
consensus. For treatment, experts agreed that corticosteroids and conventional
immunosuppressives should be used (prescribed) as first- or second-line
treatments, though a consensus agreed that biologics and intravenous
immunoglobulin were considered appropriate in the treatment of nonparaneoplastic
AIR patients regardless of the stage of disease. Experts agreed that more
evidence is needed to treat nonparaneoplastic AIR patients with long-term
immunomodulatory therapy and that there is enough equipoise to justify
randomized, placebo-controlled trials to determine if nonparaneoplastic AIR
patients should be treated with long-term immunomodulatory therapy. Regarding
antiretinal antibody detection, consensus agreed that a standardized assay system
is needed to detect serum antiretinal antibodies. Consensus agreed that an ideal
assay should have a 2-tier design and that Western blot and immunohistochemistry
should be the methods used to identify antiretinal antibodies. CONCLUSIONS:
Consensus was achieved using a modified Delphi process to develop diagnostic
criteria for nonparaneoplastic AIR. There is enough equipoise to justify
randomized, placebo-controlled trials to determine whether patients with
nonparaneoplastic AIR should be treated with long-term immunomodulatory therapy.
Efforts to develop a standardized 2-tier assay system for the detection of
antiretinal antibodies have been initiated as a result of this study.
PMID- 27210279
TI - Estimating planktonic diversity through spatial dominance patterns in a model
ocean.
AB - In the open ocean, the observation and quantification of biodiversity patterns is
challenging. Marine ecosystems are indeed largely composed by microbial
planktonic communities whose niches are affected by highly dynamical physico
chemical conditions, and whose observation requires advanced methods for
morphological and molecular classification. Optical remote sensing offers an
appealing complement to these in-situ techniques. Global-scale coverage at high
spatiotemporal resolution is however achieved at the cost of restrained
information on the local assemblage. Here, we use a coupled physical and
ecological model ocean simulation to explore one possible metrics for comparing
measures performed on such different scales. We show that a large part of the
local diversity of the virtual plankton ecosystem - corresponding to what
accessible by genomic methods - can be inferred from crude, but spatially
extended, information - as conveyed by remote sensing. Shannon diversity of the
local community is indeed highly correlated to a 'seascape' index, which
quantifies the surrounding spatial heterogeneity of the most abundant functional
group. The error implied in drastically reducing the resolution of the plankton
community is shown to be smaller in frontal regions as well as in regions of
intermediate turbulent energy. On the spatial scale of hundreds of kms, patterns
of virtual plankton diversity are thus largely sustained by mixing communities
that occupy adjacent niches. We provide a proof of principle that in the open
ocean information on spatial variability of communities can compensate for
limited local knowledge, suggesting the possibility of integrating in-situ and
satellite observations to monitor biodiversity distribution at the global scale.
PMID- 27210280
TI - Evaluating antibiotic therapies prescribed to adult patients in the emergency
department.
AB - OBJECTIVES: The proper use of antibiotics is a public health priority to preserve
their effectiveness. Little data is available on outpatient antibiotic
prescriptions, especially in the emergency department. We aimed to assess the
quality of outpatient antibiotic prescriptions in our hospital. PATIENTS AND
METHODS: Retrospective monocentric study of antibiotic prescriptions written to
adult patients managed at the emergency department without hospitalization
(November 15th, 2012-November 15th, 2013). Prescriptions were evaluated by an
infectious disease specialist and an emergency physician on the basis of local
recommendations compiled from national and international guidelines. RESULTS: A
total of 760 prescriptions were reviewed. The most frequent indications were
urinary tract infections (n=263; 34.6%), cutaneous infections (n=198; 26.05%),
respiratory tract infections (n=101; 13.28%), and ENT infections (n=62; 8.15%).
The most frequently prescribed antibiotics were fluoroquinolones (n=314; 40.83%)
and amoxicillin-clavulanic acid (n=245; 31.85%). Overall, 455 prescriptions
(59.86%) did not comply with guidelines. The main reasons for inadequacy were the
absence of an indication for antibiotic therapy (n=197; 40.7%), an inadequate
spectrum of activity, i.e. too broad, (n=95; 19.62%), and excessive treatment
duration (n=87; 17.97%). Rates of inadequate prescriptions were 82.26% for ENT
infections, 71.2% for cutaneous infections, 46.53% for respiratory tract
infections, and 38.4% for urinary tract infections. CONCLUSION: Antibiotic
prescriptions written to outpatients in the emergency department are often
inadequate. Enhancing prescribers' training and handing out guidelines is
therefore necessary. The quality of these prescriptions should then be re
assessed.
PMID- 27210281
TI - In vitro culture conditions affecting minimal inhibitory concentration of
bedaquiline against M. tuberculosis.
AB - OBJECTIVES: In developing a standardized drug susceptibility test for
bedaquiline, it is very important to know which parameters might impact its
activity in vitro and result in false resistance of the bacterium to bedaquiline.
We aimed to assess the impact of different in vitro conditions on the minimal
inhibitory concentration (MIC) of bedaquiline against Mycobacterium tuberculosis
H37Rv reference strain. METHODS: The MIC of M. tuberculosis H37Rv strain was
determined under different conditions such as inoculum size, pH, temperatures,
log and stationary phase cultures, protein concentration, Tween 80 concentration,
and labware plastics. RESULTS: Increases in bedaquiline MIC were observed with
variations in inoculum size for M. tuberculosis H37Rv on agar or in broth, in
protein concentration and labware plastics on agar, and with variations in pH and
Tween 80 concentrations in broth. CONCLUSIONS: In order to obtain reproducible
MIC results, bedaquiline MIC should be assessed using polystyrene plates or
tubes, at pH 7, with a Tween 80 concentration of 0.02%, without protein
enrichment and with an inoculum size up to 10(7) colony-forming unit (CFU)/mL on
7H11 agar or with 10(5)CFU/mL in 7H9 broth.
PMID- 27210282
TI - Extracorporeal circuit for Panton-Valentine leukocidin-producing Staphylococcus
aureus necrotizing pneumonia.
AB - OBJECTIVE: To describe two cases of Panton-Valentine leukocidin-producing
Staphylococcus aureus (PVL-SA) necrotizing pneumonia treated with ECMO, and
complete pulmonary evaluation at six months. METHODS: Retrospective analysis of
two patients presenting with severe PVL-SA pneumonia who both underwent complete
respiratory function testing and chest CT scan six months after hospital
discharge. RESULTS: Indications for ECMO were refractory hypoxia and left
ventricular dysfunction associated with right ventricular dilatation. Patients
were weaned off ECMO after 52 and 5 days. No ECMO-related hemorrhagic
complication was observed. Pulmonary function tests performed at six months were
normal and the CT scan showed complete regression of pulmonary injuries.
CONCLUSION: PVL-SA pneumonia is characterized by extensive parenchymal injuries,
including necrotic and hemorrhagic complications. ECMO may be used as a salvage
treatment without any associated hemorrhagic complication, provided anticoagulant
therapy is carefully monitored, and may lead to complete pulmonary recovery at
six months.
PMID- 27210283
TI - Cefoxitin: An alternative to carbapenems in urinary tract infections due to
extended-spectrum beta-lactamase-producing Enterobacteriaceae.
AB - BACKGROUND AND OBJECTIVES: Infections caused by extended-spectrum beta-lactamase
producing Enterobacteriaceae (ESBL-E) have become a major public health issue
worldwide. Cefoxitin is a second-generation cephalosporin and is associated with
a strong in vitro activity against ESBL. PATIENTS AND METHODS: We conducted a
prospective monocentric cohort study from 2012 to 2015 to evaluate the clinical
efficacy and safety of cefoxitin in 15 patients treated for urinary tract
infection (UTI) caused by ESBL-E, without any severity criteria. RESULTS: We
included 15 patients; 11 were male patients with defined risk factors for ESBL-E.
Ten patients presented with male UTI, three with pyelonephritis, and two with
cystitis. Escherichia coli was the predominant pathogen. All patients had a
positive outcome with a good tolerance (a skin rash without any sign of severity
was observed in one patient). Microbiological cure was obtained in 9 patients out
of 10 at the end of treatment. CONCLUSION: Cefoxitin is an alternative treatment
to carbapenems for urinary tract infections caused by ESBL-producing
Enterobacteriaceae.
PMID- 27210284
TI - Development and evaluation of the herd dynamic milk model with focus on the
individual cow component.
AB - The herd dynamic milk (HDM) model is a dynamic model capable of simulating the
performance of individual dairy animals (from birth to death), with a daily time
step. Within this study, the HDM model is described and evaluated in relation to
milk production, body condition score (BCS) and BCS change throughout lactation
by comparing model simulations against data from published experimental studies.
The model's response to variation in genetic potential, herbage allowance and
concentrate supplementation was tested in a sensitivity analysis. Data from
experiments in Ireland and France over a 3-year period (2009-11) were used to
complete the evaluation. The aim of the Irish experiment was to determine the
impact of different stocking rates (SRs) (SR1: 3.28 cow/ha, SR2: 2.51 cow/ha) on
key physical, biological and economic performance. The aim of the French
experiment was to evaluate over a prolonged time period, the ability of two
breeds of dairy cows (Holstein and Normande) to produce and to reproduce under
two feeding strategies (high level and low level) in the context of compact
calving. The model evaluation was conducted at the herd level with separate
evaluations for the primiparous and multiparous cows. The evaluation included the
two extreme SRs for the Irish experiment, and an evaluation at the overall herd
and individual animal level for the different breeds and feeding levels for the
French data. The comparison of simulation and experimental data for all scenarios
resulted in a relative prediction error, which was consistently <15% across
experiments for weekly milk production and BCS. In relation to BCS, the highest
root mean square error was 0.27 points of BCS, which arose for Holstein cows in
the low feeding group in late lactation. The model responded in a realistic
fashion to variation in genetic potential for milk production, herbage allowance
and concentrate supplementation.
PMID- 27210285
TI - Vacuum ultraviolet photodissociation of hydrogen bromide.
AB - Photodissociation dynamics of HBr at a series of photolysis wavelengths in the
range of 123.90-125.90 nm and at around 137.0 nm have been studied using the H
atom Rydberg "tagging" time-of-flight technique. The branching fractions between
the channels forming ground Br((2)P3/2) and spin-orbit excited Br((2)P1/2) atoms
together with the angular distributions of the products corresponding to these
two channels have been measured. The photolysis wavelengths in this work excited
the HBr molecule from the ground state X (1)Sigma(+) to various Rydberg states
and the V (1)Sigma(+) ion-pair valence state. Predissociation via these states
displays rich behavior, indicating the influence of the nature of initially
excited states and the coupling to other bound or repulsive states on the
predissociation dynamics.
PMID- 27210286
TI - Structural Mechanisms of Voltage Sensing in G Protein-Coupled Receptors.
AB - G-protein-coupled receptors (GPCRs) form the largest superfamily of membrane
proteins and one-third of all drug targets in humans. A number of recent studies
have reported evidence for substantial voltage regulation of GPCRs. However, the
structural basis of GPCR voltage sensing has remained enigmatic. Here, we present
atomistic simulations on the delta-opioid and M2 muscarinic receptors, which
suggest a structural and mechanistic explanation for the observed voltage-induced
functional effects. The simulations reveal that the position of an internal Na(+)
ion, recently detected to bind to a highly conserved aqueous pocket in receptor
crystal structures, strongly responds to voltage changes. The movements give rise
to gating charges in excellent agreement with previous experimental recordings.
Furthermore, free energy calculations show that these rearrangements of Na(+) can
be induced by physiological membrane voltages. Due to its role in receptor
function and signal bias, the repositioning of Na(+) has important general
implications for signal transduction in GPCRs.
PMID- 27210287
TI - Mapping the Accessible Conformational Landscape of an Insect Carboxylesterase
Using Conformational Ensemble Analysis and Kinetic Crystallography.
AB - The proper function of enzymes often depends upon their efficient interconversion
between particular conformational sub-states on a free-energy landscape.
Experimentally characterizing these sub-states is challenging, which has limited
our understanding of the role of protein dynamics in many enzymes. Here, we have
used a combination of kinetic crystallography and detailed analysis of
crystallographic protein ensembles to map the accessible conformational landscape
of an insect carboxylesterase (LcalphaE7) as it traverses all steps in its
catalytic cycle. LcalphaE7 is of special interest because of its evolving role in
organophosphate insecticide resistance. Our results reveal that a dynamically
coupled network of residues extends from the substrate-binding site to a surface
loop. Interestingly, the coupling of this network that is apparent in the
apoenzyme appears to be reduced in the phosphorylated enzyme intermediate.
Altogether, the results of this work highlight the importance of protein dynamics
to enzyme function and the evolution of new activity.
PMID- 27210289
TI - Can environmental change affect host/parasite-mediated speciation?
AB - Parasitism can be a driver of species divergence and thereby significantly alter
species formation processes. While we still need to better understand how
parasite-mediated speciation functions, it is even less clear how this process is
affected by environmental change. Both rapid and gradual changes of the
environment can modify host immune responses, parasite virulence and the
specificity of their interactions. They will thereby change host-parasite
evolutionary trajectories and the potential for speciation in both hosts and
parasites. Here, we summarise mechanisms of host-parasite interactions affecting
speciation and subsequently consider their susceptibility to environmental
changes. We mainly focus on the effects of temperature change and nutrient input
to ecosystems as they are major environmental stressors. There is evidence for
both disruptive and accelerating effects of those pressures on speciation that
seem to be context-dependent. A prerequisite for parasite-driven host speciation
is that parasites significantly alter the host's Darwinian fitness. This can
rapidly lead to divergent selection and genetic adaptation; however, it is likely
preceded by more short-term plastic and transgenerational effects. Here, we also
consider how these first responses and their susceptibility to environmental
changes could lead to alterations of the species formation process and may
provide alternative pathways to speciation.
PMID- 27210288
TI - Assembly of eIF3 Mediated by Mutually Dependent Subunit Insertion.
AB - Eukaryotic initiation factor 3 (eIF3), an essential multi-protein complex
involved in translation initiation, is composed of 12 tightly associated subunits
in humans. While the overall structure of eIF3 is known, the mechanism of its
assembly and structural consequences of dysregulation of eIF3 subunit expression
seen in many cancers is largely unknown. Here we show that subunits in eIF3
assemble into eIF3 in an interdependent manner. Assembly of eIF3 is governed
primarily by formation of a helical bundle, composed of helices extending C
terminally from PCI-MPN domains in eight subunits. We propose that, while the
minimal subcomplex of human-like eIF3 functional for translation initiation in
cells consists of subunits a, b, c, f, g, i, and m, numerous other eIF3
subcomplexes exist under circumstances of subunit over- or underexpression. Thus,
eIF3 subcomplexes formed or "released" due to dysregulated subunit expression may
be determining factors contributing to eIF3-related cancers.
PMID- 27210291
TI - Using Network Dynamical Influence to Drive Consensus.
AB - Consensus and decision-making are often analysed in the context of networks, with
many studies focusing attention on ranking the nodes of a network depending on
their relative importance to information routing. Dynamical influence ranks the
nodes with respect to their ability to influence the evolution of the associated
network dynamical system. In this study it is shown that dynamical influence not
only ranks the nodes, but also provides a naturally optimised distribution of
effort to steer a network from one state to another. An example is provided where
the "steering" refers to the physical change in velocity of self-propelled agents
interacting through a network. Distinct from other works on this subject, this
study looks at directed and hence more general graphs. The findings are presented
with a theoretical angle, without targeting particular applications or networked
systems; however, the framework and results offer parallels with biological
flocks and swarms and opportunities for design of technological networks.
PMID- 27210290
TI - Sex-related differences in striatal dopaminergic system after traumatic brain
injury.
AB - Several studies have demonstrated alterations in the dopamine (DA) system after
traumatic brain injury (TBI). Additionally, the existence of significant sex
related differences in the dopaminergic system has long been recognized.
Accordingly, the purpose of the present study was to investigate whether TBI
would differentially alter, in female and male mice, the expression and the
function of the striatal vesicular monoamine transporter-2 (VMAT-2), an important
DA transporter. After controlled cortical impact (CCI) injury, female mice showed
significantly lower striatal DA concentrations and K(+)-evoked DA output. By
contrast, no significant sex-related differences were observed in the mRNA and
protein levels of striatal dopamine transporter (DAT) and VMAT-2 and the
methamphetamine (MA)-evoked DA output. These results demonstrated clear sex
related differences in striatal VMAT-2 function in response to TBI and suggested
that female mice may be more sensitive to the TBI-induced inhibition of the VMAT
2 function, as indicated by the greater degree of deficits observed when the VMAT
2 DA-storage function was inhibited by TBI. Moreover, the TBI-induced suppression
of locomotion was more pronounced than female mice. Such findings highlight the
need for sex-specific considerations when examining differences among brain
injury conditions.
PMID- 27210292
TI - Decreased copper concentrations but increased lysyl oxidase activity in ischemic
hearts of rhesus monkeys.
AB - Myocardial ischemia leads to a decrease in copper (Cu) concentrations, along with
collagen deposition in which Cu-dependent lysyl oxidase (LOX) catalyzes the cross
linking of collagens leading to tissue stiffness. The present study was
undertaken to determine the relationship between decreased Cu concentrations and
LOX activities in ischemic hearts of monkeys. Rhesus monkeys were subjected to
coronary artery ligation, leading to ischemic infarction. At 8 weeks after the
surgery, Cu concentrations and Cu-dependent cytochrome c oxidase (CCO) activities
in the infarct area were significantly decreased. Unexpectedly, the Cu-dependent
LOX activities in the same area were significantly increased. LOX proteins were
accumulated in the cytosol of myofibroblasts, endothelial cells, and residual
cardiomyocytes in the infarct area. In contrast, LOX was only found in
fibroblasts and myocardial intercalated discs between cardiomyocytes in sham
operated controls. The LOX mRNA level was also increased in the infarct area
compared to the sham operated control. This upregulation of LOX was associated
with significant increases in collagen deposition; protein levels of type I and
III collagens were significantly increased along with increases in their mRNA
levels in the infarct area. This finding indicates that under myocardial
infarction, Cu-dependent CCO activities were depressed but LOX activities were
increased most likely through Cu redistribution although Cu concentrations were
significantly depressed.
PMID- 27210294
TI - A Prospective Randomized, Comparative Trial of High-Dose Mizoribine Versus
Mycophenolate Mofetil in Combination With Tacrolimus and Basiliximab for Living
Donor Renal Transplant: A Multicenter Trial.
AB - OBJECTIVES: Our objectives were to compare the clinical outcomes of mizoribine
(12 mg/kg/d) and mycophenolate mofetil (2000 mg/d) in combination with
tacrolimus, basiliximab, and corticosteroids. MATERIALS AND METHODS: We enrolled
83 recipients of living-donor renal transplant (performed between 2008 and 2013)
in this study. This prospective multi-institutional randomized comparative study
compared mizoribine (n = 41) and mycophenolate mofetil (n = 42) in combination
with tacrolimus, basiliximab, and corticosteroids for living-donor renal
transplant recipients. We compared the acute rejection and graft survival rates
and adverse event rates within 1 year of renal transplant between the 2 groups
using intention-to-treat analyses. RESULTS: During the 1-year observation period,
patient and graft survival rates were 100%. The acute rejection rate was 17.1% in
the mizoribine group and 19% in the mycophenolate mofetil group. The incidence
rate of cytomegalovirus infection seropositivity (recipient and donor with
positive cytomegalovirus antibody status) was higher in the mycophenolate mofetil
group than in the mizoribine group, although the difference in these rates was
not statistically significant. The incidence of leukopenia was higher in the
mizoribine group than in the mycophenolate mofetil group. CONCLUSIONS: High-dose
mizoribine at 12 mg/kg/day was a safe and efficacious immunosuppressive
alternative to mycophenolate mofetil in living-donor renal transplant recipients.
Leukopenia should be closely monitored in the initial period of insufficient
kidney function after renal transplant.
PMID- 27210293
TI - CXXC finger protein 1 is critical for T-cell intrathymic development through
regulating H3K4 trimethylation.
AB - T-cell development in the thymus is largely controlled by an epigenetic program,
involving in both DNA methylation and histone modifications. Previous studies
have identified Cxxc1 as a regulator of both cytosine methylation and histone 3
lysine 4 trimethylation (H3K4me3). However, it is unknown whether Cxxc1 plays a
role in thymocyte development. Here we show that T-cell development in the thymus
is severely impaired in Cxxc1-deficient mice. Furthermore, we identify genome
wide Cxxc1-binding sites and H3K4me3 modification sites in wild-type and Cxxc1
deficient thymocytes. Our results demonstrate that Cxxc1 directly controls the
expression of key genes important for thymocyte survival such as RORgammat and
for T-cell receptor signalling including Zap70 and CD8, through maintaining the
appropriate H3K4me3 on their promoters. Importantly, we show that RORgammat, a
direct target of Cxxc1, can rescue the survival defects in Cxxc1-deficient
thymocytes. Our data strongly support a critical role of Cxxc1 in thymocyte
development.
PMID- 27210301
TI - Congenital Heart Disease Requires a Lifetime Continuum of Care: A Call for a
Regional Registry.
PMID- 27210295
TI - Evaluation of Patients and Families With Concern for Predispositions to
Hematologic Malignancies Within the Hereditary Hematologic Malignancy Clinic
(HHMC).
AB - INTRODUCTION: Although multiple predispositions to hematologic malignancies
exist, evaluations for hereditary cancer syndromes (HCS) are underperformed by
most hematologist/oncologists. Criteria for initiating HCS evaluation are poorly
defined, and results of genetic testing for hereditary hematologic malignancies
have not been systematically reported. PATIENTS AND METHODS: From April 2014 to
August 2015, 67 patients were referred to the Hereditary Hematologic Malignancy
Clinic (HHMC). Referral reasons included (1) bone marrow failure or
myelodysplastic syndrome in patients <= 50 years, (2) evaluation for germ-line
inheritance of identified RUNX1, GATA2, or CEBPA mutations on targeted next
generation sequencing panels, and (3) strong personal and/or family history of
malignancy. Cultured skin fibroblasts were utilized for germ-line DNA in all
patients with hematologic malignancy. RESULTS: Eight patients (12%) were
clinically diagnosed with a HCS: 4 patients with RUNX1-related familial platelet
disorder (FPD)/acute myeloid leukemia (AML), and 1 patient each with dyskeratosis
congenita, Fanconi anemia, germ-line DDX41, and Li-Fraumeni syndrome (LFS). Two
patients with concern for FPD/AML and LFS, respectively, had RUNX1 and TP53
variants of unknown significance. Additionally, 4 patients with prior HCS
diagnosis (1 LFS, 3 FPD/AML) were referred for further evaluation and
surveillance. CONCLUSION: In this HHMC-referred hematologic malignancy cohort,
HCS was confirmed in 12 patients (18%). HCS identification provides insight for
improved and individualized treatment, as well as screening/surveillance
opportunities for family members. The HHMC has facilitated HCS diagnosis; with
increased clinical awareness of hematologic malignancy predisposition syndromes,
more patients who may benefit from evaluation can be identified. Mutation panels
intended for prognostication may provide increased clinical suspicion for germ
line testing.
PMID- 27210302
TI - Characterisation of Myocardial Injury via T1 Mapping in Early Reperfused
Myocardial Infarction and its Relationship with Global and Regional Diastolic
Dysfunction.
AB - BACKGROUND: Cardiovascular magnetic resonance (CMR) advances in imaging
techniques, permits the ability to accurately characterise tissue injury post
myocardial infarction. Pre-contrast T1 mapping enables this through measurement
of pre-contrast T1 relaxation times. We investigate the relationship between T1
characterisation of myocardial injury with global and regional diastolic
function. METHODS: Revascularised acute myocardial infarction patients with
normal left ventricular (LV) systolic function on TTE were assessed by 1.5T CMR.
Acute regional diastolic wall motion abnormalities, global diastolic function
measurements, acute segmental damage fraction with LGE and mean segmental pre
contrast T1 values were assessed on matching short axis slices. RESULTS: Forty
four patients were analysed. Mean LVEF was 62.1+/-9.4%. No difference between
NSTEMI (22/44) and STEMI in mean pre-contrast T1 values of infarcted (1025.0+/
109.2 vs 1011.0+/-81.6ms, p=0.70), adjacent (948.3+/-45.3 vs 941.1+/-46.6ms,
p=0.70) and remote (888.8+/-52.8 vs 881.2+/-54.5ms, p=0.66) segments was
detected. There was no correlation between pre-contrast T1 of infarcted segments
with global diastolic dysfunction (E/A, r2=0.216, p=0.06; S/D, r2=0.243, p=0.053;
E/E', r2=0.240, p=0.072), but there was significantly positive, moderate
correlation with circumferential diastolic strain rate, (r2=0.579, p<0.01) with
excellent agreement and reproducibility. CONCLUSION: Cardiac magnetic resonance
evaluation of pre-contrast T1 values revealed no difference between NSTEMI and
STEMI patients in terms of tissue characterisation post-myocardial infarction.
However, pre-contrast T1 of infarcted tissue is significantly correlated with
regional diastolic circumferential strain rate.
PMID- 27210303
TI - Emission energy, exciton dynamics and lasing properties of buckled CdS
nanoribbons.
AB - We report the modulation of emission energy, exciton dynamics and lasing
properties in a single buckled CdS nanoribbon (NR) by strain-engineering.
Inspired by ordered structure fabrication on elastomeric polymer, we develop a
new method to fabricate uniform buckled NRs supported on polydimethylsiloxane
(PDMS). Wavy structure, of which compressive and tensile strain periodically
varied along the CdS NR, leads to a position-dependent emission energy shift as
large as 14 nm in photoluminescence (PL) mapping. Both micro-PL and micro
reflectance reveal the spectral characteristics of broad emission of buckled NR,
which can be understood by the discrepancy of strain-induced energy shift of A-
and B-exciton of CdS. Furthermore, the dynamics of excitons under tensile strain
are also investigated; we find that the B-exciton have much shorter lifetime than
that of redshifted A-exciton. In addition, we also present the lasing of buckled
CdS NRs, in which the strain-dominated mode selection in multi-mode laser and
negligible mode shifts in single-mode laser are clearly observed. Our results
show that the strained NRs may serve as new functional optical elements for
flexible light emitter or on-chip all-optical devices.
PMID- 27210304
TI - The potential impact of new generation transgenic methods on creating rabbit
models of cardiac diseases.
AB - Since the creation of the first transgenic rabbit thirty years ago, pronuclear
microinjection remained the single applied method and resulted in numerous
important rabbit models of human diseases, including cardiac deficiencies, albeit
with low efficiency. For additive transgenesis a novel transposon mediated
method, e.g., the Sleeping Beauty transgenesis, increased the efficiency, and its
application to create cardiac disease models is expected in the near future. The
targeted genome engineering nuclease family, e.g., the zink finger nuclease
(ZFN), the transcription activator-like effector nuclease (TALEN) and the newest,
clustered regularly interspaced short palindromic repeats (CRISPR) with the
CRISPR associated effector protein (CAS), revolutionized the non-mouse
transgenesis. The latest gene-targeting technology, the CRISPR/CAS system, was
proven to be efficient in rabbit to create multi-gene knockout models. In the
future, the number of tailor-made rabbit models produced with one of the above
mentioned methods is expected to exponentially increase and to provide adequate
models of heart diseases.
PMID- 27210306
TI - Technical advances in studying cardiac electrophysiology - Role of rabbit models.
AB - Cardiovascular research has made a major contribution to an unprecedented 10 year
increase in life expectancy during the last 50 years: most of this increase due
to a decline in mortality from heart disease and stroke. The majority of the
basic cardiovascular science discoveries, which have led to this impressive
extension of human life, came from investigations conducted in various small and
large animal models, ranging from mouse to pig. The small animal models are
currently popular because they are amenable to genetic engineering and are
relatively inexpensive. The large animal models are favored at the translational
stage of the investigation, as they are anatomically and physiologically more
proximal to humans, and can be implanted with various devices; however, they are
expensive and less amenable to genetic manipulations. With the advent of CRISPR
genetic engineering technology and the advances in implantable bioelectronics,
the large animal models will continue to advance. The rabbit model is
particularly poised to become one of the most popular among the animal models
that recapitulate human heart diseases. Here we review an array of the rabbit
models of atrial and ventricular arrhythmias, as well as a range of the imaging
and device technologies enabling these investigations.
PMID- 27210305
TI - Electron tomography of rabbit cardiomyocyte three-dimensional ultrastructure.
AB - The field of cardiovascular research has benefitted from rapid developments in
imaging technology over the last few decades. Accordingly, an ever growing number
of large, multidimensional data sets have begun to appear, often challenging
existing pre-conceptions about structure and function of biological systems. For
tissue and cell structure imaging, the move from 2D section-based microscopy to
true 3D data collection has been a major driver of new insight. In the sub
cellular domain, electron tomography is a powerful technique for exploration of
cellular structures in 3D with unparalleled fidelity at nanometer resolution.
Electron tomography is particularly advantageous for studying highly
compartmentalised cells such as cardiomyocytes, where elaborate sub-cellular
structures play crucial roles in electrophysiology and mechanics. Although the
anatomy of specific ultra-structures, such as dyadic couplons, has been
extensively explored using 2D electron microscopy of thin sections, we still lack
accurate, quantitative knowledge of true individual shape, volume and surface
area of sub-cellular domains, as well as their 3D spatial interrelations; let
alone of how these are reshaped during the cycle of contraction and relaxation.
Here we discuss and illustrate the utility of ET for identification,
visualisation, and analysis of 3D cardiomyocyte ultrastructures such as the T
tubular system, sarcoplasmic reticulum, mitochondria and microtubules.
PMID- 27210307
TI - Transgenic rabbit models to investigate the cardiac ion channel disease long QT
syndrome.
AB - Long QT syndrome (LQTS) is a rare inherited channelopathy caused mainly by
different mutations in genes encoding for cardiac K(+) or Na(+) channels, but can
also be caused by commonly used ion-channel-blocking and QT-prolonging drugs,
thus affecting a much larger population. To develop novel diagnostic and
therapeutic strategies to improve the clinical management of these patients, a
thorough understanding of the pathophysiological mechanisms of arrhythmogenesis
and potential pharmacological targets is needed. Drug-induced and genetic animal
models of various species have been generated and have been instrumental for
identifying pro-arrhythmic triggers and important characteristics of the
arrhythmogenic substrate in LQTS. However, due to species differences in features
of cardiac electrical function, these different models do not entirely
recapitulate all aspects of the human disease. In this review, we summarize
advantages and shortcomings of different drug-induced and genetically mediated
LQTS animal models - focusing on mouse and rabbit models since these represent
the most commonly used small animal models for LQTS that can be subjected to
genetic manipulation. In particular, we highlight the different aspects of
arrhythmogenic mechanisms, pro-arrhythmic triggering factors, anti-arrhythmic
agents, and electro-mechanical dysfunction investigated in transgenic LQTS rabbit
models and their translational application for the clinical management of LQTS
patients in detail. Transgenic LQTS rabbits have been instrumental to increase
our understanding of the role of spatial and temporal dispersion of
repolarization to provide an arrhythmogenic substrate, genotype-differences in
the mechanisms for early afterdepolarization formation and arrhythmia
maintenance, mechanisms of hormonal modification of arrhythmogenesis and regional
heterogeneities in electro-mechanical dysfunction in LQTS.
PMID- 27210308
TI - Clinicopathological significance of lymphangiogenesis detected by
immunohistochemistry using D2-40 monoclonal antibody in breast cancer.
AB - To elucidate the association between the lymphangiogenesis and
clinicopathological factors including the survival in breast cancer, 91 Japanese
patients with breast cancer were investigated. The lymphangiogenesis was
evaluated by the count of lymph vessel density (LVD) with immunohistochemical
method using D2-40 monoclonal antibody, a specific marker for lymphatic
endothelial cells.D2-40-positive lymph vessels were detected in 87 of 91 cases,
and were mainly distributed in the peritumoral lesions or around the tumor edge.
There was a significant difference in disease-free survival (DFS) and overall
survival (OS) between patients with high LVD and with low LVD (p=0.02, 0.01,
respectively, log-rank test). In addition, LVD significantly correlated with the
following clinicopathological factors: menopausal status (p<0.01), tumor size
(p<0.01), lymph-node status (p=0.01) lymphatic vessel invasion (LVI) (p<0.01),
blood vessel invasion (BVI) (p=0.03) and estrogen receptor status (ER)
(p=0.02).Those data suggest that D2-40 monoclonal antibody is a useful marker for
evaluating the LVD and its evaluation is helpful to predict the survival in
breast cancer.
PMID- 27210309
TI - Intracranial hemorrhage and platelet transfusion after administration of anti
platelets agents: Fukushima Prefecture.
AB - We conducted a case series study to assess intracerebral hemorrhage (ICH) in the
context of anti-platelets agents (APAs) and platelet (PLT) transfusion in
Fukushima Prefecture.This study included patients who were newly diagnosed with
ICH between January 2008 and June 2014 in the neurosurgical hospitals of
Fukushima Prefecture. Four of ten neurosurgical hospitals responded to our
questionnaire. Of 287 ICH patients, 51 (20.6%) were on APA therapy, of whom PLT
transfusion was given to only one persistently bleeding patient who was on dual
anti-platelet therapy. In a follow-up survey, 30 out of 51 ICH patients on APA
therapy, average age 75 years, were analyzed, of whom 21 (70%) were male. The
predominant underlying disease was diabetes mellitus. It is interesting to note
that peripheral artery disease and aortic aneurysm were among the indications for
APAs. ICH was mainly observed supratentorially. Hematoma enlargement was observed
in 13 (44.8%) cases. By day 7, 3 patients (10%) had died from complications of
ICH. In this study, we show that ICH during APA therapy matched what was observed
in Kanagawa Prefecture. Whether or not a national survey differs, we anticipate
greater statistical validity and an opportunity to improve patient outcomes in
Japan and around the world.
PMID- 27210310
TI - Successful therapy with tonsillectomy plus pulse therapy for the relapse of
pediatric IgA nephropathy treated with multi-drugs combination therapy.
AB - Immunoglobulin A nephropathy (IgAN) is the most common form of chronic
glomerulonephritis worldwide. In Japan, the treatment for use as an initial
therapy was established in Guidelines for the Treatment of Childhood IgA
nephropathy; however, no rescue therapy for recurrent or steroid-resistant
pediatric IgAN was established. We report here a 15-year-old boy with severe
IgAN, who was treated with combination therapy involving prednisolone,
mizoribine, warfarin, and dilazep dihydrochloride for 2 years. The response to
the combination therapy was good and both proteinuria and hematuria disappeared.
The pathological findings at the second renal biopsy were improved and PSL was
discontinued. However, due to nonadherence to the treatment regimen and
tonsillitis, macrohematuria and an increase of proteinuria were again observed
and the pathological findings at the third renal biopsy showed clear
deterioration. The patient was, therefore, diagnosed with recurrent IgAN.
Tonsillectomy plus methylprednisolone pulse therapy (TMP) was performed as a
rescue therapy for the recurrence of severe IgAN. Both the proteinuria or
hematuria subsequently disappeared, and no proteinuria or hematuria has been
observed and kidney function has remained normal during a 5-year follow-up. The
patient experienced no severe side effects associated with the drug regimens. In
conclusion, our case suggests that TMP may be an effective and useful rescue
therapy for recurrent IgAN after multi-drug combination therapy.
PMID- 27210311
TI - Phenotype microarray analysis of the drug efflux systems in Salmonella enterica
serovar Typhimurium.
AB - A large number of drug efflux transporters have been identified in Salmonella
enterica serovar Typhimurium, and increased expression of these transporters
confers drug resistance in this organism. Here we compared the respiration
activities of the wild-type strain and a mutant with nine deleted transporters by
phenotype microarray analysis. The mutant was susceptible to 66 structurally
unrelated compounds including many antibiotics, dyes, detergents, antihistamine
agents, plant alkaloids, antidepressants, antipsychotic drugs, and antiprotozoal
drugs. To investigate the effect of each transporter on the susceptibilities to
these drugs, we used the single transporter mutants, several multiple deletion
mutants, and the transporter overexpressor strains to determine minimum
inhibitory concentrations of ampicillin, erythromycin, minocycline,
ciprofloxacin, orphenadrine, amitriptyline, thioridazine, and chlorpromazine. The
data indicate that the increased susceptibilities of the mutant lacking nine
transporter genes are mainly dependent on the absence of the acrAB efflux genes
as well as the tolC gene. In addition to the AcrAB-TolC efflux system, the
results from the overexpressor strains show that AcrEF confers resistance to
these compounds as well as AcrAB of Escherichia coli, MexAB-OprM and MexXY-OprM
of Pseudomonas aeruginosa. The results highlight the importance of the efflux
systems not only for resistance to antibiotics but also for resistance to
antihistamine agents, plant alkaloids, antidepressants, antipsychotic drugs, and
antiprotozoal drugs.
PMID- 27210312
TI - Hepatitis B virus inhibits intrinsic RIG-I and RIG-G immune signaling via
inducing miR146a.
AB - Previous studies showed that hepatitis B virus (HBV), as a latency invader,
attenuated host anti-viral immune responses. miRNAs were shown to be involved in
HBV infection and HBV-related diseases, however, the precise role of miRNAs in
HBV-mediated immunosuppression remains unclear. Here, we observed that down
regulated RIG-I like receptors might be one critical mechanism of HBV-induced
suppression of type I IFN transcription in both HBV(+) hepatoma cell lines and
liver cancer tissues. Then, miR146a was demonstrated to negatively regulate the
expression of RIG-I-like receptors by directly targeting both RIG-I and RIG-G.
Further investigation showed that antagonizing miR146a by anti-sense inhibitors
or sponge approach accelerated HBV clearance and reduced HBV load both in vitro
and in a HBV-carrying mouse model. Therefore, our findings indicated that HBV
induced miR146a attenuates cell-intrinsic anti-viral innate immunity through
targeting RIG-I and RIG-G, and silencing miR146a might be an effective target to
reverse HBV-induced immune suppression.
PMID- 27210414
TI - Antidepressants for treating depression in adults with end-stage kidney disease
treated with dialysis.
AB - BACKGROUND: Depression affects approximately one-quarter of people treated with
dialysis and is considered an important research uncertainty by patients and
health professionals. Treatment for depression in dialysis patients may have
different benefits and harms compared to the general population due to different
clearances of antidepressant medication and the severity of somatic symptoms
associated with end-stage kidney disease (ESKD). Guidelines suggest treatment of
depression in dialysis patients with pharmacological therapy, preferably a
selective serotonin reuptake inhibitor. This is an update of a review first
published in 2005. OBJECTIVES: To evaluate the benefit and harms of
antidepressants for treating depression in adults with ESKD treated with
dialysis. SEARCH METHODS: We searched Cochrane Kidney and Transplant's
Specialised Register to 20 January 2016 through contact with the Information
Specialist using search terms relevant to this review. SELECTION CRITERIA:
Randomised controlled trials (RCTs) comparing antidepressant treatment with
placebo or no treatment, or compared to another antidepressant medication or
psychological intervention in adults with ESKD (estimated glomerular filtration
rate < 15 mL/min/1.73 m(2)). DATA COLLECTION AND ANALYSIS: Data were abstracted
by two authors independently onto a standard form and subsequently entered into
Review Manager. Risk ratios (RR) for dichotomous data and mean differences (MD)
for continuous data were calculated with 95% confidence intervals (95% CI). MAIN
RESULTS: Four studies in 170 participants compared antidepressant therapy
(fluoxetine, sertraline, citalopram or escitalopram) versus placebo or
psychological training for 8 to 12 weeks. In generally very low or ungradeable
evidence, compared to placebo, antidepressant therapy had no evidence of benefit
on quality of life, had uncertain effects on increasing the risk of hypotension
(3 studies, 144 participants: RR 1.72, 95% CI 0.75 to 3.92), headache (2 studies
56 participants: RR 2.91, 95% CI 0.73 to 11.57), and sexual dysfunction (2
studies, 101 participants: RR 3.83, 95% CI 0.63 to 23.34), and increased nausea
(3 studies, 114 participants: RR 2.67, 95% CI 1.26 to 5.68). There were few or no
data for hospitalisation, suicide or all-cause mortality resulting in
inconclusive evidence. Antidepressant therapy may reduce depression scores during
treatment compared to placebo (1 study, 43 participants: MD -7.50, 95% CI -11.94
to -3.06). Antidepressant therapy was not statistically different from group
psychological therapy for effects on depression scores or withdrawal from
treatment and a range of other outcomes were not measured. AUTHORS' CONCLUSIONS:
Despite the high prevalence of depression in dialysis patients and the relative
priority that patients place on effective treatments, evidence for antidepressant
medication in the dialysis setting is sparse and data are generally inconclusive.
The relative benefits and harms of antidepressant therapy in dialysis patients
are poorly known and large randomised studies of antidepressants versus placebo
are required.
PMID- 27210415
TI - Evidence of sexual dimorphism in placental vitamin D metabolism: Testosterone
inhibits calcitriol-dependent cathelicidin expression.
AB - Male fetus and neonates show increased immune vulnerability compared to females,
which results in a higher risk of perinatal infections. These differences could
partially be due to sex steroids differential modulation of vitamin D metabolism;
since calcitriol, the most active vitamin D metabolite, regulates immune
responses and transcriptionally induces the antimicrobial peptide cathelicidin in
the human placenta. Calcitriol availability depends on CYP27B1 and CYP24A1
expression, the cytochromes involved in its synthesis and degradation,
respectively. However, the effects of testosterone upon these enzymes and the
final biological outcome upon the calcitriol-dependent immune-target cathelicidin
in the placenta have not been studied. In this study we show that testosterone
significantly inhibited CYP27B1 while stimulated CYP24A1 gene expression in
cultured trophoblasts. These effects were accompanied by CREB activation through
cAMP-independent and androgen receptor-dependent mechanisms. Male placental
cotyledons showed reduced basal CYP27B1 and cathelicidin gene expression compared
to females (P<0.05). Testosterone concentration was higher in the cord blood of
male neonates (P=0.007), whereas cathelicidin levels were lesser compared to
females (P=0.002). Altogether our results suggest that male placentas produce
less cathelicidin due to decreased calcitriol bioavailability. We propose that
the observed sex-dependent differences in placental vitamin D metabolism
contribute in fetal responses to infections and could partially explain why the
increased male fetuses immune vulnerability. Moreover, gestational
hyperandrogenemia could adversely affect placental vitamin D metabolism
independently of fetal sex.
PMID- 27210416
TI - Marked long-term decline in ambient CO mixing ratio in SE England, 1997-2014:
evidence of policy success in improving air quality.
AB - Atmospheric CO at Egham in SE England has shown a marked and progressive decline
since 1997, following adoption of strict controls on emissions. The Egham site is
uniquely positioned to allow both assessment and comparison of 'clean Atlantic
background' air and CO-enriched air downwind from the London conurbation. The
decline is strongest (approximately 50 ppb per year) in the 1997-2003 period but
continues post 2003. A 'local CO increment' can be identified as the residual
after subtraction of contemporary background Atlantic CO mixing ratios from
measured values at Egham. This increment, which is primarily from regional
sources (during anticyclonic or northerly winds) or from the European continent
(with easterly air mass origins), has significant seasonality, but overall has
declined steadily since 1997. On many days of the year CO measured at Egham is
now not far above Atlantic background levels measured at Mace Head (Ireland). The
results are consistent with MOPITT satellite observations and 'bottom-up'
inventory results. Comparison with urban and regional background CO mixing ratios
in Hong Kong demonstrates the importance of regional, as opposed to local
reduction of CO emission. The Egham record implies that controls on emissions
subsequent to legislation have been extremely successful in the UK.
PMID- 27210417
TI - Regulation of gill claudin paralogs by salinity, cortisol and prolactin in
Mozambique tilapia (Oreochromis mossambicus).
AB - In euryhaline teleosts, reorganization of gill tight junctions during salinity
acclimation involves dynamic expression of specific claudin (Cldn) paralogs. We
identified four transcripts encoding Cldn tight junction proteins in the tilapia
gill transcriptome: cldn10c, cldn10e, cldn28a and cldn30. A tissue distribution
experiment found cldn10c and cldn10e expression levels in the gill to be 100-fold
higher than any other tissues examined. cldn28a and cldn30 levels in the gill
were 10-fold greater than levels in other tissues. Expression of these genes in
Mozambique tilapia was examined during acclimation to fresh water (FW), seawater
(SW), and in response to hormone treatments. Transfer of tilapia from FW to SW
elevated cldn10c and cldn10e, while cldn28a and cldn30 were stimulated following
transfer from SW to FW. In hypophysectomized tilapia transferred to FW, pituitary
extirpation induced reduced expression of cldn10c, cldn10e and cldn28a; these
effects were mitigated equally by either prolactin or cortisol replacement. In
vitro experiments with gill filaments showed that cortisol stimulated expression
of all four cldns examined, suggesting a direct action of cortisol in situ. Our
data indicate that elevated cldn10c and cldn10e expression is important during
acclimation of tilapia to SW possibly by conferring ion specific paracellular
permeability. On the other hand, expression of cldn28a and cldn30 appears to
contribute to reorganization of branchial epithelium during FW acclimation.
Hormone treatment experiments showed that particular FW- and SW-induced cldns are
controlled by cortisol and prolactin.
PMID- 27210421
TI - Proteomic profiling of small-molecule inhibitors reveals dispensability of MTH1
for cancer cell survival.
AB - Since recent publications suggested that the survival of cancer cells depends on
MTH1 to avoid incorporation of oxidized nucleotides into the cellular DNA, MTH1
has attracted attention as a potential cancer therapeutic target. In this study,
we identified new purine-based MTH1 inhibitors by chemical array screening.
However, although the MTH1 inhibitors identified in this study targeted cellular
MTH1, they exhibited only weak cytotoxicity against cancer cells compared to
recently reported first-in-class inhibitors. We performed proteomic profiling to
investigate the modes of action by which chemically distinct MTH1 inhibitors
induce cancer cell death, and found mechanistic differences among the first-in
class MTH1 inhibitors. In particular, we identified tubulin as the primary target
of TH287 and TH588 responsible for the antitumor effects despite the nanomolar
MTH1-inhibitory activity in vitro. Furthermore, overexpression of MTH1 did not
rescue cells from MTH1 inhibitor-induced cell death, and siRNA-mediated knockdown
of MTH1 did not suppress cancer cell growth. Taken together, we conclude that the
cytotoxicity of MTH1 inhibitors is attributable to off-target effects and that
MTH1 is not essential for cancer cell survival.
PMID- 27210422
TI - Flagellin and pilin immunization against multi-drug resistant Pseudomonas
aeruginosa protects mice in the burn wound sepsis model.
AB - Pseudomonas aeruginosa is a formidable pathogen and a major threat to burn
patients. Antimicrobial therapy is often unsuccessful because P. aeruginosa can
develop multi-drug resistance; thus, immunotherapy and vaccine can be a rational
alternative. Flagella and type IV pili have been identified as important
virulence factors in the colonization and pathogenesis of P. aeruginosa in burn
wound infections. Immunogenicity and efficacy of mixed recombinant full-length
type b flagellin (r-b-flagellin) and recombinant PilA (r-PilA) as candidate
vaccines were assessed by measuring humoral and cellular responses, using an
experimental burned mouse model. Primary immunization with "r-b-flagellin+r-PilA"
followed by two booster shots was sufficient to generate a robust humoral
response, which was predominantly a Th2 response consisting mainly of subtype
IgG1 and low levels of IgG2a. Analysis of the cytokine response among immunized
mice showed an increased production of IL-4, INF-gamma and IL-17 by splenocytes
upon stimulation by "r-b-flagellin+r-PilA". Opsonophagocytosis assays confirmed
the enhanced killing of bacteria by anti "r-b-flagellin+r-PilA" immune sera.
These antibodies were also able to reduce bacterial load in the site of original
infection into the liver and spleen of challenged mice. The reduction of systemic
bacterial spread resulted in an increased survival rate of challenged immunized
mice. In conclusion, immunization with "r-b-flagellin+r-PilA" proteins provides a
better protective response against P. aeruginosa infection in the burn mouse
model.
PMID- 27210423
TI - Indomethacin sensitizes resistant transformed cells to macrophage cytotoxicity.
AB - Activated macrophages are well known to exhibit anti-tumor properties. However,
certain cell types show intrinsic resistance. Searching for a mechanism that
could explain this phenomenon, we observed that the supernatant of resistant
cells could confer resistance to otherwise sensitive tumor cells, suggesting the
presence of a secreted suppressor factor. The effect was abolished upon dialysis,
indicating that the suppressor factor has a low molecular weight. Further studies
showed that prostaglandin E2 (PGE2) is secreted by the resistant tumor cells and
that inhibition of PGE2 production by indomethacin, a cyclooxygenase (COX)
inhibitor, eliminated the macrophage suppression factor from the supernatant, and
sensitized the resistant tumor cells to macrophage cytotoxicity. This study
emphasizes the important role of tumor-secreted PGE2 in escaping macrophage
surveillance and justifies the use of COX inhibitors as an adjuvant for improving
tumor immunotherapy.
PMID- 27210424
TI - The expression profile of toll-like receptor signaling molecules in CD19(+) B
cells from patients with primary immune thrombocytopenia.
AB - BACKGROUND: B cells play a critical role in the pathogenesis of immune
thrombocytopenia (ITP), and toll-like receptor (TLR) signaling is essential for
the activation of autoreactive B cells. The objective of this study was to
investigate the expression profile of TLR signaling molecules in circulating and
splenic CD19(+) B cells isolated from ITP patients. METHODS: CD19(+) B cells were
magnetically isolated from peripheral blood and splenocytes. Human Toll-Like
Receptor Signaling Pathway RT(2) ProfilerTM PCR Array was used to determine the
differences in mRNA expression of 84 TLR signaling pathway genes between ITP
patients and controls. Flow cytometry was used to investigate intracellular
expression of cytokines (IL-1beta and IL-10). RESULTS: A total of 31 genes
involving TLR signaling pathways were differentially transcribed in circulating
CD19(+) B cells, among which 27 were up-regulated in ITP. By comparison,
differentially transcribed genes amounted to 39 in splenic B cells in ITP, among
which only two were down-regulated. Up to 18 TLR signaling molecules exhibited up
regulated transcriptional levels both in splenic B cells and in circulating B
cells in ITP. However, Only IL-10 and IL-1beta were significantly upregulated in
both the circulating and splenic B cells of patients with ITP. Intracellular
staining of IL-10 and IL-1beta confirmed the results of PCR Array. CONCLUSIONS:
The expression of TLRs and downstream cytokines, including IL-10 and IL-1beta, is
up-regulated in circulating and splenic B cells in ITP patients, suggesting that
activated TLR signaling pathways in B cells may play dual roles in the
pathophysiology of primary ITP.
PMID- 27210425
TI - The safety and efficacy of enzalutamide in the treatment of advanced prostate
cancer.
AB - INTRODUCTION: Enzalutamide - a non-steroidal second-generation antiandrogen -
represents an active treatment option for patients with metastatic castration
resistant prostate cancer (mCRPC) in both chemotherapy-naive and docetaxel
pretreated settings, based on the demonstration of improved overall survival over
placebo in two large phase III trials. AREAS COVERED: The therapeutic landscape
of mCRPC, narrowed to docetaxel until recently, encompasses now several
treatments of a different nature (including androgen receptor targeting agents,
taxanes, radiometabolic therapy, and immunotherapy), improving considerably the
patients prognosis. However, direct comparisons between these agents still lack,
raising the question of the optimal sequence of treatment. Expert commentary: We
described in detail available data on clinical efficacy and safety of
enzalutamide in different clinical settings (chemotherapy-naive and -pretreated
mCRPC patients), analyzing patients characteristics, the effects of enzalutamide
on major clinical outcomes and its impact on patients quality of life. Finally,
we briefly overviewed ongoing clinical trials evaluating potential active
combinations, cross-resistance with other compounds, sequential strategies, and
possible prognostic or predictive biomarkers.
PMID- 27210426
TI - 14-3-3eta is a novel growth-promoting and angiogenic factor in hepatocellular
carcinoma.
AB - BACKGROUND & AIMS: Hepatocellular carcinoma (HCC) is one of the most common
malignancies worldwide. The continued search for novel therapeutic strategies for
HCC is urgently required. In this study, we aimed to investigate the functions
and clinical significance of 14-3-3eta protein in HCC. METHODS: Expressions of
genes and proteins were determined by quantitative reverse transcription
polymerase chain reaction, Western blot, and immunohistochemistry. Their
functions were assessed by endothelial cell recruitment, tube formation, wound
healing, flow cytometry, immunostaining, immunoprecipitation, and xenograft
assay. A tissue microarray followed by univariate and multivariate analyses was
performed to indicate the clinical significance. RESULTS: In HCC specimens,
overexpression of 14-3-3eta was observed not only in tumors but also in
intratumoral vessels. In HCC and vascular endothelial cells, 14-3-3eta stimulated
proliferation and angiogenesis, but attenuated the functions of sorafenib.
Briefly, 14-3-3eta facilitated the phosphorylation of extracellular signal
regulated kinase1/2 (ERK1/2). Then, by binding to the phosphorylated-ERK1/2 (p
ERK1/2), formed a functional positive feed-back loop. A xenograft model showed
that, blockage of either 14-3-3eta or ERK1/2 inhibited the tumor growth. Finally,
tissue microarray analyses showed that overexpression of 14-3-3eta, either in
tumors or intratumoral vessels, contributed to the poor survival. CONCLUSIONS:
The 14-3-3eta-ERK1/2 feedback loop played a characteristic growth-promoting role
in HCC, not only in tumors but also in intratumoral vessels. Further, 14-3-3eta
could be a potential therapeutic target for HCC and a biomarker for predicting
sorafenib treatment response. LAY SUMMARY: Here we found that, 14-3-3eta protein
exhibited a characteristic growth-promoting effect in both tumor and intratumoral
vessels of hepatocellular carcinoma by interacting with ERK1/2 signaling.
PMID- 27210427
TI - Randomized phase II study of GS-4774 as a therapeutic vaccine in virally
suppressed patients with chronic hepatitis B.
AB - BACKGROUND & AIMS: GS-4774 is a heat-inactivated, yeast-based, T-cell vaccine
designed to elicit hepatitis B virus (HBV)-specific T-cell responses. We
evaluated the safety, tolerability and efficacy of GS-4774 in patients with
chronic HBV infection. METHODS: In this phase II study, 178 patients with chronic
HBV infection and no cirrhosis who were virally suppressed on an oral antiviral
(OAV) for ?1year were randomized (1:2:2:2) to continue OAV alone or receive OAV
plus GS-4774 2, 10, or 40 yeast units (YU) subcutaneously every 4weeks until week
20. OAV was continued for the remainder of the study. Efficacy was measured by
decline in serum hepatitis B surface antigen (HBsAg) from baseline to week 24.
RESULTS: Baseline characteristics were similar across groups (mean age, 45
50years; male, 62-74%; Asian, 68-80%; hepatitis B e antigen (HBeAg)-positive, 24
26%; mean HBsAg, 2.5-3.1log10IU/ml). There were no significant differences
between groups in mean HBsAg declines from baseline to week 24 or 48. Five HBeAg
positive patients receiving GS-4774 experienced HBeAg loss vs. none in the
control group. Three GS-4774 40 YU-treated patients had HBsAg declines
?0.5log10IU/ml, but no patient experienced loss of serum HBsAg. No virologic
breakthrough occurred. Injection site reactions were the most frequent adverse
event (AE), and there were no treatment discontinuations. CONCLUSIONS: GS-4774
was well tolerated, but did not provide significant reductions in serum HBsAg in
virally suppressed patients with chronic hepatitis B. Efficacy of GS-4774 in
treatment-naive patients remains to be determined. LAY SUMMARY: GS-4774 is a
therapeutic vaccine designed to improve the immune response against hepatitis B
virus (HBV) in patients who already have chronic infection with HBV. In this
study, GS-4774 was safe and well tolerated in patients with chronic HBV infection
receiving oral antiviral therapy, but did not result in a clinical benefit.
Combination approaches with other agents, and evaluation in other populations of
patients with HBV are ongoing to determine if GS-4774 might have a therapeutic
benefit. CLINICAL TRIAL REGISTRATION NUMBER: NCT01943799.
PMID- 27210428
TI - Hepatotoxicity and potential drug interaction with ledipasvir/sofosbuvir in
HIV/HCV infected patients.
PMID- 27210429
TI - Decay of ccc-DNA marks persistence of intrahepatic viral DNA synthesis under
tenofovir in HIV-HBV co-infected patients.
AB - BACKGROUND & AIMS: In the presence of highly-potent antivirals, persistence of
hepatitis B virus (HBV) is most well-characterized by covalently-closed circular
DNA (cccDNA) and total intrahepatic DNA (IH-DNA). We sought to determine how
antiviral therapy could affect their levels during human immunodeficiency virus
(HIV)-HBV co-infection. METHODS: Sixty co-infected patients from a well-defined
cohort with ?1 liver biopsy were studied. HBV cccDNA and total IH-DNA were
extracted from biopsies and quantified by real-time PCR. Factors associated with
intrahepatic viral load were determined using mixed-effect linear regression and
half-life viral kinetics during reconstructed follow-up using non-linear
exponential decay models. RESULTS: At biopsy, 35 (58.3%) patients were hepatitis
B "e" antigen (HBeAg)-positive and 33 (55.0%) had detectable plasma HBV-DNA
(median=4.58log10IU/ml, IQR=2.95-7.43). Overall, median cccDNA was
0.95log10copies/cell (IQR=-1.70, -0.17) and total IH-DNA was 0.27log10copies/cell
(IQR=-0.39, 2.00). In multivariable analysis, significantly lower levels of
cccDNA and total IH-DNA were observed in patients with HBeAg-negative serology,
nadir CD4(+) cell counts >250/mm(3), and longer cumulative TDF-duration, but not
lamivudine- or adefovir-duration. In post-hoc analysis using reconstructed TDF
duration (median 29.6months, IQR=15.0-36.1, n=31), average half-life of cccDNA
was estimated at 9.2months (HBeAg-positive=8.6, HBeAg-negative=26.2) and total IH
DNA at 5.8months (HBeAg-positive=1.3, HBeAg-negative=13.6). Intrahepatic viral
loads remained detectable for all patients, even with prolonged TDF-exposure.
CONCLUSIONS: In co-infection, TDF-use is associated with lower levels of HBV
replication intermediates and cccDNA. Slow decay of intrahepatic viral loads
underscores that TDF is unable to completely block intracellular viral DNA
synthesis, which possibly accounts for continuous replenishment of the cccDNA
pool. LAY SUMMARY: Chronic hepatitis B virus (HBV) is a persistent infection,
while the only real way of knowing the extent of this persistence is through
measuring levels of virus in the liver. In this study, we examine levels of HBV
in the liver among patients with both HBV and human immunodeficiency virus, or
HIV, infection. It would appear that the currently available medication, namely
"tenofovir", works well to decrease virus levels in the liver, but it remains at
low levels despite long periods of treatment.
PMID- 27210430
TI - Straightforward conversion of decoquinate into inexpensive tractable new
derivatives with significant antimalarial activities.
AB - As part of a programme aimed at identifying rational new triple drug combinations
for treatment of malaria, tuberculosis and toxoplasmosis, we have selected
quinolones as one component, given that selected examples exhibit exceptionally
good activities against the causative pathogens of the foregoing diseases. The
quinolone decoquinate (DQ), an old and inexpensive coccidiostat, displays anti
malarial activity in vitro against Plasmodium falciparum (Pf). However, because
of its exceedingly poor solubility in water or organic solvents, development of
DQ as a drug is problematical. We have therefore converted DQ in straightforward
fashion into tractable new derivatives that display good activities in vitro
against chloroquine-sensitive NF54 and multidrug-resistant K1 and W2 Pf, and
relatively low toxicities against human fibroblast cells. The most active
compound, the N-acetyl derivative 30, is 5-fold more active than DQ against NF54
and K1 and equipotent with DQ against W2. It possesses an activity profile
against all strains comparable with that of the artemisinin derivative
artesunate. Overall, this compound and the other accessible and active
derivatives serve as an attractive template for development of new and economic
lead quinolones.
PMID- 27210431
TI - Enantioselective syntheses of both enantiomers of 9'-dehydroxyimperanene and 7,8
dihydro-9'-dehydroxyimperanene and the comparison of biological activity between
9-norlignans and dihydroguaiaretic acids.
AB - To estimate the effect of methyl group of dihydroguaiaretic acid, which shows
many kinds of biological activities, on biological activity, both enantiomers of
9'-dehydroxyimperanene (5, 6) and 7,8-dihydro-9'-dehydroxyimperanene (7, 8)
lacking one of the methyl groups of dihydroguaiaretic acid were synthesized. (S)
7,8-Dihydro-9'-dehydroxyimperanene (7) showed 4-6-fold higher cytotoxic activity
than all stereoisomers of dihydroguaiaretic acid (2-4). The IC50 values of (S)
7,8-dihydro-9'-dehydroxyimperanene (7) against HL-60 and HeLa cells were 6.1MUM
and 5.6MUM, respectively. Though only one of three stereoisomers of
dihydroguaiaretic acid showed antibacterial activity against a gram negative
bacterium, both enantiomers of 5-8 showed antibacterial activity against a gram
negative bacterium. This is a Letter on biological activity of 9-norlignan, in
which one of methyl groups of lignan is absent.
PMID- 27210433
TI - Structure-based discovery of novel 4,5,6-trisubstituted pyrimidines as potent
covalent Bruton's tyrosine kinase inhibitors.
AB - A series of novel 4,5,6-trisubstituted pyrimidines were designed as potent
covalent Bruton's tyrosine kinase (BTK) inhibitors based on the structure of
ibrutinib by using a ring-opening strategy. Among these derivatives, compound I1
exhibited the most potent inhibitory activity with an IC50 value of 0.07MUM. The
preliminary structure-activity relationship was discussed and the primary amino
group at the C-4 position of pyrimidine was crucial for maintaining BTK activity.
Furthermore, molecular dynamics simulations and binding free energy calculations
were performed for three inhibitor-BTK complexes to determine the probable
binding model, which provided a comprehensive guide for further structural
modification and optimization.
PMID- 27210432
TI - Optimization of isoxazoline amide benzoxaboroles for identification of a
development candidate as an oral long acting animal ectoparasiticide.
AB - Novel isoxazoline amide benzoxaboroles were designed and synthesized to optimize
the ectoparasiticide activity of this chemistry series against ticks and fleas.
The study identified an orally bioavailable molecule, (S)-N-((1-hydroxy-3,3
dimethyl-1,3-dihydrobenzo[c][1,2]oxaborol-6-yl)methyl)-2-methyl-4-(5-(3,4,5
trichlorophenyl)-5-(trifluoromethyl)-4,5-dihydroisoxazol-3-yl)benzamide (23),
with a favorable pharmacodynamics profile in dogs (Cmax=7.42ng/mL; Tmax=26.0h;
terminal half-life t1/2=127h). Compound 23, a development candidate, demonstrated
100% therapeutic effectiveness within 24h of treatment, with residual efficacy of
97% against American dog ticks (Dermacentor variabilis) on day 30 and 98% against
cat fleas (Ctenocephalides felis) on day 32 after a single oral dose at 25mg/kg
in dogs.
PMID- 27210434
TI - Synthesis of chlorophyll-a derivatives methylated in the 3-vinyl group and their
intrinsic site energy.
AB - Wittig reaction of methyl pyropheophorbide-d possessing the 3-formyl group gave
readily methyl pyropheophorbides-a bearing a variety of 3-alkenyl groups as semi
synthetic models of chlorophyll-a. The 3-substituents rotated around the C3-C3(1)
bond from the coplanar conformation with the chlorin pi-system, moving the
redmost visible absorption maxima to a shorter wavelength. The model experiments
showed that natural chlorophyll-a carrying the 3-vinyl group would take a similar
rotamer to control its intrinsic site energy.
PMID- 27210435
TI - Synthesis and biological evaluation of 5,7-dihydroxyflavanone derivatives as
antimicrobial agents.
AB - A series of 5,7-dihydroxyflavanone derivatives were efficiently synthesized.
Their antimicrobial efficacy on Gram-negative, Gram-positive bacteria and yeast
were evaluated. Among these compounds, most of the halogenated derivatives
exhibited the best antimicrobial activity against Gram-positive bacteria, the
yeast Saccharomyces cerevisiae, and the Gram-negative bacterium Vibrio cholerae.
The cytotoxicities of these compounds were low as evaluated on HepG2 cells using
a cell viability assay. This study suggests that halogenated flavanones might
represent promising pharmacological candidates for further drug development.
PMID- 27210436
TI - The synthesis and synergistic antifungal effects of chalcones against drug
resistant Candida albicans.
AB - To identify effective and low toxicity synergistic antifungal compounds, 24
derivatives of chalcone were synthesized to restore the effectiveness of
fluconazole against fluconazole-resistant Candida albicans. The minimal
inhibitory concentration (MIC80) and the fractional inhibitory concentration
index (FICI) of the antifungal synergist fluconazole were measured against
fluconazole-resistant Candida albicans. This was done via methods established by
the clinical and laboratory standards institute (CLSI). Of the synthesized
compounds, 2'-hydroxy-4'-methoxychalcone (8) exhibited the most potent in vitro
(FICI=0.007) effects. The structure activity relationship of the compounds are
then discussed.
PMID- 27210437
TI - Withanolides derived from Physalis peruviana (Poha) with potential anti
inflammatory activity.
AB - Three new withanolides, physaperuvin G (1), with physaperuvins I (2), and J (3),
along with seven known derivatives (4-10), were isolated from the aerial parts of
Physalis peruviana. The structures of 1-3 were determined by NMR, X-ray
diffraction, and mass spectrometry. Compounds 1-10 were evaluated in
lipopolysaccharide (LPS)-activated murine macrophage RAW 264.7 cells. Compounds
4, 5, and 10 with potent nitric oxide inhibitory activity in LPS-activated RAW
264.7 cells, with IC50 values in the range of 0.32-7.8MUM. In addition, all
compounds were evaluated for potential to inhibit tumor necrosis factor-alpha
(TNF-alpha)-activated nuclear factor-kappa B (NF-kappaB) activity with
transfected human embryonic kidney cells 293. Compounds 4-7 inhibited TNF-alpha
induced NF-kappaB activity with IC50 values in the range of 0.04-5.6MUM.
PMID- 27210438
TI - Synthesis and biological evaluation of oxindole linked indolyl-pyrimidine
derivatives as potential cytotoxic agents.
AB - In our endeavor towards the development of effective cytotoxic agents, a series
of oxindole linked indolyl-pyrimidine derivatives were synthesized and
characterized by IR, (1)H NMR, (13)C NMR and Mass spectral analysis. All the
newly synthesized target compounds were assessed against PA-1 (ovarian), U-87MG
(glioblastoma), LnCaP (prostate), and MCF-7 (Breast) cancer cell lines for their
cytotoxic potential, with majority of them showing inhibitory activity at low
micro-molar concentrations. Significantly, compound 8e was found to be most
potent amongst all the tested compounds with an IC50 value of (2.43+/-0.29MUM) on
PA-1 cells. The influence of the most active cytotoxic compound 8e on the cell
cycle distribution was assessed on the PA-1 cell line, exhibiting a cell cycle
arrest at the G2/M phase. Moreover, acridine orange/ethidium bromide staining and
annexin V binding assay confirmed that compound 8e can induce cell apoptosis in
PA-1 cells. These preliminary results persuade further investigation on the
synthesized compounds aiming to the development of potential cytotoxic agents.
PMID- 27210439
TI - The Importance of Case Reports.
PMID- 27210440
TI - Saving Nature, Saving Ourselves: The Importance of Wilderness.
PMID- 27210441
TI - Intrapreneurial Leadership: Innovating from Within, Failing Up, and Letting Go.
PMID- 27210442
TI - Templated assembly of medium cyclic ethers via exo-trig nucleophilic cyclization
of cyclopropenes.
AB - A novel method for the assembly of medium heterocycles via an intramolecular
nucleophilic addition to cyclopropenes generated in situ from the corresponding
bromocyclopropanes is described. The exo-trig nucleophilic cyclizations were
shown to proceed very efficiently and in a highly diastereoselective fashion
affording cis-fused bicyclic products possessing 7 to 10-membered medium rings;
starting from a diastereomeric mixtures of bromocyclopropanes.
PMID- 27210443
TI - Phase transitions in hydrophobe/phospholipid mixtures: hints at connections
between pheromones and anaesthetic activity.
AB - The phase behavior of a mixture of a typical insect pheromone (olean) and a
phospholipid (DOPC)/water dispersion is extensively explored through SAXS, NMR
and DSC experiments. The results mimic those obtained with anaesthetics in
phospholipid/water systems. They also mimic the behavior and microstructure of
ternary mixtures of a membrane mimetic, bilayer-forming double chained
surfactants, oils and water. Taken together with recent models for conduction of
the nervous impulse, all hint at lipid involvement and the underlying unity in
mechanisms of pheromone, anaesthetic and hydrophobic drugs, where a local phase
change in the lipid membrane architecture may be at least partly involved in the
transmission of the signal.
PMID- 27210444
TI - Isolation, Structural Elucidation, and Synthesis of Lepteridine From Manuka
(Leptospermum scoparium) Honey.
AB - Manuka honey, made from the nectar of Leptospermum scoparium, has garnered
scientific and economical interest due to its nonperoxide antibacterial activity.
Biomarkers for genuine manuka honey are increasingly in demand due to the
presence of counterfeit manuka honey. This work reports the identification of a
compound previously unreported in manuka honey by HPLC, and determination of the
structure of the as 3,6,7-trimethyllumazine using NMR, MS, IR, and UV/vis
spectroscopy. This assignment was confirmed by total synthesis. The natural
product, renamed lepteridine, was only observed in manuka honeys and could
potentially serve as a biomarker for genuine manuka honey.
PMID- 27210445
TI - Adult T-cell leukemia/lymphoma in donor cells responding to second allogeneic
hematopoietic stem cell transplantation using unrelated cord blood: the Nagasaki
Transplant Group experience.
PMID- 27210446
TI - Sleep on it: Everything will look better in the morning.
PMID- 27210447
TI - Structure-Based Identification, Characterization, and Disruption of Human Securin
Binding SH3 Domains in Lung Cancer.
AB - The human securin is an oncogenic transcription factor that has been found to
promote migration and invasion of lung cancer and many other tumors. The protein
contains a PxxP motif that can be recognized and bound by diverse cellular
partners via Src homology (SH3) domain to regulate biological and pathological
events. The motif is covered by a decapeptide segment (161)LGPPSPVKMP(170)
(SecPeptide) as the potential binding site of SH3 domains. Here, we attempted to
systemically identify the SH3 binding partners of human securin in lung cancer
and to characterize the intermolecular interaction between SecPeptide and the
identified SH3 domains. A bioinformatics protocol that integrated literature
curation, complex structural modeling, and binding affinity analysis was
described to perform systematic search against an array of SH3-containing
proteins involved in lung cancer signaling pathway and, consequently, three
putative domains, namely GRB2, CRK, and RasGAP, were identified that have high
potential to recognize and bind SecPeptide. The molecular mechanism and
biological implication underlying the intermolecular interaction between these
domains and SecPetide were investigated at structural and energetic level.
Surface plasmon resonance assay revealed a high or moderate affinity of
SecPeptide and its two mutants binding to CRK-SH3 domain with dissociation
constants Kd = 79.8, 24.2, and 64.6 uM, respectively.
PMID- 27210448
TI - From the Editor's Perspective....
PMID- 27210449
TI - Influence of peripheral arterial disease on daily living activities in elderly
women.
AB - Aging has been associated with decreases in physical and cognitive functions.
Peripheral artery disease (PAD) has been associated with further impairments in
these functions, especially in women. However, no detailed information is
available indicating whether PAD leads to further impairment in these functions
in elderly women. Thus, the aims of this study were 1) to compare the capacity to
perform daily living activities between elderly women with and without PAD and 2)
to identify the factors related with the performance in daily activities. Twenty
seven elderly women with PAD and 22 elderly non-PAD women were surveyed in a
geriatric hospital. Women aged >=65 years and with no signal of dementia were
included. PAD was identified by the ankle-brachial index <=0.90, whereas elderly
non-PAD women presented ankle-brachial index >1.0. Patients were interviewed to
obtain information regarding basic (Katz questionnaire) and instrumental daily
living activities (Lawton-Brody scale) and performed the mini-mental state
examination, handgrip strength test, and timed up and go tests. PAD and non-PAD
women had similar age, clinical characteristics, handgrip strength test, and
cognitive function (P > 0.05). The capacity to perform basic and instrumental
daily living activities was similar between PAD and non-PAD women (P > 0.05). In
PAD and non-PAD, the instrumental daily living activities were significantly
correlated with cognitive function (r = 0.44, P < 0.05 and r = 0.74 and P < 0.05,
respectively). PAD elderly women present similar capacity to perform basic and
instrumental daily activities than non-PAD women. In addition, in both groups,
the capacity to perform instrumental daily activities was related with cognitive
function.
PMID- 27210450
TI - Clopidogrel loading dose in the management of ST elevation myocardial infarction:
Still a debate!
AB - Clopidogrel as a potent antiplatelet agent is administered in patients with ST
elevation myocardial infarction (STEMI). The efficacy and safety of 2 clopidogrel
loading regimen (300 mg vs 600 mg) in STEMI patients undergoing a primary
percutaneous coronary intervention is still under investigation. The purpose of
this article is to highlight current knowledge and guidelines regarding
clopidogrel loading dose and also time of administration in STEMI setting and
before primary percutaneous coronary intervention.
PMID- 27210451
TI - All about compression: A literature review.
AB - Lower extremity ulcers represent a significant public health problem as they
frequently progress to chronicity, significantly impact daily activities and
comfort, and represent a huge financial burden to the patient and the health
system. The aim of this review was to discuss the best approach for venous leg
ulcers (VLUs). Online searches were conducted in Ovid MEDLINE, Ovid EMBASE, EBSCO
CINAHL, and reference lists and official guidelines. Keywords considered for this
review were VLU, leg ulcer, varicose ulcer, compressive therapy, compression, and
stocking. A complete assessment of the patient's overall health should be
performed by a trained practitioner, focusing on history of diabetes mellitus,
hypertension, dietetic habits, medications, and practice of physical exercises,
followed by a thorough assessment of both legs. Compressive therapy is the gold
standard treatment for VLUs, and the ankle-brachial index should be measured in
all patients before compression application.
PMID- 27210452
TI - A "preamputation care pathway" reduces mortality for lower limb amputation.
AB - Lower limb amputation due to peripheral arterial disease is common. The comorbid
nature of this patient group makes management challenging. The aims of the study
were 1) to introduce a novel "preamputation care pathway" to facilitate
perioperative care and 2) to evaluate whether such a pathway is able to reduce
morbidity and mortality. All patients undergoing lower limb amputation over 12
months were prospectively identified. Patient demographics were recorded before
statistical analysis was performed. Twelve limbs were amputated (mean age, 69
years; mean American Association of Anesthesiologists score, 3.36). The mean time
from presentation to amputation was 16.83 days. Eighty percent of patients were
admitted to a critical care bed postoperatively. The mean time that patients
stayed in a critical care environment was 2.62 days (range, 0-6 days). After a
stay in the critical care unit, 90% of patients were stepped down to a health
care of the elderly ward. One patient died in less than 30 days, representing a
10% 30-day mortality rate. The mean inpatient stay was 47 days (range, 19-121
days). Eighty percent of patients who underwent amputation in less than 10 days
survived to discharge. In contrast, only 25% of patients who underwent amputation
after day 11 survived to discharge (P = .0384). In conclusion, the implementation
of the "preamputation care pathway" has reduced the 30-day mortality rate to 10%.
A similar model of care currently exists for fractured neck of femur patients and
is outlined by the care standards into "Best Practice Tariff" for the care of
fractured neck of femur. A similar model should be implemented for patients
undergoing lower limb amputation.
PMID- 27210453
TI - Review of article: Ozaki C, Hamdan A, Barshes N, Wyers M, Hevelone N, Belkin M,
Nguyen L. Prospective, randomized, multi-institutional clinical trial of a silver
alginate dressing to reduce lower-extremity vascular surgery wound complications.
Society of vascular surgery 2015; 61:419-427.
PMID- 27210454
TI - Sclerotherapy of telangiectasias or spider veins in the lower limb: A review.
PMID- 27210455
TI - The influence of shoe drop on the kinematics and kinetics of children tennis
players.
AB - This study investigated the immediate effects of reducing the shoe drop (i.e. the
difference between the heel and the forefoot height) on the kinematics and
kinetics of the lower extremities of children tennis players performing a tennis
specific movement. Thirteen children tennis players performed a series of
simulated open stance forehands wearing 3 pairs of shoes differing only in the
drop: 0 (D0), 6 (D6) and the control condition of 12 mm (D12). Two embedded
forceplates and a motion capture system were used to analyse the ground reaction
forces and ankle and knee joint angles and moments of the leading lower limb. In
D6 compared with D12, the peak impact force was reduced by 24% (p = .004) and the
ankle was less dorsiflexed at foot strike (p = .037). In D0 compared with D12,
the peak impact force was reduced by 17% (p = .049), the ankle was less
dorsiflexed at foot strike (p = .045) and the knee was more flexed at foot strike
(p = .007). In addition, 4 out of 13 participants (31%) presented a forefoot
strike pattern for some of the trials in D0. No difference was observed across
shoe conditions for the peak knee extensor moment (p = .658) or the peak ankle
plantarflexor moment (p = .071). The results provide preliminary data supporting
the hypothesis that for children tennis players, using a 6-mm lower shoe drop
might reduce heel impact forces and thus limit potentially impact-related
injuries.
PMID- 27210457
TI - Reply to Yu-Wen Hu's Letter to the Editor re: William C. Jackson, Matthew J.
Schipper, Skyler B. Johnson, et al. Duration of Androgen Deprivation Therapy
Influences Outcomes for Patients Receiving Radiation Therapy Following Radical
Prostatectomy. Eur Urol 2016;69:50-7.
PMID- 27210458
TI - Response to the Council of Europe Human Rights Commissioner's Issue Paper on
Human Rights and Intersex People.
AB - Intersex/disorders of sex development advocacy groups and associated health care
professionals question the legitimacy of the Council of Europe issue paper,
express their worries about its potentially harmful consequences, and urge the
Council of Europe to consult more widely with relevant stakeholders.
PMID- 27210459
TI - Reply from Authors re: Christian Leiber, Ulrich Wetterauer. The Cigarette and the
Sperm: A Fatal Liaison? Eur Urol 2016;70:646-7: The Cigarette and the Sperm:
Causality Dilemma no more?
PMID- 27210456
TI - A comparison of biophysical characterization techniques in predicting monoclonal
antibody stability.
AB - With the rapid growth of biopharmaceutical product development, knowledge of
therapeutic protein stability has become increasingly important. We evaluated
assays that measure solution-mediated interactions and key molecular
characteristics of 9 formulated monoclonal antibody (mAb) therapeutics, to
predict their stability behavior. Colloidal interactions, self-association
propensity and conformational stability were measured using effective surface
charge via zeta potential, diffusion interaction parameter (kD) and differential
scanning calorimetry (DSC), respectively. The molecular features of all 9 mAbs
were compared to their stability at accelerated (25 degrees C and 40 degrees C)
and long-term storage conditions (2-8 degrees C) as measured by size exclusion
chromatography. At accelerated storage conditions, the majority of the mAbs in
this study degraded via fragmentation rather than aggregation. Our results show
that colloidal stability, self-association propensity and conformational
characteristics (exposed tryptophan) provide reasonable prediction of accelerated
stability, with limited predictive value at 2-8 degrees C stability. While no
correlations to stability behavior were observed with onset-of-melting
temperatures or domain unfolding temperatures, by DSC, melting of the Fab domain
with the CH2 domain suggests lower stability at stressed conditions. The
relevance of identifying appropriate biophysical assays based on the primary
degradation pathways is discussed.
PMID- 27210460
TI - Reply to Dino Papes, Ana Jeroncic's Letter to the Editor re: Giuseppe Magistro,
Florian M.E. Wagenlehner, Magnus Grabe, Wolfgang Weidner, Christian G. Stief, J.
Curtis Nickel. Contemporary Management of Chronic Prostatitis/Chronic Pelvic Pain
Syndrome. Eur Urol 2016;69:286-97.
PMID- 27210461
TI - Number of Screening Rounds and Postscreening Prostate Cancer Incidence: Results
from the Finnish Section of the European Randomized Study of Screening for
Prostate Cancer Study.
AB - BACKGROUND: The multicenter European Randomized Study of Screening for Prostate
Cancer has shown a 21% reduction in prostate cancer (PC) mortality by prostate
specific antigen-based screening, with substantial overdiagnosis. In the present
study, we analyzed the incidence of PC after screening in relation to the number
of screening rounds attended in the Finnish section of the trial. OBJECTIVE: To
evaluate the possible reduction in PC incidence following completed screening
cycles in relation to the number of screening rounds attended. DESIGN, SETTING,
AND PARTICIPANTS: The participants in the screening arm of the Finnish screening
trial (29 298 men) were divided into subgroups of men who had participated at
one, two, or three screening rounds. A reference group was formed of the 43 151
men in the control arm by selecting age-matched controls for each subgroup of the
screening participants. PC cases diagnosed after screening were identified from
the Finnish Cancer Registry until the end of 2011. Follow-up of the screened men
started 12 mo (365 d) after the last screening attendance and a similar date was
assigned to the men in the control arm. RESULTS AND LIMITATIONS: A total of 1514
new PCs cases (cumulative incidence 5.2%) were diagnosed among the screened men
after the last screening attendance. In the reference group formed from the
control arm, 2683 cases (6.2%) occurred. The hazard ratio (HR) for PC among
nonparticipants in the screening arm was 0.89 (95% confidence interval [CI] 0.79
0.99) compared with their controls. Among participants, the HR in those who
participated once was 1.39 (95% CI 1.22-1.57), among men who participated twice
the HR was 0.97 (95% CI 0.86-1.10), and among men screened three times the HR was
0.57 (95% CI 0.49-0.68). A limitation of the study was that the comparison by
attendance is not based on randomization. CONCLUSIONS: The postscreening PC
incidence is reduced after attending three screening rounds, but not after only
one or two rounds. Thus, the increased cancer detection at screening is
compensated by a subsequent risk reduction only after repeated screening cycles.
PATIENT SUMMARY: The results of the study indicate that at least three prostate
specific antigen-based screening cycles are needed to reduce subsequent prostate
cancer incidence.
PMID- 27210462
TI - Reply from Authors re: Roderick C.N. van den Bergh, Declan G. Murphy, Henk G. van
der Poel. Expectant Management for Prostate Cancer: Lessons from the Past,
Challenges for the Future. Eur Urol 2016;70:767-8.
PMID- 27210463
TI - Self-reported skin morbidity in Denmark: a population-based cross-sectional
study.
AB - Skin diseases are thought to be common in the general population. In 2004, a
cross-sectional study in Norway, using a validated questionnaire for 18,770
individuals, revealed a high prevalence of skin diseases in the general
population. To describe the prevalence of self-reported skin morbidities and
possible socio-demographic and economic associations in the Danish general
population, and furthermore compare this data to that reported in Norway. A
population-based cross-sectional study of the adult Danish suburban population
was performed. Participants (n = 20,164) completed the Norwegian validated
questionnaire. In total, 17.2% self-reported skin complaints. The most prominent
self-reported skin complaint was itch with an overall prevalence of 6.5%. The
skin morbidity most influenced by age was pimples. There was a uniform pattern
showing fewer skin complaints with increasing education. Women reported skin
morbidities more frequently than men. Participants in employment reported fewer
skin morbidities compared to unemployed participants. Skin morbidities in Denmark
are common, and the distribution of prevalence estimates in the Danish population
parallel those of the Norwegian population, although the prevalence is generally
higher in Norway. This difference may be attributed to climatic differences, and
age, education, sex, and employment status may also be influential.
PMID- 27210464
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): Epidemiology of psychiatric/behavioural disorder in children with
epilepsy.
AB - Psychiatric/behavioural problems can have a major effect on quality of life.
Epidemiological studies in Europe, Scandinavia and the USA have confirmed a high
rate of psychiatric disorder in children with epilepsy, typically around 35 to
50%. In children with additional impairment, particularly those with intellectual
disability, the rates are even higher, over 50%. Determining the causes of these
high rates and deciding on the best strategies, either for prevention or for
optimal management, remain major challenges.
PMID- 27210466
TI - Cortisol Excess and the Brain.
AB - Until the last decade, little was known about the effects of chronic
hypercortisolism on the brain. In the last few years, new data have arisen thanks
to advances in imaging techniques; therefore, it is now possible to investigate
brain activity in vivo. Memory impairments are present in patients with Cushing's
syndrome (CS) and are related to hippocampal damage; functional dysfunctions
would precede structural abnormalities as detected by brain imaging. Earlier
diagnosis and rapid normalization of hypercortisolism could stop the progression
of hippocampal damage and memory impairments. Impairments of executive functions
(including decision-making) and other functions such as visuoconstructive skills,
language, motor functions and information processing speed are also present in CS
patients. There is controversy concerning the reversibility of brain impairment.
It seems that longer disease duration and older age are associated with less
recovery of brain functioning. Conversely, earlier diagnosis and rapid
normalization of hypercortisolism appear to stop progression of brain damage and
functional impairments. Moreover, brain tissue functioning and neuroplasticity
can be influenced by many factors. Currently available studies appear to be
complementary, evaluating the same phenomenon from different points of view, but
are often not directly comparable. Finally, CS patients have a high prevalence of
psychopathology, such as depression and anxiety which do not completely revert
after cure. Thus, psychological or psychiatric evaluation could be recommended in
CS patients, so that treatment may be prescribed if required.
PMID- 27210465
TI - Malignancy in dermatomyositis and polymyositis: analysis of 192 patients.
AB - This study aims to investigate the prevalence and predictive risk factors of
malignancy in patients with polymyositis (PM) and dermatomyositis (DM). The
medical records of 192 PM/DM patients followed up in a medical center between
January 2000 and December 2013 were reviewed. Among the 192 patients, 33 patients
(17.2 %) had associated cancer. Both PM and DM are significantly associated with
cancer, although the risk of cancer appears to be somewhat higher among patients
with DM (23.0 %) than among those with PM (8.9 %). Nasopharyngeal cancer (30.3 %)
and breast cancer (18.2 %) comprised the most common malignant diseases
associated with PM/DM. Univariate analysis showed that an older age at PM/DM
onset, heliotrope rash, Gottron's sign, dysphagia, and low creatine phosphokinase
(CPK) level were associated with increased malignancy. Multivariate analysis
revealed that independent predictors of malignancy in PM/DM were age >40 years at
PM/DM onset (adjusted OR 3.44; 95 % CI 1.08-10.98; p = 0.037) and heliotrope rash
(adjusted OR 2.96; 95 % CI 1.04-8.43; p = 0.042). During the follow-up period, 66
(34.4 %) patients died and the overall patient survival rates were 83.1 % at 1
year, 78.9 % at 2 years, 74.2 % at 5 years, and 65.5 % at 10 years. This study
demonstrates a high frequency of malignancy (17.2 %) in DM/PM patients.
Nasopharyngeal cancer and breast cancer were the most common cancer types in
DM/PM patients in our study. Cancer screening should be offered to patients with
newly diagnosed DM/PM. Moreover, all patients should be evaluated for the
possibility of an underlying malignancy during treatment.
PMID- 27210467
TI - Peripheral ENO1-specific T cells mirror the intratumoral immune response and
their presence is a potential prognostic factor for pancreatic adenocarcinoma.
AB - Pancreatic ductal adenocarcinoma (PDAC) is an aggressive disease with an average
survival of 4-6 months following diagnosis. Surgical resection is the only
treatment with curative intent, but resectable PDAC patients are in the minority.
Also, unlike other neoplasms, PDAC is resistant to conventional and targeted
chemotherapy. Innovative treatments, such as immunotherapy, can be very important
and the study of the immune response is fundamental. We previously demonstrated
that PDAC patients show tumor-infiltrating T cells specific to alpha-enolase
(ENO1), a glycolytic enzyme over-expressed by pancreatic tumor cells, which plays
an important role in promoting cell migration and cancer metastasis. In the
present study, we evaluate the functional anticancer proprieties of ENO1-specific
T cells isolated from the peripheral blood of PDAC patients. Furthermore,
comparing the T cell receptor repertoire of ENO1-specific peripheral and
infiltrating tumor T cells from the same patient suggests that ENO1-specific T
cells, despite having a different functional profile, can recirculate from the
tumor to the periphery. Finally, of clinical relevance, the presence of
peripheral ENO1-specific T cells has a prognostic value and significantly
correlates with a longer survival.
PMID- 27210468
TI - A 20-year experience with isolated pericardiectomy: Analysis of indications and
outcomes.
AB - OBJECTIVES: Outcome after pericardiectomy depends on many factors, but no large
study has provided clarity on the effects of patient variables or cause of
pericarditis on patient survival. We report early and late results from a 20-year
experience with isolated pericardiectomy. METHODS: From January 1993 to December
2013, 938 patients underwent pericardiectomy at our institution. In order to
establish a homogeneous population to analyze the impact of pericardiectomy, we
excluded patients with prior chest radiation, malignancy, and concomitant
valvular or coronary procedures. We identified a cohort of 521 who underwent
isolated pericardiectomy; of these, 513 patients gave consent for research and
comprise the cohort for this analysis; median age at operation was 57 years
(range, 18-84 years) and 363 (71%) were men. Indications for pericardiectomy were
effusive/chronic relapsing pericarditis in 158 (31%) and pericardial constriction
in 355 (69%). Prior coronary artery bypass grafting had been performed in 84
patients (14%). Median preoperative left ventricular ejection fraction was 60%
(range, 24%-80%), and 77% of patients were in New York Heart Association (NYHA)
functional class III/IV. RESULTS: Surgical approach was median sternotomy in 412
(80%), left thoracotomy in 71 (14%), and clamshell in 30 (5%). Extent of
pericardial resection was radical in 414 (81%), subtotal in 71 (14%), and
completion in 28 (5%). Cardiopulmonary bypass was used in 205 (40%). Overall
mortality was 12/513 (2.3%); 3/158 (1.9%) for the effusive/chronic relapsing
group versus 9/355 (2.5%) for the constriction group (P = .65). In the absence of
multivariate predictors, which could not be identified, univariate predictors
associated with increased risk of early death included lower left ventricular
ejection fraction (hazard ratio [HR], 1.09; P = .03) and preoperative renal
insufficiency (HR, 9.9; P < .001). Median duration of follow-up was 29 months
(maximum 20.5 years) and overall 5-, 10-, and 15-year survival was 80%, 60%, and
38%, respectively. Overall survival according to surgical indication was higher
in the effusive/chronic relapsing group when compared with the constriction
cohort (P < .001). Independent predictors associated with increased risk of
overall mortality identified on multivariate analysis included older age (HR,
1.05; 95% confidence interval [CI], [1.03, 1.07]; P < .001), congestive heart
failure (HR, 1.49; 95% CI, [1.03, 2.2]; P = .02), diabetes (HR, 1.83; 95% CI,
[1.2, 2.7]; P = .004), completion pericardiectomy (HR, 2.4; 95% CI, [1.2, 4.7]; P
= .01), and chronic obstructive pulmonary disease (HR, 2.45; 95% CI, [1.5, 3.9];
P = .004). During the follow-up period, 80% of patients were free from NYHA
functional class III/IV symptoms at 5 years and 78% at 10 years. CONCLUSIONS:
Whereas early mortality after isolated pericardiectomy is low irrespective of the
indication for surgery, late follow-up demonstrates better outcomes after
pericardiectomy for effusive/chronic relapsing pericarditis compared with
pericardial constriction. Importantly, the majority of patients were free from
significant heart failure symptoms during follow-up.
PMID- 27210469
TI - HeartMate 3: Facing the challenge of past success.
PMID- 27210470
TI - Discussion.
PMID- 27210471
TI - The false lumen: Not a forgotten problem.
PMID- 27210472
TI - Aortic arch anomalies in PHACE syndrome: An individualized approach to an unusual
problem.
PMID- 27210473
TI - Lung torsion: Some answers but more questions.
PMID- 27210474
TI - Impact of retained blood requiring reintervention on outcomes after cardiac
surgery.
AB - OBJECTIVES: Evacuation of shed blood from around the heart and lungs is a
critical requirement for patients in early recovery after cardiac surgery.
Incomplete evacuation of shed blood can result in retained blood, which may
require subsequent reinterventions to facilitate recovery. The purpose of this
study was to determine the incidence of retained blood requiring reintervention
and examine the impact on outcomes. METHODS: We performed a cross-sectional,
observational study of all adult patients undergoing cardiac surgery between 2006
and 2013. Subjects who required an intervention to remove blood, blood clot, or
bloodily fluid were attributed to the retained blood group. These patients were
compared with those not presenting with any of the defined criteria for retained
blood. Multivariate regression was performed to account for confounders. RESULTS:
Of 6909 adult patients who underwent cardiac surgery, 1316 (19%) presented with a
retained blood-related condition. Retained blood was associated with increased in
hospital mortality (odds ratio [OR], 4.041; 95% confidence interval [CI], 2.589
6.351, P < .001) and a length of stay more than 13 days in the hospital (OR,
3.853; 95% CI, 2.882-5.206; P < .001) and 5 days in the intensive care unit (OR,
4.602; 95% CI, 3.449-6.183; P < .001). The OR for a time of ventilation greater
than 23 hours was 3.596 (95% CI, 2.690-4.851; P < .001) and for incidence of
renal replacement therapy was 4.449 (95% CI, 3.188-6.226; P < .001). CONCLUSIONS:
Postoperative retained blood is a common outcome and associated with higher in
hospital mortality, longer intensive care unit and hospital stay, and higher
incidence of renal replacement therapy. Further research is needed to validate
these results and explore interventions to reduce these complications.
PMID- 27210475
TI - Voice Self-assessment Protocols: Different Trends Among Organic and Behavioral
Dysphonias.
AB - OBJECTIVES: This study aimed to correlate the results of five self-assessment
instruments for patients with behavioral or organic dysphonia (OD), and to
analyze their relationship with listeners' judgments of degree of voice severity
and predominant type of voice deviation. STUDY DESIGN: This is a cross-sectional
prospective study. METHODS: A total of 103 patients (77 with behavioral
dysphonia, 26 with OD) completed the Brazilian validated versions of five
instruments: Voice Handicap Index (VHI), Voice-Related Quality of Life, Vocal
Performance Questionnaire, Voice Symptom Scale (VoiSS), and Vocal Tract
Discomfort Scale. Voice samples were collected for auditory-perceptual analysis.
Correlations were made among protocols, and between these instruments and the
perceptual analysis. RESULTS: None of the instruments correctly identified 100%
of the dysphonic individuals. The VoiSS identified 100 of the 103 subjects.
Numerous correlations were found with variable strength. The strongest
correlation was between frequency and severity scales of the Vocal Tract
Discomfort Scale (r = 0.946) and the total score of the VHI and VoiSS (r =
0.917). Correlations between the instruments and the perceptual analysis achieved
only moderate strength; the VHI, the Voice-Related Quality of Life, and the VoiSS
showed the highest correlations with counting numbers task, particularly for OD.
The predominant type of voice deviation did not influence the score of the
protocols. CONCLUSIONS: None of the self-assessment instruments is capable of
identifying all cases of dysphonia. However, they are important in assessing the
impact of voice problem on quality of life. Patient self-assessment and clinician
perceptual evaluation share only moderate correlations, with higher strength for
counting numbers task in comparison with sustained vowel.
PMID- 27210476
TI - Validation and Evaluation of the Effects of Semi-Occluded Face Mask Straw
Phonation Therapy Methods on Aerodynamic Parameters in Comparison to Traditional
Methods.
AB - OBJECTIVES/HYPOTHESIS: Traditional semi-occluded vocal tract therapies have the
benefit of improving vocal economy but, do not allow for connected speech during
rehabilitation. In this study, we introduce a semi-occluded face mask (SOFM) as
an improvement upon current methods. This novel technique allows for normal
speech production, and will make the transition to everyday speech more natural.
We hypothesize that use of an SOFM will lead to the same gains in vocal economy
seen in traditional methods. STUDY DESIGN: Repeated measures excised canine
larynx bench experiment with each larynx subject to controls and a randomized
series of experimental conditions. METHODS: Aerodynamic data were collected for
30 excised canine larynges. The larynges were subjected to conditions including a
control, two tube extensions (15 and 30 cm), and two tube diameters (6.5 and 17
mm) both with and without the SOFM. Results were compared between groups and
between conditions within each group. RESULTS: No significant differences were
found between the phonation threshold pressure and phonation threshold flow
measurements obtained with or without the SOFM throughout all extension and
constriction levels. Significant differences in phonation threshold pressure and
phonation threshold flow were observed when varying the tube diameter while the
same comparison for varying the tube length at least trended toward significance.
CONCLUSIONS: This study suggests that a SOFM can be used to elicit the same gains
in vocal economy as what has been seen with traditional semi-occluded vocal tract
methods. Future studies should test this novel technique in human subjects to
validate its use in a clinical setting.
PMID- 27210477
TI - Emotional and Cognitive Variables Associated with Contamination-Related Obsessive
Compulsive Symptoms.
AB - Different variables have been associated with the development/ maintenance of
contamination-related obsessive-compulsive disorder (OCD), although the relevance
of these factors has not been clearly established. The present study aimed to
analyze the relevance and specificity of these variables. Forty-five women with
high scores on obsessive-compulsive contamination symptoms (n = 16) or checking
symptoms (n = 15), or non-clinical scores (n = 14) participated in a behavioral
approach/avoidance task (BAT) with a contamination-OCD stimulus. Vulnerability
variables and participants' emotional, cognitive, physiological and behavioral
responses to the BAT were appraised. Results show that fear of illness was a
relevant vulnerability variable specific to contamination participants (p = .001;
eta2 p = .291). Contamination participants responded with significantly higher
subjective disgust (p =.001; eta2 p = .269), anxiety (p = .001; eta2 p = .297),
urge to wash (p < .001; eta2 p = 370), threat from emotion (p < .001; eta2 p =
.338) and contamination severity (p = .002; eta2 p = .260) appraisals, and with
lower behavioral approach (p = .008; eta2 p = .208) than the other two groups.
Moreover, contamination participants showed lower heart rate acceleration (p =
.046; eta2 p = .170) and higher contamination likelihood appraisals (p < .001;
eta2 p = .342) than the non-clinical group. Urge to wash was predicted by state
disgust (R 2 change = .346) and threat from emotion (R 2 change = .088). These
responses were predicted by general anxiety sensitivity (R 2 change = .161),
disgust propensity (R 2 change = .255) and fear of illness (R 2 change = .116),
but not by other vulnerability variables such as dysfunctional beliefs about
thoughts (Responsibility and Overestimation of threat) or disgust sensitivity.
State disgust, threat from disgust, anxiety sensitivity and fear of illness were
found to be the most relevant variables in contamination symptoms.
PMID- 27210478
TI - Abrogation of collagen-induced arthritis by a peptidyl arginine deiminase
inhibitor is associated with modulation of T cell-mediated immune responses.
AB - Proteins containing citrulline, a post-translational modification of arginine,
are generated by peptidyl arginine deiminases (PAD). Citrullinated proteins have
pro-inflammatory effects in both innate and adaptive immune responses. Here, we
examine the therapeutic effects in collagen-induced arthritis of the second
generation PAD inhibitor, BB-Cl-amidine. Treatment after disease onset resulted
in the reversal of clinical and histological changes of arthritis, associated
with a marked reduction in citrullinated proteins in lymph nodes. There was
little overall change in antibodies to collagen or antibodies to citrullinated
peptides, but a shift from pro-inflammatory Th1 and Th17-type responses to pro
resolution Th2-type responses was demonstrated by serum cytokines and antibody
subtypes. In lymph node cells from the arthritic mice treated with BB-Cl-amidine,
there was a decrease in total cell numbers but an increase in the proportion of
Th2 cells. BB-Cl-amidine had a pro-apoptotic effect on all Th subsets in vitro
with Th17 cells appearing to be the most sensitive. We suggest that these
immunoregulatory effects of PAD inhibition in CIA are complex, but primarily
mediated by transcriptional regulation. We suggest that targeting PADs is a
promising strategy for the treatment of chronic inflammatory disease.
PMID- 27210480
TI - Phenolic compounds from Glycyrrhiza pallidiflora Maxim. and their cytotoxic
activity.
AB - Twenty-one phenolic compounds (1-21) including dihydrocinnamic acid,
isoflavonoids, flavonoids, coumestans, pterocarpans, chalcones, isoflavan and
isoflaven, were isolated from the roots of Glycyrrhiza pallidiflora Maxim.
Phloretinic acid (1), chrysin (6), 9-methoxycoumestan (8), isoglycyrol (9), 6"-O
acetylanonin (19) and 6"-O-acetylwistin (21) were isolated from G. pallidiflora
for the first time. Isoflavonoid acetylglycosides 19, 21 might be artefacts that
could be produced during the EtOAc fractionation process of whole extract.
Compounds 2-4, 10, 11, 19 and 21 were evaluated for their cytotoxic activity with
respect to model cancer cell lines (CEM-13, MT-4, U-937) using the conventional
MTT assays. Isoflavonoid calycosin (4) showed the best potency against human T
cell leukaemia cells MT-4 (CTD50, 2.9 MUM). Pterocarpans medicarpin (10) and
homopterocarpin (11) exhibit anticancer activity in micromolar range with
selectivity on the human monocyte cells U-937. The isoflavan (3R)-vestitol (16)
was highly selective on the lymphoblastoid leukaemia cells CEM-13 and was more
active than the drug doxorubicin.
PMID- 27210479
TI - A comparative evaluation of treatments with 17beta-estradiol and its brain
selective prodrug in a double-transgenic mouse model of Alzheimer's disease.
AB - Estrogens are neuroprotective and, thus, potentially useful for the therapy of
Alzheimer's disease; however, clinical use of hormone therapy remains
controversial due to adverse peripheral effects. The goal of this study was to
investigate the benefits of treatment with 10beta,17beta-dihydroxyestra-1,4-dien
3-one (DHED), a brain-selective prodrug of 17beta-estradiol, in comparison with
the parent hormone using APPswe/PS1dE9 double transgenic mice to model the
pathology of the disease. Ovariectomized and intact females were continuously
treated with vehicle, 17beta-estradiol, or DHED via subcutaneous osmotic pumps
from 6 to 8months of age. We confirmed that this prolonged treatment with DHED
did not stimulate uterine tissue, whereas 17beta-estradiol treatment increased
uterine weight. Amyloid precursor protein decreased in both treatment groups of
intact, but not in ovariectomized double transgenic females in which ovariectomy
already decreased the expression of this protein significantly. However, reduced
brain amyloid-beta peptide levels could be observed for both treatments.
Consequently, double-transgenic ovariectomized and intact mice had higher
cognitive performance compared to untreated control animals in response to both
estradiol and DHED administrations. Overall, the tested brain-selective 17beta
estradiol prodrug proved to be an effective early-stage intervention in an
Alzheimer's disease-relevant mouse model without showing systemic impact and,
thus, warrants further evaluation as a potential therapeutic candidate.
PMID- 27210481
TI - Revisited Mechanistic Implications of the Joullie-Ugi Three-Component Reaction.
AB - The effect of the solvent on the diastereoselectivity of the Joullie-Ugi three
component reaction (JU-3CR) using an alpha-substituted five-membered cyclic imine
is revisited. The cis and trans isomers were generated in toluene and HFIP,
respectively. Hammett analysis of the JU-3CR suggests the presence of two
reaction mechanisms.
PMID- 27210482
TI - Reversible hearing loss following cryptococcal meningitis: case study.
AB - BACKGROUND: Sensorineural hearing loss is a recognised complication of
cryptococcal meningitis. The mechanism of hearing loss in patients with
cryptococcal meningitis is different from that in bacterial meningitis. CASE
REPORT: An immune-competent man with cryptococcal meningitis presented with
sudden onset, bilateral, severe to profound sensorineural hearing loss and
vestibular dysfunction. He was initially evaluated for cochlear implantation.
However, he had a significant recovery; he no longer required surgery and was
able to cope without a hearing aid. CONCLUSION: Typically, cochlear implantation
is performed with some urgency in patients with hearing loss post-bacterial
meningitis, because of the risk of labyrinthitis ossificans. However, this
process has not been described in patients with cryptococcal meningitis.
Furthermore, patients with hearing loss associated with cryptococcal meningitis
have shown varying degrees of reversibility. In this case report, hearing loss
from cryptococcal meningitis is compared with that from bacterial meningitis, and
the need for cochlear implantation in patients with cryptococcal meningitis is
discussed.
PMID- 27210484
TI - [Scalp discoid lupus erythematosus: Dermatopathologic-dermatoscopic correlation].
PMID- 27210485
TI - [Cutaneous and mucosal acanthosis nigricans and pachydermatoglyphy of
paraneoplastic origin].
PMID- 27210483
TI - Proline-rich tyrosine kinase 2 via enhancing signal transducer and activator of
transcription 3-dependent cJun expression mediates retinal neovascularization.
AB - Despite the involvement of proline-rich tyrosine kinase 2 (Pyk2) in endothelial
cell angiogenic responses, its role in pathological retinal angiogenesis is not
known. In the present study, we show that vascular endothelial growth factor A
(VEGFA) induces Pyk2 activation in mediating human retinal microvascular
endothelial cell (HRMVEC) migration, sprouting and tube formation. Downstream to
Pyk2, VEGFA induced signal transducer and activator of transcription 3 (STAT3)
activation and cJun expression in the modulation of HRMVEC migration, sprouting
and tube formation. Consistent with these observations, hypoxia induced
activation of Pyk2-STAT3-cJun signaling axis and siRNA-mediated downregulation of
Pyk2, STAT3 or cJun levels substantially inhibited hypoxia-induced retinal
endothelial cell proliferation, tip cell formation and neovascularization.
Together, these observations suggest that activation of Pyk2-mediated STAT3-cJun
signaling is required for VEGFA-induced HRMVEC migration, sprouting and tube
formation in vitro and hypoxia-induced retinal endothelial cell proliferation,
tip cell formation and neovascularization in vivo.
PMID- 27210486
TI - [Pyemotes dermatitis].
PMID- 27210487
TI - Animal Assisted Therapy and Trauma Survivors.
AB - Animal therapy is making strides in the treatment of posttraumatic stress
disorder (PTSD). For years, animals have been used with great benefit in the
treatment of the aged and the terminally ill. Now animal assisted therapy is
benefitting sufferers of PTSD. The results of animal assisted therapy in the
treatment of PTSD patients have seen significant results. In one study of the
effect of dogs with patients, psychologists noted an 82% reduction in symptoms.
One particular case noted that interacting with the dog for as little as one
week, enabled a patient to decrease the amount of anxiety and sleep medications
by half.
PMID- 27210488
TI - Dairy animal health - turning problems into opportunities.
PMID- 27210489
TI - Engineering to support wellbeing of dairy animals.
AB - Current trends in the global milk market and the recent abolition of milk quotas
have accelerated the trend of the European dairy industry towards larger farm
sizes and higher-yielding animals. Dairy cows remain in focus, but there is a
growing interest in other dairy species, whose milk is often directed to
traditional and protected designation of origin and gourmet dairy products. The
challenge for dairy farms in general is to achieve the best possible standards of
animal health and welfare, together with high lactational performance and minimal
environmental impact. For larger farms, this may need to be done with a much
lower ratio of husbandry staff to animals. Recent engineering advances and the
decreasing cost of electronic technologies has allowed the development of
'sensing solutions' that automatically collect data, such as physiological
parameters, production measures and behavioural traits. Such data can potentially
help the decision making process, enabling early detection of health or wellbeing
problems in individual animals and hence the application of appropriate
corrective husbandry practices. This review focuses on new knowledge and emerging
developments in welfare biomarkers (e.g. stress and metabolic diseases), activity
based welfare assessment (e.g. oestrus and lameness detection) and sensors of
temperature and pH (e.g. calving alert and rumen function) and their combination
and integration into 'smart' husbandry support systems that will ensure optimum
wellbeing for dairy animals and thereby maximise farm profitability. Use of novel
sensors combined with new technologies for information handling and communication
are expected to produce dramatic changes in traditional dairy farming systems.
PMID- 27210490
TI - Comparison of different measuring methods for body temperature in lactating cows
under different climatic conditions.
AB - The aim of the research described here was to compare different methods of body
temperature (BT) measurements in dairy cows. It was hypothesised that reticular
temperature (RET) values reflect the physiological status of the animals in an
equivalent way to rectal (RT) and vaginal (VT) measurements. RT, VT and RET
temperatures of twelve lactating Holstein-Friesian cows were measured over five
consecutive days in June and October 2013. While RT and VT were manually measured
three times a day, RET was automatically recorded at 10 min intervals using a
bolus in the reticulum. For comparison with RT and VT, different RET values were
used: single values at the respective recording times (RET-SIN), and mean (RET
MEAN) and median (RET-MED) values of 2 h prior to RT and VT measurements.
Overall, body temperatures averaged 38.1 +/- 0.6, 38.2 +/- 0.4, 38.7 +/- 0.9,
38.5 +/- 0.7 and 38.7 +/- 0.5 degrees C for RT, VT, RET-SIN, RET-MEAN and RET
MED, respectively. RT and VT were lower than all RET measurements, while RET-SIN
and RET-MED were higher than RET-MEAN (P < 0.001). RET-MEAN and RET-MED values
were higher in the morning, whereas RT and VT were greatest in the evening (P <
0.001). Overall, records of RT and VT were strongly correlated (r = 0.75; P <
0.001). In contrast to RET-SIN and RET-MEAN, RET-MED was higher correlated to RT
and VT. In June, coefficients were higher between all methods than in October.
Relation of barn T to RT and VT was stronger when compared to RET measurements.
RET-SIN was higher correlated to barn T than RET-MEAN or RET-MED. Correlation
between VT and barn T was strongest (r = 0.48; P < 0.001). In summary, RET-MED
showed highest correlation with VT and RT. However, single RET measurements
(influenced by water or feed intake) can lead to extreme variations and
differences to single VT and RT values.
PMID- 27210491
TI - Effects of automatic cluster removal and feeding during milking on milking
efficiency, milk yield and milk fat quality.
AB - In order to increase milking efficiency, the effects of two different cluster
take-off levels (200 and 800 g/min) and feeding vs. not feeding during milking
were tested in a Latin square design study including 32 cows. Milk yield, milking
time, milk flow and milking interval were measured and milk samples were analysed
for gross composition, sodium and potassium concentration, free fatty acid (FFA)
content, milk fat globule (MFG) size, MFG membrane (MFGM) material and fatty acid
composition. Residual milk was harvested to evaluate udder emptying. Increasing
the take-off level from 200 to 800 g/min at the whole udder level decreased
milking time and increased harvest flow. Udder emptying decreased slightly, but
there were no effects on milk yield, FFA content or MFGM. There were interactive
effects of take-off level and feeding during milking on content of fatty acids
C4:0, C6:0, C16:0, C18:3(n-3) and C20:0. Feeding during milking increased milk
yield per day and decreased milking interval. Sodium and potassium concentrations
in milk were unaffected by treatments, indicating no loss of tight junction
integrity. From these results, it is clear that feeding during milking should be
used to increase milk yield and improve milking efficiency, regardless of take
off level used, and that the effect of feeding is more pronounced when a low take
off level is used. Feeding seemed to counteract the effects of the low take-off
level on milking time and milking interval. Low take-off levels can therefore be
used in combination with feeding.
PMID- 27210492
TI - Effect of a multi-sided concave liner barrel design on thickness and roughness of
teat-end hyperkeratosis.
AB - In a round liner barrel, the force of the closing liner is transferred by the two
opposite sides of the liner wall to the teat apex. Liners with a multi-sided
barrel shape close at three or more planes and distribute their force to a larger
area of the teat apex. The objective of the study was to investigate effects of a
liner with a multi-sided concave barrel design on the degree of teat-end
hyperkeratosis, thickness and roughness, and on the time delay until thickness or
roughness of teat-end hyperkeratosis responded to the experimental liner. The
investigations were done on two dairy farms, one in USA and one in Germany. A
split-udder arrangement of liners was used, and control treatment was a liner
with round barrel shape. The test period comprised 14 weeks in the first study
and 16 weeks in the second study. Thickness of teat-end hyperkeratosis was
influenced by farm and test week. Roughness was influenced by farm, test week and
treatment. In the first study, the incidence of rough teat-end hyperkeratosis was
about 28 and 42% lower in teats milked with the experimental liner than in teats
milked with the control liner by test weeks 11 and 14, respectively. In the
second study, incidence of rough teat-end hyperkeratosis was rare in general, and
in addition hardly occurred in teats milked with the experimental liner. The
results indicate that the barrel design of the experimental liner causes similar
effects on different farms but magnitude of the effect depends on initial
incidence of teat end hyperkeratosis in the herd.
PMID- 27210493
TI - The impact of crossbreeding Egyptian and Italian buffalo on milk yield and
composition under subtropical environmental conditions.
AB - Buffalo are the second most valuable species in the world for milk production and
their milk prices have been based on fat and protein composition. The aim of the
paper was to compare the milk yield and composition of pure Egyptian buffalo (EB)
and their crosses with the Italian buffalo and to investigate the impact of
temperature humidity index (THI) on milk yield and composition under subtropical
stressful conditions. 516 lactating buffalo were used (152 EB; 176 F1 crosses 50%
EB and 50% Italian buffalo and 188 back cross (BC) 75% EB and 25% Italian
buffalo). The results revealed that, milk yield (5.79 and 10.32%) and peak yield
(6.36 and 7.67%) were significantly higher in F1 and BC than in EB, respectively.
BC had 7.74 and 3.67% significantly higher daily yield when compared with EB and
F1, respectively. EB were robust in the hot condition as the only reduction was
in the peak of milk production from 15.02 in low THI to 13.72 kg in high THI, but
fat and total solids%, were increased from 5.61 and 16.31 THI in low to 7.01 and
17.59 in high THI, respectively. BC was similar to some extent to EB as their
milk was similar to EB under sever hot climate conditions (2331.92 and 2327.50
kg, respectively). A statistically significant reduction in the average daily
milk yields was detected only in F1 from 10.33 to 8.38 kg in low and high THI
level, respectively. The current study showed that BC produced a higher milk with
higher daily average milk yield and peak yield with some evidence of robust under
sever hot condition which were approximately similar to EB. Thus, it is
recommended to encourage the producers to increase the number of BC animals in
their farm for improving the milk production to fulfil the demand of Egyptian
markets.
PMID- 27210494
TI - N-acetyl -beta-D-glucosaminidase activity in cow milk as an indicator of
mastitis.
AB - Activity of lysosomal N-acetyl-beta-d-glucosaminidase (NAGase) in milk has been
used as an indicator of bovine mastitis. We studied NAGase activity of 808 milk
samples from healthy quarters and quarters of cows with spontaneous subclinical
and clinical mastitis. Associations between milk NAGase activity and milk somatic
cell count (SCC), mastitis causing pathogen, quarter, parity, days in milk (DIM)
and season were studied. In addition, the performance of NAGase activity in
detecting clinical and subclinical mastitis and distinguishing infections caused
by minor and major bacteria was investigated. Our results indicate that NAGase
activity can be used to detect both subclinical and clinical mastitis with a high
level of accuracy (0.85 and 0.99). Incomplete correlation between NAGase activity
and SCC suggests that a substantial proportion of NAGase activity comes from
damaged epithelial cells of the udder in addition to somatic cells. We therefore
recommend determination of NAGase activity from quarter foremilk after at least
six hours from the last milking using the method described. Samples should be
frozen before analysis. NAGase activity should be interpreted according to DIM,
at least during the first month of lactation. Based on the results of the present
study, a reference value for normal milk NAGase activity of 0.1-1.04 pmoles 4
MU/min/MUl for cows with >=30 DIM (196 samples) could be proposed. We consider
milk NAGase activity to be an accurate indicator of subclinical and clinical
mastitis.
PMID- 27210496
TI - Effects of dairy factory, milk casein content and titratable acidity on
coagulation properties in Trentingrana dairy industry.
AB - The objective of the present study was to investigate the effect of environmental
factors, milk casein content and titratable acidity on milk coagulation
properties (MCP) of samples routinely collected in the Trento province (northeast
Italy) under field conditions. Rennet coagulation time (RCT, min), curd-firming
time (k20, min) and curd firmness (a30, mm) were determined by Formagraph on 14
971 samples from 635 herds associated to 17 dairy factories. Besides MCP, fat,
protein, and casein percentages, titratable acidity (TA), and somatic cell and
bacterial counts were available. A standardised index of milk aptitude to
coagulate (IAC) was derived using information of RCT and a30. An analysis of
variance was conducted on MCP and IAC using a fixed effects linear model.
Approximately 3% of milk samples did not form a curd within the testing time (30
min) and k20 was missing for 26% of milks. The percentage of samples without
information on k20 largely differed among dairy factories (1.7-20.9%).
Significant differences were estimated between the best and the worst dairy
factory for RCT (-2 min), k20 (-1.2 min), a30 (+3.4 mm) and IAC (+2.6 points).
Milk casein content and TA were important factors in explaining the variation of
MCP and IAC, supporting the central role of these two traits on technological
properties. The Trento province is heterogeneous in terms of dairy systems and
this could explain the differences among dairy factories.
PMID- 27210495
TI - Production and characterisation of reduced-fat and PUFA-enriched Burrata cheese.
AB - Burrata is an Italian fresh 'pasta filata' cheese made from cow's milk and cream
that is rapidly spreading in Europe. It has very high caloric content, and a
technological protocol was developed for producing a reduced-fat type and
fortifying it with polyunsaturated fatty acids (PUFA) of vegetable origin. A
satisfactory reduced-fat prototype was obtained by using a 14% fat cream, which
was specifically developed by diluting double cream with a suspension of carob
seed flour. The composition of the new cheese changed with respect to the
control, but the sensory characteristics were not impaired. Moisture increased
from 62.6 to 68.4%, fat on dry matter decreased from 59.1 to 34.7%, and the
caloric content decreased from 1060.8 to 718 J/100 g. Proteolysis and lipolysis
were not affected by the technological modifications: after 7 d storage, the
electrophoretic pattern of caseins and the free fatty acids profile of
experimental and control cheeses were not significantly different. Fortification
of reduced-fat Burrata with PUFA was obtained by using two commercial formulates
available at a compatible price with the current economic values of the cheese.
The two formulates derived from flaxseeds and Carthamus tinctorius oil and
allowed enrichment in C18 :3 : n3 (alpha-linolenic acid, ALA), and 9cis,11trans-
and 10trans,12cis- conjugated linoleic acid (CLA), respectively. Fortification
was easy to perform under a technical point of view, but the negative sensory
impact limited fortification at a maximum of 7.0 mg g-1 fat ALA and 6.8 g-1 fat
CLA.
PMID- 27210497
TI - Whey permeate fermented with kefir grains shows antifungal effect against
Fusarium graminearum.
AB - The objective of the work reported here was to study the antifungal capability of
cell-free supernatants obtained from whey permeates after fermentation by the
kefir grains CIDCA AGK1 against Fusarium graminearum growth and zearalenone (ZEA)
production. The assays were performed in order to study the conidial germination
inhibition -in liquid media- and the effect on fungal growth rate and the Latency
phase -in solid media. We observed that fermented supernatants of pH 3.5 produced
the highest percentages of inhibition of conidial germination. The dilution and,
particularly, alkalinisation of them led to the gradual loss of antifungal
activity. In the fungal inhibition assays on plates we found that only the
highest proportion of supernatant within solid medium had significant antifungal
activity, which was determined as fungicidal. There was no ZEA biosynthesis in
the medium with the highest proportion of supernatant, whereas at lower
concentrations, the mycotoxin production was strain-dependent. From the results
obtained we concluded that kefir supernatants had antifungal activity on the F.
graminearum strains investigated and inhibited mycotoxin production as well, but
in a strain-dependent fashion. The present work constitutes the first report of
the effect of the products obtained from the kefir-grain fermentation of whey
permeates - a readily available by-product of the dairy industry - on F.
graminearum germination, growth, and toxin production.
PMID- 27210498
TI - Polycyclic aromatic hydrocarbons and pesticides in milk powder.
AB - This Research Communication reports analysis of 37 compounds comprising
polycyclic aromatic hydrocarbons (PAHs), organochlorine and organophosphate
pesticides (OCPS and OPPS) in milk powder (one brand each of commercial infant
formulae, follow-on formulae and baby formulae purchased from a local supermarket
in Romania). The selected analytes were investigated using gas chromatography
mass spectrometry (GC-MS), gas chromatography with electron capture detector (GC
ECD) and gas chromatography with thermionic sensitive detection (GC-TSD). The
estimated limits of detection for most target analytes were in the MUg/kg level
(range 0.001-0.320 ug/kg). The purpose of the study was to determine the selected
analytes, to assess the exposure of babies and infants and to produce data for
comparison with tolerable limits according to the European Union Regulations. In
most of the samples the organochlorine pesticides values were under the limit of
detection. Exceptions were heptachlor epoxide and endosulfan sulphate, the last
of which was found in all analysed samples at low concentrations. We also found
detectable levels of ethoprophos, parathion-methyl, chlorpyrifos, prothiofos,
guthion, disulfoton and fenchlorphos in most of the analysed samples.
Benzo[a]pyrene, which is used as an indicator for the presence of PAHs, was not
detected in selected samples. The low level of exposure to contaminants indicates
that there are no health risks for the infants and babies that consume this brand
of milk powder formulae.
PMID- 27210499
TI - Levels of albuminuria and risk of developing macroalbuminuria in type 2 diabetes:
historical cohort study.
AB - Although increased urinary albumin excretion may increase the risk of adverse
renal outcomes in patients with diabetes, it remains unclear whether
microalbuminuria is associated with a higher incidence of macroalbuminuria in the
absence of non-diabetic kidney events that frequently develop during the long
term course of type 2 diabetes. This historical cohort study included patients
with type 2 diabetes, spot urine albumin:creatinine ratio (ACR) <300 mg/gCr and
normal serum creatinine concentrations treated between August 1988 and April
2015. Patients with any evidence suggesting non-diabetic kidney diseases at
baseline were excluded. Over a median follow-up of 50 months, 70 of the 1760
included patients developed macroalbuminuria. Twenty-one of these patients were
diagnosed with non-diabetic renal events. The five-year cumulative incidence of
macroalbuminuria in patients with ACRs of 0-7.5 mg/gCr, 7.5-30 mg/gCr, 30-150
mg/gCr, and 150-300 mg/gCr were 0%, 0.53%, 3.5%, and 36.0%, respectively, with
significant differences between each pair of ACR categories. In type 2 diabetes,
higher urinary ACR, even within a level of normoalbuminuria, was associated with
a greater incidence of macroalbuminuria when non-diabetic renal events were
excluded. These results conflict with findings suggesting that microalbuminuria
is a poor indicator for the progression of diabetic nephropathy.
PMID- 27210501
TI - Leg lateral reach test: The reliability and correlation with thoraco-lumbo-pelvic
rotation range.
AB - OBJECTIVES: The aim of the present study was to examine the intra- and inter
rater reliabilities of the leg lateral reach test as a screening tool for thoraco
lumbo-pelvic rotation and to assess the relationship between leg lateral reach
distance and thoraco-lumbo-pelvic rotation range in a supine position. DESIGN:
Controlled laboratory study. METHODS: Thirty-six physically active participants
were recruited. The leg lateral reach test was performed over 2 days. In the
first session, two testers measured the distance of the leg lateral reach to
determine the within-day inter-rater reliability, and one tester repeated the
measurement on day 2 to determine the intra-rater reliability between days. The
leg lateral reach test was performed three times per leg, and the mean value was
used for data analysis. Reliability was determined using the intraclass
correlation coefficient, standard error of measurement, and minimal detectable
change. The correlation between leg lateral reach distance and thoraco-lumbo
pelvic rotation range was determined using Pearson correlation. RESULTS: Almost
perfect intra- and inter-rater reliabilities were shown for the test [intraclass
correlation coefficient2,3=0.97 (95% confidence interval=0.914-0.984) and 0.99
(0.974-0.996), respectively]. The within-day inter-rater standard error of
measurement was 1.40cm, and the minimal detectable change was 3.87cm. The between
day intra-rater standard error of measurement was 2.66cm, and the minimal
detectable change was 7.37cm. The Pearson correlation showed a moderate to good
correlation between the leg lateral reach distance and the thoraco-lumbo-pelvic
rotation range (r=0.73). CONCLUSIONS: The leg lateral reach screening test is
reliable for measuring thoraco-lumbo-pelvic rotation range and allows for
practical measurement of the thoraco-lumbo-pelvic rotation range in a supine
position.
PMID- 27210500
TI - Novel Methodology for Characterizing Regional Variations in the Material
Properties of Murine Aortas.
AB - Many vascular disorders, including aortic aneurysms and dissections, are
characterized by localized changes in wall composition and structure.
Notwithstanding the importance of histopathologic changes that occur at the
microstructural level, macroscopic manifestations ultimately dictate the
mechanical functionality and structural integrity of the aortic wall.
Understanding structure-function relationships locally is thus critical for
gaining increased insight into conditions that render a vessel susceptible to
disease or failure. Given the scarcity of human data, mouse models are
increasingly useful in this regard. In this paper, we present a novel inverse
characterization of regional, nonlinear, anisotropic properties of the murine
aorta. Full-field biaxial data are collected using a panoramic-digital image
correlation (p-DIC) system. An inverse method, based on the principle of virtual
power (PVP), is used to estimate values of material parameters regionally for a
microstructurally motivated constitutive relation. We validate our experimental
computational approach by comparing results to those from standard biaxial
testing. The results for the nondiseased suprarenal abdominal aorta from
apolipoprotein-E null mice reveal material heterogeneities, with significant
differences between dorsal and ventral as well as between proximal and distal
locations, which may arise in part due to differential perivascular support and
localized branches. Overall results were validated for both a membrane and a
thick-wall model that delineated medial and adventitial properties. Whereas full
field characterization can be useful in the study of normal arteries, we submit
that it will be particularly useful for studying complex lesions such as
aneurysms, which can now be pursued with confidence given the present validation.
PMID- 27210502
TI - PTEN-regulated AKT/FoxO3a/Bim signaling contributes to Human cell glioblastoma
apoptosis by platinum-maurocalcin conjugate.
AB - A previous report has shown that a chimera between a platinum complexing agent
(1) and the cell penetrating peptide maurocalcin, synthesized with D-amino acids,
(DMCa), termed Pt-1-DMCa, is a highly successful anticancer compound that works
by targeting the intracellular redox system in glioblastoma (GBM) cells. However,
the detailed cellular mechanism whereby the conjugate specifically kills tumor
cells remains unclear. Herein, we show that Pt-1-DMCa induces apoptosis in Human
U87 GBM cells through reactive oxygen species (ROS)-dependent modulation of the
PI3K/AKT/FoxO3a signalling pathway. First, we found that Pt-1-DMCa treatment of
these cells induces inhibition of AKT and nuclear accumulation of FoxO3a thereby
facilitating transcription of the target genes Bim and PTEN. Modulation of the
AKT/FoxO3a/Bim signaling pathway by RNA interference confirms that these
signaling events are critical for Pt-1-DMCa-induced apoptosis of U87 GBM cells.
Furthermore, we reveal that FoxO3a-mediated up-regulation of PTEN exerts an
additional inhibitory effect on the AKT survival pathway. Thus, our results
demonstrate that the conjugate can induce ROS-dependent FoxO3a-mediated apoptosis
in U87 cells through PTEN-mediated inhibition of the PI3K/AKT survival axis. Our
results help elucidate the molecular mechanisms underlying Pt-1-DMCa-induced cell
death in U87 GBM cells and support a theoretical basis for future applications of
the MCa peptide.
PMID- 27210503
TI - The role of the wnt/beta-catenin signaling pathway in formation and maintenance
of bone and teeth.
AB - The Wnt signaling pathway is known as one of the important molecular cascades
that regulate cell fate throughout lifespan. The Wnt signaling pathway is further
separated into the canonical signaling pathway that depends on the function of
beta-catenin (Wnt/beta-catenin pathway) and the noncanonical pathways that
operate independently of beta-catenin (planar cell polarity pathway and
Wnt/Ca(2+) pathway). The Wnt/beta-catenin signaling pathway is complex and
consists of numerous receptors, inhibitors, activators, modulators, phosphatases,
kinases and other components. However, there is one central, critical molecule to
this pathway, beta-catenin. While there are at least 3 receptors, LRP 4, 5 and 6,
and over twenty activators known as the wnts, and several inhibitors such as
sclerostin, dickkopf and secreted frizzled-related protein, these all target beta
catenin. These regulators/modulators function to target beta-catenin either to
the proteasome for degradation or to the nucleus to regulate gene expression.
Therefore, the interaction of beta-catenin with different factors and Wnt/beta
catenin signaling pathway will be the subject of this review with a focus on how
this pathway relates to and functions in the formation and maintenance of bone
and teeth based on mainly basic and pre-clinical research. Also in this review,
the role of this pathway in osteocytes, bone cells embedded in the mineralized
matrix, is covered in depth. This pathway is not only important in mineralized
tissue growth and development, but for modulation of the skeleton in response to
loading and unloading and the viability and health of the adult and aging
skeleton.
PMID- 27210505
TI - Evaluation of miRNA-expression and clinical tumour parameters in oral squamous
cell carcinoma (OSCC).
AB - Squamous cell carcinoma of the head and neck (HNSCC) is the sixth most common
malignancy worldwide. The past decades have not led to substantial improvement in
diagnosis and therapy. Analysis of miRNA-expression may help to determine the
progression profiles and outcomes of many different diseases, including HNSCC.
Therefore, in this investigation, 43 formalin-fixed, paraffin-embedded (FFPE)
samples of oral squamous cell carcinoma were micro-dissected, analysed for
expression of 30 miRNAs and were compared with non-tumorous tissue. Furthermore,
correlation analysis was performed, investigating possible correlations of miRNA
expression and patient or tumour-linked data, such as age, sex, tumour stage and
size. miRNA extraction from FFPE samples functioned well for OSCC, and several
miRNAs were differently expressed in tumours compared with non-tumorous tissue
(i.e., miR-99*; miR-224; miR-205*), indicating their possible utility as
biomarkers. Moreover, some miRNAs showed significant correlations with clinical
and pathological data (e.g. tumour size: miR-3156, P = 0.033; T-stage: miR-212, P
= 0.0009).
PMID- 27210506
TI - Rare carpometacarpal dislocations.
AB - Posttraumatic carpal and carpometacarpal dislocations represent a heterogeneous
group of disorders resulting from high-energy wrist trauma. Perilunate injury is
the most common and best-known manifestation of carpal dislocation, typically
occurring after hyperextension trauma. Other forms are very rare and have
different causative mechanisms. Carpometacarpal (CMC) dislocations are also
uncommon and may affect isolated or multiple CMC joints. These lesions are prone
to wrist instability if not treated promptly. The aim of this article is to
provide a systematic radiologic approach to the evaluation of wrist injury and to
present two acute cases of rare CMC dislocations.
PMID- 27210504
TI - Cucurbitacin B inhibits breast cancer metastasis and angiogenesis through VEGF
mediated suppression of FAK/MMP-9 signaling axis.
AB - Available breast cancer therapeutic strategies largely target the primary tumor
but are ineffective against tumor metastasis and angiogenesis. In our current
study, we determined the effect of Cucurbitacin B (CuB), a plant triterpenoid, on
the metastatic and angiogenic potential of breast cancer cells. CuB was found to
inhibit cellular proliferation and induce apoptosis in breast cancer cells in a
time- and dose-dependent manner. Further, CuB-treatment significantly inhibited
the migratory and invasive potential of highly metastatic breast cancer MDA-MB
231 and 4T1 cells at sub-IC50 concentrations, where no significant apoptosis was
observed. CuB was also found to inhibit migratory, invasive and tube-forming
capacities of HUVECs in vitro. In addition, inhibition of pre-existing
vasculature in chick embryo chorioallantoic membrane ex vivo further supports the
anti-angiogenic effect of CuB. CuB-mediated anti-metastatic and anti-angiogenic
effects were associated with the downregulation of VEGF/FAK/MMP-9 signaling,
which has been validated by using FAK-inhibitor (FI-14). CuB-treatment resulted
in a significant inhibition of VEGF-induced phosphorylation of FAK and MMP-9
expressions similar to the action of FI-14. CuB was also found to decrease the
micro-vessel density as evidenced by the decreased expression of CD31, a marker
for neovasculature. Further, CuB-treatment inhibited tumor growth, lung
metastasis and angiogenesis in a highly metastatic 4T1-syngeneic mouse mammary
cancer. Collectively, our findings suggest that CuB inhibited breast cancer
metastasis and angiogenesis, at least in part, through the downregulation of
VEGF/FAK/MMP-9 signaling.
PMID- 27210507
TI - Selecting, assessing and interpreting measures of function for patients with
severe hip pathology: The need for caution.
AB - INTRODUCTION: It is not always possible to use a combination of patient-reported
outcome measures (PROMs), performance tests and clinician-administrated measures
to assess physical function prior to hip surgery. We hypothesised that there
would be low correlations between these three types of measure and that they
would be associated with different patients' characteristics. MATERIALS AND
METHODS: We conducted a cross-sectional analysis of the preoperative information
of 125 participants listed for hip replacement. The WOMAC-function subscale,
Harris Hip Score (HHS) and walk, step and balance tests were assessed by
questionnaire or during a clinic visit. Participant's socio-demographics and
medical characteristics were also collected. Correlations between functional
measures were investigated with correlation coefficients. Regression models were
used to test the association between the patient's characteristics and each of
the three types of functional measures. RESULTS: None of the correlations between
the PROM, clinician-administrated measure and performance tests were very high
(<0.90). Associations between patient's characteristics and functional scores
varied by type of measure. Psychological status was associated with the PROM (P
value<0.0001) but not with the other measures. Age was associated with the
performance test measures (P-value ranging from <=0.01 to <0.0001) but not with
the PROM. The clinician-administered measure was not associated with age or
psychological status. DISCUSSION: Substantial discrepancies exist when assessing
hip function using a PROM, functional test or a clinician-administered test.
Moreover, these assessment methods are influenced differently by patient's
characteristics. Clinicians should supplement their pre-surgery assessment of
function with patient-reported measure to include the patient's perspective.
LEVEL OF EVIDENCE: III, observational cross-sectional study.
PMID- 27210508
TI - Catch-up growth following food restriction exacerbates adulthood glucose
intolerance in pigs exposed to intrauterine undernutrition.
AB - OBJECTIVE: The aim of this study was to evaluate the effects of food restriction
followed by controlled refeeding on glucose tolerance in pigs exposed to
intrauterine malnutrition. METHODS: Pregnant sows (n = 11) were assigned to
either a control (C) group or an undernutrition (U) group (75% of C) during
gestation. At postnatal 68 d, the offspring (n = 16) were placed on either a
cafeteria feeding (CF) group or a food-restricted (FR) group (75% of CF) for 6
wk. After that, all offspring were fed ad libitum until 189 d (dpn189). RESULTS:
The results showed that maternal malnutrition induced offspring glucose
intolerance, which was demonstrated by increased serum glucose and
triacylglycerol content at dpn189, as well as increased area under the blood
glucose curve (AUC) during the intravenous glucose tolerance test (i.v.GTT) (P <
0.05). Interestingly, food restriction followed by controlled refeeding further
increased serum glucose content at dpn189 and AUC during i.v.GTT in pigs born
from U sows (P < 0.05), which was accompanied by catch-up growth during the
refeeding period. These changes were associated with increased mRNA levels of
hepatic gluconeogenesis (PC, PEPCK) enzymes (P < 0.05), decreased mRNA level of
muscle glucose transporter (GLUT4; P = 0.07), and reduced mRNA level of insulin
signaling protein (IRS1, P < 0.05) in the liver. CONCLUSIONS: Our results
indicate that catch-up growth following food restriction can exacerbate glucose
intolerance in offspring exposed to intrauterine malnutrition. This may be caused
by increased hepatic gluconeogenesis, decreased muscle glucose transport, and
impaired hepatic insulin signaling.
PMID- 27210509
TI - Dietary omega-3 polyunsaturated fatty acid intake modulates impact of
Insertion/Deletion polymorphism of ApoB gene on obesity risk in type 2 diabetic
patients.
AB - OBJECTIVES: The goal of the study described here was to determine whether dietary
omega-3 polyunsaturated fatty acid (PUFA) intake modulates the association
between ApoB Ins/Del polymorphism and obesity in type 2 diabetic patients.
METHODS: In this cross-sectional study, 700 patients with type 2 diabetes were
recruited in Tehran. Weight and waist circumference (WC) were measured, and body
mass index (BMI) was calculated. Dietary intake was assessed using a validated
semiquantitative food frequency questionnaire. ApoB genotyping was performed with
8% polyacrylamide gel electrophoresis. RESULTS: We observed a significant
interaction between Ins/Del genotype and dietary omega-3 PUFA intake with respect
to BMI, WC, and obesity risk in both unadjusted (P = 0.007, P = 0.001, and P =
0.021, respectively) and adjusted (P = 0.007, P = 0.04, and P = 0.002,
respectively) samples. Thus, the carriers of the Del allele were only associated
with lower BMI (P = 0.01) and WC (P = 0.002) among individuals with high omega-3
PUFA intake (>=0.6% of energy), but not in those with low omega-3 PUFA intake
(<0.6%). Also, when dietary omega-3 PUFA was <0.6%, general obesity risk in
carriers of the Del allele was about 1.6 times higher than that of Ins/Ins
homozygotes (odds ratio = 1.59, 95% confidence interval: 1.05-2.52, P = 0.039).
But with high omega-3 PUFA intake (>=0.6%), the risk was 0.46 times lower (odds
ratio = 0.46, 95% confidence interval: 0.25-0.79, P = 0.003). Moreover, a similar
interaction was observed in central obesity only in men after adjustment for
confounder variables (P = 0.041). CONCLUSIONS: These findings support the
hypothesis that a diet high in omega-3 PUFA (>=0.6%) can decrease the obesity
risk in carriers of the Del allele of ApoB gene.
PMID- 27210510
TI - From mercury to nanosensors: Past, present and the future perspective of
electrochemistry in pharmaceutical and biomedical analysis.
AB - Polarography was the first developed automated method of voltage-controlled
electrolysis with dropping mercury electrode (DME). Then, hanging mercury drop
and static mercury drop electrodes were added as an alternative indicator
electrode. In this way, polarography turned formally into voltammetry with
mercury electrodes in the electroreduction way. Solid electrodes such as noble
metal and carbon based electrodes can be used for the investigation of the
compounds for both oxidation and reduction directions, which is called
voltammetry. The voltammetric and polarographic techniques are more sensitive,
reproducible, and easily used electroanalytical methods that can be alternative
to more frequently used separation and spectrometric methods. Furthermore, in
some cases there is a relationship between voltammetry and pharmaceutical
samples, and the knowledge of the mechanism of their electrode reactions can give
a useful clue in elucidation of the mechanism of their interaction with living
cells. The voltammetric and polarographic analysis of drugs in pharmaceutical
preparations are by far the most common use of electrochemistry for analytical
pharmaceutical problems. Recent trends and challenges in the electrochemical
methods for the detection of DNA hybridization and pathogens are available. Low
cost, small sample requirement and possibility of miniaturization justifies their
increasing development.
PMID- 27210511
TI - Fabrication of freestanding silk fibroin films containing Ag
nanowires/NaYF4:Yb,Er nanocomposites with metal-enhanced fluorescence behavior.
AB - Solar cells containing upconversion nanoparticles (UCNPs) used as a power source
in biomedical nanosystems have attracted great interest. However, such solar
cells further need to be developed because their substrate materials should be
biocompatible, flexible and highly luminescent. Here, we report that freestanding
silk fibroin (SF) films containing a mesh of silver nanowires (AgNWs) and beta
NaYF4:Yb,Er nanocrystals with metal-enhanced fluorescence behavior can be
fabricated. The freestanding composite films exhibit properties such as good
optical transparency, conductivity and flexibility. Furthermore, they show
significantly enhanced upconversion fluorescence due to surface plasmon
polaritons (SPPs) of AgNWs compared to the SF-UCNP films without AgNWs. The
freestanding composite films with metal-enhanced fluorescence behavior show great
promise for future applications in self-powered nanodevices such as cardiac
pacemakers, biosensors and nanorobots.
PMID- 27210512
TI - Identification, structural characterisation and expression analysis of a defensin
gene from the tiger beetle Calomera littoralis (Coleoptera: Cicindelidae).
AB - In this study, a defensin gene (Clit-Def) has been characterised in the tiger
beetle Calomera littoralis for the first time. Bioinformatic analysis showed that
the gene has an open reading frame of 246bp that contains a 46 amino acid mature
peptide. The phylogenetic analysis showed a high variability in the coleopteran
defensins analysed. The Clit-Def mature peptide has the features to be involved
in the antimicrobial function: a predicted cationic isoelectric point of 8.94,
six cysteine residues that form three disulfide bonds, and the typical cysteine
stabilized alpha-helix beta-sheet (CSalphabeta) structural fold. Real time
quantitative PCR analysis showed that Clit-Def was upregulated in the different
body parts analysed after infection with lipopolysaccharides of Escherichia coli,
and also indicated that has an expression peak at 12h post infection. The
expression patterns of Clit-Def suggest that this gene plays important roles in
the humoral system in the adephagan beetle Calomera littoralis.
PMID- 27210513
TI - Introduction to nuclear magnetic resonance.
AB - Nuclear magnetic resonance spectroscopy is a useful tool for studying normal and
pathological biochemical processes in tissues. In this review, the principles of
nuclear magnetic resonance and methods of obtaining nuclear magnetic resonance
spectra are briefly outlined. The origin of the most important spectroscopic
parameters-chemical shifts, coupling constants, longitudinal and transverse
relaxation times, and spectroscopic line intensities-is explained, and the role
of these parameters in interpretation of spectra is addressed. Basic
methodological concepts of localized spectroscopy and spectroscopic imaging for
the study of tissue metabolism in vivo are also described.
PMID- 27210514
TI - Prolonged treatment with 3-isobutyl-1-methylxanthine improves the efficiency of
differentiating 3T3-L1 cells into adipocytes.
AB - Until now, the low efficiency of current protocols or kits for the
differentiation of 3T3-L1 preadipocytes makes it difficult to continue the
studies of the cellular and molecular mechanisms in adipocytes. Here we present a
productive and highly efficient protocol for the differentiation of 3T3-L1 cells
that uses a prolonged treatment with 3-isobutyl-1-methylxanthine (IBMX) during
the differentiated process. 3T3-L1 cells of unknown passage +3 and unknown
passage +7 treated with a prolonged exposure to IBMX showed significantly
increased differentiation efficiency by day 15, in contrast to low levels of
differentiation seen with protocols that lacked additional IBMX.
PMID- 27210516
TI - What controls open-pore and residual currents in the first sensing zone of alpha
hemolysin nanopore? Combined experimental and theoretical study.
AB - The electrophoretic transport of single-stranded DNA through biological nanopores
such as alpha-hemolysin (alphaHL) is a promising and cost-effective technology
with the potential to revolutionize genomics. The rational design of pores with
the controlled polymer translocation rates and high contrast between different
nucleotides could improve significantly nanopore sequencing applications. Here,
we apply a combination of theoretical and experimental methods in an attempt to
elucidate several selective modifications in the pore which were proposed to be
central for the effective discrimination between purines and pyrimidines. Our
nanopore test set includes the wild type alphaHL and six mutants
(E111N/M113X/K147N) in which the cross-section and chemical functionality of the
first constriction zone of the pore are modified. Electrophysiological recordings
were combined with all-atom Molecular Dynamics simulations (MD) and a recently
developed Brownian Dynamics (BROMOC) protocol to investigate residual ion
currents and pore-DNA interactions for two homo-polymers e.g. poly(dA)40 or
poly(dC)40 blocking the pore. The calculated residual currents and contrast in
the poly(dA)40/poly(dC)40 blocked pore are in qualitative agreement with the
experimental recordings. We showed that a simple structural metric allows
rationalization of key elements in the emergent contrast between purines and
pyrimidines in the modified alphaHL mutants. The shape of the pore and its
capacity for hydrogen bonding to a translocated polynucleotide are two essential
parameters for contrast optimization. To further probe the impact of these two
factors in the ssDNA sensing, we eliminated the effect of the primary
constriction using serine substitutions (i.e. E111S/M113S/T145S/K147S) and
increased the hydrophobic volume of the central residue in the secondary
constriction (L135I). This pore modification sharply increased the contrast
between Adenine (A) and Cytosine (C).
PMID- 27210515
TI - Spin-valley locking in the normal state of a transition-metal dichalcogenide
superconductor.
AB - Metallic transition-metal dichalcogenides (TMDCs) are benchmark systems for
studying and controlling intertwined electronic orders in solids, with
superconductivity developing from a charge-density wave state. The interplay
between such phases is thought to play a critical role in the unconventional
superconductivity of cuprates, Fe-based and heavy-fermion systems, yet even for
the more moderately-correlated TMDCs, their nature and origins have proved
controversial. Here, we study a prototypical example, 2H-NbSe2, by spin- and
angle-resolved photoemission and first-principles theory. We find that the normal
state, from which its hallmark collective phases emerge, is characterized by
quasiparticles whose spin is locked to their valley pseudospin. This results from
a combination of strong spin-orbit interactions and local inversion symmetry
breaking, while interlayer coupling further drives a rich three-dimensional
momentum dependence of the underlying Fermi-surface spin texture. These findings
necessitate a re-investigation of the nature of charge order and superconducting
pairing in NbSe2 and related TMDCs.
PMID- 27210517
TI - Father-to-Newborn Transmission of Herpes Simplex Virus Infection: A Sweet but
Bitter Kiss.
PMID- 27210518
TI - Leukemia Cutis: A Report of 17 Cases and a Review of the Literature.
AB - Dermatologic manifestations of leukemia can be both specific and nonspecific
(e.g., opportunistic infections, purpura and ecchymosis, Sweet syndrome).
Leukemia cutis refers to the infiltration of the skin with neoplastic leukocytes
and its early diagnosis has important prognostic implications. We report on 17
cases of leukemia cutis seen in our department between 1994 and 2014 and describe
the characteristics of the patients (age, sex, medical history), the morphology
of the lesions, and associations with systemic disease. Most of the patients were
male and the most common associated malignancy was acute myeloid leukemia. The
most frequent dermatologic manifestations were nodules or erythematous papules on
the limbs. We describe our experience with the diagnosis and management of
leukemia cutis over a period of 20 years and emphasize the importance of clinical
signs in the early diagnosis of this condition.
PMID- 27210519
TI - Decellularization of human stromal refractive lenticules for corneal tissue
engineering.
AB - Small incision lenticule extraction (SMILE) becomes a procedure to correct
myopia. The extracted lenticule can be used for other clinical scenarios. To
prepare for allogeneic implantation, lenticule decellularization with preserved
optical property, stromal architecture and chemistry would be necessary. We
evaluated different methods to decellularize thin human corneal stromal
lenticules created by femtosecond laser. Treatment with 0.1% sodium
dodecylsulfate (SDS) followed by extensive washes was the most efficient protocol
to remove cellular and nuclear materials. Empty cell space was found inside the
stroma, which displayed aligned collagen fibril architecture similar to native
stroma. The SDS-based method was superior to other treatments with hyperosmotic
1.5 M sodium chloride, 0.1% Triton X-100 and nucleases (from 2 to 10 U/ml DNase
and RNase) in preserving extracellular matrix content (collagens, glycoproteins
and glycosaminoglycans). The stromal transparency and light transmittance was
indifferent to untreated lenticules. In vitro recellularization showed that the
SDS-treated lenticules supported corneal stromal fibroblast growth. In vivo re
implantation into a rabbit stromal pocket further revealed the safety and
biocompatibility of SDS-decellularized lenticules without short- and long-term
rejection risk. Our results concluded that femtosecond laser-derived human
stromal lenticules decellularized by 0.1% SDS could generate a transplantable
bioscaffold with native-like stromal architecture and chemistry.
PMID- 27210520
TI - Pleural effusion cytology due to malignancy. A combined cytomorphological
immunocytochemical study of 500 cases.
PMID- 27210521
TI - Robotic-Assisted Laparoscopic Donor Nephrectomy of Patient With Nutcracker
Phenomenon.
AB - We report the case of a 30-year-old male patient undergoing a robotic-assisted
laparoscopic left donor nephrectomy, where compression of the left renal vein
between the superior mesenteric artery and aorta was noted on magnetic resonance
angiography before the operation. The patient was diagnosed with nutcracker
phenomenon and was noted to be asymptomatic at that time. This is the first
reported case to date of a patient with nutcracker phenomenon who underwent a
robotic-assisted laparoscopic donor nephrectomy. This article also reviews the
current literature on nutcracker phenomenon and nutcracker syndrome.
PMID- 27210522
TI - Synthesis, cyclooxygenase inhibition, anti-inflammatory evaluation and
ulcerogenic liability of new 1-phenylpyrazolo[3,4-d]pyrimidine derivatives.
AB - A new group of 1-phenylpyrazolo[3,4-d]pyrimidine derivatives 14a-d-21 were
synthesized from 2-(6-methyl-1-phenyl-1H-pyrazolo[3,4-d]pyrimidin-4
yloxy)acetohydrazide (12). All the synthesized compounds were evaluated for their
cyclooxygenase (COX) inhibition, anti-inflammatory activity and ulcerogenic
liability. All the target compounds were more potential in inhibiting COX-2 than
COX-1. Compounds having pyrazolyl moiety in a hybrid structure with pyrazolo[3,4
d]pyrimidine scaffold (14a-d, 16 and 17) showed higher edema inhibition
percentage activities (34-68%) and the 5-aminopyrazole derivative (14c, ED50 =
87.9 MUmol/kg) was the most potent one > celecoxib (ED50 = 91.9 MUmol/kg). While,
the in vivo potent compounds (14a-d, 16, 17 and 21) caused variable ulceration
effect (ulcer index = 0.33-4.0) comparable to that of celecoxib (ulcer index =
0.33), the pyrazol-3-one derivative (16) and the acetohydrazide (21) were the
least ulcerogenic derivatives showing the same ulcerogenic potential of
celecoxib.
PMID- 27210530
TI - Examining Health Disparities Using Data Science.
PMID- 27210532
TI - Charities raise alarm over changes to UK Cancer Drugs Fund.
PMID- 27210531
TI - Student's death highlights gaps in China's health regulations.
PMID- 27210533
TI - Severe sleep apnoea linked to melanoma risk.
PMID- 27210534
TI - SNP affects colorectal cancer outcomes with cetuximab.
PMID- 27210536
TI - Psychiatric and Behavioural Disorders in Children with Epilepsy (ILAE Task Force
Report): Epilepsy and ADHD.
AB - ADHD occurs in about 30% of children with epilepsy. The causes of ADHD features
include some antiepileptic drugs, the epilepsy itself and underlying brain
dysfunction. Management of the ADHD will depend on the cause. Treatment with
methylphenidate is effective in about 70% of cases; standard treatments with
methylphenidate, dexamfetamine and atomoxetine are very unlikely to exacerbate
seizures.
PMID- 27210535
TI - Autoimmunity and Primary Immunodeficiency Disorders.
AB - Advances in DNA sequencing technologies have led to a quickening in the pace at
which new genetic immunodeficiency disorders have been identified. Among the
newly identified defects are a number of disorders that present primarily with
autoimmunity as opposed to recurrent infections. These "immune dysregulation"
disorders have begun to cluster together to form an increased understanding of
some of the basic molecular mechanisms that underlie the establishment and
maintenance of immune tolerance and the development of autoimmunity. This review
will present three major themes that have emerged in our understanding of the
mechanisms that underlie autoimmunity and immune dysregulation in humans.
PMID- 27210537
TI - Perioperative Diagnosis and Treatment of Serotonin Syndrome Following
Administration of Methylene Blue.
AB - BACKGROUND: Serotonin syndrome (SS) involves serotonergic hyperactivity caused by
excessive activation of 5-HT2A receptors. As the use of antidepressants
increases, so does the population of patients at risk for developing this
complication. The diagnosis is made based on current serotonergic medication use
in conjunction with certain clinical signs. The severity of the clinical
presentation may vary, especially when the complication occurs while the patient
is under general anesthesia. As a result, the incidence of SS is likely
underreported and treatment may be delayed, leading to life-threatening
complications. CASE REPORT: A 67-year-old, American Society of Anesthesiologist
physical status 3 male with multiple medical comorbidities, including
anxiety/depression and chronic neck pain, presented for an elective laparoscopic
total abdominal colectomy for colonic inertia. His intraoperative course was
significant for SS likely triggered by the administration of methylene blue,
which only became clinically apparent during anesthetic emergence. We considered
and systematically ruled out other potential causes of his clinical condition.
His management was primarily supportive, using hydration and benzodiazepine
administration, and resulted in full neurologic recovery. CONCLUSIONS: SS is an
underdiagnosed condition with limited treatment options beyond symptom
management. Thus, vigilance, early diagnosis, and cessation of offending
medications are of utmost importance. Anesthesiologists managing at-risk surgical
patients must have a high clinical suspicion of perioperative SS if their
patients exhibit tachycardia, hypertension, and hyperthermia together with
clonus, agitation, diaphoresis, or hypertonia. These signs may be masked by
general anesthesia and may only manifest themselves upon anesthetic emergence.
PMID- 27210538
TI - Migration mechanism of a GaN bicrystalline grain boundary as a model system.
AB - Using in situ high-resolution transmission electron microscopy, we have explored
migration mechanism of a grain boundary in a GaN bicrystal as a model system.
During annealing at 500 degrees C, the grain-boundary region underwent a
decrease in thickness, which occurred by decomposition or sublimation of GaN
during annealing at 500 degrees C coupled with electron-beam sputtering. The
decrease in thickness corresponds to an increase in the driving force for
migration, because the migration of the grain boundary was driven by the surface
energy difference. As the driving force increased with annealing time, the grain
boundary morphology turned from atomically smooth to rough, which is
characterized by kinetic roughening. The observations indicate that a grain
boundary exhibits a nonlinear relationship between driving force for migration
and migration velocity, in discord with the general presumption that a grain
boundary follows a linear relationship.
PMID- 27210544
TI - The relationship between dietary salt intake and ambulatory blood pressure
variability in non-diabetic hypertensive patients.
AB - High dietary salt intake was reported to increase blood pressure by numerous
studies, but no study has investigated the effect of dietary salt intake on blood
pressure variability (BPV). This study aimed to determine if daily salt intake is
related to ambulatory BPV. The study included 136 primary hypertensive patients
(92 male, 44 female) with a mean age of 50.7+/-11.1 years. All the patients
underwent 24-h ambulatory blood pressure monitoring to determine both the 24-h
systolic and 24-h diastolic BPV. 24-h urine sodium was measured. The correlation
between BPV and 24-h urinary sodium was investigated. Logarithmic transformation
of 24-h urinary sodium [log(24-h urinary sodium)] was positively correlated with
the mean 24-h systolic ARV, and nighttime systolic ARV (r=0.371 and p=0.001,
r=0.329 and p=0.028, respectively). Similarly, log(24-h urinary sodium) was
positively correlated with mean 24-h diastolic ARV and nighttime diastolic ARV
(r=0.381 and p=0.001, r=0.320 and p=0.020 respectively). Log(24-h urinary sodium)
was an independent predictor of BPV based on multivariate regression analysis.
Dietary salt intake might play a role in the pathogenesis of ambulatory BPV.
PMID- 27210545
TI - Autosomal dominant SCN8A mutation with an unusually mild phenotype.
AB - BACKGROUND: Mutations in SCN8A, coding for the voltage-gated sodium channel Nav
1.6, have been described in relation to infantile onset epilepsy with
developmental delay and cognitive impairment, in particular early onset epileptic
encephalopathy (EIEE) type 13. CASE REPORT: Here we report an infant and his
father with early onset focal epileptic seizures but without cognitive or
neurological impairment in whom next generation sequence analysis identified a
heterozygous mutation (c.5630A > G, p. (Asn1877Ser)) in the SCN8A gene. This
mutation, confirmed by Sanger sequence analysis, affects a highly conserved amino
acid and in silico tools predicts that it may be pathogenic. The reported infant
has a normal developmental profile at 16-month follow-up. His father also had
normal development and has no cognitive impairment at 42 years. This is the
second known SCN8A mutation associated with a phenotype of benign familial
infantile epilepsy. Good seizure control was achieved in our patients with sodium
channel blockers. CONCLUSION: Based on our proband and a recently described group
of families with benign familial infantile epilepsy and SCN8A variant we suggest
expanding testing to patients with infantile epilepsy and no cognitive
impairment. In addition, the same SCN8A variant (c.5630A > G, p. (Asn1877Ser)) is
also found in patients with epilepsy and developmental delay highlighting the
phenotypic variability and the possible role of other protective genetic factors.
PMID- 27210547
TI - Nonclassicality Invariant of General Two-Mode Gaussian States.
AB - We introduce a new quantity for describing nonclassicality of an arbitrary
optical two-mode Gaussian state which remains invariant under any global photon
number preserving unitary transformation of the covariance matrix of the state.
The invariant naturally splits into an entanglement monotone and local
nonclassicality quantifiers applied to the reduced states. This shows how
entanglement can be converted into local squeezing and vice versa. Twin beams and
their transformations at a beam splitter are analyzed as an example providing
squeezed light. An extension of this approach to pure three-mode Gaussian states
is given.
PMID- 27210546
TI - Overcoming resistance to TRAIL-induced apoptosis in solid tumor cells by
simultaneously targeting death receptors, c-FLIP and IAPs.
AB - The discovery of the TRAIL protein and its death receptors DR4/5 changed the
horizon of cancer research because TRAIL specifically kills cancer cells.
However, the validity of TRAIL-based cancer therapies has yet to be established,
as most cancer cells are TRAIL-resistant. In this report, we demonstrate that
TRAIL-resistance of many cancer cell lines can be overcome after siRNA- or
rocaglamide-mediated downregulation of c-FLIP expression and simultaneous
inhibition of IAPs activity using AT406, a pan-antagonist of IAPs. Combined
triple actions of the TRAIL, the IAPs inhibitor, AT406, and the c-FLIP expression
inhibitor, rocaglamide (ART), markedly improve TRAIL-induced apoptotic effects in
most solid cancer cell lines through the activation of an extrinsic apoptosis
pathway. Furthermore, this ART combination does not harm normal cells. Among the
18 TRAIL-resistant cancer cell lines used, 15 cell lines become sensitive or
highly sensitive to ART, and two out of three glioma cell lines exhibit high
resistance to ART treatment due to very low levels of procaspase-8. This study
provides a rationale for the development of TRAIL-induced apoptosis-based cancer
therapies.
PMID- 27210548
TI - A hingeless Fc fusion system for site-specific cleavage by IdeS.
AB - Fusion of proteins to the Fc region of IgG is widely used to express cellular
receptors and other extracellular proteins, but cleavage of the fusion partner is
sometimes required for downstream applications. Immunoglobulin G-degrading enzyme
of Streptococcus pyogenes (IdeS) is a protease with exquisite specificity for
human IgG, and it can also cleave Fc-fusion proteins at a single site in the N
terminal region of the CH2 domain. However, the site of IdeS cleavage results in
the disulfide-linked hinge region partitioning with the released protein,
complicating downstream usage of the cleaved product. To tailor the Fc fragment
for release of partner proteins by IdeS treatment, we investigated the effect of
deleting regions of IgG-derived sequence that are upstream of the cleavage site.
Elimination of the IgG-derived hinge sequence along with several residues of the
CH2 domain had negligible effects on expression and purity of the fusion protein,
while retaining efficient processing by IdeS. An optimal Fc fragment comprising
residues 235-447 of the human IgG1 heavy chain sufficed for efficient production
of fusion proteins and minimized the amount of residual Ig-derived sequence on
the cleavage product following IdeS treatment. Pairing of this truncated Fc
fragment with IdeS cleavage enables highly specific cleavage of Fc-fusion
proteins, thus eliminating the need to engineer extraneous cleavage sequences.
This system should be helpful for producing Fc-fusion proteins requiring
downstream cleavage, particularly those that are sensitive to internal
miscleavage if treated with alternative proteases.
PMID- 27210549
TI - Development of a rapid, sensitive TaqMan real-time RT-PCR assay for the detection
of Rose rosette virus using multiple gene targets.
AB - Rose rosette virus (RRV), belonging to the genus Emaravirus, is a highly
destructive pathogen that causes rose rosette disease. The disease is a major
concern for the rose industry in the U.S. due to the lack of highly sensitive
methods for early detection of RRV. This is critical, as early identification of
the infected plants and eradication is necessary in minimizing the risks
associated with the spread of the disease. A highly reliable, specific and
sensitive detection assay is thus required to test and confirm the presence of
RRV in suspected plant samples. In this study a TaqMan real-time reverse
transcription-polymerase chain reaction (RT-PCR) assay was developed for the
detection of RRV from infected roses, utilizing multiple gene targets. Four pairs
of primers and probes; two of them (RRV_2-1 and RRV_2-2) based on the consensus
sequences of the glycoprotein gene (RNA2) and the other two (RRV_3-2 and RRV_3-5)
based on the nucleocapsid gene (RNA3) were designed. The specificity of the
primers and probes was evaluated against other representative viruses infecting
roses, belonging to the genera Alfamovirus, Cucumovirus, Ilarvirus, Nepovirus,
Tobamovirus, and Tospovirus and one Emaravirus (Wheat mosaic virus). Dilution
assays using the in vitro transcripts (spiked with total RNA from healthy plants,
and non-spiked) showed that all the primers and probes are highly sensitive in
consistently detecting RRV with a detection limit of 1 fg. Testing of the
infected plants over a period of time (three times in monthly intervals)
indicated high reproducibility, with the primer/probe RRV_3-5 showing 100%
positive detection, while RRV_2-1, RRV_2-2 and RRV_3-2 showed 90% positive
detection. The developed real-time RT-PCR assay is reliable, highly sensitive,
and can be easily used in diagnostic laboratories for testing and confirmation of
RRV.
PMID- 27210551
TI - A Novel Form of Local Plasticity in Tuft Dendrites of Neocortical Somatosensory
Layer 5 Pyramidal Neurons.
AB - Tuft dendrites of layer 5 pyramidal neurons form a separate biophysical and
processing compartment. Presently, little is known about plasticity mechanisms in
this isolated compartment. Here, we describe a novel form of plasticity in which
unpaired low-frequency (0.1 Hz) stimulation of tuft inputs resulted in prolonged
transient (86.3 +/- 7.3 min) potentiation of EPSPs (286.1% +/- 30.5%) and
enhanced local excitability that enabled more-efficient back-propagation of axo
somatic action potentials and dendritic calcium spikes selectively into the
activated dendritic segments. This plasticity was exclusive to tuft dendrites and
did not occur in basal dendrites. Induction of this plasticity depended on
activation of Kv4.2 potassium and NMDAR channels, internalization of membrane
proteins, and insertion of AMPAR. This unique form of tuft plasticity increases
proximal-distal electrical coupling of activated tuft dendrites and opens a
prolonged time window for binding and storing feedforward and feedback
information in a branch-specific manner.
PMID- 27210550
TI - Aversive Learning and Appetitive Motivation Toggle Feed-Forward Inhibition in the
Drosophila Mushroom Body.
AB - In Drosophila, negatively reinforcing dopaminergic neurons also provide the
inhibitory control of satiety over appetitive memory expression. Here we show
that aversive learning causes a persistent depression of the conditioned odor
drive to two downstream feed-forward inhibitory GABAergic interneurons of the
mushroom body, called MVP2, or mushroom body output neuron (MBON)
gamma1pedc>alpha/beta. However, MVP2 neuron output is only essential for
expression of short-term aversive memory. Stimulating MVP2 neurons preferentially
inhibits the odor-evoked activity of avoidance-directing MBONs and odor-driven
avoidance behavior, whereas their inhibition enhances odor avoidance. In
contrast, odor-evoked activity of MVP2 neurons is elevated in hungry flies, and
their feed-forward inhibition is required for expression of appetitive memory at
all times. Moreover, imposing MVP2 activity promotes inappropriate appetitive
memory expression in food-satiated flies. Aversive learning and appetitive
motivation therefore toggle alternate modes of a common feed-forward inhibitory
MVP2 pathway to promote conditioned odor avoidance or approach.
PMID- 27210552
TI - A Synaptotagmin Isoform Switch during the Development of an Identified CNS
Synapse.
AB - Various Synaptotagmin (Syt) isoform genes are found in mammals, but it is unknown
whether Syts can function redundantly in a given nerve terminal, or whether
isoforms can be switched during the development of a nerve terminal. Here, we
investigated the possibility of a developmental Syt isoform switch using the
calyx of Held as a model synapse. At mature calyx synapses, fast Ca(2+)-driven
transmitter release depended entirely on Syt2, but the release phenotype of Syt2
knockout (KO) mice was weaker at immature calyces, and absent at pre-calyceal
synapses early postnatally. Instead, conditional genetic inactivation shows that
Syt1 mediates fast release at pre-calyceal synapses, as well as a fast release
component resistant to Syt2 deletion in immature calyces. This demonstrates a
developmental Syt1-Syt2 isoform switch at an identified synapse, a mechanism that
could fine-tune the speed, reliability, and plasticity of transmitter release at
fast releasing CNS synapses.
PMID- 27210553
TI - Increased 4R-Tau Induces Pathological Changes in a Human-Tau Mouse Model.
AB - Pathological evidence for selective four-repeat (4R) tau deposition in certain
dementias and exon 10-positioned MAPT mutations together suggest a 4R-specific
role in causing disease. However, direct assessments of 4R toxicity have not yet
been accomplished in vivo. Increasing 4R-tau expression without change to total
tau in human tau-expressing mice induced more severe seizures and nesting
behavior abnormality, increased tau phosphorylation, and produced a shift toward
oligomeric tau. Exon 10 skipping could also be accomplished in vivo, providing
support for a 4R-tau targeted approach to target 4R-tau toxicity and, in cases of
primary MAPT mutation, eliminate the disease-causing mutation.
PMID- 27210556
TI - Bayesian spatial modeling of cetacean sightings during a seismic acquisition
survey.
AB - A visual monitoring of marine mammals was carried out during a seismic
acquisition survey performed in waters south of Portugal with the aim of
assessing the likelihood of encountering Mysticeti species in this region as well
as to determine the impact of the seismic activity upon encounter. Sightings and
effort data were assembled with a range of environmental variables at different
lags, and a Bayesian site-occupancy modeling approach was used to develop
prediction maps and evaluate how species-specific habitat conditions evolved
throughout the presence or not of seismic activity. No statistical evidence of a
decrease in the sighting rates of Mysticeti by comparison to source activity was
found. Indeed, it was found how Mysticeti distribution during the survey period
was driven solely by environmental variables. Although further research is
needed, possible explanations may include anthropogenic noise habituation and
zone of seismic activity coincident with a naturally low density area.
PMID- 27210554
TI - The Dynamic Localization of Cytoplasmic Dynein in Neurons Is Driven by Kinesin-1.
AB - Cytoplasmic dynein, the major motor driving retrograde axonal transport, must be
actively localized to axon terminals. This localization is critical as dynein
powers essential retrograde trafficking events required for neuronal survival,
such as neurotrophic signaling. Here, we demonstrate that the outward transport
of dynein from soma to axon terminal is driven by direct interactions with the
anterograde motor kinesin-1. In developing neurons, we find that dynein
dynamically cycles between neurites, following kinesin-1 and accumulating in the
nascent axon coincident with axon specification. In established axons, dynein is
constantly transported down the axon at slow axonal transport speeds; inhibition
of the kinesin-1-dynein interaction effectively blocks this process. In vitro and
live-imaging assays to investigate the underlying mechanism lead us to propose a
new model for the slow axonal transport of cytosolic cargos, based on short-lived
direct interactions of cargo with a highly processive anterograde motor. VIDEO
ABSTRACT.
PMID- 27210555
TI - Linking Cholinergic Interneurons, Synaptic Plasticity, and Behavior during the
Extinction of a Cocaine-Context Association.
AB - Despite the fact that cholinergic interneurons are a key cell type within the
nucleus accumbens, a relationship between synaptic plasticity and the in vivo
activity of cholinergic interneurons remains to be established. Here, we identify
a three-way link between the activity of cholinergic interneurons, synaptic
plasticity, and learning in mice undergoing the extinction of a cocaine-context
association. We found that activity of cholinergic interneurons regulates
extinction learning for a cocaine-context association and generates a sustained
reduction in glutamatergic presynaptic strength onto medium spiny neurons.
Interestingly, activation of cholinergic interneurons does not support
reinforcement learning or plasticity by itself, suggesting that these neurons
have a modulatory rather than a reinforcing function.
PMID- 27210557
TI - A review of crustacean sensitivity to high amplitude underwater noise: Data needs
for effective risk assessment in relation to UK commercial species.
AB - High amplitude anthropogenic noise is associated with adverse impacts among a
variety of organisms but detailed species-specific knowledge is lacking in
relation to effects upon crustaceans. Brown crab (Cancer pagurus), European
lobster (Homarus gammarus) and Norway lobster (Nephrops norvegicus) together
represent the most valuable commercial fishery in the UK (Defra, 2014). Critical
evaluation of literature reveals physiological sensitivity to underwater noise
among N. norvegicus and closely related crustacean species, including juvenile
stages. Current evidence supports physiological sensitivity to local, particle
motion effects of sound production in particular. Derivation of correlative
relationships between the introduction of high amplitude impulsive noise and
crustacean distribution/abundance is hindered by the coarse resolution of
available data at the present time. Future priorities for research are identified
and argument for enhanced monitoring under current legislative frameworks
outlined.
PMID- 27210558
TI - The role of metallothioneins, selenium and transfer to offspring in mercury
detoxification in Franciscana dolphins (Pontoporia blainvillei).
AB - The concentrations of mercury (Hg), selenium (Se) and metallothioneins (MT) were
evaluated in fetuses, calves, juveniles and adults of the endangered coastal
Franciscana dolphin (Pontoporia blainvillei) from Argentina. Mercury
concentrations varied among analyzed tissues (liver, kidney, muscle and brain),
with liver showing the higher concentrations in all specimens. An age-dependent
accumulation was found in liver, kidney and brain. No significant relationship
between Hg and MT concentrations was found for all tissues analyzed. Hepatic Hg
molar concentrations were positively correlated with those of Se, indicating a
great affinity between these two elements. Furthermore, dark granules of HgSe
were observed in Kupffer cells in the liver by electron microscopy, suggesting
the role of this macrophage in the detoxification of Hg. A transfer of Hg through
placenta was proved. The presence of Hg in brain in all age classes did not show
concentrations associated with neurotoxicity.
PMID- 27210559
TI - Metagenomic analysis between free-living and cultured Epinephelus fuscoguttatus
under different environmental conditions in Indonesian waters.
AB - In this study, we analyzed and compared feces of free-living and cultivated fish
species, Epinephelus fuscoguttatus under different environmental conditions in
Indonesian waters. Metagenome analysis was performed using Illumina MiSeq
sequencing of the whole metagenomic DNA isolated from fish feces samples. The
analysis covered both prokaryotic and eukaryotic DNA. Feces samples from
mariculture fish revealed a highly stable distribution of several orders of
bacteria when compared to samples from free-living fish, which were highly
diverse and dominated by Vibrionales, Pseudomonales, Rhizobiales and non
classifiable Alphaproteobacteria. The eukaryotic content of the samples was
dominated by residues of the host and nine additional fish species that formed a
portion of the diet. Investigations on functional annotations for predominant
bacterial taxa, using Gene Ontology enrichment, revealed a number of functions
related to DNA metabolic processes, especially DNA repair, as well as antibiotic
response in the free-living fish species.
PMID- 27210560
TI - Co-selection of antibiotic and metal(loid) resistance in gram-negative epiphytic
bacteria from contaminated salt marshes.
AB - The goal of this study was to investigate co-selection of antibiotic resistance
in gram-negative epiphytic bacteria. Halimione portulacoides samples were
collected from metal(loid)-contaminated and non-contaminated salt marshes.
Bacterial isolates (n=137) affiliated with Vibrio, Pseudomonas, Shewanella,
Comamonas, Aeromonas and with Enterobacteriaceae. Vibrio isolates were more
frequent in control site while Pseudomonas was common in contaminated sites.
Metal(loid) and antibiotic resistance phenotypes varied significantly according
to site contamination, and multiresistance was more frequent in contaminated
sites. However, differences among sites were not observed in terms of prevalence
or diversity of acquired antibiotic resistance genes, integrons and plasmids.
Gene merA, encoding mercury resistance, was only detected in isolates from
contaminated sites, most of which were multiresistant to antibiotics. Results
indicate that metal(loid) contamination selects for antibiotic resistance in
plant surfaces. In salt marshes, antibiotic resistance may be subsequently
transferred to other environmental compartments, such as estuarine water or
animals, with potential human health risks.
PMID- 27210561
TI - Criticism of Marine Protected Areas by fisheries scientists.
PMID- 27210562
TI - Ecological risk evaluation of sediment metals in a tropical Euthrophic Bay,
Guanabara Bay, Southeast Atlantic.
AB - Surface sediments were collected from Guanabara Bay, at 14 stations distributed
in five sectors, over three sampling campaigns. Analyses of metals, grain size
fractions and total organic carbon analyses were performed. The geo-accumulation
index and the enrichment factor were estimated to assess contamination status
based on background values. Additionally, the sediment quality guidelines were
applied to evaluate the adverse biological effects. Results show that there was
no seasonal variation in sediment quality based on any methodology, and all
methods utilized showed that NW sector and HRJ sector were the worst affected and
that the NE sector had the best conditions. The sediments of GB are polluted
mainly by Cr, Pb and Zn. According to SigmaSEM/AVS, these metals are not
available to the biota, although toxicity tests dispute this. Among the various
methods employed, those using background values for the area seem to best reflect
the local historical contamination.
PMID- 27210563
TI - A new CO2 vent for the study of ocean acidification in the Atlantic.
AB - Natural CO2 vents are considered the gold standard of ocean acidification (OA)
studies. In coastal areas these rare vents have only been investigated at the
Mediterranean temperate rocky reefs and at Indo-Pacific coral reefs, although
there should be more at other volcanic shores around the world. Substantial
scientific efforts on investigating OA effects have been mostly performed by
laboratory experiments. However, there is a debate on how acute this kind of
approach truly represents the responses to OA scenarios, since it generally
involves short-term, rapid perturbation and single variable and species
experiments. Due to these limitations, world areas with natural CO2 vents are
essential to understand long-term marine ecosystem responses to rising human
derived atmospheric CO2 concentrations. Here, we presented a new vent found in
the subtropical North East Atlantic reefs (28 degrees N, La Palma Island) that
shows moderate CO2 emission (900ppm), reducing pH values to an annual average of
7.86+/-0.16.
PMID- 27210564
TI - Geochemical speciation and ecological risk assessment of selected metals in the
surface sediments of the northern Persian Gulf.
AB - The present study aimed to geochemical speciation of metals in the surface
sediments of the northern Persian Gulf. Metal contents in the sediment were
observed in the order: Al>Fe>Cr>Ni>V>Zn>Cu>Co>As>Pb>Cd. The results of sequential
extraction procedure revealed that all metals were predominantly associated with
the residual fraction. Among the metals, Cu and As exhibited higher
bioavailability. The risk assessment code (RAC) indicated that Cu, As and Cd had
medium environmental risk at some sampling sites. Based on enrichment factor
(EF), Cd and As had moderate to significant enrichment.
PMID- 27210565
TI - Environmental implications of the use of sulfidic back-bay sediments for dune
reconstruction - Lessons learned post Hurricane Sandy.
AB - Some barrier-island dunes damaged or destroyed by Hurricane Sandy's storm surges
in October 2012 have been reconstructed using sediments dredged from back bays.
These sand-, clay-, and iron sulfide-rich sediments were used to make berm-like
cores for the reconstructed dunes, which were then covered by beach sand. In
November 2013, we sampled and analyzed partially weathered materials collected
from the cores of reconstructed dunes. There are generally low levels of metal
toxicants in the reconstructed dune materials. However oxidation of reactive iron
sulfides by percolating rainwater produces acid-sulfate pore waters, which
evaporate during dry periods to produce efflorescent gypsum and sodium jarosite
salts. The results suggest use of sulfidic sediments in dune reconstruction has
both drawbacks (e.g., potential to generate acid runoff from dune cores following
rainfall, enhanced corrosion of steel bulwarks) and possible benefits (e.g.,
efflorescent salts may enhance structural integrity).
PMID- 27210566
TI - Trace elements in striped dolphins (Stenella coeruleoalba) from the Eastern
Mediterranean: A 10-years perspective.
AB - Concentrations of Hg, Se, Cd, Cu, Zn, Fe, Mn and As, in kidney, liver, muscle and
blubber from 7 specimens of Stenella coeruleoalba, stranded along the Israeli
Mediterranean coast (IMC) from 2006 to 2011 (2011-series) were determined and
compared to previous data on S. coeruleoalba from the IMC (2001-series). No
differences were observed in essential and toxic elements concentrations, between
the two series, except for hepatic Mn which was higher in the latter. Hg/Se molar
ratios in blubber, kidney and liver increased linearly with log Hg
concentrations, while muscle was more heterogenic in this respect. Means (+/-SD)
of hepatic Hg concentrations (134+/-89 and 181+/-200mgkg(-1), from the 2011 and
2001 series, respectively) were similar to that found in 2007-2009 specimens from
Spain, possibly reflecting the relatively high natural background levels of
mercury in the Mediterranean Sea.
PMID- 27210567
TI - The effect of dynamic hip motion on the micromotion of press-fit acetabular cups
in six degrees of freedom.
AB - The hip joint is subjected to cyclic loading and motion during activities of
daily living and this can induce micromotions at the bone-implant interface of
cementless total hip replacements. Initial stability has been identified as a
crucial factor to achieve osseointegration and long-term survival. Whilst
fixation of femoral stems achieves good clinical results, the fixation of
acetabular components remains a challenge. In vitro methods assessing cup
stability keep the hip joint in a fixed position, overlooking the effect of hip
motion. The effect of hip motion on cup micromotion using a hip motion simulator
replicating hip flexion-extension and a six degrees of freedom measurement system
was investigated. The results show an increase in cup micromotion under dynamic
hip motion compared to Static Flexion. This highlights the need to incorporate
hip motion and measure all degrees of freedom when assessing cup micromotion. In
addition, comparison of two press-fit acetabular cups with different surface
coatings suggested similar stability between the two cups. This new method
provides a basis for a more representative protocol for future pre-clinical
evaluation of different cup designs.
PMID- 27210568
TI - Population dynamics of Agriophyllum squarrosum, a pioneer annual plant endemic to
mobile sand dunes, in response to global climate change.
AB - Climate change plays an important role in the transition of ecosystems.
Stratigraphic investigations have suggested that the Asian interior experienced
frequent transitions between grassland and desert ecosystems as a consequence of
global climate change. Using maternally and bi-parentally inherited markers, we
investigated the population dynamics of Agriophyllum squarrosum (Chenopodiaceae),
an annual pioneer plant endemic to mobile sand dunes. Phylogeographic analysis
revealed that A. squarrosum could originate from Gurbantunggut desert since ~1.6
Ma, and subsequently underwent three waves of colonisation into other deserts and
sandy lands corresponding to several glaciations. The rapid population expansion
and distribution range shifts of A. squarrosum from monsoonal climate zones
suggested that the development of the monsoonal climate significantly enhanced
the population growth and gene flow of A. squarrosum. These data also suggested
that desertification of the fragile grassland ecosystems in the Qinghai-Tibetan
Plateau was more ancient than previously suggested and will be aggravated under
global warming in the future. This study provides new molecular phylogeographic
insights into how pioneer annual plant species in desert ecosystems respond to
global climate change, and facilitates evaluation of the ecological potential and
genetic resources of future crops for non-arable dry lands to mitigate climate
change.
PMID- 27210569
TI - Robotic Anatrophic Nephrolithotomy Utilizing Near-infrared Fluorescence Image
guidance: Idea, Development, Exploration, Assessment, and Long-term Monitoring
(IDEAL) Stage 0 Animal Model Study.
AB - OBJECTIVE: To test the feasibility of robotic anatrophic nephrolithotomy (RANL)
using near-infrared fluorescence (NIRF) image-guidance for treating staghorn
stones, in an in vivo stone surgery model. METHODS: We developed a novel
technique of RANL in a preclinical setting following guidelines on safe surgical
innovation from the Idea, Development, Exploration, Assessment, Long-term
monitoring (IDEAL) collaborative. We performed 2 RANL procedures on 2 live
Yorkshire porcine females (IDEAL stage 0 study). The robot was docked in the
flank position and a mini-GelPOINT was placed periumbilically as an assistant
port. A model staghorn "stone" was created in vivo by injecting low-viscosity
DenMat precision material into the renal pelvis. NIRF image-guidance, following
clamping of the posterior renal artery, was used to determine if an anatrophic
plane could be identified. One procedure was assessed under cold ischemia, with
ice-slush injected onto the renal surface via the mini-GelPOINT. RESULTS: Both
porcine subjects underwent RANL successfully. Replica staghorn models could be
created reliably (mean size 5.1 cm; solidification time 2-3 minutes). NIRF image
guidance afforded clear vascular demarcation for precise scoring of an anatrophic
plane in both kidneys. The staghorn models were removed in toto through the
anatrophic incision in both subjects. Mean blood loss was 160 cc. Mean console
and ischemia times were 114 minutes and 34.5 minutes, respectively; ice-slush
hypothermia led to a renal surface temperature of 15.4 degrees C. CONCLUSION: In
this IDEAL stage 0 preclinical study, we demonstrated that NIRF image-guidance is
able to accurately identify the renal avascular plane, thus permitting an
anatrophic approach for robotic excision of staghorn stones.
PMID- 27210570
TI - Evaluation of Adult Acute Scrotum in the Emergency Room: Clinical
Characteristics, Diagnosis, Management, and Costs.
AB - OBJECTIVE: To evaluate the clinic characteristics, diagnosis, management, and
costs of the adult acute scrotum in the emergency room (ER). Acute scrotum is a
syndrome characterized by intense, acute scrotal pain that may be accompanied by
other symptoms. It is usual in children and commonly found as well in adults,
with different causal pathologies between these groups. METHODS: Between November
2013 and September 2014, 669 cases of adult acute scrotum who presented to our ER
were prospectively analyzed. Patients under 15 years of age were excluded.
Patient age, reason for consultation, investigations performed, final diagnosis,
management, and costs were evaluated. For the statistical analysis, the Mann
Whitney, Kruskal-Wallis U, and chi-square tests were used. RESULTS: A total of
669 cases of acute scrotum were analyzed. The mean age at presentation was 40.2
+/- 17.3 years. The most presented diagnoses were orchiepididymitis (28.7%),
epididymitis (28.4%), symptoms of uncertain etiology (25.1%), and orchitis
(10.3%). Diagnostic tests were carried out in 57.8% of cases. Most cases were
treated as outpatients (94.2%), with 5.83% admitted and 1% undergoing surgical
treatment. Overall, 13.3% of patients represented to the ER. Abnormal results in
blood and urine tests were more common among older patients and infectious
pathologies. The average cost generated by an acute scrotum ER consult was
195.03?. CONCLUSION: Infectious pathologies are the most common causes of acute
scrotum at ER. Abnormal blood and urine tests are unusual and are more common in
older patients and infectious pathologies.
PMID- 27210571
TI - Open Vs Minimally Invasive Adult Ureteral Reimplantation: Analysis of 30-day
Outcomes in the National Surgical Quality Improvement Program (NSQIP) Database.
AB - OBJECTIVE: To examine 30-day outcomes of robotic-assisted and pure laparoscopic
ureteral reimplantation (LUR) vs open ureteral reimplantation (OUR) in adult
patients for benign disease. METHODS: We identified adult patients undergoing LUR
or OUR by urologists between 2006 and 2013 using the American College of Surgeons
National Surgical Quality Improvement Program database, excluding those with
concomitant partial cystectomy or ureterectomy. Multivariable regression modeling
was used to assess for the independent association of minimally invasive surgery
(MIS) with 30-day complications, reoperations, or readmissions. RESULTS: Of 512
patients identified, 300 underwent LUR and 212 underwent OUR. Baseline
characteristics including age, race, body mass index, and cardiovascular
comorbidities were similar between LUR and OUR (all P > .05). Patients who
underwent LUR had higher median preoperative serum creatinine (1.1 mg/dL vs 1.0
mg/dL, P = .03), increased presence of a resident (51% vs 34%, P < .01), and
shorter hospitalization (1 [interquartile range 0-3] days vs 4 [interquartile
range 3-6] days, P < .01) compared to patients who underwent OUR. LUR had lower
overall complications (9% vs 28%, P < .01), especially with regard to
transfusions (1% vs 11%, P < .01), superficial wound infections (0% vs 5%, P <
.01), and urinary tract infections (5% vs 11%, P = .03). On multiple regression
analyses, MIS was an independent predictor of lower overall complication rate
(odds ratio [OR] 0.24 [0.14-0.40], P < .01), but was not predictive of
readmission (OR 0.93 [0.44-1.98], P = .16) or reoperation (OR 2.09 [0.90-4.82], P
= .10). CONCLUSION: In the largest current series assessing the impact of MIS on
adult ureteral reimplantation, data from the National Surgical Quality
Improvement Program demonstrate that LUR results in decreased 30-day
complications.
PMID- 27210572
TI - Urodynamic Findings in Adults With Moderate to Severe Cerebral Palsy.
AB - OBJECTIVE: To determine urodynamic studies (UDS) findings in adult cerebral palsy
(CP) patients. CP patients may suffer from voiding dysfunction. UDS in children
with CP has consistently shown an upper motor neuron bladder with detrusor
sphincter dyssynergia. METHODS: We included adult CP patients seen at Gillette
Transitional Urology Clinic who underwent UDS for voiding dysfunction between
2011 and 2014. Descriptive statistics were used to characterize findings.
RESULTS: There were 49/211 CP patients who underwent UDS. Average age was 30
years; 55% were men. Ninety-eight percent had moderate to severe CP. UDS was
initiated for irritative symptoms in 55%, obstructive voiding symptoms in 25%,
hydronephrosis in 18%, and other reasons in 2%. Incontinence was reported in 57%.
Detrusor-sphincter dyssynergia was seen in 12%, detrusor overactivity in 30%, and
detrusor leak point pressure (DLPP) >40 cmH2O in 51%. Median compliance was 18
mL/cmH2O (0.78-365). Maximum cystometric capacity (MCC) was 80-1400 mL and was
<300 mL in 27%. Sixteen percent had an MCC <300 mL and a compliance <20 mL/cmH2O.
Twelve percent had an MCC <300 mL and a DLPP >40 cmH2O. CONCLUSION: UDS findings
in symptomatic adult CP patients are varied. Fifty-one percent had upper motor
neuron bladder findings, similar to that seen in the pediatric literature, but 6%
had large flaccid bladders. Half of the patients had concerning findings, such as
compliance <20 or DLPP >40 cmH2O. Our results emphasize the need to thoroughly
investigate voiding dysfunction in those with CP. Further characterization of
this population is needed to correlate these UDS findings with clinical outcomes.
PMID- 27210574
TI - Urinary Incontinence Following Prostate Brachytherapy.
AB - OBJECTIVE: To define the incidence, time course, and risk factors associated with
the development of urinary incontinence (UI) following prostate brachytherapy.
MATERIALS AND METHODS: A total of 2461 men were identified who underwent
permanent interstitial prostate brachytherapy with or without external beam
radiation therapy. We examined the relationship between clinical- and treatment
related variables with the onset of UI, defined as leakage requiring pad usage,
and further classified as stress (SUI) or urge (UUI) predominant, using
univariate and Cox proportional hazards regression models. The changes in
International Prostate Symptom Score and quality of life domains were assessed
from baseline to last follow, and examined by UI status. RESULTS: Patients were
followed for a median of 6.4 years (interquartile range 4.1-9.3). UI was reported
in 108 individuals (4.4%), at a median of 1.8 years (interquartile range 5 months
4.4 years): 30 with SUI and 78 with UUI. Seventy-two men (66.7%) reported using
1, 24 (22.2%) using 2, and 12 (11%) using >=3 pads per day. On multivariate
analysis, post-implantation transurethral resection of the prostate, urinary
retention, external beam radiation therapy, and higher pretreatment International
Prostate Symptom Score were significantly associated with the development of SUI,
although transurethral resection of the prostate was the only significant risk
factor associated with SUI. Men experiencing UI reported greater declines in
urinary quality of life; however, no significant difference was observed between
SUI and UUI. CONCLUSION: UI occurred in 4.4% of patients following prostate
brachytherapy and is more commonly urge-predominant in character. Distinct risk
factors exist for the development of UUI vs SUI. Urinary leakage requiring pad
usage was associated with declines in urinary QOL.
PMID- 27210573
TI - Characterization of Inner Medullary Collecting Duct Plug Formation Among
Idiopathic Calcium Oxalate Stone Formers.
AB - OBJECTIVE: To study the prevalence of, risk factors for, and renal functional
consequences of ductal plug formation in idiopathic calcium oxalate (iCaOx) stone
formers (SF). PATIENTS AND METHODS: Accessible renal papillae were videotaped to
determine the percent surface area (SA) occupied by plaque and ductal plug in a
consecutive cohort of iCaOx SF undergoing percutaneous nephrolithotomy for stone
removal. RESULTS: Between 2009 and 2014, iCaOx SF comprised 96 of 240 enrolled
patients. Of these, 41 (43%) had ductal plugs. Mean plaque SA did not differ
between the low and high % plug groups (2.1% vs 3.4%, respectively). The amounts
of mean % SA plaque and ductal plug were not strongly correlated (Spearman's rho
= 0.12, P = .3). Patients with >1% mean SA plug had a higher urinary pH (median
6.5 vs 6.0, P = .02) and elevated urinary hydroxyapatite supersaturation (median
5.4 vs 3.7 delta G; P = .04). Those with >1% plugging had more extensive ductal
dilation (P = .002) compared to those with <=1%. However, estimated glomerular
filtration rate was the same (median 75.4 mL/min/1.73 m(2) vs 74.7 mL/min/1.73
m(2)). Number of prior stone events was associated with mean and maximum
papillary SA occupied by plug (P < .05 for both), but not plaque (P = .3 and p =
.5, respectively). CONCLUSION: Within a cohort of iCaOx SF, macroscopic plaque
and ductal plugs often coexist. Intraluminal features known to favor calcium
phosphate crystallization appear to play a role in plug formation. The pathogenic
significance of these plugs remains to be established, although their extent
appears to correlate with stone burden.
PMID- 27210575
TI - Should anti-mesothelin therapies be explored in lung cancer?
PMID- 27210576
TI - A Subject-Specific Acoustic Model of the Upper Airway for Snoring Sounds
Generation.
AB - Monitoring variations in the upper airway narrowing during sleep is invasive and
expensive. Since snoring sounds are generated by air turbulence and vibrations of
the upper airway due to its narrowing; snoring sounds may be used as a non
invasive technique to assess upper airway narrowing. Our goal was to develop a
subject-specific acoustic model of the upper airway to investigate the impacts of
upper airway anatomy, e.g. length, wall thickness and cross-sectional area, on
snoring sounds features. To have a subject-specific model for snoring generation,
we used measurements of the upper airway length, cross-sectional area and wall
thickness from every individual to develop the model. To validate the proposed
model, in 20 male individuals, intensity and resonant frequencies of modeled
snoring sounds were compared with those measured from recorded snoring sounds
during sleep. Based on both modeled and measured results, we found the only
factor that may positively and significantly contribute to snoring intensity was
narrowing in the upper airway. Furthermore, measured resonant frequencies of
snoring were inversely correlated with the upper airway length, which is a risk
factor for upper airway collapsibility. These results encourage the use of
snoring sounds analysis to assess the upper airway anatomy during sleep.
PMID- 27210578
TI - Legal professionals and witness statements from people with a suspected mental
health diagnosis.
AB - Individuals with mental health problems are considered to be part of a group
labeled 'vulnerable' in forensic psychology literature and the legal system more
generally. In producing witness statements, there are numerous guidelines in the
UK, designed to facilitate the production of reliable and valid accounts by those
deemed to be vulnerable witnesses. And yet, it is not entirely clear how mental
health impacts on reliability and validity within the judicial system, partly due
to the diversity of those who present with mental health difficulties. In this
paper, we set out to explore how legal professionals operating in the UK
understand the impact of mental distress on the practical production of witness
testimonies. Twenty legal professionals, including police officers, judges,
magistrates and detectives were involved in a semi-structured interview to
examine their knowledge and experience of working with mental health problems,
and how they approached and worked with this group. A thematic analysis was
conducted on the data and specific themes relevant to the overall research
question are presented. These include a) dilemmas and deficiencies in knowledge
of mental health, b) the abandonment of diagnosis and c) barriers to knowledge:
time restrictions, silence, professional identity and fear. Finally, we explore
some of the implications of these barriers, with regard to professional practice.
PMID- 27210577
TI - Psychometric properties of the Socially Desirable Response Set-5 among
incarcerated male and female juvenile offenders.
AB - The main aim of the present study was to examine the psychometric properties of
the Socially Desirable Response Set-5 (SDRS-5) among a forensic sample (N=324) of
incarcerated male (n=221) and female (n=103) juvenile offenders. The Portuguese
validation of the SDRS-5 demonstrated good psychometric properties, namely in
terms of its factor structure, internal consistency, convergent validity, and
discriminant validity that overall justifies its use among this population.
Findings are discussed in terms of the use of the SDRS-5 with male and female
juvenile offenders.
PMID- 27210579
TI - The use of social media by plastic surgery journals.
PMID- 27210580
TI - UKTEAS: United Kingdom training experience in aesthetic surgery.
PMID- 27210581
TI - Does Extra Interletter Spacing Help Text Reading in Skilled Adult Readers?
AB - A number of experiments have shown that, in skilled adult readers, a small
increase in interletter spacing speeds up the process of visual word recognition
relative to the default settings (i.e., judge faster than judge). The goal of the
present experiment was to examine whether this effect can be generalized to a
more ecological scenario: text reading. Each participant read two stories (367
words each) taken from a standardized reading test. The stories were presented
with the standard interletter spacing or with a small increase in interletter
spacing (+1.2 points to default) in a within-subject design. An eyetracker was
used to register the participants' eye movements. Comprehension scores were also
examined. Results showed that, on average, fixation durations were shorter while
reading the text with extra spacing than while reading the text with the default
settings (237 vs. 245 ms, respectively; eta2 =. 41, p = .01). However, the number
of fixations (while nonsignificant) was slightly higher in the text with extra
spacing than in the text with the default spacing, and cancelled out the effect
of interletter spacing in total reading times (F < 1). Comprehension scores were
similar in the two spacing conditions (F < 1). Thus, at least for skilled adult
readers, interletter spacing does not seem to play a consistently facilitative
role during text reading.
PMID- 27210582
TI - Update on Hypercortisolism Therapy.
AB - Treating Cushing's syndrome is very challenging and should be tailored to the
patient. Surgery is considered the gold standard treatment for both pituitary
adrenocorticotropic hormone (ACTH)-secreting adenomas, ectopic ACTH-secreting
tumors and adrenal tumors, as the chance to be successful is high, especially for
adrenal tumors, when performed in specialized centers by expert surgeons.
Pituitary radiotherapy represents a second-line treatment in patients not cured
with surgery, or when medical treatment is not suitable/efficacious, although the
rate of cure is largely variable and achieved only in the long term, and is
associated with the risk of developing secondary hypopituitarism. Several drugs,
acting at the pituitary, adrenal or peripheral tissue level, are available.
Medical treatment is indicated as second-line therapy for patients unsuccessfully
treated with pituitary surgery, or in those awaiting radiotherapy to become
effective, or prior to adrenalectomy, and as the first-line approach to prepare
patients for surgery, especially those with severe comorbidities, or in those not
suitable/refusing surgery. The success rate of medical therapy is variable,
depending on the cause and severity of hypercortisolism, and is often associated
with important side effects.
PMID- 27210584
TI - Perceived benefits and barriers of physical activity: A social marketing
formative study.
AB - The aim of this study was to gain formative research insights that can be used to
design social marketing campaigns. One thousand four hundred fifty-nine people
participated in an online survey. Factor analysis was undertaken to establish
perceived benefits and barriers, and indexes were created for barriers, benefits,
and healthy living knowledge. Four attitude groups were formed and analysis of
variance was undertaken to explore group differences. Consumers with high
perceived barriers report less physical activity than consumers with low
perceived barriers to exercise. The current study provides evidence to suggest
that exchange theory can offer important insights to inform social marketing
intervention planning.
PMID- 27210586
TI - A case of drug-associated dermatomyositis following ipilimumab therapy.
PMID- 27210587
TI - OCT-4 expression is essential for the segregation of trophectoderm lineages in
porcine preimplantation embryos.
AB - Oct-4, a member of the POU family of transcription factors, is a key factor that
regulates the segregation of the inner cell mass (ICM) and the trophectoderm (TE)
during the transition from morula to blastocyst in mice. However, little is known
about its role in porcine early embryogenesis. To determine the function of OCT-4
in the ICM and TE segregation of porcine embryos, we studied the developmental
morphology of porcine embryos using RNA interference technology. Our experiments
demonstrated that when 1-cell stage embryos were co-injected with the small
interfering RNA (siRNA)for targeted knockdown of OCT-4 (OCT-4-siRNA) and
tetramethylrhodamine isothiocyanate (TRITC)-dextran conjugate (Dx), they failed
to form blastocysts. Therefore, in this study, we constructed chimeric embryos
comprising blastomeres that either expressed OCT-4 normally or showed
downregulated OCT-4 expression by co-injection of OCT-4-siRNA and Dx into one
blastomere in 2- to 4-cell stage embryos. In control embryos, which were co
injected with control siRNA and Dx, Dx-positive cells contributed to the TE
lineage in almost all the blastocysts examined. In contrast, Dx-positive cells
derived from a blastomere co-injected with OCT-4-siRNA and Dx were degenerated in
almost half the blastocysts. This was probably due to the inability of these
cells to differentiate into the TE lineage. Real-time RT-PCR analysis revealed no
difference in the levels of SOX2, TEAD4, FGF4 and FGFR1-IIIc, all of which are
known to be regulated by OCT-4, between the OCT-4-siRNA-injected morulae and the
control ones. However, the level of CDX2, a molecule specifically expressed in
the TE lineage, was significantly higher in the former than in the latter. Our
results indicate that continuous expression of OCT-4 in blastomeres is essential
for TE formation of porcine embryos.
PMID- 27210585
TI - Magnesium inference screw supports early graft incorporation with inhibition of
graft degradation in anterior cruciate ligament reconstruction.
AB - Patients after anterior cruciate ligament (ACL) reconstruction surgery commonly
encounters graft failure in the initial phase of rehabilitation. The inhibition
of graft degradation is crucial for the successful reconstruction of the ACL.
Here, we used biodegradable high-purity magnesium (HP Mg) screws in the rabbit
model of ACL reconstruction with titanium (Ti) screws as a control and analyzed
the graft degradation and screw corrosion using direct pull-out tests, microCT
scanning, and histological and immunohistochemical staining. The most noteworthy
finding was that tendon graft fixed by HP Mg screws exhibited biomechanical
properties substantially superior to that by Ti screws and the relative area of
collagen fiber at the tendon-bone interface was much larger in the Mg group, when
severe graft degradation was identified in the histological analysis at 3 weeks.
Semi-quantitative immunohistochemical results further elucidated that the MMP-13
expression significantly decreased surrounding HP Mg screws with relatively
higher Collagen II expression. And HP Mg screws exhibited uniform corrosion
behavior without displacement or loosening in the femoral tunnel. Therefore, our
results demonstrated that Mg screw inhibited graft degradation and improved
biomechanical properties of tendon graft during the early phase of graft healing
and highlighted its potential in ACL reconstruction.
PMID- 27210588
TI - Exogenous neurotensin modulates sperm function in Japanese Black cattle.
AB - Recently, the conception rates after artificial insemination have been pointed
out to decline continuously. To overcome this problem, the control of frozen and
thawed sperm quality is required. However, the mechanism of bovine sperm
functional regulation is still largely unknown. In mammals, the ejaculated sperm
are capable of showing fertilizing ability during migration in the female
reproductive organs. It is well known that these female organs secrete several
factors contributing to sperm capacitation. We previously reported that
neurotensin (NT) secreted from the oviduct and cumulus cells enhanced sperm
capacitation and acrosome reaction in mice. In this study, we confirmed the
expression of the NT receptor (NTR1) in the bovine sperm neck region and the
secretion of NT in the bovine uterus and oviduct. The similar expression patterns
of NT and NTR1 suggests a conserved mechanism of sperm functional regulation
between mouse and cattle. Thus, we examined the effects of exogenous NT on the
bovine sperm functions. First, we showed that NT induced sperm protein tyrosine
phosphorylation in a dose-dependent manner, suggesting that NT enhances sperm
capacitation. Second, we showed that NT induced acrosome reactions of capacitated
sperm in a dose-dependent manner, suggesting that NT facilitates acrosome
reaction. Finally, we used a computer-aided sperm analysis system to show that NT
did not have a great effect on sperm motility. These results suggest that NT acts
as a facilitator of sperm capacitation and acrosome reaction in the female
reproductive tracts in cattle, highlighting the importance of NT-mediated
signaling to regulate sperm functions.
PMID- 27210589
TI - It's a struggle: That's the nature of life.
PMID- 27210590
TI - Anxiety and depression in patients after surgery for head and neck cancer in
Japan.
AB - OBJECTIVE: The present study sought to examine the impact of physical symptoms,
facial disfigurement, adequacy of preoperative information, and social support on
anxiety and depression in Japanese patients with head and neck cancer (HNC) who
had undergone surgery. METHOD: A cross-sectional study with 194 patients was
conducted using a self-administered questionnaire. This instruments included the
Hospital Anxiety and Depression Scale (HADS), the European Organization for
Research and Treatment of Cancer (EORTC) Head and Neck cancer module (QLQ-H&N35),
and a Social Support Scale developed by Okabayashi et al. (1997). RESULTS: The
majority (56.7%) had surgery two or more years before completing the
questionnaire. More than 25% of respondents showed anxiety or depression. Higher
levels of perceived social support were associated with lower rates of anxiety
and depression (p < 0.01). Sensory problems were associated with anxiety, and
reduced sexuality was associated with depression (p < 0.01). Perceived
disfigurement and adequacy of preoperative information were not associated with
anxiety or depression. SIGNIFICANCE OF RESULTS: Survivors of HNC experience
anxiety and depression for an extended period of time. Social support may
alleviate the severity of these disorders. More research is needed to confirm the
impact of facial disfigurement and that of the preoperative information provided
by surgeons on psychological distress in HNC patients.
PMID- 27210591
TI - Future Directions for the Implementation and Dissemination of Statewide
Developmental-Behavioral Pediatric Integrated Health Care.
AB - The integration of mental health and pediatric health care services has long been
a goal for both research and practice. With the advent of federal policies
developed to mandate clinical efficiency across the health care spectrum, this
issue is becoming more salient. Applied literature on this topic is only recently
emerging, however, and there are limited contextual examples to guide program
development, research, and refinement. This article presents background
information relevant to the development of such a program (the Center for
Advancement of Youth). The cultural and organizational contexts for the project
are discussed, with particular emphasis on models for cooperation among several
institutions of varying size and scope. The implications for the future of
tangible research in this area are also discussed, with attention to extending
lessons learned to diverse settings motivated to integrate various aspects of
health care service provision.
PMID- 27210592
TI - Neuropeptides in the regulation of Rhodnius prolixus physiology.
AB - In the kissing bug Rhodnius prolixus, events such as diuresis, antidiuresis,
development and reproduction are triggered by blood feeding. Hence, these events
can be accurately timed, facilitating physiological experiments. This, combined
with its relatively big size, makes R. prolixus an excellent model in insect
neuroendocrinological studies. The importance of R. prolixus as a Chagas' disease
vector as much as an insect model has motivated the sequencing of its genome in
recent years, facilitating genetic and molecular studies. Most crucial
physiological processes are regulated by the neuroendocrine system, composed of
neuropeptides and their receptors. The identification and characterization of
neuropeptides and their receptors could be the first step to find targets for new
insecticides. The sequences of 41 neuropeptide precursor genes and the receptors
for most of them were identified in the R. prolixus genome. Functional
information about many of these molecules was obtained, whereas many
neuroendocrine systems are still unstudied in this model species. This review
addresses the knowledge available to date regarding the structure, distribution,
expression and physiological effects of neuropeptides in R. prolixus, and points
to future directions in this research field.
PMID- 27210593
TI - Acceptorless Dehydrogenative Coupling of o-Aminobenzamides with the Activation of
Methanol as a C1 Source for the Construction of Quinazolinones.
AB - A strategy for the synthesis of quinazolinones via acceptorless coupling of o
aminobenzamides with methanol has been accomplished in the presence of the metal
ligand bifunctional catalyst [Cp*Ir(2,2'-bpyO)(H2O)]. Notably, this research
exhibited the potential of transition-metal-catalyzed activation of methanol as a
C1 source for the construction of heterocycles.
PMID- 27210594
TI - Acute Torsion of Paraovarian Cyst and Ipsilateral Uterine Remnant Leiomyoma in a
Patient with Mayer-Rokitansky-Kuster-Hauser Syndrome.
PMID- 27210583
TI - Tumor-targeting peptides from combinatorial libraries.
AB - Cancer is one of the major and leading causes of death worldwide. Two of the
greatest challenges in fighting cancer are early detection and effective
treatments with no or minimum side effects. Widespread use of targeted therapies
and molecular imaging in clinics requires high affinity, tumor-specific agents as
effective targeting vehicles to deliver therapeutics and imaging probes to the
primary or metastatic tumor sites. Combinatorial libraries such as phage-display
and one-bead one-compound (OBOC) peptide libraries are powerful approaches in
discovering tumor-targeting peptides. This review gives an overview of different
combinatorial library technologies that have been used for the discovery of tumor
targeting peptides. Examples of tumor-targeting peptides identified from each
combinatorial library method will be discussed. Published tumor-targeting peptide
ligands and their applications will also be summarized by the combinatorial
library methods and their corresponding binding receptors.
PMID- 27210595
TI - Vacancy-induced manganese vanadates and their potential application to Li-ion
batteries.
AB - We report on the synthesis and characterization of a novel manganese vanadate,
Mn1.5(H2O)(NH4)V4O12, with rare in situ disorder of Mn(H2O)2(2+)/2NH4(+). We show
that vacancies created by ammonium ions and coordinating water molecules within
the manganese vanadate crystal structure yield high-charge capacity, favorable
rate capability, and long cycle life in Li-ion half-cells.
PMID- 27210596
TI - Why is a proton transformed into a hydride by [NiFe] hydrogenases? An intrinsic
reactivity analysis based on conceptual DFT.
AB - The hydrogen evolution reaction (HER) catalysed by [NiFe] hydrogenases entails a
series of chemical events involving great mechanistic interest. In an attempt to
understand and delve into the question about 'Why does nature work in that way?',
an in-depth intrinsic reactivity analysis based on conceptual DFT has been
carried out focusing on the so-called to step, i.e. our work tries to answer how
and why the proton attached to the reactive sulphur atom from one of the exo
cyclic cysteine residues is transformed into a bridging hydride to be shared
between the Ni/Fe metals in the active site of [NiFe] hydrogenases, which
involves not only H migration, but also a change of the charge state on Ni from
Ni(i) to Ni(iii). Our DFT results suggest that the transformation is motivated by
spontaneous rearrangements of the electron density, and stabilisation comes from
the decrease of both electronic activity and electrophilicity index from Ni.
PMID- 27210597
TI - Dual modulating functions of thrombomodulin in the alternative complement
pathway.
AB - Thrombomodulin (TM) is a transmembrane protein expressed on vascular endothelial
cells. TM has anticoagulant and anti-inflammatory properties. It has recently
been reported that TM modulates complement, an immune effector system that
destroys pathogens and is also involved in inflammation. TM was demonstrated to
enhance the degradation of C3b into iC3b by factor I and factor H, indicating
that its role is in negative regulation in the alternative pathway of the
complement system. In this study, we examined the effects of recombinant human
soluble TM protein composed of the extracellular domains (rTM) on the alternative
pathway. The degradation of C3b into iC3b by factor I and factor H was enhanced
by rTM as assessed by SDS-PAGE, confirming the previous observation. We also
found that rTM enhances the cleavage of C3 into C3b as a result of activation of
the alternative pathway. These results indicate that TM has both activating and
inactivating functions in the alternative pathway.
PMID- 27210598
TI - Structure versus Function in Glaucoma: The Debate That Doesn't Need to Be.
PMID- 27210599
TI - Seeing Through Walls: Subhyaloid Hemorrhage.
PMID- 27210600
TI - Postoperative Subconjunctival Emphysema.
PMID- 27210601
TI - An Unusual Presentation of a Vitreous Hemorrhage.
PMID- 27210602
TI - Atypical Presentation of a Complex Eyelid Choristoma Mimicking a Chalazion with
Pyogenic Granuloma.
PMID- 27210603
TI - Intracameral Antibiotic Ointment Following Penetrating Keratoplasty.
PMID- 27210604
TI - Corrigendum.
PMID- 27210605
TI - Reply.
PMID- 27210606
TI - Re: Kuang et al.: Estimating lead time gained by optical coherence tomography in
detecting glaucoma before development of visual field defects (Ophthalmology
2015;122:2002-9).
PMID- 27210607
TI - Re: Boyer et al.: Outcomes with as-needed ranibizumab after initial monthly
therapy: long-term outcomes of the phase III RIDE and RISE trials (Ophthalmology
2015;122:2504-13).
PMID- 27210608
TI - Re: McCannel: Simulation surgical teaching in ophthalmology (Ophthalmology
2015;122:2371-2).
PMID- 27210609
TI - Re: Chia et al.: Five-year clinical trial on atropine for the treatment of myopia
2: myopia control with atropine 0.01% eyedrops (Ophthalmology 2016;123:391-9).
PMID- 27210610
TI - Reply.
PMID- 27210611
TI - Reply.
PMID- 27210612
TI - Detection of Bartonella spp. in wild carnivores, hyraxes, hedgehog and rodents
from Israel.
AB - Bartonella infection was explored in wild animals from Israel. Golden jackals
(Canis aureus), red foxes (Vulpes vulpes), rock hyraxes (Procavia capensis),
southern white-breasted hedgehogs (Erinaceus concolor), social voles (Microtus
socialis), Tristram's jirds (Meriones tristrami), Cairo spiny mice (Acomys
cahirinus), house mice (Mus musculus) and Indian crested porcupines (Hystrix
indica) were sampled and screened by molecular and isolation methods. Bartonella
DNA was detected in 46 animals: 9/70 (13%) golden jackals, 2/11 (18%) red foxes,
3/35 (9%) rock hyraxes, 1/3 (33%) southern white-breasted hedgehogs, 5/57 (9%)
Cairo spiny mice, 25/43 (58%) Tristram's jirds and 1/6 (16%) house mice.
Bartonella rochalimae and B. rochalimae-like were widespread among jackals,
foxes, hyraxes and jirds. This report represents the first detection of this
zoonotic Bartonella sp. in rock hyraxes and golden jackals. Moreover, DNA of
Bartonella vinsonii subsp. berkhoffii, Bartonella acomydis, Candidatus Bartonella
merieuxii and other uncharacterized genotypes were identified. Three different
Bartonella strains were isolated from Tristram's jirds, and several genotypes
were molecularly detected from these animals. Furthermore, this study reports the
first detection of Bartonella infection in a southern hedgehog. Our study
indicates that infection with zoonotic and other Bartonella species is widespread
among wild animals and stresses their potential threat to public health.
PMID- 27210613
TI - Two-Photon Enzymatic Probes Visualizing Sub-cellular/Deep-brain Caspase
Activities in Neurodegenerative Models.
AB - Caspases work as a double-edged sword in maintaining cell homeostasis. Highly
regulated caspase activities are essential during animal development, but
dysregulation might lead to different diseases, e.g. extreme caspase activation
is known to promote neurodegeneration. At present, visualization of caspase
activation has mostly remained at the cellular level, in part due to a lack of
cell-permeable imaging probes capable of direct, real-time investigations of
endogenous caspase activities in deep tissues. Herein, we report a suite of two
photon, small molecule/peptide probes which enable sensitive and dynamic imaging
of individual caspase activities in neurodegenerative models under physiological
conditions. With no apparent toxicity and the ability of imaging endogenous
caspases both in different subcellular organelles of mammalian cells and in brain
tissues, these probes serve as complementary tools to conventional histological
analysis. They should facilitate future explorations of caspases at molecular,
cellular and organism levels and inspire development of novel two-photon probes
against other enzymes.
PMID- 27210615
TI - The complete mitochondrial genome of Anser indicus (Aves, Anseriformes,
Anatidae).
AB - The bar-headed goose is known one of the world's highest-flying birds. In this
study, the complete mitochondrial genome of Anser indicus (16,728 bp in length)
was sequenced. Similar to the typical mtDNA of other vertebrates, goose mtDNA
contained 37 genes (13 protein-coding genes, 2 rRNA genes and 22 tRNA genes) and
a non-coding region (D-loop). The characteristics of the mitochondrial genome
were analyzed in detail. We deduce that ND5 may be a major gene required for
adaptation to high-altitude flight. The complete mitochondrial genome sequence of
A. indicus obtained will be useful for phylogenetics, and biological
characteristics.
PMID- 27210614
TI - CXCR6 marks a novel subset of T-bet(lo)Eomes(hi) natural killer cells residing in
human liver.
AB - Natural killer cells (NK) are highly enriched in the human liver, where they can
regulate immunity and immunopathology. We probed them for a liver-resident
subset, distinct from conventional bone-marrow-derived NK. CXCR6+ NK were
strikingly enriched in healthy and diseased liver compared to blood (p < 0.0001).
Human hepatic CXCR6+ NK had an immature phenotype (predominantly CD56(bright)CD16
CD57-), and expressed the tissue-residency marker CD69. CXCR6+ NK produced fewer
cytotoxic mediators and pro-inflammatory cytokines than the non-liver-specific
CXCR6- fraction. Instead CXCR6+ NK could upregulate TRAIL, a key death ligand in
hepatitis pathogenesis. CXCR6 demarcated liver NK into two transcriptionally
distinct populations: T-bet(hi)Eomes(lo)(CXCR6-) and T-bet(lo)Eomes(hi)(CXCR6+);
the latter was virtually absent in the periphery. The small circulating CXCR6+
subset was predominantly T-bet(hi)Eomes(lo), suggesting its lineage was closer to
CXCR6- peripheral than CXCR6+ liver NK. These data reveal a large subset of human
liver-resident T-bet(lo)Eomes(hi) NK, distinguished by their surface expression
of CXCR6, adapted for hepatic tolerance and inducible anti-viral immunity.
PMID- 27210616
TI - Problem-Based Learning in Biomechanics: Advantages, Challenges, and
Implementation Strategies.
AB - Problem-based learning (PBL) has been shown to be effective in biomedical
engineering education, particularly in motivating student learning, increasing
knowledge retention, and developing problem solving, communication, and teamwork
skills. However, PBL adoption remains limited by real challenges in effective
implementation. In this paper, we review the literature on advantages and
challenges of PBL and present our own experiences. We also provide practical
guidelines for implementing PBL, including two examples of PBL modules from
biomechanics courses at two different institutions. Overall, we conclude that the
benefits for both professors and students support the use of PBL in biomedical
engineering education.
PMID- 27210617
TI - Corrigendum.
PMID- 27210618
TI - Salbutamol for transient tachypnea of the newborn.
AB - BACKGROUND: Transient tachypnea of the newborn is characterized by tachypnea and
signs of respiratory distress. Transient tachypnea typically appears within the
first two hours of life in term and late preterm newborns. Although transient
tachypnea of the newborn is usually a self limited condition, it is associated
with wheezing syndromes in late childhood. The rationale for the use of
salbutamol (albuterol) for transient tachypnea of the newborn is based on studies
showing that beta-agonists can accelerate the rate of alveolar fluid clearance.
OBJECTIVES: To assess whether salbutamol compared to placebo, no treatment or any
other drugs administered to treat transient tachypnea of the newborn, is
effective and safe in the treatment of transient tachypnea of the newborn in
infants born at 34 weeks' gestational age or more. SEARCH METHODS: We searched
the Cochrane Central Register of Controlled Trials (CENTRAL, 2016, Issue 3),
MEDLINE (1996 to March 2016), EMBASE (1980 to March 2016) and CINAHL (1982 to
March 2016). We applied no language restrictions. We searched the abstracts of
the major congresses in the field (Perinatal Society of Australia New Zealand and
Pediatric Academic Societies) from 2000 to 2015 and clinical trial registries.
SELECTION CRITERIA: Randomized controlled trials, quasi-randomized controlled
trials and cluster trials comparing salbutamol versus placebo or no treatment or
any other drugs administered to infants born at 34 weeks' gestational age or more
and less than three days of age with transient tachypnea of the newborn. DATA
COLLECTION AND ANALYSIS: For each of the included trials, two review authors
independently extracted data (e.g. number of participants, birth weight,
gestational age, duration of oxygen therapy, need for continuous positive airway
pressure and need for mechanical ventilation, duration of mechanical ventilation,
etc.) and assessed the risk of bias (e.g. adequacy of randomization, blinding,
completeness of follow-up). The primary outcomes considered in this review were
duration of oxygen therapy, need for continuous positive airway pressure and need
for mechanical ventilation. MAIN RESULTS: Three trials, which included 140
infants, met the inclusion criteria. All three trials compared a nebulized dose
of salbutamol with placebo; in one of the three trials newborns were assigned to
two different doses of the intervention. We found differences in the duration of
oxygen therapy (mean difference (MD) -43.10 hours, 95% confidence interval (CI)
81.60 to -4.60). There were no differences in the need for continuous positive
airway pressure (risk ratio (RR) 0.73, 95% CI 0.38 to 1.39; risk difference (RD)
0.15, 95% CI -0.45 to 0.16; 1 study, 46 infants) or the need for mechanical
ventilation (RR 1.50, 95% CI 0.06 to 34.79; RD 0.03, 95% CI -0.08 to 0.14; 1
study, 46 infants). Tests for heterogeneity were not applicable for any of the
analyses as only one study was included. Among secondary outcomes, we found no
differences in terms of duration of hospital stay and tachypnea. The quality of
the evidence was very low due to the imprecision of the estimates. One trial is
ongoing. AUTHORS' CONCLUSIONS: At present there is insufficient evidence to
determine the efficacy and safety of salbutamol in the management of transient
tachypnea of the newborn. The quality of evidence was low due to paucity of
included trials, small sample sizes and overall poor methodologic quality.
PMID- 27210718
TI - Analysis of the safety of mesenchymal stromal cells secretome for glioblastoma
treatment.
AB - BACKGROUND AIMS: The purpose of this study was to investigate whether the
secretome of human adipose-derived stem cells (hADSC) affects human glioblastoma
(GBM) cancer stem cell (CSC) subpopulation or has any influence on drug
resistance and cell migration, evaluating the safety of hADSCs for novel cancer
therapies. METHODS: hADSCs were maintained in contact with fresh culture medium
to produce hADSCs conditioned medium (CM). GBM U87 cells were cultured with CM
and sphere formation, expression of genes related to resistance and CSCs-MGMT,
OCT4, SOX2, NOTCH1, MSI1-and protein expression of OCT4 and Nanog were analyzed.
The influence of hADSC CM on GBM resistance to temozolomide (TMZ) was evaluated
by measuring cumulative population doubling and hADSC CM influence on tumor cell
migration was analyzed using transwell assay. RESULTS: hADSC CM did not alter CSC
related features such as sphere-forming capacity and expression of genes related
to CSC. hADSC CM treatment alone did not change proliferation rate of U87 cells
and, most important, did not alter the response of tumor cells to TMZ. However,
hADSC CM secretome increased the migration capacity of glioblastoma cells.
DISCUSSION: hADSC CM neither induced an enrichment of CSCs in U87 cells
population nor interfered in the response to TMZ in culture. Nevertheless,
paracrine factors released by hADSCs were able to modulate glioblastoma cells
migration. These findings provide novel information regarding the safety of using
hADSCs against cancer and highlight the importance of considering hADSC-tumor
cells interactions in tumor microenvironment in the design of novel cell
therapies.
PMID- 27210719
TI - Myeloid cells in peripheral blood mononuclear cell concentrates inhibit the
expansion of chimeric antigen receptor T cells.
AB - BACKGROUND AIMS: Autologous chimeric antigen receptor (CAR) T-cell therapies have
shown promising clinical outcomes, but T-cell yields have been variable. CD19-
and GD2-CAR T-cell manufacturing records were reviewed to identify sources of
variability. METHODS: CD19-CAR T cells were used to treat 43 patients with acute
lymphocytic leukemia or lymphoma and GD2-CAR T cells to treat eight patients with
osteosarcoma and three with neuroblastoma. Both types of CAR T cells were
manufactured using autologous peripheral blood mononuclear cells (PBMC)
concentrates and anti-CD3/CD28 beads for T-cell enrichment and simulation.
RESULTS: A comparison of the first 6 GD2- and the first 22 CD19-CAR T-cell
products manufactured revealed that GD2-CAR T-cell products contained fewer
transduced cells than CD19-CAR T-cell products (147 +/- 102 * 10(6) vs 1502 +/-
1066 * 10(6); P = 0.0059), and their PBMC concentrates contained more monocytes
(31.4 +/- 12.4% vs 18.5 +/- 13.7%; P = 0.019). Among the first 28 CD19-CAR T-cell
products manufactured, four had poor expansion yielding less than 1 * 10(6)
transduced T cells per kilogram. When PBMC concentrates from these four patients
were compared with the 24 others, PBMC concentrates of poorly expanding products
contained greater quantities of monocytes (39.8 +/- 12.9% vs. 15.3 +/- 10.8%, P =
0.0014). Among the patients whose CD19-CAR T cells expanded poorly, manufacturing
for two patients was repeated using cryopreserved PBMC concentrates but
incorporating a monocyte depleting plastic adherence step, and an adequate dose
of CAR T cells was produced for both patients. CONCLUSIONS: Variability in CAR T
cell expansion is due, at least in part, to the contamination of the starting
PBMC concentrates with monocytes.
PMID- 27210720
TI - Bone marrow mesenchymal stromal cells ameliorate angiogenesis and renal damage
via promoting PI3k-Akt signaling pathway activation in vivo.
AB - OBJECTIVE: The objective of this study was to investigate the effects of the
intravenous transplantation of bone marrow mesenchymal stromal cells (BM-MSCs) on
the repair of glomerular endothelia and angiogenesis in rats with chronic renal
failure (CRF). Furthermore, the mechanism of BM-MSCs promoting angiogenesis was
explored by detection of Akt and P-Akt protein expression in rat kidney tissue.
MATERIAL AND METHODS: A rat model with CRF was established by adenine. Immature
male Wistar rats were randomly divided into control group, model group and
treatment group. Model group rats were injected with phosphate-buffered saline
(PBS) via tail vein 24 h after the successful modeling, whereas the treatment
group rats were injected with BM-MSCs. Eight weeks later, urine and blood were
collected to assess 24-h proteinuria, serum creatinine (Scr) and blood urea
nitrogen (BUN). We identified glomerular capillaries density using JG12
immunostaining. Levels of vascular endothelial growth factor (VEGF) were assayed
using enzyme-linked immunosorbant assay (ELISA). We used Western blot to
determine protein expression of p-Akt and Akt in renal tissues. RESULTS: Adenine
induced chronic renal damage, as indicated by the mass proteinuria, deterioration
of renal function and the histopathologic injury in tubules and interstitium. BM
MSCs signficantly increased capillary density and improved renal function and
serum VEGF. Additionally, activation of Akt (i.e., P-Akt significantly increased)
in the treatment group was increased obviously. CONCLUSION: BM-MSCs could
alleviate the renal damages of adenine-induced CRF, reduce the excretion of
proteinuria, increase the glomerular capillaries density, promote the secretion
of VEGF and finally contribute to improve renal function. VEGF-induced
angiogenesis is mediated through activating PI3k-Akt signaling pathway.
PMID- 27210721
TI - Long non-coding RNAs as novel therapeutic targets in cancer.
AB - Thanks to impressive technology advancements, pervasive expression of non-coding
RNAs (ncRNAs) has been recently identified in the genome of numerous cancers.
Long ncRNAs (lncRNAs) belong to a new class of ncRNAs including tens of thousands
different species. A fraction of these molecules shows a striking cancer-enriched
expression pattern, suggesting an essential role in tumor cells and, possibly, a
utility in therapeutic terms. This review aims at summarizing current knowledge
for the identification and validation of lncRNAs as therapeutics targets in
tumors. Both in-silico and wet-biology resources are presented in relation to the
many challenges that the scientific community still needs to address in terms of
lncRNA identification, stratification, patient personalization, drug delivery and
toxicity.
PMID- 27210722
TI - Endogenous metabolites that are substrates of organic anion transporter's (OATs)
predict methotrexate clearance.
AB - Variable pharmacokinetics of high-dose-methotrexate (MTX) is responsible for
severe toxicities. Unpredictable overexposure still occurs during some courses
despite having controlled the main factors known to play a role in its
elimination. The aim of our study was to evaluate whether the urine metabolomic
profile measured at the time of MTX administration is predictive of the drug's
clearance and/or of treatment-related toxicity. We analyzed the urine content of
endogenous metabolites before MTX administration in a cohort of adult patients
treated for lymphoid malignancies. Individual MTX clearance (MTXCL) was estimated
from population pharmacokinetic analyses of therapeutic drug monitoring data. We
determined the urine metabolite content by gas chromatography-mass spectrometry
(GC-MS) and applied Partial Least Square (PLS) analysis to assess the
relationship between the urine metabolome and MTXCL. External validation was
applied to evaluate the performances of the PLS model. We used orthogonal partial
least squares discriminant analysis (OPLS-DA) to distinguish patients with normal
or delayed elimination, and patients with or without toxicity. Sixty-two patients
were studied. We obtained a very good prediction of individual MTX clearance
using a set of 28 metabolites present in patient urine at baseline. The mean
prediction error and precision were -0.36% and 21.4%, respectively, for patients
not included in the model. The model included a set of endogenous organic anions,
of which the tubular secretion depends on organic anion transporter (OAT)
function. Our analyses did not allow us to discriminate between patients with or
without delayed elimination or those who did or did not experience toxicity.
Urinary metabolomics can be informative about an individual's ability to clear
MTX. More broadly, it paves the way for the development of a biomarker of tubular
secretion, easily measurable from endogenous substances.
PMID- 27210723
TI - De-escalating emotions: a process.
PMID- 27210724
TI - Water, water, everywhere... It's time to stop and think.
AB - Despite the numerous methods available for predicting the location and affinity
of water molecules, there is still a degree of scepticism and reluctance towards
using such information within a drug discovery program. Here, I review some of
the most common and popular methods to assess whether these apparent concerns are
justified. I suggest that the field is approaching maturity and that some methods
are capable of giving quantitative predictions, which are confirmed
experimentally. This suggests that water-placement methods should be used more
widely to help direct chemistry efforts, although more successful examples are
required to help validate the techniques.
PMID- 27210725
TI - Incidence of biliary atresia associated congenital malformations: A retrospective
multicenter study in China.
AB - BACKGROUND: Some patients with biliary atresia (BA) have associated anomalies.
Our study aimed to investigate the incidence of BA-associated malformations in
mainland China, and compare the results with those reported in the Western
literature. METHODS: Clinical data were collected retrospectively from five
medical centers in mainland China. BA patients were diagnosed and confirmed by
laparotomy with intraoperative cholangiography and liver biopsy. Cases were
divided into isolated type BA and BA with associated anomalies, including
polysplenia, situs inversus, intestinal malrotation, and cardiovascular
anomalies. RESULTS: A total of 851 BA patients were recruited from Tianjin,
Beijing, Wuhan, Guangzhou, and Shenzhen. Patients were grouped as follows: Type
I, 13 cases (1.5%); Type II, five cases (0.6%); Type III, 833 cases (97.9%).
Forty-two (4.94%) patients had 54 associated congenital abnormalities. The intra
abdominal anomalies included polysplenia (n = 4, 1 fusion between liver and
spleen), situs inversus (n = 2), and intestinal malrotation (n = 3). The
cardiovascular anomalies included atrial septal defect and ventricular septal
defect (n = 29), patent foramen ovale (n = 1), patent ductus arteriosus (n = 4),
and other cardiac malformations (n = 3, including coronary sinus dilation, left
superior vena cava, Tetralogy of Fallot). CONCLUSION: Our data showed that spleen
anomaly is not as common as reported in the Western literature. The difference
may suggests different genetic and environmental risk factors for BA.
PMID- 27210727
TI - Dysfunctional coping with stress in psychosis. An investigation with the
Maladaptive and Adaptive Coping Styles (MAX) questionnaire.
AB - OBJECTIVE: Psychotic episodes have long been conceptualized as inevitable
incidents triggered by endogenous biological impairments. It is now well-accepted
that the ability of an individual to deal with social and environmental
challenges plays an important role in regard to whether or not a vulnerability to
psychosis translates into symptoms. For the present study, we examined
symptomatic correlates of dysfunctional coping in psychosis and aimed to
elucidate a profile of coping strategies that distinguishes patients with
schizophrenia from those with depression. METHOD: The newly devised Maladaptive
and Adaptive Coping Styles Scale (MAX) was administered to 75 individuals with
psychosis, 100 individuals with depression and 1100 nonclinical controls.
RESULTS: Schizophrenia patients showed compromised coping abilities relative to
nonclinical controls, particularly a lack of engaging in adaptive coping.
Depression was more closely tied to dysfunctional coping than were positive
symptoms as indicated by group comparisons and correlational analyses.
Correlations between positive symptoms, particularly paranoid symptoms, and
avoidance and suppression remained significant when depression was controlled
for. CONCLUSIONS: Although maladaptive and adaptive coping are unlikely to
represent proximal mechanisms for the pathogenesis of positive symptoms,
fostering coping skills may reduce positive symptoms via the improvement of
depressive symptoms, which are increasingly regarded as risk factors for core
psychotic symptoms. Furthermore, the reduction of avoidance and suppression may
directly improve positive symptoms.
PMID- 27210728
TI - Do not waste your time: straight to magnetic resonance imaging for pediatric
burners and stingers.
AB - BACKGROUND: Permanent neurologic injury in pediatric patients with burner and
stinger syndrome (BSS) is unlikely. This study aims to assess the feasibility of
clinical observation without extensive radiologic workup in this selective
population. METHODS: A retrospective study was conducted of patients aged younger
than 18 years evaluated at a level I trauma center from 2012 to 2014. Patients
were grouped according to positive deficit (PD) or negative deficit (ND) upon
physical examination. Demographics, clinical findings, and outcomes were
analyzed. RESULTS: Thirty patients (ND, n = 14; PD, n = 16) were evaluated for
BSS, most often as a result of injurious football tackle. Age and length of stay
were similar between groups. Injury Severity Score was lower in the ND group than
the PD group (1.6 +/- 1.2 vs 3.8 +/- 3.1, respectively; P< .05). Cervical
computed tomography was performed on 11 patients (78.6%) in the ND group and 15
patients (93.8%) in the PD group at considerable added cost, with only 1 positive
result in the ND group and none in the PD group. Magnetic resonance imaging (MRI)
revealed 2 positive findings in each group, and no surgical interventions were
indicated. Ten ND (71.4%) and 12 PD (75%) patients reported complete resolution
of symptoms at discharge (P> .05). CONCLUSIONS: Children presenting with BSS
experience temporary symptoms that resolve without surgical intervention.
Magnetic resonance imaging identified more injuries than computed tomographic
imaging; therefore, we suggest that management for BSS should include
observation, serial neurologic examinations, and MRI evaluation as appropriate.
PMID- 27210726
TI - Effects of online intervention for depression on mood and positive symptoms in
schizophrenia.
AB - BACKGROUND: Depression is common in schizophrenia. Whereas the improvement of
mood and self-esteem represents a subjective treatment priority for many
patients, depression is rarely a primary target for clinical intervention. The
present trial examined whether an online intervention for depression can
ameliorate depressive symptoms in schizophrenia. METHODS: A total of 58
individuals with schizophrenia were invited to participate in an online survey
which encompassed the Center for Epidemiologic Studies-Depression Scale (CES-D,
primary outcome), the Patient-Health-Questionnaire-9 (PHQ-9) and the Paranoia
Checklist. Subsequently, telephone interviews were conducted to verify diagnostic
status and assess symptoms (Positive and Negative Syndrome Scale, PANSS).
Participants were randomized either to the experimental condition (online
depression intervention) or to a waitlist control condition. Three months after
inclusion, a reassessment was carried out (self-report and telephone interview
blind for group condition). The trial was registered (registration:
DRKS00007888). RESULTS: Participants in the treatment group showed a significant
decline of depressive symptoms at a medium-to-large effect size, as assessed with
the CES-D and the PANSS depression item, in comparison to the waitlist control
group (completer (CC) and intention-to-treat analyses (ITT)). For the PHQ-9 (CC
and ITT) and the PANSS distress subscale (CC only) significance was bordered at a
medium effect size. Completion at the post-assessment after three months was 84%.
DISCUSSION: Depression in schizophrenia is both underdiagnosed and undertreated.
To reduce the large treatment gap in the disorder, low threshold strategies are
urgently needed. Online treatment and bibliotherapy may represent valuable tools
to address patients' needs beyond the treatment of the core positive syndrome.
PMID- 27210729
TI - Possibility of lip pulling method not only for prevention of soft tissue injury
but also for improved laryngoscopy and tracheal intubation.
PMID- 27210730
TI - The influence of air pollutants on appearance of acute myocardial infarction in
the region with humid continental climate.
PMID- 27210731
TI - Factors associated with ED length of stay during a mass casualty incident.
AB - BACKGROUND: The aim of this study was to examine the factors associated with
emergency department (ED) length of stay (LOS) using the patient registry data
from a medical burns center during a burn injury mass casualty incident (MCI)
after a dust explosion in New Taipei City, Taiwan. METHODS: This was a
retrospective cohort study conducted at an urban, tertiary care teaching hospital
during an MCI event that occurred on June 27, 2015. A celebratory party was held
at the Formosa Fun Water Park in New Taipei City, Taiwan. At 20:32, the was an
explosion caused by an overheated spotlight accidentally igniting colored
cornstarch powder that had been sprayed on the stage. Factors associated with ED
LOS were compared. RESULTS: In total, 48 burn injury patients were enrolled for
study analysis. The median total body surface area of second- to third-degree
burns was 35.0% (interquartile range [IQR], 15.8%-55.0%). The median ED LOS was
121.5 minutes (IQR, 38.3-209.8 minutes). The output time interval accounted for
the longest interval with a median time of 56.0 minutes (IQR, 15.3-117.3
minutes). In multivariate analysis of the variables, triage level (level III;
hazard ratio, 0.06; 95% confidence interval, 0.01-0.52) and output time (hazard
ratio, 0.97; 95% confidence interval, 0.96-0.98) were significant influential
factors. CONCLUSIONS: The triage level and output time intervals were
significantly associated with ED LOS in a burn-related MCI. Time effectiveness
analyses, using a patient flow model, might serve as an important indicator
during a hospital MCI response.
PMID- 27210732
TI - Bilateral subchondral insufficiency fractures of the femoral head in patients
with living renal transplantation: A report of two cases.
PMID- 27210734
TI - The S1 <- S0 fluorescence excitation spectrum and structure of propanal in the S1
excited electronic state.
AB - We have obtained and analyzed the S1 <- S0 fluorescence excitation spectra of jet
cooled propanal-h1 (CH3CH2CHO) and -d1 (CH3CH2CDO). Using the results of
theoretical studies of the structure of propanal molecule in the S1 lowest
excited singlet electronic state, we have assigned the bands of both spectra to
the vibronic transitions of the cis conformer (in the S0 ground electronic state)
to the 1 and 3 conformers (in the S1 state) differed by the angle of the C2H5
ethyl group rotation around the central C-C bond. The origins of the 1 <- cis and
3 <- cis electronic transitions have been observed at 29 997 and 30 075 cm(-1)
for propanal-h1 and at 30 040 and 30 115 cm(-1) for propanal-d1, respectively.
The high activity of torsional (C2H5 ethyl groups) and inversional (CCHO/CCDO
carbonyl fragments) vibrations and the intensity distribution of the bands in
torsional sequences (passing through maximum) are in agreement with the
theoretical prediction that the S1 <- S0 electronic excitation of the cis
conformer causes (after geometrical relaxation) the pyramidalization of carbonyl
fragments and the rotation of ethyl groups around the central C-C bond. A number
of energy levels have been found for torsional and inversional vibrations, and
also fundamentals of nu10 (CCO bend) and nu13 (CCC bend) for the both 1 and 3
conformers of propanal-h1 and -d1 have been found. Then the "experimental"
potential functions of inversion for the pair of the 1 and 3 conformers have been
determined. The heights of potential barriers to inversion and the angle values
corresponding to the minima of potential functions of inversion are 900 cm(-1)
and 35 degrees for propanal-h1 and 820 cm(-1) and 34 degrees for propanal-d1,
respectively.
PMID- 27210733
TI - Drift correction for accurate PRF-shift MR thermometry during mild hyperthermia
treatments with MR-HIFU.
AB - There is growing interest in performing hyperthermia treatments with clinical
magnetic resonance imaging-guided high-intensity focused ultrasound (MR-HIFU)
therapy systems designed for tissue ablation. During hyperthermia treatment,
however, due to the narrow therapeutic window (41-45 degrees C), careful
evaluation of the accuracy of proton resonant frequency (PRF) shift MR
thermometry for these types of exposures is required. PURPOSE: The purpose of
this study was to evaluate the accuracy of MR thermometry using a clinical MR
HIFU system equipped with a hyperthermia treatment algorithm. METHODS: Mild
heating was performed in a tissue-mimicking phantom with implanted temperature
sensors using the clinical MR-HIFU system. The influence of image-acquisition
settings and post-acquisition correction algorithms on the accuracy of
temperature measurements was investigated. The ability to achieve uniform heating
for up to 40 min was evaluated in rabbit experiments. RESULTS: Automatic centre
frequency adjustments prior to image-acquisition corrected the image-shifts in
the order of 0.1 mm/min. Zero- and first-order phase variations were observed
over time, supporting the use of a combined drift correction algorithm. The
temperature accuracy achieved using both centre-frequency adjustment and the
combined drift correction algorithm was 0.57 degrees +/- 0.58 degrees C in the
heated region and 0.54 degrees +/- 0.42 degrees C in the unheated region.
CONCLUSION: Accurate temperature monitoring of hyperthermia exposures using PRF
shift MR thermometry is possible through careful implementation of image
acquisition settings and drift correction algorithms. For the evaluated clinical
MR-HIFU system, centre-frequency adjustment eliminated image shifts, and a
combined drift correction algorithm achieved temperature measurements with an
acceptable accuracy for monitoring and controlling hyperthermia exposures.
PMID- 27210735
TI - Polyaminoacid nanocapsules for drug delivery to the lymphatic system: Effect of
the particle size.
AB - Previous work by our group showed the possibility to reduce the toxicity of
docetaxel upon its encapsulation in polyaminoacid nanocapsules with a size of
200nm. The objective of this study was to elucidate whether a reduction in the
nanocapsules size might facilitate their access to the lymphatic system. To do
so, we analyzed the effect of several formulation parameters on the
characteristics of polyglutamic acid, PEGylated polyglutamic acid and
polyasparagine nanocapsules. From these experiments, we could identify the best
conditions to produce nanocapsules with a small size (close to 100nm) and
adequate capacity to encapsulate and sustain the release of the antitumor drug
docetaxel. Moreover, the results of the stability study made evident the critical
role of the polyaminoacid shell on the colloidal stability of the nanocapsules in
biologically relevant media. Finally, we studied the influence of the particle
size (100nm vs. 200nm) on the biodistribution of PGA-PEG nanocapsules following
subcutaneous injection. The results showed that the 100 nm-size nanocapsules
accumulate faster in the lymph nodes, than those with a size of 200nm. In
summary, these data suggest the potential of 100nm-size polyaminoacid
nanocapsules as lymphatic drug delivery carriers.
PMID- 27210736
TI - Continuous feeding of low-dose APIs via periodic micro dosing.
AB - Precise and effective feeding of small powder quantities remains a challenge in
many fields, including pharmaceutical development and production. This paper
demonstrates that a simple feeding principle can be applied to accomplish stable
micro feeding (<100mg/s) and describes a gravimetric powder feeding system with a
vibratory sieve mounted on a chute. Feeding was induced via vertical vibrations
that can be adjusted within a broad range of frequencies and amplitudes. The
feeding system was studied using different frequencies, amplitudes, sieves and
powder properties. Feeding was characterized by means of a dynamic scale and high
speed camera recordings. The feeding system provided effective powder feeding
even in a range of 1-2mg/s. It was shown that powder properties require special
attention when the vibratory sieve-chute system operates at higher feed rates (or
feeding times >30min), i.e., feeding at a higher throughput. A combination of
discrete element method (DEM) simulations and compartment population balance
model (PBM) was used to incorporate the proposed micro feed system into a
continuous powder mixer (Gerike GCM250; Gerike Holding LTD., Regensdorf,
Switzerland). It illustrates how oscillating feeding rates (the latter is a
characteristic of the studied micro feeding system) affect the content uniformity
of low dose blends, i.e., powder mixtures with a relatively low fraction of
active pharmaceutical ingredient.
PMID- 27210737
TI - Exploring the impact of sample flowrate on in vitro measurements of metered dose
inhaler performance.
AB - Pharmacopoeial methods for measurement of the aerodynamic particle size
distribution (APSD) of metered dose inhalers (MDIs) by cascade impaction specify
a sampling flow rate of 28.3L/min. However, there is little data within the
literature to rationalize this figure, or to support its clinical relevance. In
addition, the standard United States Pharmacopoeia Induction Port (USP IP) used
for testing is known to inaccurately reflect deposition behavior in the upper
airway, further compromising the relevance of testing, for product development.
This article describes experimental studies of the effect of sampling flow rate
on APSD data gathered using an Andersen Cascade Impactor (ACI). Tests were
carried out using two different formulations to assess the influence of
formulation composition. In addition, comparative testing with an Alberta
Idealised Throat, in place of the USP IP, to ensure more realistic representation
of the upper airway. The results show how measured APSD and fine particle dose,
the dose than on the basis of size would be expected to deposit in the lung, vary
as a function of test methodology, providing insight as to how the testing can be
modified towards greater clinical relevance.
PMID- 27210738
TI - Switching of actin-myosin motors by voltage-induced pH bias in vitro.
AB - ATP-driven motor proteins, which function in cell motility and organelle
transport, have potential applications as bio-inspired micro-devices; however,
their control remains unsatisfactory. Here, we show rapid-velocity control of
actin filaments interacting with myosin motors using voltage applied to Pt
electrodes in an in vitro motility system, by which immediate increases and
decreases in velocity were induced beside the cathode and anode, respectively.
Indicator dye revealed pH changes after voltage application, and alternate
voltage switching allowed actin filaments to cyclically alter their velocity in
response to these changes. This principle provides a basis for on-demand control
of not only motor proteins but also pH-sensitive events at a microscopic level.
PMID- 27210739
TI - Peroxynitrite-induced structural perturbations in human IgG: A physicochemical
study.
AB - IgG is an important defence protein. To exhibit optimum function the molecule
must maintain its native structure. Peroxynitrite is a potent oxidizing and
nitrating agent produced in vivo under pathophysiological conditions. It can
oxidize and/or nitrate various amino acids causing changes in the structure and
function of proteins. Such proteins may be involved in the pathogenesis of many
inflammatory diseases, including rheumatoid arthritis. In the present work,
peroxynitrite-induced structural changes in IgG have been studied by UV-visible,
fluorescence, CD, FT-IR, DLS spectroscopy and DSC as well as by SDS-PAGE.
Peroxynitrite-modified IgG exhibited hyperchromicity at 280 nm, quenching of
tryptophan fluorescence, increase in ANS fluorescence, loss of beta-sheet, shift
in the positions of amide I and amide II bands, appearance of new peak in FT-IR,
attachment of nitro residues and increase in melting temperature, compared to
native IgG. Furthermore, peroxynitrite-modified IgG exhibited an additional peak
at 420 nm, quenching in tyrosine fluorescence and enhancement in dityrosine
fluorescence compared to native IgG. Generation of nitrotyrosine, dityrosine and
nitrotryptophan was also observed in peroxynitrite-modified IgG. Gross structural
changes in IgG caused by peroxynitrite and observed in vitro may favour
autoantibodies induction in vivo under similar conditions.
PMID- 27210740
TI - Cross talk between MMP2-Spm-Cer-S1P and ERK1/2 in proliferation of pulmonary
artery smooth muscle cells under angiotensin II stimulation.
AB - The aim of the present study is to establish the mechanism associated with the
proliferation of PASMCs under ANG II stimulation. The results showed that
treatment of PASMCs with ANG II induces an increase in cell proliferation and 100
nM was the optimum concentration for maximum increase in proliferation of the
cells. Pretreatment of the cells with AT1, but not AT2, receptor antagonist
inhibited ANG II induced cell proliferation. Pretreatment with pharmacological
and genetic inhibitors of sphingomyelinase (SMase) and sphingosine kinase (SPHK)
prevented ANG II-induced cell proliferation. ANG II has also been shown to induce
SMase activity, SPHK phosphorylation and S1P production. In addition, ANG II
caused an increase in proMMP-2 expression and activation, ERK1/2 phosphorylation
and NADPH oxidase activation. Upon inhibition of MMP-2, SMase activity and S1P
level were curbed leading to inhibition of cell proliferation. SPHK was
phosphorylated by ERK1/2 during ET-1 stimulation of the cells. ANG II-induced
ERK1/2 phosphorylation and proMMP-2 expression and activation in the cells were
abrogated upon inhibition of NADPH oxidase activity. Overall, NADPH oxidase plays
an important role in proMMP-2 expression and activation and that MMP-2 mediated
SMC proliferation occurs through the involvement of Spm-Cer-S1P signaling axis
under ANG II stimulation of PASMCs.
PMID- 27210742
TI - High-performance iron oxide nanoparticles for magnetic particle imaging - guided
hyperthermia (hMPI).
AB - Magnetic particle imaging (MPI) is an emerging imaging modality that allows the
direct and quantitative mapping of iron oxide nanoparticles. In MPI, the
development of tailored iron oxide nanoparticle tracers is paramount to achieving
high sensitivity and good spatial resolution. To date, most MPI tracers being
developed for potential clinical applications are based on spherical undoped
magnetite nanoparticles. For the first time, we report on the systematic
investigation of the effects of changes in chemical composition and shape
anisotropy on the MPI performance of iron oxide nanoparticle tracers. We observed
a 2-fold enhancement in MPI signal through selective doping of magnetite
nanoparticles with zinc. Moreover, we demonstrated focused magnetic hyperthermia
heating by adapting the field gradient used in MPI. By saturating the iron oxide
nanoparticles outside of a field free region (FFR) with an external static field,
we can selectively heat a target region in our test sample. By comparing zinc
doped magnetite cubic nanoparticles with undoped spherical nanoparticles, we
could show a 5-fold improvement in the specific absorption rate (SAR) in magnetic
hyperthermia while providing good MPI signal, thereby demonstrating the potential
for high-performance focused hyperthermia therapy through an MPI-guided approach
(hMPI).
PMID- 27210741
TI - Measurements of continuum lowering in solid-density plasmas created from elements
and compounds.
AB - The effect of a dense plasma environment on the energy levels of an embedded ion
is usually described in terms of the lowering of its continuum level. For
strongly coupled plasmas, the phenomenon is intimately related to the equation of
state; hence, an accurate treatment is crucial for most astrophysical and
inertial-fusion applications, where the case of plasma mixtures is of particular
interest. Here we present an experiment showing that the standard density
dependent analytical models are inadequate to describe solid-density plasmas at
the temperatures studied, where the reduction of the binding energies for a given
species is unaffected by the different plasma environment (ion density) in either
the element or compounds of that species, and can be accurately estimated by
calculations only involving the energy levels of an isolated neutral atom. The
results have implications for the standard approaches to the equation of state
calculations.
PMID- 27210743
TI - Mutations in SLC26A1 Cause Nephrolithiasis.
AB - Nephrolithiasis, a condition in which urinary supersaturation leads to stone
formation in the urinary system, affects about 5%-10% of individuals worldwide at
some point in their lifetime and results in significant medical costs and
morbidity. To date, mutations in more than 30 genes have been described as being
associated with nephrolithiasis, and these mutations explain about 15% of kidney
stone cases, suggesting that additional nephrolithiasis-associated genes remain
to be discovered. To identify additional genes whose mutations are linked to
nephrolithiasis, we performed targeted next-generation sequencing of 18
hypothesized candidate genes in 348 unrelated individuals with kidney stones. We
detected biallelic mutations in SLC26A1 (solute carrier family 26 member 1) in
two unrelated individuals with calcium oxalate kidney stones. We show by
immunofluorescence, immunoblotting, and glycosylation analysis that the variant
protein mimicking p.Thr185Met has defects in protein folding or trafficking. In
addition, by measuring anion exchange activity of SLC26A1, we demonstrate that
all the identified mutations in SLC26A1 result in decreased transporter activity.
Our data identify SLC26A1 mutations as causing a recessive Mendelian form of
nephrolithiasis.
PMID- 27210744
TI - Biodegradable Magnesium (Mg) Implantation Does Not Impose Related Metabolic
Disorders in Rats with Chronic Renal Failure.
AB - Mg and its alloys have been considered as one of the most promising biodegradable
medical devices, but it was still unclear whether hypermagnesemia involved health
risks would occur in persons with kidney disease due to their deteriorated kidney
function for Mg ions excretion from their body. In this study, we established a
chronic renal failure (CRF) model in rats induced by adenine administration prior
to Mg implantation, aiming to predict if CRF patients are suitable for the use of
Mg implants. The results showed that Mg levels in serum, urine, feces and
internal organs had no significant changes after Mg implantation for both normal
and CRF rats. Biochemical indices detection and histopathological analysis in
kidney, liver and heart tissue confirmed that Mg implants did not induce any
extra damage in animals even with renal failure. Our study indicates that Mg
based orthopaedic medical device may be considered for use in CRF patients
without biosafety concerns.
PMID- 27210745
TI - Ly6C(hi) Monocytes Provide a Link between Antibiotic-Induced Changes in Gut
Microbiota and Adult Hippocampal Neurogenesis.
AB - Antibiotics, though remarkably useful, can also cause certain adverse effects. We
detected that treatment of adult mice with antibiotics decreases hippocampal
neurogenesis and memory retention. Reconstitution with normal gut flora (SPF) did
not completely reverse the deficits in neurogenesis unless the mice also had
access to a running wheel or received probiotics. In parallel to an increase in
neurogenesis and memory retention, both SPF-reconstituted mice that ran and mice
supplemented with probiotics exhibited higher numbers of Ly6C(hi) monocytes in
the brain than antibiotic-treated mice. Elimination of Ly6C(hi) monocytes by
antibody depletion or the use of knockout mice resulted in decreased
neurogenesis, whereas adoptive transfer of Ly6C(hi) monocytes rescued
neurogenesis after antibiotic treatment. We propose that the rescue of
neurogenesis and behavior deficits in antibiotic-treated mice by exercise and
probiotics is partially mediated by Ly6C(hi) monocytes.
PMID- 27210746
TI - RhoB Mediates Phosphoantigen Recognition by Vgamma9Vdelta2 T Cell Receptor.
AB - Human Vgamma9Vdelta2 T cells respond to tumor cells by sensing elevated levels of
phosphorylated intermediates of the dysregulated mevalonate pathway, which is
translated into activating signals by the ubiquitously expressed butyrophilin A1
(BTN3A1) through yet unknown mechanisms. Here, we developed an unbiased, genome
wide screening method that identified RhoB as a critical mediator of
Vgamma9Vdelta2 TCR activation in tumor cells. Our results show that
Vgamma9Vdelta2 TCR activation is modulated by the GTPase activity of RhoB and its
redistribution to BTN3A1. This is associated with cytoskeletal changes that
directly stabilize BTN3A1 in the membrane, and the subsequent dissociation of
RhoB from BTN3A1. Furthermore, phosphoantigen accumulation induces a
conformational change in BTN3A1, rendering its extracellular domains recognizable
by Vgamma9Vdelta2 TCRs. These complementary events provide further evidence for
inside-out signaling as an essential step in the recognition of tumor cells by a
Vgamma9Vdelta2 TCR.
PMID- 27210747
TI - The lncRNA SLNCR1 Mediates Melanoma Invasion through a Conserved SRA1-like
Region.
AB - Long non-coding RNAs (lncRNAs) have been implicated in numerous physiological
processes and diseases, most notably cancers. However, little is known about the
mechanism of many functional lncRNAs. We identified an abundantly expressed
lncRNA associated with decreased melanoma patient survival. Increased expression
of this lncRNA, SLNCR1, mediates melanoma invasion through a highly conserved
sequence similar to that of the lncRNA SRA1. Using a sensitive technique we term
RATA (RNA-associated transcription factor array), we show that the brain-specific
homeobox protein 3a (Brn3a) and the androgen receptor (AR) bind within and
adjacent to SLNCR1's conserved region, respectively. SLNCR1, AR, and Brn3a are
specifically required for transcriptional activation of matrix metalloproteinase
9 (MMP9) and increased melanoma invasion. Our observations directly link AR to
melanoma invasion, possibly explaining why males experience more melanoma
metastases and have an overall lower survival in comparison to females.
PMID- 27210748
TI - Discovery of a Small-Molecule BMP Sensitizer for Human Embryonic Stem Cell
Differentiation.
AB - Sorely missing from the "toolkit" for directed differentiation of stem/progenitor
cells are agonists of the BMP-signaling pathway. Using a high-throughput chemical
screen, we discovered that PD407824, a checkpoint kinase 1 (CHK1) inhibitor,
increases the sensitivity of cells to sub-threshold amounts of BMP4. We show
utility of the compound in the directed differentiation of human embryonic stem
cells toward mesoderm or cytotrophoblast stem cells. Blocking CHK1 activity using
pharmacological compounds or CHK1 knockout using single guide RNA (sgRNA)
confirmed that CHK1 inhibition increases the sensitivity to BMP4 treatment.
Additional mechanistic studies indicate that CHK1 inhibition depletes p21 levels,
thereby activating CDK8/9, which then phosphorylates the SMAD2/3 linker region,
leading to decreased levels of SMAD2/3 protein and enhanced levels of nuclear
SMAD1. This study provides insight into mechanisms controlling the
BMP/transforming growth factor beta (TGF-beta) signaling pathways and a useful
pharmacological reagent for directed differentiation of stem cells.
PMID- 27210750
TI - Haploinsufficiency of the ESCRT Component HD-PTP Predisposes to Cancer.
AB - Endosomal sorting complexes required for transport (ESCRT) drive cell surface
receptor degradation resulting in attenuation of oncogenic signaling and pointing
to a tumor suppressor function. Here, we show that loss of function of an ESCRT
protein (HD-PTP encoded by the PTPN23 gene, located on the tumor suppressor gene
cluster 3p21.3) drives tumorigenesis in vivo. Indeed, Ptpn23(+/-) loss
predisposes mice to sporadic lung adenoma, B cell lymphoma, and promotes Myc
driven lymphoma onset, dissemination, and aggressiveness. Ptpn23(+/-)-derived
tumors exhibit an unaltered remaining allele and maintain 50% of HD-PTP
expression. Consistent with the role of HD-PTP in attenuation of integrin
recycling, cell migration, and invasion, hemizygous Ptpn23(+/-) loss increases
integrin beta1-dependent B cell lymphoma survival and dissemination. Finally, we
reveal frequent PTPN23 deletion and downregulation in human tumors that
correlates with poor survival. Altogether, we establish HD-PTP/PTPN23 as a
prominent haploinsufficient tumor suppressor gene preventing tumor progression
through control of integrin trafficking.
PMID- 27210749
TI - Oncogenic BRAF-Mediated Melanoma Cell Invasion.
AB - Melanoma patients with oncogenic BRAF(V600E) mutation have poor prognoses. While
the role of BRAF(V600E) in tumorigenesis is well established, its involvement in
metastasis that is clinically observed in melanoma patients remains a topic of
debate. Here, we show that BRAF(V600E) melanoma cells have extensive invasion
activity as assayed by the generation of F-actin and cortactin foci that mediate
membrane protrusion, and degradation of the extracellular matrix (ECM).
Inhibition of BRAF(V600E) blocks melanoma cell invasion. In a BRAF(V600E)-driven
murine melanoma model or in patients' tumor biopsies, cortactin foci decrease
upon inhibitor treatment. In addition, genome-wide expression analysis shows that
a number of invadopodia-related genes are downregulated after BRAF(V600E)
inhibition. Mechanistically, BRAF(V600E) induces phosphorylation of cortactin and
the exocyst subunit Exo70 through ERK, which regulates actin dynamics and matrix
metalloprotease secretion, respectively. Our results provide support for the role
of BRAF(V600E) in metastasis and suggest that inhibiting invasion is a potential
therapeutic strategy against melanoma.
PMID- 27210751
TI - Chronic Pharmacological mGluR5 Inhibition Prevents Cognitive Impairment and
Reduces Pathogenesis in an Alzheimer Disease Mouse Model.
AB - Beta-amyloid (Abeta) oligomers contribute to the pathophysiology of Alzheimer
disease (AD), and metabotropic glutamate receptor 5 (mGluR5) has been shown to
act as a receptor for both Abeta oligomers and cellular prion proteins.
Furthermore, the genetic deletion of mGluR5 in an APPswe/PS1DeltaE9 mouse model
of AD improves cognitive function and reduces Abeta plaques and Abeta oligomer
concentrations. Here, we show that chronic administration of the orally
bioavailable mGluR5-selective negative allosteric modulator CTEP, which is
similar in structure, potency, and selectivity to Basimglurant (RO4917523), which
is currently in phase II clinical development for major depressive disorder and
fragile X syndrome, reverses cognitive decline in APPswe/PS1DeltaE9 mice and
reduces Abeta plaque deposition and soluble Abeta oligomer concentrations in both
APPswe/PS1DeltaE9 and 3xTg-AD male mice. These findings suggest that CTEP or its
analogue Basimglutant might potentially be an effective therapeutic for the
treatment of AD patients.
PMID- 27210752
TI - Striking Immune Phenotypes in Gene-Targeted Mice Are Driven by a Copy-Number
Variant Originating from a Commercially Available C57BL/6 Strain.
AB - We describe a homozygous copy-number variant that disrupts the function of Dock2
in a commercially available C57BL/6 mouse strain that is widely used for
backcrossing. This Dock2 allele was presumed to have spontaneously arisen in a
colony of Irf5 knockout mice. We discovered that this allele has actually been
inadvertently backcrossed into multiple mutant mouse lines, including two
engineered to be deficient in Siae and Cmah. This particular commercially
obtained subline of C57BL/6 mice also exhibits several striking immune phenotypes
that have been previously described in the context of Dock2 deficiency.
Inadvertent backcrossing of a number of gene-targeted mice into this background
has complicated the interpretation of several immunological studies. In light of
these findings, published studies involving immune or hematopoietic phenotypes in
which these C57BL/6 mice have been used as controls, as experimental animals, or
for backcrossing will need to be reinterpreted.
PMID- 27210753
TI - Leader Cells Define Directionality of Trunk, but Not Cranial, Neural Crest Cell
Migration.
AB - Collective cell migration is fundamental for life and a hallmark of cancer.
Neural crest (NC) cells migrate collectively, but the mechanisms governing this
process remain controversial. Previous analyses in Xenopus indicate that cranial
NC (CNC) cells are a homogeneous population relying on cell-cell interactions for
directional migration, while chick embryo analyses suggest a heterogeneous
population with leader cells instructing directionality. Our data in chick and
zebrafish embryos show that CNC cells do not require leader cells for migration
and all cells present similar migratory capacities. In contrast, laser ablation
of trunk NC (TNC) cells shows that leader cells direct movement and cell-cell
contacts are required for migration. Moreover, leader and follower identities are
acquired before the initiation of migration and remain fixed thereafter. Thus,
two distinct mechanisms establish the directionality of CNC cells and TNC cells.
This implies the existence of multiple molecular mechanisms for collective cell
migration.
PMID- 27210754
TI - Inefficient DNA Repair Is an Aging-Related Modifier of Parkinson's Disease.
AB - The underlying relation between Parkinson's disease (PD) etiopathology and its
major risk factor, aging, is largely unknown. In light of the causative link
between genome stability and aging, we investigate a possible nexus between DNA
damage accumulation, aging, and PD by assessing aging-related DNA repair pathways
in laboratory animal models and humans. We demonstrate that dermal fibroblasts
from PD patients display flawed nucleotide excision repair (NER) capacity and
that Ercc1 mutant mice with mildly compromised NER exhibit typical PD-like
pathological alterations, including decreased striatal dopaminergic innervation,
increased phospho-synuclein levels, and defects in mitochondrial respiration.
Ercc1 mouse mutants are also more sensitive to the prototypical PD toxin MPTP,
and their transcriptomic landscape shares important similarities with that of PD
patients. Our results demonstrate that specific defects in DNA repair impact the
dopaminergic system and are associated with human PD pathology and might
therefore constitute an age-related risk factor for PD.
PMID- 27210755
TI - The Size of Activating and Inhibitory Killer Ig-like Receptor Nanoclusters Is
Controlled by the Transmembrane Sequence and Affects Signaling.
AB - Super-resolution microscopy has revealed that immune cell receptors are organized
in nanoscale clusters at cell surfaces and immune synapses. However, mechanisms
and functions for this nanoscale organization remain unclear. Here, we used super
resolution microscopy to compare the surface organization of paired killer Ig
like receptors (KIR), KIR2DL1 and KIR2DS1, on human primary natural killer cells
and cell lines. Activating KIR2DS1 assembled in clusters two-fold larger than its
inhibitory counterpart KIR2DL1. Site-directed mutagenesis established that the
size of nanoclusters is controlled by transmembrane amino acid 233, a lysine in
KIR2DS1. Super-resolution microscopy also revealed two ways in which the
nanoscale clustering of KIR affects signaling. First, KIR2DS1 and DAP12
nanoclusters are juxtaposed in the resting cell state but coalesce upon receptor
ligation. Second, quantitative super-resolution microscopy revealed that
phosphorylation of the kinase ZAP-70 or phosphatase SHP-1 is favored in larger
KIR nanoclusters. Thus, the size of KIR nanoclusters depends on the transmembrane
sequence and affects downstream signaling.
PMID- 27210756
TI - High-Density Array of Well-Ordered HIV-1 Spikes on Synthetic Liposomal
Nanoparticles Efficiently Activate B Cells.
AB - A major step toward an HIV-1 vaccine is an immunogen capable of inducing
neutralizing antibodies. Envelope glycoprotein (Env) mimetics, such as the NFL
and SOSIP designs, generate native-like, well-ordered trimers and elicit tier 2
homologous neutralization (SOSIPs). We reasoned that the display of well-ordered
trimers by high-density, particulate array would increase B cell activation
compared to soluble trimers. Here, we present the design of liposomal
nanoparticles displaying well-ordered Env spike trimers on their surface.
Biophysical analysis, cryo- and negative stain electron microscopy, as well as
binding analysis with a panel of broadly neutralizing antibodies confirm a high
density, well-ordered trimer particulate array. The Env-trimer-conjugated
liposomes were superior to soluble trimers in activating B cells ex vivo and
germinal center B cells in vivo. In addition, the trimer-conjugated liposomes
elicited modest tier 2 homologous neutralizing antibodies. The trimer-conjugated
liposomes represent a promising initial lead toward the development of more
effective HIV vaccine immunogens.
PMID- 27210757
TI - A Transcriptionally Inactive ATF2 Variant Drives Melanomagenesis.
AB - Melanoma is one of the most lethal cutaneous malignancies, characterized by
chemoresistance and a striking propensity to metastasize. The transcription
factor ATF2 elicits oncogenic activities in melanoma, and its inhibition
attenuates melanoma development. Here, we show that expression of a
transcriptionally inactive form of Atf2 (Atf2(Delta8,9)) promotes development of
melanoma in mouse models. Atf2(Delta8,9)-driven tumors show enhanced
pigmentation, immune infiltration, and metastatic propensity. Similar to mouse
Atf2(Delta8,9), we have identified a transcriptionally inactive human ATF2 splice
variant 5 (ATF2(SV5)) that enhances the growth and migration capacity of cultured
melanoma cells and immortalized melanocytes. ATF2(SV5) expression is elevated in
human melanoma specimens and is associated with poor prognosis. These findings
point to an oncogenic function for ATF2 in melanoma development that appears to
be independent of its transcriptional activity.
PMID- 27210759
TI - Mitotic Exit Function of Polo-like Kinase Cdc5 Is Dependent on Sequential
Activation by Cdk1.
AB - To complete mitosis, Saccharomyces cerevisiae needs to activate the mitotic
phosphatase Cdc14. Two pathways contribute to Cdc14 regulation: FEAR (Cdc14 early
anaphase release) and MEN (mitotic exit network). Cdc5 polo-like kinase was found
to be an important mitotic exit component. However, its specific role in mitotic
exit regulation and its involvement in Cdc14 release remain unclear. Here, we
provide insight into the mechanism by which Cdc5 contributes to the timely
release of Cdc14. Our genetic and biochemical data indicate that Cdc5 acts in
parallel with MEN during anaphase. This MEN-independent Cdc5 function requires
active separase and activation by Cdk1-dependent phosphorylation. Cdk1 first
phosphorylates Cdc5 to activate it in early anaphase, and then, in late anaphase,
further phosphorylation of Cdc5 by Cdk1 is needed to promote its MEN-related
functions.
PMID- 27210758
TI - Two Pairs of ON and OFF Retinal Ganglion Cells Are Defined by Intersectional
Patterns of Transcription Factor Expression.
AB - Visual information is conveyed to the brain by axons of >30 retinal ganglion cell
(RGC) types. Characterization of these types is a prerequisite to understanding
visual perception. Here, we identify a family of RGCs that we call F-RGCs on the
basis of expression of the transcription factor Foxp2. Intersectional expression
of Foxp1 and Brn3 transcription factors divides F-RGCs into four types,
comprising two pairs, each composed of closely related cells. One pair, F
mini(ON) and F-mini(OFF), shows robust direction selectivity. They are among the
smallest RGCs in the mouse retina. The other pair, F-midi(ON) and F-midi(OFF), is
larger and not direction selective. Together, F-RGCs comprise >20% of RGCs in the
mouse retina, halving the number that remain to be classified and doubling the
number of known direction-selective cells. Co-expression of Foxp and Brn3 genes
also marks subsets of RGCs in macaques that could be primate homologs of F-RGCs.
PMID- 27210760
TI - Atg5 Is Essential for the Development and Survival of Innate Lymphocytes.
AB - Autophagy is an essential cellular survival mechanism that is required for
adaptive lymphocyte development; however, its role in innate lymphoid cell (ILC)
development remains unknown. Furthermore, the conditions that promote lymphocyte
autophagy during homeostasis are poorly understood. Here, we demonstrate that
Atg5, an essential component of the autophagy machinery, is required for the
development of mature natural killer (NK) cells and group 1, 2, and 3 innate
ILCs. Although inducible ablation of Atg5 was dispensable for the homeostasis of
lymphocyte precursors and mature lymphocytes in lymphoreplete mice, we found that
autophagy is induced in both adaptive and innate lymphocytes during homeostatic
proliferation in lymphopenic hosts to promote their survival by limiting cell
intrinsic apoptosis. Induction of autophagy through metformin treatment following
homeostatic proliferation increased lymphocyte numbers through an Atg5-dependent
mechanism. These findings highlight the essential role for autophagy in ILC
development and lymphocyte survival during lymphopenia.
PMID- 27210761
TI - Identification of a Paralog-Specific Notch1 Intracellular Domain Degron.
AB - Upon Notch pathway activation, the receptor is cleaved to release the Notch
intracellular domain (NICD), which translocates to the nucleus to activate gene
transcription. Using Xenopus egg extracts, we have identified a Notch1-specific
destruction signal (N1-Box). We show that mutations in the N1-Box inhibit NICD1
degradation and that the N1-Box is transferable for the promotion of degradation
of heterologous proteins in Xenopus egg extracts and in cultured human cells.
Mutation of the N1-Box enhances Notch1 activity in cultured human cells and
zebrafish embryos. Human cancer mutations within the N1-Box enhance Notch1
signaling in transgenic zebrafish, highlighting the physiological relevance of
this destruction signal. We find that binding of the Notch nuclear factor, CSL,
to the N1-Box blocks NICD1 turnover. Our studies reveal a mechanism by which
degradation of NICD1 is regulated by the N1-Box to minimize stochastic flux and
to establish a threshold for Notch1 pathway activation.
PMID- 27210762
TI - Reprogramming Tumor-Associated Macrophages by Antibody Targeting Inhibits Cancer
Progression and Metastasis.
AB - Tumors are composed of multiple cell types besides the tumor cells themselves,
including innate immune cells such as macrophages. Tumor-associated macrophages
(TAMs) are a heterogeneous population of myeloid cells present in the tumor
microenvironment (TME). Here, they contribute to immunosuppression, enabling the
establishment and persistence of solid tumors as well as metastatic
dissemination. We have found that the pattern recognition scavenger receptor
MARCO defines a subtype of suppressive TAMs and is linked to clinical outcome. An
anti-MARCO monoclonal antibody was developed, which induces anti-tumor activity
in breast and colon carcinoma, as well as in melanoma models through
reprogramming TAM populations to a pro-inflammatory phenotype and increasing
tumor immunogenicity. This anti-tumor activity is dependent on the inhibitory Fc
receptor, FcgammaRIIB, and also enhances the efficacy of checkpoint therapy.
These results demonstrate that immunotherapies using antibodies designed to
modify myeloid cells of the TME represent a promising mode of cancer treatment.
PMID- 27210763
TI - IGF2BP3 Modulates the Interaction of Invasion-Associated Transcripts with RISC.
AB - Insulin-like growth factor 2 mRNA binding protein 3 (IGF2BP3) expression
correlates with malignancy, but its role(s) in pathogenesis remains enigmatic. We
interrogated the IGF2BP3-RNA interaction network in pancreatic ductal
adenocarcinoma (PDAC) cells. Using a combination of genome-wide approaches, we
have identified 164 direct mRNA targets of IGF2BP3. These transcripts encode
proteins enriched for functions such as cell migration, proliferation, and
adhesion. Loss of IGF2BP3 reduced PDAC cell invasiveness and remodeled focal
adhesion junctions. Individual nucleotide resolution crosslinking
immunoprecipitation (iCLIP) revealed significant overlap of IGF2BP3 and microRNA
(miRNA) binding sites. IGF2BP3 promotes association of the RNA-induced silencing
complex (RISC) with specific transcripts. Our results show that IGF2BP3
influences a malignancy-associated RNA regulon by modulating miRNA-mRNA
interactions.
PMID- 27210771
TI - Survival and growth of human preantral follicles after cryopreservation of
ovarian tissue, follicle isolation and short-term xenografting.
AB - In women, chemotherapy and radiotherapy can be harmful to the ovaries, causing
loss of endocrine and reproductive functions. When gonadotoxic treatment cannot
be delayed, ovarian tissue cryobanking is the only way of preserving fertility.
This technique, however, is not advisable for patients with certain types of
cancer, because of the risk of reintroducing malignant cells present in the
cryopreserved tissue. Our objective is therefore to develop a transplantable
artificial ovary. To this end, cryopreserved human preantral follicles were
isolated and embedded in fibrin formulations prepared with 50 mg/ml fibrinogen
and 10 IU/ml thrombin supplemented or not with 3% hyaluronic acid, and
respectively xenografted to specially created right and left peritoneal pockets
in eight nude mice. On days 0 and 7, the animals were killed and the matrices
retrieved. On day 7, no difference was observed in the recovery rate of follicles
embedded in fibrin alone (23.4%) or fibrin-hyaluronic acid (20.5%). Ki67 staining
confirmed growth of the grafted follicles and terminal deoxynucleotidyl
transferase)-mediated dUDP nick-end labelling assay revealed 100% of the
follicles to be viable in both groups on day 7. In conclusion, fibrin seems to be
a promising material for creation of an artificial ovary, supporting follicle
survival and development.
PMID- 27210772
TI - The effect of a specific histidine-rich glycoprotein polymorphism on male
infertility and semen parameters.
AB - In women, there is evidence that a single nucleotide polymorphism (SNP) in the
histidine-rich glycoprotein (HRG) named HRG C633T is relevant for a number of
fertility outcomes including recurrent miscarriage, ovarian response and
pregnancy outcome after IVF. This case-control study was designed to investigate
whether the HRG C633T SNP is important for male infertility and pregnancy rate
following IVF. Cases were 139 infertile couples and controls were 196 pregnant
couples. The 335 couples all contributed with one blood sample per partner.
Genomic DNA was extracted and genotyping was performed using a TaqMan(r) SNP
Genotyping Assay. Information on pregnancy rate and semen parameters was derived
from medical records. Infertile couples in which the male partner was a
homozygous carrier of the HRG C633T SNP had significantly lower (P < 0.01)
pregnancy rate following IVF in comparison with couples where the male partner
was a heterozygous HRG C633T SNP carrier. Male homozygous HRG 633T SNP carriers
had overall lower total sperm count, sperm concentration, motility score and
yield after preparation. In conclusion, once infertility is established the HRG
C633T SNP seems to be important for male infertility and pregnancy rate following
IVF.
PMID- 27210764
TI - Formation of Chromosomal Domains by Loop Extrusion.
AB - Topologically associating domains (TADs) are fundamental structural and
functional building blocks of human interphase chromosomes, yet the mechanisms of
TAD formation remain unclear. Here, we propose that loop extrusion underlies TAD
formation. In this process, cis-acting loop-extruding factors, likely cohesins,
form progressively larger loops but stall at TAD boundaries due to interactions
with boundary proteins, including CTCF. Using polymer simulations, we show that
this model produces TADs and finer-scale features of Hi-C data. Each TAD emerges
from multiple loops dynamically formed through extrusion, contrary to typical
illustrations of single static loops. Loop extrusion both explains diverse
experimental observations-including the preferential orientation of CTCF motifs,
enrichments of architectural proteins at TAD boundaries, and boundary deletion
experiments-and makes specific predictions for the depletion of CTCF versus
cohesin. Finally, loop extrusion has potentially far-ranging consequences for
processes such as enhancer-promoter interactions, orientation-specific
chromosomal looping, and compaction of mitotic chromosomes.
PMID- 27210773
TI - Prevalence of obesity in an intensive care unit patient population.
AB - BACKGROUND: The Australian health survey (2011-2012) reported that 63.4% of
Australian adults were overweight or obese. Critical care medicine is expensive,
with intensive care unit (ICU) services accounting for a substantial proportion
of total hospital costs. These costs may be multiplied in the overweight cohort.
OBJECTIVES: The primary aim was to compare the body mass index (BMI) of a
critically ill ICU patient cohort to Australian population norms in order to see
if overweight people were over-represented. The secondary aim was to identify if
any medical specialty was associated with overweight patients. METHODS: A
retrospective observational case note audit of 230 ICU patients between November
2012 and August 2013, with BMI as the primary outcome measure. RESULTS:
Approximately 75% of the cohort were overweight or obese (median BMI 28.7; IQR
25.0-32.7) representing a rate 12% higher than Australian normative data. Based
on population, this equates to an estimated additional 5279 unanticipated
overweight or obese ICU patients at our facility during 2013. CONCLUSIONS: This
study has shown that Australian ICU patients may have higher BMI than those of
the general Australian population, and therefore there may be unanticipated costs
associated with their care. No medical specialty was associated with higher BMI
than another.
PMID- 27210775
TI - Covering the Cover
PMID- 27210774
TI - Rituximab Therapy for Rejection in Pediatric Heart Transplant.
AB - OBJECTIVES: Humoral rejection is the B-cell-mediated production of immunoglobulin
G antibody against the transplanted heart. Antibody-mediated rejection may be
resistant to standard immunosuppressive therapy and is associated with high
mortality and graft loss. Rituximab can be used to treat antibody-mediated
rejection in heart transplant recipients. This retrospective study describes our
experience with rituximab treatment in children with heart transplants. MATERIALS
AND METHODS: We present 7 pediatric patients with antibody-mediated rejection who
were treated with plasma exchange and rituximab therapy. Rituximab was given at a
dose of 375 mg/m2 by slow infusion in the intensive care unit after 5 days of
plasmapheresis, in addition to a conventional regimen consisting of steroids,
mycophenolate mofetil, and tacrolimus. The peripheral blood count and sodium,
potassium, serum urea nitrogen, creatinine, aspartate aminotransferase, and
alanine aminotransferase levels were measured in all patients before and after
treatment. RESULTS: Seven patients were treated with plasma exchange and
rituximab. We repeated this therapy in 5 patients because of refractoriness or
recurrent rejection. After diagnoses of antibody-mediated rejection, 4 patients
died within 6 months (mortality rate of 57.1%). We did not observe any adverse
effects or complications related to rituximab. CONCLUSIONS: Rituximab can be used
in humoral rejection after pediatric heart transplant. However, the success of
the treatment is controversial, and further study is needed to find an effective
treatment for antibody-mediated rejection and steroid-resistant cellular
rejection in children.
PMID- 27210776
TI - Emerging therapeutics and relevant targets for chronic Hepatitis B.
AB - Chronic hepatitis B virus (HBV) infection is a global health problem for the
pursuit of complete virus eradication and immunity acquisition to prevent liver
disease progression. Currently, interferon-alpha, with the underlying mechanism
of host immunomodulation, and nucleos(t)ide analogs, with the underlying
mechanism of inhibition of viral replication, are used for the treatment of
patients with chronic hepatitis B. Despite remarkable improvement in the
virological, serological, biochemical, and histological response to current
therapeutics, we are still far from meeting the therapeutic goals, e.g.,
clearance of HBV DNA/covalently closed circular DNA (cccDNA) in the serum/liver
tissue and seroconversion of hepatitis B surface antigen (HBsAg) to anti-HBs in
the present antiviral era. Recently, HBV replication cycle-related, viral RNA
interference-based, and host immune-mediated therapeutic targets and relevant
anti-HBV agents have been newly introduced and investigated in the preclinical
and clinical fields. This review discusses emerging therapeutics and relevant
targets in the management of chronic hepatitis B.
PMID- 27210777
TI - Is there any association between colonic polyps and gastric intestinal
metaplasia?
AB - BACKGROUND/AIMS: Chronic gastritis progression is a multistep process of atrophy,
intestinal metaplasia (IM), and dysplasia, which may lead to invasive carcinoma.
In this study, we identified an association of colonic polyps with gastric IM in
patients undergoing colonoscopy. MATERIALS AND METHODS: This retrospective case
control, cross-sectional study was conducted in a tertiary-care institution in
Turkey. Pathology and endoscopy reports were reviewed. The study group comprised
400 patients with colonic adenomatous polyps, and the control group comprised 360
patients without colonic adenomatous polyps on colonoscopy. RESULTS: The risk of
gastric IM was 1.42-fold higher in the study group (p<0.05). The risk of IM in
patients aged >=50 years with colonic polyps was 3.35-fold higher than in those
aged <50 years (p<0.05). The risk of Helicobacter pylori infection in the study
group was 1.07-folder higher than that in the control group (p<0.05). H. pylori
infection prevalence was higher only in patients with high-grade colonic polyp
dysplasia (p<0.05). There were no statistically significant differences in the
proportion of incomplete IM between the groups (p<0.05). CONCLUSION: This study
observed increased rates of gastric IM with colonic polyps. An increased risk of
gastric IM was associated with higher grades of polyp dysplasia.
PMID- 27210778
TI - Effect of probiotics on small intestinal bacterial overgrowth in patients with
gastric and colorectal cancer.
AB - BACKGROUND/AIMS: Small intestinal bacterial overgrowth (SIBO) may be related to
the presence of gastrointestinal cancer. The exact link, however, between SIBO
and cancer prevalence as well as cancer symptoms remains unclear, especially in
Asian populations. In addition, there is a paucity of data documenting the
influence of probiotic treatment of SIBO on cancer symptoms. Here, the aims were
to correlate the presence of SIBO with cancer prevalence and cancer symptoms, as
well as to investigate the effect of probiotic intervention on SIBO and cancer
symptoms. MATERIALS AND METHODS: Employing a case-control design, 112 gastric and
88 colorectal cancer patients were evaluated. Questionnaires were used to assess
gastrointestinal symptoms and a glucose-H2-breath test (GHBT) was used to
determine SIBO status. Patients with SIBO were administered Bifidobacterium
triple viable capsule therapy or placebo. Subsequently, SIBO status and
gastrointestinal symptom scores were reanalyzed. RESULTS: In our study group,
63.0% of patients versus 16.3% of controls was tested positive for SIBO. In
patients with cancer, SIBO was associated with proton pump inhibitor (PPI) use.
Bifidobacterium triple viable capsule was effective in combating SIBO and was
associated with a significant improvement in gastrointestinal cancer-related
symptoms. CONCLUSION: In a Chinese cohort, SIBO is associated with
gastrointestinal cancer. Based on the preliminary intervention study, we conclude
that probiotic intervention combats SIBO in patients with gastrointestinal cancer
and alleviates its symptoms.
PMID- 27210779
TI - The relation of presenting symptoms with staging, grading, and postoperative 3
year mortality in patients with stage I-III non-metastatic colon cancer.
AB - BACKGROUND/AIMS: To evaluate the association of presenting symptoms with staging,
grading, and postoperative 3-year mortality in patients with colon cancer.
MATERIALS AND METHODS: A total of 132 patients-with a mean (standard deviation;
SD) age of 63.0 (10.0) years and of whom 56.0% were males-with non-metastatic
stage I-III colon cancer were included. Symptoms prior to diagnosis were
evaluated with respect to tumor localization, tumor node metastasis (TNM) stage,
histological grade, and postoperative 3-year mortality. RESULTS: Constipation and
abdominal pain were the two most common symptoms appearing first (29.5% and
16.7%, respectively) and remained most predominant (25.0% and 20.0%,
respectively) up to diagnosis. The frequency of admission symptoms significantly
differed with respect to tumor location, TNM stage and histological grade. The
postoperative 3-year survival rate was 61.4%. Multivariate logistic regression
revealed that melena and rectal bleeding increased the likelihood of 3-year
mortality by 13.6-fold (p=0.001) and 4.08-fold (p=0.011), respectively.
CONCLUSION: Our findings revealed differences in presenting symptom profiles with
respect to the time of manifestation and predominance as well as to the TNM
stage, histological grade, and tumor location. Given that melena and rectal
bleeding increased the 3-year mortality risk by 13.6-fold and 4.08-fold,
respectively, our findings indicate the association of admission symptoms with
outcome among patients with colon cancer.
PMID- 27210780
TI - Use of the gastro-laryngeal tube in endoscopic retrograde
cholangiopancreatography cases under sedation/analgesia.
AB - BACKGROUND/AIMS: In this study, we aimed to analyze the effects of Gastro
Laryngeal Tube (GLT) use on intraoperative and postoperative hemodynamic
parameters, comfort of the procedure, and patients' satisfaction in endoscopic
retrograde cholangiopancreatography (ERCP). MATERIALS AND METHODS: A total of 80
patients between the ages of 20 and 75 years who were scheduled for elective ERCP
were enrolled. The patients were randomly assigned to two groups: groups N and G.
Those in group N underwent the procedure with sedation without any airway
instruments and those in group G underwent procedure after sedation and airway
management with GLT. Intraoperative and postoperative vital signs as well as the
satisfaction of the patients were recorded. RESULTS: The duration to esophageal
visualization was found to be significantly higher in group N (16 s) than in
group G (7 s) (p=0.001). The mean Visual Analogue Scale for Pain (VAS) was
significantly higher in group G (1.85) than in group N (0.45) (p=0.016). Group G
had higher endoscopist satisfaction scores than group N. The incidence of
desaturation during ERCP was significantly higher in group N (60%) than in group
G (0%) (p=0.000). CONCLUSION: In conclusion, ERCP should be performed under
optimal conditions to avoid the occurrence of unwanted complications, such as
aspiration-related disorders. Therefore, according to the structural properties
of GLT, sedation anesthesia application with GLT in ERCP will be safer, more
comfortable, and more effective.
PMID- 27210781
TI - Intrahepatic biliary cystadenoma-diagnosis and treatment options.
AB - BACKGROUND/AIMS: Liver cystadenomas are rare conditions accounting to
approximately 5% of all cystic lesions. The aim of our study was to establish a
new diagnostic and complex therapeutic approach. MATERIALS AND METHODS: In all,
12 female patients primarily diagnosed with cystadenoma of the liver were
evaluated. Enucleation of the cystadenoma was performed in six (54.5%) and liver
resection in four (33.3%) patients. Due to the localization, complete enucleation
or radical liver resection could not be performed in two patients. RESULTS: In
three patients, grade III-a complications were recorded after surgery. The 30-day
mortality was 0%. The length of hospitalization was 27 (7-52) days. Malignant
transformation occurred in two patients with incomplete removal of the
cystadenoma. In both cases, carbohydrate antigen 19-9 serum levels were elevated
during the follow-up period. The first patient died 28 months after primary
surgery. The second patient failed to attend any further appointments. The
remaining patients are in the good conditions, with no signs of recurrence.
CONCLUSION: The only possible treatment of cystadenomas is their radical surgical
removal. Any other incomplete surgical treatment is insufficient and associated
with a high risk of malignant transformation. For patients in whom R0 resection
or complete enucleation cannot be performed for technical reasons, liver
transplantation should be considered.
PMID- 27210782
TI - Hepatic pathology of biliary atresia: A new comprehensive evaluation method using
liver biopsy.
AB - BACKGROUND/AIMS: Despite its unique pathological characteristics, biliary atresia
(BA) has no consensus pathological grading system. Therefore, the purpose of this
study was to propose a new pathological grading system and to compare the
diagnostic value of intraoperative frozen and postoperative paraffin-embedded
liver sections. MATERIALS AND METHODS: A total of 81 BA patients were analyzed
for clinical and biochemical data, immunohistochemistry, and routine
postoperative histology and intraoperative frozen pathology sections. Bile duct
hyperplasia was classified into three grades (B1-B3), and fibrosis was classified
into four classical grades (F1-F4). RESULTS: The patients included 41 males and
40 females, aged 35-150 days. The repartition, in terms of severity, of small
bile duct hyperplasia and fibrosis was as follows: B1, 21 cases; B2, 41 cases;
B3, 19 cases; F1, 1 case; F2, 11 cases; F3, 51 cases; and F4, 18 cases. Both
grades were statistically correlated. When comparing intraoperative frozen and
postoperative paraffin-embedded sections, the overall diagnostic concordance rate
was 97.5%. CONCLUSION: The new proposed pathological grading system may be useful
for the diagnostic and prognostic assessment of BA. In addition, intraoperative
frozen liver tissue biopsy samples represent a valuable and promising adjunct to
the conventional postoperative paraffin-embedded sections.
PMID- 27210783
TI - Cerebral hemodynamics in patients with cirrhosis.
AB - BACKGROUND/AIMS: Cirrhosis causes a decrease in cerebral blood flow because of a
hyperdynamic circulatory state. We aimed to study the cerebral hemodynamic
parameters in patients with decompensated cirrhosis and their relationship to the
Child-Pugh and Model for End-Stage Liver Disease (MELD) scores. MATERIALS AND
METHODS: We used transcranial Doppler to investigate the cerebral hemodynamic
parameters, namely the mean flow velocity of the middle cerebral artery,
pulsatility index (PI), and resistive index (RI), in 50 patients who had
decompensated cirrhosis and in a control group of 50 healthy people. We also
investigated their relationship to the Child-Pugh and MELD scores. RESULTS:
Patients with cirrhosis had a lower mean flow velocity than those in the control
group. Further, patients with cirrhosis had higher PI and RI values. There was a
positive correlation between PI and the Child-Pugh score. In addition, there was
a positive correlation among PI, RI, and the MELD score. The RI values of
patients with ascites were higher than those of patients without ascites.
CONCLUSION: Cerebral autoregulation might be impaired in patients with cirrhosis.
Cerebral resistance proportionally increases to disease severity. There was a
positive correlation among PI, RI, and MELD scores, which means that transcranial
Doppler might be useful not only in the follow-up of the severity of the disease
but also in determining the survival of these patients.
PMID- 27210784
TI - The importance of salivary cortisol in the diagnosis of adrenal insufficiency in
cirrhosis.
AB - BACKGROUND/AIMS: Reports on adrenal insufficiency (AI) are unexpectedly high in
cirrhosis, and the diagnosis of this condition remains a challenge. We aimed to
define the prevalence rate of AI in stable cirrhotic patients and determine the
correlations of free cortisol and salivary cortisol with total cortisol.
MATERIALS AND METHODS: Between January 2011 and September 2011, 110 consecutive
cirrhotic patients without any infection or hemodynamic instability were
enrolled. Baseline total and salivary cortisol levels were measured. The free
cortisol level was calculated according to the Coolens' formula. Post-stimulation
total and salivary cortisol levels were measured, and the free cortisol level was
recalculated. RESULTS: The mean age of the patients was 62.1+/-11.4 years. There
were 54 males (49.1%). The mean Child-Turcotte-Pugh (CTP) score was 7.2+/-2.3.
Twenty-two (20%) patients were at the CTP-C level. AI was present in 23 (20.9%)
and 17 (15.5%) of all patients according to the total and free cortisol criteria,
respectively. For basal and stimulated levels, salivary cortisol rather than
total cortisol correlates well with free cortisol. CONCLUSION: The diagnosis of
AI on the basis of total cortisol measurement overestimates the prevalence of AI
in cirrhosis. Salivary cortisol, which correlates well with free cortisol, is a
promising alternative for the diagnosis of AI in cirrhotic patients.
PMID- 27210785
TI - Is there any potential or additive effect of anemia on hepatorenal syndrome?
AB - BACKGROUND/AIMS: Hepatorenal syndrome (HRS) is a severe complication of advanced
cirrhosis and is characterized by renal dysfunction and poor survival rates.
Although anemia is a non-rare condition in advanced liver cirrhosis, there is no
publication regarding the potential or additive effects of anemia on HRS and
renal dysfunction in patients with cirrhosis. We investigated whether severe
anemia is a precipitant factor for HRS. MATERIALS AND METHODS: In this
prospective study, consecutive patients with cirrhosis with and without renal
dysfunction were enrolled. A total of 29 patients with cirrhosis with HRS meeting
the HRS diagnostic criteria (9 patients with type 1 HRS and 20 with type 2 HRS)
and 37 patients with cirrhosis without HRS were included. The demographic
features, laboratory data (particularly anemic parameters), and clinical scores
of patients with and without HRS were evaluated. RESULTS: Grades of ascites,
Child-Turcotte-Pugh (CTP) scores, and Model of End Stage Liver Disease (MELD)
scores were significantly higher in contrast to hemoglobin levels; hematocrit
concentrations were significantly lower in patients with type 1 and 2 HRS than in
those with non-HRS stable cirrhosis. There was a negative correlation between the
hemoglobin-hematocrit and serum creatinine levels. In the logistic regression
analysis, the hemoglobin levels and CTP and MELD scores were statistically
significant for an onset of HRS. CONCLUSION: Anemia may contribute to HRS and
deteriorated renal function in patients with HRS because anemic hypoxia can lead
to microcirculatory renal ischemia in the kidneys and anemia can also activate
sympathetic activity and hyperdynamic circulation in the pathogenesis of HRS.
PMID- 27210786
TI - Five-year results of oral antiviral therapy in HBeAg-negative chronic Hepatitis
B.
AB - BACKGROUND/AIMS: Several guidelines recommend the use of tenofovir or entecavir
as the first-line treatment for hepatitis B due to the lower resistance rates of
these drugs than lamivudine, although lamivudine may still be preferred because
of its low adverse effect profile and cost. It is important to know which
patients might benefit from lamivudine as the first-line treatment. We aimed to
assess the success rates of lamivudine, entecavir, and tenofovir, as well as the
resistance rates, frequencies of HBsAg clearance, and risk factors for lamivudine
resistance. MATERIALS AND METHODS: A total of 191 patients with chronic HBeAg
negative hepatitis who were treated with lamivudine, entecavir, or tenofovir were
included. Predictors of resistance to lamivudine were analyzed. RESULTS: The
cumulative first-, second-, third-, fourth-, and fifth-year rates of virologic
breakthrough during extended lamivudine therapy were 24%, 30%, 38%, 46%, and 54%,
respectively. The rate of undetectable DNA at the 60th month of those who took
lamivudine was 51%. Cox regression analysis revealed that positive HBV DNA at the
sixth month (HR=15; 95% CI: [7.1-33], p=0.001), being aged 41 years or more
(HR=3.4; 95% CI: [1.8-6.4], p=0.001), and baseline HBV DNA of 170,500 IU/mL or
higher (HR=2.1; 95% CI: [1.2-3.7], p=0.01) were independently associated with the
development of resistance to lamivudine. CONCLUSION: In HBeAg-negative chronic
hepatitis B, baseline serum hepatitis B virus DNA levels exceeding 170,500 IU/mL,
partial virologic response in the sixth month, and age of 41 years or more were
independent predictors for virologic breakthrough. Moreover, 2% of these patients
cleared HBsAg.
PMID- 27210787
TI - Demographic characteristics of chronic pancreatitis patients in the era of
endosonography: Experience of a single tertiary referral center in Turkey.
AB - BACKGROUND/AIMS: There are no clinical data available about chronic pancreatitis
(CP) on a series of patients of sufficient number in Turkey. In this study, the
etiology and clinical features of CP were evaluated in one center, which is a
tertiary referral hospital. MATERIALS AND METHODS: The files of 168 patients who
had been diagnosed with CP in our Medical Faculty between October 2007 and May
2013 were retrospectively analyzed. The etiological factors, symptoms,
complications, and treatment methods were analyzed. RESULTS: The most common
etiological factor was alcohol abuse (39%). The average age+/-SD was 46+/-15.2
years, and the male:female ratio was 4.2:1 (it was 2.6:1 for other etiologies
except alcohol abuse and 15.5:1 in alcoholic CP patients, p<0.05). The most
frequent complication was diabetes mellitus (44%). The smoking rate was
significantly higher in CP patients with pancreatic calcification (p<0.05). One
third of the patients received various types of endoscopic treatment. During a
mean follow-up period of 42+/-13 months (range, 6-55), pancreatic cancer was
detected in four patients (2.3%). CONCLUSION: In majority of the CP patients, the
etiology is alcohol abuse in Turkey. The development of diabetes is the most
frequent complication of CP, which is independent of the etiology.
PMID- 27210788
TI - Influence of vitamin C and E supplementation on the eradication rates of triple
and quadruple eradication regimens in Helicobacter pylori infection.
PMID- 27210789
TI - Wire-guided exchange of an inside stent for complex biliary stricture after
living donor liver transplantation.
PMID- 27210790
TI - Empirical antibiotic treatment of nosocomial spontaneous bacterial peritonitis:
Should we start direct broader-spectrum antibiotics or not?
PMID- 27210791
TI - In a world of increasing resistance emerges a hope to eradicate Helicobacter
pylori: Vonoprazan.
PMID- 27210792
TI - A bleeding rectosigmoid mass in a 41-year-old woman.
PMID- 27210793
TI - High-performance Fuel Cell with Stretched Catalyst-Coated Membrane: One-step
Formation of Cracked Electrode.
AB - We have achieved performance enhancement of polymer electrolyte membrane fuel
cell (PEMFC) though crack generation on its electrodes. It is the first attempt
to enhance the performance of PEMFC by using cracks which are generally
considered as defects. The pre-defined, cracked electrode was generated by
stretching a catalyst-coated Nafion membrane. With the strain-stress property of
the membrane that is unique in the aspect of plastic deformation, membrane
electrolyte assembly (MEA) was successfully incorporated into the fuel cell.
Cracked electrodes with the variation of strain were investigated and
electrochemically evaluated. Remarkably, mechanical stretching of catalyst-coated
Nafion membrane led to a decrease in membrane resistance and an improvement in
mass transport, which resulted in enhanced device performance.
PMID- 27210794
TI - Up-Regulation of miRNA-21 Expression Promotes Migration and Proliferation of Sca
1+ Cardiac Stem Cells in Mice.
AB - BACKGROUND This study, by regulating the expression level of microRNA-21 (miRNA
21) in antigen-1+ (Sca-1+) cardiac stem cells (CSCs), examined the role of miRNA
21 in migration, proliferation, and differentiation of Sca-1+ CSCs, and explored
the use of miRNA-21 in treatment of heart-related diseases in mice. MATERIAL AND
METHODS The CSCs of 20 healthy 2-month-old C57BL/6 mice were collected in our
study. Immunomagnetic beads were used to separate and prepare pure Sca-1+ CSCs,
which were further examined by flow cytometry. The samples were assigned to 4
groups: the blank group, the miRNA-21 mimic group, the miRNA-21 inhibitor group,
and the negative control (NC) group. Quantitative real-time polymerase chain
reaction (qRT-PCR), Transwell chamber assay, and the methyl thiazolylte-trazolium
(MTT) assay were performed. Reverse transcriptase-polymerase chain reaction (RT
PCR) was used to measure the expression levels of GATA-4, MEF2c, TNI, and beta
MHC differentiation-related genes. RESULTS Immunomagnetic separation results
indicated that Sca-1+ CSCs accounted for more than 87.4% of CSCs. RT-PCR results
also showed that the expression level of miRNA-21 of the miRNA-21 mimic group was
higher than those of the other groups (all P<0.05). Compared to the NC and the
blank group, the migration of Sca-1+ CSCs was more active in the miRNA-21 mimic
group and less active in the miRNA-21 inhibitor group (all P<0.05). Moreover,
compared to the blank group, the proliferation of Sca-1+ CSCs was enhanced in the
miRNA-21 mimic group and inhibited in the miRNA-21 inhibitor group (all P<0.05).
The results of RT-PCR indicated that neither miRNA-21 mimics nor miR-21
inhibitors influenced the gene expression levels of GATA-4, MEF2c, TNI, or beta
MHC. CONCLUSIONS Our study provides evidence that up-regulation of miRNA-21 can
promote migration and proliferation of Sca-1+ CSCs to enhance the capacity of Sca
1+ CSCs to repair damaged myocardium, which may pave the way for therapeutic
strategies directed toward restoring miRNA-21 function for heart-related
diseases.
PMID- 27210795
TI - Fabrication of Biobased Polyelectrolyte Capsules and Their Application for
Glucose-Triggered Insulin Delivery.
AB - To enhance the glucose sensitivity and self-regulated release of insulin,
biobased capsules with glucose-responsive and competitive properties were
fabricated based on poly(gamma-glutamic acid) (gamma-PGA) and chitosan
oligosaccharide (CS) polyelectrolytes. First, poly(gamma-glutamic acid)-g-3
aminophenylboronic acid) (gamma-PGA-g-APBA) and galactosylated chitosan
oligosaccharide (GC) were synthesized by grafting APBA and lactobionic acid (LA)
to gamma-PGA and CS, respectively. The (gamma-PGA-g-APBA/GC)5 capsules were then
prepared by layer-by-layer (LBL) assembly of gamma-PGA-g-APBA and GC via
electrostatic interaction. The size and morphology of the particles and capsules
were investigated by DLS, SEM, and TEM. The size of the (gamma-PGA-g-APBA/GC)5
capsules increased with increasing glucose concentration due to the swelling of
the capsules. The capsules could be dissociated at high glucose concentration due
to the breaking of the cross-linking bonds between APBA and LA by the competitive
reaction of APBA with glucose. The encapsulated insulin was able to undergo self
regulated release from the capsules depending on the glucose level and APBA
composition. The amount of insulin release increased with incubation in higher
glucose concentration and decreased with higher APBA composition. Moreover, the
on-off regulation of insulin release from the (gamma-PGA-g-APBA/GC)5 capsules
could be triggered with a synchronizing and variation of the external glucose
concentration, whereas the capsules without the LA functional groups did not show
the on-off regulated release. Furthermore, the (gamma-PGA-g-APBA/GC)5 capsules
are biocompatible. These (gamma-PGA-g-APBA/GC)5 with good stability, glucose
response, and controlled insulin delivery are expected to be used for future
applications to glucose-triggered insulin delivery.
PMID- 27210796
TI - Spectroscopic Studies on the Interaction of Metallic Ions with an Imidazolyl
Phenolic System.
AB - A fluorescent imidazolyl-phenolic compound was applied on the detection of
metallic species (Cu(2+), Al(3+), Cr(3+) and Fe(3+)) in a CH3CN/H2O (95/5, v/v)
media. The presence and concentration of these cations altered significantly the
emission profile of the probe, mainly lowering the signal intensity at 466 nm,
while a new emission band around 395 nm appeared (for the trivalent ions). These
results were rationalized as a combination of collisional quenching (KSV in the
10(3)-10(4) L mol(-1) range) and formation of a coordinated compound. The later
disrupts the Excited State Intramolecular Proton Transfer that regulates the keto
enol tautomerism originally present on the free probe. Since the quenching
efficiency and the obtained emission profiles are drastically different for
Cu(2+) and Fe(3+) ions, this allows their differential recognition.
PMID- 27210800
TI - [Reticular perineurioma of the tibial nerve].
AB - Reticular (retiform) perineurioma is a rare variant of soft tissue perineurioma
developed from the perineurium. This benign tumor is characterized by strands of
spindle cells in a fibro-myxoid matrix surrounding pseudocystic mucoid spaces. We
report a tibial nerve reticular perineurioma in a 35-year-old patient.
PMID- 27210797
TI - The Syntheses, Structures, Fluorescence Properties and Biological Activity of two
Novel Zinc(II) Complexes Controlled by the Tripodal Imidazole Ligand.
AB - Two new zinc complexes, namely Zn(L(1))ClCH2NO(1) and
{Zn(L(2))CH2NO}n?N(CH3)3?ClO4(2) (L(1) = 3,5-di(1H-imidazol-1-yl)pyridine L(2) =
1,3,5-tris(1-imidazolyl) benzene), have been synthesized, and characterized by IR
spectra, elemental analysis, and a single crystal X-ray diffraction. Fluorescence
spectroscopy indicated that two complexes presented strong DNA binding affinity
constants to fish sperm DNA (FS-DNA). Gel electrophoresis assay demonstrated the
ability of the complex to cleave the HL-60 DNA. Apoptotic study showed the
complex exhibited significant cancer cell(KB) inhibitory rate.
PMID- 27210801
TI - A DsbA-Deficient Periplasm Enables Functional Display of a Protein with Redox
Sensitive Folding on M13 Phage.
AB - The requirements for target protein folding in M13 phage display are largely
underappreciated. Here we chose Fbs1, a carbohydrate binding protein, as a model
to address this issue. Importantly, folding of Fbs1 is impaired in an oxidative
environment. Fbs1 can be displayed on M13 phage using the SRP or Sec pathway.
However, the displayed Fbs1 protein is properly folded only when Fbs1 is
translocated via the SRP pathway and displayed using Escherichia coli cells with
a DsbA-negative periplasm. This study indicates M13 phage display may be improved
using a system specifically designed according to the folding requirements of
each target protein.
PMID- 27210802
TI - Correlation Between Central and Peripheral Bone Mineral Density Around the Elbow
Measured by Dual-Energy X-Ray Absorptiometry in Healthy Children and Adolescents.
AB - This pilot study was performed to evaluate the correlation between central bone
mineral density (BMD) and peripheral BMD around the elbow in children and
adolescents and to compare BMD values across skeletal sites. Twenty-seven healthy
volunteers between 5 and 18 yr of age were recruited for the study.
Anthropometric measurements including height and weight were performed. Central
BMD at the lumbar spine and left femur and peripheral BMD at the supracondylar
area, medial condyle, lateral condyle, and olecranon were measured using dual
energy X-ray absorptiometry (DXA). Higher BMD levels were found in the central
skeleton (lumbar spine and femur) than in peripheral sites around the elbow (p <
0.001). BMD values around the elbow ranged from 44.4% to 63.2% compared to the
BMD values of the central skeleton. Among the peripheral sites around the elbow,
the highest BMD was observed at the supracondylar area and olecranon, and the
lowest BMD was found at the lateral condyle. Peripheral DXA measurements around
the elbow were significantly correlated with central DXA measurements at the
lumbar spine and femur. In conclusion, this study demonstrated that the
measurements of BMD around the elbow were correlated with BMD at central sites.
Given the small sample size in this pilot study, further study with a large
cohort is required to use the BMD measurements around the elbow as a valid
clinical tool for fracture risk assessment and population-based epidemiological
studies.
PMID- 27210803
TI - Utility of Osteoporosis Self-Assessment Tool as a Screening Tool for Predicting
Osteoporosis in Indian Men.
AB - The osteoporosis self-assessment tool (OSTA) predicts the risk of osteoporosis in
an individual. It is a simple calculation-based tool [wt (kg) - age (yr)/5] and
can be used for measuring bone mineral density (BMD). However, OSTA is influenced
by ethnicity. We studied the performance of OSTA index as a screening tool for
osteoporosis in 257 community-dwelling North Indian men above 50 yr age. Each
subject underwent a detailed clinical, dietary, anthropometric, and biochemical
assessment and bone density measurement using dual-energy X-ray absorptiometry.
As per World Health Organization criteria, osteoporosis, osteopenia, and normal
BMD were observed in 17.9%, 58.8%, and 23.3%, respectively. OSTA index ranged
between -6.4 and 8.8. OST index <=2 predicted osteoporosis with a sensitivity of
95.7% and a specificity of 33.6% and an area under the curve for a receiver
operating characteristic curve of 0.702. The OSTA index is an effective screening
tool for measuring BMD in elderly Indian men and can be used by primary care
physicians.
PMID- 27210804
TI - Screening for Asymptomatic Carotid Plaques with Ultrasound.
PMID- 27210805
TI - Structural diversity in a human antibody germline library.
AB - To support antibody therapeutic development, the crystal structures of a set of
16 germline variants composed of 4 different kappa light chains paired with 4
different heavy chains have been determined. All four heavy chains of the antigen
binding fragments (Fabs) have the same complementarity-determining region (CDR)
H3 that was reported in an earlier Fab structure. The structure analyses include
comparisons of the overall structures, canonical structures of the CDRs and the
VH:VL packing interactions. The CDR conformations for the most part are tightly
clustered, especially for the ones with shorter lengths. The longer CDRs with
tandem glycines or serines have more conformational diversity than the others.
CDR H3, despite having the same amino acid sequence, exhibits the largest
conformational diversity. About half of the structures have CDR H3 conformations
similar to that of the parent; the others diverge significantly. One conclusion
is that the CDR H3 conformations are influenced by both their amino acid sequence
and their structural environment determined by the heavy and light chain pairing.
The stem regions of 14 of the variant pairs are in the 'kinked' conformation, and
only 2 are in the extended conformation. The packing of the VH and VL domains is
consistent with our knowledge of antibody structure, and the tilt angles between
these domains cover a range of 11 degrees. Two of 16 structures showed
particularly large variations in the tilt angles when compared with the other
pairings. The structures and their analyses provide a rich foundation for future
antibody modeling and engineering efforts.
PMID- 27210806
TI - Evidence that high-migration drug-surviving MOLT4 leukemia cells exhibit cancer
stem cell-like properties.
AB - Leukemia represents a spectrum of hematological malignancies threatening human
health. Resistance to treatments and metastasis of leukemia are the main causes
of death in patients. Leukemia stem cells (LSCs) are the initiating cells of
leukemia as well as the main source of drug resistance, invasion and metastasis.
Consequently, eliminating LSCs is a prerequisite to eradicate leukemia.
Preliminary studies in our laboratory have shown that chemokines and their
related receptors play an important role in the drug resistance and metastasis of
leukemic cells. In this study, we obtained high migration drug-surviving (short
term) MOLT4 cells (hMDSCs-MOLT4) with treatment of doxorubicin (DOX) after
Transwell assay. Then we detected stem cell-associated molecular markers on
hMDSCs-MOLT4 cells and the parental MOLT4 cells by FCM, QPCR, western blotting,
H&E staining and immunohisto-chemistry experimental techniques in vitro and in
vivo. Moreover, we explored its impact on drug resistance and tumor formation.
Then we found that compared with the parental MOLT4 cells, the mRNA expression
levels of stem cell-related factors Sox2, Oct4, C-myc, Klf4, Nanog, Bmi-1, CXCR4
are increased in hMDSCs-MOLT4 cells, together with the protein expression levels
of Sox2, Oct4, Klf4, Nanog, CXCR4 and CD34. Our results indicated that hMDSCs
MOLT4 cells exhibited strong drug resistance and certain cancer stem cell-like
characteristics. It is the first indication that the targeting stemness factors
such as Sox2, Oct4, Klf4, Nanog and CXCR4 may represent plausible options for
eliminating T-ALL stem-like cells. The present findings shed light on the
relationship between drug-tolerant leukemic cells and cancer stem cells.
PMID- 27210807
TI - [Staphylococcus warneri and Staphylococcus lugdunensis bacteriemia after handling
intrauterine device].
PMID- 27210808
TI - Liver abscesses and a foreign body in the antrum of the stomach.
PMID- 27210809
TI - [Intraocular foreign body after a trip to Cameroon].
PMID- 27210810
TI - [Long-term effects of continuous positive airway pressure treatment on
subclinical atherosclerosis in obstructive sleep apnoea syndrome].
AB - BACKGROUND AND OBJECTIVE: Obstructive sleep apnoea (OSA) is associated with an
increased risk of cardiovascular disease. Our objective was to evaluate
subclinical atherosclerosis in OSA patients and the effect of continuous positive
airway pressure (CPAP) treatment on carotid intima-media thickness (cIMT).
PATIENTS AND METHOD: We included 125 patients with suspected OSA. After
polysomnography, 107 patients were diagnosed with OSA; 58 of these met the
criteria for CPAP treatment. cIMT was measured by ultrasonography at baseline in
all patients and after 2 years of follow up in 50 patients on CPAP and 35 without
CPAP treatment. RESULTS: The average cIMT was significantly thicker in OSA than
in non-OSA patients (665+/-120 vs. 581+/-78MUm, P=.005) and did not differ
according to OSA severity. Atheromatous carotid plaque was more prevalent in OSA
than non-OSA patients (48 vs. 2%, P=.004). Among OSA patients, the mean cIMT
remained stable over time in the group without CPAP, whereas cIMT decreased
markedly in the CPAP group (679+/-122 vs. 631+/-117MUm, P<.0001). CONCLUSIONS:
Increased cIMT was associated with presence of OSA, but not with its severity.
Carotid ultrasound in OSA is a reliable marker of atherosclerosis. CPAP treatment
with CPAP in OSA reduces cIMT and cardiovascular risk.
PMID- 27210811
TI - Inhibition of human norovirus by a viral polymerase inhibitor in the B cell
culture system and in the mouse model.
AB - The recently developed human norovirus (HuNoV) B cell culture and mouse models
hold promise for drug discovery and development but their suitability for
antiviral studies has not been assessed. We demonstrate the inhibitory effect of
the nucleoside analogue 2'-C-methylcytidine (2CMC) on HuNoV replication in the
human B cell BJAB cell line and in Balb/c Rag/gamma chain-deficient (Rag-gammac(
/-)) mice. These data suggest the applicability of both models for future study
and development of antiviral drugs for the treatment of HuNoV infections.
PMID- 27210812
TI - Cyclopiazonic acid, an inhibitor of calcium-dependent ATPases with antiviral
activity against human respiratory syncytial virus.
AB - Human respiratory syncytial virus (RSV) is a common cause of lower respiratory
tract infections in infants and young children worldwide, yet no vaccine or
effective antiviral treatment is available. To search for new anti-RSV agents, we
developed a cell-based assay that measures inhibition of RSV-induced cytopathic
effect (CPE) and identified cyclopiazonic acid (CPA), an intracellular calcium
ATPase inhibitor as a RSV inhibitor (EC50 values 4.13 MUM) by screening of
natural product library. CPA inhibited the replication of RSV strains belonging
to both A and B subgroups and human parainfluenza virus type 3, but not
Enterovirus 71. Mechanism of action study by time-of-addition assay and
minigenome assay revealed that CPA acts at the step of virus genome replication
and/or transcription. Moreover, two other calcium ATPase inhibitors (Thapsigargin
and BHQ) and calcium ionophores (A23187 and ionomycin), but not calcium channel
blockers (nifedipine, nimodipine, and tetrandrine), also had similar effect.
These results indicate that an increase in intracellular calcium concentration is
detrimental to RSV replication. Thus, our findings provide a new strategy for
anti-RSV therapy via increasing intracellular calcium concentration.
PMID- 27210813
TI - Melting curve of SiO2 at multimegabar pressures: implications for gas giants and
super-Earths.
AB - Ultrahigh-pressure phase boundary between solid and liquid SiO2 is still quite
unclear. Here we present predictions of silica melting curve for the multimegabar
pressure regime, as obtained from first principles molecular dynamics
simulations. We calculate the melting temperatures from three high pressure
phases of silica (pyrite-, cotunnite-, and Fe2P-type SiO2) at different pressures
using the Z method. The computed melting curve is found to rise abruptly around
330 GPa, an increase not previously reported by any melting simulations. This is
in close agreement with recent experiments reporting the alpha-PbO2-pyrite
transition around this pressure. The predicted phase diagram indicates that
silica could be one of the dominant components of the rocky cores of gas giants,
as it remains solid at the core of our Solar System's gas giants. These results
are also relevant to model the interior structure and evolution of massive super
Earths.
PMID- 27210814
TI - CD39 mediated regulation of Th17-cell effector function is impaired in juvenile
autoimmune liver disease.
AB - BACKGROUND & AIMS: T-helper-type 17 (Th17) cells are involved in autoimmune
tissue damage. CD39 is an ectonucleotidase that catalyzes extracellular ATP/ADP
hydrolysis, culminating in the generation of immunosuppressive adenosine.
Functional CD39 expression confers immunosuppressive properties upon immune
cells. As the proportion of CD39 lymphocytes is decreased in juvenile autoimmune
liver disease (AILD), we have explored whether decreased CD39 expression is
present on Th17 cells and whether this phenomenon is associated with heightened
effector function and inflammation. METHODS: Thirty-eight patients with juvenile
AILD (22 autoimmune hepatitis and 16 autoimmune sclerosing cholangitis), 8
disease controls (DC) and 16 healthy subjects (HS) were studied. Peripheral blood
cell phenotype was determined by flow cytometry; ability to suppress by
inhibition of cell proliferation/effector cytokine production; ectoenzymatic
activity by thin layer chromatography; expression of adenosine receptor,
adenosine deaminase (ADA) and phosphodiesterases (PDE) by quantitative real-time
PCR or by Western Blot. RESULTS: CD39(+) Th17 (Th17(CD39+)) cells from HS appear
activated and contain high frequencies of lymphocytes producing regulatory
cytokines. In AILD, however, Th17(CD39+) cells are markedly diminished and fail
to generate AMP/adenosine, thereby limiting control of both target cell
proliferation and IL-17 production. When compared to HS, Th17 cells from AILD
patients also show lower A2A adenosine receptor expression while displaying
similar levels of PDE4A, PDE4B and ADA. Only rare Th17(CD39+) cells are observed
by liver immunohistochemistry. CONCLUSIONS: Th17(CD39+) cells in juvenile AILD
are both quantitatively decreased and qualitatively deficient. Low levels CD39
and A2A expression may contribute to the perpetuation of Th17 cell effector
properties and unfettered inflammation in this disease.
PMID- 27210815
TI - Co-morbidity burden in Parkinson's disease: Comparison with controls and its
influence on prognosis.
AB - BACKGROUND: Many aspects of co-morbidity burden in Parkinson's disease (PD) are
unclear, but it may be an important predictor of prognosis or confounder of
associations in epidemiological studies. OBJECTIVES: To determine how best to
assess co-morbidity burden in PD, to compare with matched controls, and
investigate its association with prognostic outcomes. METHODS: Data from an
incident, community-based cohort with prospective follow-up (the PINE study) were
used (198 patients with PD and 151 controls). The reliability of three co
morbidity scales (the Charlson co-morbidity index (CCI), the Cumulative Illness
Rating scale and a simple disease count) were evaluated. The association with
mortality and development of dependency was assessed with Cox regression. The co
morbidity burden in PD and controls was compared at baseline and over 5 years of
follow-up using linear mixed modelling. RESULTS: The CCI was more reliable and
was an independent predictor of mortality with a time-dependent effect (hazard
ratio = 1.27 [1.08-1.49] in first four years of follow-up; no significant
association after four years). Associations between the other scales and
mortality and between each scale and development of dependency were non
significant once adjusted for confounders. Co-morbidity burden was similar
between cases and controls at baseline and there was no evidence of differential
accrual of co-morbidity between patients and controls (p = 0.94). CONCLUSIONS:
The CCI is probably the better scale for measuring co-morbidity burden in PD.
There were no differences between PD and controls. Co-morbidity burden at
diagnosis was associated with mortality in the early part of the disease course,
but not later.
PMID- 27210816
TI - Degenerate seaweed to tilted dendrite transition and their growth dynamics in
directional solidification of non-axially oriented crystals: a phase-field study.
AB - We report the results of a phase-field study of degenerate seaweed to tilted
dendrite transition and their growth dynamics during directional solidification
of a binary alloy. Morphological selection maps in the planes of (G, Vp) and
(epsilon4, Vp) show that lower pulling velocity, weaker anisotropic strength and
higher thermal gradient can enhance the formation of the degenerate seaweed. The
tip undercooling shows oscillations in seaweed growth, but it keeps at a constant
value in dendritic growth. The M-S instability on the tips and the surface
tension anisotropy of the solid-liquid interface are responsible for the
formation of the degenerate seaweed. It is evidenced that the place where the
interfacial instability occurs determines the morphological transition. The
transient transition from degenerate seaweed to tilted dendrite shows that
dendrites are dynamically preferred over seaweed. For the tilted dendritic arrays
with a large tilted angle, primary spacing is investigated by comparing predicted
results with the classical scaling power law, and the growth direction is found
to be less sensitive to the pulling velocity and the primary spacing.
Furthermore, the effect of the initial interface wavelength on the morphological
transition is investigated to perform the history dependence of morphological
selection.
PMID- 27210817
TI - Cancer and the world's poor: What's a gynecologic cancer specialist to do?
AB - Women in low- and middle-income countries (LMICs) face a drastically increased
burden of cervical cancer and the same burden of other gynecologic cancers as do
women in high-income countries, yet there are few resources or specialists to
meet their needs. 85% of deaths from cervical cancer occur in LMICs. As the
population of these regions age, and as death from infectious diseases decrease,
this burden will increase further without strong intervention. There are few
cancer specialists in LMICs and training in gynecologic cancer care is rare.
Gynecologic cancer specialists are uniquely positioned to meet this challenge as
advocates, educators and experts. On behalf of the SGO International Committee,
we call on our colleagues to meet this historic challenge.
PMID- 27210819
TI - 18F-FDG-PET/CT in Patients Affected by Differentiated Thyroid Carcinoma with
Positive Thyroglobulin Level and Negative 131I Whole Body Scan. It's Value
Confirmed by a Bicentric Experience.
AB - BACKGROUND: 18F-FDG-PET/CT applications in the field of differentiated thyroid
cancer (DTC) are continuously growing. OBJECTIVE: To retrospectively analyze in a
wide population enrolled in two nuclear medicine centers the diagnostic value of
18F-FDG-PET/CT in patients treated with 131I and negative 131I-WBS but in
presence of Tg levels higher than 1ng/ml after TSH stimulation. METHOD: From
September 2005 to December 2014, 154 patients affected by DTC, treated with 131I
with negative 131I-WBS and Tg&>=1ng/ml underwent 18F-FDG-PET/CT. RESULTS: 66
patients (43%) had a negative 18F-FDG-PET/CT (mean Tg=7.7ng/ml; SD=9.6) and 88
(57%) a positive scan (mean Tg=169.4; SD=294.6) documenting bone, pulmonary,
lymph-node metastases and local recurrences. There was no statistically
significant correlation between 18F-FDGPET/ CT results and sex, previous
lymphadenectomy, the "on-" or "off-therapy" state; on the contrary, there was a
statistically significant correlation between PET results and primary tumor size
(T1-T2 vs. T3-T4). ROC analysis showed that the highest accuracy in terms of best
compromise between sensitivity and specificity (sensitivity= 71,6%;
specificity=92,4%; AUC area under the curve= 0.807) is achieved when the 12ng/mL
is considered the Tg cut-off value to interpret the results. CONCLUSION: Our
study confirm in a wide population that 18F-FDG-PET/CT is useful in evaluating
patients affected by DTC, negative 131I-WBS and Tg>1ng/ml, identifying 12ng/ml as
the Tg level cut-off over which is better to perform the study.
PMID- 27210818
TI - Prognostic importance of human papillomavirus (HPV) and p16 positivity in
squamous cell carcinoma of the vulva treated with radiotherapy.
AB - OBJECTIVE: HPV status is an important prognostic factor for patients with
oropharyngeal, anal and cervical cancers treated with radiotherapy. This study
evaluates the association between HPV and p16 status and outcome in a radiation
treated cohort with vulvar squamous cell carcinoma (SCC). METHODS: Patients with
vulvar SCC who received radiotherapy with or without surgical resection between
1985 and 2011 were identified retrospectively. Immunostaining for p16 and
multiplex PCR for HPV genotyping were performed using archival tumor tissue from
57 patients. Actuarial estimates of PFS, OS and in-field recurrence were
calculated using the Kaplan-Meier method. Cox proportional hazards models were
used for multivariable analysis. Median follow-up was 58months among the 57
patients with an available tumor specimen. RESULTS: HPV prevalence was implied in
37% by (diffuse linear) p16 immunostaining and confirmed in 27% by HPV PCR with
good agreement (kappa=0.7). HPV-16 was identified in 80% of HPV-positive tumors.
Women with p16-positive tumors had significantly higher 5-year PFS (65% vs. 16%,
p<0.01) and OS (65% vs. 22%, p=0.01) rates, as well as lower in-field relapse
rates (19% vs. 75%, p<0.01) compared to those with p16-negative disease. On
multivariable analysis adjusted for age and stage, p16 positivity was
significantly associated with better PFS (HR 0.4, 95% CI 0.2-0.9) and lower rates
of in-field relapse (HR 0.2, 95% CI 0.06-0.6). Results were similar when analyzed
by HPV DNA status. CONCLUSION: In this study, the presence of HPV or its
surrogate of p16 immunostaining was an independent prognostic factor for in-field
relapse and survival in women with vulvar SCC treated with radiotherapy. This
finding warrants validation in larger cohorts or the prospective setting.
PMID- 27210820
TI - The relationship of the medial roof and the posterior wall of the maxillary sinus
to the sphenoid sinus: a radiologic study.
AB - INTRODUCTION: The medial maxillary sinus roof is a ridge formed by the superior
margin of the maxillary sinus antrostomy. The posterior wall of the maxillary
sinus is always included in operative fields. OBJECTIVE: To perform a radiologic
study assessing the utility of the medial maxillary sinus roof and the posterior
wall of the maxillary sinus as fixed landmarks for providing a safe route of
entry into the sphenoid sinus. METHODS: We reviewed 115 consecutive paranasal
sinus Computed Tomographic scans (230 sides) of Korean adult patients performed
from January 2014 to December 2014. Using the nasal floor as a reference point,
the vertical distances to the highest point of the medial maxillary sinus roof,
the sphenoid ostium and anterior sphenoid roof and floor were measured. Then the
vertical distances from the highest point of the medial maxillary sinus roof to
the sphenoid ostium and anterior sphenoid roof and floor were calculated. The
coronal distance from the posterior wall of the maxillary sinus to the sphenoid
ostium was determined. RESULTS: The average height of the highest point of the
medial maxillary sinus roof relative to the nasal floor was measured to be
33.83+/-3.40mm. The average vertical distance from the highest point of the
medial maxillary sinus roof to the sphenoid ostium and anterior sphenoid roof and
floor was 1.79+/-3.09mm, 12.02+/-2.93mm, and 6.18+/-2.88mm respectively. The
average coronal distance from the posterior wall of the maxillary sinus to the
sphenoid ostium was 0.78mm. The sphenoid ostium was behind the coronal plane of
the posterior wall of the maxillary sinus most frequently in 103sides (44.4%). It
was in the same coronal plane in 68 sides (29.3%) and in front of the plane in 61
sides (26.3%). CONCLUSIONS: The medial maxillary sinus roof and the posterior
wall of the maxillary sinus can be used as a reliable landmark to localize and to
enable a safe entry into the sphenoid sinus.
PMID- 27210821
TI - Feasibility of ossicular chain reconstruction with resin cement.
AB - INTRODUCTION: Disjunction of ossicular chain is a common finding in middle ear
chronic disease. In addition to ossicular interposition, various materials have
been used for reconstruction, such as ceramic prostheses, polyethylene, and
titanium. OBJECTIVE: Because of the high cost of the available options, the
authors propose to reconstruct the ossicular chain with resin cement, a material
typically used in dental reconstruction and fixation. METHODS: Two anatomical
parts of the temporal bones were used, creating a disjunction of the ossicular
chain between the incus and staples and then reconstructing with resin cement.
These reconstructions were repeated four times by three different surgeons to
ensure the feasibility of the method. RESULTS: A total of 12 reconstructions were
carried out, four per surgeon. After applying the cement, it could be verified by
touch that the space was filled properly by the used material. Proper
articulation with motion transfer to the entire ossicular chain was also
observed. CONCLUSION: Resin cement is a suitable material in the reconstruction
of ossicular chain injury, and it is inexpensive and technically simple.
PMID- 27210822
TI - Difficult septal deviation cases: open or closed technique?
AB - INTRODUCTION: The aim of this study is to compare the functional aspects of open
technique (OTS) and endonasal septoplasty (ENS) in "difficult septal deviation
cases". METHODS: 60 patients with severe nasal obstruction from S-shaped
deformities, multiple deformities, high deviations etc. were included in the
study. The OTS was used in 30 patients and the ENS was performed in 30 patients.
The Nasal Obstruction Symptom Evaluation (NOSE) scale was administered
preoperatively and at first month following surgery. Patients were also evaluated
for pain postoperatively with Visual Analog Scale (VAS). RESULTS: The mean NOSE
score was decreased 62.5-11.0 in the OTS group and 61.3-21.33 in the ENS group.
Improvement of the symptoms following the two surgical techniques is similar and
no statistically significant difference was found between both techniques. Also
there was no statistically significant difference in postoperative pain between
the OTS and ENS groups evaluated by VAS. CONCLUSION: ENS is as successful as the
OTS in management difficult septal deviation cases. In patients with severe
septal deformities type of the surgical technique should be selected according to
the surgeon's experience and the patient's preference.
PMID- 27210823
TI - Effectiveness of acupuncture therapy as treatment for tinnitus: a randomized
controlled trial.
AB - INTRODUCTION: Tinnitus is a subjective sensation of hearing a sound in the
absence of an external stimulus, which significantly worsens the quality of life
in 15-25% of affected individuals. OBJECTIVE: To assess the effectiveness of
acupuncture therapy for tinnitus. METHODS: Randomized clinical trial ( REBEC:
2T9T7Q) with 50 participants with tinnitus, divided into two groups: 25
participants in the acupuncture group and 25 participants in the control group.
The acupuncture group received acupuncture treatment and the control group
received no treatment. After a period of 5 weeks, they were called to perform the
final evaluation and the control group received acupuncture treatment for ethical
reasons. RESULTS: A statistically significant result was found for the primary
outcome, reducing the intensity of tinnitus, with p=0.0001 and the secondary
endpoint, showing improvement in quality of life, with p=0.0001. CONCLUSION:
Chinese scalp acupuncture associated with bilateral electroacupuncture
demonstrated, in the short term, a statistically significant improvement by
reducing the level of tinnitus intensity, as well as improving the quality of
life of individuals with tinnitus.
PMID- 27210824
TI - Changes in VGLUT1 and VGLUT2 expression in rat dorsal root ganglia and spinal
cord following spared nerve injury.
AB - Disturbance of glutamate homeostasis is a well-characterized mechanism of
neuropathic pain. Vesicular glutamate transporters (VGLUTs) determine glutamate
accumulation in synaptic vesicles and their roles in neuropathic pain have been
suggested by gene-knockout studies. Here, we investigated the spatio-temporal
changes in VGLUT expression during the development of neuropathic pain in wild
type rats. Spared nerve injury (SNI) induced mechanical allodynia from
postoperative day 1 to at least day 14. Expression of VGLUT1 and VGLUT2 in dorsal
root ganglia and spinal cord was examined by western blot analyses on different
postoperative days. We observed that VGLUT2 were selectively upregulated in crude
vesicle fractions from the ipsilateral lumbar enlargement on postoperative days 7
and 14, while VGLUT1 was transiently downregulated in ipsilateral DRG (day 4) and
contralateral lumbar enlargement (day 1). Upregulation of VGLUT2 was not
accompanied by alterations in vesicular expression of synaptotagmin or
glyceraldehyde-3-phosphate dehydrogenase (GAPDH). Thus, VGLUTs expression,
especially VGLUT2, is regulated following peripheral nerve injury. Temporal
regulation of VGLUT2 expression in spinal cord may represent a novel presynaptic
mechanism contributing to injury-induced glutamate imbalance and associated
neuropathic pain.
PMID- 27210825
TI - Is Adrenal Insufficiency a Rare Disease?
AB - Adrenal insufficiency (AI) is a potentially life-threatening condition and it is
of utmost importance to identify and adequately manage affected individuals.
Diagnosis is often delayed, probably partly because diseases of the adrenal or
pituitary region that cause primary AI (PAI) or central AI are relatively rare
conditions. However, iatrogenic AI, i.e. the physiological downregulation of the
hypothalamic-pituitary-adrenal axis and adrenal atrophy caused by glucocorticoid
treatment for different inflammatory conditions is likely to be considerably more
common. The type of glucocorticoid, dose and duration of treatment are factors to
consider when trying to predict the risk of developing symptoms of AI. However,
the considerable individual variation in the sensitivity for developing
iatrogenic AI impedes prediction. In industrialized countries, autoimmune
adrenalitis accounts for the majority of cases of PAI. Among children, genetic
conditions - in particular congenital adrenal hyperplasia - need to be
considered. Important risk groups for central AI are patients with tumours in the
hypothalamic-pituitary region, moderate-to-severe traumatic head injury and
patients who receive cranial radiotherapy or cytotoxic T-lymphocyte antigen 4
blockade treatment. Structured endocrine follow-up is essential in these groups.
Health workers need to be attentive to these potentially fatal conditions and at
risk populations should be carefully informed about symptoms and signs of AI.
PMID- 27210826
TI - Relationships among Stress, Experiential Avoidance and Depression in Psychiatric
Patients.
AB - This study investigated the specific association of stressful life events (SLE)
and experiential avoidance (EA) with depression in patients with mental
disorders. It also analyzed the possible mediating role of depression in the
relation of EA to well-being and life satisfaction. A total of 147 patients (mean
age = 40.16 years) diagnosed with anxiety, mood or adjustment disorder were
recruited from a mental health centre. They completed measures of SLE, EA,
depression, well-being and life satisfaction. Regression analyses showed that SLE
and EA were positively related to depression (R 2 = .45), although the
contribution made by EA was higher (beta = .61, p < .001) than the one made by
SLE (beta = .19, p < .01). Bootstrap mediation analyses revealed that there was
an indirect effect from EA to physical well-being (B = -4.52, SE = .70, p < .001,
95% CI [-6.03, -3.20]) and satisfaction (B = -.14, SE = .02, p < .001, 95%, CI [
.19 -.09]) through depression. This indirect effect was less consistently
supported with respect to emotional well-being (B = -3.33, SE = .48, p < .001,
95%, CI [-4.30, -2.41]). These findings give support to the hypothesis that EA
could be an important factor contributing to depression in patients with mental
disorders. The results also provide evidence that depression seems to play an
important mediational role when considering the negative impact that EA exerts on
patients' well-being and satisfaction.
PMID- 27210827
TI - The effects of different angiotensin II type 1 receptor blockers on the
regulation of the ACE-AngII-AT1 and ACE2-Ang(1-7)-Mas axes in pressure overload
induced cardiac remodeling in male mice.
AB - Angiotensin II (AngII) type 1 receptor blockers (ARBs) have been effectively used
in hypertension and cardiac remodeling. However, the differences among them are
still unclear. We designed this study to examine and compare the effects of
several ARBs widely used in clinics, including Olmesartan, Candesartan,
Telmisartan, Losartan, Valsartan and Irbesartan, on the ACE-AngII-AT1 axis and
the ACE2-Ang(1-7)-Mas axis during the development of cardiac remodeling after
pressure overload. Although all of the six ARBs, attenuated the development of
cardiac hypertrophy and heart failure induced by transverse aortic constriction
(TAC) for 2 or 4weeks in the wild-type mice evaluated by echocardiography and
hemodynamic measurements, the degree of attenuation by Olmesartan, Candesartan
and Losartan tended to be larger than that of the other three drugs tested.
Additionally, the degree of downregulation of the ACE-AngII-AT1 axis and
upregulation of the ACE2-Ang(1-7)-Mas axis was higher in response to Olmesartan,
Candesartan and Losartan administration in vivo and in vitro. Moreover, in
angiotensinogen-knockdown mice, TAC-induced cardiac hypertrophy and heart failure
were inhibited by Olmesartan, Candesartan and Losartan but not by Telmisartan,
Valsartan and Irbesartan administration. Furthermore, only Olmesartan and
Candesartan could downregulate the ACE-AngII-AT1 axis and upregulate the ACE2
Ang(1-7)-Mas axis in vitro. Our data suggest that Olmesartan, Candesartan and
Losartan could effectively inhibit pressure overload-induced cardiac remodeling
even when with knockdown of Ang II, possibly through upregulation of the
expression of the ACE2-Ang(1-7)-Mas axis and downregulation of the expression of
the ACE-AngII-AT1 axis. In contrast, Telmisartan, Valsartan and Irbesartan only
played a role in the presence of AngII, and Losartan had no effect in the
presence of AngII in vitro.
PMID- 27210828
TI - Monoclonal regulatory T cells provide insights into T cell suppression.
AB - Regulatory T cells (Tregs) have a crucial role in maintaining lymphocyte
homeostasis. However an understanding of how Tregs function at a cellular and
molecular level has not yet been fully elucidated. Here, we make use of a T cell
receptor (TCR) transgenic, Rag(-/-) mouse expressing a Forkhead-Box-Protein P3
(Foxp3) transgene. This mouse provides a source of monoclonal CD4(+) Foxp3(+) T
cells with a defined specificity. Here we show that monoclonal B3K506 Tregs are
functional in vitro and in vivo and clearly require cognate antigen to be
suppressive. We further show that the strength of Treg stimulation determines the
strength of Treg mediated suppression. Finally we analysed various suppressive
mechanisms used by monoclonal Tregs and found that Treg-Tconv proximity is a
parameter, which correlates with enhanced suppression.
PMID- 27210829
TI - Bar velocities capable of optimising the muscle power in strength-power
exercises.
AB - This study aimed at testing whether there are mean propulsive velocities (MPVs)
capable of maximising the mean propulsive power (MPP) during the execution of
bench press (BP), bench throw (BT), half squat (HS) and jump squat (JS).
Additionally, we assessed the differences in MPP/MPV between ballistic and
traditional exercises. Seventeen male rugby sevens players performed MPP tests in
BP, BT, HS and JS and maximum isometric force (MIF) tests in HS and BP. The JS
presented higher MPP (977.4 +/- 156.2 W) than the HS (897.9 +/- 157.7 W) (P <
0.05); the BP (743.4 +/- 100.1 W) presented higher MPP than the BT (697.8 +/-
70.4 W) (P < 0.05). Ballistic exercises presented higher optimum MPV (JS = 1.02
+/- 0.07 m.s-1; BT = 1.67 +/- 0.15 m.s-1) than traditional exercises (HS = 0.93
+/- 0.08 m.s-1; BP = 1.40 +/- 0.13 m.s-1) (P < 0.05). The optimum MPP in the JS,
BT, HS and BP occurred at 28.2 +/- 5.79, 23.3 +/- 4.24, 32.4 +/- 9.46 and 27.7 +/
5.33% of the MIF, respectively. The coefficient of variation (CV) of MPV at
optimum MPP ranged from 7.4% to 9.7%, while the CV of %MIF ranged from 18.2% to
29.2%. The MPV is a more precise indicator of the optimum loads than the
percentages of MIF due to its low inter-subject variability as expressed by CV.
Therefore, MPV can be used to determine the optimum power load in the four
investigated exercises.
PMID- 27210830
TI - Intervention Efficacy in Engaging Black and White Veterans with Post-traumatic
Stress Disorder into Treatment.
AB - This study examined racial differences among Black and White Veterans who
screened positive for post-traumatic stress disorder (PTSD) but were not in PTSD
treatment and were participating in an intervention trial. Operation Enduring
Freedom (OEF) and Operation Iraqi Freedom (OIF) Veterans with PTSD but not yet
engaged in treatment were recruited and randomly assigned to control or
intervention conditions. Intervention participants received a cognitive
behavioral engagement intervention by phone. All participants received follow-up
calls to assess symptoms and utilization of treatment. Black and White
participants were compared to assess differences in treatment utilization.
Intervention session notes were analyzed qualitatively for explanatory themes.
Participants of both races who received the intervention had higher PTSD
treatment initiation than their respective control groups (Blacks: 85% vs. 58%
and Whites: 53% vs. 45%, respectively). However, Blacks completed fewer PTSD
treatment sessions compared to Whites overall (M = 2.06 [SD = 2.3] vs. M = 3.77
[SD = 9.9]; p < .05). Within the intervention condition, Blacks were
significantly more likely to initiate treatment (odds ratio = 2.3, p < .04), and
had a greater reduction in PTSD symptom compared to Whites (PTSD Checklist -
Military Version [PCL] scores: 12.75 vs. 9.68). Based on qualitative analysis of
intervention session notes, themes emerged that may suggest cultural differences
involving social connection, attitudes towards treatment, and the desire to
appear "okay." Blacks had a higher initiation rate and greater reduction in PTSD
severity but completed fewer treatment sessions than Whites. These are promising
results with respect to other studies which demonstrate that Black Veterans are
less likely to seek treatment for PTSD.
PMID- 27210831
TI - Assembling Composite Dermal Papilla Spheres with Adipose-derived Stem Cells to
Enhance Hair Follicle Induction.
AB - Intradermal adipose tissue plays an essential role for hair follicles (HFs)
regeneration by regulating hair cycles. However, the effect of reconstruction of
HFs and the involvement of adipose-related cells are poorly understood. We
investigated assembly strategies for the interactions of dermal papilla (DP)
cells with adipose-derived stem cells (ASCs) in promoting hair formation. DP
cells lose DP traits during adherent culture, but preserved DP markers with a
unified sphere diameter by seeding on chitosan-coated microenvironments. Next,
ASCs isolated from rats were co-cultured with DP spheres by different assembling
approaches to determine their interactions; a mixed sphere of ASCs with DP cells
(MA-DPS), or a core-shell structure, outer ASCs shell and an inner DP core (CSA
DPS). CSA-DPS exhibited superior DP characteristics compared to MA-DPS.
Conditional medium from ASCs, but not differentiated adipocytes, promoted DP
markers and functional alkaline phosphatase activity from the DP cells. In vivo
patch assay showed the core-shell assembling of CSA-DPS can reconstruct cellular
arrangements and microenvironmental niches as dominated by PPARalpha signal in
ASCs to induce the greater hair induction than MA-DPS or DP spheres alone.
Therefore, the assembling of a core-shell sphere for DP with ASCs could
reconstruct the HF cellular arrangement for hair formation. This paper set the
groundwork for further evaluation of the input of other cell types.
PMID- 27210833
TI - Autoantibody serum levels and intensity of pruritus in bullous pemphigoid.
PMID- 27210832
TI - Horizontal Gene Transfer of Pectinases from Bacteria Preceded the Diversification
of Stick and Leaf Insects.
AB - Genes acquired by horizontal transfer are increasingly being found in animal
genomes. Understanding their origin and evolution requires knowledge about the
phylogenetic relationships from both source and recipient organisms. We used
RNASeq data and respective assembled transcript libraries to trace the
evolutionary history of polygalacturonase (pectinase) genes in stick insects
(Phasmatodea). By mapping the distribution of pectinase genes on a Polyneoptera
phylogeny, we identified the transfer of pectinase genes from known phasmatodean
gut microbes into the genome of an early euphasmatodean ancestor that took place
between 60 and 100 million years ago. This transfer preceded the rapid
diversification of the suborder, enabling symbiont-free pectinase production that
would increase the insects' digestive efficiency and reduce dependence on
microbes. Bacteria-to-insect gene transfer was thought to be uncommon, however
the increasing availability of large-scale genomic data may change this
prevailing notion.
PMID- 27210835
TI - Dosage and manual therapies - Can we translate science into practice?
PMID- 27210834
TI - Poultry genetic resource conservation using primordial germ cells.
AB - The majority of poultry genetic resources are maintained in situ in living
populations. However, in situ conservation of poultry genetic resources always
carries the risk of loss owing to pathogen outbreaks, genetic problems, breeding
cessation, or natural disasters. Cryobanking of germplasm in birds has been
limited to the use of semen, preventing conservation of the W chromosome and
mitochondrial DNA. A further challenge is posed by the structure of avian eggs,
which restricts the cryopreservation of ova and fertilized embryos, a technique
widely used for mammalian species. By using a unique biological property and
accessibility of avian primordial germ cells (PGCs), precursor cells for gametes,
which temporally circulate in the vasculature during early development, an avian
PGC transplantation technique has been established. To date, several techniques
for PGC manipulation including purification, cryopreservation, depletion, and
long-term culture have been developed in chickens. PGC transplantation combined
with recent advanced PGC manipulation techniques have enabled ex situ
conservation of poultry genetic resources in their complete form. Here, the
updated technologies for avian PGC manipulation are introduced, and then the
concept of a poultry PGC-bank is proposed by considering the biological
properties of avian PGCs.
PMID- 27210836
TI - Aquaticity: A discussion of the term and of how it applies to humans.
AB - The relationship between humans and water and the effects on aspects related to
human performance has never been studied scientifically. The aim of the current
systematic review is to attempt to define the term "aquaticity", present the
factors that describe it and reveal the form in which it presents itself in
today's society, in order to become a distinct scientific field of study. A
systematic review of the literature has been conducted using anecdotal reports
from the internet and forums as well as scientific articles and books from
databases on issues related to aquatic sports. To the best of our knowledge there
are no scientific articles dealing with human's aquaticity. In the current
systematic review, four factors have been recognized that are closely related to
human aquaticity. Those are related to physical condition in the water, to apnea
and ability to immerse, to mental health and to parameters related to body
composition. According to our findings, "Aquaticity is the capacity of a
terrestrial mammalian organism to function and habitualise in the aquatic
environment. The level of aquaticity depends on mental and physical
characteristics and can be improved by frequent exposure to the water element".
The ideal state of aquaticity is achieved through the activation of the diving
reflex, when the human body is totally immersed in water. The development of
knowledge regarding the aquatic environment leads humans to an improved state of
aquaticity.
PMID- 27210837
TI - Cross friction algometry (CFA): Comparison of pressure pain thresholds between
patients with chronic non-specific low back pain and healthy subjects.
AB - Palpation is widely used to assess muscular sensitivity in clinical settings but
still remains a subjective evaluation. This cross-sectional study assessed a
newly developed cross-friction algometry making palpation measurable. The
objective was to investigate the reliability of pressure pain thresholds obtained
using Cross-Friction Algometry (CFA-PPTs) measured at the level of Erector spinae
and Gluteus maximus central muscle parts, and to compare the CFA-PPTs between
patients with chronic nonspecific low back pain (nCLBP) and matching healthy
subjects. PARTICIPANTS: Patients presenting nCLBP to GP's and send into a Pain
Center and healthy subjects recruited via university ad valvas & flyers
distribution. OUTCOME MEASURES: 30 patients with nCLBP were measured for cross
friction algometry. Other evaluations consisted of the Visual Analogue Scale
(VAS) and the Oswestry Disability Index (ODI). RESULTS: The inter- and intra
reliability were tested and found to be sufficient. The mean CFA-PPT values of
the Erector spinae at levels T8, T10, L1 & L3 and the Gluteus maximus of the
nCLBP group were significantly lower (p <= 0.001) when compared to the CFA-PPT
values of the healthy group. The greatest difference (-58%) was found at L1
Erector spinae level and at the superior part of the Gluteus maximus measuring
point (-59%). Within the group of patients with nCLBP it was surprising to notice
that there was no significant correlation between all the reference points
measured using CFA-PPTs and the outcomes of the VAS and ODI scores. CONCLUSIONS:
With the aid of CFA, the importance of local muscular disorder in the lumbar part
of the Erector spinae and Gluteus maximus in patients with nCLBP is obviously
demonstrated, but also reveals the very large inter-individual differences in
muscular fibrosis sensitivity and/or pain behavior in daily life. This possibly
re-opens the debate on which influences can be put forward as the most important:
the central or the peripheral sensitization system.
PMID- 27210838
TI - Effect of static neck flexion in cervical flexion-relaxation phenomenon in
healthy males and females.
AB - INTRODUCTION: Neck pain is a common musculoskeletal disorder, especially among
skilled workers who must keep their necks in a flexed position frequently during
the day. The present study investigated changes in cervical flexion-relaxation
phenomenon parameters after sustained neck flexion. METHODS: The participants
were 40 healthy subjects grouped by gender (20 females, 20 males). They were
exposed to static neck flexion at the full angle of cervical flexion for 10 min.
Each subject underwent three trials of cervical flexion and re-extension before
and after this period. Differences in onset and cessation angle of flexion
relaxation phenomenon, maximum neck flexion angle, amplitude of neck muscle
activation and flexion-relaxation ratio were evaluated. RESULTS: The maximum neck
flexion angle significantly increased after sustained flexion. The onset of
flexion-relaxation was significantly delayed during flexion, but cessation angle
remained unchanged. Myoelectric activity of the cervical erector spinae muscles
increased significantly after maintaining flexion, especially in female subjects.
The flexion-relaxation ratio also decreased significantly. CONCLUSION: It was
concluded that 10 min of static flexion results in a delay in flexion-relaxation
phenomenon and a shortened silence period. Also the cervical erector spinae
muscles are required to be active longer and generate more activity. These
neuromuscular changes may be a risk factor for neck pain.
PMID- 27210839
TI - Refugee experiences of individual basic body awareness therapy and the level of
transference into daily life. An interview study.
AB - PURPOSE: The aim of the study was to investigate refugee experiences of
individual Basic Body Awareness Therapy (BBAT) and the level of transference into
daily life. METHOD: Qualitative research using semi-structured interviews.
Malterud's version of Giorgi's 4-step analysis was used to analyse the data.
PARTICIPANTS: Three traumatised refugees with PTSD who had completed 14-20
individual BBAT sessions. RESULTS: The participants experienced the movements in
BBAT as small and simple with big effects. BBAT was found to relieve pain and
tension, bring peace of mind and body, and make it easier to sleep. Regular
practice was necessary, as were instructions from a physiotherapist, to get the
effect from BBAT. Positive changes in the contact to oneself and others were
experienced and new coping strategies were developed. CONCLUSION: Traumatised
refugees experienced positive effects from BBAT and transference into daily life
was experienced to a great extent.
PMID- 27210840
TI - Transcranial direct current stimulation combined with integrative speech therapy
in a child with cerebral palsy: A case report.
AB - The aim of this study was to describe the results of the first case combining
integrative speech therapy with anodal transcranial direct current stimulation
(tDCS) over Broca's area in a child with cerebral palsy. The ABFW phonology test
was used to analyze speech based on the Percentage of Correct Consonants (PCC)
and Percentage of Correct Consonants - Revised (PCC-R). After treatment,
increases were found in both PCC (Imitation: 53.63%-78.10%; Nomination: 53.19%
70.21%) and PPC-R (Imitation: 64.54%-83.63%; Nomination: 61.70%-77.65%).
Moreover, reductions occurred in distortions, substitutions and improvement was
found in oral performance, especially tongue mobility (AMIOFE-mobility before = 4
after = 7). The child demonstrated a clinically important improvement in speech
fluency as shown in results of imitation number of correct consonants and
phonemes acquire. Based on these promising findings, continuing research in this
field should be conducted with controlled clinical trials.
PMID- 27210841
TI - The Pilates Method increases respiratory muscle strength and performance as well
as abdominal muscle thickness.
AB - The aim of this study was to verify the effects of the Pilates Method (PM)
training program on the thickness of the abdominal wall muscles, respiratory
muscle strength and performance, and lung function. This uncontrolled clinical
trial involved 16 sedentary women who were assessed before and after eight weeks
of PM training. The thickness of the transversus abdominis (TrA), internal
oblique (IO) and external oblique (EO) muscles was assessed. The respiratory
muscle strength was assessed by measuring the maximum inspiratory (MIP) and
expiratory (MEP) pressure. The lung function and respiratory muscle performance
were assessed by spirometry. An increase was found in MIP (p = 0.001), MEP (p =
0.031), maximum voluntary ventilation (p = 0.020) and the TrA (p < 0.001), IO (p
= 0.002) and EO (p < 0.001) thickness after the PM program. No alterations in
lung function were found. These findings suggest that the PM program promotes
abdominal wall muscle hypertrophy and an increase in respiratory muscle strength
and performance, preventing weakness in abdominal muscles and dysfunction in
ventilatory mechanics, which could favor the appearance of illnesses.
PMID- 27210842
TI - Reproducibility of the low back clinical postural grouping in adolescents.
AB - OBJECTIVE: The purpose of this study was to analyze the intra- and inter-rater
reliability of the Low Back Clinical Postural Grouping (LBCPG). METHODS: Fifty
eight school adolescents were evaluated by lateral photography. The examiners
classified the posture of the participants as: hyperlordotic, sway back, flat
back or neutral. The intra- and inter-rater reliability were quantified by the
percentage agreement between clinicians and the kappa coefficient with 95%
confidence intervals (95% CI). RESULTS: The intra-rater percentage agreement was
91.4%, k = 0.87 (95% IC 0.77-0.98, p < 0.001) for the more experienced rater, and
86.2% k = 0.79 (IC 95% 0.62-0.96, p < 0.001) for the less experienced rater. The
percentage agreement between clinicians was 55.17% k = 0.39 (95% CI: 0.23-0.55, p
< 0.001). The agreement rose to 70.69%, k = 0.58 (95% CI 0.41-0.74, p < 0.001)
when an optional second opinion of the raters was also considered. CONCLUSION:
The LBCPG was reliable when used by the same clinician. The strategy of a second
opinion could be used to improve the inter-rater reliability in epidemiological
studies with large samples.
PMID- 27210843
TI - Practitioners' perceptions of yoga's positive and negative effects: Results of a
National United States survey.
AB - OBJECTIVES: Yoga is becoming increasingly popular, yet little information is
available regarding practitioners' perceptions of effects of their practice. This
study aimed to characterize perceptions of both positive and negative changes
practitioners reported in physical and psychosocial domains. DESIGN: Cross
sectional internet-based survey. PARTICIPANTS: Yoga practitioners (N = 542,
including 162 teachers) recruited via email and flyers sent to yoga studios
across the United States (US). Participants ranged in age from 18 to 85 years (M
= 44). MEASURES: Participants rated the extent to which they experienced positive
or negative change in physical health and psychosocial dimensions and then listed
up to three positive and three negative effects of their practice. RESULTS: Both
students and teachers reported moderately high levels of positive physical
changes and psychosocial changes, although teachers generally reported more
positive changes. Few negative changes were reported. In open-ended responses,
the most commonly reported positive effects were general health and fitness and
relaxation. Most commonly reported negative effects were injuries, soreness,
emotional triggers/irritability, and expense. CONCLUSIONS: Findings suggest that
yoga practitioners generally perceive high levels of positive changes, but some
also experience adverse effects. Future research should assess subjective
experiences of change alongside more objective measures of improvement.
PMID- 27210844
TI - Effect of Butler's neural tissue mobilization and Mulligan's bent leg raise on
pain and straight leg raise in patients of low back ache.
AB - Low back ache (LBA) is a common musculoskeletal disorder sometimes associated
with a positive limited Straight leg raise (SLR) test. Mulligan's bent leg raise
(BLR) and Butler's neural tissue mobilization (NTM) are commonly used techniques
for the treatment of low back ache where SLR is limited. The aim of this study
was to evaluate the effect of both the techniques on pain and limited SLR in
patients with LBA. Thirty one patients with LBA with radiculopathy were randomly
allocated into 2 groups; BLR [n = 16] NTM [n = 15]. The outcome measures i.e.
visual analogue scale (VAS) for pain and universal goniometer for measuring SLR
range of motion (SROM) were assessed at the baseline, post intervention and after
24 h (follow up). Within group analysis using paired t-test revealed a
significant difference between pre-treatment and post-treatment VAS and SROM
score(p < 0.05). However no difference was seen between pre-treatment and follow
up (p > 0.05). The study showed that both techniques produce immediate
improvement in pain and SLR range but this effect was not maintained during the
follow up period.
PMID- 27210845
TI - Acute effects of traditional Thai massage on cortisol levels, arterial blood
pressure and stress perception in academic stress condition: A single blind
randomised controlled trial.
AB - Traditional Thai massage (TTM) has been applied widely to promote relaxation.
However, there is little evidence to support its efficacy on academic stress. A
randomised controlled trial was performed to examine the acute effects of TTM on
cortisol level, blood pressure, heart rate and stress perception in academic
stress. This prospective trial included 36 physiotherapy students with a self
perceived stress score of between 3 and 5. They were randomly allocated into the
TTM (18 people) group or the control group (18 people). Saliva cortisol level,
blood pressure, heart rate and stress perception rating were measured before and
after the intervention. Both groups showed a significant reduction in cortisol
level and heart rate when compared with baseline (p < 0.001). There were no
significant differences in cortisol level between the two groups. The results
suggest the need for further study into other possible physiological effects on
stress of TTM.
PMID- 27210846
TI - Understanding and working with the psychodynamics of practitioner-patient
relationships in the manual therapies.
AB - In this paper, we argue that practitioner-patient relationships in the manual
therapies would be strengthened by a deeper understanding of the psychodynamics
and emotions of those relationships. We suggest that in many cases, a purely bio
mechanical approach may neglect underlying psychological and emotional reasons of
the patient's presenting condition, and consequently, lead to a less than
adequate outcome for the patient. We offer easily adopted suggestions that could
enhance the practice of practitioners of manual therapies as well as other
professions that rely on the application of physical methods of diagnosis and
treatment. These suggestions could lead to improved prognosis and increased
professional satisfaction for practitioners. This paper describes five key
dynamics that characterize practitioner-patient relationships: (i) pain as a form
of communication; (ii) the 'heart-sink' patient; (iii) dependency; (iv) the
erotic transference; (v) endings and loss.
PMID- 27210847
TI - Application of neuroplasticity theory through the use of the Feldenkrais
Method((r)) with a runner with scoliosis and hip and lumbar pain: A case report.
AB - Neuroplasticity theory has gained considerable attention in recent years in the
professions of medicine, psychology and neuroscience. Most research on
neuroplasticity has been in neurology focusing on stroke and other central
nervous system disease and injury. Further research is necessary to advance the
connection of neuroplasticity theory to musculoskeletal conditions and
rehabilitation. The theory of neuroplasticity as it applies to the acquisition of
new skills and modification of maladaptive, pain-perpetuating and inefficient
movement patterns is fundamental to the Feldenkrais Method. This case report
demonstrates the application of neuroplasticity theory with the Feldenkrais
Method as the primary intervention for a 42-year-old female runner with a history
of adolescent idiopathic scoliosis who presented with hip and lumbar pain. The
client had clinically meaningful improvements in pain intensity and the Global
Rating of Change scale while meeting her goals to resume pain free running,
repetitive stair climbing at work, and other leisure activities.
PMID- 27210848
TI - The Functional Movement Screen as a predictor of police recruit occupational task
performance.
AB - AIM: The aim of this study was to determine whether poor movement patterns impact
on police recruit task performance. METHODS: Fifty-three volunteers were randomly
selected from a pool of 173 police recruits attending basic recruit training.
Relationships between movement performance, as measured by the Functional
Movement Screen, and four occupational tasks were investigated. RESULTS: Eleven
percent failed the marksmanship and baton strike assessments, 21% failed
defensive tactics and 36% failed the tactical options assessment. Mean Functional
Movement Screen score was 13.96 points (+/-1.99 points). Only the tactical
options assessment approached a significant difference (p = 0.077) between
pass/fail recruits. When Functional Movement Screen scores when graded as pass
(14+) or fail (<14) again only the tactical options assessment approached
significance (p = 0.057). CONCLUSION: The results of this study suggest that a
relationship between an officer's movement patterns and occupational performance,
most notably choice of tactical options, may exist.
PMID- 27210849
TI - Onset and maximum values of electromyographic amplitude during prone hip
extension after neurodynamic technique in patients with lumbosciatic pain: A
pilot study.
AB - OBJECTIVE: The mechanisms underlying the effects of neurodynamic techniques are
still unknown. Therefore, the aim of this study was to provide a starting point
for future research on explaining why neurodynamic techniques affect muscular
activities in patients with sciatic pain. METHODS: A double-blind trial was
conducted in 12 patients with lumbosciatica. Surface electromyography activity
was assessed for different muscles during prone hip extension. Pre- and post
intervention values for muscle activity onset and maximal amplitude signals were
determined. RESULTS: There was a significant reduction in the surface
electromyography activity of maximal amplitude in the erector spinae and
contralateral erector spinae (p < 0.05). Additionally, gluteus maximus (p < 0.05)
activity onset was delayed post-intervention. CONCLUSIONS: Self-neurodynamic
sliding techniques modify muscular activity and onset during prone hip extension,
possibly reducing unnecessary adaptations for protecting injured components.
Future work will analyze the effects of self-neurodynamic sliding techniques
during other physical tasks.
PMID- 27210850
TI - Sagittal evaluation of usual standing and sitting spinal posture.
AB - Postural rehabilitation often plays an important role in the management of non
specific low back pain. While cervical and lumbar correlations have been
demonstrated previously, the different role of the pelvis and the thoracic spine
for postural control in sitting and standing remains unclear. The aim of this
study was to investigate postural correlations between all spinal regions in
standing and sitting. Based on digital photographs eight postural angles were
analyzed in 99 young healthy persons. Pearson correlations between different
postural angles were calculated. In sitting pelvic tilt demonstrated mostly
medium correlations with five out of seven other postural angles, compared to
three in standing. In standing trunk angle showed five out of seven mostly medium
correlations with other regions compared to four out of seven in usual sitting.
The low and different correlations suggest a large between-subject variability in
sagittal spinal posture, without the existence of any optimal sagittal posture.
PMID- 27210851
TI - The effect of adding whole body vibration training to strengthening training in
the treatment of knee osteoarthritis: A randomized clinical trial.
AB - Strengthening training (ST) and whole body vibration training (WBV) alone may
improve symptoms of osteoarthritis of the knee. In this study, we investigated
the effect of adding WBV training to quadriceps and hamstring muscles
strengthening training on functional activity, pain, quality of life and muscle
strength in patients with knee osteoarthritis. 28 volunteers were randomly
allocated to two groups; 1) quadriceps and hamstring muscles strengthening
training (ST group, 13 patients) and 2) quadriceps and hamstring muscles
strengthening training along with WBV training (ST + WBV group, 15 patients). The
treatment protocol for both groups involved 3 sessions per week for 8 weeks. All
measurements were performed before and after intervention. The measurements
included: pain by means of a visual analogue scale (VAS), quality of life by
means of the WOMAC scale, functional activity by the 2 min walking test (2MWT),
time up & go test (TUGT) and 50-foot walking test (50FWT) and the muscle peak
torque (MPT), total work (TW) and muscle power (MP) as muscle performance of
quadriceps and hamstring muscles by an Isokinetic Biodex machine. After
intervention, the comparison of mean changes between two groups showed
improvement in the WBV + ST group in terms of 2MWT, MPT, TW and MP variables (P <
0.05). However, no significant difference was found between the experimental
groups in term of pain, quality of life, TUGT and 50FWT. These results suggest
that adding whole body vibration training to strengthening training may provide
better treatment effects for patients with knee osteoarthritis.
PMID- 27210853
TI - The clinical presentation of individuals with femoral acetabular impingement and
labral tears: A narrative review of the evidence.
AB - Femoral acetabular impingement (FAI) has emerged as one of the more commonly
recognized intraarticular hip pathologies and is often accompanied with a labral
tear. The understanding of the clinical characteristics of individuals with
symptomatic FAI has evolved over the past several years due to emerging research.
As research progresses, there is often a gap in translating the current evidence
to clinical practice. This manuscript presents the latest evidence underpinning
the clinical presentation of FAI and labral tears. Evidence is presented within
the context of bridging the latest research and clinical practice.
PMID- 27210852
TI - A reliability study of the new sensors for movement analysis (SHARIF-HMIS).
AB - AIM: SHARIF-HMIS is a new inertial sensor designed for movement analysis. The aim
of the present study was to assess the inter-tester and intra-tester reliability
of some kinematic parameters in different lumbar motions making use of this
sensor. MATERIALS AND METHODS: 24 healthy persons and 28 patients with low back
pain participated in the current reliability study. The test was performed in
five different lumbar motions consisting of lumbar flexion in 0, 15, and 30
degrees in the right and left directions. For measuring inter-tester
reliability, all the tests were carried out twice on the same day separately by
two physiotherapists. Intra-tester reliability was assessed by reproducing the
tests after 3 days by the same physiotherapist. FINDINGS: The present study
revealed satisfactory inter- and intra-tester reliability indices in different
positions. ICCs for intra-tester reliability ranged from 0.65 to 0.98 and 0.59 to
0.81 for healthy and patient participants, respectively. Also, ICCs for inter
tester reliability ranged from 0.65 to 0.92 for the healthy and 0.65 to 0.87 for
patient participants. CONCLUSION: In general, it can be inferred from the results
that measuring the kinematic parameters in lumbar movements using inertial
sensors enjoys acceptable reliability.
PMID- 27210854
TI - Effects of manual percussion during postural drainage on lung volumes and
metabolic status in healthy subjects.
AB - OBJECTIVE: The aim of this study was to evaluate the influence of manual
percussion during three different positions of postural drainage (PD) on lung
volumes and metabolic status. METHODS: Twenty six healthy volunteers (13 women
and 13 men), with a mean age of 20.15 +/- 1.17 years, participated. They were
randomized into three standard positions of PD (upper, middle, or lower lobes)
and given manual percussion at a frequency of 240 times per minute for 5 min.
Lung volumes, including tidal volume (TV), inspiratory reserve volume (IRV),
expiratory reserve volume (ERV) and vital capacity (VC); and metabolic status,
such as oxygen consumption (VO2), carbon dioxide (VCO2), respiratory rate (RR),
and minute ventilation (VE) were evaluated. RESULTS: The lung volumes showed no
statistical difference in VC or IRV from percussion during PD in all positions,
except for the lower lobe, where increased TV and decreased ERV were found when
compared to PD alone. Furthermore, percussion during PD of the upper and middle
lobes did not affect RR or VE, when compared to PD alone. In addition, percussion
during PD of the middle and lower lobes increased VO2 and VCO2 significantly,
when compared to PD alone, but it did not influence PD of the upper lobe.
CONCLUSION: This study indicated that up to 5 min of manual percussion on PD of
the upper and middle lobes is safe mostly for lung volumes, RR, and VE, but it
should be given with care in PD conditions of the lower lobe.
PMID- 27210855
TI - Anma massage (Japanese massage) therapy for patients with Parkinson's disease in
geriatric health services facilities: Effectiveness on limited range of motion of
the shoulder joint.
AB - OBJECTIVE: To determine the efficacy of Anma massage therapy for patients with
Parkinson's disease (PD) in geriatric health services facilities. METHODS: (1)
Immediate treatment effects: 10 PD patients, in the intervention period with
Hoehn and Yahr (H&Y) scale at stage 5, received 30-40 min sessions of Anma
massage therapy. In the non-intervention period, six PD patients did not undergo
this therapy. The shoulder joint range of motion (ROM) was measured before and
after each session. (2) Continuous treatment effects: Six PD patients in the
intervention period received the same massage sessions once a week continuously
for seven weeks. One week after the completion of the treatment, the ROM of the
shoulder joints was measured. RESULTS: (1) Shoulder abduction on the more
affected side showed immediate significant improvements. (2) Shoulder abduction
on the more affected side and less affected side showed notable effects of
continuous treatment procedure leading to significant improvement. CONCLUSION:
The above results suggested the efficacy of successive Anma massage therapy.
PMID- 27210856
TI - Simulation of abstract models of structural homeostasis.
PMID- 27210857
TI - Fascial hierarchies and the relevance of crossed-helical arrangements of collagen
to changes in the shape of muscles.
AB - Muscles are composite structures consisting of contractile myofibres surrounded
by complex hierarchies of collagen-reinforced fascial sheaths. They are
essentially flexible cylinders that change in shape, with the particular
alignment of collagen fibres within their myofascial walls reflecting the most
efficient distribution of mechanical stresses and coordinating these changes.
However, while the functional significance of this crossed-helical fibre
arrangement is well established in other species and in different parts of the
body, relatively little attention has been given to this within the fascia of
humans; and the relevance of this geometric configuration to muscles and
surrounding fascial tissues is described.
PMID- 27210858
TI - Stiffness of resting lumbar myofascia in healthy young subjects quantified using
a handheld myotonometer and concurrently with surface electromyography
monitoring.
AB - This study aimed to non-invasively quantify passive stiffness of superficial
myofascia at a lower lumbar (L3-L4) anatomical level in young healthy male and
female subjects and investigate its possible morphological variation. Resting
prone lumbar myofascial measurements were quantified using MyotonPro((r)) and
statistically analyzed in 20 young healthy individuals over 3-weekly intervals,
concurrently with surface electromyography (sEMG). Averaged mean +/- SE stiffness
(Newton/meter) over three weeks was significantly (p < 0.001) greater in males
(247.8 +/- 11.3) than females (208.4 +/- 11.3), on the right (237.7 +/- 12.8)
than left sides (218.5 +/- 12.3), at 10-min (231.4 +/- 9.1) than initial baseline
(224.8 +/- 9.1) values. A polymorphism of stiffness values in 10 male and 10
female subjects was suggested by box plot analyses of the 3 weekly measurements
and greater inter-individual than intra-individual variances. Greater knowledge
of lumbar myofascial stiffness can improve understanding of their contributions
in health and chronic low back disorders.
PMID- 27210859
TI - A critical overview of the current myofascial pain literature - March 2016.
AB - The worldwide interest in myofascial pain syndrome (MPS) and trigger points
(TrPs) is reflected in the increasing number of publications. In this overview of
the literature, we included 26 studies, case reports and review articles by
authors from 18 different countries. Several research groups are exploring the
characteristic of TrPs such as Chen and colleagues, who continued their work on
the quantification of the taut bands. Meng and colleagues studied the
relationships between TrPs and central sensitization, while Yu and colleagues
examined the electrophysiological characteristics that occur as a result of
active TrPs. Several researchers used objective measurements to determine
clinical outcomes, such as Koppenhaver and colleagues who measured objective
changes in the function and nociceptive sensitivity of lumbar multifidus muscle
subjects with low back pain. Turo and colleagues quantified muscle tissue changes
after dry needling in chronic myofascial pain using elastography. Multiple
studies explored various treatment options for TrPs, such as dry needling,
injections with lidocaine or granisetron, traditional Thai massage, self
myofascial release, kinesiotaping, and monochromatic infrared photo energy, among
others.
PMID- 27210860
TI - The contribution of postural balance analysis in older adult fallers: A narrative
review.
AB - OBJECTIVE: Falls are a serious health problem for older adults. Several studies
have identified the decline of postural balance as one of the main risk factors
for falls. Contrary to what may be believed, the capability of force platform
measurements to predict falls remains uncertain. The focus of this narrative
review is the identification of postural characteristics of older adults at risk
of falling using both static and dynamic postural balance assessments. METHODS:
The literature analysis was conducted on Medline/PubMed. The search ended in May
2015. RESULTS: Centre of pressure (CoP) path length, CoP velocity and sway in
medial lateral and anterior-posterior are the variables that distinguish older
adult fallers from non-fallers. DISCUSSION: Recommendations to medical personnel
on how to provide efficient balance training for older adults are offered,
discussing the relevance and limitations of postural stability on static and
dynamic board in falling risk prevention.
PMID- 27210861
TI - Toe-tal recall - What on Earth are our toes actually for?
PMID- 27210862
TI - The effects of dorso-lumbar motion restriction on the ground reaction force
components during running.
AB - PURPOSE: The effects of restricting dorso-lumbar spine mobility on ground
reaction forces in runners was measured and assessed. METHODS: A semi-rigid cast
was used to restrict spinal motion during running. Subjects ran across a force
platform at 3.6 m/s, planting the right foot on the platform. Data was collected
from ten running trials with the cast and ten without the cast and analysed.
RESULTS: Casted running showed that the initial vertical heel strike maximum was
increased (p < .02) and that the anterior-posterior deceleration impulse was
increased (p < .01). The maximum vertical ground reaction force was decreased in
casted running (p < .01), as was the anterior-posterior acceleration impulse (p <
.02). There was a trend for increased medial-lateral impulse in the uncasted
state, but this was not statistically significant. CONCLUSIONS: Spinal mobility
and fascia contribute to load transfer between joints and body segments.
Experimentally restricting spinal motion during running results in measurable and
repeatable alterations in ground reaction force components. Alterations in load
transfer due to decreased spinal motion may be a factor contributing to selected
injuries in runners.
PMID- 27210863
TI - Effect of spinal stabilization exercise on dynamic postural control and visual
dependency in subjects with chronic non-specific low back pain.
AB - BACKGROUND: Motor control approach towards chronic non-specific low back pain
(CNLBP) has gained increasing attention. CNLBP patients have shown to be more
visually dependent for the postural control process than control subjects but no
study has yet investigated the treatment programs effect on this disorder.
METHODS: Forty CNLBP patients volunteered to participate in this experimental
study. The subjects were randomly assigned into either stabilization exercise
(SE) or control group both receiving 12 sessions of routine physiotherapy for
four weeks. The SE group also received intensive stabilization exercise. Balance
(in terms of overall (OSI), anteroposterior (APSI) and mediolateral stability
indices (MLSI)) and functional disability were assessed by Biodex Balance
System((r)) (BBS) and Oswestry Low Back Disability Questionnaire, respectively
prior and after the interventions. The balance tests were performed with open and
closed eyes. RESULTS: Both interventions significantly decreased all stability
indices but the SE group showed a more pronounced improvement in OSI and APSI. In
the SE group, vision deprivation had smaller destabilizing effects on OSI and
APSI as compared with the control group. The groups were not statistically
different prior and after the interventions on all dependent variables. Oswestry
index reduction in the SE group was more pronounced but the interaction of time
and group variables were not significant on pain intensity. CONCLUSION: Both
interventions effectively enhanced stability indices and functional capabilities
and reduced pain intensity in CNLBP patients. The SE protocol made the patients
less visual dependent perhaps via better stability. Since pain reduction was not
different between the groups, more functional improvement in SE group cannot
simply be interpreted via the pain interference and might be related to postural
control capabilities of the patients.
PMID- 27210864
TI - The shin box get-up.
PMID- 27210865
TI - Aggression and violence around the world: A model of CLimate, Aggression, and
Self-control in Humans (CLASH).
AB - Worldwide there are substantial differences within and between countries in
aggression and violence. Although there are various exceptions, a general rule is
that aggression and violence increase as one moves closer to the equator, which
suggests the important role of climate differences. While this pattern is robust,
theoretical explanations for these large differences in aggression and violence
within countries and around the world are lacking. Most extant explanations focus
on the influence of average temperature as a factor that triggers aggression (The
General Aggression Model), or the notion that warm temperature allows for more
social interaction situations (Routine Activity Theory) in which aggression is
likely to unfold. We propose a new model, CLimate, Aggression, and Self-control
in Humans (CLASH), that helps us to understand differences within and between
countries in aggression and violence in terms of differences in climate. Lower
temperatures, and especially larger degrees of seasonal variation in climate,
call for individuals and groups to adopt a slower life history strategy, a
greater focus on the future (vs. present), and a stronger focus on self-control.
The CLASH model further outlines that slow life strategy, future orientation, and
strong self-control are important determinants of inhibiting aggression and
violence. We also discuss how CLASH differs from other recently developed models
that emphasize climate differences for understanding conflict. We conclude by
discussing the theoretical and societal importance of climate in shaping
individual and societal differences in aggression and violence.
PMID- 27210867
TI - [Chinese guidelines for the clinical application of antibacterial drugs for
agranulocytosis with fever (2016)].
PMID- 27210866
TI - Safety of hydrophilic guidewires used for side-branch protection during stenting
and proximal optimization technique in coronary bifurcation lesions.
AB - BACKGROUND AND PROPOSE: In coronary bifurcation lesions (CBL), hydrophilic
guidewires used for side-branch (SB) protection can be withdrawn from underneath
the stent easier than other wires. However, the safety of which has not been
investigated. METHODS/MATERIALS: We performed scanning electron microscopic (SEM)
examination of hydrophilic wires - the Whisper and Runthrough wires - used for SB
protection during stenting and proximal optimization technique (POT) in 30
patients with CBL. The distal 15cm of the wire was examined every 1mm by SEM and
4500 segments were analyzed to investigate for wire fracture, polymer shearing
(PS), and its correlations with post-stenting creatine kinase (CK)-MB release.
RESULTS: SEM examination showed no evidence for wire fracture. The total area of
PS and the largest defect on the wire were significantly larger with the Whisper
wire versus the Runthrough wire (0.15+/-0.04mm2 vs. 0.026+/-0.01mm2 and 0.04+/
0.05mm2 vs. 0.01+/-0.01mm2; P<0.05, respectively). The total length of PS and the
longest defect on the wire were significantly longer with the Whisper wire vs.
the Runthrough wire (12.1+/-14.5mm vs. 2.7+/-3.0mm and 2.9+/-4.2mm vs. 1.0+/
1.2mm; P<0.05, respectively), but there were weak correlations between the
extents of PS with CK-MB release. CONCLUSIONS: Hydrophilic guidewires may be
safely used for SB protection during stenting and POT in CBLs. The extent of PS
was significantly greater with the Whisper wire than with the Runthrough wire,
but its correlation with post-stenting CK-MB release was weak.
PMID- 27210868
TI - [Clinical features and prognostic analysis of high-risk acute promyelocytic
leukemia patients].
AB - OBJECTIVE: To investigate the clinical features and outcomes of high-risk acute
promyelocytic leukemia (APL) patients. METHODS: A retrospective analysis was
conducted to compare the clinical characteristics and prognosis of 118 high-risk
APL patients (WBC>=10 * 10(9)/L) and 234 low and intermedia-risk patients (WBC
<10*10(9)/L) from January 2003 to April 2015, who were treated in the First
Affiliated Hospital of Zhejiang University and Yinzhou People's Hospital
affiliated to Medical College of Ningbo University. RESULTS: The initial platelet
counts of high-risk APL were significantly lower than that of low and
intermediate-risk groups (P=0.003); the major type of PML-RARalpha isoforms in
high-risk patients was short-form (51.8% vs 28.2%, P <0.001); the early death
(ED) rate of high-risk patients was higher than low and intermedia-risk patients
(20.3% vs 2.6%, P<0.001); in contrast, the complete remission (CR) rate and 5
years estimated overall survival (OS) rate of the former were lower than the
latter (76.3% vs 94.9%, P <0.001; 74.2% vs 93.7%, P <0.001). However, the CR rate
(P=0.682) and 5 years estimated OS rate (P=0.481) did not have difference when
the ED patients were excluded. The 5 years estimated relapse-free survival (RFS)
and central nervous system (CNS) relapse were 82.7%, 9.4%, respectively, which
were lower than low and intermediate-risk groups (87.8%, 1.4% ) with statistic
difference (P=0.048, 0.002). High-dose cytarabine and intrathecal chemotherapy
may reduce the risk of CNS relapse. CONCLUSION: The outcomes of high-risk APL
patients were worse than low and intermediate-risk group owing to the high ED
rate and CNS relapse, it was important to decrease the ED rate and emphasis the
CNS prophylaxis for high-risk APL patients.
PMID- 27210869
TI - [Cytogentic and prognostic characteristic of acute myeloid leukemia with
monosomal karyotype].
AB - OBJECTIVE: To explore the cytogenetic and prognostic significance of monosomal
karyotype (MK) in adult patients with acute myeloid leukemia (AML). METHODS: From
September 2002 to November 2014 in Blood Diseases Hospital, Chinese Academy of
Medical Sciences, 97 cases with AML were enrolled, including 96 cases within
unfavorable cytogenetic category and an MK case within the intermediate category.
The clinical data of MK-positive cases and unfavorable risk MK-negative cases
were analyzed. RESULTS: There were 31 MK cases, accounting for 2.5% of the AML
patients treated at the same period. Thirty of them were complex aberrant
karyotypes defined as showing three or more clonal abnormalities and classified
into adverse group based on SWOG criteria. The rest one of these 31 MK was
intermediate risk according to SWOG criteria. Among MK cases, the most frequent
monosomal chromosome were -17, -5, -7, -21, -8, -22. In 96 cytogenetic
unfavorable AML cases, the median OS period was 6.1 months for MK, the median OS
period did not reach for non-MK AML (P=0.001). And the median relapse free
survival (RFS) period was 3.1 and 18.6 months for MK and non-MK AML (P<0.001),
respectively. Both overall survival (OS) and RFS varied significantly between MK
and non-MK categories. In 49 complex karyotype AML cases, the median OS was 6.1
and 10.8 months for MK and non-MK AML (P=0.088), respectively. And the median RFS
was 3.1 and 8.6 months for MK and non-MK AML (P=0.009), respectively. The RFS
varied significantly between MK and non-MK categories. CONCLUSION: Most MK
patients were complex karyotype in cytogenetic unfavorable group. Within
unfavorable or complex karyotype categories, MK-positive cases had a more adverse
prognosis than MK-negative cases.
PMID- 27210870
TI - [Effects of IL10-592 locus of AA genotype on the incidence of aGVHD and survival
after HLA-matched unrelated allogeneic hematopoietic stem cell transplantation].
AB - OBJECTIVE: To explore the impact of IL10-592 (rs1800872) single nucleic acid
polymorphism (SNP) on the prognosis of HLA matched unrelated hematopoietic stem
cell transplantation (HSCT). METHODS: The polymorphism of IL10-592 in 104
recipient-donor pairs and 100 healthy volunteers was analyzed with sequence based
typing (SBT). RESULTS: When the genotype of IL10-592 in donors and recipients
matched, AA/AA genotype had higher incidence of III-IV aGVHD than AC/AC or CC/CC
genotype (47.1%, 3.7%, 0, P=0.002). When the genotype of IL10-592 in donors and
recipients mismatched, recipients with AC genotype or donors with AA genotype,
there was significant different incidence of III-IVaGVHD among donors or
recipients with different genotype (P=0.046, P=0.041). The recipients with AA
genotype had higher incidence of III-IV aGVHD than AC or CC genotype (27.8% vs
10.2%, 11.1%; P=0.072), and higher incidence of intestinal aGVHD (22.2% vs
5.1%,11.1%; P=0.040) , lower incidence of 2-year overall survival (OS: 48.2% vs
75.1%, 85.7%; P=0.002), lower incidence of 2 year disease free survival (DFS:
48.5% vs 66.3%, 76.2%; P=0.045). Patients had higher incidence of III-IV aGVHD
with donors of AA genotype than with donors of AC or CC genotype (26.5% vs 8.9%,
0; P= 0.024), and higher incidence of intestinal aGVHD (20.4% vs 4.4%, 0;
P=0.026). In multivariate analysis, the genotype of IL10-592AA in recipients and
donors had increased risk of III-IV aGVHD (OR=3.3, P= 0.049; OR=3.9, P=0.043).
There were no statistical differences on the incidence of cGVHD and relapse.
CONCLUSION: In HLA-10/10 matched unrelated HSCT, the presence of IL10-592 AA
genotype in recipients and/or donors is an adverse factor for III-IVaGVHD, worse
OS and 2-year DFS.
PMID- 27210871
TI - [The roles of serum free light chain ratio in the diagnosis and prognosis of
newly diagnosed multiple myeloma].
AB - OBJECTIVE: The roles of serum free light chain ratio (sFLCR) in the diagnosis and
prognosis of newly diagnosed multiple myeloma (NDMM) patients were analyzed.
METHODS: The clinical data was retrospectively analyzed for 82 newly diagnosed
multiple myeloma (NDMM) patients in the first affiliated hospital of Soochow
University from September 28, 2012 to July 18, 2105. The serum free light chain
levels were measured and kappa/lambda ratios were calculated, so we could analyze
the roles of sFLCR in the diagnosis and prognosis of newly diagnosed multiple
myeloma (NDMM) patients. RESULTS: It was 85.5% (70/82) positive of M protein by
serum protein electrophoresis (SFE) and 93.9%(77/82) by serum immunofixation
electrophoresis (IFE). Both sFLC and sFLCR abnormalities were 96.3% (79/82). The
estimated 40-months overall survival was 87% for the high free light chain ratio
group (sFLCR >=100 or<=0.01) and 61% for the low free light chain ratio group
(0.01T in Exon 7), M2 (nt 52
G>T in Exon 7) and M3 (nt 85 G>T in Exon 7), were obtained by using PCR
mutagenesis. The levels of the Mini-hF9 mRNA decreased to 14.1% (t=15.464,
P=0.004) in M1 and 22.4% (t=15.755, P=0.004) in M2 mutants after transfection,
respectively. It was proved to be caused by nonsense-mediated mRNA decay (NMD) in
CHX experiment. The levels of Mini-hF9 mRNA increased to 1.70 times (t=-4.883,
P=0.039) and 2.40 times (t=-17.537, P=0.003) in M1 mutant after miR-125a or miR
125b mimics treatment, respectively. The levels of Mini-hF9 mRNA increased to
2.02 times (t=-19.264, P=0.003) and 2.07 times (t=-9.158, P=0.012) in M2 mutant
after miR-125a or miR-125b mimics treatment, respectively. CONCLUSION: Nonsense
mutant location is a key determinant for triggering NMD. MicroRNA125 could
improve the stability of Mini-hF9 mRNA in M1 and M2 mutants by repressing NMD.
MicroRNA125, a short non-coding RNA molecule, could be a potential therapeutic
target in conditions caused by the NMD pathway.
PMID- 27210874
TI - [Quantities and function of NK cells in patients with positive BMMNC-Coombs test
and cytopenia].
AB - OBJECTIVE: To test NK cell quantities and function in patients with positive
BMMNC-Coombs test (CBCPC) and cytopenia and to explore how NK cell participate in
the progress of this disease. METHODS: The percentage of CD3(-)CD56(+) NK cell in
peripheral blood lymphocytes, the expression of activating receptor (NKG2D,
NKp46, NKp44), inhibitory receptor (CD158a, CD158b), perforin and granzyme-beta
were detected by flow cytometry. All samples were taken from 42 patients (22
newly diagnosed and 20 in remission) and 12 healthy volunteers. The correlation
between the above parameters and patients' clinical profile were evaluated.
RESULTS: 1The percentage of CD3(-)CD56(+) NK cell in new diagnosed and remission
CBCPC patients were significantly lower than that in healthy control [(10.04 +/-
5.33)% vs (19.94 +/- 7.38)%; (11.62 +/- 6.80)% vs (19.94 +/- 7.38)%, all P<0.01].
2 The expression of activating receptor NKG2D in new diagnosed CBCPC patients was
significantly higher than that in remission group and healthy control [(74.03+/
18.24)% vs (45.97+/-29.45)%; (74.03+/-18.24)% vs (41.89+/- 15.34)% , P <0.01].
3The expression of inhibitory receptor CD158a in new diagnosed CBCPC patients was
significantly lower than that in remission group and healthy control (median:
3.72% vs 16.10%, P= 0.015; 3.72% vs 11.04%, P=0.025). 4The expression of perforin
in new diagnosed and remitted CBCPC patients were significantly higher than that
in healthy controls [(75.71+/-10.14) % vs (57.20+/-18.85)%, P= 0.018; (77.88+/
22.82)% vs (57.20+/-18.85)%, P=0.008]. 5The product of NK cell percentage and
perforin expression in new diagnosed and remission CBCPC patient were
significantly lower than that in healthy control [(7.68+/-4.54)% vs (12.13+/
5.19)%, P=0.011; (8.24+/-5.80)% vs (12.13+/-5.19)%, P=0.023]. The product of NK
cell percentage and granzyme-beta expression in the new diagnosed and remission
CBCPC patient were significantly lower than that in healthy control [(7.83+/
5.26)% vs (14.79+/-8.37)%, P=0.008; (8.37 +/- 6.83)% vs (14.79+/-8.37)%,
P=0.012]. CONCLUSION: Deceased quantities and impaired total NK function might
play a role in pathogenesis of CBCPC.
PMID- 27210875
TI - [Hepatitis-associated aplastic anaemia: clinical characteristics and
immunosuppressive therapy outcomes].
AB - OBJECTIVE: To analyze the clinical characteristics and to evaluate
immunosuppressive therapy (IST) response and survival in hepatitis-associated
aplastic anemia (HAAA). METHODS: We retrospectively analyzed clinical
characteristics, IST response, long-term survival and clonal evolution in 41 HAAA
patients, and compared those with age and bone marrow failure matched idiopathic
aplastic anemia (IAA) patients. RESULTS: The prevalence of HAAA among cases of
SAA was 4.34% (41/944). The proportion of VSAA in HAAA cases was significantly
higher than IAA (65.9% vs 39.4%, P=0.001). There was no significant difference in
the prevalence of hemorrhage and infections between HAAA and IAA patients, but
the duration of infection persistence in HAAA group was much longer than IAA
group [21 (4-100) d vs 13 (3-139) d, P=0.048]. The absolute counts of CD3(+) T
cell, CD3(+)CD4(+)T-cell, CD3(+)CD8(+)T-cell and ratio of CD4(+) T-cell/CD8(+) T
cell in HAAA were significant lower than that in IAA patients. However, the
percentage of CD3(+)CD8(+)T-cell in HAAA was significant higher than that in IAA
(P <0.05). The total response in HAAA and IAA patients treated with IST were
34.1% vs 34.1% (P=1.000), 56.1% vs 53.7% (P=0.787), and 73.2% vs 68.3% (P=0.558)
at 3, 6, 12 months after IST, respectively. There were no significant difference
in 5-year overall survival and event-free survival between HAAA and IAA patients
(90% vs 87.1%, P=0.700; 71.9% vs 62.4%, P=0.450). CONCLUSION: HAAA was a rare
distinct variant of aplastic anemia with more severe bone marrow failure and more
severe imbalance of the T cell immune system than IAA. Treatment outcomes were
comparable in patients with HAAA and IAA.
PMID- 27210876
TI - [Effects of neutrophils alkaline phosphatase on functions of neutrophils in
vitro].
AB - OBJECTIVE: To investigate the effects of neutrophils alkaline phosphatase (NAP)
on the migration, reactive oxygen species (ROS) generation and apoptosis of
neutrophil-like differentiated HL-60 cells. METHODS: NAP was overexpressed in HL
60 cells via transfecting coding sequence of NAP by lentivirus. The effectivity
of NAP overexpression was confirmed by reverse transcription-polymerase chain
reaction (RT-PCR) and Western blotting. HL-60 cells were differentiated into
neutrophil-like cells by exposure to 1.5% DMSO. The migration and ROS generation
of neutrophil-like cells with NAP overexpression were detected by transwell
migration test and flow cytometry, respectively. Cell apoptosis were detected by
flow cytometry. The expression of apoptosis-related protein Bax, caspase-3 and
caspase-9 in neutrophil-like cells were observed by Western blotting after NAP
overexpression. RESULTS: Over 80% HL-60 cells presented green fluorescence after
GFP-NAP infection by lentivirus and screening by puromycin. In addition, the
levels of both gene and protein of NAP were up-regulated in these cells. After 5
day culture with 1.5% DMSO, the bulk of induced cell was smaller and the surface
appeared many bumps and irregular shape. The ratio of nuclear and cytoplasmic
decreased and nucleolus disappeared. The nuclear chromatin changed from dense to
loose and the nuclear morphology appeared to be rod and segmented. The percentage
of CD11b(+) cell increased from 26.25% to 98.55%. The transwell migration test
showed that the number of migrated cells was higher in neutrophil-like cells with
NAP overexpression compared with the negative control [(15.30+/-3.65) *10(3) vs
(8.00+/-0.78) *10(3)] (P<0.001). RESULTS of flow cytometry suggested that the
mean fluorescence intensity (MFI) of intracellular ROS was significantly higher
in neutrophil-like cells with NAP overexpression compared with the negative
control (355.70 +/- 20.10 vs 103.22 +/- 4.71) (P< 0.001). In addition, Western
blotting showed that the expressions of apoptosis-related protein Bax, active
caspase-3 and active-caspase-9 were all up-regulated in neutrophil-like cells
with NAP overexpression compared with the negative control. CONCLUSION: NAP could
promote the migration and ROS generation of neutrophil-like cells and accelerate
the cell apoptosis.
PMID- 27210878
TI - [Ph-like B cell precursor acute lymphoblastic leukemia with EBF1-PDGFRB fusion
gene: a case report and literatures review].
PMID- 27210877
TI - [Clinical observation of chromosomal abnormalities in Ph negative cells of
chronic myeloid leukemia patients treated with tyrosine kinase inhibitors].
AB - OBJECTIVE: To observe the clinical features, characteristics and outcomes of
chromosomal abnormalities in Philadelphia negative cells (Ph(-)CA) of chronic
myeloid leukemia (CML) patients treated with tyrosine kinase inhibitor (TKI), and
provide the evidence for clinical treatment. METHODS: We collected and analyzed
the clinical and laboratory data of 8 CML patients treated in the affiliated
Tumor Hospital of Zhengzhou University from September 2011 to July 2015 and Ph(
)CA occurred after TKI therapy. Karyotypes and BCR-ABL fusion genes were analyzed
by R-banding and real-time quantitative polymerase chain reaction (RT-PCR),
respectively. RESULTS: 6 cases were male and 2 cases were female, with a median
age of 51 (31-75) years old. 6 patients had low Sokal risk scores and 2 had
intermediate scores. 4 cases of Ph(-) CA occurred with imatinib, 1 case with
dasatinib and 3 cases with nilotinib. The median duration of Ph(-) CA appearance
was 12.0 (1.7-34.5) months since taking TKI. Chromosomal abnormality +8 was the
most common type in Ph(-)CA, which accounted for 50.0%, followed by -7 (25.0%).
When found Ph(-)CA, all patients had complete hematologic response (CHR), but
none got main molecular response (MMR). The Ph(-)CA had gone in 7 cases at the
end of follow-up and the median duration was 6.2 (2.5-31.5) months. After Ph(-)
CA disappeared, 1 patient obtained MMR and 2 cases achieved complete molecular
response (CMR), but Ph(+) clone recurred in 1 case. CONCLUSION: Ph(-)CA can be
found in CML patients treated with imatinib, dasatinib and nilotinib, and +8 is
the most common Ph(-)CA. So detection of karyotype is significant during
treatment. Although most Ph(-)CA can disappear, -7/7q- or other complex
karyotypes should be monitored closely.
PMID- 27210879
TI - [The clinical efficacy of the patients of acute myeloid leukemia with FLT3-ITD
positive treated with sorafenib in combin ation with CHAG regimen].
PMID- 27210880
TI - [Clinical analysis of 17 cases with primary testicular diffuse large B cell
lymphoma].
PMID- 27210882
TI - [Acute myeloid leukemia with central nervous system symptoms as its first
manifestation: a case report with literature review].
PMID- 27210881
TI - [Clinical analysis of 16 cases with primary testicular diffuse large B cell
lymphoma].
PMID- 27210883
TI - [Polycythemia happen in the Tajiks of Pamirs: a case report].
PMID- 27210884
TI - [Current state and prospect in treatment of Glanzmann' s thrombasthenia].
PMID- 27210885
TI - [Advances in allogeneic hematopoietic stem cell transplantation for
myelodysplastic syndromes].
PMID- 27210886
TI - [Advances and thoughts of PET-CT application in Hodgkin's lymphoma].
PMID- 27210887
TI - [A case report of primary pancreatic and gastric multiple myeloma].
PMID- 27210888
TI - Correction to: Incremental Validity of the Trait Emotional Intelligence
Questionnaire-Short Form (TEIQue-SF).
PMID- 27210889
TI - A promising therapeutic potential of cerebrolysin in 6-OHDA rat model of
Parkinson's disease.
AB - AIMS: Parkinson's disease (PD) is the second most prevalent neurodegenerative
disease affecting the population. The present study investigates the potential
therapeutic effect of cerebrolysin (CBL), as a neurotrophic factor mimic, on the
behavioral and biochemical alterations induced in 6-hydroxydopamine (6-OHDA) -
lesioned rats as a model of PD. MAIN METHODS: The animals were divided into 3
experimental groups; control group, Parkinsonian model group through bilateral
microinjection of 6-OHDA into substantia nigra (SN) and CBL-treated group which
received a daily intraperitoneal administration of CBL (2.5ml/kg) initiated 24h
after induction of Parkinsonism for 21days. KEY FINDINGS: Treatment of
Parkinsonian animals with CBL succeeded in restoring the midbrain and striatum
dopamine levels. In addition, it normalized the increased MDA and NO levels
recorded in the Parkinsonian animals and replenished the decreased level of
midbrain GSH. In addition to the recorded recovery of the biochemical parameters,
there was a parallel improvement in the animal's behavioral aspects.
SIGNIFICANCE: The findings of the present study provide evidence for the
promising therapeutic effect of CBL in the present 6-OHDA rat model of PD through
counteracting oxidative stress, replenishing dopamine content and enhancing
behavioral outcomes.
PMID- 27210890
TI - Rosmarinic acid inhibits poly(I:C)-induced inflammatory reaction of epidermal
keratinocytes.
AB - AIMS: Keratinocytes are the predominant cells in the epidermis, exerting their
primary role of physical barrier through sophisticated differentiation process.
In addition, keratinocytes contribute to the activation of innate immunity,
providing the surveillant role against external pathogens. It has been known that
chronic skin inflammatory disease such as psoriasis can be provoked by viral
pathogens including double-stranded RNA. In this study, we demonstrated that
rosmarinic acid (RA) has an inhibitory potential on inflammatory reaction induced
by double-stranded RNA mimic poly(I:C) in epidermal keratinocytes. MAIN METHODS:
We cultured human epidermal keratinocytes and induced inflammatory reaction by
poly(I:C) treatment. The effect of RA on inflammatory reaction of keratinocytes
was determined by RT-PCR and Western blot. KEY FINDINGS: RA significantly
inhibited poly(I:C)-induced expression of inflammatory cytokines including IL
1beta, IL-6, IL-8, CCL20, and TNF-alpha, and downregulated NF-kappaB signaling
pathway in human keratinocytes. In addition, RA significantly inhibited poly(I:C)
induced inflammasome activation, in terms of secretion of active form of IL-1beta
and caspase-1. Furthermore, RA markedly inhibited poly(I:C)-induced NLRP3 and ASC
expression. SIGNIFICANCE: These results indicate that RA can inhibit poly(I:C)
induced inflammatory reaction of keratinocytes, and suggest that it may be a
potential candidate for the treatment of psoriasis.
PMID- 27210891
TI - Generic selection criteria for safety and patient benefit [V]: Comparing the
pharmaceutical properties and patient usability of original and generic nasal
spray containing ketotifen fumarate.
AB - The pH, osmotic pressure (cryoscopy), viscosity, squeeze force, spray angle, and
spraying frequency of nasal spray containing ketotifen fumarate (1 brand-name
product and 8 generic products) were measured. Based on the results of pH
measurement, all products were weakly acidic (4.0 to 5.1). For all products, the
osmotic pressure ratio to physiological saline was approximately 1. The viscosity
of various products ranged from approximately 1.0 to 1.5 mPa.s. The spray angle
of drug solution differed among the products: minimum, 46 degrees (Sawai and
Fusachol); and maximum, 68.7 degrees (Sekiton). In particular, TOA, Sawai,
Fusachol, and TYK showed significantly smaller angles compared to Zaditen (brand
name product). Container properties varied among the products: minimum squeeze
force, 19.0 N (Sekiton); and maximum squeeze force, 43.1 N (Sawai). Based on
these results, although all the above products are identical in dosage form and
active ingredient, the differences in pharmaceutical properties, such as
container operations and drug-solution spraying/attachment, may markedly
influence patients' subjective opinions.
PMID- 27210892
TI - Generation and purification of monoclonal antibodies against Der f 2, a major
allergen from Dermatophagoides farinae.
AB - Monoclonal antibodies (mAbs) are needed for the quantitation of environmental
allergens for precise diagnosis and immunotherapy. In this study, we produced and
purified monoclonal antibodies against Der f 2, one of the major allergens of the
house dust mite Dermatophagoides farina, in order to develop an assay for the
detection of this allergen. BALB/c mice were immunized four times with the
protein Der f 2 together with an adjuvant after which splenocytes were collected
and fused with SP2/0 (myeloma cells) in the presence of polyethylene glycol
(PEG). The fused cells were selected in the presence of Hypoxanthine-Aminopterin
Thymidine (HAT) and then Hypoxanthine-Thymidine (HT) medium. Positive cells were
screened with ELISA and subcloned by limited dilution at least three times to
achieve stable mAb-producing clones. Four stable mAb-producing clones were
obtained. One clone with IgG1 isotype and another with IgG2b isotype were chosen
to produce large amounts of mAb by inoculation of the cells into the abdominal
cavity of mice. Ascites were collected and the mAbs were purified using protein A
affinity chromatography. Testing of the ascites by ELISA showed the titration of
IgG1 and IgG2b to be higher than 1/10(6) dilution. The specificity of both
antibodies was confirmed by immunoblotting. Thus, we produced two mAb clones
against Der f 2 that can be used to create a precise quantitative method to
identify allergen components in dust samples and facilitate further study in Der
f 2 component-resolved diagnosis (CRD).
PMID- 27210893
TI - Low-temperature infiltration identified using infrared thermography in patients
with subcutaneous edema revealed ultrasonographically: A case report.
AB - Infiltration is a frequent complication of infusion therapy. We previously
demonstrated the usefulness of infrared thermography as an objective method of
detecting infiltration in healthy people. However, whether thermography can
detect infiltration in clinical settings remains unknown. Therefore, we report
two cases where thermography was useful in detecting infiltration at puncture
sites. In both cases, tissue changes were verified ultrasonographically. The
patients were a 56-year-old male with cholangitis and a 76-year-old female with
hepatoma. In both cases, infiltration symptoms such as swelling and erythema
occurred one day after the insertion of a peripheral intravenous catheter.
Thermographic images from both patients revealed low-temperature areas spreading
from the puncture sites; however, these changes were not observed in other
patients. The temperature difference between the low-temperature areas and their
surrounding skin surface exceeded 1.0 degrees C. Concurrently, ultrasound images
revealed that tissues surrounding the vein had a cobblestone appearance,
indicating edema. In both patients, subcutaneous tissue changes suggested
infiltration and both had low-temperature areas spreading from the puncture
sites. Thus, subcutaneous edema may indicate infusion leakage, resulting in a
decrease in the temperature of the associated skin surface. These cases suggest
that infrared thermography is an effective method of objectively and
noninvasively detecting infiltration.
PMID- 27210894
TI - Bipedal vs. unipedal: a comparison between one-foot and two-foot driving in a
driving simulator.
AB - Is it better to drive with one foot or with two feet? Although two-foot driving
has fostered interminable debate in the media, no scientific and systematic
research has assessed this issue and federal and local state governments have
provided no answers. The current study compared traditional unipedal (one-foot
driving, using the right foot to control the accelerator and the brake pedal)
with bipedal (two-foot driving, using the right foot to control the accelerator
and the left foot to control the brake pedal) responses to a visual stimulus in a
driving simulator study. Each of 30 undergraduate participants drove in a
simulated driving scenario. They responded to a STOP sign displayed on the centre
of the screen by bringing their vehicle to a complete stop. Brake RT was shorter
under the bipedal condition, while throttle RT showed advantage under the
unipedal condition. Stopping time and distance showed a bipedal advantage,
however. We discuss further limitations of the current study and implications in
a driving task. Before drawing any conclusions from the simulator study, further
on-road driving tests are necessary to confirm these obtained bipedal advantages.
Practitioner Summary: Traditional unipedal (using the right foot to control the
accelerator and the brake pedal) with bipedal (using the right foot to control
the accelerator and the left foot to control the brake pedal) responses to a
visual stimulus in a driving simulator were compared. Our results showed a
bipedal advantage. Promotion: Although two-foot driving has fostered interminable
debate in the media, no scientific and systematic research has assessed this
issue and federal and local state governments have provided no answers.
Traditional (one-foot driving, using the right foot to control the accelerator
and the brake pedal) with bipedal (using the right foot to control the
accelerator and the left foot to control the brake pedal) responses to a visual
stimulus in a simulated driving study were compared. Throttle reaction time was
faster in the unipedal condition whereas brake reaction time, stopping time and
stopping distance showed a bipedal advantage. We discuss further theoretical
issues and implications in a driving task.
PMID- 27210895
TI - Corticosteroids for treating nerve damage in leprosy.
AB - BACKGROUND: Leprosy causes nerve damage that can result in nerve function
impairment and disability. Corticosteroids are commonly used for treating nerve
damage, although their long-term effect is uncertain. This is an update of a
review first published in 2007, and previously updated in 2009 and 2011.
OBJECTIVES: To assess the effects of corticosteroids on nerve damage in leprosy.
SEARCH METHODS: On 16 June 2015, we searched the Cochrane Neuromuscular
Specialised Register, the Cochrane Central Register of Controlled Trials
(CENTRAL), MEDLINE, EMBASE, CINAHL Plus, and LILACS. We also checked clinical
trials registers and contacted trial authors. SELECTION CRITERIA: Randomised
controlled trials (RCTs) and quasi-RCTs of corticosteroids for nerve damage in
leprosy. The comparators were no treatment, placebo treatment, or a different
corticosteroid regimen. DATA COLLECTION AND ANALYSIS: The primary outcome was
improvement in nerve function after one year. Secondary outcomes were change in
nerve pain, limitations in activities of daily living, limitations in
participation, and adverse events. Two review authors independently extracted
data and assessed trial quality. When data were lacking, we contacted trial
authors for additional information. MAIN RESULTS: We included five RCTs involving
576 people. The trials were largely at low risk of bias, but we considered the
quality of the evidence from these trials as moderate to low, largely due to
imprecision from small sample sizes. Two out of the five trials reported on
improvement in nerve function at one year. These two trials compared prednisolone
with placebo. One trial, with 84 participants, treated mild sensory impairment of
less than six months' duration, and the other, with 95 participants, treated
nerve function impairment of 6 to 24 months' duration. There was no significant
difference in nerve function improvement after 12 months between people treated
with prednisolone and those treated with placebo. Adverse events were not
reported significantly more often with corticosteroids than with placebo. The
other three trials did not report on the primary outcome measure. One (334
participants) compared three corticosteroid regimens for severe type 1 reactions.
No serious side effects of steroids were reported in any participant during the
follow-up period. Another trial (21 participants) compared low-dose prednisone
with high-dose prednisone for ulnar neuropathy. Two participants on the higher
dose of prednisone reported adverse effects. The last (42 participants) compared
intravenous methylprednisolone and oral prednisolone with intravenous normal
saline and oral prednisolone. The trial found no significant differences between
the groups in the occurrence of adverse events. AUTHORS' CONCLUSIONS:
Corticosteroids are used for treating acute nerve damage in leprosy, but moderate
quality evidence from two RCTs treating either longstanding or mild nerve
function impairment did not show corticosteroids to have a superior effect to
placebo on nerve function improvement. A third trial showed significant benefit
from a five-month steroid regimen over a three-month regimen in terms of response
to treatment (need for additional corticosteroids). Further RCTs are needed to
establish optimal corticosteroid regimens and to examine the efficacy and safety
of adjuvant or new therapies for treating nerve damage in leprosy. Future trials
should address non-clinical aspects, such as costs and impact on quality of life,
which are highly relevant indicators for both policymakers and participants.
PMID- 27210896
TI - Enhanced electrical properties and field emission characteristics of AZO/ZnO
nanowire core-shell structures.
AB - The electrical properties and field-emission characteristics of ZnO nanowires
(ZnO-NWs) fabricated using a vapor-liquid-solid method were systematically
investigated. In particular, we explored the effects of Al-doped ZnO (AZO) films
(thickness 4-100 nm) deposited on ZnO-NWs using an atomic layer deposition (ALD)
method on the optoelectronic properties. The results show that the sheet
resistance of net-like ZnO-NW structures can be significantly improved,
specifically to become ~1/1000 of the sheet resistance of the as-grown ZnO-NWs,
attaining less than 10 Omega Sq(-1). The emission current density measured at the
maximum field was roughly quadrupled relative to that of the as-grown ZnO-NWs.
The data of the enhanced field-emission characteristics show that, with the ALD
system, the AZO films of small resistance are readily coated on a structure with
a high aspect ratio and the coating radius is controlled relative to the turn-on
voltage and current density. The ultrathin AZO film from a one-monolayer coating
process also significantly improved emission properties through modification of
the effective work function at the AZO/ZnO-NW surface.
PMID- 27210897
TI - Role of Sirtuins in Regulating Pathophysiology of the Heart.
AB - Cardiovascular diseases (CVDs) are expanding at an alarming rate and people's
propensity to develop them increases with age. Growing evidence indicates that
sirtuins play a pivotal role in regulating a multitude of age-related diseases.
Sirtuins are versatile molecules conserved from archaea to mammals. They are
regulated by various metabolic and environmental stimuli. Seven sirtuin homologs
(SIRT1-7) are present in mammals, with diverse cellular locations. Recent studies
have delineated roles of sirtuins in regulating cardiac pathophysiological
conditions under various stressors. SIRT1 is the most extensively studied
sirtuin, while the role of other sirtuins in maintaining cardiac growth and
function is still emerging. In this review we discuss the present understanding
of the role of sirtuins in regulating pathophysiological conditions of the heart.
PMID- 27210898
TI - Simple and sensitive microbial pathogen detection using a label-free DNA
amplification assay.
AB - By the combination of quaternized magnetic nanoparticles and a label-free
exonuclease III-assisted DNA amplification assay, we report a simple and facile
strategy for the convenient and highly sensitive detection of microbial
pathogens, with a detection limit of down to 50 cells mL(-1).
PMID- 27210899
TI - Effects of Aromatic Fluorine Substitution on Protonated Neurotransmitters: The
Case of 2-Phenylethylamine.
AB - Fluorination of pharmaceutical compounds is a common tool to modulate their
physiochemical properties. We determine the effects of site-specific aromatic
fluorine substitution on the geometric, energetic, vibrational, and electronic
properties of the protonated neurotransmitter 2-phenylethylamine (xF-H(+) PEA,
x=ortho, meta, para) by infrared multiphoton photodissociation (IRMPD) in the
fingerprint range (600-1750 cm(-1) ) and quantum chemical calculations at the
B3LYP-D3/aug-cc-pVTZ level. The IRMPD spectra of all ions are assigned to their
folded gauche conformers stabilized by intramolecular NH(+) ???pi hydrogen bonds
(H-bonds) between the protonated amino group and the aromatic ring. H->F
substitution reduces the symmetry and allows for additional NH(+) ???F
interactions in oF-H(+) PEA, leading to three distinct gauche conformers. In
comparison to oF-H(+) PEA, the fluorination effects on the energy landscape
(energy ordering and isomerization barriers) in pF-H(+) PEA and mF-H(+) PEA with
one and two gauche conformers are less pronounced. The strengths of the
intramolecular NH(+) ???F and NH(+) ???pi bonds are analyzed by the noncovalent
interaction (NCI) method.
PMID- 27210900
TI - Analysis of a whole diet in terms of phenolic content and antioxidant capacity:
effects of a simulated gastrointestinal digestion.
AB - This work compares the phenolic contents and the total antioxidant capacity of
the 36 most popular Brazilian foods submitted to aqueous extraction or in vitro
digestion. The purpose was to evaluate the extent by which digestion differs from
the simple aqueous extraction procedures of several food matrices. After in vitro
digestion, cereals, legumes, vegetables, tuberous vegetables, chocolates and
fruits showed higher phenolic contents and higher antioxidant activities than
those obtained by aqueous extraction. Contrarily, the digestion caused a
reduction in the phenolic contents and antioxidant activities of beverages (red
wine, coffee and yerba mate). Our results suggest that the phenolics of food
groups with solid and complex matrix are protected against enzymatic action and
alteration in pH during the digestion, what does not occur in liquid food
matrices such as the beverages. This fact would overestimate the antioxidant
activities of beverages submitted solely to aqueous extraction.
PMID- 27210901
TI - Narrative medicine and the personalisation of treatment for elderly patients.
AB - Healthcare organisations, medical knowledge and clinical practice are among the
contexts that have most strongly felt the impact of the over 75 population. This
is a population of multimorbidity and polypharmacy patients. They are often seen
as a conglomeration of juxtaposed guidelines resulting in the intake of more than
10 drugs a day, with absolutely no certainty of their efficacy. The scientific
community is increasingly calling into question the current disease-focused
approach. Narrative medicine can provide the tools for a treatment plan which is
instead more patient-centred. Narrative medicine can promote the development of a
systemic, integrated and multi-disciplinary approach to elderly patients. The
stories of patients and caregivers, their representations, perceptions,
experiences and preferences can reduce the risk of inappropriate tests and
treatments. They can promote deprescribing procedures based on a careful analysis
of a specific patient's needs. Narration time is treatment time which does not
necessarily create a burden on organisations and caregivers. Quite the contrary
since by facilitating adherence and team work, it can significantly reduce time
and costs. Given their training and the importance of their relationship with
elderly patients, internists, together with geriatricians, can play a key role in
promoting and coordinating a narrative medicine approach.
PMID- 27210902
TI - Temporal trend of hospital discharge for non alcoholic cirrhosis in Lazio Region,
Italy 2000-2014.
PMID- 27210903
TI - The 2013 Dip: Factors Influencing Falling Emergency Department Visits and
Inpatient Admissions in District of Columbia and Maryland.
AB - BACKGROUND: Earlier reports have documented growth of United States emergency
department (ED) visits since the early 1990s. OBJECTIVE: In this report, we
describe recent trends in ED utilization and inpatient admissions in Maryland and
District of Columbia hospitals from 2011 to 2013. METHODS: We analyzed monthly ED
visit and inpatient admission volumes from 53 acute care hospitals in Maryland
and the District of Columbia from 2011 to 2013. Fixed-effect regression was used
to assess the relationship between community-level demographics, hospital
insurance mix, urgent care/retail clinic density, and hospitals participating in
Maryland's Total Patient Revenue (TPR) pilot-a global payment program-and changes
in ED visit and hospital admission volume from 2012 to 2013. RESULTS: Across 53
Maryland and District of Columbia hospitals, ED visits grew 2.8% between 2011 and
2012. From 2012 to 2013, ED visits declined by 3.5%. Admissions declined by 3.3%
from 2011 to 2012, then declined again 3.6% from 2012 to 2013. Community
demographic or hospital insurance-mix variable and density of urgent care centers
were not associated with lower ED visits. Inpatient admissions fell significantly
more in hospitals participating in Maryland's TPR global payment pilot program.
CONCLUSIONS: In 2013, ED visits in fell in Maryland and District of Columbia
hospitals, and inpatient admission volumes fell from 2011 to 2013. This is a
reversal of decades-long trends in higher health care utilization. These trends
were not explained by demographics, insurance, or ED alternatives, however,
falling admission rates were more pronounced in Maryland hospitals participating
in global payment programs.
PMID- 27210904
TI - Relationship between Central and Peripheral Venous Oxygen Saturation and Lactate
Levels: A Prospective Study.
AB - BACKGROUND: Optimization of tissue oxygen delivery to meet consumption demands is
important in the resuscitation of critically ill patients. Central venous oxygen
saturation (ScvO2) and lactate levels are often used to guide resuscitation;
however, invasive monitoring is required for the former. Clinicians searching for
less invasive alternatives may consider using peripheral venous oxygen saturation
(SpvO2) and lactate levels as a substitute. OBJECTIVES: To determine the
relationship between SpvO2 and ScvO2 and peripheral and central lactate levels.
METHODS: All patients with a central venous catheter in an academic emergency
department and intensive care unit were eligible for the study. Blood was
obtained simultaneously from a central and peripheral vein and measured for
oxygen saturation and lactate levels. Results were analyzed using intraclass
correlation coefficient (ICC), Bland-Altman plots, and receiver operating
characteristic curves. RESULTS: Seventy-nine paired blood samples were analyzed.
SpvO2 and ScvO2 have moderate agreement: ICC = 0.53 (95% confidence interval [CI]
0.35-0.67). A Bland-Altman plot revealed substantial bias (-4.47; limits of
agreement -38.6, 29.6). SpvO2 >= 85% was 90% specific for ScvO2 >= 70%, and SpvO2
of <= 55% had a 94% sensitivity for ScvO2 < 70%. Central and peripheral venous
lactate levels showed almost perfect agreement: ICC = 0.92 (95% CI 0.87-0.95),
bias of 0.46 (limits of agreement -1.78-2.70). CONCLUSION: SpvO2 and ScvO2 have
moderate agreement. There was excellent agreement between peripheral and central
lactate levels, making them interchangeable. The clinical implications of these
substitutions in real-time patient management require further study.
PMID- 27210905
TI - Defining the role of radiotherapy for retroperitoneal sarcoma.
PMID- 27210907
TI - Rhodium-Catalyzed ipso-Borylation of Alkylthioarenes via C-S Bond Cleavage.
AB - Rhodium-catalyzed transformation of alkyl aryl sulfides into arylboronic acid
pinacol esters via C-S bond cleavage is reported. In combination with transition
metal-catalyzed sulfanyl group-guided regioselective C-H borylation reactions of
alkylthioarenes, this method allows the synthesis of a diverse range of
multisubstituted arenes.
PMID- 27210906
TI - Preoperative or postoperative radiotherapy versus surgery alone for
retroperitoneal sarcoma: a case-control, propensity score-matched analysis of a
nationwide clinical oncology database.
AB - BACKGROUND: Recruitment into clinical trials for retroperitoneal sarcoma has been
challenging, resulting in termination of the only randomised multicentre trial in
the USA investigating perioperative radiotherapy. Nonetheless, use of
radiotherapy for retroperitoneal sarcoma has increased over the past decade,
substantiated primarily by its established role in extremity sarcoma. In this
study, we used a nationwide clinical oncology database to separately compare
overall survival for patients with retroperitoneal sarcoma who had surgery and
preoperative radiotherapy or surgery and postoperative radiotherapy versus
surgery alone. METHODS: We did two case-control, propensity score-matched
analyses of the National Cancer Data Base, which included adult patients with
retroperitoneal sarcoma who were diagnosed from 2003 to 2011. Patients were
included if they had localised, primary retroperitoneal sarcoma. Patients were
classified into three groups based on use of radiotherapy: preoperative
radiotherapy, postoperative radiotherapy, and no radiotherapy (surgery alone).
Patients were excluded if they received both preoperative radiotherapy and
postoperative radiotherapy, or if they received intraoperative radiotherapy.
Parallel propensity score-matched datasets were created for patients who received
preoperative radiotherapy versus those who received no radiotherapy and for
patients who received postoperative therapy versus those who received no
radiotherapy. Propensity scores were calculated with logistic regression, with
multiple imputation and backwards elimination, with a significance level to stay
of 0.05. Matching was done with a nearest-neighbour algorithm and matched 1:2 for
the preoperative radiotherapy dataset and 1:1 for the postoperative radiotherapy
dataset. The primary objective of interest was overall survival for patients who
received preoperative radiotherapy or postoperative radiotherapy compared with
those who received no radiotherapy within the propensity score-matched datasets.
FINDINGS: 9068 patients were included in this analysis: 563 in the preoperative
radiotherapy group, 2215 in the postoperative radiotherapy group, and 6290 in the
no radiotherapy group. Matching resulted in two comparison groups (preoperative
radiotherapy vs no radiotherapy, and postoperative radiotherapy vs no
radiotherapy) with negligible differences in all demographic,
clinicopathological, and treatment-level variables. In the matched case-control
analysis for preoperative radiotherapy median follow-up time was 42 months (IQR
27-70) for the preoperative radiotherapy group versus 43 months (25-64) for the
no radiotherapy group; median overall survival was 110 months (95% CI 75-not
estimable) versus 66 months (61-76), respectively. In the matched case-control
analysis for postoperative radiotherapy median follow-up time was 54 months (IQR
32-79) for patients in the postoperative radiotherapy group and 47 months (26-72)
for patients in the no radiotherapy group; median overall survival was 89 months
(95% CI 79-100) versus 64 months (59-69), respectively. Both preoperative
radiotherapy (HR 0.70, 95% CI 0.59-0.82; p<0.0001) and postoperative radiotherapy
(HR 0.78, 0.71-0.85; p<0.0001) were significantly associated with improved
overall survival compared with surgery alone. INTERPRETATION: To the best of our
knowledge, this is the largest study to date of the effect of radiotherapy on
overall survival in patients with retroperitoneal sarcoma. Radiotherapy was
associated with improved overall survival compared with surgery alone when
delivered as either preoperative radiotherapy or postoperative radiotherapy.
Together with the results from the ongoing randomised EORTC trial (62092-22092;
NCT01344018) investigating preoperative radiotherapy for retroperitoneal sarcoma
pending, these data might provide additional support for the increasing use of
radiotherapy for patients with retroperitoneal sarcoma undergoing surgical
resection. FUNDING: Department of Surgery, Duke University School of Medicine.
PMID- 27211004
TI - Characteristics of Hijacked Journals and Predatory Publishers: Our Observations
in the Academic World.
AB - The academic world today includes hijacked journals and predatory publishers that
operate based on a 'pay and publish' model and function for financial reasons
only. Here we present lesser known aspects and practices of these journals to
researchers, showing the core of the problem.
PMID- 27211005
TI - Electrochemical interfacial influences on deoxygenation and hydrogenation
reactions in CO reduction on a Cu(100) surface.
AB - Electroreduction of CO2 to hydrocarbons on a copper surface has attracted much
attention in the last few decades for providing a sustainable way for energy
storage. During the CO2 and further CO electroreduction processes, deoxygenation
that is C-O bond dissociation, and hydrogenation that is C-H bond formation, are
two main types of surface reactions catalyzed by the copper electrode. In this
work, by performing the state-of-the-art constrained ab initio molecular dynamics
simulations, we have systematically investigated deoxygenation and hydrogenation
reactions involving two important intermediates, COHads and CHOads, under various
conditions of (i) on a Cu(100) surface without water molecules, (ii) at the
water/Cu(100) interface and (iii) at the charged water/Cu(100) interface, in
order to elucidate the electrochemical interfacial influences. It has been found
that the electrochemical interface can facilitate considerably the C-O bond
dissociation via changing the reaction mechanisms. However, C-H bond formation
has not been affected by the presence of water or electrical charge. Furthermore,
the promotional roles of an aqueous environment and negative electrode potential
in deoxygenation have been clarified, respectively. This fundamental study
provides an atomic level insight into the significance of the electrochemical
interface towards electrocatalysis, which is of general importance for
understanding electrochemistry.
PMID- 27211006
TI - Selective dissolution of halide perovskites as a step towards recycling solar
cells.
AB - Most research on perovskite solar cells has focused on improving power-conversion
efficiency and stability. However, if one could refurbish perovskite solar cells,
their stability might not be a critical issue. From the perspective of cost
effectiveness, if failed, perovskite solar cells could be collected and recycled;
reuse of their gold electrodes and transparent conducting glasses could reduce
the price per watt of perovskite photovoltaic modules. Herein, we present a
simple and effective method for removing the perovskite layer and reusing the
mesoporous TiO2-coated transparent conducting glass substrate via selective
dissolution. We find that the perovskite layer can be easily decomposed in polar
aprotic solvents because of the reaction between polar aprotic solvents and
Pb(2+) cations. After 10 cycles of recycling, a mesoporous TiO2-coated
transparent conducting glass substrate-based perovskite solar cell still shows a
constant power-conversion efficiency, thereby demonstrating the possibility of
recycling perovskite solar cells.
PMID- 27211007
TI - Increasing Physical Activity and Participation in People With Multiple Sclerosis:
A Review.
AB - Multiple sclerosis (MS) is a chronic progressive disease of the central nervous
system (CNS) affecting >2.5 million people worldwide. Damage to neurons in the
CNS causes various sensorimotor and cognitive symptoms, such as fatigue, pain,
spasticity, memory deficits, and impairment of mobility. Until the late 1990s, it
was believed that symptoms of MS would be worsened with physical exertion and
people with MS were encouraged to limit physical activity and exertion. Not only
has emerging evidence suggested that physical activity, including exercise, is
safe for people with MS, there is also evidence that at least some of the
disability that occurs after MS is due to secondary deconditioning from the
sedentary lifestyle adopted because of the symptoms of MS, not just CNS damage
alone. Therefore, not only is physical activity safe, it is also required for
maintaining function and health in people with MS. The purpose of this article is
to review the unique physical and social barriers to physical activity in people
with MS, including those with moderate to severe disability who use a wheelchair
or scooter for mobility. We will discuss how existing guidelines for physical
activity may not meet the needs of people with MS and present evidence-based
considerations for promoting physical activity in people with MS. Ultimately, the
goal is to overcome the barriers to physical activity and improve health,
participation, and quality of life in people with MS.
PMID- 27211008
TI - Association of Psychosocial Factors With Physical Activity and Function After
Total Knee Replacement: An Exploratory Study.
AB - OBJECTIVES: To examine the association between self-efficacy, social support, and
fear of movement with physical activity and function at baseline and after 12
weeks of physical therapy. DESIGN: Nonrandomized cohort study, repeated-measures
design. SETTING: Outpatient rehabilitation clinic within the general community.
PARTICIPANTS: Adults (N=49) undergoing outpatient physical therapy for total knee
replacement (TKR). INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Self
efficacy for exercise (SEE), fear of movement, leisure-time physical activity
(LTPA), 6-minute walk test (6MWT), and Knee Outcome Survey-Activities of Daily
Living Scale (KOS-ADLS) were assessed at baseline and 12 weeks. RESULTS: Mean
functional change scores significantly increased at 12 weeks for the 6MWT (95%
confidence interval [CI], 42.3-106.2), KOS-ADLS (95% CI, 12.7-23.3), and LTPA
(95% CI, 6.5-26.1). Self-efficacy and fear of movement were not significantly
associated with function at baseline or 12 weeks. Participants with lower SEE had
6 fewer metabolic equivalents per week of improvement in LTPA than those with
high self-efficacy (95% CI, -27.9 to 14.8), and those with high fear of movement
had 26.1m less improvement in the 6MWT than those with low fear of movement (95%
CI, -42.2 to 94.5). Most participants reported having no family or peer support
for exercise. CONCLUSIONS: Physical therapy for TKR improves physical function
and self-reported physical activity. High fear of movement and low SEE may be
associated with less improvement in physical activity and function over time.
PMID- 27211009
TI - Detection and characterisation of radicals using electron paramagnetic resonance
(EPR) spin trapping and related methods.
AB - Electron paramagnetic resonance (EPR) spectroscopy (also known as electron spin
resonance, ESR, or electron magnetic resonance, EMR, spectroscopy) is often
described as the "gold standard" for the detection and characterisation of
radicals in chemical, biological and medical systems. The article reviews aspects
of EPR spectroscopy and discusses how this methodology and related techniques can
be used to obtain useful information from biological systems. Consideration is
given to the direct detection of radicals, the use of spin traps and the
detection of nitric oxide, and the advantages and pitfalls of various approaches.
When used with care, this technique can provide a huge amount of valuable data on
the presence of radicals, their identity and information on their concentration,
structure, mobility and interactions. It is however a technique that has
limitations, and the novice user needs to understand the various pitfalls and
shortcomings of the method to avoid making significant errors.
PMID- 27211010
TI - Computational analysis of fitness landscapes and evolutionary networks from in
vitro evolution experiments.
AB - In vitro selection experiments in biochemistry allow for the discovery of novel
molecules capable of specific desired biochemical functions. However, this is not
the only benefit we can obtain from such selection experiments. Since selection
from a random library yields an unprecedented, and sometimes comprehensive, view
of how a particular biochemical function is distributed across sequence space,
selection experiments also provide data for creating and analyzing molecular
fitness landscapes, which directly map function (phenotypes) to sequence
information (genotypes). Given the importance of understanding the relationship
between sequence and functional activity, reliable methods to build and analyze
fitness landscapes are needed. Here, we present some statistical methods to
extract this information from pools of RNA molecules. We also provide new
computational tools to construct and study molecular fitness landscapes.
PMID- 27211011
TI - The mitochondrial genome of Iberobaenia (Coleoptera: Iberobaeniidae): first
rearrangement of protein-coding genes in the beetles.
AB - The complete mitochondrial genome of the recently discovered beetle family
Iberobaeniidae is described and compared with known coleopteran mitogenomes. The
mitochondrial sequence was obtained by shotgun metagenomic sequencing using the
Illumina Miseq technology and resulted in an average coverage of 130 * and a
minimum coverage of 35*. The mitochondrial genome of Iberobaeniidae includes 13
protein-coding genes, 2 rRNAs, 22 tRNAs genes, and 1 putative control region, and
showed a unique rearrangement of protein-coding genes. This is the first
rearrangement affecting the relative position of protein-coding and ribosomal
genes reported for the order Coleoptera.
PMID- 27211012
TI - Elemental profiles of freshwater mussels treated with silver nanoparticles: A
metallomic approach.
AB - Nanoparticles released into the environment could pose a risk to resident
organisms that feed on suspended particles in aquatic ecosystems. The purpose of
this study was to examine the effects of silver nanoparticles (nanoAg) of
different sizes in freshwater mussels using a multi-elemental (metallomic)
approach in order to determine signature effects of nanoparticulate and ionic Ag.
Mussels were exposed to three concentrations (0.8, 4 and 20MUg/L) of 20-nm and 80
nm nanoAg and AgNO3 for 48h at 15 degrees C. After the exposure period, mussels
were placed in clean, aerated water for a depuration step and analyzed for the
following total elements in gill, digestive gland and gonad tissues: Al, Ag, As,
Ba, Be, Ca, Cd, Co, Cr, Cu, Fe, K, Mg, Mn, Mo, Pb, Na, Ni, Se, Sr, Th, U, V and
Zn. Metallothioneins (MT; digestive gland only) and lipid peroxidation (LPO) were
also determined in gills, digestive glands and gonads. The 20-nm-diameter nanoAg
was detected in all three tissues at 20MUg/L, while the 80-nm nanoAg was detected
more strongly in the digestive gland. Ionic Ag was found at higher levels in
gills than in other tissues. Correlation analysis revealed that gonad Ag levels
were significantly correlated with Al (r=0.28), V (r=0.28), Cr (r=0.31), Co
(r=0.32), Se (r=0.34) and MT levels (r=0.28). Indeed, the MT levels in the
digestive gland were significantly increased by 20-nm nanoAg (20MUg/L) and 80-nm
nanoAg (4MUg/L) and AgNO3 (<0.8MUg/L). LPO was observed in gills, digestive
glands and even gonads for all Ag forms. Discriminant function analysis revealed
that all forms of Ag differed from each other and from unexposed mussels, where
ionic Ag was more closely related to the 80-nm-diameter nanoAg. Factorial
analysis revealed that Ba, Ca, Co, Mn, Sr, U and Zn had consistently high
factorial weights in all tissues; that explained 80% of the total variance.
Moreover, the following elements showed strong correlations (r>0.7) with each
other: Sr, Ba, Zn, Ca, Mg Cr, Mn and U. Comparisons of these elements with other
elements showing low or no correlations (e.g., transition elements) revealed that
these elements had significantly lower standard reduction potential and
electronegativity, suggesting that stronger reducing elements were most
influenced by the oxidizing effects of nanoAg and ionic Ag in tissues. Indeed,
tissues with oxidative stress (LPO) had decreased levels for most of these
reducing elements. We conclude that exposure to Ag nanoparticles produces a
characteristic change in the elemental composition of gills, digestive gland and
gonad tissues in freshwater mussels. Elements most responsive to oxidative stress
were more influenced by both nanoAg and ionic Ag. Sr and Ba were readily
decreased by Ag and appeared to respond more sensitively to nanoAg than to ionic
Ag. The metallomic approach could contribute in the understanding of fundamental
mode of action of nanoparticles in mussels.
PMID- 27211013
TI - Embryonic cardiotoxicity of weak aryl hydrocarbon receptor agonists and CYP1A
inhibitor fluoranthene in the Atlantic killifish (Fundulus heteroclitus).
AB - High affinity aryl hydrocarbon receptor (AHR) ligands, such as certain
polychlorinated biphenyls and 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD), cause
severe cardiac teratogenesis in fish embryos. Moderately strong AHR agonists, for
example benzo[a]pyrene and beta-naphthoflavone, are capable of causing similar
cardiotoxic effects, particularly when coupled with cytochrome P450 1A (CYP1A)
inhibitors (e.g., fluoranthene (FL). Additionally, some weaker AHR agonists
(carbaryl, 2-methylindole, 3-methylindole, and phenanthrene) are known to also
cause cardiotoxicity in zebrafish (Danio rerio) embryos when coupled with FL;
however, the cardiotoxic effects were not mediated specifically by AHR
stimulation. This study was performed to determine if binary exposure to weak AHR
agonists and FL were also capable of causing cardiotoxicity in Atlantic killifish
Fundulus heteroclitus embryos. Binary exposures were performed in both naive and
PAH-adapted killifish embryos to examine resistance to weak agonists and FL
binary exposures. Weak agonists used in this study included the following:
carbaryl, phenanthrene, 2-methylindole, 3-methylindole, indigo, and indirubin.
Carbaryl, indigo, and indirubin induced the highest CYP1 activity levels in naive
killifish embryos, but no significant CYP1 induction was observed in the PAH
adapted killifish. Embryos were coexposed to subteratogenic levels of each
agonist and 500MUg/L FL to assess if binary administration could cause
cardiotoxicity. Indigo and indirubin coupled with FL caused cardiac teratogenesis
in naive killifish, but coexposures did not produce cardiac chamber abnormalities
in the PAH-adapted population. Knockdown of AHR2 in naive killifish embryos did
not prevent cardiac teratogenesis. The data suggest a unique mechanism of
cardiotoxicity that is not driven by AHR2 activation.
PMID- 27211015
TI - Angelica sinensis in China-A review of botanical profile, ethnopharmacology,
phytochemistry and chemical analysis.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Angelica sinensis (Oliv.) Diels, known as Dang
Gui (in Chinese), is a traditional medicinal and edible plant that has long been
used for tonifying, replenishing, and invigorating blood as well as relieving
pain, lubricating the intestines, and treating female irregular menstruation and
amenorrhea. A. sinensis has also been used as a health product and become
increasingly popular in China, Japan, and Korea. AIM OF THE REVIEW: This paper
aims to provide a systemic review of traditional uses of A. sinensis and its
recent advances in the fields of phytochemistry, analytical methods and
toxicology. In addition, possible trends, therapeutic potentials, and
perspectives for future research of this plant are also briefly discussed.
MATERIALS AND METHODS: An extensive review of the literature was conducted, and
electronic databases including China National Knowledge Infrastructure, PubMed,
Google Scholar, Science Direct, and Reaxys were used to assemble the data.
Ethnopharmacological literature and digitalised sources of academic libraries
were also systematically searched. In addition, information was obtained from
local books and The Plant List (TPL, www.theplantlist.org). RESULT: This study
reviews the progress in chemical analysis of A. sinensis and its preparations.
Previously and newly established methods, including spectroscopy, thin-layer
chromatography (TLC), gas chromatography (GC), high-performance liquid
chromatography (HPLC), ultra-performance liquid chromatography(UPLC), and nuclear
magnetic resonance analysis (NMR), are summarized. Moreover, identified bioactive
components such as polysaccharides, ligustilide and ferulic acid were reviewed,
along with analytical methods for quantitative and qualitative determination of
target analytes, and fingerprinting authentication, quality evaluation of A.
sinensis, and toxicology and pharmacodynamic studies. Scientific reports on crude
extracts and pure compounds and formulations revealed a wide range of
pharmacological activities, including anti-inflammatory activity, antifibrotic
action, antispasmodic activity, antioxidant activities, and neuroprotective
action, as well as cardio- and cerebrovascular effects. CONCLUSIONS: Within the
published scientific literature are numerous reports regarding analytical methods
that use various chromatographic and spectrophotometric technologies to monitor
various types of components with different physicochemical properties
simultaneously. This review discusses the reasonable selection of marker
compounds based on high concentrations, analytical methods, and commercial
availabilities with the goal of developing quick, accurate, and applicable
analytical approaches for quality evaluation and establishing harmonised criteria
for the analysis of A. sinensis and its finished products. Compounds isolated
from A. sinensis are abundant sources of chemical diversity, from which we can
discover active molecules. Thus, more studies on the pharmacological mechanisms
of the predominant active compounds of A. sinensis are needed. In addition, given
that A. sinensis is one of the most popular traditional herbal medicines, its
main therapeutic aspects, toxicity, and adverse effects warrant further
investigation in the future.
PMID- 27211014
TI - High light intensity plays a major role in emergence of population level
variation in Arabidopsis thaliana along an altitudinal gradient.
AB - Environmental conditions play an important role in the emergence of genetic
variations in natural populations. We identified genome-wide patterns of
nucleotide variations in the coding regions of natural Arabidopsis thaliana
populations. These populations originated from 700 m to 3400 m a.m.s.l. in the
Western Himalaya. Using a pooled RNA-Seq approach, we identified the local and
global level population-specific SNPs. The biological functions of the SNP
containing genes were primarily related to the high light intensity prevalent at
high-altitude regions. The novel SNPs identified in these genes might have arisen
de novo in these populations. In another approach, the FSTs of SNP-containing
genes were correlated with the corresponding climatic factors. 'Radiation in the
growing season' was the only environmental factor found to be strongly correlated
with the gene-level FSTs. In both the approaches, the high light intensity was
identified as the primary abiotic stress associated with the variations in these
populations. The differential gene expression analysis between field and
controlled condition grown plants also showed high light intensity as the primary
abiotic stress, particularly for the high altitude populations. Our results
provide a genome-wide perspective of nucleotide variations in populations along
altitudinal gradient and their putative role in emergence of these variations.
PMID- 27211016
TI - Mechanisms underlying the cardioprotective effect of Salvianic acid A against
isoproterenol-induced myocardial ischemia injury in rats: Possible involvement of
L-type calcium channels and myocardial contractility.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Salvianic acid A (SAA), which is the main water
soluble fraction in Radix Salviae Milthiorrhizae, has been widely applied for
treating cardiovascular diseases in China. AIM OF THE STUDY: To explore the
effects of SAA against myocardial ischemia injury induced by isoproterenol (ISO)
in rats and to clarify its underlying myocardial protective mechanisms based on l
type calcium channels and myocardial contractility. MATERIALS AND METHODS: The
myocardial ischemia injured rat model was induced by administering ISO (85mg/kg)
subcutaneously at evenly spaced intervals throughout the day and night for 2
consecutive days. Serum cardiac biomarkers were analyzed, and heart tissues were
isolated and prepared for histopathology assay. The regulatory effects of SAA on
the L-type calcium current (ICa-L) in rat ventricular myocytes were observed by
the patch clamp technique. The IonOptix Myocam detection system was used to
observe the contractility of isolated rat ventricular myocytes. RESULTS: SAA
significantly ameliorated changes in heart morphology and electrocardiographic
patterns and reduced serum levels of creatine kinase and lactate dehydrogenase in
the ISO-induced myocardial ischemia injured rat model. Meanwhile, SAA reduced ICa
L in a concentration-time dependent way with an IC50 of 1.47*10(-5)M, upshifted
the current-voltage, activation, and inactivation curves of ICa-L, and
significantly inhibited the amplitude of the cell shortening. CONCLUSIONS: These
results indicate that SAA exhibits significant cardioprotective effects against
the ISO-induced myocardial ischemia injury, potentially through inhibiting ICa-L
and decreasing myocardial contractility.
PMID- 27211018
TI - Polarized emission from CsPbX3 perovskite quantum dots.
AB - Compared to organic/inorganic hybrid perovskites, full inorganic perovskite
quantum dots (QDs) exhibit higher stability. In this study, full inorganic CsPbX3
(X = Br, I and mixed halide systems Br/I) perovskite QDs have been synthesized
and interestingly, these QDs showed highly polarized photoluminescence which is
systematically studied for the first time. Furthermore, the polarization of
CsPbI3 was as high as 0.36 in hexane and 0.40 as a film. The CsPbX3 perovskite
QDs with high polarization properties indicate that they possess great potential
for application in new generation displays with wide colour gamut and low power
consumption.
PMID- 27211020
TI - Targeting cytomegalovirus during ulcerative colitis flare-ups.
AB - INTRODUCTION: Human cytomegalovirus (CMV) is a common cause of opportunistic
infection leading to severe and fatal disease in immune-compromised individuals.
In inflammatory bowel disease patients, particularly those with ulcerative
colitis (UC), CMV is often reactivated because these patients are frequently
treated with immunosuppressive agents. Many reports have described the
relationship between CMV reactivation and UC exacerbation, however, a therapeutic
strategy for CMV infection in UC patients has not been established. AREA COVERED:
This review highlights therapeutic strategies for UC patients with CMV infection.
Recent findings have suggested a benefit from antiviral therapy in patients with
histologically proven CMV colitis and/or a high colonic CMV load as determined by
quantitative PCR. Expert commentary: To decide who requires antiviral therapies
and when we start antiviral therapies, prospective studies of large numbers of UC
patients with CMV infection are needed. However, we should know that the bottom
line therapy for UC patients with CMV infection is to optimally control mucosal
inflammation.
PMID- 27211017
TI - Human papillomavirus DNA positivity and seropositivity in rural Chinese men and
women: a population-based cross-sectional study.
AB - Data on simultaneous analysis of human papillomavirus (HPV) DNA and serology and
the correlations within a single general population are limited. Among 1603 men
and 2187 women enrolled from rural China, serum antibodies against bacterially
derived GST-L1 fusion proteins of HPV were assessed with multiplexed serology and
HPV DNA was evaluated with PCR-based sequencing. Few subjects were dually
positive to HPV DNA and serum antibodies for any HPV (6.6% of men and 3.1% of
women). The proportion of men ever having been infected with any HPV (DNA and/or
antibody positive) was higher than that of women (71.0% vs. 65.2%, P < 0.001).
Type-specific association was observed for genital HPV infection and HPV
seropositivity among women but not among men. A positive correlation between the
number of lifetime sexual partners and positivity for oncogenic HPV DNA and/or
antibodies was found in men but was absent in women. Among 762 couples, the
presence of HPV DNA and/or antibodies in one partner was positively associated
with the identical HPV type in the other partner. These findings may reflect a
site-specific natural course of HPV infection and further understanding of the
epidemiology of HPV.
PMID- 27211019
TI - Bone marrow derived mesenchymal stem cells inhibit the proliferative and
profibrotic phenotype of hypertrophic scar fibroblasts and keloid fibroblasts
through paracrine signaling.
AB - BACKGROUND: Hypertrophic scars and keloids, characterized by over-proliferation
of fibroblasts and aberrant formation of the extracellular matrix (ECM), are
considered fibrotic diseases. Accumulating evidence indicates that mesenchymal
stem cells (MSCs) promote scar-free wound healing and inhibit fibrotic tissue
formation, making them a potentially effective therapeutic treatment for
hypertrophic scars and keloids. OBJECTIVE: To investigate the paracrine effects
of bone marrow derived MSCs (BMSCs) on the biological behavior of hypertrophic
scar fibroblasts (HSFs) and keloid fibroblasts (KFs). METHODS: Proliferative and
profibrotic phenotype changes of the fibroblasts were analyzed by
immunofluorescence staining, in-cell western blot, and real-time PCR. RESULTS:
BMSC-conditioned medium inhibited HSF and KF proliferation and migration, but did
not induce apoptosis. Interestingly, normal skin fibroblast-conditioned medium
exhibited no inhibitory effects on HSF or KF proliferation and migration.
Furthermore, BMSC-conditioned medium significantly decreased expression of
profibrotic genes, including connective tissue growth factor, plasminogen
activator inhibitor-1, transforming growth factor-beta1, and transforming growth
factor-beta2, in HSFs and KFs at both transcriptional and translational levels.
In contrast, the expression of antifibrotic genes, such as transforming growth
factor-beta3 and decorin, was substantially enhanced under the same culture
conditions. Finally, we observed that BMSC-conditioned medium suppressed the ECM
synthesis in HSFs and KFs, as indicated by decreased expression of collagen I and
fibronectin and low levels of hydroxyproline in cell culture supernatant.
CONCLUSION: These findings suggest that BMSCs attenuate the proliferative and
profibrotic phenotype associated with HSFs and KFs and inhibit ECM synthesis
through a paracrine signaling mechanism.
PMID- 27211021
TI - Diagnostic performance of real-time strain sonoelastography in BI-RADS 4 and 5
breast masses.
AB - PURPOSE: The purpose of this study was to evaluate the diagnostic performance of
sonoelastography by using real-time strain ratio and qualitative 5-stage
elasticity score in breast lesions for which ultrasonographic evaluation
suggested malignancy (BI-RADS 4 and 5 lesions). MATERIALS AND METHODS: From
January 2012 to October 2012, 168 solid breast lesions were investigated using
sonoelastography. The strain ratios and the elasticity scores were calculated.
Final diagnosis was made by histopathological analysis. Areas under the curve and
cut-off points were used to assess diagnostic performance of sonoelastography.
The sensitivity, and specificity of these two imaging tests were compared using
McNemar test. RESULTS: The strain ratios of malignant lesions (mean value=9.3)
and benign lesions (mean value=3.75) were significantly different (P<0.00001).
Using a cut-off value of 4.79, strain ratio had 78.8% sensitivity, 78.3%
specificity, 86.7% positive predictive value (PPV) and 67% negative predictive
value (NPV). Using a 5-stage elasticity score system, a 83.3% sensitivity, 74.6%
specificity, 85.7% PPV and 71.0% NPV were obtained. CONCLUSION: Our study
indicates that sonoelastography has good clinical value to discriminate between
benign and malignant breast lesions. There are no differences in terms of
diagnostic performance in differentiating malignant from benign lesions when
strain ratio or color scoring are used alone. However the diagnostic performance
is increased when strain ratio and color scoring evaluations are used in
combination.
PMID- 27211022
TI - Editorial: Combinatorial Fluorophores for Live Cell Imaging.
PMID- 27211036
TI - Hybrid Mass Spectrometry: Towards Characterization of Protein Conformational
States.
AB - A current challenge in structural biology is to unravel the conformational states
of protein complexes. Hybrid mass spectrometry (MS) has emerged as a key tool to
study the structural dynamics of large protein complexes unattainable by
traditional methods. Here, we discuss recent advances in hybrid MS allowing
characterization of challenging biological systems.
PMID- 27211035
TI - DECIDE: a Decision Support Tool to Facilitate Parents' Choices Regarding Genome
Wide Sequencing.
AB - We describe the rationale, development, and usability testing for an integrated e
learning tool and decision aid for parents facing decisions about genome-wide
sequencing (GWS) for their children with a suspected genetic condition. The
online tool, DECIDE, is designed to provide decision-support and to promote high
quality decisions about undergoing GWS with or without return of optional
incidental finding results. DECIDE works by integrating educational material with
decision aids. Users may tailor their learning by controlling both the amount of
information and its format - text and diagrams and/or short videos. The decision
aid guides users to weigh the importance of various relevant factors in their own
lives and circumstances. After considering the pros and cons of GWS and return of
incidental findings, DECIDE summarizes the user's responses and apparent
preferred choices. In a usability study of 16 parents who had already chosen GWS
after conventional genetic counselling, all participants found DECIDE to be
helpful. Many would have been satisfied to use it alone to guide their GWS
decisions, but most would prefer to have the option of consulting a health care
professional as well to aid their decision. Further testing is necessary to
establish the effectiveness of using DECIDE as an adjunct to or instead of
conventional pre-test genetic counselling for clinical genome-wide sequencing.
PMID- 27211037
TI - Fungal Enzymes for Bio-Products from Sustainable and Waste Biomass.
AB - Lignocellulose, the most abundant renewable carbon source on earth, is the
logical candidate to replace fossil carbon as the major biofuel raw material.
Nevertheless, the technologies needed to convert lignocellulose into soluble
products that can then be utilized by the chemical or fuel industries face
several challenges. Enzymatic hydrolysis is of major importance, and we review
the progress made in fungal enzyme technology over the past few years with major
emphasis on (i) the enzymes needed for the conversion of polysaccharides
(cellulose and hemicellulose) into soluble products, (ii) the potential uses of
lignin degradation products, and (iii) current progress and bottlenecks for the
use of the soluble lignocellulose derivatives in emerging biorefineries.
PMID- 27211038
TI - The combination of Pleurotus ferulae water extract and CpG-ODN enhances the
immune responses and antitumor efficacy of HPV peptides pulsed dendritic cell
based vaccine.
AB - Our previous study reported that the combination of Pleurotus ferulae water
extract (PFWE) and CpG (PFWE+CpG) enhanced the maturation and function of
dendritic cells (DCs). Here, we investigated the effects of PFWE+CpG on the
immune responses and antitumor efficacy of DC-based vaccine. We observed that all
of HPV E6 and E7 peptides pulsed DCs (HPV-immature DCs, HPV+PFWE-, +CpG- or
+PFWE+CpG-DCs) induced antigen-specific CD8(+) T cell responses and HPV+PFWE+CpG
DCs induced highest level of CD8(+) T cell responses. The antitumor efficacy of
HPV-DCs vaccines was evaluated in TC-1 tumor mouse model. The early therapeutic
study showed that HPV+PFWE-, +CpG- and +PFWE+CpG-DCs greatly inhibited tumor
growth. Moreover, HPV+PFWE+CpG-DCs controlled tumor growth at a faster rate
compared to other groups. These three groups induced HPV-specific CD8(+) T cell
responses and significantly decreased the frequencies of induced regulatory T
cells (iTregs: CD4(+)CD25(-)Fopx3(+)). However, only HPV+PFWE+CpG-DCs
significantly decreased the frequency of natural Tregs (nTregs:
CD4(+)CD25(+)Fopx3(+)). Furthermore, HPV+PFWE+CpG-DCs also significantly
inhibited tumor growth in the late therapeutic study. The results showed that
PFWE+CpG enhanced the immune responses and antitumor efficacy of DC-based
vaccine, suggesting that PFWE+CpG might be the potential candidate for the
generation of clinical-grade mature DCs.
PMID- 27211040
TI - Comparison of hemagglutination inhibition and microbead array assays for the
measurement of influenza antibody levels in HIV-infected adults.
AB - INTRODUCTION: Determining vaccine responsiveness is challenging in immune
compromised individuals. The microbead array (MBA) assay is a rapid, inexpensive
test for evaluating influenza vaccine immunogenicity. MBA performance was
compared to hemagglutination inhibition assay (HAI) utilizing HIV seropositive
vaccine recipient specimens. METHODS: CTN 253 evaluated standard dose, single
antigen, inactivated split adjuvanted (AS03(A)) H1N1 influenza vaccine
(Arepanrix) vs standard dose plus booster. CTN237 evaluated three doses of a
seasonal, trivalent killed split non-adjuvanted influenza vaccine (Fluviral).
Samples of convenience from 75 CTN 253 and 58 CTN 237 participants were evaluated
by MBA and HAI. MBA seroreactivity cut-offs of 500 and 1000 mean fluorescent
intensity were used to compare those derived by HAI [titer >=40 (seroprotection)
and 4-fold titer increase from baseline (seroconversion)]. RESULTS: CTN253: Using
a MBA cut-off of 500, 75% and 59% had seroreactive titers at visit 2 and 78% and
61% at visit 3 for the single versus double dose study arms. Many participants
were categorized differently by the HAI and MBA tests, with raw agreement of 59%
at visit 2 and 57% at visit 3. CTN 237: Baseline MBA medians (IQR) were 803 (12
2626) for the A/Brisbane strain and 460 (14-1758) for the B/Florida strain. Using
a cut-off of 500 yielded for the A/Brisbane strain seroreactivity rates of 44%,
58%, and 63% were observed for the three doses of vaccine tested. The raw
agreement was 47%. B/Florida strain analyses yielded similar results. For all
strains assessed in both studies, no relationship between MBA values and baseline
variables was identified. CONCLUSION: The concordance of results between the MBA
assay and the HAI assay is very low. MBA assay may be more sensitive than the HAI
assay suggesting that it was either detecting more false-positive results and/or
that the HAI assay had more false negative.
PMID- 27211039
TI - A polyvalent influenza DNA vaccine applied by needle-free intradermal delivery
induces cross-reactive humoral and cellular immune responses in pigs.
AB - BACKGROUND: Pigs are natural hosts for influenza A viruses, and the infection is
widely prevalent in swine herds throughout the world. Current commercial
influenza vaccines for pigs induce a narrow immune response and are not very
effective against antigenically diverse viruses. To control influenza in pigs,
the development of more effective swine influenza vaccines inducing broader cross
protective immune responses is needed. Previously, we have shown that a
polyvalent influenza DNA vaccine using vectors containing antibiotic resistance
genes induced a broadly protective immune response in pigs and ferrets using
intradermal injection followed by electroporation. However, this vaccination
approach is not practical in large swine herds, and DNA vaccine vectors
containing antibiotic resistance genes are undesirable. OBJECTIVES: To
investigate the immunogenicity of an optimized version of our preceding
polyvalent DNA vaccine, characterized by a next-generation expression vector
without antibiotic resistance markers and delivered by a convenient needle-free
intradermal application approach. METHODS: The humoral and cellular immune
responses induced by three different doses of the optimized DNA vaccine were
evaluated in groups of five to six pigs. The DNA vaccine consisted of six
selected influenza genes of pandemic origin, including internally expressed
matrix and nucleoprotein and externally expressed hemagglutinin and
neuraminidase. RESULTS: Needle-free vaccination of growing pigs with the
optimized DNA vaccine resulted in specific, dose-dependent immunity down to the
lowest dose (200MUg DNA/vaccination). Both the antibody-mediated and the recall
lymphocyte immune responses demonstrated high reactivity against vaccine-specific
strains and cross-reactivity to vaccine-heterologous strains. CONCLUSION: The
results suggest that polyvalent DNA influenza vaccination may provide a strong
tool for broad protection against swine influenza strains threatening animal as
well as public health. In addition, the needle-free administration technique used
for this DNA vaccine will provide an easy and practical approach for the large
scale vaccination of swine.
PMID- 27211042
TI - An update on methods for cryopreservation and thawing of hemopoietic stem cells.
AB - The aim of this article is to review a number of variables that may affect the
cryopreservation of minimally manipulated products containing allogeneic or
autologous hemopoietic progenitor cells (HPC) used for transplantation, with
particular reference to processing, type and addition of cryoprotectant, cell
concentration, volume, freezing procedure, cooling rate, storage, thawing, and
quality management. After defining final product's requirements in compliance
with norms, laws and regulations, it is crucial to define the critical control
points of the process. New approaches of processing were developed in the last
few years such as automatic devices for volume reduction and high cell
concentration in the frozen product. DMSO at 10% final concentration is still the
most used cryoprotectant for HPC cryopreservation. Although controlled rate
freezing is the recommended method for HPC cryopreservation, alternative methods
may be used. Last generation vapor storage vessels ensure temperature stability
better than older tanks. Their use may reduce risks of cross-contamination.
Finally we review advantages and disadvantages of thawing procedures that may be
carried out in the laboratory or at the patient's bedside.
PMID- 27211041
TI - Enhancing the efficacy of engraftment of cord blood for hematopoietic cell
transplantation.
AB - Clinical cord blood (CB) hematopoietic cell transplantation (HCT) has progressed
well since the initial successful CB HCT that saved the life of a young boy with
Fanconi anemia. The recipient is alive and well now 28 years out since that first
transplant with CB cells from his HLA-matched sister. CB HCT has now been used to
treat over 35,000 patients with various malignant and non-malignant disorders
mainly using HLA-matched or partially HLA-disparate allogeneic CB cells. There
are advantages and disadvantages to using CB for HCT compared to other sources of
transplantable hematopoietic stem (HSC) and progenitor (HPC) cells. One
disadvantage of the use of CB as a source of transplantable HSC and HPC is the
limited number of these cells in a single CB collected, and slower time to
neutrophil, platelet and immune cell recovery. This review describes current
attempts to: increase the collection of HSC/HPC from CB, enhance the homing of
the infused cells, ex-vivo expand numbers of collected HSC/HPC and increase
production of the infused CB cells that reach the marrow. The ultimate goal is to
manipulate efficiency and efficacy for safe and economical use of single unit CB
HCT.
PMID- 27211043
TI - News on implementing two new patient-focused strategies: A patient blood
management program in Norway and validation of two dedicated assays for measuring
Dabigatran when used for long term antithrombotic therapy.
PMID- 27211044
TI - The Role of Angiogenesis in Haemophilic Arthropathy: Where Do We Stand and Where
Are We Going?
AB - Haemophilia is an inherited bleeding disorder that can lead to degenerative joint
arthropathy due to recurrent bleeding episodes affecting the musculoskeletal
system of the patient. The cause of bleeding can be either traumatic or
spontaneous. The pathogenesis of haemophilic arthropathy is unclear as many
factors like iron, inflammatory cytokines, and angiogenic factors contribute to
this process. Blood into joints can deteriorate the bone to such an extent that
the patient experiences pain, reduction of the range of movement, and deformity
of the joint, conditions that could have a great impact on quality of life. Over
the years, management of haemophilic arthropathy has changed. Nowadays, early
diagnosis with high resolution imaging like magnetic resonance imaging along with
application of prophylaxis regimens can reduce the extent of damage to the
joints. However, not all haemophilia patients have access to these interventions
as cost may be prohibitive for some of them. The need for new, easy, and cost
effective strategies with the ability to identify early changes could be
beneficial and could make a difference in the management of haemophilic
arthropathy. Understanding the mechanism of processes like angiogenesis in the
mechanism of developing arthropathy could be innovative for these patients and
could help in the detection of new early diagnostic and therapeutic markers.
PMID- 27211045
TI - Regulatory T Cells in Patients with Idiopathic Thrombocytopenic Purpura.
AB - OBJECTIVE: Immune thrombocytopenic purpura (ITP) is an immune-mediated bleeding
disorder in which platelets are opsonized by autoantibodies and destroyed by an
Fc receptor-mediated phagocytosis by the reticuloendothelial system within the
spleen. Autoimmune processes are also considered in the pathogenesis of this
disorder. CD4+CD25+FoxP3+ regulatory T (Treg) cells and CD8+CD28- Treg cells have
roles in autoimmune diseases. We investigated these regulatory cells in ITP
patients. MATERIALS AND METHODS: We included 22 ITP patients and 16 age-matched
healthy subjects. CD4+CD25+FoxP3+ Treg cells and CD8+CD28- cells were
investigated by three-color flow cytometry. The ratios of these cell populations
to total lymphocytes were calculated. Statistical analysis was carried out with
the Mann-Whitney U test. RESULTS: CD4+CD25+ Treg cells were 9.69+/-3.70% and
12.99+/-5.58% in patients with ITP and controls, respectively. CD4+CD25highFoxP3+
cells were 27.72+/-19.74% and 27.55+/-23.98% in ITP patients and controls,
respectively. The percentages of both of these cell types were not statistically
significant when compared to the control group. CONCLUSION: We did not find any
differences in ratios of CD4+CD25+FoxP3+ Treg cells or CD8+CD28- T cells in
lymphocytes between patients and healthy subjects. We conclude that these
circulatory cells are not different in ITP, but further studies are needed to
explore the putative roles of these regulatory cells.
PMID- 27211046
TI - Serum Zinc Levels in Iron Deficient Women: A Case-Control Study.
AB - Since similar symptoms and findings can be seen in the deficiencies of both iron
and zinc, we aimed to evaluate the serum zinc levels of women with iron
deficiency anemia (IDA). This study was conducted with women with iron deficiency
and a healthy control group. When serum zinc levels were compared, they were
found to be lower in the IDA group, which was statistically significant. With the
help of these studies, iron and zinc treatment instead of only iron replacement
may be considered in cases of iron deficiency.
PMID- 27211047
TI - Tumor Necrosis Factor and Splenectomy.
PMID- 27211048
TI - Influence of Urbanistic Characteristics in the Level of Physical Activity in
People Aged 18 to 65 of the Metropolitan Area Pamplona, Spain.
AB - BACKGROUND: A suitable environment can encourage the practice of physical
activity, being an easy option for the population, for this reason the main
objective was to determine the influence of the urban environmental
characteristics relate with the physical activity on adult people living on the
Pamplona Area. METHODS: Cross-sectional study based on the International Physical
Activity and the Environment Network (IPEN Adult). Participants were selected in
random and stratified from the Basic areas of health attached to resident's
population in the Pamplona area, aged ranged between 18-65years old. A self
administered questionnaire was used, including a complete version of the
Neighborhood Environment Walkability Scale scale, to evaluate the perception of
the urban environmental factors. In addition, the extended version of
International Physical Activity Questionnaire for the physical activity data
collection was employed. Chi square test was calculated and a multi variable
analysis using non-conditional logistic regression. RESULTS: The representative
sample was composed of 905 subjects, [54,70% female]. The perception of having
footpaths near to their place of resident or workplace was greater in the group
that practice moderated physical activity OR: 3,86 [CI95% 1.70-8.74] and greater
total physical activity (the summary of vigorous, moderated physical activity and
walking) (OR: 2,61 [CI95% 1.24-5.45]). The people perception of having many
places for walk in the neighborhood was associated with major habit of taking a
walk (OR: 1,26 [IC95% 1.01-1.58]. Having sport spaces close to the workplace or
place of resident was associated with major practice of vigorous physical s
activity, OR: 1,46 [CI95% 1.01-2.12]. CONCLUSIONS: There is a direct association
between the practice of physical activity and environmental urban
characteristics, such as the existence of paths of walk or sports facilities.
PMID- 27211049
TI - Efficient On-Off Ratiometric Fluorescence Probe for Cyanide Ion Based on
Perturbation of the Interaction between Gold Nanoclusters and a Copper(II)
Phthalocyanine Complex.
AB - A new ratiometric fluorescent sensor was developed for the sensitive and
selective detection of cyanide ion (CN(-)) in aqueous media. The ratiometric
sensing system is based on CN(-) modulated recovery of copper(II) phthalocyanine
(Cu(PcTs)) fluorescence signal at the expense of diminished fluorescence
intensity of gold nanoclusters (AuNCs). Preliminary experiments revealed that the
AuNCs and Cu(PcTs) possess a turn-off effect on each other, the interaction of
which being verified through studying their interactions by principle component
analysis (PCA) and multivariate cure resolution-alternating least-squares (MCR
ALS) methods. In the presence of CN(-) anion, the AuNCs and Cu(PcTs) interaction
was perturbed, so that the fluorescence of Cu (PcTs), already quenched by AuNCs,
was found to be efficiently recovered, while the fluorescence intensity of AuNCs
was quenched via the formation of a stable [Au(CN)2](-) species. The ratiometric
variation of AuNCs and Cu(PcTs) fluorescence intensities leads to designing a
highly sensitive probe for CN(-) ion detection. Under the optimal conditions, CN(
) anion was detected without needing any etching time, over the concentration
range of 100 nM-220 MUM, with a detection limit of 75 nM, which is much lower
than the allowable level of CN(-) in water permitted by the World Health
Organization (WHO). Moreover, the detection of CN(-) was developed based on the
CN(-) effects on the blue and red florescent colors of Cu(PcTs) and AuNCs,
respectively. The designed probe displays a continuous color change from red to
blue by addition of CN(-), which can be clearly observed by the naked eye in the
range of 7-350 MUM, under UV lamp. The prepared AuNCs/Cu(PcTs) probe was
successfully utilized for the selective and sensitive determination of CN(-)
anion in two different types of natural water (Rodbal dam and rainwater) and also
in blood serum as a biological sample.
PMID- 27211050
TI - Goos-Hanchen shift of partially coherent light fields in epsilon-near-zero
metamaterials.
AB - The Goos-Hanchen (GH) shifts in the reflected light are investigated both for p
and s polarized partial coherent light beams incident on epsilon-near-zero (ENZ)
metamaterials. In contrary to the coherent counterparts, the magnitude of GH
shift becomes non-zero for p polarized partial coherent light beam; while GH
shift can be relatively large with a small degree of spatial coherence for s
polarized partial coherent beam. Dependence on the beam width and the
permittivity of ENZ metamaterials is also revealed for partial coherent light
fields. Our results on the GH shifts provide a direction on the applications for
partial coherent light sources in ENZ metamaterials.
PMID- 27211051
TI - From Genetic Predisposition to Molecular Mechanisms of Autoimmune Primary Adrenal
Insufficiency.
AB - Autoimmune Addison's disease (AAD) is a complex disease that results from the
interaction of a predisposing genetic background with still unknown environmental
factors. Pathogenic variants in the autoimmune regulator (AIRE) gene are
responsible for autoimmune polyendocrine syndrome type 1, of which AAD is a major
disease component. Among the genetic factors for isolated AAD and autoimmune
polyendocrine syndrome type 2, a key role is played by HLA class II genes: HLA
DRB1*0301-DQA1*0501-DQB1*0201 and DRB1*04-DQA1*0301-DQB1*0302 are positively, and
DRB1*0403 is negatively, associated with genetic risk for AAD. The MHC class I
chain-related gene A (MICA) allele 5.1 is strongly and positively associated with
AAD. Other gene polymorphisms contribute to the genetic risk for AAD, including
CIITA (MHC class II transactivator), the master regulator of MHC class II
expression, cytotoxic T-lymphocyte antigen-4 (CTLA-4), PTPN22, STAT4, PD-L1,
NALP1, FCRL3, GPR174, GATA3, NFATC1, CYP27B1 and the vitamin D receptor.
PMID- 27211052
TI - Editorial: Natural Leads in Drug Discovery against Metabolic Disorders and their
Related Infectious Diseases.
PMID- 27211056
TI - Specific Methylation of Asp160 (49 kDa subunit) Located inside the Quinone
Binding Cavity of Bovine Mitochondrial Complex I.
AB - Asp160 in the 49 kDa subunit of bovine mitochondrial complex I, which is located
in the inner part of the quinone binding cavity, is considered to be an essential
residue for energy conversion of the enzyme. To elucidate the catalytic function
of this residue, we attempted to specifically methylate 49 kDa Asp160 [Asp(COO)
CH3] through a ligand-directed tosyl (LDT) chemistry technique with an acetogenin
derivative (ALM) as a high-affinity ligand. We confirmed the specific methylation
of 49 kDa Asp160 through liquid chromatography-tandem mass spectrometry analysis
of the tryptic digests of the 49 kDa subunit. The binding affinity of a
quinazoline-type inhibitor ([(125)I]AzQ) occupying the quinone binding cavity was
not affected by methylation, indicating that this chemical modification does not
induce significant structural changes inside the quinone binding cavity. The
methylation of 49 kDa Asp160 did not lead to the complete loss of catalytic
activity; the modified enzyme retained partial electron transfer and proton
translocation activities. These results along with the fact that 49 kDa Asp160
elicits a very strong nucleophilicity against various LDT reagents in the local
protein environment strongly suggest that this residue is free from strict
interactions (such as electrostatic interaction) arising from nearby residue(s)
and is functionally important but not essential for the energy conversion of
complex I.
PMID- 27211055
TI - Distancing sedation in end-of-life care from physician-assisted suicide and
euthanasia.
AB - Lipuma equates continuous sedation until death (CSD) to physician-assisted
suicide/euthanasia (PAS/E) based on the premise that iatrogenic unconsciousness
negates social function and, thus, personhood, leaving a patient effectively
'dead'. Others have extrapolated upon this position further, to suggest that any
use of sedation and/or opioids at the end of life would be analogous to CSD and
thus tantamount to PAS/E. These posits sit diametrically opposite to standard end
of-life care practices. This paper will refute Lipuma's position and the posits
borne from it. We first show that prevailing end-of-life care guidelines require
proportional and monitored use of sedatives and/or opioids to attenuate fears
that the use of such treatment could hasten death. These guidelines also classify
CSD as a last resort treatment, employed only when symptoms prove intractable,
and not amenable to all standard treatment options. Furthermore, CSD is applied
only when deemed appropriate by a multidisciplinary palliative medicine team. We
also show that empirical data based on local views of personhood will discount
concerns that iatrogenic unconsciousness is tantamount to a loss of personhood
and death.
PMID- 27211057
TI - Penicillin skin testing in hospitalized patients with beta-lactam allergies:
Effect on antibiotic selection and cost.
AB - BACKGROUND: A history of a penicillin allergy generally leads to the use of broad
spectrum antibiotics that may increase complications and cost. OBJECTIVE: To
determine the cost-effectiveness of performing penicillin skin testing (PST).
METHODS: A retrospective analysis was conducted on adult inpatients with a beta
lactam allergy who underwent PST and oral challenge performed by an allergist.
The primary outcome was overall antibiotic cost savings for patients switched to
a beta-lactam antibiotic (BLA). Secondary outcomes included subsequent admissions
that required antibiotics and total number of days a BLA was prescribed. RESULTS:
Fifty patients had PST performed (mean age, 62 years). The most common beta
lactam allergy reported was penicillin (92%). Cutaneous reactions were reported
in 54% of patients, and 56% had a reaction more than 20 years ago. Fifty percent
of patients had aztreonam prescribed before PST. The results of PST were negative
in all patients, and 1 patient had anaphylactic symptoms during the oral
amoxicillin challenge (98% skin test or oral challenge negative). Thirty-seven
patients (75.5%) were changed to a BLA. Overall cost savings were $11,005 ($297
per patient switched to a BLA). There were 31 subsequent admissions that required
antibiotics for patients who tested negative on skin test and oral challenge. A
BLA was prescribed in 22 of 31 readmissions, totaling 147 days of BLA therapy.
CONCLUSION: After the implementation of a PST protocol, we observed a decrease in
non-BLA use in patients with previously documented beta-lactam allergy. PST is a
safe and cost-effective procedure to serve as a negative predictor test for
penicillin hypersensitivity mediated by IgE.
PMID- 27211058
TI - Seizures as a rare but serious adverse effect of leukotriene receptor.
PMID- 27211060
TI - A thermoresponsive poly(ionic liquid) membrane enables concentration of proteins
from aqueous media.
AB - A new type of poly(ionic liquid) membrane, which shows switchable hydrated states
via lower critical solution temperature-type phase behaviour, enables
concentration of some water-soluble proteins from aqueous media.
PMID- 27211059
TI - [Predictive factors of spinal deformity following surgery for intramedullary
tumors].
AB - INTRODUCTION: Spinal deformity following surgery for intramedullary tumors is a
serious potential complication. The aim of the study was to identify potential
predictive risk factors of spinal deformity after intramedullary tumor surgery.
MATERIAL AND METHODS: Retrospective study including patients harboring
intramedullary tumors who underwent surgery in a single center (Hopital Bicetre,
AP-HP, Paris) between 1985 and 2011. The main outcome was the difference at last
follow-up between post- and preoperative measure of Cobb angles formed by the
superior and inferior limits of the laminectomy (Delta_Cobb). RESULTS: Sixty
three patients included. Mean sagittal deformity was 15.9 degrees (0 degrees -77
degrees ) for a mean follow-up of 85 months (4-240). Univariate analysis of
potential predictive factors showed an increased deformity for patients
undergoing a 4-or-more levels laminectomy, (19.3 degrees versus 12.1 degrees ;
P=0.04), for patients aged less or equal to 30 years of age and when it included
a junction (20.8 degrees versus 12.4 degrees ; P=0.02). A neurological deficit,
either pre- or postoperatively, was not associated with a greater deformity.
Multivariate analysis showed that only age (P=0.01) and the number of spinal
levels involved (P=0.014) were significant and independent predictors of
postoperative deformity. CONCLUSION: This study indicates predictive factors of
spinal deformity in any patient undergoing surgery for intramedullary tumors,
allowing improvement in the planning of surgery and patients' follow-up.
PMID- 27211062
TI - Sustained lentiviral-mediated overexpression of microRNA124a in the dentate gyrus
exacerbates anxiety- and autism-like behaviors associated with neonatal isolation
in rats.
AB - Autism spectrum disorders (ASD) are highly disabling psychiatric disorders.
Despite a strong genetic etiology, there are no efficient therapeutic
interventions that target the core symptoms of ASD. Emerging evidence suggests
that dysfunction of microRNA (miR) machinery may contribute to the underlying
molecular mechanisms involved in ASD. Here, we report a stress model
demonstrating that neonatal isolation-induced long-lasting hippocampal elevation
of miR124a was associated with reduced expression of its target BDNF mRNA. In
addition, we investigated the impact of lentiviral-mediated overexpression of
miR124a into the dentate gyrus (DG) on social interaction, repetitive- and
anxiety-like behaviors in the neonatal isolation (Iso) model of autism. Rats
isolated from the dams on PND 1 to PND 11 were assessed for their social
interaction, marble burying test (MBT) and repetitive self-grooming behaviors as
adults following miR124a overexpression. Also, anxiety-like behavior and
locomotion were evaluated in the elevated plus maze (EPM) and open-field (OF)
tests. Results show that, consistent with previously published reports, Iso rats
displayed decreased social interaction contacts but increased repetitive- and
anxiety-like behaviors. Interestingly, across both autism- and anxiety-like
behavioral assays, miR124a overexpression in the DG significantly exacerbated
repetitive behaviors, social impairments and anxiety with no effect on locomotor
activity. Our novel findings attribute neonatal isolation-inducible cognitive
impairments to induction of miR124a and consequently suppressed BDNF mRNA,
opening venues for intercepting these miR124a-mediated damages. They also
highlight the importance of studying microRNAs in the context of ASD and identify
miR124a as a novel potential therapeutic target for improving mood disorders.
PMID- 27211061
TI - Effects of HIV/TAT protein expression and chronic selegiline treatment on spatial
memory, reversal learning and neurotransmitter levels in mice.
AB - Neurotoxic viral protein TAT may contribute to deficits in dopaminergic and
cognitive function in individuals infected with human immunodeficiency virus.
Transgenic mice with brain-specific doxycycline-induced TAT expression (TAT+, TAT
control) show impaired cognition. However, previously reported TAT-induced
deficits in reversal learning may be compromised by initial learning deficits. We
investigated the effects of TAT expression on memory retention/recall and
reversal learning, and neurotransmitter function. We also investigated if TAT
induced effects can be reversed by improving dopamine function with selegiline, a
monoamine oxidase inhibitor. Mice were tested in the Barnes maze and TAT
expression was induced after the task acquisition. Selegiline treatment continued
throughout behavioral testing. Dopamine, serotonin and glutamate tissue levels in
the prefrontal/orbitofrontal cortex, hippocampus and caudate putamen were
measured using high performance liquid chromatography. Neither TAT expression nor
selegiline altered memory retention. On day 2 of reversal learning testing, TAT+
mice made fewer errors and used more efficient search strategies than TAT- mice.
TAT expression decreased dopamine turnover in the caudate putamen, increased
serotonin turnover in the hippocampus and tended to increase the conversion of
glutamate to glutamine in all regions. Selegiline decreased dopamine and
serotonin metabolism in all regions and increased glutamate levels in the caudate
putamen. In the absence of impaired learning, TAT expression does not impair
spatial memory retention/recall, and actually facilitates reversal learning.
Selegiline-induced increases in dopamine metabolism did not affect cognitive
function. These findings suggest that TAT-induced alterations in glutamate
signaling, but not alterations in monoamine metabolism, may underlie the
facilitation of reversal learning.
PMID- 27211064
TI - [Cardiac sarcoidosis: Diagnosis and therapeutic challenges].
AB - Sarcoidosis is a granulomatous disorder of unknown cause characterized by non
caseating granuloma in young adults. Cardiac involvement is rare and range from 2
to 75% depending on diagnostic criteria. Cardiac involvement in sarcoidosis may
be asymptomatic or may manifest as rhythm/conduction troubles or congestive heart
failure. The diagnosis and treatment of cardiac sarcoidosis may be challenging.
However, advances have come in recent years from the use of cardiac MRI and 18FDG
TEP scanner, as well as from the stratification of the risk of ventricular
tachycardia/fibrillation. Due to the rarity of the disease, there is no reliable
prospective large study to guide therapeutic strategy for cardiac sarcoidosis.
Corticosteroids are probably efficacious, in particular in case of atrio
ventricular block or moderate heart failure. Immunosuppressive drugs have not
been largely studied but methotrexate could be helpful. In refractory forms, TNF
alpha antagonists have been used with success.
PMID- 27211063
TI - Off-target potential of AMN082 on sleep EEG and related physiological variables:
Evidence from mGluR7 (-/-) mice.
AB - The metabotropic glutamate receptor type 7 (mGluR7), a most abundant presynaptic
G protein-coupled receptor in the brain provides an attractive mechanism to fast
fine-tune abnormal excitatory neurotransmission and synaptic plasticity
associated with emotional and cognitive impairments in neuropsychiatric and
neurodegenerative disorders. Preclinical studies using AMN082, the mGluR7
allosteric agonist, produced conflicting results, so that results of further in
vivo studies are needed. Here, we investigated effects of subcutaneous
administration at the lights onset of AMN082 on sleep-wake architecture and
spectral contents in rats. In an attempt to solve the reported mixed results, we
estimated the specific functional effects in mGluR7 (-/-) mice and their wild
type (WT) littermates. In rats, AMN082 (2.5mg/kg) elicited a primary waking
effect over the first 2h post-administration by consistent increases in the
number of waking bouts and transitions from sleep states towards wakefulness. In
mice, baseline recordings over 72h showed comparable spontaneous sleep-wake cycle
in mGluR7 (-/-) mice and their WT littermates, suggesting that mGluR7 is not
involved in the regulation of vigilance states. Remarkably, cortical arousal
properties of AMN082 were confirmed in WT mice, and occurred concomitantly with a
marked decrease in body temperature, likely dissociated from locomotor activity.
Surprisingly, the wake arousal and hypothermia effects of AMN082 were also
observed in mGluR7 (-/-) mice. AMN082 significantly attenuated the slow wave
activity during sleep and had no effect on waking EEG power in the 4-50Hz range.
The present findings in rats do not lend support to proposed somnogenic effects
of AMN082, while comparable responses in WT and mGluR7 (-/-) mice provide further
evidence of an off-target action of AMN082 that contributes to its waking
properties.
PMID- 27211066
TI - Cancer genetics: Oncohistone pathology explained.
PMID- 27211065
TI - Screening for Frailty in Canada's Health Care System: A Time for Action.
AB - As Canada's population ages, frailty - with its increased risk of functional
decline, deterioration in health status, and death - will become increasingly
common. The physiology of frailty reflects its multisystem, multi-organ origins.
About a quarter of Canadians over age 65 are frail, increasing to over half in
those older than 85. Our health care system is organized around single-organ
systems, impairing our ability to effectively treat people having multiple
disorders and functional limitations. To address frailty, we must recognize when
it occurs, increase awareness of its significance, develop holistic models of
care, and generate better evidence for its treatment. Recognizing how frailty
impacts lifespan will allow for integration of care goals into treatment options.
Different settings in the Canadian health care system will require different
strategies and tools to assess frailty. Given the magnitude of challenges frailty
poses for the health care system as currently organized, policy changes will be
essential.
PMID- 27211068
TI - Genetic engineering: A new player in genome editing.
PMID- 27211067
TI - Chromatin: Cracking the nucleosome code.
PMID- 27211069
TI - Genetic variation: Genetic associations with a social science outcome.
PMID- 27211070
TI - What can trigger the onset of Parkinson's disease - A modeling study based on a
compartmental model of alpha-synuclein transport and aggregation in neurons.
AB - The aim of this paper is to develop a minimal model describing events leading to
the onset of Parkinson's disease (PD). The model accounts for alpha-synuclein
(alpha-syn) production in the soma, transport toward the synapse, misfolding, and
aggregation. The production and aggregation of polymeric alpha-syn is simulated
using a minimalistic 2-step Finke-Watzky model. We utilized the developed model
to analyze what changes in a healthy neuron are likely to lead to the onset of
alpha-syn aggregation. We checked the effects of interruption of alpha-syn
transport toward the synapse, entry of misfolded (infectious) alpha-syn into the
somatic and synaptic compartments, increasing the rate of alpha-syn synthesis in
the soma, and failure of alpha-syn degradation machinery. Our model suggests that
failure of alpha-syn degradation machinery is probably the most likely cause for
the onset of alpha-syn aggregation leading to PD.
PMID- 27211071
TI - The 1,000-Day Window and Cognitive Development.
PMID- 27211073
TI - Complete genome sequence of Vibrio alginolyticus ATCC 33787(T) isolated from
seawater with three native megaplasmids.
AB - Vibrio alginolyticus, an opportunistic pathogen, is commonly associated with
vibriosis in fish and shellfish and can also cause superficial and ear infections
in humans. V. alginolyticus ATCC 33787(T) was originally isolated from seawater
and has been used as one of the type strains for exploring the virulence factors
of marine bacteria and for developing vaccine against vibriosis. Here we
sequenced and assembled the whole genome of this strain, and identified three
megaplasmids and three Type VI secretion systems, thus providing useful
information for the study of virulence factors and for the development of vaccine
for Vibrio.
PMID- 27211072
TI - VEGF-D-enhanced lymph node metastasis of ovarian cancer is reversed by vesicular
stomatitis virus matrix protein.
AB - Lymphatic metastasis is a poor prognostic factor in ovarian cancer, which
correlates to the majority of cancer deaths. Matrix protein (MP) of vesicular
stomatitis virus (VSV) exhibits potent antitumor and antiangiogenic activities
through inducing apoptosis and inhibiting angiogenesis. In this study, the
antitumor and antimetastatic effects of MP were further investigated. Wild-type
SKOV3 (WT-SK) cells were successfully transfected with empty vector pcDNA3.1
plasmid, or pcDNA3.1-VEGF-D recombinant plasmid to construct cell lines named EV
SK, and VEGFD-SK, respectively. Inhibition of VEGFD-SK cell migration and
invasion was detected by Transwell and wound healing assay. Then, lymphogenous
metastatic model of ovarian cancer was established by injecting VEGFD-SK cells
subcutaneously into the left hindlimb claw pad of nude mice. The inducted
apoptotic effect of MP on VEGFD-SK cells were assessed by flow analysis and
Hoechst-33258 staining, respectively, in vitro. The in vivo antitumor and
antiangiogenic activities of MP gene were evaluated with lymphogenous metastatic
model of ovarian cancer. Tumor volume and lymphatic metastasis rates were
measured. Lymphatic vessels were delineated using Evan's blue and LYVE-1
staining. Expression of VEGF-D and MMP-2 were evaluated by immunostaining.
Apoptosis of tumor cells was analyzed by Hoechst-33258 staining. Mice bearing
VEGFD-SK tumor cells displayed more rapid tumorigenesis, higher lymphogenous
metastatic tendency and increased lymphatic vessel density compared with the mice
bearing WT-SK or EV-SK cells. However, VEGF-D-enhanced metastasis was evidently
reversed by MP. MP significantly reduced the invasion of VEGFD-SK cells, tumor
volume, lymphatic metastasis rates and lymphatic vessel density compared with
control groups (P<0.05), accompanied with down-expression of VEGF-D and MMP-2 and
increased apoptosis. Our data indicate that MP has strong antitumor and
antimetastatic abilities, and it may be a promising therapeutic strategy against
the lymphatic metastasis of human ovarian cancer.
PMID- 27211074
TI - Reliability of lumbar movement dysfunction tests for chronic low back pain
patients; methodological concerns to avoid misinterpretation.
PMID- 27211075
TI - DNA immunization combined with scFv phage display identifies antagonistic GCGR
specific antibodies and reveals new epitopes on the small extracellular loops.
AB - The identification of functional monoclonal antibodies directed against G-protein
coupled receptors (GPCRs) is challenging because of the membrane-embedded
topology of these molecules. Here, we report the successful combination of llama
DNA immunization with scFv-phage display and selections using virus-like
particles (VLP) and the recombinant extracellular domain of the GPCR glucagon
receptor (GCGR), resulting in glucagon receptor-specific antagonistic antibodies.
By immunizing outbred llamas with plasmid DNA containing the human GCGR gene, we
sought to provoke their immune system, which generated a high IgG1 response.
Phage selections on VLPs allowed the identification of mAbs against the
extracellular loop regions (ECL) of GCGR, in addition to multiple VH families
interacting with the extracellular domain (ECD) of GCGR. Identifying mAbs binding
to the ECL regions of GCGR is challenging because the large ECD covers the small
ECLs in the energetically most favorable 'closed conformation' of GCGR.
Comparison of Fab with scFv-phage display demonstrated that the multivalent
nature of scFv display is essential for the identification of GCGR specific
clones by selections on VLPs because of avid interaction. Ten different VH
families that bound 5 different epitopes on the ECD of GCGR were derived from
only 2 DNA-immunized llamas. Seven VH families demonstrated interference with
glucagon-mediated cAMP increase. This combination of technologies proved
applicable in identifying multiple functional binders in the class B GPCR
context, suggesting it is a robust approach for tackling difficult membrane
proteins.
PMID- 27211076
TI - The independent contribution of miRNAs to the missing heritability in CYP3A4/5
functionality and the metabolism of atorvastatin.
AB - To evaluate the independent contribution of miRNAs to the missing heritability in
CYP3A4/5 functionality and atorvastatin metabolism, the relationships among three
levels of factors, namely (1) clinical characteristics, CYP3A4/5 genotypes, and
miRNAs, (2) CYP3A4 and CYP3A5 mRNAs, and (3) CYP3A activity, as well as their
individual impacts on atorvastatin metabolism, were assessed in 55 human liver
tissues. MiR-27b, miR-206, and CYP3A4 mRNA respectively accounted for 20.0%,
5.8%, and 9.5% of the interindividual variations in CYP3A activity. MiR-142 was
an independent contributor to the expressions of CYP3A4 mRNA (partial R(2) =
0.12, P = 0.002) and CYP3A5 mRNA (partial R(2) = 0.09, P = 0.005) but not CYP3A
activity or atorvastatin metabolism. CYP3A activity was a unique independent
predictor of variability of atorvastatin metabolism, explaining the majority of
the variance in reduction of atorvastatin (60.0%) and formation of ortho-hydroxy
atorvastatin (78.8%) and para-hydroxy atorvastatin (83.9%). MiR-27b and miR-206
were found to repress CYP3A4 gene expression and CYP3A activity by directly
binding to CYP3A4 3'-UTR, while miR-142 was found to indirectly repress CYP3A
activity. Our study indicates that miRNAs play significant roles in bridging the
gap between epigenetic effects and missing heritability in CYP3A functionality.
PMID- 27211077
TI - Prevalence and risk factors for venous thromboembolic complications in the Swiss
Inflammatory Bowel Disease Cohort.
AB - OBJECTIVE: Inflammatory bowel disease (IBD), including Crohn's disease (CD) and
ulcerative colitis (UC), is associated with the occurrence of venous
thromboembolism (VTE) such as deep vein thrombosis (DVT) and pulmonary embolism
(PE). We aimed to assess the prevalence and associated risk factors for VTE in a
large national cohort of IBD patients. MATERIAL AND METHODS: Data from patients
of the Swiss IBD Cohort Study (SIBDCS) enrolled between 2006 and 2013 were
analyzed. RESULTS: A total of 2284 IBD patients were analyzed of which 1324
suffered from CD and 960 from UC. VTE prevalence was 3.9% (90/2284) overall and
3.4% (45/1324) in CD patients (whereof 2.4% suffered from DVT and 1.5% from PE)
and 4.7% (45/960) in UC patients (whereof 3.2% suffered from DVT and 2.4% from
PE). Median disease duration in CD patients with VTE was 12 years [IQR 8-23]
compared to eight years [3-16] in CD patients without VTE (p = 0.001). Disease
duration in UC patients with VTE was seven years [4-18] compared to six years [2
13] in UC patients without VTE (p = 0.051). Age at CD diagnosis >=40 years (OR
1.851, p = 0.073) and disease duration >10 years (OR 1.771, p = 0.088) showed a
trend to be associated with VTE. In UC patients, IBD-related surgery (OR 3.396, p
= 0.004) and pancolitis (OR 1.927, p = 0.050) were significantly associated with
VTE. CONCLUSIONS: VTE are prevalent in CD and UC patients. Pancolitis and UC
related surgery are significantly associated with VTE in UC patients.
PMID- 27211079
TI - Preventing cervical cancer and genital warts - How much protection is enough for
HPV vaccines?
AB - HPV associated disease is a global health problem: 5.2% of all cancers are HPV
associated with HPV 16 and 18 accounting for 70% of cases of cervical cancer.
Genital warts caused by HPV 6 and 11 have a lifetime risk of acquisition of 10%.
HPV vaccines are subunit vaccines consisting of virus like particles comprised of
the L1 major capsid protein. Two vaccines have been licenced since 2006/2007 and
are in the National Immunisation programmes in 62 countries. Both vaccines
include HPV 16 and 18 VLPs and one also includes HPV 6 and 11. The vaccines are
highly immunogenic and well tolerated. Genital HPV is a sexually transmitted
infection with peak incidence occurring just after the onset of sexual activity
and the routine cohort for immunisation in almost all countries are adolescent
girls 9-15 years of age with or without catch up for older adolescents and young
women. Population effectiveness is now being demonstrated for these vaccines in
countries with high vaccine coverage. HPV vaccines are highly immunogenic and
effective and the original 3 dose schedules have already been reduced, for those
14 years and under, to 2 for both licenced vaccines. There is preliminary
evidence that 1 dose of vaccine is as effective as 2 or 3 in preventing
persistent HPV infection in the cervix in young women and further reductions in
dosage may be possible if supported by appropriate virological, immunological and
modelling studies.
PMID- 27211080
TI - Electron-beam induced synthesis of nanostructures: a review.
AB - As the success of nanostructures grows in modern society so does the importance
of our ability to control their synthesis in precise manners, often with atomic
precision as this can directly affect the final properties of the nanostructures.
Hence it is crucial to have both deep insight, ideally with real-time temporal
resolution, and precise control during the fabrication of nanomaterials.
Transmission electron microscopy offers these attributes potentially providing
atomic resolution with near real time temporal resolution. In addition, one can
fabricate nanostructures in situ in a TEM. This can be achieved with the use of
environmental electron microscopes and/or specialized specimen holders. A rather
simpler and rapidly growing approach is to take advantage of the imaging electron
beam as a tool for in situ reactions. This is possible because there is a wealth
of electron specimen interactions, which, when implemented under controlled
conditions, enable different approaches to fabricate nanostructures. Moreover,
when using the electron beam to drive reactions no specialized specimen holders
or peripheral equipment is required. This review is dedicated to explore the body
of work available on electron-beam induced synthesis techniques with in situ
capabilities. Particular emphasis is placed on the electron beam-induced
synthesis of nanostructures conducted inside a TEM, viz. the e-beam is the sole
(or primary) agent triggering and driving the synthesis process.
PMID- 27211078
TI - Selective serotonin 5-HT1A receptor biased agonists elicitdistinct brain
activation patterns: a pharmacoMRI study.
AB - Serotonin 1A (5-HT1A) receptors are involved in several physiological and
pathological processes and constitute therefore an important therapeutic target.
The recent pharmacological concept of biased agonism asserts that highly
selective agonists can preferentially direct receptor signaling to specific
intracellular responses, opening the possibility of drugs targeting a receptor
subtype in specific brain regions. The present study brings additional support to
this concept thanks to functional magnetic resonance imaging (7 Tesla-fMRI) in
anaesthetized rats. Three 5-HT1A receptor agonists (8-OH-DPAT, F13714 and F15599)
and one 5-HT1A receptor antagonist (MPPF) were compared in terms of influence on
the brain blood oxygen level-dependent (BOLD) signal. Our study revealed for the
first time contrasting BOLD signal patterns of biased agonists in comparison to a
classical agonist and a silent antagonist. By providing functional information on
the influence of pharmacological activation of 5-HT1A receptors in specific brain
regions, this neuroimaging approach, translatable to the clinic, promises to be
useful in exploring the new concept of biased agonism in neuropsychopharmacology.
PMID- 27211081
TI - Regulatory parameters of the lung immune response during the early phase of
experimental trichinellosis.
AB - Parasitic infection caused by Trichinella spiralis provokes an early stimulation
of the mucosal immune system which causes an allergic inflammatory response in
the lungs. The present work was intended to characterize the kinetics of
emergence of regulatory parameters in Wistar rat lungs during this early
inflammatory response, between days 0 and 13p.i. The presence of regulatory cells
such as regulatory T cells (Tregs) and alternatively activated macrophages (AAM)
was analyzed in lung cell suspensions. Moreover, a regulatory cytokine (TGF-beta)
was studied in lung tissue extracts. Considering that newborn larvae (NBL) travel
along the pulmonary microvasculature, the ability of this parasite stage to
modulate the activation of lung macrophages was evaluated. For this purpose, lung
macrophages from non-infected or infected rats (day 6p.i.) were cultured with
live or dead NBL. Arginase activity (characteristic of AAM) and nitric oxide (NO
produced by iNOS, characteristic of classical activated macrophages) were
measured after 48h. Our results revealed a significant increase in the percentage
of Tregs on days 6 and 13p.i., arginase activity on day 13p.i. and TGF-beta
levels on days 6 and 13p.i. Lung macrophages from non-infected rats cultured with
live NBL showed a significant increase in arginase activity and NO levels. Live
and dead NBL induced a significant increase in arginase activity in lung
macrophages from infected rats. Only live NBL significantly increased NO levels
in these macrophages. The present work demonstrates for the first time, the
emergence of regulatory parameters in the early lung immune response during T.
spiralis infection. The immumodulatory properties exerted by NBL during its
passage through this organ could be the cause of such regulation. Moreover, we
have shown the ability of NBL to activate macrophages from the lung parenchyma by
the classical and alternative pathways.
PMID- 27211082
TI - Calcium plus vitamin D supplementation during pregnancy interacts with
polymorphisms in the promoter region of the VDR gene to affect postpartum bone
mass of Brazilian adolescent mothers: A randomized controlled trial.
AB - OBJECTIVE: We investigated whether calcium plus vitamin D supplementation
interacts with polymorphisms in the VDR gene promoter region to affect changes on
maternal bone mass from 5 to 20 wk postpartum in Brazilian adolescent mothers.
METHODS: Pregnant adolescents (14-19 y) randomly received calcium plus
cholecalciferol (600 mg/d + 200 IU/d, n = 30) or placebo (n = 26) from 26 wk of
pregnancy until parturition. Bone mineral content (BMC), bone area (BA), and bone
mineral density (BMD) at total body, lumbar spine, total hip, and femoral neck
were evaluated at 5 and 20 wk postpartum. Serum 25-hydroxyvitamin D (25[OH]D) and
parathyroid hormone concentrations were measured. Real-time polymerase chain
reaction was used for genotyping rs7139166 (1521 pb G > C) and rs4516035 (1012 pb
A > G). Interactions between supplementation and polymorphisms were adjusted for
significant covariates. RESULTS: Changes in serum 25(OH)D from pregnancy to
postpartum differed between supplemented and placebo groups for mothers carrying
1521 GG/1012 AA genotypes (P = 0.004). Only in the placebo group, mothers
carrying 1521 GG/1012 AA had greater reduction in total BMD z score, femoral neck
BMC, and BMD from 5 to 20 wk postpartum compared with those with 1521 GC/1012 AG
(P < 0.05). In the placebo group, total hip BA decreased from 5 to 20 wk
postpartum in adolescents with 1521 GG/1012 AA, but increased in those with 1521
GC/1012 AG (P < 0.05), in contrast to the supplemented group. CONCLUSION: Calcium
plus vitamin D supplementation during pregnancy interacted with polymorphisms in
the VDR gene promoter region affecting postpartum bone loss. The increased supply
of calcium and vitamin D appeared to minimize postpartum bone loss particularly
in adolescents with 1521 GG/1012 AA.
PMID- 27211083
TI - [Estimation of the Average Glandular Dose Using the Mammary Gland Image Analysis
in Mammography].
AB - Currently, the glandular dose is evaluated quantitatively on the basis of the
measured data using phantom, and not in a dose based on the mammary gland
structure of an individual patient. However, mammary gland structures of the
patients are different from each other and mammary gland dose of an individual
patient cannot be obtained by the existing methods. In this study, we present an
automated estimation method of mammary gland dose by means of mammary structure
which is measured automatically using mammogram. In this method, mammary gland
structure is extracted by Gabor filter; mammary region is segmented by the
automated thresholding. For the evaluation, mammograms of 100 patients diagnosed
with category 1 were collected. Using these mammograms we compared the mammary
gland ratio measured by proposed method and visual evaluation. As a result, 78%
of the total cases were matched. Furthermore, the mammary gland ratio and average
glandular dose among the patients with same breast thickness was matched well.
These results show that the proposed method may be useful for the estimation of
average glandular dose for the individual patients.
PMID- 27211084
TI - [Analysis of Scattered Radiation in an Irradiated Body by Means of the Monte
Carlo Simulation: Back-scatter Factors of Diagnostic X-rays in the Incident
Surface Which is Not Flat].
AB - To obtain patient entrance surface dose in X-ray photography, a calculation
method based on measured exposure or air kerma radiated from X-ray tube is
generally used. Two factors are necessary for this calculation: (1) exposure/air
kerma to absorb dose conversion factor and (2) back-scatter factor (BSF) based on
X-ray quality and on field size. These BSFs are commonly obtained by
interpolation from existent data which were given for a water phantom whose
entrance surface is flat. Since patient's surface in X-ray photograph is not
flat, some error may occur when existent BSF is used in this calculation. In this
article, BSF for water phantom with cylindrical surface and elliptic cylinder
surface were calculated by means of the Monte Carlo simulation. And these BSFs
were compared with BSF for flat surface phantom. As a result (1) radius of
curvature of cylindrical phantom or horizontal axis of elliptic cylinder phantom
is smaller, (2) half value layer of X-ray is larger, (3) field size is larger,
difference of these BSF with that for flat surface phantom tends to be larger.
Maximum difference by calculation condition assumed in this article was more than
10%. The cause of this difference is because scattering volume in irradiated body
of cylindrical or elliptic cylinder phantom is smaller than flat surface phantom.
To obtain patient entrance surface dose more precisely, it is necessary to use
BSF respectively calculated for phantom resembling patient's body such as
cylindrical or elliptic cylinder phantom by means of the Monte Carlo simulation.
PMID- 27211085
TI - [Quantitative Evaluation of Metal Artifacts on CT Images on the Basis of
Statistics of Extremes].
AB - It is well-known that metal artifacts have a harmful effect on the image quality
of computed tomography (CT) images. However, the physical property remains still
unknown. In this study, we investigated the relationship between metal artifacts
and tube currents using statistics of extremes. A commercially available phantom
for measuring CT dose index 160 mm in diameter was prepared and a brass rod 13 mm
in diameter was placed at the centerline of the phantom. This phantom was used as
a target object to evaluate metal artifacts and was scanned using an area
detector CT scanner with various tube currents under a constant tube voltage of
120 kV. Sixty parallel line segments with a length of 100 pixels were placed to
cross metal artifacts on CT images and the largest difference between two
adjacent CT values in each of 60 CT value profiles of these line segments was
employed as a feature variable for measuring metal artifacts; these feature
variables were analyzed on the basis of extreme value theory. The CT value
variation induced by metal artifacts was statistically characterized by Gumbel
distribution, which was one of the extreme value distributions; namely, metal
artifacts have the same statistical characteristic as streak artifacts.
Therefore, Gumbel evaluation method makes it possible to analyze not only streak
artifacts but also metal artifacts. Furthermore, the location parameter in Gumbel
distribution was shown to be in inverse proportion to the square root of a tube
current. This result suggested that metal artifacts have the same dose dependence
as image noises.
PMID- 27211086
TI - [Evaluation of Measurement Accuracy and Inter-institutional Comparison for Dose
Calibrators].
AB - PURPOSE: The aim of this study was to validate the reliability of dose
calibrators for measuring the radioactivity of several radioisotopes in multi
institution. METHODS: We evaluated the measurement accuracy of dose calibrators
using a commercially available source ((67) Ga, (99m) Tc, (123) I, (201) TL).
Nine dose calibrators (five models) in seven institutions were performed in this
study. Each source was measured at least 3 times a day over a period of 4 half
life. Linearity of concentration (%error value) and percent difference values
(%diff measurement) between measured and estimated radioactivity were calculated
to evaluate the measurement accuracy. In addition, difference among institutions
(%diff institution) was evaluated by the error values between measured and
reference institution values. RESULTS: Good linearity of concentration was found
between measured and estimated radioactivity in (99m)Tc and (123)I. However,
%error value was increased in (67)Ga and (201)TL (maximum 19.3%). %diff
measurements were 1.9 +/- 0.3% for (67)Ga, -0.9 +/- 0.3% for (99m)Tc, 2.2 +/-
0.4% for (123)I, and -0.7 +/- 0.3% for (201)TL, respectively. Although there were
no clear differences in six institutions, %diff institution in one institution
tended to be higher than that obtained in other institutions. CONCLUSIONS: Our
results indicated that measurement accuracy of nine dose calibrators (five
models) was relatively stable. However, difference of measured values tended to
be higher in a part of institution and source. It is important to perform quality
assurance and quality control for dose calibrator using traceable source.
PMID- 27211087
TI - [Visualization of Anterolateral Ligament of the Knee Using 3D Reconstructed
Variable Refocus Flip Angle-Turbo Spin Echo T2 Weighted Image].
AB - Anterolateral ligament (ALL) is one of the lateral structures in the knee that
contributes to the internal rotational stability of tibia. ALL has been referred
to in some recent reports to re-emphasize its importance. We visualized the ALL
on 3D-MRI in 32 knees of 27 healthy volunteers (23 male knees, 4 female knees;
mean age: 37 years). 3D-MRIs were performed using 1.5-T scanner [T(2) weighted
image (WI), SPACE: Sampling Perfection with Application optimized Contrast using
different flip angle Evolutions] in the knee extended positions. The
visualization rate of the ALL, the mean angle to the lateral collateral ligament
(LCL), and the width and the thickness of the ALL at the joint level were
investigated. The visualization rate was 100%. The mean angle to the LCL was 10.6
degrees. The mean width and the mean thickness of the ALL were 6.4 mm and 1.0 mm,
respectively. The ALL is a very thin ligament with a somewhat oblique course
between the lateral femoral epicondyle and the mid-third area of lateral tibial
condyle. Therefore, the slice thickness and the slice angle can easily affect the
ALL visualization. 3D-MRI enables acquiring thin-slice imaging data over a
relatively short time, and arbitrary sections aligned with the course of the ALL
can later be selected.
PMID- 27211088
TI - [Influence of Detector Radiation Damage on CR Mammography Quality Control].
AB - Recently, radiation damage to the detector apparatus employed in computed
radiography (CR) mammography has become problematic. The CR system and the
imaging plate (IP) applied to quality control (QC) program were also used in
clinical mammography in our hospital, and the IP to which radiation damage has
occurred was used for approximately 5 years (approximately 13,000 exposures). We
considered using previously acquired QC image data, which is stored in a server,
to investigate the influence of radiation damage to an IP. The mammography unit
employed in this study was a phase contrast mammography (PCM) Mermaid (KONICA
MINOLTA) system. The QC image was made newly, and it was output in the film, and
thereafter the optical density of the step-phantom image was measured. An input
(digital value)-output (optical density) conversion curve was plotted using the
obtained data. The digital values were then converted to optical density values
using a reference optical density vs. digital value curve. When a high radiation
dose was applied directly, radiation damage occurred at a position on the IP
where no object was present. Daily QC for mammography is conducted using an
American College of Radiology (ACR) accreditation phantom and acrylic disc, and
an environmental background density measurement is performed as one of the
management indexes. In this study, the radiation damage sustained by the acrylic
disc was shown to differ from that of the background. Thus, it was revealed that
QC results are influenced by radiation damage.
PMID- 27211089
TI - [2. Research Ethics].
PMID- 27211090
TI - [5. Inspection of Hepatocellular Carcinoma 3-Contrast for the Diagnosis of
Hepatocellular Carcinoma: Techniques of Image Contrast and the Choice of MR
Contrast Agent].
PMID- 27211091
TI - [Introduction of MRC and the Maintenance Engineer Authorization System].
PMID- 27211092
TI - [Erratum].
PMID- 27211093
TI - [Don't Be Afraid of Making Mistakes in English].
PMID- 27211094
TI - Radiostrontium monitoring of bivalves from the Pacific coast of eastern Japan.
AB - In early April 2011, radiostrontium was accidentally released from the Fukushima
Daiichi Nuclear Power Plant to the Pacific coast of eastern Japan. We developed a
simple procedure to analyze radiostrontium levels in marine mussels (Septifer
virgatus) and seawater using crown ether (Sr Resin; Eichrom). Then, we used our
method to describe the spatial and temporal distribution of radiostrontium in
mussels and seawater on the Pacific coast of eastern Japan from 2011 to 2013 and
for 2015. Activity of (90)Sr in mussels and seawater decreased with distance from
the Fukushima Daiichi Nuclear Power Plant and between 2011 and 2013 tended to be
higher in areas south of the Fukushima Daiichi Nuclear Power Plant than to the
north of it. Activity in mussels and seawater also tended to decrease from 2011
to 2013 and by 2015 had reached levels experienced prior to the Fukushima
accident. Our results suggest that radiostrontium discharged from the Fukushima
Daiichi Nuclear Power Plant was dispersed by coastal currents in a southerly
direction along the Pacific coast of eastern Japan from 2011 to 2013, following
which its activity decreased to background levels by 2015.
PMID- 27211095
TI - Metal concentrations in water, sediment and three fish species from the Danube
River, Serbia: a cause for environmental concern.
AB - The aim of this study was to investigate the presence of metal contamination in
water, sediments and three different fish species. All samples were taken from
the Danube River in Belgrade Region, a location upstream from Grocka.
Concentrations of Cd, Hg and Pb in water samples were not detected, while
concentrations of Zn, Fe, Cu and As were in the range of 0.004-0.41 mg L(-1).
Iron was the most deposited metal in sediment samples (17,530.00 mg kg(-1)). For
the purpose of heavy metal determination in fish tissue, silver carp, common carp
and wels catfish were collected. Concentrations of Pb, Cd and As were determined
in muscle, digestive tract and liver by inductively coupled plasma-optical
emission spectrophotometry (IPC-OES). The highest concentration of Pb was in the
digestive tract of all three fish species, while Cd was mostly deposited in the
liver. The highest concentration of Hg was in the muscle tissue of wels catfish,
and these values are above the maximum residual levels prescribed by the European
Union and the maximum allowed concentrations (MACs) for Serbia. Concentration of
As was mostly deposited in the liver, but under the MAC.
PMID- 27211097
TI - Nomenclature for factors of the HLA system, update January 2016.
PMID- 27211096
TI - Monitoring of polycyclic aromatic hydrocarbons in a produced water disposal area
in the Potiguar Basin, Brazilian equatorial margin.
AB - The Potiguar Basin has oil and gas production fields offshore and onshore. All
treated produced water (PW) from these fields is discharged through submarine
outfalls. Although polycyclic aromatic hydrocarbons (PAHs) are minor constituents
of PW, their input into the marine ecosystem is environmentally critical due to
potential ecological hazards. A 2-year monitoring program was conducted in the
vicinity of the outfalls to evaluate PAH bioaccumulation in marine life from PW
discharges. The study was performed using transplanted bivalves Crassostrea
brasiliana and semipermeable membrane devices (SPMDs) to measure PAH
concentrations via bioaccumulation and in seawater. The bioaccumulation of PAH in
transplanted bivalves reached up to 1105 ng g(-1) in the vicinity of the
monitored outfall. Significantly lower PAH concentrations were found in the
reference area in comparison to the studied area around the outfalls. Time
integrated PAH concentrations in seawater ranged from 38 to 0.3 ng L(-1) near the
outfalls and from 10 ng L(-1) to not detected in the reference area. Both
measurement techniques were found to be effective for determining a gradient of
descending PAH concentrations from the outfalls. In addition, this study also
evaluated the bioavailability of PAH for local marine biota and provided
information about the influence of PW discharges on the water quality of marine
ecosystems.
PMID- 27211098
TI - Nomenclature for factors of the HLA system, update March 2016.
PMID- 27211099
TI - Nomenclature for factors of the HLA system, update February 2016.
PMID- 27211100
TI - Current patterns of marijuana use initiation by age among US adolescents and
emerging adults: implications for intervention.
AB - BACKGROUND: More recent data are required for effective measures to prevent
marijuana use among youth in the United States. OBJECTIVE: To investigate the
risk of marijuana use onset by age using the most recent data from a national
sample. METHODS: Data for participants (n = 26,659) aged 12-21 years from the
2013 National Survey on Drug Use and Health (NSDUH) (50.4% male, 55.6% White)
were analyzed. Patterns of the risk of marijuana use initiation from birth to age
of 20 years by single year of age were characterized using hazards survival
models. RESULTS: The estimated hazards of marijuana use showed unique age
patterns for the overall sample and by gender and racial/ethnic groups. Up to age
of 11 years, the hazards of marijuana use initiation were below 0.0500; the
hazards after age of 11 years increased rapidly with two peaks at age 16 (0.1291)
and 18 years (0.1496), separated by a reduction at age 17 years (0.1112). The age
pattern differed significantly by gender (hazards from high to low: male, female)
and race/ethnicity (hazards from high to low: multi-racial, Black, White,
Hispanic, and Asian). By age of 21 years, 54.1% (56.4% for male and 51.9% for
female) had initiated marijuana use with a mean onset age of 16.5 years.
CONCLUSIONS: This study documented the risk of marijuana use initiation by age.
Research findings suggest the timing of marijuana use prevention was no later
than middle school. Additional attention is indicated to multi-racial/ethnic
youth. Future interventions should be developed for both parents and adolescents,
and delivered to the right target population at the right time.
PMID- 27211101
TI - Elastosis during airway wall remodeling explains multiple co-existing instability
patterns.
AB - Living structures can undergo morphological changes in response to growth and
alterations in microstructural properties in response to remodeling. From a
biological perspective, airway wall inflammation and airway elastosis are
classical hallmarks of growth and remodeling during chronic lung disease. From a
mechanical point of view, growth and remodeling trigger mechanical instabilities
that result in inward folding and airway obstruction. While previous analytical
and computational studies have focused on identifying the critical parameters at
the onset of folding, few have considered the post-buckling behavior. All prior
studies assume constant microstructural properties during the folding process;
yet, clinical studies now reveal progressive airway elastosis, the degeneration
of elastic fibers associated with a gradual stiffening of the inner layer. Here,
we explore the influence of temporally evolving material properties on the post
bifurcation behavior of the airway wall. We show that a growing and stiffening
inner layer triggers an additional subsequent bifurcation after the first
instability occurs. Evolving material stiffnesses provoke failure modes with
multiple co-existing wavelengths, associated with the superposition of larger
folds evolving on top of the initial smaller folds. This phenomenon is exclusive
to material stiffening and conceptually different from the phenomenon of period
doubling observed in constant-stiffness growth. Our study suggests that the
clinically observed multiple wavelengths in diseased airways are a result of
gradual airway wall stiffening. While our evolving material properties are
inspired by the clinical phenomenon of airway elastosis, the underlying concept
is broadly applicable to other types of remodeling including aneurysm formation
or brain folding.
PMID- 27211103
TI - A Multi-layered Particulate System for Desvenlafaxine Succinate Oral Customized
Release.
AB - BACKGROUND: With its reported side effects Desvenlafaxine succinate (DSV) is a
good candidate to prepare prolonged release system. Such prolonged release could
decrease the rapid DSV absorption after oral administration and reduce its
exaggerated side effects. METHODS: A prolonged release Desvenlafaxine succinate
(DSV) multilayered system was prepared by ionotropic gelation using sodium
alginate (SA) and calcium chloride as a cross-linker. DSV was incorporated
simultaneously during the gelation stage and the formed beads were evaluated for
shape and particle size. Thirteen formulation variables including pH, DSV:
polymer ratio, cross-linker concentration and curing time were optimized for
optimal drug entrapment. The optimized formula was evaluated ex vivo using the
everted sac technique to predict DSV absorption through intestinal mucosal cells,
follow the permeation and calculate its apparent permeability coefficient.
RESULTS: The optimum formulation variables were: pH (8-9), DSV: SA ratio (2:1),
cross-linker concentration (5%w/v) and 30 min curing time. Multilayered beads
coating using chitosan and SA was compared with uncoated beads or the innovator
for DSV release. Coating of the beads greatly retarded DSV release with a release
profile similar to that of the innovator. An optimized formula (T13) coated with
0.04% w/v of each of chitosan and SA was selected. The developed system gave rise
to a prolonged release pattern with high similarity factor with the innovator.
CONCLUSION: The results of the current work can be applied to prepare controlled
release systems of similar drugs that have intense side effects associated with
their initial burst after oral administration.
PMID- 27211102
TI - Molecular characterization, homology modeling and docking studies of the R2787H
missense variation in BRCA2 gene: Association with breast cancer.
AB - The significance of many BRCA unclassified variants (UVs) has not been evaluated.
Classification of these variations as neutral or pathogenic presents a
significant challenge and has important implications for breast and ovarian
cancer genetic counseling. Here we report a combined molecular and computational
approach to classify BRCA UVs missense variations. By using the LOH (Loss of
heterozygosity) analysis at the BRCA1/BRCA2 loci, five bioinformatics approaches
namely fathmm, PhD-SNP, SNAP, MutationTaster and Human Splicing Finder and the
association with the clinico-pathological characteristics related to BRCA tumors,
we were able to classify the R2787H (in BRCA2 gene) variant as pathogenic. Then,
to investigate the functional role of the R2787H variation in altering BRCA2
structure, the homology model of this variant was constructed using the Rattus
norvegicus BRCA2 (PDB ID: 1IYJ) as a template. The predicted model was then
assessed for stereochemical quality and side chain environment. Furthermore,
docking and binding free energy simulations were performed to investigate the
ssDNA-BRCA2 complex interaction. Binding energy value calculation proves that
this substitution affects the complex stability. Moreover, this alteration was
not found in one hundred healthy controls. These findings suggest that R2787H
variant could have potential functional impact. Our approach might be useful for
evaluation of BRCA unclassified variants. However additional functional analyzes
may provide appropriate assessment to classify such variants.
PMID- 27211104
TI - Interferon-gamma and Tumor Necrosis Factor-alpha Polarize Bone Marrow Stromal
Cells Uniformly to a Th1 Phenotype.
AB - Activated T cells polarize mesenchymal stromal cells (MSCs) to a proinflammatory
Th1 phenotype which likely has an important role in amplifying the immune
response in the tumor microenvironment. We investigated the role of interferon
gamma (IFN-gamma) and tumor necrosis factor alpha (TNF-alpha), two factors
produced by activated T cells, in MSC polarization. Gene expression and culture
supernatant analysis showed that TNF-alpha and IFN-gamma stimulated MSCs
expressed distinct sets of proinflammatory factors. The combination of IFN-gamma
and TNF-alpha was synergistic and induced a transcriptome most similar to that
found in MSCs stimulated with activated T cells and similar to that found in the
inflamed tumor microenvironment; a Th1 phenotype with the expression of the
immunosuppressive factors IL-4, IL-10, CD274/PD-L1 and indoleamine 2,3
dioxygenase (IDO). Single cell qRT-PCR analysis showed that the combination of
IFN-gamma and TNF-alpha polarized uniformly to this phenotype. The combination of
IFN-gamma and TNF-alpha results in the synergist uniform polarization of MSCs
toward a primarily Th1 phenotype. The stimulation of MSCs by IFN-gamma and TNF
alpha released from activated tumor infiltrating T cells is likely responsible
for the production of many factors that characterize the tumor microenvironment.
PMID- 27211105
TI - Combination of betamethasone and methotrexate for the treatment of
scleromyxoedema without paraproteinaemia.
PMID- 27211107
TI - A cross-sectional study examining the extent of unwanted sexual attention and
unhealthy intimate relationships among female university students.
AB - Issue addressed: Unwanted sexual attention and unhealthy intimate relationships
have the potential to have serious negative health consequences. To date, there
has been scant focus on these issues among university students in Australia. The
aim of the current study was to describe the extent of unwanted sexual attention
and unhealthy intimate relationships experienced in their lifetime by female
university students aged 18-25 years.Methods: A cross-sectional study was
undertaken involving 465 female students aged 18-25 years. Students were
recruited through one faculty within a Victorian university and invited to
complete an anonymous online questionnaire.Results: Sixty-seven per cent (n =
312) of female students reported experiencing unwanted sexual attention in their
lifetime. The most common form of unwanted sexual attention was kissing or
touching over clothes (98%; n = 306). Over 43% (n = 124) of the female students
reported that the experience of unwanted sexual experience occurred after their
protests were ignored. Thirty per cent (n = 135) of the female students reported
experiencing at least one element of an unhealthy intimate
relationship.Conclusions: The high rates of unwanted sexual attention and
unhealthy intimate relationships among female university students is of concern
given the negative impact such events can have on individual's physical,
emotional and social well being.So what?: Public health and health promotion
action is required to prevent female students from experiencing unwanted sexual
attention and unhealthy intimate relationships, and to address the negative
health and well being consequences.
PMID- 27211106
TI - Evaluation of tactical training in team handball by means of artificial neural
networks.
AB - While tactical performance in competition has been analysed extensively, the
assessment of training processes of tactical behaviour has rather been neglected
in the literature. Therefore, the purpose of this study is to provide a
methodology to assess the acquisition and implementation of offensive tactical
behaviour in team handball. The use of game analysis software combined with an
artificial neural network (ANN) software enabled identifying tactical target
patterns from high level junior players based on their positions during offensive
actions. These patterns were then trained by an amateur junior handball team (n =
14, 17 (0.5) years)). Following 6 weeks of tactical training an exhibition game
was performed where the players were advised to use the target patterns as often
as possible. Subsequently, the position data of the game was analysed with an
ANN. The test revealed that 58% of the played patterns could be related to the
trained target patterns. The similarity between executed patterns and target
patterns was assessed by calculating the mean distance between key positions of
the players in the game and the target pattern which was 0.49 (0.20) m. In
summary, the presented method appears to be a valid instrument to assess tactical
training.
PMID- 27211108
TI - Long-term development of parental knowledge about skin cancer risks in Germany:
Has it changed for the better?
AB - Substantial public health efforts have been undertaken throughout the last
25years to increase awareness about skin cancer risks in the German population.
An evaluation of long-term effects of these awareness campaigns and preventive
activities on the population level and in specific subgroups is yet lacking. We
address the temporal development of knowledge about skin cancer risk factors and
agreement to the necessity of sun protection in different outdoor situations
among parents of young children. We compiled data from four population-based
surveys comprising data from 8184 parents of 3- to 6-year-old children in two
regions of Germany performed over a nineteen-year period between 1993 and 2012.
These individual cross-sectional studies used an identical methodology to recruit
study subjects and to assess the principal outcome measures. Overall, parental
knowledge about skin cancer risk factors and agreement to the necessity of sun
protection improved significantly over the nineteen-year period. For instance,
the recognition of fair skin/hair, sunburns during childhood and a high number of
naevi, respectively, being risk factors for skin cancer increased by 20.0%, 19.9%
and 19.2% from the first to the most recent survey. Two remaining knowledge gaps
became evident: (i) the underrating of intermittent intensive sun exposure as a
skin cancer risk factor and (ii) the erroneous belief that clouds provide
sufficient sun protection at midday during summertime. The messages of future
public health campaigns in Germany addressing skin cancer risks and informing
about preventive measures for sun protection should thus be refined regarding
these aspects.
PMID- 27211109
TI - Visuospatial Processing Deficits Linked to Posterior Brain Regions in Premanifest
and Early Stage Huntington's Disease.
AB - OBJECTIVES: Visuospatial processing deficits have been reported in Huntington's
disease (HD). To date, no study has examined associations between visuospatial
cognition and posterior brain findings in HD. METHODS: We compared 119
premanifest (55> and 64<10.8 years to expected disease onset) and 104 early
symptomatic (59 stage-1 and 45 stage-2) gene carriers, with 110 controls on
visual search and mental rotation performance at baseline and 12 months. In the
disease groups, we also examined associations between task performance and
disease severity, functional capacity and structural brain measures. RESULTS:
Cross-sectionally, there were strong differences between all disease groups and
controls on visual search, and between diagnosed groups and controls on mental
rotation accuracy. Only the premanifest participants close to onset took longer
than controls to respond correctly to mental rotation. Visual search negatively
correlated with disease burden and motor symptoms in diagnosed individuals, and
positively correlated with functional capacity. Mental rotation ("same") was
negatively correlated with motor symptoms in stage-2 individuals, and positively
correlated with functional capacity. Visual search and mental rotation were
associated with parieto-occipital (pre-/cuneus, calcarine, lingual) and temporal
(posterior fusiform) volume and cortical thickness. Longitudinally, visual search
deteriorated over 12 months in stage-2 individuals, with no evidence of declines
in mental rotation. CONCLUSIONS: Our findings provide evidence linking early
visuospatial deficits to functioning and posterior cortical dysfunction in HD.
The findings are important since large research efforts have focused on fronto
striatal mediated cognitive changes, with little attention given to aspects of
cognition outside of these areas. (JINS, 2016, 22, 595-608).
PMID- 27211110
TI - Implementation of Outstanding Electronic Transport in Polar Covalent Boron
Nitride Atomic Chains: another Extraordinary Odd-Even Behaviour.
AB - A theoretical investigation of the unique electronic transport properties of the
junctions composed of boron nitride atomic chains bridging symmetric graphene
electrodes with point-contacts is executed through non-equilibrium Green's
function technique in combination with density functional theory. Compared with
carbon atomic chains, the boron nitride atomic chains have an alternative
arrangement of polar covalent B-N bonds and different contacts coupling
electrodes, showing some unusual properties in functional atomic electronic
devices. Remarkably, they have an extraordinary odd-even behavior of conductivity
with the length increase. The rectification character and negative differential
resistance of nonlinear current-voltage characteristics can be achieved by
manipulating the type of contacts between boron nitride atomic chains bridges and
electrodes. The junctions with asymmetric contacts have an intrinsic
rectification, caused by stronger coupling in the C-N contact than the C-B
contact. On the other hand, for symmetric contact junctions, it is confirmed that
the transport properties of the junctions primarily depend on the nature of
contacts. The junctions with symmetric C-N contacts have higher conductivity than
their C-B contacts counterparts. Furthermore, the negative differential
resistances of the junctions with only C-N contacts is very conspicuous and can
be achieved at lower bias.
PMID- 27211111
TI - Does urban extent from satellite images relate to symptoms of asthma,
rhinoconjunctivitis and eczema in children? A cross-sectional study from ISAAC
Phase Three.
AB - OBJECTIVE: The relationship between urbanisation and the symptom prevalence of
asthma, rhinoconjunctivitis and eczema is not clear, and varying definitions of
urban extent have been used. Furthermore, a global analysis has not been
undertaken. This study aimed to determine whether the symptom prevalence of
asthma, rhinoconjunctivitis and eczema in centres involved in the International
Study of Asthma and Allergies in Childhood (ISAAC) were higher in urban than
rural centres, using a definition of urban extent as land cover from satellite
data. METHODS: A global map of urban extent from satellite images (MOD500 map)
was used to define the urban extent criterion. Maps from the ISAAC centres were
digitised and merged with the MOD500 map to describe the urban percentage of each
centre. We investigated the association between the symptom prevalence of asthma,
rhinoconjunctivitis and eczema and the percentage of urban extent by centre.
RESULTS: A weak negative relationship was found between the percentage of urban
extent of each ISAAC centre and current wheeze in the 13-14-year age group. This
association was not statistically significant after adjusting for region of the
world and gross national income. No other relationship was found between urban
extent and symptoms of asthma, rhinoconjunctivitis and eczema. CONCLUSIONS: In
this study, the prevalence of symptoms of asthma, rhinoconjunctivitis and eczema
in children were not associated with urbanisation, according to the land cover
definition of urban extent from satellite data. Comparable standardised
definitions of urbanisation need to be developed so that global comparisons can
be made.
PMID- 27211112
TI - The complete mitochondrial genome of the Neophocaena asiaeorientails sunamrei
(Phocaenidae: Neophocaena).
AB - The complete sequence of the mitochondrial DNA (mtDNA) of the East Asian finless
porpoise (Neophocaena asiaeorientails sunamrei) has been completed and annotated
in this study. The circular genome is 16 385 bp in length, consisting of 13
protein-coding genes, 2 ribosomal RNA genes, 22 transfer RNA genes, and 2 non
coding areas. Ten protein-coding genes use ATG as the initiation codon, except
that ND2 started with ATT, and ND3 and ND5 started with ATA. Canonical TAA and
TAG termination codons are found in seven protein-coding genes, the remaining
four (ND4, ND2, ND3, and COIII) have incomplete termination codons (T or TA), in
addition, the COI ends with AGG, and the Cyt b ends with AGA. On the neighbor
joining phylogenetic tree, these results show that the relationship of
Phocoenidae is closer with Delphinidae.
PMID- 27211113
TI - From dilute isovalent substitution to alloying in CdSeTe nanoplatelets.
AB - Cadmium chalcogenide nanoplatelet (NPL) synthesis has recently witnessed a
significant advance in the production of more elaborate structures such as
core/shell and core/crown NPLs. However, controlled doping in these structures
has proved difficult because of the restrictive synthetic conditions required for
2D anisotropic growth. Here, we explore the incorporation of tellurium (Te)
within CdSe NPLs with Te concentrations ranging from doping to alloying. For Te
concentrations higher than ~30%, the CdSexTe(1-x) NPLs show emission properties
characteristic of an alloyed material with a bowing of the band gap for increased
concentrations of Te. This behavior is in line with observations in bulk samples
and can be put in the context of the transition from a pure material to an alloy.
In the dilute doping regime, CdSe:Te NPLs, in comparison to CdSe NPLs, show a
distinct photoluminescence (PL) red shift and prolonged emission lifetimes (LTs)
associated with Te hole traps which are much deeper than in bulk samples.
Furthermore, single particle spectroscopy reveals dramatic modifications in PL
properties. In particular, doped NPLs exhibit photon antibunching and emission
dynamics significantly modified compared to undoped or alloyed NPLs.
PMID- 27211114
TI - Asthma control and bronchodilator response.
PMID- 27211201
TI - It's not just physical: a qualitative study regarding the illness experiences of
people with facioscapulohumeral muscular dystrophy.
AB - PURPOSE: Little is known about the illness experiences of people with
Facioscapulohumeral Muscular Dystrophy (FSHD). The aim of this study was to
provide insight into the illness experiences of people with FSHD in order to
tailor rehabilitation programs to individual needs and expectations. METHODS:
Twenty-five semi-structured interviews were conducted with people with FSHD. The
interviews were audiotaped, transcribed and member checked. Computerized (MAXqda)
and manual techniques were used for thematic data analysis. RESULTS: Intra- as
well as extra-individual aspects play a role in the illness experiences of people
with FSHD. Integrating the consequences of the diagnosis and symptoms, coping
with heredity and progenity, adjusting to a decreasing independence, and the
accompanying changing relationship with one's partner, are mentioned as intra
individual aspects. As extra-individual factors are the responses of the social
environment, which was mentioned as well as used assistive devices, and
maintaining or giving up work. CONCLUSIONS: Better understanding of the
individual illness experiences, cognitions, and social context of people with
FSHD can give health professionals tools to improve their care and give
researchers direction for future studies to evaluate healthcare improvements from
a holistic, patient-centred perspective. Implications for Rehabilitation FSHD has
a major impact on people's lives. Besides the physical consequences, issues such
as heredity, progenity, changing (intimate) relationships, social interactions
and work should be addressed by rehabilitation professionals. Dependent on the
timing of the diagnosis (early or later in life) people with FSHD could, in
addition to medical consultation and physical therapy, profit from support by a
social worker, occupational therapist and/or genetic Counselor for the above
mentioned themes to be addressed more extensively. It is relevant for
rehabilitation professionals to become familiar with the personal characteristics
and social circumstances of the patient before communicating the diagnosis and
prognosis in order to individually tailor the content of the communication.
PMID- 27211203
TI - Corrigendum: ZnCr2O4 Nanoparticles: Facile Synthesis, Characterization, and
Photocatalytic Properties.
PMID- 27211115
TI - Conditional Disease Development extracted from Longitudinal Health Care Cohort
Data using Layered Network Construction.
AB - Health care data holds great promise to be used in clinical decision support
systems. However, frequent near-synonymous diagnoses recorded separately, as well
as the sheer magnitude and complexity of the disease data makes it challenging to
extract non-trivial conclusions beyond confirmatory associations from such a web
of interactions. Here we present a systematic methodology to derive statistically
valid conditional development of diseases. To this end we utilize a cohort of
5,512,469 individuals followed over 13 years at inpatient care, including data on
disability pension and cause of death. By introducing a causal information
fraction measure and taking advantage of the composite structure in the ICD
codes, we extract an effective directed lower dimensional network representation
(100 nodes and 130 edges) of our cohort. Unpacking composite nodes into bipartite
graphs retrieves, for example, that individuals with behavioral disorders are
more likely to be followed by prescription drug poisoning episodes, whereas women
with leiomyoma were more likely to subsequently experience endometriosis. The
conditional disease development represent putative causal relations, indicating
possible novel clinical relationships and pathophysiological associations that
have not been explored yet.
PMID- 27211204
TI - From Appearance of Adrenal Autoantibodies to Clinical Symptoms of Addison's
Disease: Natural History.
AB - Recent progress in the immunopathology field has greatly improved our
understanding of the natural history of autoimmune diseases, particularly of
Addison's disease. Addison's disease is known to be a chronic illness
characterized by adrenocortical gland insufficiency that develops following a
long and mainly asymptomatic period, characterized by the presence of circulating
autoantibodies directed to adrenal cortex antigens. In this chapter we describe
the groups of subjects at risk of developing Addison's disease, together with the
diagnostic tests considered the most appropriate for evaluating adrenal function:
determination of basal plasma adrenocorticotropic hormone (ACTH) levels, plasma
renin activity, plasma aldosterone and cortisol levels, and cortisol levels after
intravenous stimulation with ACTH (ACTH test). The employment of specific
clinical, immunological and functional criteria in the subjects with
autoantibodies to the adrenal cortex allows identifying those at risk of
developing overt disease. The independent risk factors for the progression to
adrenal failure have also been identified and they contribute to different risks
of developing clinical Addison's disease. Based on the risk level, the subjects
should be monitored over time to observe early signs of adrenal dysfunction, and
start substitutive treatment as soon as possible. For patients presenting with
high risk, prevention strategies and trials might be available.
PMID- 27211205
TI - Secondary hypertension in adults.
AB - Secondary hypertension occurs in a significant proportion of adult patients
(~10%). In young patients, renal causes (glomerulonephritis) and coarctation of
the aorta should be considered. In older patients, primary aldosteronism,
obstructive sleep apnoea and renal artery stenosis are more prevalent than
previously thought. Primary aldosteronism can be screened by taking morning
aldosterone and renin levels, and should be considered in patients with severe,
resistant or hypokalaemia-associated hypertension. Symptoms of obstructive sleep
apnoea should be sought. Worsening of renal function after starting an
angiotensin-converting enzyme inhibitor suggests the possibility of renal artery
stenosis. Recognition, diagnosis and treatment of secondary causes of
hypertension lead to good clinical outcomes and the possible reversal of end
organ damage, in addition to blood pressure control. As most patients with
hypertension are managed at the primary care level, it is important for primary
care physicians to recognise these conditions and refer patients appropriately.
PMID- 27211206
TI - Seroprevalence of Toxoplasma gondii in mainland and sub-Antarctic New Zealand sea
lion (Phocarctos hookeri) populations.
AB - AIMS: To investigate the seroprevalence of antibodies to Toxoplasma gondii in New
Zealand sea lions (Phocarctos hookeri), as a potential contributor to
reproductive failure. METHODS: Archived sera were sourced from New Zealand sea
lions from two recolonising mainland populations in the Otago Peninsula (n=15)
and Stewart Island (n=12), as well as a declining population at Enderby Island
(n=28) in the New Zealand sub-Antarctic. Sera were tested for antibodies to T.
gondii using a commercially available ELISA (with samples considered positive if
the sample to positive ratio was >30%), and latex agglutination test (LAT; with
titres >=1:32 considered positive). Western blot analysis was used to validate
the results of a subset of 14 samples. RESULTS: Five samples from sea lions in
mainland locations were confirmed positive for antibodies to T. gondii. Two adult
females exhibited high LAT antibody titres (min 1:2048, max 1:4096) on both
occasions when sampled 1 and 2 years apart, respectively. No animals from Enderby
Island were seropositive. CONCLUSIONS: Toxoplasma gondii infection is unlikely to
be a major contributor to poor reproductive success in New Zealand sea lions.
However, continued surveillance is pertinent to assess subclinical and clinical
impacts of the parasite on these threatened populations. The commercial tests
evaluated here, with further species-specific threshold refinement could provide
a fast, inexpensive and reliable indicator of T. gondii exposure in New Zealand
sea lions.
PMID- 27211207
TI - Morphology-controlled syntheses of alpha-MnO2 for electrochemical energy storage.
AB - Manganese dioxide (MnO2) nanoarchitectures including microspheres assembled by
nanosheets and hollow urchins assembled by nanorods have been successfully
synthesized using a facile and efficient hydrothermal method at 150 degrees C.
The effects of concentrations of the reactants and reaction time on the
structures and morphologies of MnO2 were systematically investigated. The
experimental results showed that the morphologies of MnO2 transformed into
nanosheet-assembled microspheres (10 min) from nanorod-assembled hollow urchins
(5 min) by tuning the suitable reaction time. The nanorod-assembled hollow
urchins experienced the morphology transformation cycle from urchin to a
disordered structure to urchin with the extension of the reaction time.
Furthermore, the nanorods with different diameters and lengths were formed with
different concentrations of reactants at the same reaction time (8 h). The MnO2
nanorods fabricated with 0.59 g KMnO4 showed a maximum specific capacitance (198
F g(-1)) with a good rate capability and excellent cycling stability (maintained
94% after 2000 cycles). Furthermore, the nanosheet-assembled microspheres
exhibited the higher specific capacitance of 131 F g(-1) at 1 A g(-1) with a long
term cycling stability for the samples at different reaction times. These results
indicated their promising applications as high-performance supercapacitor
electrodes and provided a generic guideline in developing different
nanostructured electrode materials for electrochemical energy storage.
PMID- 27211208
TI - Evaluation of a disease state management guideline for urinary tract infection.
AB - A urinary tract infection (UTI) disease state management guideline, including
risk-based antimicrobial recommendations, Foley catheter management and
transitions of care, was implemented. This study evaluated the outcomes
associated with implementation of the guideline. A retrospective study was
conducted between 1 July 2013 and 30 September 2013 (pre-implementation) and
between 1 July 2014 and 30 September 2014 (post-implementation). Symptomatic
patients treated for UTI within 24 h with an identified pathogen were included.
Risk-based patient groups were community-acquired UTI, healthcare-associated UTI,
or extended-spectrum beta-lactamase (ESBL) history in prior 12 months.
Recommended antimicrobials were ceftriaxone, cefepime +/- vancomycin, or
doripenem +/- vancomycin, respectively. Given the low post-implementation
guideline adherence, pre- and post-groups were combined to evaluate potential
guideline value. Length of stay (LOS) decreased when guidelines were followed [5
(IQR 4-7) days vs. 6 (IQR 4-8) days; P = 0.03] or appropriate therapy (according
to in vitro susceptibilities) was given [5 (IQR 4-7) days vs. 6 (IQR 4-9) days; P
= 0.03]. Those receiving guideline-recommended antimicrobials were more likely to
have appropriate therapy within 24 h (84.4% vs. 64.2%; P <0.001). On multivariate
analysis, intensive care unit (ICU) admission and admission from home were
associated with longer and shorter LOS, respectively. Despite less than
anticipated adherence, these data suggest that the established disease state
management guideline can improve outcomes in patients admitted with UTI.
PMID- 27211209
TI - In vitro activity of dalbavancin against multidrug-resistant Staphylococcus
aureus and streptococci from patients with documented infections in Europe and
surrounding regions (2011-2013).
AB - The in vitro activity of dalbavancin was evaluated against 9303 Staphylococcus
aureus and 2670 streptococci, including multidrug-resistant (MDR) isolates,
collected from hospitalised patients in Europe and surrounding regions from 2011
to 2013. Dalbavancin recently received approval for the treatment of acute
bacterial skin and skin-structure infections by the US Food and Drug
Administration (FDA) and the European Medicines Agency. Bacterial identification
was confirmed by standard microbiological methods (including MALDI-TOF), and
susceptibility testing was performed by reference broth microdilution methods.
Dalbavancin susceptibility interpretations followed FDA/EUCAST criteria.
Meticillin-resistant S. aureus (MRSA) and streptococci exhibiting resistance to
at least three other drug classes were considered as MDR. Dalbavancin was highly
active (MIC50/90, 0.06/0.06 mg/L; >=99.9% susceptible) against MDR and non-MDR
MRSA isolates. Vancomycin, daptomycin and linezolid were also active (99.6-100.0%
susceptible) against MDR MRSA, however MIC90 values for these drugs were 8- to 16
fold higher than dalbavancin (MIC90 values of 1, 0.5 and 1 mg/L, respectively).
All viridans group streptococci (VGS) and beta-haemolytic streptococci were
susceptible to dalbavancin regardless of resistance phenotype (MIC50/90 values of
<=0.03 mg/L and 0.06 mg/L, respectively). Dalbavancin MIC50/90 results (MIC50/90,
<=0.03/0.06 mg/L) against MDR VGS were at least eight-fold lower than those of
vancomycin (MIC50/90, 0.5/1 mg/L), daptomycin (MIC50/90, 0.5/1 mg/L) and
linezolid (MIC50/90, 0.5/1 mg/L). Overall, dalbavancin exhibited potent in vitro
antibacterial activity against S. aureus and streptococci, including MDR
phenotypes. Dalbavancin had the lowest MIC50/90 results against the isolates
tested, relative to comparator agents, regardless of resistance phenotypes.
PMID- 27211210
TI - Meticillin-resistant Staphylococcus aureus endocarditis: first report of
daptomycin plus ceftobiprole combination as salvage therapy.
PMID- 27211211
TI - 3-Iodo-4-aminoquinoline derivative sensitises resistant strains of Plasmodium
falciparum to chloroquine.
AB - Chloroquine (CQ), the first cost-effective synthetic antimalarial, is rendered
ineffective in malaria-endemic regions owing to the rise and spread of CQ
resistant Plasmodium falciparum. In this report, we show that a halogen
derivative of CQ, namely 3-iodo-CQ, inhibits the proliferation of CQ-sensitive
and -resistant P. falciparum in a verapamil-insensitive manner. Similar to CQ,
the antimalarial activity of 3-iodo-CQ is likely due to its inhibition of beta
haematin formation. Interestingly, the presence of non-inhibitory concentrations
of 3-iodo-CQ potentiated the antiproliferative activity of CQ against CQ
resistant strains or P. falciparum transfectants expressing wild-type or mutant
P. falciparum CQ resistance transporter (PfCRT) (C2(GC03) or C4(Dd2),
respectively). These findings demonstrate that halogenation of the third position
of 4-aminoquinoline, with a simple one-step reaction from CQ, generates a novel
derivative that is active against CQ-sensitive and -resistant P. falciparum,
possibly by inhibiting the activity of mutant PfCRT.
PMID- 27211212
TI - Transient Expression of WNT2 Promotes Somatic Cell Reprogramming by Inducing beta
Catenin Nuclear Accumulation.
AB - Treatment with several Wnt/beta-catenin signaling pathway regulators can change
the cellular reprogramming efficiency; however, the dynamics and role of
endogenous Wnt/beta-catenin signaling in reprogramming remain largely unanswered.
Here we identify the upregulation of WNT2 and subsequent beta-catenin nuclear
accumulation as key events in reprogramming. Transient nuclear accumulation of
beta-catenin occurs early in MEF reprogramming. Wnt2 is strongly expressed in the
early stage of reprogramming. Wnt2 knockdown suppresses the nuclear accumulation
of beta-catenin and reduces the reprogramming efficiency. WNT2 overexpression
promotes beta-catenin nuclear accumulation and enhances the reprogramming
efficiency. WNT2 contributes to the promotion of cell proliferation. Experiments
with several drugs that control the Wnt pathway also indicate the importance of
beta-catenin nuclear accumulation in reprogramming. Our findings reveal the role
of WNT2/beta-catenin signaling in reprogramming.
PMID- 27211214
TI - The surface electronic structure of silicon terminated (100) diamond.
AB - A combination of synchrotron-based x-ray spectroscopy and contact potential
difference measurements have been used to examine the electronic structure of the
(3 * 1) silicon terminated (100) diamond surface under ultra high vacuum
conditions. An occupied surface state which sits 1.75 eV below the valence band
maximum has been identified, and indications of mid-gap unoccupied surface states
have been found. Additionally, the pristine silicon terminated surface is shown
to possess a negative electron affinity of -0.86 +/- 0.1 eV.
PMID- 27211213
TI - Human Engineered Heart Tissue: Analysis of Contractile Force.
AB - Analyzing contractile force, the most important and best understood function of
cardiomyocytes in vivo is not established in human induced pluripotent stem cell
derived cardiomyocytes (hiPSC-CM). This study describes the generation of 3D,
strip-format, force-generating engineered heart tissues (EHT) from hiPSC-CM and
their physiological and pharmacological properties. CM were differentiated from
hiPSC by a growth factor-based three-stage protocol. EHTs were generated and
analyzed histologically and functionally. HiPSC-CM in EHTs showed well-developed
sarcomeric organization and alignment, and frequent mitochondria. Systematic
contractility analysis (26 concentration-response curves) reveals that EHTs
replicated canonical response to physiological and pharmacological regulators of
inotropy, membrane- and calcium-clock mediators of pacemaking, modulators of ion
channel currents, and proarrhythmic compounds with unprecedented precision. The
analysis demonstrates a high degree of similarity between hiPSC-CM in EHT format
and native human heart tissue, indicating that human EHTs are useful for
preclinical drug testing and disease modeling.
PMID- 27211224
TI - Making robust decisions about the impact of health education programs:
Psychometric evaluation of the Health Education Impact Questionnaire (heiQ) in
diverse patient groups in Norway.
AB - OBJECTIVE: To undertake a rigorous psychometric evaluation of the widely used
eight-scale heiQ version 2.0 (evaluating immediate effects of self-management
interventions) in diverse patient groups in Norway. METHODS: Cross-sectional
survey data were collected from 1019 Norwegians. Data were extracted from studies
among people with musculoskeletal disorders (n=516), psoriasis (n=254), heart
disease (n=97), and Type 2 diabetes (n=152). To investigate the factorial
validity of the Norwegian heiQ, confirmatory factor analyses (CFA) were carried
out using Mplus. RESULTS: One-factor model fit, without modifications, was
acceptable for the Emotional distress scale. Only one correlated residual was
required to be fitted in each of the other scales to achieve satisfactory model
fit. The postulated highly restricted full eight-factor model (no cross-loadings,
no correlated residuals) showed good fit to the data. Internal consistency was
acceptable for most scales (0.72-0.90) but low for Self-monitoring and insight.
CONCLUSION: This study of the Norwegian heiQ replicates the factor structure of
the original Australian heiQ, using robust and highly restricted CFA procedures,
demonstrating a clean independent clusters model structure. PRACTICE
IMPLICATIONS: Researchers, program implementers and policymakers could use the
Norwegian heiQ with confidence to generate reliable information on program
outcomes and support quality improvement activities.
PMID- 27211225
TI - A motivational interviewing-based counseling intervention to increase
postabortion uptake of contraception: A pilot randomized controlled trial.
AB - OBJECTIVE: To determine if a counseling intervention using the principles of
motivational interviewing (MI) would impact uptake of long-acting reversible
contraception (LARC) after abortion. METHODS: We conducted a pilot randomized
controlled trial comparing an MI-based contraception counseling intervention to
only non-standardized counseling. Sixty women 15-29 years-old were randomized.
PRIMARY OUTCOME: uptake of LARC within four weeks of abortion. SECONDARY
OUTCOMES: uptake of any effective contraceptive, contraceptive use three months
after abortion and satisfaction with counseling. Bivariate analysis was used to
compare outcomes. RESULTS: In the intervention arm, 65.5% of participants
received a long-acting method within four weeks compared to 32.3% in the control
arm (p=0.01). Three months after the abortion, differences in LARC use endured
(60.0% vs. 30.8%, p=0.05). Uptake and use of any effective method were not
statistically different. More women in the intervention arm reported satisfaction
with their counseling than women in the control arm (92.0% vs. 65.4%, p=0.04).
CONCLUSION: Twice as many women in the MI-based contraception counseling
intervention initiated and continued to use LARC compared to women who received
only non-standardized counseling. PRACTICE IMPLICATIONS: A contraception
counseling session using the principles and skills of motivational interviewing
has the potential to impact LARC use after abortion.
PMID- 27211226
TI - Updated Three-Column Concept in surgical treatment for tibial plateau fractures -
A prospective cohort study of 287 patients.
AB - PURPOSE: This study introduces an updated Three-Column Concept for the
classification and treatment of complex tibial plateau fractures. A combined
preoperative assessment of fracture morphology and injury mechanism is utilized
to determine surgical approach, implant placement and fixation sequence. The
effectiveness of this updated concept is demonstrated through evaluation of both
clinical and radiographic outcome measures. PATIENTS AND METHODS: From 2008 to
2012, 355 tibial plateau fractures were treated using the updated Three-Column
Concept. Standard radiographic and computed tomography imaging are used to
systematically assess and classify fracture patterns as follows: (1) identify
column(s) injured and locate associated articular depression or comminution, (2)
determine injury mechanism including varus/valgus and flexion/extension forces,
and (3) determine surgical approach(es) as well as the location and function of
applied fixation. Quality and maintenance of reduction and alignment, fracture
healing, complications, and functional outcomes were assessed. RESULTS: 287
treated fractures were followed up for a mean period of 44.5 months (range: 22
96). The mean time to radiographic bony union and full weight-bearing was 13.5
weeks (range: 10-28) and 14.8 weeks (range: 10-26) respectively. The average
functional Knee Society Score was 93.0 (range: 80-95). The average range of
motion of the affected knees was 1.5-121.5 degrees . No significant difference
was found in knee alignment between immediate and 18-month post-operative
measurements. Additionally, no significant difference was found in functional
scores and range of motion between one, two and three-column fracture groups.
Twelve patients suffered superficial infection, one had limited skin necrosis and
two had wound dehiscence, that healed with nonoperative management.
Intraoperative vascular injury occurred in two patients. Fixation of failure was
not observed in any of the fractures treated. CONCLUSION: An updated Three-Column
Concept assessing fracture morphology and injury mechanism in tandem can be used
to guide surgical treatment of tibial plateau fractures. Limited results
demonstrate successful application of biologically friendly fixation constructs
while avoiding fixation failure and associated complications of both simple and
complex tibial plateau fractures. LEVEL OF EVIDENCE: Level II, prospective cohort
study.
PMID- 27211228
TI - Incidence and Natural History of Idiopathic Chronic Inflammatory Demyelinating
Polyneuropathy: A Population-Based Study in Iceland.
AB - BACKGROUND AND AIM: We report a population-based study conducted in Iceland to
determine the incidence, clinical characteristics and prognosis of idiopathic
chronic inflammatory demyelinating polyneuropathy (CIDP) during a 21-year period.
METHODS: Cases were identified from the records of all practicing neurologists in
the country, the only neurology department in the country and both
neurophysiology laboratories. All index cases met the 2010 European Federation of
Neurological Societies/Peripheral Nerve Society criteria for CIDP. RESULTS:
Nineteen individuals fulfilled the diagnostic criteria during the study period.
The average annual incidence was 0.3/100,000 (95% CI 0.04-2.47). There were 14
men (74%) in a gender ratio of 1:2.8. The mean age at diagnosis was 57 (range 19
81 years): women, 36 years and men, 63 years; p = 0.0006. The disease course was
remitting-relapsing in 21% and chronic progressive or monophasic in 79%. The
average length of follow-up was 6.9 years. The standardized mortality ratio for
the 21-year study period was 0.9 (95% CI 0.3-2.2). CONCLUSION: We believe we have
identified all diagnosed with CIDP in Iceland during a 21-year period. Many had
no or only limited disease progression over the years and mortality is not
increased compared with the general population.
PMID- 27211227
TI - Outcomes after plating of olecranon fractures: A multicenter evaluation.
AB - INTRODUCTION: The aim of this study was to report the physical and functional
outcomes after open reduction internal fixation of the olecranon in a large
series of patients with region specific plating across multiple centres.
PATIENTS/METHODS: Between January 2007 and January 2014, 182 consecutive patients
with a displaced olecranon fracture treated with open reduction internal fixation
were included in this study. Retrospective review across four trauma centres
collected elbow range of motion, DASH scores, hardware complications, and
hardware removal. Postoperative visits in the outpatient clinic were at two, six,
and twenty-four weeks. After 24 weeks, patients were eligible for hardware
removal if symptomatic. All patients were contacted, at least 1 year following
surgery, to determine if hardware was removed. RESULTS: 182 patients (75 women,
105 men) average age 50 (16-89) with 162 closed and 19 open displaced olecranon
fractures were treated with one region specific plate. Nineteen were lost to
followup leaving 163 for analysis with all patients united. The most common
deficiency was a lack of full extension with 39% lacking at least 10 degrees of
extension. Hardware was asymptomatic in 67%, painful upon leaning in 20%, and
restricted activities in 11% resulting in a 15% rate of hardware removal.
Hardware complaints were more common if a screw was placed in the corner of the
plate (P=0.004). When symptomatic, the area of the plate that was bothersome
encompassed the whole plate in 39%, was at the edge of the plate in 33%, and was
a screw head in 28%. The DASH scores, collected at final follow-up of 24 weeks,
was 10.1+/-16, indicating moderate disability was still present. Patients who
lacked 10 degrees of extension had a DASH of 12.3 as compared with 10.5 for
those with near full extension, but this was not significant (P=0.5). CONCLUSION:
Plating of the olecranon leads to predictable union. The most common complication
was lack of full extension with 39% lacking more than 10 degrees , although this
did not have any effect on DASH scores. Overall results indicate that disability
still exists after 6 months with an average DASH score of 10. LEVEL OF EVIDENCE:
Therapeutic level III.
PMID- 27211229
TI - Radiation therapy for right-sided breast cancer in a patient with pectus
excavatum: A comparison of treatment techniques.
PMID- 27211230
TI - Radiation therapy for glioblastoma: Executive summary of an American Society for
Radiation Oncology Evidence-Based Clinical Practice Guideline.
AB - PURPOSE: To present evidence-based guidelines for radiation therapy in treating
glioblastoma not arising from the brainstem. METHODS AND MATERIALS: The American
Society for Radiation Oncology (ASTRO) convened the Glioblastoma Guideline Panel
to perform a systematic literature review investigating the following: (1) Is
radiation therapy indicated after biopsy/resection of glioblastoma and how does
systemic therapy modify its effects? (2) What is the optimal dose-fractionation
schedule for external beam radiation therapy after biopsy/resection of
glioblastoma and how might treatment vary based on pretreatment characteristics
such as age or performance status? (3) What are ideal target volumes for curative
intent external beam radiation therapy of glioblastoma? (4) What is the role of
reirradiation among glioblastoma patients whose disease recurs following
completion of standard first-line therapy? Guideline recommendations were created
using predefined consensus-building methodology supported by ASTRO-approved tools
for grading evidence quality and recommendation strength. RESULTS: Following
biopsy or resection, glioblastoma patients with reasonable performance status up
to 70 years of age should receive conventionally fractionated radiation therapy
(eg, 60 Gy in 2-Gy fractions) with concurrent and adjuvant temozolomide. Routine
addition of bevacizumab to this regimen is not recommended. Elderly patients
(>=70 years of age) with reasonable performance status should receive
hypofractionated radiation therapy (eg, 40 Gy in 2.66-Gy fractions); preliminary
evidence may support adding concurrent and adjuvant temozolomide to this regimen.
Partial brain irradiation is the standard paradigm for radiation delivery. A
variety of acceptable strategies exist for target volume definition, generally
involving 2 phases (primary and boost volumes) or 1 phase (single volume). For
recurrent glioblastoma, focal reirradiation can be considered in younger patients
with good performance status. CONCLUSIONS: Radiation therapy occupies an integral
role in treating glioblastoma. Whether and how radiation therapy should be
applied depends on characteristics specific to tumor and patient, including age
and performance status.
PMID- 27211231
TI - Epinephrine for transient tachypnea of the newborn.
AB - BACKGROUND: Transient tachypnea of the newborn is characterized by tachypnea and
signs of respiratory distress. Transient tachypnea typically appears within the
first two hours of life in term and late preterm newborns. Although transient
tachypnea of the newborn is usually a self limited condition, it is associated
with wheezing syndromes in late childhood. The rationale for the use of
epinephrine (adrenaline) for transient tachypnea of the newborn is based on
studies showing that beta-agonists can accelerate the rate of alveolar fluid
clearance. OBJECTIVES: To assess whether epinephrine compared to placebo, no
treatment or any other drugs (excluding salbutamol) is effective and safe in the
treatment of transient tachypnea of the newborn in infants born at 34 weeks'
gestational age or more. SEARCH METHODS: We searched the Cochrane Central
Register of Controlled Trials (CENTRAL, 2016, Issue 3), MEDLINE (1996 to March
2016), EMBASE (1980 to March 2016) and CINAHL (1982 to March 2016). We applied no
language restrictions. We searched the abstracts of the major congresses in the
field (Perinatal Society of Australia and New Zealand and Pediatric Academic
Societies) from 2000 to 2015. SELECTION CRITERIA: Randomized controlled trials,
quasi-randomized controlled trials and cluster trials comparing epinephrine
versus placebo or no treatment or any other drugs administered to infants born at
34 weeks' gestational age or more and less than three days of age with transient
tachypnea of the newborn. DATA COLLECTION AND ANALYSIS: For the included trial,
two review authors independently extracted data (e.g. number of participants,
birth weight, gestational age, duration of oxygen therapy (hours), need for
continuous positive airway pressure and need for mechanical ventilation, duration
of mechanical ventilation, etc.) and assessed the risk of bias (e.g. adequacy of
randomization, blinding, completeness of follow-up). The primary outcomes
considered in this review were duration of oxygen therapy (hours), need for
continuous positive airway pressure and need for mechanical ventilation. MAIN
RESULTS: One trial, which included 20 infants, met the inclusion criteria of this
review. Study authors administered three doses of nebulized 2.25% racemic
epinephrine or placebo. We found no differences between the two group in the
duration of supplemental oxygen therapy (mean difference (MD) -6.60, 95%
confidence interval (CI) -54.80 to 41.60 hours) and need for mechanical
ventilation (risk ratio (RR) 0.67, 95% CI 0.08 to 5.88; risk difference (RD)
0.07, 95% CI -0.46 to 0.32). Among secondary outcomes, we found no differences in
terms of initiation of oral feeding. The quality of the evidence was limited due
to the imprecision of the estimates. AUTHORS' CONCLUSIONS: At present there is
insufficient evidence to determine the efficacy and safety of epinephrine in the
management of transient tachypnea of the newborn.
PMID- 27211232
TI - Three-Dimensional Structures of MoS2@Ni Core/Shell Nanosheets Array toward
Synergetic Electrocatalytic Water Splitting.
AB - Hydrogen evolution reaction (HER) in alkaline media using non-noble metal
catalysts with great efficiency represents a critical challenge in current water
alkaline and chlor-alkali electrolyzers. Herein, we demonstrate that the MoS2@Ni
core/shell nanosheets array vertically aligned on carbon cloth (MoS2@Ni/CC) is a
highly active electrocatalyst for HER. In alkaline solutions, MoS2@Ni/CC needs
overpotentials of 91, 118, and 196 mV to approach current densities of 10, 20,
and 100 mA cm(-2), respectively, exceeding behavior of commercial Pt/C catalyst
at high current densities. Additionally, this catalyst also exhibits excellent
electrocatalytic activity toward HER in neutral electrolytes. Such high hydrogen
evolution activities are due to synergistic electrocatalytic effects between MoS2
core and Ni shell.
PMID- 27211233
TI - Combined use of real-time PCR and nested sequence-based typing in survey of human
Legionella infection - ERRATUM.
PMID- 27211234
TI - Gluten free diet and nutrient deficiencies: A review.
AB - BACKGROUND & AIMS: The only available treatment for celiac disease (CD) is
lifelong adherence to gluten free (GF)-diet. However, GF-diet may lead to
possible nutrient unbalance resulting in improper nutritional quality of diet.
The aim of this study is to evaluate the nutritional quality of GF-diet. METHODS:
MEDLINE(r)/PubMed and Cochrane Library were electronically searched for articles
published between 1990/01/01 and 2015/09/01. RESULTS: GF-diet was found to be
poor in alimentary fiber due in particular to the necessary avoidance of several
kinds of foods naturally rich in fiber (i.e. grain) and the low content of fiber
of GF product that are usually made with starches and/or refined flours.
Micronutrients are also found to be poor, in particular Vit. D, Vit. B12 and
folate, in addition to some minerals such as iron, zinc, magnesium and calcium.
Moreover, an inadequate macronutrient intake was reported related above all to
the focus on the avoidance of gluten that often leaving back the importance of
nutritional quality of the choice. In particular, it was found a higher content
of both saturated and hydrogenated fatty acids and an increase in the glycemic
index and glycemic load of the meal. CONCLUSIONS: Despite the GF-diet is
necessary in celiac disease treatment and the attention is on gluten avoidance,
the evaluation of nutritional quality of the diet must be considered. Moreover,
educational strategies based on the relationship between nutrients and food and
human health could be developed to optimize the therapeutic approach in celiac
patients.
PMID- 27211239
TI - Abnormal topological organization of the white matter network in Mandarin
speakers with congenital amusia.
AB - Congenital amusia is a neurogenetic disorder that mainly affects the processing
of musical pitch. Brain imaging evidence indicates that it is associated with
abnormal structural and functional connections in the fronto-temporal region.
However, a holistic understanding of the anatomical topology underlying amusia is
still lacking. Here, we used probabilistic diffusion tensor imaging tractography
and graph theory to examine whole brain white matter structural connectivity in
31 Mandarin-speaking amusics and 24 age- and IQ-matched controls. Amusics showed
significantly reduced global connectivity, as indicated by the abnormally
decreased clustering coefficient (Cp) and increased normalized shortest path
length (lambda) compared to the controls. Moreover, amusics exhibited enhanced
nodal strength in the right inferior parietal lobule relative to controls. The co
existence of the lexical tone deficits was associated with even more deteriorated
global network efficiency in amusics, as suggested by the significant correlation
between the increments in normalized shortest path length (lambda) and the
insensitivity in lexical tone perception. Our study is the first to reveal
reduced global connectivity efficiency in amusics as well as an increase in the
global connectivity cost due to the co-existed lexical tone deficits. Taken
together these results provide a holistic perspective on the anatomical
substrates underlying congenital amusia.
PMID- 27211241
TI - The ins and outs of phosphosignalling in Plasmodium: Parasite regulation and host
cell manipulation.
AB - Signal transduction and kinomics have been rapidly expanding areas of
investigation within the malaria research field. Here, we provide an overview of
phosphosignalling pathways that operate in all stages of the Plasmodium life
cycle. We review signalling pathways in the parasite itself, in the cells it
invades, and in other cells of the vertebrate host with which it interacts. We
also discuss the potential of these pathways as novel targets for antimalarial
intervention.
PMID- 27211240
TI - Mechanisms of host seeking by parasitic nematodes.
AB - The phylum Nematoda comprises a diverse group of roundworms that includes
parasites of vertebrates, invertebrates, and plants. Human-parasitic nematodes
infect more than one billion people worldwide and cause some of the most common
neglected tropical diseases, particularly in low-resource countries [1].
Parasitic nematodes of livestock and crops result in billions of dollars in
losses each year [1]. Many nematode infections are treatable with low-cost
anthelmintic drugs, but repeated infections are common in endemic areas and drug
resistance is a growing concern with increasing therapeutic and agricultural
administration [1]. Many parasitic nematodes have an environmental infective
larval stage that engages in host seeking, a process whereby the infective larvae
use sensory cues to search for hosts. Host seeking is a complex behavior that
involves multiple sensory modalities, including olfaction, gustation,
thermosensation, and humidity sensation. As the initial step of the parasite-host
interaction, host seeking could be a powerful target for preventative
intervention. However, host-seeking behavior remains poorly understood. Here we
review what is currently known about the host-seeking behaviors of different
parasitic nematodes, including insect-parasitic nematodes, mammalian-parasitic
nematodes, and plant-parasitic nematodes. We also discuss the neural bases of
these behaviors.
PMID- 27211242
TI - The languages of parasite communication.
AB - Although it is regarded as self-evident that parasites interact with their hosts,
with the primary aim of enhancing their own survival and transmission, the extent
to which unicellular parasites communicate with each has been severely
underestimated. Recent publications show that information is commonly exchanged
between parasites of the same species and that this can govern their decisions to
divide, to differentiate or to migrate as a group. Communication can take the
form of soluble secreted factors, extracellular vesicles or contact between
cells. Extracellular parasites can do this directly, while intracellular
parasites use the infected host cell - or components derived from it - as an
intermediary. By emitting signals that can be dispersed within the host,
parasites can also have long-distance effects on the course of an infection and
its pathology. This article presents an overview of recent developments in this
field and draws attention to some older work that merits re-examination.
PMID- 27211243
TI - Tethered bilayer membranes as a complementary tool for functional and structural
studies: The pyolysin case.
AB - We demonstrate the use of tethered bilayer lipid membranes (tBLMs) as an
experimental platform for functional and structural studies of membrane
associated proteins by electrochemical techniques. The reconstitution of the
cholesterol-dependent cytolysin (CDC) pyolysin (PLO) from Trueperella pyogenes
into tBLMs was followed in real-time by electrochemical impedance spectroscopy
(EIS). Changes of the EIS parameters of the tBLMs upon exposure to PLO solutions
were consistent with the dielectric barrier damage occurring through the
formation of water-filled pores in membranes. Parallel experiments involving a
mutant version of PLO, which is able to bind to the membranes but does not form
oligomer pores, strengthen the reliability of this methodology, since no change
in the electrochemical impedance was observed. Complementary atomic force
microscopy (AFM) and neutron reflectometry (NR) measurements revealed structural
details of the membrane bound PLO, consistent with the structural transformations
of the membrane bound toxins found for other cholesterol dependent cytolysins. In
this work, using the tBLMs platform we also observed a protective effect of the
dynamin inhibitor Dynasore against pyolysin as well as pneumolysin. An effect of
Dynasore in tBLMs, which was earlier observed in experiments with live cells,
confirms the biological relevance of the tBLMs models, as well as demonstrates
the potential of the electrochemical impedance spectroscopy to quantify membrane
damage by the pore forming toxins. In conclusion, tBLMs are a reliable and
complementary method to explore the activity of CDCs in eukaryotic cells and to
develop strategies to limit the toxic effects of CDCs.
PMID- 27211244
TI - Tasiamide F, a potent inhibitor of cathepsins D and E from a marine
cyanobacterium.
AB - In search of novel protease inhibitors with therapeutic potential, our efforts
exploring the marine cyanobacterium Lyngbya sp. have led to the discovery of
tasiamide F (1), which is an analogue of tasiamide B (2). The structure was
elucidated using a combination of NMR spectroscopy and mass spectrometry. The key
structural feature in 1 is the presence of the Phe-derived statine core, which
contributes to its aspartic protease inhibitory activity. The antiproteolytic
activity of 1 and 2 was evaluated in vitro against cathepsins D and E, and BACE1.
Tasiamide F (1) displayed IC50 values of 57nM, 23nM, and 0.69MUM, respectively,
indicating greater selectivity for cathepsins over BACE1 compared with tasiamide
B (2). Molecular docking experiments were carried out for compounds 1 and 2
against cathepsins D and E to rationalize their activity towards these proteases.
The dysregulated activities of cathepsins D and E have been implicated in cancer
and modulation of immune responses, respectively, and these proteases represent
potential therapeutic targets.
PMID- 27211246
TI - Organocatalyzed Enantioselective Direct Mannich Reaction of alpha-Styrylacetates.
AB - An organocatalyzed direct Mannich reaction of unactivated alpha-styrylacetates
was realized for the first time. By using a quinidine-derived C6'-urea catalyst,
the direct Mannich reaction of alpha-styrylacetates and N-tosylimines gave the
desired beta-amino esters in high yields, diastereoselectivities, and ee values.
The reaction provides a highly stereoselective (up to 96:4 dr and 97% ee) and the
most straightforward synthesis of functionalized N-tosylated beta-amino esters.
The products can be used as precursors for the highly selective synthesis of
tetrahydrofuran derivatives.
PMID- 27211245
TI - Design, synthesis and anticonvulsant activity of new hybrid compounds derived
from N-phenyl-2-(2,5-dioxopyrrolidin-1-yl)-propanamides and -butanamides.
AB - The focused library of 21 new N-phenyl-2-(2,5-dioxopyrrolidin-1-yl)propanamide, 2
(3-methyl-2,5-dioxopyrrolidin-1-yl)propanamide, and 2-(2,5-dioxopyrrolidin-1
yl)butanamide derivatives as potential new hybrid anticonvulsant agents was
synthesized. These hybrid molecules were obtained as close analogs of previously
described N-benzyl derivatives and fuse the chemical fragments of clinically
relevant antiepileptic drugs such as ethosuximide, levetiracetam, and lacosamide.
The initial anticonvulsant screening was performed in mice (ip) using the
'classical' maximal electroshock (MES) and subcutaneous pentylenetetrazole
(scPTZ) tests, as well as in the six-Hertz (6Hz) model of pharmacoresistant
limbic seizures. Applying the rotarod test, the acute neurological toxicity was
determined. The broad spectra of activity across the preclinical seizure models
in mice (ip) displayed compounds 4, 5, 11, and 19. The most favorable
anticonvulsant properties demonstrated 4 (ED50 MES=96.9mg/kg,
ED50scPTZ=75.4mg/kg, ED50 6Hz=44.3mg/kg) which showed TD50=335.8mg/kg in the
rotarod test that yielded satisfying protective indexes (PI MES=3.5, PI
scPTZ=4.4, PI 6Hz=7.6). Consequently, compound 4 revealed comparable or better
safety profile than model antiepileptic drugs (AEDs): ethosuximide, lacosamide,
and valproic acid. In the in vitro assays, compound 4 was observed as relatively
effective binder to the neuronal voltage-sensitive sodium and diltiazem site of L
type calcium channels.
PMID- 27211247
TI - Spontaneous Migration of Polyethylene Molecule Sheathed inside Single-Walled
Carbon Nanotube for Nano-Heat Pipe.
AB - Development of nanoscale thermal exchanging devices is critical to thermal
management in nanoscale. The spontaneous migration of polyethylene molecule
sheathed inside single-walled carbon nanotube (SWCNT) are observed. And the multi
factor analysis of spontaneous migration about temperature, mass and potential
barrier shows new features about motion mechanisms, and enriches the existing
mass transport theory greatly. Here, based on this finding, we report a nano-heat
pipe (NHP) composing of a SWCNT and a polyethylene molecule. Using molecular
dynamics simulations, the heat exchanging coefficient can reach 450 WK(-1) cm(-2)
at 500 K by NHP arrays with a quantity density of 7 * 10(13) cm(-2). This study
will benefit the designs of NHP and other nanoscale mass transport devices.
PMID- 27211248
TI - Red Phosphorescence from Benzo[2,1,3]thiadiazoles at Room Temperature.
AB - We describe the red phosphorescence exhibited by a class of structurally simple
benzo[2,1,3]thiadiazoles at room temperature. The photophysical properties of
these molecules in deoxygenated cyclohexane, including their absorption spectra,
steady-state photoluminescence and excitation spectra, and phosphorescence
lifetimes, are presented. Time-dependent density functional theory calculations
were carried out to better understand the electronic excited states of these
benzo[2,1,3]thiadiazoles and why they are capable of phosphorescence.
PMID- 27211249
TI - Second-Line Palliative Chemotherapy in Advanced Gall Bladder Cancer, CAP-IRI:
Safe and Effective Option.
AB - INTRODUCTION: Gall bladder cancer (GBC) has high prevalence in the Indo-Gangetic
belt in India. While the first-line chemotherapy (CT1) has been established as
gemcitabine-platinum doublet in advanced GBC, there is no standard recommendation
or guidelines regarding feasibility of second-line therapy. METHODS: We performed
a retrospective analysis of all patients who received second-line of chemotherapy
(CT2) at our institution from July 2012 to December 2014. Patient records were
examined for efficacy and toxicity of administered CT2, along with response rates
(RR) and survival. Potential prognostic factors were also evaluated. RESULTS:
Eighty-seven patients received CT2 in the predefined period. Ninety-nine percent
of patients had received a gemcitabine-based regimen as CT1 with a median
progression-free survival (PFS) of 5 months before CT2. 51.7 % patients had
undergone surgery prior with 5.7 % patients having received radiotherapy
previously. Prior to beginning CT2, PS was 0/1 in 67.8 % patients, albumin was >4
g% in 40.2 % and CA 19.9 was raised in a majority (66.7 %) patients,
respectively. As per institution protocol, a majority of patients (89.6 %) were
administered CAP-IRI regimen. Overall RR and disease control rates (DCR) were
21.8 % and 41.3 %, respectively. Median progression-free survival (PFS) and
overall survival (OS) were 6 and 8 months, with no significant differences
between CAP-IRI and other regimens. Adverse effects were tolerable, with dose
reduced upfront in 23 % patients and 11.5 % patients during subsequent cycles of
CT. ECOG Performance Status (PS) of 0/1 was a significant prognostic variable for
OS on multivariate analysis (p = 0.003). CONCLUSION: CAP-IRI is a well-tolerated
second-line chemotherapeutic regimen in patients with advanced GBC. Careful
selection of patients is required when administering second-line chemotherapy to
advanced GBC patients, with particular emphasis on ECOG PS.
PMID- 27211251
TI - Organised crime against the academic peer review system.
PMID- 27211252
TI - Structural and molecular determinants regulating mGluR5 surface expression.
AB - Trafficking of G protein-coupled receptors (GPCRs) to the plasma membrane is a
pivotal process to fulfill their biological functions. Metabotropic glutamate
receptors (mGluRs; mGluR1-8) are expressed throughout the CNS and are important
for modulating synaptic transmission and plasticity. Group I mGluRs, including
mGluR1 and mGluR5, have long intracellular C-terminal tails containing multiple
protein binding domains and sites for phosphorylation and ER retention. We have
now investigated some of the structural determinants for mGluR5 trafficking to
the plasma membrane by studying a series of truncations and ligand binding
mutants. We also take advantage of dimer formation between the extracellular
domain (ECD) of mGluR5 and design an ECD based surface-binding assay to evaluate
dimerization and surface expression of mGluR5 containing various truncations or
point mutations. We found that the C terminus is not essential for mGluR5 surface
expression. In contrast, the 7th transmembrane domain (TM7) plays a critical role
in its surface expression in both heterologous cells and neurons. Furthermore, a
ligand binding mutation within the ECD of mGluR5 (Y64A/T174A) that blocks ligand
binding impairs both surface expression and dimerization of mGluR5 in neurons.
The integrity of both the whole 7TM domain and the C- terminal tail of mGluR5 are
also important for stabilizing dimerization with the ECD. Thus multiple domains
regulate dimerization and trafficking of mGluR5. This article is part of the
Special Issue entitled 'Metabotropic Glutamate Receptors, 5 years on'.
PMID- 27211253
TI - Ketamine treatment involves medial prefrontal cortex serotonin to induce a rapid
antidepressant-like activity in BALB/cJ mice.
AB - Unlike classic serotonergic antidepressant drugs, ketamine, an NMDA receptor
antagonist, exhibits a rapid and persistent antidepressant (AD) activity, at sub
anaesthetic doses in treatment-resistant depressed patients and in preclinical
studies in rodents. The mechanisms mediating this activity are unclear. Here, we
assessed the role of the brain serotonergic system in the AD-like activity of an
acute sub-anaesthetic ketamine dose. We compared ketamine and fluoxetine
responses in several behavioral tests currently used to predict
anxiolytic/antidepressant-like potential in rodents. We also measured their
effects on extracellular serotonin levels [5-HT]ext in the medial prefrontal
cortex (mPFCx) and brainstem dorsal raphe nucleus (DRN), a serotonergic nucleus
involved in emotional behavior, and on 5-HT cell firing in the DRN in highly
anxious BALB/cJ mice. Ketamine (10 mg/kg i.p.) had no anxiolytic-like effect, but
displayed a long lasting AD-like activity, i.e., 24 h post-administration,
compared to fluoxetine (18 mg/kg i.p.). Ketamine (144%) and fluoxetine (171%)
increased mPFCx [5-HT]ext compared to vehicle. Ketamine-induced AD-like effect
was abolished by a tryptophan hydroxylase inhibitor, para-chlorophenylalanine
(PCPA) pointing out the role of the 5-HT system in its behavioral activity.
Interestingly, increase in cortical [5-HT]ext following intra-mPFCx ketamine
bilateral injection (0.25 MUg/side) was correlated with its AD-like activity as
measured on swimming duration in the FST in the same mice. Furthermore, pre
treatment with a selective AMPA receptor antagonist (intra-DRN NBQX) blunted the
effects of intra-mPFCx ketamine on both the swimming duration in the FST and
mPFCx [5-HT]ext suggesting that the AD-like activity of ketamine required
activation of DRN AMPA receptors and recruited the prefrontal cortex/brainstem
DRN neural circuit in BALB/c mice. These results confirm a key role of cortical 5
HT release in ketamine's AD-like activity following the blockade of glutamatergic
NMDA receptors. Tight interactions between mPFCx glutamatergic and serotonergic
systems may explain the differences in this activity between ketamine and
fluoxetine in vivo. This article is part of the Special Issue entitled
'Ionotropic glutamate receptors'.
PMID- 27211254
TI - Multiparametric MR can identify high grade prostatic intraepithelial neoplasia
(HGPIN) lesions and predict future detection of prostate cancer in men with a
negative initial prostate biopsy.
AB - PURPOSE: This study aims to determine the pre-biopsy diffusion-weighted imaging
(DWI) and magnetic resonance spectroscopic imaging (MRSI) characteristics of
patients with high-grade prostatic intraepithelial neoplasia (HGPIN) and perform
follow-up studies in these patients to assess the clinical implications.
MATERIALS AND METHODS: One hundred sixteen men with prostate specific antigen
between 4 and 10ng/ml underwent pre-biopsy MR examinations. Nine of them had
HGPIN lesions without concomitant prostate cancer (PCa) on biopsy. Apparent
diffusion coefficient (ADC) and metabolite ratio [Citrate/(Choline+Creatine)]
were calculated and these 9 patients were followed to determine the clinical
outcomes. RESULTS: Mean ADC for HGPIN foci was 1.01+/-0.16*10(-3)mm(2)/s while
for the normal peripheral zone it was 1.69+/-0.25*10(-3)mm(2)/s (p<0.005). Mean
metabolite ratio for voxels in the HGPIN region of initial biopsy was 0.24+/-0.16
while for the normal peripheral zone the value was 2.66+/-1.57 (p<0.005). Four of
5 patients who were available for follow-up were detected to have prostate cancer
on repeat biopsy. No significant change in metabolite ratio and PSA was observed
while ADC showed further reduction on follow-up. CONCLUSION: HGPIN foci have ADC
and metabolite ratio values similar to adenocarcinoma prostate, indicating that
such patients have a high likelihood of developing cancer. DWI may help identify
such men who may be candidates for close follow-up.
PMID- 27211256
TI - Self-reported gadolinium toxicity: A survey of patients with chronic symptoms.
AB - PURPOSE: This study aims to describe the self-reporting symptoms experienced by
individuals with self-reported normal renal function after gadolinium based
contrast agent (GBCA) administration. MATERIALS AND METHODS: This HIPAA
compliant, IRB-approved study consisted of an anonymous online survey of patients
who believe that they suffer from gadolinium toxicity. 50 respondents completed
the nine-question survey. RESULTS: Fifty (100%) of the subjects ascribed their
complaints to gadolinium exposure. Thirty-three (66%) described the onset
immediately following GBCA administration and 16 (32%) within 6weeks. The most
common symptoms included bone/joint pain and head/neck symptoms including
headache, vision change, and hearing change (77.6% each). Other symptoms occurred
with lesser incidence. CONCLUSIONS: This survey represents an initial description
of patients with normal renal function who self-described toxicity related to
GBCA administration. Bone and joint complaints and skin changes are two of the
most common complaints.
PMID- 27211255
TI - Shape and diffusion tensor imaging based integrative analysis of the hippocampus
and the amygdala in Alzheimer's disease.
AB - We analyzed, in an integrative fashion, the morphometry and structural integrity
of the bilateral hippocampi and amygdalas in Alzheimer's disease (AD) using T1
weighted images and diffusion tensor images (DTIs). We detected significant
hippocampal and amygdalar volumetric atrophies in AD relative to healthy controls
(HCs). Shape analysis revealed significant region-specific atrophies with the
hippocampal atrophy mainly being concentrated on the CA1 and CA2 while the
amygdalar atrophy was concentrated on the basolateral and basomedial. In all
structures, the structural integrity displayed a significantly decreased mean
fractional anisotropy (FA) value and an increased mean trace value in AD. In
addition to the inter-group comparisons, we systematically evaluated the
discriminative power of our three types of features (volume, shape, and DTI),
both individually and in their possible combinations, when differentiating
between AD and HCs. We found the volume features to be redundant when the more
sophisticated shape features were available. A combination of the shape and DTI
features of the right hippocampus, with classification automatically performed by
support vector machine, yielded the strongest classification result (overall
accuracy, 94.6%; sensitivity, 95.5%; specificity, 93.3%).
PMID- 27211257
TI - Fat fraction estimation of morphologically normal lumbar vertebrae using the two
point mDixon turbo spin-echo MRI with flexible echo times and multipeak spectral
model of fat: Comparison between cancer and non-cancer patients.
AB - PURPOSE: This study aims to compare fat fraction of lumbar vertebrae between
cancer and non-cancer patients, using the two-point modified Dixon (mDixon) turbo
spin-echo (TSE) MRI with flexible echo times and multipeak fat spectral model.
MATERIALS AND METHODS: Fat fraction was calculated from fat and water images
reconstructed by the mDixon TSE technique. Fat fraction of fat-water phantoms
measured with the mDixon TSE method was compared with actual fat percentages.
Patients who had undergone mDixon spine MRI and dual-energy X-ray absorptiometry
within one year and had no bone metastasis were divided into cancer (n=7) and non
cancer (n=23) groups. Fat fraction and bone mineral density (BMD) were compared
between the two groups. RESULTS: Fat fraction of phantoms measured with mDixon
MRI was highly correlated with their actual fat percentages (P<0.01, R(2)=0.93).
Fat fraction of lumbar vertebrae was significantly lower in cancer patients
(58.27+/-3.16%) than in non-cancer patients (70.48+/-1.83%) (P<0.01). BMD was not
different between cancer (0.912+/-0.057g/cm(2)) and non-cancer patients (0.876+/
0.032g/cm(2)) (P=0.58). Fat fraction and BMD showed no significant correlation
(P=0.95, R=0.006). CONCLUSIONS: A two-point mDixon TSE method for assessing fat
fraction was reliable. Fat fraction of morphologically normal lumbar vertebrae
was significantly lower in cancer patients compared to non-cancer patients, using
the two-point mDixon TSE technique.
PMID- 27211258
TI - Fast temperature estimation from undersampled k-space with fully-sampled center
for MR guided microwave ablation.
AB - PURPOSE: This study aims to accelerate MR temperature imaging using the proton
resonance frequency (PRF) shift method for real time temperature monitoring
during thermal ablation. MATERIALS AND METHODS: The proposed method estimates
temperature changes from undersampled k-space with a fully sampled center. This
proposed algorithm is based on the hybrid multi-baseline and referenceless
treatment image model and can be seen as an extension of the conventional k-space
based hybrid thermometry. The parameters of hybrid model are acquired by
utilizing information from low resolution images which are obtained from fully
sampled centers of k-space. Registration is used to correct temperature errors
due to the displacement of the subject. Phantom heating simulations, motion
simulations, phantom heating and in-vivo experiments were performed to
investigate the efficiency of the proposed method. SPIRiT and the conventional k
space estimation reconstruction thermometry were implemented for comparison using
the same sampling pattern. RESULTS: The phantom heating simulations showed that
the proposed method results in lower RMSEs than the conventional k-space hybrid
thermometry and SPIRiT at various reduction factors tested. The motion
simulations indicated the robustness of the proposed method to displacement of
the subject. Phantom heating experiment further demonstrated the ability of the
method to reconstruct temperature maps with less computation time and higher
accuracy (RMSEs lower than 0.4 degrees C) at a net reduction factor of 3.5 in the
presence of large noise caused by a microwave needle. In-vivo experiments
validated the feasibility of the proposed method to estimate temperature changes
from undersampled k-space (net reduction factor 4.3) in presence of respiratory
motion and complicated anatomical structure, while reducing computation time as
much as 10-fold compared with the conventional k-space method. CONCLUSION: The
proposed method accelerates the PRF-shift MR thermometry and provides more
accurate temperature maps in presence of motion with relatively short computation
time, which may make real time imaging for MR-guided microwave ablation possible.
PMID- 27211259
TI - Comparison of actual with default hematocrit value in dynamic contrast enhanced
MR perfusion quantification in grading of human glioma.
AB - PURPOSE: Dynamic contrast enhanced (DCE) MRI is used to grade and to monitor the
progression of glioma while on treatment. Usually, a fixed hematocrit (Hct) value
for adults is assumed to be ~45%; however, it is actually known for individual
variations. Purpose of this study was to investigate the effect of measured Hct
values in glioma grading using DCE-MRI. MATERIALS AND METHODS: Fifty glioma
patients were included in this study. Kinetic and hemodynamic parameters were
estimated for each patient using assumed as well as measured Hct values. To look
the changes in Hct value over time, Hct was measured multiple times from 10 of
these glioma patients who were on treatment. Simulation was done to look for the
effect of extreme variations of Hct values on perfusion metrics. The data was
compared to look for significant differences in the perfusion metrics derived
from assumed and measured Hct values. RESULTS: The measured Hct value in patients
was found to be (40.4+/-4.28)%. The sensitivity and specificity of DCE-MRI
parameters in glioma grading were not significantly influenced by using measured
vis-a-vis assumed Hct values. The serial Hct values from 10 patients who were on
treatment showed a fluctuation of 15-20% over time. The simulated data showed
linear influence of Hct values on kinetic parameters. The tumor grading was
altered on altering the Hct values in borderline cases. CONCLUSION: Hct values
influence the hemodynamic and kinetic metrics linearly and may affect glioma
grading. However, perfusion metrics values might change significantly with large
change in Hct values, especially in patients who are on chemotherapy
necessitating its use in the DCE model.
PMID- 27211260
TI - A new NOE-mediated MT signal at around -1.6ppm for detecting ischemic stroke in
rat brain.
AB - In the present work, we reported a new nuclear Overhauser enhancement (NOE)
mediated magnetization transfer (MT) signal at around -1.6ppm (NOE(-1.6)) in rat
brain and investigated its application in the detection of acute ischemic stroke
in rodent model. Using continuous wave (CW) MT sequence, the NOE(-1.6) is
reliably detected in rat brain. The amplitude of this new NOE signal in rat brain
was quantified using a 5-pool Lorentzian Z-spectral fitting method. Amplitudes of
amide, amine, NOE at -3.5ppm (NOE(-3.5)), as well as NOE(-1.6) were mapped using
this fitting method in rat brain. Several other conventional imaging parameters
(R1, R2, apparent diffusion coefficient (ADC), and semi-solid pool size ratio
(PSR)) were also measured. Our results show that NOE(-1.6), R1, R2, ADC, and APT
signals from stroke lesion have significant changes at 0.5-1h after stroke.
Compared with several other imaging parameters, NOE(-1.6) shows the strongest
contrast differences between stroke and contralateral normal tissues and stays
consistent over time until 2h after onset of stroke. Our results demonstrate that
this new NOE(-1.6) signal in rat brain is a new potential contrast for assessment
of acute stroke in vivo and might provide broad applications in the detection of
other abnormal tissues.
PMID- 27211261
TI - Optimal dietary protein level improved growth, disease resistance, intestinal
immune and physical barrier function of young grass carp (Ctenopharyngodon
idella).
AB - This study investigated the effects of dietary proteins on the growth, disease
resistance, intestinal immune and physical barrier functions of young grass carp
(Ctenopharyngodon idella). A total of 540 young grass carp (264.11 +/- 0.76 g)
were fed six diets containing graded levels of protein (143.1, 176.7, 217.2,
257.5, 292.2 and 322.8 g digestible protein kg(-1) diet) for 8 weeks. After the
growth trial, fish were challenged with Aeromonas hydrophila and mortalities were
recorded for 14 days. The results indicated that optimal dietary protein levels:
increased the production of antibacterial components, up-regulated anti
inflammatory cytokines, inhibitor of kappaBalpha, target of rapamycin and
ribosomal protein S6 kinases 1 mRNA levels, whereas down-regulated pro
inflammatory cytokines, nuclear factor kappa B (NF-kappaB) P65, NF-kappaB P52, c
Rel, IkappaB kinase beta, IkappaB kinase gamma and eIF4E-binding proteins 2 mRNA
levels in three intestinal segments of young grass carp (P < 0.05), suggesting
that optimal dietary protein level could enhance fish intestinal immune barrier
function; up-regulated the mRNA levels of tight junction complexes, B-cell
lymphoma protein-2, inhibitor of apoptosis proteins, myeloid cell leukemia-1 and
NF-E2-related factor 2, and increased the activities and mRNA levels of
antioxidant enzymes, whereas down-regulated myosin light chain kinase, cysteinyl
aspartic acid-protease 2, 3, 7, 8, 9, fatty acid synthetase ligand, apoptotic
protease activating factor-1, Bcl-2 associated X protein, p38 mitogen-activated
protein kinase, c-Jun N-terminal protein kinase and Kelch-like-ECH-associated
protein 1b mRNA levels, and decreased reactive oxygen species, malondialdehyde
and protein carbonyl contents in three intestinal segments of young grass carp (P
< 0.05), indicating that optimal dietary protein level could improve fish
intestinal physical barrier function. Finally, the optimal dietary protein levels
for the growth performance (PWG) and against enteritis morbidity of young grass
carp were estimated to be 286.82 g kg(-1) diet (250.66 g digestible protein kg(
1) diet) and 292.10 g kg(-1) diet (255.47 g digestible protein kg(-1) diet),
respectively.
PMID- 27211262
TI - Gene expression profiling in melanised sites of Atlantic salmon fillets.
AB - Black spots, which deteriorate quality of Atlantic salmon fillets represent a
significant problem for commercial aquaculture. These areas are characterized
with accumulation of melanomacrophages, occasional formation of granulomas and
substitution of skeletal muscle with connective tissue. A number of possible
causative agents have been suggested including vaccination and infection with
piscine reovirus (PRV). We report transcriptome profiling of melanised foci with
oligonucleotide DNA microarrays. Analyses revealed a multitude of differentially
expressed genes associated with melanogenesis, metabolic changes and formation of
scar. The immune profile was characterized with inflammation, preferential
activation of classical complement pathway, MHCII and helper T cells combined
with strong B cells responses and massive induction of immunoglobulins; innate
antiviral responses were relatively weak in sharp contrast to PRV-caused heart
and skeletal muscle inflammation and other viral infections. A panel of immune
genes with specific activation in dark spots was found, most up-regulated were
CD209-like lectin (44-fold) and prostaglandin reductase (11-fold). Further, RNA
sequencing was performed on the same material to search for the presence of
putative pathogens. Transcripts of prokaryotic rRNA with exclusive or
preferential location in black spots were found. Results suggest mild chronic
inflammation initiated with trauma, bacterial or viral infection followed by
sustained immune responses to opportunistic microorganisms as a realistic
scenario of dark spots formation.
PMID- 27211263
TI - Activation of wnt/beta-catenin signaling blocks monocyte-macrophage
differentiation through antagonizing PU.1-targeted gene transcription.
PMID- 27211264
TI - Loss of the mismatched human leukocyte antigen haplotype in two acute myelogenous
leukemia relapses after haploidentical bone marrow transplantation with post
transplantation cyclophosphamide.
PMID- 27211265
TI - Immunoparesis in newly diagnosed AL amyloidosis is a marker for response and
survival.
AB - Immunoparesis is an adverse prognostic marker in plasma cell proliferative
disorders. Its impact in AL amyloidosis has not been explored in depth. Newly
diagnosed AL amyloidosis patients (n=998) were evaluated for immunoparesis by two
methods. The first method was qualitative, considering the number of suppressed
uninvolved immunoglobulins below the lower limit of normal (LLN) (none, partial,
all). The second method was quantitative, assessing the average relative
difference (ARD) of the uninvolved immunoglobulins from the LLN. Patients with
suppression of all the uninvolved immunoglobulins were less likely to achieve
very good partial response (VGPR) or better to first-line treatment (44%)
compared with patients with partial suppression (68%) or preserved uninvolved
immunoglobulins (64%; P<0.0001). In addition, patients with suppression of all
the uninvolved immunoglobulins had a shorter survival compared with the
respective comparators (median 18 vs 54 vs 52 months; P<0.0001). In the
quantitative method, patients with a negative ARD were less likely to achieve
VGPR or better (48%) and had a shorter survival (median 24 months) compared with
patients with a positive ARD (69%, 57 months, respectively; P<0.0001). In a
multivariate analysis for survival, both assessment methods retained an
independent impact. Significant immunoparesis has a negative impact on response
and survival in newly diagnosed AL amyloidosis.
PMID- 27211266
TI - Eye on the B-ALL: B-cell receptor repertoires reveal persistence of numerous B
lymphoblastic leukemia subclones from diagnosis to relapse.
AB - The strongest predictor of relapse in B-cell acute lymphoblastic leukemia (B-ALL)
is the level of persistence of tumor cells after initial therapy. The high
mutation rate of the B-cell receptor (BCR) locus allows high-resolution tracking
of the architecture, evolution and clonal dynamics of B-ALL. Using longitudinal
BCR repertoire sequencing, we find that the BCR undergoes an unexpectedly high
level of clonal diversification in B-ALL cells through both somatic hypermutation
and secondary rearrangements, which can be used for tracking the subclonal
composition of the disease and detect minimal residual disease with unprecedented
sensitivity. We go on to investigate clonal dynamics of B-ALL using BCR
phylogenetic analyses of paired diagnosis-relapse samples and find that large
numbers of small leukemic subclones present at diagnosis re-emerge at relapse
alongside a dominant clone. Our findings suggest that in all informative relapsed
patients, the survival of large numbers of clonogenic cells beyond initial
chemotherapy is a surrogate for inherent partial chemoresistance or inadequate
therapy, providing an increased opportunity for subsequent emergence of fully
resistant clones. These results frame early cytoreduction as an important
determinant of long-term outcome.
PMID- 27211267
TI - Small molecule inhibition of cAMP response element binding protein in human acute
myeloid leukemia cells.
AB - The transcription factor CREB (cAMP Response-Element Binding Protein) is
overexpressed in the majority of acute myeloid leukemia (AML) patients, and this
is associated with a worse prognosis. Previous work revealed that CREB
overexpression augmented AML cell growth, while CREB knockdown disrupted key AML
cell functions in vitro. In contrast, CREB knockdown had no effect on long-term
hematopoietic stem cell activity in mouse transduction/transplantation assays.
Together, these studies position CREB as a promising drug target for AML. To test
this concept, a small molecule inhibitor of CREB, XX-650-23, was developed. This
molecule blocks a critical interaction between CREB and its required co-activator
CBP (CREB Binding Protein), leading to disruption of CREB-driven gene expression.
Inhibition of CBP-CREB interaction induced apoptosis and cell-cycle arrest in AML
cells, and prolonged survival in vivo in mice injected with human AML cells. XX
650-23 had little toxicity on normal human hematopoietic cells and tissues in
mice. To understand the mechanism of XX-650-23, we performed RNA-seq, ChIP-seq
and Cytometry Time of Flight with human AML cells. Our results demonstrate that
small molecule inhibition of CBP-CREB interaction mostly affects apoptotic, cell
cycle and survival pathways, which may represent a novel approach for AML
therapy.
PMID- 27211268
TI - KPT-8602, a second-generation inhibitor of XPO1-mediated nuclear export, is well
tolerated and highly active against AML blasts and leukemia-initiating cells.
AB - Acute myeloid leukemia (AML) is a clonal hematologic malignant disease of
developing myeloid cells that have acquired aberrant survival, uncontrolled
proliferation and a block in normal hematopoietic cell differentiation. Standard
chemotherapy often induces remissions in AML patients, but the disease frequently
relapses due to incomplete targeting of leukemia-initiating cells (LICs),
emphasizing the need for novel effective treatments. Exportin 1 (XPO1)-mediated
nuclear export, which is inhibited by the drug selinexor, is an attractive new
therapeutic target in AML. Selinexor has shown impressive activity in Phase I/II
clinical trials for AML. Here we report the anti-leukemic efficacy and
tolerability of KPT-8602, a second-generation XPO1 inhibitor. KPT-8602
demonstrates substantially reduced brain penetration compared to selinexor, with
resultant attenuation of the central nervous system mediated side effects of
anorexia and weight loss. Due to its improved tolerability profile, KPT-8602 can
be given daily compared to the two or three times weekly regimen of selinexor,
and exhibits greater anti-leukemic efficacy against both leukemic blasts and LICs
in AML patient-derived xenograft models. Importantly, normal hematopoietic stem
and progenitor cell (HSPC) frequency is not significantly reduced by KPT-8602,
providing a therapeutic window for elimination of relapse-driving LICs while
sparing normal HSPCs. These findings strongly endorse clinical testing of KPT
8602 in patients with relapsed and refractory AML.
PMID- 27211269
TI - The new provisional WHO entity 'RUNX1 mutated AML' shows specific genetics but no
prognostic influence of dysplasia.
PMID- 27211270
TI - Early relapse following initial therapy for multiple myeloma predicts poor
outcomes in the era of novel agents.
AB - Outcomes for patients with multiple myeloma (MM) have improved in recent years
owing to use of novel agents and high-dose therapy followed by autologous stem
cell transplant (ASCT). We analyzed the outcomes of 511 consecutive patients
treated with novel therapies at our institution between 2006 and 2014 to
determine the impact of relapse within 12 months of initiating treatment. A total
of 82 patients (16.0%) experienced early relapse, with median time to relapse of
8.0 months (95% confidence interval (CI); 6.3, 8.9). Median overall survival (OS)
was significantly worse for this group at 21.0 months (95% CI; 16.3, 27.2) vs not
reached (NR) (95% CI; 96.3, NR) for those with late relapse (P<0.001). Survival
outcomes remained poor among early relapse patients irrespective of depth of
response to initial therapy. In multivariate analysis, low albumin and high-risk
cytogenetics predicted early relapse. Outcomes of early relapse from early ASCT
were also considered; median OS from ASCT for those relapsing within 12 months
was 23.1 months (95% CI; 15.7, 32.4) vs 122.2 months (95% CI; 111.5, 122.2) for
the remaining patients (P<0.001). Early relapse remains a marker of poor
prognosis in the current era, and such patients should be targeted for clinical
trials.
PMID- 27211272
TI - Long-term findings from COMFORT-II, a phase 3 study of ruxolitinib vs best
available therapy for myelofibrosis.
AB - Ruxolitinib is a Janus kinase (JAK) (JAK1/JAK2) inhibitor that has demonstrated
superiority over placebo and best available therapy (BAT) in the Controlled
Myelofibrosis Study with Oral JAK Inhibitor Treatment (COMFORT) studies. COMFORT
II was a randomized (2:1), open-label phase 3 study in patients with
myelofibrosis; patients randomized to BAT could crossover to ruxolitinib upon
protocol-defined disease progression or after the primary end point, confounding
long-term comparisons. At week 48, 28% (41/146) of patients randomized to
ruxolitinib achieved ?35% decrease in spleen volume (primary end point) compared
with no patients on BAT (P<0.001). Among the 78 patients (53.4%) in the
ruxolitinib arm who achieved ?35% reductions in spleen volume at any time, the
probability of maintaining response was 0.48 (95% confidence interval (CI), 0.35
0.60) at 5 years (median, 3.2 years). Median overall survival was not reached in
the ruxolitinib arm and was 4.1 years in the BAT arm. There was a 33% reduction
in risk of death with ruxolitinib compared with BAT by intent-to-treat analysis
(hazard ratio (HR)=0.67; 95% CI, 0.44-1.02; P=0.06); the crossover-corrected HR
was 0.44 (95% CI, 0.18-1.04; P=0.06). There was no unexpected increased incidence
of adverse events with longer exposure. This final analysis showed that spleen
volume reductions with ruxolitinib were maintained with continued therapy and may
be associated with survival benefits.
PMID- 27211274
TI - A DNAzyme-mediated logic gate for programming molecular capture and release on
DNA origami.
AB - Here we design a DNA origami-based site-specific molecular capture and release
platform operated by a DNAzyme-mediated logic gate process. We show the
programmability and versatility of this platform with small molecules, proteins,
and nanoparticles, which may also be controlled by external light signals.
PMID- 27211273
TI - Cryptic splicing events in the iron transporter ABCB7 and other key target genes
in SF3B1-mutant myelodysplastic syndromes.
AB - The splicing factor SF3B1 is the most frequently mutated gene in myelodysplastic
syndromes (MDS), and is strongly associated with the presence of ring
sideroblasts (RS). We have performed a systematic analysis of cryptic splicing
abnormalities from RNA sequencing data on hematopoietic stem cells (HSCs) of
SF3B1-mutant MDS cases with RS. Aberrant splicing events in many downstream
target genes were identified and cryptic 3' splice site usage was a frequent
event in SF3B1-mutant MDS. The iron transporter ABCB7 is a well-recognized
candidate gene showing marked downregulation in MDS with RS. Our analysis
unveiled aberrant ABCB7 splicing, due to usage of an alternative 3' splice site
in MDS patient samples, giving rise to a premature termination codon in the ABCB7
mRNA. Treatment of cultured SF3B1-mutant MDS erythroblasts and a CRISPR/Cas9
generated SF3B1-mutant cell line with the nonsense-mediated decay (NMD) inhibitor
cycloheximide showed that the aberrantly spliced ABCB7 transcript is targeted by
NMD. We describe cryptic splicing events in the HSCs of SF3B1-mutant MDS, and our
data support a model in which NMD-induced downregulation of the iron exporter
ABCB7 mRNA transcript resulting from aberrant splicing caused by mutant SF3B1
underlies the increased mitochondrial iron accumulation found in MDS patients
with RS.
PMID- 27211271
TI - Next-generation sequencing identifies major DNA methylation changes during
progression of Ph+ chronic myeloid leukemia.
AB - Little is known about the impact of DNA methylation on the evolution/progression
of Ph+ chronic myeloid leukemia (CML). We investigated the methylome of CML
patients in chronic phase (CP-CML), accelerated phase (AP-CML) and blast crisis
(BC-CML) as well as in controls by reduced representation bisulfite sequencing.
Although only ~600 differentially methylated CpG sites were identified in samples
obtained from CP-CML patients compared with controls, ~6500 differentially
methylated CpG sites were found in samples from BC-CML patients. In the majority
of affected CpG sites, methylation was increased. In CP-CML patients who
progressed to AP-CML/BC-CML, we identified up to 897 genes that were methylated
at the time of progression but not at the time of diagnosis. Using RNA
sequencing, we observed downregulated expression of many of these genes in BC-CML
compared with CP-CML samples. Several of them are well-known tumor-suppressor
genes or regulators of cell proliferation, and gene re-expression was observed by
the use of epigenetic active drugs. Together, our results demonstrate that CpG
site methylation clearly increases during CML progression and that it may provide
a useful basis for revealing new targets of therapy in advanced CML.
PMID- 27211275
TI - Structure of the Dictyostelium Myosin-II Heavy Chain Kinase A (MHCK-A) alpha
kinase domain apoenzyme reveals a novel autoinhibited conformation.
AB - The alpha-kinases are a family of a typical protein kinases present in organisms
ranging from protozoa to mammals. Here we report an autoinhibited conformation
for the alpha-kinase domain of Dictyostelium myosin-II heavy chain kinase A (MHCK
A) in which nucleotide binding to the catalytic cleft, located at the interface
between an N-terminal and C-terminal lobe, is sterically blocked by the side
chain of a conserved arginine residue (Arg592). Previous alpha-kinase structures
have shown that an invariant catalytic aspartic acid residue (Asp766) is
phosphorylated. Unexpectedly, in the autoinhibited conformation the phosphoryl
group is transferred to the adjacent Asp663, creating an interaction network that
stabilizes the autoinhibited state. The results suggest that Asp766
phosphorylation may play both catalytic and regulatory roles. The autoinhibited
structure also provides the first view of a phosphothreonine residue docked into
the phospho-specific allosteric binding site (Pi-pocket) in the C-lobe of the
alpha-kinase domain.
PMID- 27211276
TI - Updated, web-based nutrition management guideline for PKU: An evidence and
consensus based approach.
AB - BACKGROUND: In 2014, recommendations for the nutrition management of
phenylalanine hydroxylase deficiency were published as a companion to the
concurrently published American College of Medical Genetics and Genomics
guideline for the medical treatment of phenylketonuria (PKU). These were
developed primarily from a summary of findings from the PKU scientific review
conference sponsored by the National Institutes of Health and Agency for
Healthcare Research & Quality along with additional systematic literature review.
Since that time, the Genetic Metabolic Dietitians International and the Southeast
Regional Newborn Screening and Genetics Collaborative have partnered to create a
web-based technology platform for the update and development of nutrition
management guidelines for inherited metabolic disorders. OBJECTIVE: The purpose
of this PKU guideline is to establish harmonization in treatment and monitoring,
to guide the integration of nutrition therapy in the medical management of PKU,
and to improve outcomes (nutritional, cognitive, and developmental) for
individuals with PKU in all life stages while reducing associated medical,
educational, and social costs. METHODS: Six research questions critical to PKU
nutrition management were formulated to support guideline development: Review,
critical appraisal, and abstraction of peer-reviewed studies and unpublished
practice literature, along with expert Delphi survey feedback, nominal group
process, and external review from metabolic physicians and dietitians were
utilized for development of recommendations relevant to each question.
Recommendations address nutrient intake, including updated protein requirements,
optimal blood phenylalanine concentrations, nutrition interventions, monitoring
parameters specific to life stages, adjunct therapies, and pregnancy and
lactation. Recommendations were graded using a rigorous system derived from the
Academy of Nutrition and Dietetics. RESULTS AND CONCLUSION: These guidelines,
updated utilizing a thorough and systematic approach to literature analysis and
national consensus process, are now easily accessible to the global community via
the newly developed digital platform. For additional details on specific topics,
readers are encouraged to review materials on the online portal:
https://GMDI.org/.
PMID- 27211277
TI - A Knotted Elemental Diet Tube in a Neonate: Serial Radiographs Demonstrating the
Process of Loop Formation.
PMID- 27211278
TI - Is the Antiglobulin Test a Good Marker for Predicting the Development of
Hemolytic Disease of the Newborn in ABO Incompatibility?
PMID- 27211279
TI - Effects of short light regimes and lower dietary protein content on the
reproductive performance of White Roman geese in an environment-controlled house.
AB - The objective of this study is to investigate the effects of short light regimes
and lower dietary protein content on the reproductive performance of White Roman
geese in an environment- controlled house. Thirty-two ganders and 80 geese during
the third laying period were allotted into 16 pens, randomly assigned into a
split-plot design with two different lighting regimes: (1) short light regimes
(SL) with 6.5h of light and 17.5h of dark (6.5L:17.5D), and (2) long light
regimes (LL) with 19L:5D during the 6-wk prelaying period, followed by two
different levels of protein diets (Low CP: 15% vs. High CP: 18%) for the laying
period. The results showed that birds treated with the SL light regime had a
heavier body weight compared to those treated with LL at the arrival of the peak
period of egg production (6.19 vs. 5.87kg, P<0.05). Geese under LL had a longer
laying period than those under SL treatment (277 vs. 175day, P<0.05), while the
geese under SL treatment had a higher laying intensity (15.4% vs. 12.6%, P<0.05),
fertility and hatchability than those under LL treatment. Our results suggest
that the White Roman geese treated with 6-wk short light regime during the
prelaying period and on the low CP diet during the laying period found conditions
sufficient to sustain their regular reproduction performance, which would benefit
geese farmers in the perspectives of energy saving and prolonged laying period.
PMID- 27211280
TI - Dystocia in dromedary camels: Prevalence, forms, risks and hematobiochemical
changes.
AB - The objectives of this study were to investigate the prevalence of dystocia in
camel herds, its forms in primi- and multipara, the risks to fetus and dam, and
the associated hematobiochemical changes. A total of 1890 calvings were surveyed
for the prevalence of dystocia. Cases with dystocia (n=107) were examined for
causes and treated with traction, fetotomy or Cesarean section. Logistic
regression was performed to identify risk factors. The dependent variables were
the fetal and maternal mortality, while the independent variables were parity,
duration of dystocia, causes of dystocia, and method of treatment. Blood samples
were collected from all dystocia camels and six controls for hematology and
concentrations of serum amyloid A (SAA), haptoglobin (Hp), estradiol-17beta (E2),
progesterone (P4), total protein, albumin, calcium, phosphorus, magnesium, blood
urea nitrogen (BUN), creatinine and aspartate aminotransferase (AST). The overall
prevalence of dystocia was 8.6%. Risk of dystocia was higher in camels managed in
an intensive system than in those in a free system (Odds ratio=1.9, P=0.0003) and
higher in primipara than in multipara (Odds ratio 1.7, P=0.005). Abnormal posture
was the most important cause of dystocia (51.4%). Uterine torsion was the second
most important cause (23.4%) and was mainly observed in multipara (P=0.0006).
Dystocia was linked to high fetal mortality (87.9%). A significant relationship
was found between fetal death and duration of dystocia (Odds ratio=8.04,
P=0.005). The percentage of dam mortality was 17.8%. Significant associations
were detected between dam mortality rate and the duration of dystocia (Odds
ratio=4.74, P=0.03) and fetal viability (Odds ratio=5.82, P=0.02). Increasing
duration of dystocia was associated with significant increases in SAA, Hp, BUN
and AST, but with decreases in E2 (P<0.05). After a transient period of
elevation, the white blood cell and neutrophil counts decreased (P<0.05). In
conclusion, abnormal posture and uterine torsion were found to be the common
causes of dystocia in dromedary camels, and fetal and maternal deaths were mainly
associated with the duration of dystocia.
PMID- 27211281
TI - Histone deacetylase inhibitor reverses multidrug resistance by attenuating the
nucleophosmin level through PI3K/Akt pathway in breast cancer.
AB - The development of multidrug resistance (MDR) is the major obstacle in the
chemotherapy of breast cancer, and it restricts the application of antitumor
drugs in the clinic. Therefore it is urgent to search for ways to reverse MDR and
restore sensitivity to chemotherapeutics in breast carcinoma. Currently, histone
deacetylase inhibitors (HDACIs) offer a promising strategy for tumor therapy as
the effective anticancer drugs. Based on the potential resistant target of
nucleophosmin (NPM), the purpose of this study was to explore the reversal effect
of a new synthetic histone deacetylase inhibitor, FA17, on MDR in methotrexate
resistant breast cancer cells (MCF-7/MTX) and xenograft tumors. It was shown that
the abnormal expression of NPM induced MDR and inhibited downstream mitochondrial
apoptotic pathway by activating PI3K/Akt signaling pathway in MCF-7/MTX cells.
The reversal effect and molecular mechanism of FA17 were investigated both in
vitro and in vivo. We found that FA17 could significantly reverse resistance and
sensitize MCF-7/MTX cells to methotrexate. FA17 obviously enhanced resistant cell
apoptosis, inhibited expressions of NPM and efflux transporters. Additionally,
FA17 could reverse MDR via inactivating PI3K/Akt pathway and accelerating
mitochondrial apoptotic pathway both in MCF-7/MTX cells and in xenograft tumors.
Taken together, the novel histone deacetylase inhibitor could effectively reverse
drug resistance due to suppressing the activity of NPM and drug efflux pumps by
PI3K/Akt and mitochondrial apoptotic pathway. The above not only indicated the
potential applied value of FA17 in reversing MDR and enhancing the sensitivity of
chemotherapy, but also confirmed the role of NPM in the development of MDR in
breast cancer.
PMID- 27211282
TI - Evaluation of the Relationship Between Hb F Levels and Nucleated Red Blood Cells
with Morbidity in Non Transfusion-Dependent Thalassemia Patients.
AB - Recognition of risk factors of morbidities in patients with beta-thalassemia
intermedia (beta-TI) is an important issue that must be evaluated. Non
transfusion-dependent thalassemia patients referred to the outpatient clinic of
Shiraz University of Medical Science, Shiraz, South Iran were enrolled in this
study between 2013 and 2014. Two peripheral blood smears were prepared for
evaluating developmental stage of normoblasts. One hundred and thirty-one
patients with ages ranging from 3 to 42 years (mean: 23.35 +/- 7.9) were
selected. Sixty-seven patients had at least one morbidity (51.1%). Osteoporosis
and gallstones were the most common morbidities (33.6 and 24.4%, respectively).
In the univariate model, hemoglobin (Hb), ferritin, Hb F, developmental stage of
normoblasts and hydroxyurea (HU) therapy did not differ between patients with and
without morbidity (p > 0.05) but mean age of patients and mean number of
normoblasts were higher in patients with morbidity (p = 0.026 and p = 0.012,
respectively). In the regression model, sex and splenectomy status were different
between patients with and without morbidity. It seems that females and
splenectomy are risk factors for morbidity in non transfusion-dependent
thalassemia patients. [Sex: odds ratio (OR) = 2.21, 95% confidence interval (95%
CI): 1.04-4.72, p = 0.39. Splenectomy: OR = 3.10, 95% CI: 1.12-8.59, p = 0.029.]
This study shows that Hb F level and developmental stage of normoblasts does not
effect the incidence of morbidities in non transfusion-dependent thalassemia
patients but sex and splenectomy were effective factors in development of
morbidities. Thus, splenectomy should be avoided as much as possible in patients
with non transfusion-dependent thalassemia.
PMID- 27211283
TI - Participants' above-chance recognition of own-heart sound combined with poor
metacognitive awareness suggests implicit knowledge of own heart cardiodynamics.
AB - Mounting evidence suggests that interoceptive signals are fundamentally important
for the experience of the self. Thus far, studies on interoception have mainly
focused on the ability to monitor the timing of ongoing heartbeats and on how
these influence emotional and self-related processes. However, cardiac afferent
signalling is not confined to heartbeat timing and several other cardiac
parameters characterize cardiodynamic functioning. Building on the fact that each
heart has its own self-specific cardio-dynamics, which cannot be expressed
uniquely by heart rate, we devised a novel task to test whether people could
recognize the sound of their own heart even when perceived offline and thus not
in synchrony with ongoing heartbeats. In a forced-choice paradigm, participants
discriminated between sounds of their own heartbeat (previously recorded with a
Doppler device) versus another person's heart. Participants identified the sound
of their own heart above chance, whereas their metacognition of performance - as
calculated by contrasting performance against ratings of confidence - was
considerably poorer. These results suggest an implicit access to fine-grained
neural representations of elementary cardio-dynamic parameters beyond heartbeat
timing.
PMID- 27211285
TI - Prevalence and Prognostic Value of Depression and Anxiety in Patients with
Diabetic Foot Ulcers and Possibilities of their Treatment.
AB - BACKGROUND: Depression and anxiety can potentially influence treatment results of
diabetic complications. OBJECTIVE: Of our study was to explore: (1) prevalence of
these disorders in patients with diabetic foot ulcers (DFU); (2) possible risk
factors of depression and anxiety; (3) possible links between ulcer treatment
results and depression/anxiety status. METHODS: 285 outpatients with diabetes and
foot or leg ulcers were tested for depression and anxiety with self-report
scales: CES-D and the anxiety subscale from HADS. Ulcer treatment results,
incidence of new ulcers and number of hospital admissions were assessed after 1.5
years of follow-up. RESULTS: Depression was detected in 110 patients (39%),
anxiety in 103 (36%). Females had depression and anxiety more often than males
(48% and 46% vs. 27% and 25% respectively). A combined score based on diabetes
duration, insulin treatment, history of myocardial infarction, history of foot
ulcers and recent foot surgery was higher in patients with than without
depression (3.0 vs. 2.0, p=0.02). Every of these or other potential risk factors
alone was not associated with depression or anxiety. Patients with depression did
not demonstrate poorer prognosis except higher mortality in subgroup of severely
depressed patients without ulcer history. For anxiety we got similar results as
its presence strongly correlated with depression. CONCLUSION: The overall
prevalence of depression and anxiety in DFU patients is compatible with other
diabetic populations. Various parameters of ulcer severity and duration did not
influence the probability of depression and anxiety occurrence. Depression in
general was not associated with poorer ulcer treatment results.
PMID- 27211284
TI - Proximal disruptor aided ligation (ProDAL) of kilobase-long RNAs.
AB - RNA with site-specific modification is a useful tool for RNA biology studies.
However, generating kilobase (kb) -long RNA with internal modification at a site
distant from RNA termini remains challenging. Here we report an enhanced splint
ligation technique, proximal disruptor aided ligation (ProDAL), which allows
adequate efficiency toward this purpose. The key to our approach is using
multiple DNA oligonucleotides, 'proximal disruptors', to target the RNA substrate
sequence next to the ligation site. The binding of disruptors helps to free the
ligation site from intramolecular RNA basepairing, and consequently promotes more
efficient formation of the pre-ligation complex and a higher overall ligation
yield. We used naturally occurring 1.0 kb renilla and 1.9 kb firefly luciferase
mRNA sequences to test the efficacy of our approach. ProDAL yielded 9-14%
efficiency for the ligation between two RNA substrates, both of which were
between 414 and 1313 nucleotides (nt) long. ProDAL also allowed similarly high
efficiency for generating kb-long RNA with site-specific internal modification by
a simple three-part ligation between two long RNA substrates and a modification
carrying RNA oligonucleotide. In comparison, classical splint ligation yielded a
significantly lower efficiency of 0-2% in all cases. We expect that ProDAL will
benefit studies involving kb-long RNAs, including translation, long non-coding
RNAs, RNA splicing and modification, and large ribonucleoprotein complexes.
PMID- 27211286
TI - Synthesis of two-dimensional titanium nitride Ti4N3 (MXene).
AB - We report on the synthesis of the first two-dimensional transition metal nitride,
Ti4N3-based MXene. In contrast to the previously reported MXene synthesis methods
- in which selective etching of a MAX phase precursor occurred in aqueous acidic
solutions - here a molten fluoride salt is used to etch Al from a Ti4AlN3 powder
precursor at 550 degrees C under an argon atmosphere. We further delaminated the
resulting MXene to produce few-layered nanosheets and monolayers of Ti4N3Tx,
where T is a surface termination (F, O, or OH). Density functional theory
calculations of bare, non-terminated Ti4N3 and terminated Ti4N3Tx were performed
to determine the most energetically stable form of this MXene. Bare and
functionalized Ti4N3 are predicted to be metallic. Bare Ti4N3 is expected to show
magnetism, which is significantly reduced in the presence of functional groups.
PMID- 27211287
TI - The cell wall component lipoteichoic acid of Staphylococcus aureus induces
chemokine gene expression in bovine mammary epithelial cells.
AB - Staphylococcus aureus (SA) is a major cause of bovine mastitis, but its
pathogenic mechanism remains poorly understood. To evaluate the role of
lipoteichoic acid (LTA) in the immune or inflammatory response of SA mastitis, we
investigated the gene expression profile in bovine mammary epithelial cells
stimulated with LTA alone or with formalin-killed SA (FKSA) using cap analysis of
gene expression. Seven common differentially expressed genes related to immune or
inflammatory mediators were up-regulated under both LTA and FKSA stimulations.
Three of these genes encode chemokines (IL-8, CXCL6 and CCL2) functioning as
chemoattractant molecules for neutrophils and macrophages. These results suggest
that the initial inflammatory response of SA infection in mammary gland may be
related with LTA induced chemokine genes.
PMID- 27211288
TI - Proliferating trichilemmal tumour: a comparison of dermoscopic, ultrasonographic
and histopathological features.
PMID- 27211289
TI - Comparative Effectiveness of a Patient Centered Pathology Report for Bladder
Cancer Care.
AB - PURPOSE: Patients have unprecedented access to their medical records. However,
many documents, such as pathology reports, may be beyond the health literacy of
most patients. We compared the effectiveness of bladder biopsy patient centered
pathology reports with standard reports. MATERIALS AND METHODS: Local bladder
cancer experts reached consensus on the important elements of a bladder biopsy
pathology report to inform prognosis and counseling. Patient focus groups
identified the patient centered formats and language to convey these elements and
constructed a pilot patient centered pathology report. A total of 40 patients
undergoing bladder biopsy were block randomized to receive the standard report
with or without the patient centered report. We assessed patient self-efficacy,
and provider communication and empathy, and tested bladder cancer knowledge at
pathology disclosure and 1 month later. We compared study groups with descriptive
statistics. RESULTS: Experts identified stage, grade and histology as the most
important elements of a bladder biopsy pathology report. Patients prioritized 3
themes, including narrative format, tumor stage illustration and risk
stratification for recurrence. A total of 39 patients completed initial and
followup assessments. Patients with the patient centered pathology report had
improved ability to identify cancer stage compared to those with the standard
report. Initially 58% of patients with the standard report vs 20% with the
patient centered report were unable to describe stage but at followup this
incidence was 47% vs 15% (p = 0.02 and 0.03, respectively). Those with the
patient centered report also trended toward improved identification of cancer
grade. Provider communication trended toward improvement for the patient centered
report. Ratings of patient self-efficacy did not differ by report. CONCLUSIONS:
Patient centered pathology reports are associated with greater patient knowledge
about the bladder cancer diagnosis. The reports may aid patient-provider
communication. This pilot study may serve as a model for the development of
patient centered pathology reports for other cancers.
PMID- 27211290
TI - Beneficial effects of Tai Chi for amphetamine-type stimulant dependence: a pilot
study.
AB - BACKGROUND: Tai Chi is a traditional Chinese sport that is classified as a
moderate exercise. Recent studies have evaluated the effectiveness of Tai Chi in
substance abuse rehabilitation. OBJECTIVES: The aim of this study was to assess
the quality of life and physical effects of a Tai Chi intervention on individuals
with amphetamine-type stimulant (stimulant) dependence. METHODS: Sixty male
subjects with stimulant dependence from a Shanghai Mandatory Detoxification and
Rehabilitation Center participated in a 12-week trial. Tai Chi was used as an
intervention in the experimental group (n = 30). The control group (n = 29)
underwent standard care, which included recreation activity, gesture language
exercise, and self-education. Outcome measures included the quality of life for
drug addiction (QOL-DA) questionnaire [four scales consisting of physiology
(e.g., energy level), psychology (e.g., depression), symptoms (e.g., physical
symptoms), society (e.g., interpersonal) and fitness evaluations (assessed by
body mass index, body fat, hand-grip, flexibility, balance)]. Repeated measures
were used to analyze the changes over time. RESULTS: Test scores of the QOL-DA in
the Tai Chi group significantly increased after 12 weeks in the following areas:
physiology, 8.71 (p = 0.005), symptoms, 4.34 (p = 0.042), society, 15.79 (p <
0.001), and total score, 10.60 (p = 0.002). A post hoc test further revealed that
quality of life improved in the Tai Chi group but not in the standard care group.
Physical results showed a significant interaction with balance(F(1,56) = 6.92, p
= 0.011); participants in the Tai Chi group improved by 10 s while there was no
change in the standard care group. Although there were no significant
interactions in the fitness outcomes (i.e., hand-grip and sit-and-reach tests),
the within-group factor displayed significant changes in body fat (F(1,56) =
27.79, p < 0.001) in both groups. CONCLUSION: This study demonstrates that Tai
Chi is a promising exercise that improves quality of life for individuals with
stimulant dependence.
PMID- 27211291
TI - Strength of weak layers in cascading failures on multiplex networks: case of the
international trade network.
AB - Many real-world complex systems across natural, social, and economical domains
consist of manifold layers to form multiplex networks. The multiple network
layers give rise to nonlinear effect for the emergent dynamics of systems.
Especially, weak layers that can potentially play significant role in amplifying
the vulnerability of multiplex networks might be shadowed in the aggregated
single-layer network framework which indiscriminately accumulates all layers.
Here we present a simple model of cascading failure on multiplex networks of
weight-heterogeneous layers. By simulating the model on the multiplex network of
international trades, we found that the multiplex model produces more
catastrophic cascading failures which are the result of emergent collective
effect of coupling layers, rather than the simple sum thereof. Therefore risks
can be systematically underestimated in single-layer network analyses because the
impact of weak layers can be overlooked. We anticipate that our simple
theoretical study can contribute to further investigation and design of optimal
risk-averse real-world complex systems.
PMID- 27211292
TI - The MMP3 gene in musculoskeletal soft tissue injury risk profiling: A study in
two independent sample groups.
AB - Matrix metalloproteinase-3 (MMP3) is a mediator of matrix remodelling and a
proposed susceptibility locus in the genetic profile of musculoskeletal soft
tissue injuries. Therefore, this study aimed to validate the MMP3 gene as a risk
marker for these injuries by conducting a case control genetic association study
in two independent samples groups. Three previously investigated MMP3 variants
(rs679620, rs591058 and rs650108) in addition to the functional promoter variant
(rs3025058) were genotyped in 195 Australian control participants and 79
Australian individuals with chronic Achilles tendinopathy. Similarly, 234 South
African individuals with acute anterior cruciate ligament ruptures and 232
matched control participants were also analysed. Based on high linkage with the
previously associated MMP3 variant rs679620, rs3025058 was inferred and found to
be associated with increased risk for Achilles tendinopathy within the South
African group (P = 0.012; OR: 2.88; 95% CI: 1.4 to 6.1). Lastly, the 6A-G-C-G
haplotype, constructed from the investigated variants, was significantly
associated with reduced risk for Achilles tendinopathy (29% CON vs. 20% TEN, P =
0.037) in the Australian group. In conclusion, a signal surrounding MMP3 is
apparent with respect to Achilles tendinopathy. However, whether the investigated
variants are contributing to injury susceptibility or whether they are merely
linked to the risk conferring variants mapping elsewhere within the MMP gene
cluster on chromosome 11, still requires refining.
PMID- 27211293
TI - Facial Emotion Recognition Impairments in Bipolar Disorder. A Cognitive Problem?
PMID- 27211294
TI - Regulatory assessment of chemical mixtures: Requirements, current approaches and
future perspectives.
AB - This paper reviews regulatory requirements and recent case studies to illustrate
how the risk assessment (RA) of chemical mixtures is conducted, considering both
the effects on human health and on the environment. A broad range of chemicals,
regulations and RA methodologies are covered, in order to identify mixtures of
concern, gaps in the regulatory framework, data needs, and further work to be
carried out. Also the current and potential future use of novel tools (Adverse
Outcome Pathways, in silico tools, toxicokinetic modelling, etc.) in the RA of
combined effects were reviewed. The assumptions made in the RA, predictive model
specifications and the choice of toxic reference values can greatly influence the
assessment outcome, and should therefore be specifically justified. Novel tools
could support mixture RA mainly by providing a better understanding of the
underlying mechanisms of combined effects. Nevertheless, their use is currently
limited because of a lack of guidance, data, and expertise. More guidance is
needed to facilitate their application. As far as the authors are aware, no
prospective RA concerning chemicals related to various regulatory sectors has
been performed to date, even though numerous chemicals are registered under
several regulatory frameworks.
PMID- 27211295
TI - Bayesian methods for uncertainty factor application for derivation of reference
values.
AB - In 2014, the National Research Council (NRC) published Review of EPA's Integrated
Risk Information System (IRIS) Process that considers methods EPA uses for
developing toxicity criteria for non-carcinogens. These criteria are the
Reference Dose (RfD) for oral exposure and Reference Concentration (RfC) for
inhalation exposure. The NRC Review suggested using Bayesian methods for
application of uncertainty factors (UFs) to adjust the point of departure dose or
concentration to a level considered to be without adverse effects for the human
population. The NRC foresaw Bayesian methods would be potentially useful for
combining toxicity data from disparate sources-high throughput assays, animal
testing, and observational epidemiology. UFs represent five distinct areas for
which both adjustment and consideration of uncertainty may be needed. NRC
suggested UFs could be represented as Bayesian prior distributions, illustrated
the use of a log-normal distribution to represent the composite UF, and combined
this distribution with a log-normal distribution representing uncertainty in the
point of departure (POD) to reflect the overall uncertainty. Here, we explore
these suggestions and present a refinement of the methodology suggested by NRC
that considers each individual UF as a distribution. From an examination of 24
evaluations from EPA's IRIS program, when individual UFs were represented using
this approach, the geometric mean fold change in the value of the RfD or RfC
increased from 3 to over 30, depending on the number of individual UFs used and
the sophistication of the assessment. We present example calculations and
recommendations for implementing the refined NRC methodology.
PMID- 27211296
TI - Analysis of the pasting profile in corn starch: Structural, morphological, and
thermal transformations, Part I.
AB - This work is focused on the understanding of the apparent viscosity profile of
corn starch, in terms of the physicochemical and morphological changes that take
place during the thermal profile of starch-water suspension to its respective gel
formation. A mathematical model was used to obtain the experimental operating
conditions that satisfy the Froude number. Freeze drying samples are studied in
different stages along the pasting profile. Changes in the structural properties
of the samples are studied using X-ray diffraction, and the morphological changes
are followed using scanning electron microscopy, differential scanning
calorimetry was used to analyze the thermal changes in starch. The changes in the
pasting profile are associated with structural, thermal,and morphological changes
of the system and the analysis of the physicochemical transformation that occur
during the pasting profile are explained. The finding in this work does not show
evidence of gel retrogradation at the end of the cooling process.
PMID- 27211297
TI - Rheological, biocompatibility and osteogenesis assessment of fish collagen
scaffold for bone tissue engineering.
AB - In the present investigation, an attempt was made to find an alternative to
mammalian collagen with better osteogenesis ability. Three types of collagen
scaffolds - collagen, collagen-chitosan (CCH), and collagen-hydroxyapatite (CHA)
were prepared from the cartilage of Blue shark and investigated for their
physico-functional and mechanical properties in relation to biocompatibility and
osteogenesis. CCH scaffold was superior with pH 4.5-4.9 and viscosity 9.7-10.9cP.
Notably, addition of chitosan and HA (hydroxyapatite) improved the stiffness (11
23MPa) and degradation rate but lowered the water binding capacity and porosity
of the scaffold. Interestingly, CCH scaffolds remained for 3days before complete
in-vitro biodegradation. The decreased amount of viable T-cells and higher level
of FAS/APO-1 were substantiated the biocompatibility properties of prepared
collagen scaffolds. Osteogenesis study revealed that the addition of CH and HA in
both fish and mammalian collagen scaffolds could efficiently promote osteoblast
cell formation. The ALP activity was significantly high in CHA scaffold-treated
osteoblast cells, which suggests an enhanced bone-healing process. Therefore, the
present study concludes that the composite scaffolds prepared from fish collagen
with higher stiffness, lower biodegradation rate, better biocompatible, and
osteogenesis properties were suitable biomaterial for a bone tissue engineering
application as an alternative to mammalian collagen scaffolds.
PMID- 27211298
TI - Antioxidant activity and ACE-inhibitory of Class II hydrophobin from wild strain
Trichoderma reesei.
AB - There are several possible uses of the Class II hydrophobin HFBII in clinical
applications. To fully understand and exploit this potential however, the
antioxidant activity and ACE-inhibitory potential of this protein need to be
better understood and have not been previously reported. In this study, the Class
II hydrophobin HFBII was produced by the cultivation of wild type Trichoderma
reesei. The crude hydrophobin extract obtained from the fermentation process was
purified using reversed-phase liquid chromatography and the identity of the
purified HFBII verified by MALDI-TOF (molecular weight: 7.2kDa). Subsequently the
antioxidant activities of different concentrations of HFBII (0.01-0.40mg/mL) were
determined. The results show that for HFBII concentrations of 0.04mg/mL and
upwards the protein significantly reduced the presence of ABTS(+) radicals in the
medium, the IC50 value found to be 0.13mg/mL. Computational modeling highlighted
the role of the amino acid residues located in the conserved and exposed
hydrophobic patch on the surface of the HFBII molecule and the interactions with
the aromatic rings of ABTS. The ACE-inhibitory effect of HFBII was found to occur
from 0.5mg/mL and upwards, making the combination of HFBII with strong ACE
inhibitors attractive for use in the healthcare industry.
PMID- 27211299
TI - Inhibition of hydrogen peroxide induced injuring on human skin fibroblast by Ulva
prolifera polysaccharide.
AB - Ulva prolifera can protect human skin fibroblast from being injured by hydrogen
peroxide. This work studied the composition of Ulva prolifera polysaccharide and
identified its physicochemical properties. The results showed that the cell
proliferation of 0.5mg/mL crude polysaccharide was 154.4% of that in negative
control group. Moreover, ROS detection indices, including DCFH-DA, GSH-PX, MDA
and CAT, indicated that crude polysaccharide could improve cellular ability to
scavenge free radical and decrease the injury on human skin fibroblast by
hydrogen peroxide. In purified polysaccharide, the activity of fraction P1-1 was
the highest, with 174.6% of that in negative control group. The average molecular
weight of P1-1 was 137kD with 18.0% of sulfate content. This work showed the
inhibition of hydrogen peroxide induced injuries on human skin fibroblast by Ulva
prolifera polysaccharide, which may further evaluate the application of U.
prolifera on cosmetics.
PMID- 27211300
TI - Optimization of the extraction of polysaccharides from tobacco waste and their
biological activities.
AB - A response surface methodology was used to optimize the parameters for extracting
the polysaccharides from tobacco waste (TWPs) using hot water. The extraction
process, carried out under the following optimized parameters: an extraction
temperature of 90 degrees C, a ratio of water to raw material of 54, and an
extraction time of 115min, allowed an experimental yield of 28.32+/-1.78%. The
chemical composition analysis showed that TWPs were composed of mannose,
rhamnose, glucuronic acid, galacturonic acid, glucose, galactose and arabinose
with the following molecular ratio: 1.00:2.69:1.29:2.29:5.23:6.90:3.92. The
molecular weights of its four major fractions were 0.558, 1.015, 16.286, and
151.194kDa. Bioactivity experiments showed that TWPs not only decreased the
reactive oxygen species level in salt-stressed tomato seedlings, but also
possessed significant antioxidant activities in vitro. Antioxidant activity in
vivo further showed that TWPs could significantly increase the activities of
antioxidant enzymes including superoxide dismutase (SOD), glutathione peroxidase
(GSH-Px) and catalase (CAT), and decrease the level of malondialodehyde (MDA). In
addition, according to the acute toxicity test, TWPs did not cause behavioral
changes or any death of mice. This study provides an effective method to utilize
tobacco waste resources.
PMID- 27211301
TI - Hydrophilicity and antifouling property of membrane materials from cellulose
acetate/polyethersulfone in DMAc.
AB - In this study, cellulose acetate (CA) was blended with polyethersulfone (PES) to
endow the ultrafiltration membrane with the improved hydrophilicity and
antifouling property by using N,N-dimethylacetamide (DMAc) as the solvent. The
effects of blend composition and evaporation time on the mechanical strength and
pure water flux were investigated. It was found that the optimal composition of
the casting solution was: 18wt% (PES), 4wt% (Polyvinylpyrrolidone K30), 3wt% (CA)
and 20s (Evaporation time). The characteristics of CA-PES blend membranes were
investigated through the methods of contact angle goniometer, antifouling
property, compatibility, thermo gravimetric analysis and SEM. The results showed
that the hydrophilicity and antifouling property of CA-PES ultrafiltration
membranes were enhanced in comparison with the pure PES membranes. The CA-PES
membranes exhibited semi-compatibility and good thermal stability below 270
degrees C. This study provided a potential industrial application prospect of CA
PES membranes prepared in DMAc.
PMID- 27211303
TI - Correlating the Energetics and Atomic Motions of the Metal-Insulator Transition
of M1 Vanadium Dioxide.
AB - Materials that undergo reversible metal-insulator transitions are obvious
candidates for new generations of devices. For such potential to be realised, the
underlying microscopic mechanisms of such transitions must be fully determined.
In this work we probe the correlation between the energy landscape and electronic
structure of the metal-insulator transition of vanadium dioxide and the atomic
motions occurring using first principles calculations and high resolution X-ray
diffraction. Calculations find an energy barrier between the high and low
temperature phases corresponding to contraction followed by expansion of the
distances between vanadium atoms on neighbouring sub-lattices. X-ray diffraction
reveals anisotropic strain broadening in the low temperature structure's crystal
planes, however only for those with spacings affected by this
compression/expansion. GW calculations reveal that traversing this barrier
destabilises the bonding/anti-bonding splitting of the low temperature phase.
This precise atomic description of the origin of the energy barrier separating
the two structures will facilitate more precise control over the transition
characteristics for new applications and devices.
PMID- 27211304
TI - "XA6" octahedra influencing the arrangement of anionic groups and optical
properties in inverse-perovskite [B6O10]XA3 (X = Cl, Br; A = alkali metal).
AB - Exploring the effect of microscopic units, which set up the perovsikte framework,
is of importance for material design. In this study, a series of borate halides
with inverse-perovskite structures [B6O10]XA3 (X = Cl, Br; A = alkali metal) have
been studied. It was revealed that the distortion and volume of XA6 octahedra
influence the arrangement of anionic groups, which leads to the flexibility of
the perovskite-related framework and differences in optical properties. Under the
structural control scheme, the structure of Rb3B6O10Cl was predicted. The
stability of the predicted structure was confirmed by an ab initio density
functional theory-based method. The calculation shows Rb3B6O10Cl has a short UV
cutoff edge of less than 200 nm, a moderate birefringence and a large second
harmonic generation response.
PMID- 27211306
TI - Complete mitochondrial genome of Ctenopharyngodon idella var. Gold grass carp and
its intraspecific comparison.
AB - We determined the complete mitochondrial genome (Mitogome) of Ctenopharyngodon
idella var. gold grass carp (C. idella var.) (Accession No.:KT894100) and
compered it with a previously published mitogenome (Accession No.:NC010288.1) of
Chinese common grass carp. The total length of mitogenome is 16 609 bp with 13
protein-coding genes, 2 rRNA genes, 22 tRNA genes and a control region. The
nucleotide compositions of the L-strand are 31.87% for A, 26.20% for T, 15.68%
for G, 26.26% for C. Most of the protein-coding genes began with an ATG start
codon except for COX1 and ND3 gene. The sequence similarity was 99.81% between
each other. There were 32 variation loci obtained, including of 23 transitions, 7
transversions and 2 INDELs.
PMID- 27211308
TI - The Neuroprotective Role of Repetitive Transcranial Magnetic Stimulation (rTMS)
for Neurodegenerative Diseases: A Short Review on Experimental Studies.
AB - There are rapidly replicating human data suggesting the therapeutic and
neurorestorative role of transcranial magnetic stimulation in various
neurological and psychiatric disorders. However there are only limited
experimental studies in the literature enlighting the neurobiological mechanisms
of this technique. In the light of these findings, we aimed to review the
neuroprotective effect of rTMS in various animal studies. We have shown that rTMS
may exert significant neuroprotective effect through acting on the
neuroinflammation, excitotoxicity, oxidative stress and Abeta aggregation.
PMID- 27211307
TI - Metformin Use and Outcome of Sunitinib Treatment in Patients With Diabetes and
Metastatic Renal Cell Carcinoma.
AB - BACKGROUND: Although studies in several cancer types suggest that metformin has
antitumor activity, its effect on the outcome of targeted therapies in metastatic
renal cell carcinoma (mRCC) is poorly defined. We aimed to analyze the effect of
metformin use on the outcome of sunitinib treatment in diabetic patients with
mRCC. PATIENTS AND METHODS: We performed a retrospective study of diabetic
patients with mRCC, who were treated with sunitinib in 8 centers across 2
countries. Patients were divided into metformin users and nonusers. The effect of
metformin use on response rate, progression-free survival (PFS), and overall
survival (OS), was tested. Furthermore, univariate and multivariate analyses of
the association between clinicopathologic factors and metformin use, and outcome
were performed using the entire patient cohort. RESULTS: Between 2004 and 2014,
108 diabetic patients with mRCC were treated with sunitinib. There were 52
metformin users (group 1) and 56 nonusers (group 2). The groups were balanced
regarding clinicopathologic factors. Clinical benefit (partial response + stable
disease) in group 1 versus 2 was 96% versus 84% (P = .054). Median PFS was 15
versus 11.5 months (P = .1). Median OS was 32 versus 21 months (P = .001). In
multivariate analyses of the entire patient cohort (n = 108), factors associated
with PFS were active smoking and pretreatment neutrophil to lymphocyte ratio > 3.
Factors associated with OS were metformin use (hazard ratio, 0.21; P < .0001),
Heng risk, active smoking, liver metastases, and pretreatment neutrophil to
lymphocyte ratio > 3. CONCLUSION: Metformin might improve the OS of diabetic
patients with mRCC who are treated with sunitinib.
PMID- 27211309
TI - Is Diagnosis and Subclassification of Adrenal Insufficiency as Easy as It Looks?
AB - The diagnosis of adrenal insufficiency (AI) is a challenge. Most signs and
symptoms are nonspecific and vary considerably depending upon the underlying
cause and degree of AI. Identification of AI is crucial because the disease may
be life-threatening if left unrecognized. The diagnostic evaluation consists of
three steps. The first step is establishing the presence of hypocortisolism. The
second step is establishing the level of hypothalamus-pituitary-adrenal axis
dysfunction. The third and final step is searching for the exact cause of AI by
additional laboratory and imaging techniques. Each diagnostic step can have its
own uncertainties. The optimal test in case of intermediate basal cortisol
measurements is still a matter of debate. Furthermore, interpretation of the
results of the tests is complicated by arbitrary definitions of normal cutoff
responses, variability in the analytical accuracy of the cortisol assays used and
factors influencing cortisol-binding globulin. This chapter aims to provide a
concise stepwise approach for the diagnostic evaluation of AI, taking into
account the possible pitfalls associated with the different tests.
PMID- 27211305
TI - Human TBK1: A Gatekeeper of Neuroinflammation.
AB - The importance of TANK binding kinase-1 (TBK1), a multimeric kinase that
modulates inflammation and autophagy, in human health has been highlighted for
the first time by the recent discoveries of mutations in TBK1 that underlie
amyotrophic lateral sclerosis (ALS), frontotemporal dementia (FTD), normal
tension glaucoma (NTG) or childhood herpes simplex encephalitis (HSE). Gain-of
function of TBK1 are associated with NTG, whereas loss-of-function mutations
result in ALS/FTD or in HSE. In light of these new findings, we review the role
of TBK1 in these seemingly unrelated, yet allelic diseases, and discuss the role
of TBK1 in neuroinflammatory diseases. This discovery has the potential to
significantly increase our understanding of the molecular basis of these poorly
understood diseases.
PMID- 27211310
TI - A nationwide, resident-led teaching programme for medical students in Singapore:
SingHealth Student Internship Programme Bootcamp.
AB - INTRODUCTION: This study aimed to describe the planning, development and
evaluation of the success of the first nationwide, resident-led, large-group
teaching programme for medical students - the Singapore Health Services Student
Internship Programme (SIP) Bootcamp. METHODS: This was an initial feasibility
study evaluating a half-day teaching boot camp initiated, developed and conducted
by the resident educators. A three-month preparation period was required to set
up an education subcommittee, liaise with medical student leaders, recruit
resident educators, meet all the stakeholders and conduct the boot camp. During
the SIP Bootcamp, resident educators conducted clinical case presentations using
a question-and-answer format. Audience participation was strongly encouraged. A
15-item questionnaire was distributed to assess the participants' learning
experience and the resident educators' teaching performance using a five-point
Likert scale. RESULTS: Overall, 94.8% (n = 110) of the 116 respondents agreed
that the teaching sessions were of high quality and content was relevant to their
training. The resident educators appeared well-informed (96.6%, n = 112) and
enthusiastic about their respective topics (98.3%, n = 114). However, a few
students (9.5%, n = 11) felt that the audio-visual aids and handouts could be
improved to better aid their learning process. CONCLUSION: This teaching boot
camp for medical students was the first of its kind in Singapore and feedback
from medical students showed that it was well-received. Further research using
different teaching methods, including small-group discussions and surgical
practical sessions by resident educators from different specialties, would be of
great value to students.
PMID- 27211311
TI - Cerebral Venous Sinus Thrombosis Involving the Straight Sinus May Result in
Infarction and/or Hemorrhage.
AB - AIM: We retrospectively investigated the clinical profiles and neuroimaging data
of patients with documented cerebral venous sinus thrombosis (CVST) to analyze
the relationship between the sites of CVST and clinical manifestations. METHODS:
A total of 68 patients, who were examined and treated at our hospital, were
identified after review and their data were retrospectively analyzed. RESULTS:
Initial non-contrast CT scan showed a definite spontaneous hyperdensity of one or
several sinuses in 47 patients (69.1%) and was normal in the remaining patients
(30.9%). Furthermore, the x03C7;2 test revealed a significant difference (p =
0.001) in the infarction or hemorrhage rate between the patients with straight
sinus thrombosis (SST; 19 of 28, 67.8%) and other subjects (9 of 39, 23.1%).
Moreover, patients with SST had a 6.33-fold (95% CI 2.18-18.4) increased risk of
infarction or hemorrhage. CONCLUSION: Our data suggested that infarction and/or
hemorrhage was more common in CVST patients with SST.
PMID- 27211312
TI - Recycling of plastic waste: Presence of phthalates in plastics from households
and industry.
AB - Plastics recycling has the potential to substitute virgin plastics partially as a
source of raw materials in plastic product manufacturing. Plastic as a material
may contain a variety of chemicals, some potentially hazardous. Phthalates, for
instance, are a group of chemicals produced in large volumes and are commonly
used as plasticisers in plastics manufacturing. Potential impacts on human health
require restricted use in selected applications and a need for the closer
monitoring of potential sources of human exposure. Although the presence of
phthalates in a variety of plastics has been recognised, the influence of plastic
recycling on phthalate content has been hypothesised but not well documented. In
the present work we analysed selected phthalates (DMP, DEP, DPP, DiBP, DBP, BBzP,
DEHP, DCHP and DnOP) in samples of waste plastics as well as recycled and virgin
plastics. DBP, DiBP and DEHP had the highest frequency of detection in the
samples analysed, with 360MUg/g, 460MUg/g and 2700MUg/g as the maximum measured
concentrations, respectively. Among other, statistical analysis of the analytical
results suggested that phthalates were potentially added in the later stages of
plastic product manufacturing (labelling, gluing, etc.) and were not removed
following recycling of household waste plastics. Furthermore, DEHP was identified
as a potential indicator for phthalate contamination of plastics. Close
monitoring of plastics intended for phthalates-sensitive applications is
recommended if recycled plastics are to be used as raw material in production.
PMID- 27211313
TI - Partitioning and diffusion of PBDEs through an HDPE geomembrane.
AB - Polybrominated diphenyl ether (PBDE) has been measured in MSW landfill leachate
and its migration through a modern landfill liner has not been investigated
previously. To assure environmental protection, it is important to evaluate the
efficacy of landfill liners for controlling the release of PBDE to the
environment to a negligible level. The partitioning and diffusion of a commercial
mixture of PBDEs (DE-71: predominantly containing six congeners) with respect to
a high-density polyethylene (HDPE) geomembrane is examined. The results show that
the partitioning coefficients of the six congeners in this mixture range from
700,000 to 7,500,000 and the diffusion coefficients range from 1.3 to 6.0*10(
15)m(2)/s depending on the congener. This combination of very high partitioning
coefficients and very low diffusion coefficients suggest that a well constructed
HDPE geomembrane liner will be an extremely effective barrier for PBDEs with
respect to diffusion from a municipal solid waste landfill, as illustrated by an
example. The results for pure diffusion scenario showed that the congeners
investigated meet the guidelines by at least a factor of three for an effective
geomembrane liner where diffusion is the controlling transport mechanism.
PMID- 27211314
TI - Feasibility of lead extraction from waste Cathode-Ray-Tubes (CRT) funnel glass
through a lead smelting process.
AB - A novel and effective process for extracting lead from the hazardous waste
Cathode Ray Tubes (CRT) funnel glass is presented. The technological breakthrough
of this process is introducing the discarded CRT funnel glass to traditional lead
smelting. In this study, the influences of amount of carbon addition, calcium
silicate ratio, temperature, holding time and funnel glass addition on lead
extraction efficiency were investigated to determine the optimal operational
parameters. With a glass addition of less than 30wt%, a high extraction yield of
97.5% of lead from the mixture of funnel glass and lead slag was successfully
obtained by controlling the C/PbO molar ratio, CaO/SiO2 ratio, temperature,
treatment time at 0.9, 0.8, 1200 degrees C, 60min, respectively. The main
crystalline phases of the residues were calcium silicate slag, and an amorphous
glass phase appears at a glass addition more than 30wt%. Thermodynamic
calculation shows that the proportion of liquid phase in the slag first increased
and then decreased, when the addition of glass is increased, while the viscosity
of the slag exhibited a continuous decrease. Thus, based on all the results, it
is concluded that the process proposed in this paper is an effective and
promising approach for reutilization of obsolete CRT funnel glass.
PMID- 27211315
TI - The relationship between social determinants of health, and rehabilitation of
neurological conditions: a systematic literature review.
AB - PURPOSE: This systematic literature review aims to explore the relationship
between social determinants of health (SDH), and the rehabilitation of
neurological conditions. In particular, the review will consider relationships
between social determinants and peoples' attendance and sustained adherence to
rehabilitation programs, and motivation regarding neurological rehabilitation.
METHOD: A systematic search of peer-reviewed literature from electronic
databases; MEDLINE, Scopus, CINAHL and Informit health, was conducted. Papers
published between 2004 and 2014 were considered. RESULTS: Eleven quantitative
studies met the inclusion criteria. There was a lack of research addressing SDH
and neurological rehabilitation simultaneously. Cardiac and cancer rehabilitation
studies reported employment and income, social support, transport, housing and
food security as the most frequent SDH factors influencing attendance, sustained
adherence and motivation. Given this association, a similar relationship between
neurological rehabilitation and SDH is plausible. CONCLUSIONS: Rehabilitation of
neurological conditions can be a long and difficult process. To pursue optimal
outcomes, an individual's social circumstances should be considered.
Understanding how SDH interact with neurological rehabilitation may enhance
service delivery, thus maximizing the possible rehabilitation outcomes for
individuals. Future research that considers SDH and rehabilitation of
neurological conditions jointly may benefit service providers and those requiring
neurological rehabilitation. Implications for Rehabilitation Social determinants
of health are important to consider in the rehabilitation of neurological
conditions. Understanding the interplay between the social determinants of health
and neurological rehabilitation may enhance the possible outcomes for those
requiring rehabilitation. Increased awareness and capacity of health care
professionals involved in neurological rehabilitation may hasten momentum towards
decreased health disparities instigated by undesirable social determinants of
health.
PMID- 27211316
TI - Long-term antihypertensive effect of a soluble cocoa fiber product in
spontaneously hypertensive rats.
AB - BACKGROUND AND METHODS: This study evaluates the antihypertensive effect of long
term intake of a soluble cocoa fiber product (SCFP). Different doses of SCFP were
evaluated (200, 400, and 800 mg/kg/day) and a dose of 800 mg/kg/day of beta
glucan 0.75 (BETA-G) was used as a standard fiber. Water, a neutral vehicle, was
used as negative control, and 50 mg/kg/day captopril was used as positive
control. Systolic blood pressure (SBP) was measured weekly by the tail cuff
method. Body weight, food, and liquid intake were also registered weekly in the
rats from 10 to 24 weeks of life. Glucose, total cholesterol, and triglyceride
levels; redox status; and the angiotensin-converting enzyme activity were also
studied in the plasma samples of these animals. RESULTS: Throughout the 10 weeks
of treatment, captopril and SCFP (400 mg/kg/day) demonstrated blood pressure
lowering effects in the spontaneously hypertensive rats (p<0.05; n=8).
Paradoxically, neither the highest dose (800 mg/kg/day) of SCFP decreased SBP nor
800 mg/kg/day BETA-G (p>0.05; n=8). When the corresponding antihypertensive
treatment, was disrupted the SBP values of the 400 mg/kg/day SCFP treated animals
returned to control values (p>0.05; n=8). In addition, the SCFP significantly
decreased (p<0.05; n=4) the glucose, cholesterol, and triglyceride levels and
also the liver and plasma malondaldehyde levels. Moreover, the SCFP slightly
increased the reduced glutathione levels in the liver. CONCLUSION: The SCFP could
be used to control the blood pressure of hypertensive subjects for a long period
of time and could improve metabolic complications associated to cardiovascular
diseases.
PMID- 27211317
TI - Resveratrol attenuated hydrogen peroxide-induced myocardial apoptosis by
autophagic flux.
AB - BACKGROUND: Resveratrol is a Sirt-1-specific activator, which also exerts
cardioprotective effects that regulate redox signalling during oxidative stress
and autophagy during cardiovascular disease (CVD). OBJECTIVE: This study
investigated the protective effects of resveratrol against hydrogen peroxide
induced damage in cardiomyocytes. DESIGN: In this article, hydrogen peroxide
induced autophagy and apoptosis in H9c2 cardiomyoblasts were studied at an
increasing concentration from 0 to 100 uM. RESULTS: Resveratrol pretreatment with
concentrations of 10, 20, and 50 uM inhibits autophagic apoptosis by increasing p
Akt and Bcl-2 protein levels in H9c2 cells. Interestingly, resveratrol treatment
activates the Beclin-1, LC3, p62, and the lysosome-associated protein LAMP2a
within 24 h of administration. CONCLUSIONS: These results suggest that
resveratrol-regulated autophagy may play a role in degrading damaged organelles
in H9c2 cells rather than causing apoptosis, and this may be a possible mechanism
by which resveratrol protects the heart during CVD.
PMID- 27211318
TI - Genistein upregulates LDLR levels via JNK-mediated activation of SREBP-2.
AB - BACKGROUND: Genistein has been proved in vitro and in vivo to lower LDLR level.
It is also widely consumed and implicated for its anti-atherogenic effects.
However, the molecular mechanism by which genistein lowers the LDL level is still
unknown. OBJECTIVE: To understand the anti-atherogenic molecular mechanism of
action, genistein was investigated for its impact on the expression of LDLR, the
receptor for LDL cholesterol, and related signaling pathways in a human hepatoma
cell line. DESIGN: HepG2 cell was used for the experiments. Genistein with
different concentrations was diluted in media and was incubated for 24 h or more
as indicated. Protein levels were measured by western blotting, and mRNA
expression was detected by RT-qPCR. Chromatin immunoprecipitation assay (CHIP)
assay was used to determine protein binding levels, and luciferase assay was used
to measure promoter activity. RESULT: Genistein increased the mRNA and protein
levels of LDLR in a time-dependent manner. Genistein increased the
transcriptional activity of the LDLR promoter containing the reporter gene (pLDLR
luc, -805 to +50). But the sterol regulatory element deletion mutant construct
failed to be activated by genistein. Genistein increased the nuclear fraction of
SREBP-2 and the DNA-binding activity of SREBP-2 to LDLR promoter, as assessed by
CHIP. The genistein-phosphorylated JNK inhibitor (SP600126) abolished the
genistein-stimulated levels of LDLR and the nuclear SREBP-2. The addition of
cholesterol up to 5 ug/mL for 24 h did not affect the effect of genistein on LDLR
protein expression. Even the addition of 40 uM genistein increased the
cholesterol uptake by more than 10% in the human hepatoma cell line. CONCLUSION:
Our data support the idea that genistein may have anti-atherogenic effects by
activating JNK signals and SREBP-2 processing, which is followed by the
upregulation of LDLR.
PMID- 27211319
TI - A large aberrant stem ichthyosauriform indicating early rise and demise of
ichthyosauromorphs in the wake of the end-Permian extinction.
AB - Contrary to the fast radiation of most metazoans after the end-Permian mass
extinction, it is believed that early marine reptiles evolved slowly during the
same time interval. However, emerging discoveries of Early Triassic marine
reptiles are questioning this traditional view. Here we present an aberrant basal
ichthyosauriform with a hitherto unknown body design that suggests a fast
radiation of early marine reptiles. The new species is larger than coeval marine
reptiles and has an extremely small head and a long tail without a fluke. Its
heavily-built body bears flattened and overlapping gastral elements reminiscent
of hupehsuchians. A phylogenetic analysis places the new species at the base of
ichthyosauriforms, as the sister taxon of Cartorhynchus with which it shares a
short snout with rostrally extended nasals. It now appears that ichthyosauriforms
evolved rapidly within the first one million years of their evolution, in the
Spathian (Early Triassic), and their true diversity has yet to be fully
uncovered. Early ichthyosauromorphs quickly became extinct near the Early-Middle
Triassic boundary, during the last large environmental perturbation after the end
Permian extinction involving redox fluctuations, sea level changes and volcanism.
Marine reptile faunas shifted from ichthyosauromorph-dominated to sauropterygian
dominated composition after the perturbation.
PMID- 27211320
TI - PCR, pre-sensitisation and polycrystals.
PMID- 27211321
TI - Arnica montana effects on gene expression in a human macrophage cell line.
Evaluation by quantitative Real-Time PCR.
AB - BACKGROUND: Arnica montana is a popular traditional remedy widely used in
complementary medicine, also for its wound healing properties. Despite its
acknowledged action in clinical settings at various doses, the molecular aspects
relating to how A. montana promotes wound healing remain to be elucidated. To
fill this gap, we evaluated the whole plant extract, in a wide range of
dilutions, in THP-1 human cells, differentiated into mature macrophages and into
an alternative IL-4-activated phenotype involved in tissue remodelling and
healing. METHODS: Real-time quantitative Reverse Transcription Polymerase Chain
Reaction (PCR) analysis was used to study the changes in the expression of a
customized panel of key genes, mainly cytokines, receptors and transcription
factors. RESULTS: On macrophages differentiated towards the wound healing
phenotype, A. montana affected the expression of several genes. In particular CXC
chemokine ligand 1 (CXCL1), coding for an chief chemokine, exhibited the most
consistent increase of expression, while also CXC chemokine ligand 2 (CXCL2),
Interleukin8 (IL8) and bone morphogenetic protein (BMP2) were slightly up
regulated, suggesting a positive influence of A. montana on neutrophil
recruitment and on angiogenesis. MMP1, coding for a metalloproteinase capable of
cleaving extracellular matrix substrates, was down-regulated. Most results showed
non-linearity of the dose-effect relationship. CONCLUSIONS: This exploratory
study provides new insights into the cellular and molecular mechanisms of action
of A. montana as a promoter of healing, since some of the genes it modifies are
key regulators of tissue remodelling, inflammation and chemotaxis.
PMID- 27211322
TI - Impact of homeopathic remedies on the expression of lineage differentiation
genes: an in vitro approach using embryonic stem cells.
AB - BACKGROUND: Well-documented studies of the potential effects and safety of
homeopathic medicines in pregnancy are required. In this study, specific genes
were studied which could serve as biomarkers for specification of three lineages
to predict the safety of homeopathic remedies using mouse embryonic stem (ES)
cells. Thus, the present work was to study the effects of homeopathic remedies
taken during pregnancy using ES cells as the model. METHODS: Mouse ES cells were
exposed to 30C potency of Nux Vomica and Sepia, which are homeopathic medicines
prescribed for the management of pregnancy related symptoms. Cytotoxicity studies
were done using a modified Embryonic Stem cell test (EST). The expression levels
of key genes and proteins were analyzed using real time polymerase chain reaction
and immunocytochemistry, respectively. RESULTS: Homeopathic treatment led to
modulations in the expression of certain lineage specific genes but this
difference was not significant with respect to solvent control and showed normal
differentiation as demonstrated by the expression of alpha/beta MHC and alpha
actinin proteins in the differentiated ES cells. CONCLUSIONS: Our study for the
first time has shown the feasibility of using ES cells in the developmental
toxicity testing of remedies. The results suggest that they are not associated
with developmental toxicity.
PMID- 27211323
TI - Establishing the interfacial nano-structure and elemental composition of
homeopathic medicines based on inorganic salts: a scientific approach.
AB - Extremely dilute systems arise in homeopathy, which uses dilution factors 10(60),
10(400) and also higher. These amounts to potencies of 30c, 200c or more, those
are far beyond Avogadro's number. There is extreme skepticism among scientists
about the possibility of presence of starting materials due to these high
dilutions. This has led modern scientists to believe homeopathy may be at its
best a placebo effect. However, our recent studies on 30c and 200c metal based
homeopathic medicines clearly revealed the presence of nanoparticles of starting
metals, which were found to be retained due to the manufacturing processes
involved, as published earlier.(9,10) Here, we use HR-TEM and STEM techniques to
study medicines arising from inorganic salts as starting materials. We show that
the inorganic starting materials are present as nano-scale particles in the
medicines even at 1 M potency (having a large dilution factor of 10(2000)). Thus
this study has extended our physicochemical studies of metal based medicines to
inorganic based medicines, and also to higher dilution. Further, we show that the
particles develop a coat of silica: these particles were seen embedded in a meso
microporous silicate layer through interfacial encapsulation. Similar silicate
coatings were also seen in metal based medicines. Thus, metal and inorganic salt
based homeopathic medicines retain the starting material as nanoparticles
encapsulated within a silicate coating. On the basis of these studies, we propose
a universal microstructural hypothesis that all types of homeopathic medicines
consist of silicate coated nano-structures dispersed in the solvent.
PMID- 27211324
TI - Polycrystalline structures formed in evaporating droplets as a parameter to test
the action of Zincum metallicum 30c in a wheat seed model.
AB - BACKGROUND: Polycrystalline structures formed inside evaporating droplets of
different biological fluids have been shown sensitive towards various influences,
including ultra high dilutions (UHDs), representing so a new approach potentially
useful for basic research in homeopathy. In the present study we tested on a
wheat seed model Zincum metallicum 30c efficacy versus lactose 30c and water.
MATERIALS AND METHODS: Stressed and non-stressed wheat seeds were watered with
the three treatments. Seed-leakage droplets were evaporated and the
polycrystalline structures formed inside the droplet residues were analyzed for
their local connected fractal dimensions (LCFDs) (measure of complexity) using
the software ImageJ. RESULTS: We have found significant differences in LCFD
values of polycrystalline structures obtained from stressed seeds following the
treatments (p<0.0001); Zincum metallicum 30c lowered the structures' complexity
compared to lactose 30c and water. In non-stressed seeds no significant
differences were found. CONCLUSIONS: The droplet evaporation method (DEM) might
represent a potentially useful tool in basic research in homeopathy. Furthermore
our results suggest a sensitization of the stressed model towards the treatment
action, which is conforming to previous findings.
PMID- 27211325
TI - What do homeopathic doctors think of vaccines? An international online survey.
AB - BACKGROUND AND OBJECTIVES: Parental refusal to vaccinate is a cause of serious
concern. Use of homeopathy is believed to be a relevant reason for parents to
refuse to vaccinate. However, vaccination is one of the main gaps dividing
between medically qualified or not homeopathic practitioners. The present study
sought to investigate the attitude of homeopathic doctors towards vaccination and
associated variables. METHODS: An international online survey was conducted with
homeopathic doctors by means of an anonymous self-reported questionnaire in
Portuguese or Spanish. Questions investigated sociodemographic and professional
characteristics, overall opinion on vaccination and on some specific vaccines.
RESULTS: A total of 512 responses were obtained, 77.5% of respondents were from
Latin American countries, 16.8% from Spain, with small numbers from several other
countries. About 75.6% of the respondents considered vaccination safe, effective
and necessary, while 12.5% stated they would not recommend vaccination under any
circumstance. The variables significantly correlated with positive attitude
towards vaccination were: working in the public health system (p=0.04) and
homeopathy not the main medical activity (p=0.005). Homeopaths from Brazil, where
homeopathy is officially accredited, were more favorable to vaccination compared
to respondents from countries where homeopathy has inferior status (p<0.001).
CONCLUSION: The results show that there is no contradiction between homeopathy
and primary prevention by means of vaccination.
PMID- 27211326
TI - Highly diluted medication reduces tissue parasitism and inflammation in mice
infected by Trypanosoma cruzi.
AB - AIM: To evaluate the effects of Kalium causticum, Conium maculatum, and
Lycopodium clavatum 13cH in mice infected by Trypanosoma cruzi. MATERIALS AND
METHODS: In a blind, controlled, randomized study, 102 male Swiss mice, 8 weeks
old, were inoculated with 1400 trypomastigotes of the Y strain of T. cruzi and
distributed into the following groups: CI (treated with 7% hydroalcoholic
solution), Ca (treated with Kalium causticum 13cH), Co (treated with Conium
maculatum 13cH), and Ly (treated with Lycopodium clavatum 13cH). The treatments
were performed 48 h before and 48, 96, and 144 h after infection. The medication
was repertorized and prepared in 13cH, according to Brazilian Homeopathic
Pharmacopoeia. The following parameters were evaluated: infectivity, prepatent
period, parasitemia peak, total parasitemia, tissue tropism, inflammatory
infiltrate, and survival. Statistical analysis was conduced considering 5% of
significance. RESULTS: The prepatent period was greater in the Ly group than in
the CI group (p = 0.02). The number of trypomastigotes on the 8th day after
infection was lower in the Ca group than in the CI group (p < 0.05). Total
parasitemia was significantly lower in the Ca, Co, and Ly groups than in the CI
group. On the 12th day after infection, the Ca, Co, and Ly groups had fewer nests
and amastigotes/nest in the heart than the CI group (p < 0.05). Decreases in the
number of nests and amastigotes in the intestine were observed in the Ly group
compared with the CI group (p < 0.05). In the liver (day 12), Ly significantly
prevented the formation of inflammatory foci compared with the other groups. In
skeletal muscle, Co and Ly decreased the formation of inflammatory foci compared
with CI (p < 0.05). Ly afforded greater animal survival compared with CI, Ca, and
Co (p < 0.05). The animals in the Co group died prematurely compared with the CI
group (p = 0.03). CONCLUSIONS: Ly with 13cH potency had significantly more
benefits in the treatment of mice infected with T. cruzi, reducing the number of
blood parasites, amastigote nests in tissue, and the number of amastigotes per
nest and increasing animal survival.
PMID- 27211327
TI - A comparative consecutive case series of 20 children with a diagnosis of ADHD
receiving homeopathic treatment, compared with 10 children receiving usual care.
AB - 20 consecutively enrolled children age 5-16 with Attention Deficit Hyperactivity
Disorder (ADHD) received treatment by a homeopath (8 consultations and
individualized remedies) for one year. Ten subsequently enrolled children
received similar time and attention for 4 months. The study explored optimum
treatment protocols; the effectiveness, deliverability and acceptability of
treatment; and the feasibility of outcome measurement and recruitment. Parents
completed Conners' Parent Rating Scale, Revised Long Version ( CPRS-R: L) every 4
months, from which DSMIV total scores were extracted; and Measure Your Own
Medical Outcome Profile (MYMOP) every consultation. An interaction between time
(baseline/4 months) and group (treatment/non-treatment) was found .756 F
(1,28)=9.06, p=0.005. The intervention was associated with statistically
significant improvements in treated children over the year: CPRS-R: L (t
(18)=4.529, p<=0.000); MYMOP (t (18)=6.938, p<=0.000). Mean DSMIV total t scores
decreased at each time point: baseline: 85 (SD 5.1); 4 months 76.2 (SD 10.9); and
12 months 71.5 (SD 12.77). Recruitment of control participants was problematic.
Recruitment to treatment was feasible via ADHD support groups, charities, police
support agencies and social services, not schools or NHS services. Attending
appointments was problematic for some participants, but home visits did not
improve uptake. The best venue was a familiar clinic. Some participants took
medicines inappropriately, but generally taking homeopathic remedies was
acceptable and well implemented. CPRS-R: L (80 items) was problematic for some
parents. MYMOP was preferred by parents but not acceptable to stakeholders. In
this small consecutive sample the intervention was associated with improvements
in criminality, anger and children with a concomitant diagnosis of Autism
Spectrum Disorder ASD. Treatment by a homeopath was associated with sustained,
increasing improvements and the intervention was acceptable to participants. More
methodically rigorous research is warranted. "We recommend that future research
in this area uses comparative effectiveness randomised controlled trial designs.
We also recommend that these trials measure outcomes of relevance to stakeholder
needs - the people and services who care for those with ADHD - parents, teachers
and social workers and the criminal justice system".
PMID- 27211328
TI - Hay fever & homeopathy: a case series evaluation.
AB - BACKGROUND: Seasonal allergic rhinitis (hay fever) is common and can considerably
reduce the quality of life of sufferers. Despite the wide everyday application
and promising results with homeopathy, scientific evidence of its effectiveness
for most ailments is scarce. AIM: The assessment of the clinical effectiveness of
homeopathic remedies in the alleviation of hay fever symptoms in a typical
clinical setting. METHODS: We performed a clinical observational study of eight
patients in the treatment of hay fever symptoms over a two-year period (2012 and
2013) using Measure Yourself Medical Outcome Profile (MYMOP) self-evaluation
questionnaires at baseline and again after two weeks and four weeks of
homeopathic treatment. The individualized prescription - either a single remedy
or multiple remedies - was based on the totality of each patient's symptoms.
RESULTS: The average MYMOP scores for the eyes, nose, activity and wellbeing had
improved significantly after two and four weeks of homeopathic treatment. The
overall average MYMOP profile score at baseline was 3.83 (standard deviation, SD,
0.78). After 14 and 28 days of treatment the average score had fallen to 1.14
(SD, 0.36; P<0.001) and 1.06 (SD, 0.25; P<0.001) respectively. CONCLUSIONS:
Individualized homeopathic treatment was associated with significant alleviation
of hay fever symptoms, enabling the reduction in use of conventional treatment.
The results presented in this study can be considered as a step towards a pilot
pragmatic study that would use more robust outcome measures and include a larger
number of patients prescribed a single or a multiple homeopathic prescription on
an individualized basis.
PMID- 27211329
TI - Carbonic anhydrase inhibition and the management of neuropathic pain.
AB - INTRODUCTION: Neuropathic pain affects up to 8% of the population with few
therapeutic options for its management. No specific drugs are approved for its
treatment. AREAS COVERED: Recent advances in understanding the pathological
mechanisms of this syndrome and the biochemical/pharmacological characterization
of novel drug targets, evidenced carbonic anhydrase (CA, EC 4.2.1.1) inhibition
as a new approach for designing antineuropathic pain agents. Expert commentary:
Peripheral nerve injury negatively influences spinal gamma-aminobutyric (GABA)
ergic networks via a reduction in the neuron-specific potassium-chloride (K(+)
Cl(-)) cotransporter (KCC2), which leads to neuropathic allodynia. CA inhibitors
(CAIs) reduce the bicarbonate-dependent depolarization of GABAA receptors,
showing analgesic effects. Novel classes of selective sulfonamide CA II/VII
inhibitors showed highly improved efficacy in animal models of neuropathic pain,
compared to acetazolamide, offering the basis for the development of specific
therapies of this syndrome based on selective CA isoforms inhibition.
PMID- 27211330
TI - Increases of Galectin-1 and its S-nitrosylated form in the Brain Tissues of
Scrapie-Infected Rodent Models and Human Prion Diseases.
AB - Galectin-1 (Gal-1) shows neuroprotective activity in brain ischemia, spinal cord
injury, and autoimmune neuroinflammation. To evaluate the Gal-1 situation in the
brains of prion disease, the brain levels of Gal-1 in several scrapie-infected
experimental rodent models were tested by Western blot, including agents 263K
infected hamsters, 139A-, ME7-, and S15-infected mice. Remarkable increases of
brain Gal-1 were observed in all tested scrapie-infected rodents at the terminal
stage. The brain levels of Gal-1 showed time-dependent increases along with the
prolonging of incubation times. Immunohistochemical assays illustrated much
stronger stainings in the brain sections of scrapie-infected rodents.
Quantitative RT-PCR of Gal-1 gene demonstrated increased transcription in the
brains of scrapie-infected mice. Gal-1 was colocalized with GFAP- and NeuN
positive cells, but not with Iba-1-positive cells in immunofluorescent test.
Increases of Gal-1 were also detected in the several postmortem cortex regions of
human prion diseases. Moreover, the S-nitrosylated forms of Gal-1 in the brains
of scrapie-infected rodents were significantly higher than those of normal ones.
Our finding here demonstrates markedly increased brain Gal-1 and S-nitrosylated
Gal-1 both in scrapie-infected rodents and human prion diseases.
PMID- 27211331
TI - Altered behavior and neural activity in conspecific cagemates co-housed with
mouse models of brain disorders.
AB - The psychosocial environment is one of the major contributors of social stress.
Family members or caregivers who consistently communicate with individuals with
brain disorders are considered at risk for physical and mental health
deterioration, possibly leading to mental disorders. However, the underlying
neural mechanisms of this phenomenon remain poorly understood. To address this,
we developed a social stress paradigm in which a mouse model of epilepsy or
depression was housed long-term (>4weeks) with normal conspecifics. We
characterized the behavioral phenotypes and electrophysiologically investigated
the neural activity of conspecific cagemate mice. The cagemates exhibited
deficits in behavioral tasks assessing anxiety, locomotion, learning/memory, and
depression-like behavior. Furthermore, they showed severe social impairment in
social behavioral tasks involving social interaction or aggression. Strikingly,
behavioral dysfunction remained in the cagemates 4weeks following co-housing
cessation with the mouse models. In an electrophysiological study, the cagemates
showed an increased number of spikes in medial prefrontal cortex (mPFC) neurons.
Our results demonstrate that conspecifics co-housed with mouse models of brain
disorders develop chronic behavioral dysfunctions, and suggest a possible
association between abnormal mPFC neural activity and their behavioral
pathogenesis. These findings contribute to the understanding of the psychosocial
and psychiatric symptoms frequently present in families or caregivers of patients
with brain disorders.
PMID- 27211332
TI - Combined incentives versus no-incentive exercise programs on objectively measured
physical activity and health-related variables.
AB - Incentivized exercise program interventions have recently led to mixed findings
with regard to increasing physical activity, attendance, and improving healthy
lifestyles. However, in this area limited research exists on implementing a
combined negative reinforcement strategy, using a "buy-in" and positive
reinforcement system. PURPOSE: To determine the effect of comparing a non
incentivized reward system with an incentivized reward system using combined
positive and negative rewards on physical activity, attendance, and health and
performance outcomes. METHODS: 15 Previously sedentary faculty and staff of a
large public research university participated in two separate 12-week exercise
interventions and wore a program accelerometer throughout the entire day during
the 12weeks. During the first intervention, there were no incentives offered to
participants. The second intervention consisted of an incentivized program.
Positive reinforcements included various rewards for meeting achievements related
to physical activity levels. A program rebate worth $25 for achieving 450miles
was used as the negative reinforcement "buy-in" incentive. RESULTS: A two-way
repeated measures ANOVA demonstrated a main effect of time for percent body fat
(p<0.001) and push-ups (p=0.018). All other variables revealed no differences
between conditions or from pre to post testing. There was no difference between
conditions with physical activity or attendance. CONCLUSION: No differences in
physical activity or health-related variables were found within the incentivized
and non-incentivized conditions.
PMID- 27211333
TI - p-Chloro-diphenyl diselenide reverses memory impairment-related to stress caused
by corticosterone and modulates hippocampal [(3)H]glutamate uptake in mice.
AB - Chronic stress or chronically high levels of glucocorticoids can result in memory
impairment. This study aimed to investigate if 4,4'-dichloro-diphenyl diselenide
(p-ClPhSe)2 reverses memory impairment-related to stress caused by corticosterone
administration in mice and its possible mechanism of action. Swiss mice received
corticosterone (20MUg/ml) in their drinking water during four weeks. In the last
week, the animals were treated with (p-ClPhSe)2 (1 or 5mg/kg) by the intragastric
route (i.g.) once a day for 7days. The cognitive performance of mice was assessed
through the object recognition test (ORT), the object location test (OLT) and the
step-down passive avoidance test (SDPA), some of predictive tests of memory.
Biochemical parameters were determined and locomotor activity of mouse was
performed to gain insight in (p-ClPhSe)2 toxicity. The findings demonstrated that
treatment with (p-ClPhSe)2 in both doses was effective in reversing memory
deficits in the ORT, the OLT and the SDPA caused by corticosterone exposure in
mice. Treatment with (p-ClPhSe)2 at both doses reversed the increase in the
[(3)H] glutamate uptake by hippocampal slices of mice treated with
corticosterone. By contrast, [(3)H] glutamate uptake by brain cortical slices was
not altered in mice exposed to corticosterone. The Na(+)K(+)ATPase activity was
not altered in hippocampus and cerebral cortices of mice treated with
corticosterone. There was no sign of toxicity in mice treated with (p-ClPhSe)2.
This organoselenium compound reversed memory impairment-related to stress caused
by corticosterone and modulated hippocampal [(3)H]glutamate uptake in mice.
PMID- 27211334
TI - Automated detection and characterisation of rumination in sheep using in vivo
electrophysiology.
AB - Rumination is a precisely timed process that occupies a large part of a sheep's
day. The complex motor coordination required to chew and swallow means that
quantification of rumination may provide a surrogate marker for effective motor
function. Here, data from 24h in vivo electrophysiological recordings, collected
as part of an earlier study, were reanalysed for chewing- and swallowing-related
activity. The electroencephalographic (EEG) and electromyographic (EMG) data were
collected from sheep with surgically-implanted electrodes. An algorithm was
designed to detect coordinated, rhythmic muscle activity. This could distinguish
episodes of eating from those of rumination. Normal sheep spent ~29% of their
time ruminating. Rumination comprised ~40s bouts of regular (~1.7s(-1)) chewing
interspersed by ~6.5s intervals during which time no chewing took place. Eating
was significantly less regular than rumination, with quicker chewing (~2.7s(-1)).
Biomarkers for measuring progression of disease would be invaluable for studying
neurodegenerative disease such as Huntington's disease (HD). To test the
feasibility of using rumination as such a biomarker, we also made recordings from
two neurologically impaired sheep. These showed deviations from the pattern of
rumination and eating seen in normal sheep. This validates not only our use of
rumination as a measure of normal motor function, but also as a surrogate
biomarker for measuring motor dysfunction in impaired sheep.
PMID- 27211343
TI - Neoadjuvant chemotherapy in breast-conserving surgery - Consequences on margin
status and excision volumes: A nationwide pathology study.
AB - BACKGROUND: Neoadjuvant chemotherapy (NACT) is increasingly used in patients with
operable disease due to the potential of converting patients requiring mastectomy
to breast conserving surgery (BCS) or lowering resection volumes to improve
cosmetic outcome. This nationwide retrospective study aims to determine margin
status and specimen volume in patients with invasive breast cancer who underwent
BCS after NACT. METHODS: All patients who underwent BCS in 2012-2013 for invasive
breast cancer were selected from a nationwide network and registry of histology
and cytopathology in the Netherlands (PALGA). RESULTS: Of the 9901 patients, 626
(6.3%) received NACT. After primary surgery 949 (10.2%) patients had tumour
involved margins compared to 152 (24.3%) after NACT. Close margins (<=1 mm) were
seen in another 111 (17.7%) patients after NACT. The adjusted odds ratio for
involved margins after NACT was 2.94, meaning a three times higher risk of
involved margins compared with primary surgery. In patients with lobular
carcinoma (54.9%) and no response to NACT (42.1%) higher tumour-involved margins
were seen. High resection volumes >60 cc were observed in 224 (36%) patients
after NACT of which 37 (16.5%) had tumour involved margins and 32 (14.3%) close
margins <=1 mm. CONCLUSION: The primary goal of the surgeon performing BCS after
NACT, to reach tumour-free margins, is not accomplished in one out of four
patients. Patients especially at risk are patients with ILC and no pathological
tumour response. Excessive resection volumes after NACT do not guarantee tumour
free margins. Further research is necessary to analyze whether we are
counterproductive when NACT is given in order to lower resection volumes.
PMID- 27211344
TI - Regulating Cell Apoptosis on Layer-by-Layer Assembled Multilayers of
Photosensitizer-Coupled Polypeptides and Gold Nanoparticles.
AB - The design of advanced, nanostructured materials by layer-by-layer (LbL) assembly
at the molecular level is of great interest because of the broad application of
these materials in the biomedical field especially in regulating cell growth,
adhesion, movement, differentiation and detachment. Here, we fabricated
functional hybrid multilayer films by LbL assembly of biocompatible
photosensitizer-coupled polypeptides and collagen-capped gold nanoparticles. The
resulting multilayer film can well accommodate cells for adhesion, growth and
proliferation. Most significantly, controlled cell apoptosis (detachment) and
patterning of the multilayer film is achieved by a photochemical process yielding
reactive oxygen species (ROS). Moreover, the site and shape of apoptotic cells
can be controlled easily by adjusting the location and shape of the laser beam.
The LbL assembled multilayer film with integration of functions provides an
efficient platform for regulating cell growth and apoptosis (detachment).
PMID- 27211345
TI - Quantitative analysis of glycerol in dicarboxylic acid-rich cutins provides
insights into Arabidopsis cutin structure.
AB - Cutin is an extracellular lipid polymer that contributes to protective cuticle
barrier functions against biotic and abiotic stresses in land plants. Glycerol
has been reported as a component of cutin, contributing up to 14% by weight of
total released monomers. Previous studies using partial hydrolysis of cuticle
enriched preparations established the presence of oligomers with glycerol
aliphatic ester links. Furthermore, glycerol-3-phosphate 2-O-acyltransferases (sn
2-GPATs) are essential for cutin biosynthesis. However, precise roles of glycerol
in cutin assembly and structure remain uncertain. Here, a stable isotope-dilution
assay was developed for the quantitative analysis of glycerol by GC/MS of
triacetin with simultaneous determination of aliphatic monomers. To provide clues
about the role of glycerol in dicarboxylic acid (DCA)-rich cutins, this
methodology was applied to compare wild-type (WT) Arabidopsis cutin with a series
of mutants that are defective in cutin synthesis. The molar ratio of glycerol to
total DCAs in WT cutins was 2:1. Even when allowing for a small additional
contribution from hydroxy fatty acids, this is a substantially higher glycerol to
aliphatic monomer ratio than previously reported for any cutin. Glycerol content
was strongly reduced in both stem and leaf cutin from all Arabidopsis mutants
analyzed (gpat4/gpat8, att1-2 and lacs2-3). In addition, the molar reduction of
glycerol was proportional to the molar reduction of total DCAs. These results
suggest "glycerol-DCA-glycerol" may be the dominant motif in DCA-rich cutins. The
ramifications and caveats for this hypothesis are presented.
PMID- 27211346
TI - The importance of EHD1 in neurite outgrowth contributing to the functional
recovery after spinal cord injury.
AB - Traumatic spinal cord injury is one of the most common and severe problems for
using NGF to promote the neurite outgrowth of survival neurons. EHD1 regulates
and controls the endocytosis and transportation of neurotrophins and
transmembrane cargo via recycling endosome for neurite outgrowth. TrkA is
particularly considered to be a functional specific recepter in the cell membrane
for NGF and is activated upon NGF binding. The transcytosis of TrkA is dependent
on Rab11 recycling endosomes and is promoted by NGF signaling itself at the axon
terminal. In this study, we established an acute spinal cord contusion injury
model in adult rats to investigate the potential role of EHD1 during the
pathological process of SCI. Western blot analysis suggested that EHD1 expression
was low in the sham-operated adult rat spinal cords and was significantly up
regulated 1d after injury. Immunohistochemical staining detected the general
distribution of EHD1 protein in both the gray and white matter of adult rat
spinal cords. Double immunofluorescent staining indicated that EHD1 was expressed
in neurons, astrocytes and microglias in the adult rat spinal cord, and obvious
changes of EHD1 expression occurred in neurons during SCI pathological process.
Significant up-regulation of EHD1 expression was observed in MAP2 positive
neurons at 1 day after SCI, in comparison with the sham-operated control, which
indicated that EHD1 might play a vital role in neurite outgrowth. Our data
indicated that EHD1 could interact with TrkA, and is in the upstream of TrkA.
EHD1 up-regulated the expression of TrkA in the glutamate stimulated primary
neurons. Based on our experimental data, we boldly conclude that EHD1 regulates
the recycling of TrkA back to cell membrane, improving the utilization efficiency
of the NGF, which is vital for neurite outgrowth and functional recovery after
spinal cord injury.
PMID- 27211347
TI - Effects of maternal low-protein diet on parameters of locomotor activity in a rat
model of cerebral palsy.
AB - Children with cerebral palsy have feeding difficulties that can contribute to
undernutrition. The aim of this study was to investigate the effect of early
undernutrition on locomotor activity and the expression of the myofibrillar
protein MuRF-1 in an experimental model of cerebral palsy (CP). In order to
achieve this aim, pregnant rats were divided into two groups according to the
diet provided: Normal Protein (NP, n=9) and Low Protein (LP, n=12) groups. After
birth, the pups were divided into four groups: Normal Protein Sham (NPS, n=16),
Normal Protein Cerebral Palsy (NPCP, n=21), Low Protein Sham (LPS, n=20) and Low
Protein Cerebral Palsy (LPCP, n=18) groups. The experimental cerebral palsy
protocol consisted of two episodes of anoxia at birth and during the first days
of life. Each day, nitrogen flow was used (9l/min during 12min). After nitrogen
exposure, sensorimotor restriction was performed 16h per day, from the 2nd to the
28th postnatal day (PND). Locomotor activity was evaluated at 8th, 14th, 17th,
21th and 28th PND. At PND 29, soleus muscles were collected to analyse
myofibrillar protein MuRF-1. Our results show that CP animals decreased body
weight (p<0.001), which were associated with alterations of various parameters of
locomotor activity (p<0.05), compared to their control. Undernourished animals
also showed a decrease (p<0.05) in body weight and locomotor activity parameters.
Moreover, CP decreased MuRF-1 levels in nourished rats (p=0.015) but not in
undernourished rats. In summary, perinatal undernutrition exacerbated the
negative effects of cerebral palsy on locomotor activity and muscle atrophy, but
it appears not be mediated by changes in MuRF-1 levels.
PMID- 27211348
TI - External radiopaque marking of Gillies posterior zygomatic arch osteotomy in
reduction malarplasty.
AB - Although the Gillies (temporal) approach to reduction malarplasty helps preserve
supportive soft tissue and avoid facial scars, the osteotomy site is difficult to
gauge when using this blind technique. Our experience with external radiopaque
marking of the zygomatic arch to guide this process is presented herein. This
retrospective review included all patients who underwent reduction malarplasty
(as above) at our clinic between August 2013 and September 2015. Procedures
entailed L-shaped osteotomy only (no segmental excision) of the zygomatic body by
the intraoral route, and posterior zygomatic arch osteotomy by the Gillies
approach, guided by external radiopaque markings. Patient characteristics,
surgical outcomes, and complications were analyzed to assess the merits of this
strategy. Postoperative results were evaluated by both the patients and the
surgeon. Most patients expressed satisfaction during the follow-up period (range,
3-27 months). Posterior osteotomies were properly performed as planned, with no
major complications (i.e., malunion or nonunion, cheek drooping, or facial nerve
injury), although minor complications were recorded in three instances. Use of
external radiopaque markings provides guidance during malarplasty by the Gillies
approach and may help avoid procedural complications.
PMID- 27211349
TI - Prenatal diagnosis of cleft lip/palate: The surface rendered oro-palatal (SROP)
view of the fetal lips and palate, a tool to improve information-sharing within
the orofacial team and with the parents.
AB - The ultrasonographic surface rendered oro-palatal (SROP) view is a 3D
reconstructed view of the fetal perioral region, which combines ultrasound
insonation in a trans oral, upward directed axial direction and the surface
rendered mode. It allows the simultaneous visualization on a single scan of the
superior lip, alveolar ridge and secondary palate. It corresponds prenatally to
the submental intra oral photography of the palate of neonates. The aim of the
study was to demonstrate the benefice of using the SROP view in the management of
cleft lip with or without cleft palate, uni- or bi-lateral, diagnosed prenatally
(22-28 gestational weeks). The SROP view allowed the representation on a single
view of the characteristics of the defect useful to the different members of the
orofacial team to exactly evaluate the difformity and to plan the ulterior
therapeutic steps (e.g. side, extension of the cleft to the secondary palate,
tooth organization). Also, being easier to read by lay people thanks to the use
of a surface rendered representation rather than the usual multiplanar
reconstructions in the three traditional orthogonal planes, the SROP view makes
it easier to bring exact information to the parents about the malformation and
its consequences.
PMID- 27211350
TI - Reproductive concessions between related and unrelated members promote
eusociality in bees.
AB - Animal societies exhibit remarkable variation in their breeding strategies.
Individuals can maximize their fitness by either reproducing or by helping
relatives. Social hymenopterans have been key taxa for the study of Hamilton's
inclusive fitness theory because the haplodiploid sex-determination system
results in asymmetric relatedness among breeders producing conflict over the
partitioning of reproduction. In small cooperative groups of insects, totipotent
individuals may maximize their inclusive fitness by controlling reproduction
despotically rather than helping their relatives. Here, we demonstrate that the
dominant females of the primitively eusocial bee Euglossa melanotricha (Apidae:
Euglossini) control reproduction, but concede part of the reproductive output
with their related and unrelated subordinates. As expected, a dominant female
capitalizes on the direct reproduction of related subordinates, according to her
interests. We found that reproductive skew was positively correlated with
relatedness. The concessions were highly reduced in mother-daughter and sibling
nests (relatedness r +/- s.d. = 0.54 +/- 0.02 and 0.79 +/- 0.02, respectively)
but much more egalitarian in unrelated associations (r = -0.10 +/- 0.01). We
concluded that reproductive skew in these primitively eusocial bees is strongly
related to the genetic structure of associations, and also that females are able
to assess pairwise relatedness, either directly or indirectly, and use this
information to mediate social contracts.
PMID- 27211351
TI - [Prevalence of asymmetrical blood pressure in uncontrolled hypertensive patients
is high and highly related with cardiovascular diseases prevalence].
AB - A meta-analysis has shown that an asymmetry of the blood pressure (ABP) between
arm>=15mmHg and perhaps>=10mmHg is associated with an increase of cardiovascular
diseases. To describe the prevalence of ABP in patients presenting an
uncontrolled blood pressure (BP) under treatment, an observational cross
sectional study was conducted. Factors influencing prevalence of ABP were
identified and relation of ABP with the frequency of the cardiovascular diseases
or subclinicals organs damages was evaluated. A total of 2417 patients, 63.3+/
11.0years old, presenting uncontrolled BP (according ESC criteria) by a previous
antihypertensive therapy were included. Only 36.8% (95% CI [34.9-38.7]) were
presenting a between-arm difference in systolic blood pressure>=10mmHg, and 17.1%
(95% CI [15.6-18.6])>=15mmHg. MRA shows systolic ABP>=10mmHg prevalence was
influenced by dyslipidemia (OR: 1.5 [1.2-1.7], P<0.0001) and by family history of
early cardiovascular disease (OR: 1.6 [1.3-1.9], P<0.0001). MRA adjusted on CVRF
shows that besides the dyslipidemia effect, systolic ABP>=10mmHg influences the
frequency of sub-clinical impairment of target organ (OR: 1.6 [1.3-1.9],
P<0.0001), of coronary heart disease (OR: 1.8 [1.4-2.4], P<0.0001), and globally
the presence of a cardiovascular disease (OR: 1.7 [1.4-2.1], P<0.0001). The
effect on stroke frequency was showed with an systolic ABP>=15mmHg (OR: 1.6 [1.1
2.4], P<0.02). In uncontrolled hypertensive patients, screening of an ABP should
help to identify clinically patients with high risk of cardiovascular diseases or
with subclinical organs damages.
PMID- 27211352
TI - [Fractional flow reserve and instantaneous wave-free ratio for the physiological
assessment of coronary artery stenosis in the catheterization laboratory:
Practical tips].
AB - In recent years, a large body of evidence has revealed the limitations of
angiographic evaluation in determining the physiological significance of coronary
stenosis, particularly when these are intermediate lesions. Percutaneous coronary
interventions (PCI) guided by physiological assessment using fractional flow
reserve (FFR) have been shown to reduce cardiovascular events when compared to
angiography alone. Recently, another coronary physiologic parameter has been
introduced: the "instantaneous wave-free ratio" (iFR). In this review, we will
discuss the FFR, the iFR, and their use in the functional assessment of coronary
stenosis in the cardiac catheterization laboratory. This review will cover
theoretical aspects for non-interventional cardiologists, as well as practice
points and common pitfalls related to coronary physiological assessment for
interventional cardiologists.
PMID- 27211353
TI - [Drug treatment of acute myelogenous leukaemia. Current options and future
perspectives].
AB - Acute myelogenous leukemia is a heterogeneous disease. Recent molecular
mutational analysis techniques have shed more light on different, genetically
well characterised types of the disease. Treatment approach is uniform except for
acute promyelocytic leukemia. Application of the "3 + 7" induction treatment has
been the gold standard in the past 40 years. While the dose of cytarabine has not
been changed, escalating daunorubicine dose in younger (<60 years) patients with
good performance status to 90 mg/m(2) had a positive impact on overall survival.
High dose chemotherapy is tolerated poorly in patients older than 60 years of age
and, as treatment is not curative in the elderly, improvement of overall survival
and quality of life remains the main goal of management in these patients. Low
intensity treatment is beneficial and can provide additional advantage over
supportive care. Innovative and targeted therapy approaches might give promise to
better management of patients with acute myelogenous leukemia.
PMID- 27211354
TI - [Catheter ablation for paroxysmal atrial fibrillation: new generation cryoballoon
or contact force sensing radiofrequency ablation?].
AB - INTRODUCTION: Contact force sensing radiofrequency ablation and the new
generation cryoballoon ablation are prevalent techniques for the treatment of
paroxysmal atrial fibrillation. AIM: The authors aimed to compare the procedural
and 1-year outcome of patients after radiofrequency and cryoballoon ablation.
METHOD: 96 patients with paroxysmal atrial fibrillation (radiofrequency ablation:
58, cryoballoon: 38 patients; 65 men and 31 women aged 28-70 years) were
enrolled. At postprocedural 1, 3, 6 and 12 months ECG, Holter monitoring and
telephone interviews were performed. RESULTS: Procedure and fluorosocopy time
were: radiofrequency ablation, 118.5 +/- 15 min and 15.8 +/- 6 min; cryoballoon,
73.5 +/- 16 min (p<0.05) and 13.8 +/- 4.,1 min (p = 0.09), respectively. One year
later freedom from atrial fibrillation was achieved in 76.5% of patients who
underwent radiofrequency ablation and in 81% of patients treated with
cryoballoon. Temporary phrenic nerve palsy occurred in two patients and
pericardial tamponade developed in one patient. CONCLUSIONS: In this single
center study freedom from paroxysmal atrial fibrillation was similar in the two
groups with significant shorter procedure time in the cryoballoon group.
PMID- 27211356
TI - [Rare side effects in management of hyperthyroidism. Case report].
AB - The authors present the case history of a patient suffering from hyperthyroidism.
The diagnostic procedures revealed the presence of propylthiouracyl induced
vasculitis with renal involvement, that recovered completely after the withdrawal
of propylthiouracyl and corticosteroid treatment. Thereafter, the patient was
treated with thiamasol, that caused agranulocytosis with fever. After transient
litium carbonate therapy a succesful thyreoidectomy was performed. Cumulative
serious side effects of antithyroid drugs are rare. This case highlights some of
the challenges and complications encountered in the management of
hyperthyroidism.
PMID- 27211357
TI - [Ajurveda in modern medical science].
PMID- 27211355
TI - [Problem solving care models for Parkinson's disease].
AB - INTRODUCTION: Parkinson's disease affects more than 6,3 million people worldwide.
Most patients and relatives are left alone to struggle with the symptoms
associated with fluctuations in drug levels and the psychotic side effects of the
anti-Parkinson's medications. Moreover, quite often even health providers may
find difficult to interpret and manage the problems that have been encountered.
AIM: The aims of the authors were to analyze systematically the biopsychosocial
needs of Parkinson's patients, and to develop a complex, evidence-based
Parkinson's-nursing-care model. METHOD: Patients' needs were assessed based on an
observational study involving an old patient with Parkinson's disease for more
than 28 years. The model has been specified as a multidisciplinary care framework
adapted to the special characteristics of Parkinson's disease which transcends
the limitations of different standard nursing models. RESULTS: The elaborated
model contains a detailed description of cooperative problem solving, which is
organized around individual patients along with recommendations for addressing
various potential problems that might be encountered. CONCLUSIONS: Implementation
of the presented model can improve the life quality of Parkinson's patients and
can facilitate the life of affected families provided that these families are
well aware about the potential benefits of the novel care delivery system.
PMID- 27211358
TI - [Not Available].
PMID- 27211359
TI - Administration of adipose-derived stem cells enhances vascularity, induces
collagen deposition, and dermal adipogenesis in burn wounds.
AB - Current treatment options for severe burn wounds are often insufficient in
reconstructing skin and soft tissue defects. Adipose-derived stem cells (ASCs), a
readily available source of multipotent stem cells, represent a promising therapy
for the treatment of full-thickness burn wounds. Full-thickness burn wounds were
created on the paraspinal region of athymic mice. A one-time, sub-eschar
injection of 6.8*10(6) ASCs in PBS or PBS alone was administered at 24-h
postoperatively. Time to healing was quantified using Image J analysis. At days
4, 7, 14, and 21, mice were sacrificed and tissues were excised for molecular and
histological analysis. ASCs were able to survive in burn wounds as determined by
the presence of PKH labeling and human PPARgamma expression within the wounds. CD
31 staining demonstrated increased vascularity in ASC-treated wounds at POD 4
(p<0.05). Molecular studies showed enhanced adipogenesis, as well as type III and
type I collagen deposition in the ASC treated group (p<0.05). An increase in the
mRNA expression ratio of type III to type I collagen was also observed following
ASC treatment (p<0.05). By enhancing vascularity, collagen deposition, and
adipogenesis, ASCs show promise as an adjunctive therapy for the current
treatment of full thickness burn wounds.
PMID- 27211360
TI - Epidemiology and screening of intentional burns in children in a Dutch burn
centre.
AB - International estimates of the incidence of non-accidental burns (NAB) in
children admitted to burn centres vary from 1% to 25%. Hardly any data about
Dutch figures exist. The aim of this study was to evaluate the incidence,
treatment and outcome of burns due to suspected child abuse in paediatric burns.
We described the process of care and outcome, including the accuracy of the
SPUTOVAMO screening tool and examined child, burn and treatment characteristics
related to suspicions of child abuse or neglect. A retrospective study was
conducted in children aged 0-17 years with a primary admission after burn
injuries to the burn centre Rotterdam in the period 2009-2013. Data on patient,
injury and treatment characteristics were collected, using the Dutch Burn
Repository R3. In addition, medical records were reviewed. In 498 paediatric
admissions, suspected child abuse or neglect was present in 43 children (9%). 442
screening questionnaires (89%) were completed. In 52 out of 442 questionnaires
(12%) the completed SPUTOVAMO had one or more positive signs. Significant
independent predictors for suspected child abuse were burns in the genital area
or buttocks (OR=3.29; CI: 143-7.55) and a low socio-economic status (OR=2.52;
95%CI: 1.30-4.90). The incidence of suspected child abuse indicating generation
of additional support in our population is comparable to studies with a similar
design in other countries.
PMID- 27211361
TI - Paediatric burns in LMICs: An evaluation of the barriers and facilitators faced
by staff involved in burns education training programmes in Blantyre, Malawi.
AB - BACKGROUND: A burn prevention and education programme - the Reduction of Burn and
Scald Mortality and Morbidity in Children in Malawi project - was implemented
from January 2010-2013 in Queen Elizabeth Central Hospital, Malawi. This study
aimed to investigate the barriers and facilitators of implementing education
training programmes. METHODS: Semi-structured interviews with 14 Scottish and
Malawian staff delivering and receiving teaching at training education programmes
were conducted. All interviews were recorded, transcribed and analysed using
thematic analysis. RESULTS: Overarching barriers and facilitators were similar
for both sets of staff. Scottish participants recognised that limited experience
working in LMICs narrowed the challenges they anticipated. Time was a significant
barrier to implementation of training courses for both sets of participants. Lack
of hands on practical experience was the greatest barrier to implementing the
skills learnt for Malawian staff. Sustainability was a significant facilitator to
successful implementation of training programmes. Encouraging involvement of
Malawian staff in the co-ordination and delivery of teaching enabled those who
attend courses to teach others. CONCLUSIONS: A recognition of and response to the
barriers and facilitators associated with introducing paediatric burn education
training programmes can contribute to the development of sustainable programme
implementation in Malawi and other LMICs.
PMID- 27211362
TI - Suicide by self-immolation in Tunisia: A 10 year study (2005-2014).
AB - INTRODUCTION: In Tunisia, few data are available about self-immolation
epidemiology especially in the absence of official statistics on suicides. The
aim of our study was to analyze the trends of suicide by self-immolation over a
period of ten years (2005-2014). METHODS: We conducted a descriptive,
retrospective study including all the cases of self-immolation suicides that
occurred over a period of 10 years (2005-2014) and autopsied in the Department of
Legal Medicine of the Charles Nicolle Hospital of Tunis, including self
immolation occurring in Northern Tunisia as well as those committed in remaining
governorates and transferred before death to the central intensive care unit of
burnt in Tunis receiving patients from all over Tunisia (about 80% of cases
occurring in Tunisia). RESULTS: 235 cases of self-immolation were collected. The
average age at death was 34.1+/-12.43 years (range 14-83 years). Gender ratio was
of 3.27. Psychiatric history was mentioned in 32.8% of cases, represented by
schizophrenia in 17.9% and depression in 12.3% of cases. 12.3% had a history of
suicide attempts, and 7.3% presented a history of suicidal threats. The number of
casualties of suicide by self-immolation tripled after 2011 with a rising trend
during the next three years and slight drop in 2014. The most reported reason in
our study was decompensation of a psychiatric illness (24.7%). Self-immolation
occurred most frequently in a private home (34.5%) or a public place (30.2).
CONCLUSION: Our study showed that self-immolation affects essentially, young men,
who are unemployed and mostly having mental diseases.
PMID- 27211363
TI - High-frequency percussive ventilation and initial biomarker levels of lung injury
after smoke inhalation - Not Straightforward to Interpret.
PMID- 27211364
TI - Prediabetes as a Precursor to Diabetic Kidney Disease.
PMID- 27211365
TI - Improving Our Understanding of Quality of Life in CKD.
PMID- 27211366
TI - A beta-Blocker Trial in Dialysis Patients: Is It Feasible and Worthwhile?
PMID- 27211367
TI - Febuxostat for Asymptomatic Hyperuricemia in CKD.
PMID- 27211368
TI - Febuxostat Renoprotection in CKD Patients With Asymptomatic Hyperuricemia.
PMID- 27211369
TI - In Reply to 'Febuxostat for Asymptomatic Hyperuricemia in CKD' and 'Febuxostat
Renoprotection in CKD Patients With Asymptomatic Hyperuricemia'.
PMID- 27211370
TI - Iohexol Versus Iothalamate for GFR Measurement.
PMID- 27211371
TI - In Reply to 'Iohexol Versus Iothalamate for GFR Measurement'.
PMID- 27211372
TI - Erratum Regarding "World Kidney Day 2016: Averting the Legacy of Kidney Disease
Focus on Childhood" (Am J Kidney Dis. 2016;67[3]:349-354).
PMID- 27211373
TI - Quiz Page JUNE 2016: Rapidly Progressive Kidney Failure.
PMID- 27211374
TI - AJKD Atlas of Renal Pathology: Toxic Acute Tubular Injury.
PMID- 27211375
TI - AJKD Atlas of Renal Pathology: Tubular Atrophy.
PMID- 27211376
TI - AJKD Atlas of Renal Pathology: Acute Interstitial Nephritis.
PMID- 27211472
TI - Correction for diffusion MRI fibre tracking biases: The consequences for
structural connectomic metrics.
AB - Diffusion MRI streamlines tractography has become a major technique for inferring
structural networks through reconstruction of brain connectome. However,
quantification of structural connectivity based on the number of streamlines
interconnecting brain grey matter regions is known to be problematic in a number
of aspects, such as the ill-posed nature of streamlines terminations and the non
quantitative nature of streamline counts. This study investigates the effects of
state-of-the-art connectome construction methods on the subsequent analyses of
structural brain networks using graph theoretical approaches. Our results
demonstrate that the characteristics of structural connectivity, including
connectome variability, global network metrics, small-world attributes and
network hubs, alter significantly following the improvement in biological
accuracy of streamlines tractograms provided by anatomically-constrained
tractography (ACT) and spherical-deconvolution informed filtering of tractograms
(SIFT). Importantly, the commonly-used correction for connection density based on
scaling the contribution of each streamline to the connectome by its inverse
length is shown to provide incomplete correction, highlighting the necessity for
the use of advanced tractogram reconstruction techniques in structural
connectomics research.
PMID- 27211473
TI - Spatiotemporal mixed modeling of multi-subject task fMRI via method of moments.
AB - Estimating spatiotemporal models for multi-subject fMRI is computationally
challenging. We propose a mixed model for localization studies with spatial
random effects and time-series errors. We develop method-of-moment estimators
that leverage population and spatial information and are scalable to massive
datasets. In simulations, subject-specific estimates of activation are
considerably more accurate than the standard voxel-wise general linear model. Our
mixed model also allows for valid population inference. We apply our model to
cortical data from motor and theory of mind tasks from the Human Connectome
Project (HCP). The proposed method results in subject-specific predictions that
appear smoother and less noisy than those from the popular single-subject
univariate approach. In particular, the regions of motor cortex associated with a
left-hand finger-tapping task appear to be more clearly delineated. Subject
specific maps of activation from task fMRI are increasingly used in pre-surgical
planning for tumor removal and in locating targets for transcranial magnetic
stimulation. Our findings suggest that using spatial and population information
is a promising avenue for improving clinical neuroimaging.
PMID- 27211474
TI - (18)F-FCWAY, a serotonin 1A receptor radioligand, is a substrate for efflux
transport at the human blood-brain barrier.
AB - Efflux transporters at the blood-brain barrier can decrease the entry of drugs
and increase the removal of those molecules able to bypass the transporter. We
previously hypothesized that (18)F-FCWAY, a radioligand for the serotonin 5-HT1A
receptor, is a weak substrate for permeability glycoprotein (P-gp) based on its
very early peak and rapid washout from human brain. To determine whether (18)F
FCWAY is a substrate for P-gp, breast cancer resistance protein (BCRP), and
multidrug resistance protein (MRP1) - the three most prevalent efflux
transporters at the blood-brain barrier - we performed three sets of experiments.
In vitro, we conducted fluorescence-activated cell sorting (FACS) flow cytometry
studies in cells over-expressing P-gp, BCRP, and MRP1 treated with inhibitors
specific to each transporter and with FCWAY. Ex vivo, we measured (18)F-FCWAY
concentration in plasma and brain homogenate of transporter knockout mice using
gamma-counter and radio-HPLC. In vivo, we conducted positron emission tomography
(PET) studies to assess changes in humans who received (18)F-FCWAY during an
infusion of tariquidar (2-4mg/kg iv), a potent and selective P-gp inhibitor. In
vitro studies showed that FCWAY allowed fluorescent substrates to get into the
cell by competitive inhibition of all three transporters at the cell membrane. Ex
vivo measurements in knockout mice indicate that (18)F-FCWAY is a substrate only
for P-gp and not BCRP. In vivo, tariquidar increased (18)F-FCWAY brain uptake in
seven of eight subjects by 60-100% compared to each person's baseline. Tariquidar
did not increase brain uptake via some peripheral mechanism, given that it did
not significantly alter concentrations in plasma of the parent radioligand (18)F
FCWAY or its brain-penetrant radiometabolite (18)F-FC. These results show that
(18)F-FCWAY is a weak substrate for efflux transport at the blood-brain barrier;
some radioligand can enter brain, but its removal is hastened by P-gp. Although
(18)F-FCWAY is not ideal for measuring 5-HT1A receptors, it demonstrates that
weak substrate radioligands can be useful for measuring both increased and
decreased function of efflux transporters, which is not possible with currently
available radioligands such as (11)C-loperamide and (11)C-verapamil that are avid
substrates for transporters.
PMID- 27211475
TI - Focal attenuation of specific electroencephalographic power over the right
parahippocampal region during transcerebral copper screening in living subjects
and hemispheric asymmetric voltages in fixed brain tissue.
AB - Covering the heads of human volunteers with a toque lined with copper mesh
compared to no mesh resulted in significant diminishments in quantitative
electroencephalographic power within theta and beta-gamma bands over the right
caudal hemisphere. The effect was most evident in women compared to men. The
significant attenuation of power was verified by LORETA (low resolution
electromagnetic tomography) within the parahippocampal region of the right
hemisphere. Direct measurements of frequency-dependent voltages of coronal
section preserved in ethanol-formalin-acetic acid from our human brain collection
revealed consistently elevated power (0.2MUV(2)Hz(-1)) in right hemispheric
structures compared to left. The discrepancy was most pronounced in the grey
(cortical) matter of the right parahippocampal region. Probing the superficial
convexities of the cerebrum in an unsectioned human brain demonstrated
rostrocaudal differences in hemispheric spectral power density asymmetries,
particularly over caudal and parahippocampal regions, which were altered as a
function of the chemical and spatial contexts imposed upon the tissue. These
results indicate that the heterogeneous response of the human cerebrum to
covering of the head by a thin conductor could reflect an intrinsic structure and
unique electrical property of the (entorhinal) cortices of the right caudal
hemisphere that persists in fixed tissue.
PMID- 27211476
TI - WHO interim guidance on pregnancy management in the context of Zika virus
infection.
PMID- 27211477
TI - Unpeeling the layers of language: Bonobos and chimpanzees engage in cooperative
turn-taking sequences.
AB - Human language is a fundamentally cooperative enterprise, embodying fast-paced
and extended social interactions. It has been suggested that it evolved as part
of a larger adaptation of humans' species-unique forms of cooperation. Although
our closest living relatives, bonobos and chimpanzees, show general cooperative
abilities, their communicative interactions seem to lack the cooperative nature
of human conversation. Here, we revisited this claim by conducting the first
systematic comparison of communicative interactions in mother-infant dyads living
in two different communities of bonobos (LuiKotale, DRC; Wamba, DRC) and
chimpanzees (Tai South, Cote d'Ivoire; Kanyawara, Uganda) in the wild. Focusing
on the communicative function of joint-travel-initiation, we applied parameters
of conversation analysis to gestural exchanges between mothers and infants.
Results showed that communicative exchanges in both species resemble cooperative
turn-taking sequences in human conversation. While bonobos consistently addressed
the recipient via gaze before signal initiation and used so-called overlapping
responses, chimpanzees engaged in more extended negotiations, involving frequent
response waiting and gestural sequences. Our results thus strengthen the
hypothesis that interactional intelligence paved the way to the cooperative
endeavour of human language and suggest that social matrices highly impact upon
communication styles.
PMID- 27211478
TI - Serum IgE levels are associated with coronary artery disease severity.
AB - BACKGROUND AND AIMS: Immunoglobulin E (IgE), a key element of allergic reactions,
was considered to be involved in the development of atherosclerosis and the
pathogenesis of myocardial ischemia. This study was designed to test whether
total serum IgE levels were associated with the atherosclerosis severity of
coronary artery disease (CAD). METHODS: Total serum IgE concentrations were
measured in 708 consecutive patients who were presented to our center for
coronary angiography. Atherosclerosis severity of CAD was assessed by the number
of diseased vessels showing >=50% diameter stenosis and quantified by Gensini
score. RESULTS: Patients with CAD (N = 562) had higher serum IgE levels than
those without CAD (N = 146) [55.90 (19.10-156.00) vs. 26.90 (11.80-62.10) KU/L, p
= 0.003]. Furthermore, the serum IgE levels were significantly increased in
patients with multivessel disease (MVD) compared to those with single-vessel
disease [61.80 (23.20-159.00) vs. 32.45(14.15-94.38) KU/L, p = 0.003]. After
adjustment for traditional cardiovascular risk factors, a high serum IgE level
was an independent predictor for an increased risk of MVD (OR 1.003; 95% CI 1.001
1.004; p = 0.041). Receiver-operating characteristic curve analysis demonstrated
that serum IgE levels improved the predictive capability of traditional risk
factors for MVD (area under the curve with and without IgE: 0.734 and 0.713,
respectively, p < 0.001). Meanwhile, there was a significant linear relationship
between Gensini score and the serum IgE level quartiles (p for linear trend
<0.001). CONCLUSIONS: Increased total serum IgE levels are associated with MVD
and contribute to discriminating CAD severity independently of traditional
cardiovascular risk factors.
PMID- 27211479
TI - Decreased muscle mass in Korean subjects with intracranial arterial stenosis: The
Kangbuk Samsung Health Study.
AB - BACKGROUNDS AND AIMS: Intracranial arterial stenosis (ICAS) is a common cause of
ischemic stroke in Asians. Decreased muscle mass is one of the major causes of
chronic disease in adults. The purpose of this study was to analyze the
relationship between muscle mass and ICAS in Korean adults. METHODS: For this
study, we selected a total of 10,530 participants (mean age, 43.3 years; 8558
men) in a health screening program, for whom transcranial Doppler (TCD)
ultrasound was used to detect >50% ICAS based on criteria modified from the
stroke outcomes and neuroimaging of intracranial atherosclerosis trial. Body
composition was evaluated by bioelectrical impedance analysis (BIA). Skeletal
muscle index (SMI) was calculated with muscle mass/weight (kg) * 100. RESULTS:
Among the total patient population, 322 (3.1%) subjects had ICAS. Subjects with
ICAS were older, and had higher mean values for fasting glucose, body mass index
and blood pressure compared with those without ICAS. Subjects with ICAS had
significantly lower muscle mass, SMI and higher percent body fat compared with
those without ICAS. In logistic regression analysis, the subjects in the highest
tertile of muscle mass had the lowest odds ratio for ICAS with the lowest tertile
group of muscle mass as the reference group even after adjusting for age,
systolic blood pressure, fasting blood glucose, sex, smoking and exercise (OR
0.650, 95% CI 0.442-0.955). CONCLUSIONS: Subjects with ICAS had significantly
decreased muscle mass compared with those without ICAS in Korean adults. The risk
for ICAS was lower in subjects with higher muscle mass.
PMID- 27211480
TI - Corrigendum to "RVX-208, a BET-inhibitor for treating atherosclerotic
cardiovascular disease, raises ApoA-I/HDL and represses pathways that contribute
to cardiovascular disease" [Atherosclerosis 247 (2016) 48-57].
PMID- 27211481
TI - Association between the use of selective serotonin reuptake inhibitors and
multiple sclerosis disability progression.
AB - BACKGROUND: Benefits of selective serotonin reuptake inhibitors (SSRIs) in
modifying the multiple sclerosis (MS) disease course have been suggested, but
their ability to delay disability progression remains unknown. We examined the
association between SSRI exposure and MS disability progression. METHODS: A
nested case-control study was conducted using the British Columbia (Canada)
Multiple Sclerosis clinical data linked to health administrative data. The
primary outcome was a sustained score of 6 (requires a cane to walk) on the
Expanded Disability Status Scale (EDSS), and the secondary outcome was the onset
of secondary progressive MS (SPMS, an advanced stage of MS). The cases were those
who reached a study outcome and were matched with up to four randomly selected
controls by sex, age, EDSS and calendar year at study entry using incidence
density sampling. The associations between disability worsening and SSRI exposure
were assessed with conditional logistic regression models, adjusted for
confounders. RESULTS: A total of 3920 patients were included in the main
analyses, of which 272 reached sustained EDSS 6 and 187 reached SPMS. SSRI
exposure was significantly different between patients who reached sustained EDSS
6 and controls [adjusted odds ratio (adjOR):1.44; 95% confidence interval
(CI):1.03-2.01]. However, SSRI exposure was not significantly different between
those who reached SPMS and their controls (adjOR:1.35; 95%CI:0.89-2.04).
CONCLUSION: We found no evidence to suggest that SSRI exposure was associated
with a delay in MS disability accumulation or progression. Copyright (c) 2016
John Wiley & Sons, Ltd.
PMID- 27211482
TI - Right ventricular endomyocardial biopsy in children and adolescents with drug
refractory arrhythmia.
AB - : Purpose This study aimed to assess the results of endomyocardial biopsy from
the right ventricle to establish the possible cause for drug-refractory
arrhythmias in children. Materials and methods We enrolled 19 consecutive young
patients with drug-refractory arrhythmia, from 2010 to 2013, who underwent
endomyocardial biopsy. Inclusion criteria were as follows: age <18 years with a
structurally normal heart or mild changes in a structure of the heart initially
diagnosed as arrhythmia-induced cardiomyopathy. Overall, 86 biopsies were
performed in 19 patients. Histopathological analysis, immunohistochemistry, and
polymerase chain reaction were used for the interpretation of the endomyocardial
biopsy. RESULTS: The mean age of the patient population was 14.1+/-2.9 year
(range from 7 to 17 years). All these patients had a history of drug-refractory
arrhythmia for >5 months (mean 30 months). Patients underwent a complete history
investigation, physical examination, laboratory studies, echocardiography,
electrocardiography, treadmill test, and Holter monitoring before endomyocardial
biopsy; two patients with arrhythmogenic right ventricular dysplasia had
implantable cardioverter defibrillator implantation and further appropriate
successful device shocks. Myocarditis was diagnosed based on histopathological
and immunohistological analyses in nine (47.4%) patients. Polymerase chain
reaction was positive for viral genome in four of them; five patients had active
myocarditis. Radiofrequency ablation was performed in 17 patients; five out of
six (83%) endomyocardial biopsy-proved myocarditis patients had successful
radiofrequency ablation. No significant complication was reported during ablation
and endomyocardial biopsy. CONCLUSIONS: Approximately half of the children with
drug-refractory arrhythmia had unsuspected myocarditis according to the results
of the endomyocardial biopsy.
PMID- 27211483
TI - Ageing: The yin and yang of mitochondrial dysfunction.
PMID- 27211487
TI - The world of DNA in glycol solution.
AB - The properties of high-molecular-weight DNA are usually investigated in neutral
aqueous solutions. Strong acids and strong alkaline solutions are obviously
unsuitable, as are corrosive solvents, and DNA is insoluble in most organic
solvents; precipitation of DNA from aqueous solution with ethanol or isopropanol
is therefore frequently used as a purification step. An exception is the organic
solvent glycol (ethylene glycol, 1,2-ethanediol, dihydroxyethane, HOCH2CH2OH) and
the similar solvent glycerol. Double-stranded DNA remains soluble in salt
containing glycol, although it precipitates in polyethylene glycol. (DNA also
remains soluble in formamide, but the double-helical structure of DNA is much
less stable in this solvent than in glycol.) However, DNA in glycol has been
little investigated during the last half-century.
PMID- 27211489
TI - The fin-to-limb transition as the re-organization of a Turing pattern.
AB - A Turing mechanism implemented by BMP, SOX9 and WNT has been proposed to control
mouse digit patterning. However, its generality and contribution to the
morphological diversity of fins and limbs has not been explored. Here we provide
evidence that the skeletal patterning of the catshark Scyliorhinus canicula
pectoral fin is likely driven by a deeply conserved Bmp-Sox9-Wnt Turing network.
In catshark fins, the distal nodular elements arise from a periodic spot pattern
of Sox9 expression, in contrast to the stripe pattern in mouse digit patterning.
However, our computer model shows that the Bmp-Sox9-Wnt network with altered
spatial modulation can explain the Sox9 expression in catshark fins. Finally,
experimental perturbation of Bmp or Wnt signalling in catshark embryos produces
skeletal alterations which match in silico predictions. Together, our results
suggest that the broad morphological diversity of the distal fin and limb
elements arose from the spatial re-organization of a deeply conserved Turing
mechanism.
PMID- 27211488
TI - Regulation of DNA double-strand break repair by ubiquitin and ubiquitin-like
modifiers.
AB - DNA double-strand breaks (DSBs) are highly cytotoxic DNA lesions. The swift
recognition and faithful repair of such damage is crucial for the maintenance of
genomic stability, as well as for cell and organismal fitness. Signalling by
ubiquitin, SUMO and other ubiquitin-like modifiers (UBLs) orchestrates and
regulates cellular responses to DSBs at multiple levels, often involving
extensive crosstalk between these modifications. Recent findings have revealed
compelling insights into the complex mechanisms by which ubiquitin and UBLs
regulate protein interactions with DSB sites to promote accurate lesion repair
and protection of genome integrity in mammalian cells. These advances offer new
therapeutic opportunities for diseases linked to genetic instability.
PMID- 27211491
TI - Pressure-induced structural and valence transition in AgO.
AB - The pressure-induced evolution of AgO crystal structures and the oxygen
environment of Ag atoms were investigated by means of density functional theory
with a hybrid functional and a structure prediction method. Under ambient
conditions, AgO has two nonequivalent Ag1 and Ag2 sites that adopt linear and
square planar oxygen environment configuration, respectively, corresponding to Ag
mixed-valence states. The results show that both the coordination environment and
the valence state of the Ag1 site are sensitive to pressure and will gradually
approach those of the Ag2 site as it increases. The band gap also decreases
significantly and at 75 GPa AgO experiences a pressure-induced semiconductor-to
metal transition. At ~77 GPa, there is a structural transition from monoclinic
(P21/c) to trigonal (R3[combining macron]m), accompanied by a valence state
transition from the mixed-valence state to a single-valence state.
PMID- 27211492
TI - [Are short-stay units an appropriate resource for hospitalising elderly patients
with infection?]
AB - OBJECTIVE: To describe the clinical characteristics and outcomes of elderly
patients (>= 75 years) with suspected infection attending the emergency
department (ED) and to compare patients admitted to a short-stay unit (SSU) with
those admitted to a conventional hospital unit (CHU). MATERIAL AND METHODS:
Prospective cohort study including, using opportunity sampling, patients >=75
years treated for infection in the ED of 3 Spanish university hospitals (2013).
Demographic variables, comorbidity, baseline performance status, presence of
sepsis, infection type, destination on discharge, and mortality at 30 days were
collected. RESULTS: During the study period, 330 patients >=75 years (mean age
83.8+/-7.3) were evaluated for a suspected infection in the ED, and 306 (93%)
were admitted to the hospital, 175 (53%) to the CHU and 87 (26%) to the SSU.
Medical history included hypertension (74.5%), arrhythmia (30%), chronic
obstructive pulmonary disease (28%), and diabetes mellitus (26%), and risk
factors for multidrug resistance, such as antibiotic treatment in 3 months prior
to admission (48%), and institutionalisation (26%). A classic sepsis syndrome was
found to be the source of infection in 53%, and was respiratory in half of
patients. When comparing patients admitted to SSU and CHU, statistically
significant differences (p<.05) were found in the Charlson index (1.95 vs. 2.51),
Glasgow coma scale (14.6 vs. 14.3), classic sepsis syndrome (67% vs. 53%), severe
sepsis (2.3% vs. 18%), length of stay (4.2 vs. 10.4 days), and mortality within
30 days (3.4% vs. 18%), respectively. CONCLUSIONS: SSU may be an adequate
alternative to CHU for elderly patients requiring admission with suspected
infection.
PMID- 27211490
TI - p62, Upregulated during Preneoplasia, Induces Hepatocellular Carcinogenesis by
Maintaining Survival of Stressed HCC-Initiating Cells.
AB - p62 is a ubiquitin-binding autophagy receptor and signaling protein that
accumulates in premalignant liver diseases and most hepatocellular carcinomas
(HCCs). Although p62 was proposed to participate in the formation of benign
adenomas in autophagy-deficient livers, its role in HCC initiation was not
explored. Here we show that p62 is necessary and sufficient for HCC induction in
mice and that its high expression in non-tumor human liver predicts rapid HCC
recurrence after curative ablation. High p62 expression is needed for activation
of NRF2 and mTORC1, induction of c-Myc, and protection of HCC-initiating cells
from oxidative stress-induced death.
PMID- 27211493
TI - [Mortality from postoperative complications (failure to rescue) after cardiac
surgery in a university hospital].
AB - OBJECTIVE: This study analyses the rate of post-operative complications after
cardiac surgery, the incidence of the failure to rescue (FR), and the
relationship between complications and survival. METHODS: The study included a
total of 2,750 adult patients operated of cardiac surgery between January 2003
and December 2009. An analysis was made of 9 post-operative complications.
Multiple logistic regression analysis was used to find independent variables
associated with any of the selected complications. Survival was analysed with
Kaplan-Meyer survival estimates. A risk-adjusted Cox proportional regression
model was used to find out which complications were associated with mid-term
survival. RESULTS: Hospital mortality rate was 1.4% (95% CI: 1.0%-1.9%).
Postoperative complications rate was 38.5% (36.7%-40.4%), and FR 3.6% (2.5%
4.9%). Urgent surgery (OR = 2.03; 1.52-2.72), chronic renal failure (OR = 1.50,
95%.CI: 1.25-1.80), and age >=70 years (OR = 1.42; 1.20-1.68) were the variables
that showed the highest strength of association with the selected complications.
Survival at 5 years in the group of patients without complications was 93%, and
in the group of patients with complications it was 83% (P<.0001). Postoperative
complications associated with mid-term survival were pneumonia (HR = 2.6, 95% CI;
1.27-5.50), acute myocardial infarction (HR = 1.9; 1.10-2.30), and acute renal
failure (HR = 1.7; 1.30-2.26). CONCLUSIONS: The incidence of complications after
cardiac surgery is around 40%, and was associated with an increase in hospital
mortality, although FR was very low (3.6%; 95% CI: 2.5-4.9).
PMID- 27211494
TI - Assessment of masticatory performance by means of a color-changeable chewing gum.
AB - PURPOSE: Previous research determined the relevance of masticatory performance
with regard to nutritional status, cognitive functions, or stress management. In
addition, the measurement of masticatory efficiency contributes to the evaluation
of therapeutic successes within the stomatognathic system. However, the question
remains unanswered as to what extent modern techniques are able to reproduce the
subtle differences in masticatory efficiency within various patient groups. The
purpose of this review is to provide an extensive summary of the evaluation of
masticatory performance by means of a color-changeable chewing gum with regard to
its clinical relevance and applicability. STUDY SELECTION: A general overview
describing the various methods available for this task has already been
published. This review focuses in depth on the research findings available on the
technique of measuring masticatory performance by means of color-changeable
chewing gum. Described are the mechanism and the differentiability of the color
change and methods to evaluate the color changes. Subsequently, research on
masticatory performance is conducted with regard to patient age groups, the
impact of general diseases and the effect of prosthetic and surgical treatment.
RESULTS: The studies indicate that color-changeable chewing gum is a valid and
reliable method for the evaluation of masticatory function. CONCLUSION: Apart
from other methods, in clinical practice this technique can enhance dental
diagnostics as well as the assessment of therapy outcomes.
PMID- 27211496
TI - A Bottom-up Route to a Chemically End-to-End Assembly of Nanocellulose Fibers.
AB - In this work, we take advantage of the rod-like structure of electrosterically
stabilized nanocrystalline cellulose (ENCC, with a width of about 7 nm and a
length of about 130 nm), which has dicarboxylated cellulose (DCC) chains
protruding from both ends, providing electrosterical stability for ENCC
particles, to chemically end-to-end assemble these particles into nanocellulose
fibers. ENCC with shorter DCC chains can be obtained by a mild hydrolysis of ENCC
with HCl, and subsequently the hydrolyzed ENCC (HENCC, with a width of about 6 nm
and a length of about 120 nm) is suitable to be assembled into high aspect ratio
nanofibers by chemically cross-linking HENCC from one end to another. Two sets of
HENCC were prepared by carbodiimide-mediated formation of an alkyne and an azide
derivative, respectively. Cross-linking these two sets of HENCC was performed by
a click reaction. HENCCs were also end-to-end cross-linked by a bioconjugation
reaction, with a diamine. From atomic force microscopy (AFM) images, about ten
HENCC nanoparticles were cross-linked and formed high aspect ratio nanofibers
with a width of about 6 nm and a length of more than 1 MUm.
PMID- 27211495
TI - Cell type-dependent Erk-Akt pathway crosstalk regulates the proliferation of
fetal neural progenitor cells.
AB - Neural progenitor (NP) cells are the multipotent cells that produce neurons and
glia in the central nervous system. Compounds regulating their proliferation are
key to both understanding brain development and unlocking their potential in
regenerative repair. We discuss a chemical screen that unexpectedly identified
inhibitors of Erk signaling potently promoting the self-renewing divisions of
fetal NP cells. This occurred through crosstalk between Erk and Akt signaling
cascades. The crosstalk mechanism is cell type-specific, and is not detected in
adult NP cells as well as brain tumor cells. The mechanism was also shown to be
independent from the GSK-3 signaling pathway, which has been reported to be a
major regulator of NP cell homeostasis and inhibitors to which were also
identified in the screen. In vitro Erk inhibition led to the prolonged rapid
expansion of fetal NP cells while retaining their multipotency. In vivo inhibitor
administration significantly inhibited the neuronal differentiation, and resulted
in increased proliferative progenitor cells in the ventricular/subventricular
zone (VZ/SVZ) of the embryonic cortex. Our results uncovered a novel regulating
pathway for NP cell proliferation in the developing brain. The discovery provides
a pharmacological basis for in vitro expansion and in vivo manipulation of NP
cells.
PMID- 27211497
TI - A Rare Hepatic Tumor Mimicking Hepatocellular Carcinoma in a Patient With
Hepatitis C Cirrhosis.
PMID- 27211499
TI - Colonoscopy Enables the Diagnosis of Opiate Abuse.
PMID- 27211498
TI - Consequences of Increasing Time to Colonoscopy Examination After Positive Result
From Fecal Colorectal Cancer Screening Test.
AB - BACKGROUND & AIMS: Delays in diagnostic testing after a positive result from a
screening test can undermine the benefits of colorectal cancer (CRC) screening,
but there are few empirical data on the effects of such delays. We used
microsimulation modeling to estimate the consequences of time to colonoscopy
after a positive result from a fecal immunochemical test (FIT). METHODS: We used
an established microsimulation model to simulate an average-risk United States
population cohort that underwent annual FIT screening (from ages 50 to 75 years),
with follow-up colonoscopy examinations for individuals with positive results
(cutoff, 20 MUg/g) at different time points in the following 12 months. Main
evaluated outcomes were CRC incidence and mortality; additional outcomes were
total life-years lost and net costs of screening. RESULTS: For individuals who
underwent diagnostic colonoscopy within 2 weeks of a positive result from an FIT,
the estimated lifetime risk of CRC incidence was 35.5/1000 persons, and mortality
was 7.8/1000 persons. Every month added until colonoscopy was associated with a
0.1/1000 person increase in cancer incidence risk (an increase of 0.3%/month,
compared with individuals who received colonoscopies within 2 weeks) and
mortality risk (increase of 1.4%/month). Among individuals who received
colonoscopy examinations 12 months after a positive result from an FIT, the
incidence of CRC was 27.0/1000 persons (increase of 4%, compared with 2 weeks),
and mortality was 9.1/1000 persons (increase of 16%). Total years of life gained
for the entire screening cohort decreased from an estimated 93.7/1000 persons
with an almost immediate follow-up colonoscopy (cost savings of $208 per patient,
compared with no colonoscopy) to 84.8/1000 persons with follow-up colonoscopies
at 12 months (decrease of 9%; cost savings of $100/patient, compared with no
colonoscopy). CONCLUSIONS: By using a microsimulation model of an average-risk
United States screening cohort, we estimated that delays of up to 12 months after
a positive result from an FIT can produce proportional losses of up to nearly 10%
in overall screening benefits. These findings indicate the importance of timely
follow-up colonoscopy examinations of patients with positive results from FITs.
PMID- 27211500
TI - Quality Improvement Primer Series: How to Sustain a Quality Improvement Effort.
PMID- 27211501
TI - Use of Proton Pump Inhibitors and Risks of Fundic Gland Polyps and Gastric
Cancer: Systematic Review and Meta-analysis.
AB - BACKGROUND & AIMS: There have been increasing numbers of case reports and
observational studies of adverse events in patients receiving long-term therapy
with proton pump inhibitors (PPIs). The effects of PPI therapy on risks of fundic
gland polyps (FGPs) and gastric cancer have received considerable attention. We
performed a systematic review with a meta-analysis of randomized controlled
trials and observational studies that assessed these risks. METHODS: We searched
the PUBMED, EMBASE, and Cochrane Central Register of Controlled Trials databases
for relevant studies published through July 2015. We calculated pooled odds ratio
for FGPs and the risk ratio for gastric cancer in PPI users compared with PPI
nonusers using fixed- and random-effects models. RESULTS: We analyzed data from
12 studies, comprising more than 87,324 patients: 1 randomized controlled trial
reporting the effect of PPIs on gastric polyps (location not specified), 6 cohort
and 1 case-control studies on FGPs, and 1 cohort and 3 case-control studies on
gastric cancer. Pooled odds ratios for FGPs were 1.43 (95% confidence interval,
1.24-1.64) and 2.45 (95% confidence interval, 1.24-4.83) from fixed- and random
effects models, respectively. The pooled risk ratio for gastric cancer was 1.43
(95% confidence interval, 1.23-1.66) from each model. We observed significant
heterogeneity among studies reporting on FGPs, but not among studies reporting on
gastric cancer. CONCLUSIONS: Based on a systematic review with meta-analysis,
long-term use of PPIs (>=12 months) is associated with an increased risk of FGPs.
PPI therapy might also increase the risk of gastric cancer, but this association
could be biased, because of the limited number of studies and possible
confounding factors.
PMID- 27211502
TI - Reactivation of Herpesvirus in Patients With Hepatitis C Treated With Direct
Acting Antiviral Agents.
AB - We performed a case-series analysis of reactivation of herpesvirus in patients
with hepatitis C virus (HCV) infection treated with direct-acting antiviral (DAA)
agents. We collected data from 576 patients with HCV infection treated with DAA
combinations at 3 hospitals in Spain, from November 2014 through November 2015.
We also collected data from a control population (230 HCV-infected patients,
matched for sex and age; 23 untreated and 213 treated with interferon-based
regimens). Herpesvirus was reactivated in 10 patients who received DAA therapy (7
patients had cirrhosis and 3 patients had received liver transplants), a median
of 8 weeks after the therapy was initiated. None of the controls had herpesvirus
reactivation. Patients with herpesvirus reactivation were receiving the DAA
agents sofosbuvir with ledipasvir (with or without ribavirin, 7/10), ombitasvir
with paritaprevir and ritonavir plus dasabuvir (with or without ribavirin, 2/10),
or sofosbuvir with simeprevir plus ribavirin (1/10). Two of the 10 patients
developed postherpetic neuralgia and 1 patient developed kerato-uveitis. All 10
patients with herpesvirus reactivation achieved a sustained virologic response.
Immune changes that follow clearance of HCV might lead to reactivation of other
viruses, such as herpesvirus. Patients with HCV infection suspected of having
herpesvirus infection should be treated immediately. Some groups also might be
screened for herpesvirus infection.
PMID- 27211503
TI - Accuracy of Magnetically Controlled Capsule Endoscopy, Compared With Conventional
Gastroscopy, in Detection of Gastric Diseases.
AB - BACKGROUND & AIMS: Diseases of the stomach, including gastric cancer and peptic
ulcer, are the most common digestive diseases. It is impossible to visualize the
entire stomach with the passive capsule currently used in practice because of the
large size of the gastric cavity. A magnetically controlled capsule endoscopy
(MCE) system has been designed to explore the stomach. We performed a prospective
study to compare the accuracy of detection of gastric focal lesions by MCE vs
conventional gastroscopy (the standard method). METHODS: We performed a
multicenter blinded study comparing MCE with conventional gastroscopy in 350
patients (mean age, 46.6 y), with upper abdominal complaints scheduled to undergo
gastroscopy at a tertiary center in China from August 2014 through December 2014.
All patients underwent MCE, followed by conventional gastroscopy 2 hours later,
without sedation. We calculated the sensitivity, specificity, positive predictive
value, and negative predictive value of detection of gastric focal lesions by
MCE, using gastroscopy as the standard. RESULTS: MCE detected gastric focal
lesions in the whole stomach with 90.4% sensitivity (95% confidence interval
[CI], 84.7%-96.1%), 94.7% specificity (95% CI, 91.9%-97.5%), a positive
predictive value of 87.9% (95% CI, 81.7%-94.0%), a negative predictive value of
95.9% (95% CI, 93.4%-98.4%), and 93.4% accuracy (95% CI, 90.83%-96.02%). MCE
detected focal lesions in the upper stomach (cardia, fundus, and body) with 90.2%
sensitivity (95% CI, 82.0%-98.4%) and 96.7% specificity (95% CI, 94.4%-98.9%).
MCE detected focal lesions in the lower stomach (angulus, antrum, and pylorus)
with 90.6% sensitivity (95% CI, 82.7%-98.4%) and 97.9% specificity (95% CI, 96.1%
99.7%). MCE detected 1 advanced gastric carcinoma, 2 malignant lymphomas, and 1
early stage gastric tumor. MCE did not miss any lesions of significance
(including tumors or large ulcers). Among the 350 patients, 5 reported 9 adverse
events (1.4%) and 335 preferred MCE over gastroscopy (95.7%). CONCLUSIONS: MCE
detects focal lesions in the upper and lower stomach with comparable accuracy
with conventional gastroscopy. MCE is preferred by almost all patients, compared
with gastroscopy, and can be used to screen gastric diseases without sedation.
Clinicaltrials.gov number: NCT02219529.
PMID- 27211505
TI - Quality Improvement Primer Series: The Plan-Do-Study-Act Cycle and Data Display.
PMID- 27211504
TI - Quality Improvement Primer Series: Launching a Quality Improvement Initiative.
PMID- 27211506
TI - Enhanced mobility in organic field-effect transistors due to
semiconductor/dielectric iInterface control and very thin single crystal.
AB - A perfect organic crystal while keeping high quality semiconductor/dielectric
interface with minimal defects and disorder is crucial for the realization of
high performance organic single crystal field-effect transistors (OSCFETs).
However, in most reported OSCFET devices, the crystal transfer processes is
extensively used. Therefore, the semiconductor/dielectric interface is inevitably
damaged. Carrier traps and scattering centers are brought into the conduction
channel, so that the intrinsic high mobility of OSCFET devices is entirely
disguised. Here, very thin pentacene single crystal is grown directly on bare
SiO2 by developing a 'seed-controlled' pentacene single crystal method. The
interface quality is controlled by an in situ fabrication of OSCFETs. The
interface is kept intact without any transfer process. Furthermore, we
quantitatively analyze the influence of crystal thickness on device performance.
With a pristine interface and very thin crystal, we have achieved the highest
mobility: 5.7 cm(2) V(-1) s(-1)-more than twice the highest ever reported
pentacene OSCFET mobility on bare SiO2. This study may provide a universal route
for the use of small organic molecules to achieve high performance in lamellar
single crystal field-effect devices.
PMID- 27211507
TI - Influence of Pichia pastoris cellular material on polymerase chain reaction
performance as a synthetic biology standard for genome monitoring.
AB - Advances in synthetic genomics are now well underway in yeasts due to the low
cost of synthetic DNA. These new capabilities also bring greater need for
quantitating the presence, loss and rearrangement of loci within synthetic yeast
genomes. Methods for achieving this will ideally; i) be robust to industrial
settings, ii) adhere to a global standard and iii) be sufficiently rapid to
enable at-line monitoring during cell growth. The methylotrophic yeast Pichia
pastoris (P. pastoris) is increasingly used for industrial production of
biotherapeutic proteins so we sought to answer the following questions for this
particular yeast species. Is time-consuming DNA purification necessary to obtain
accurate end-point polymerase chain reaction (e-pPCR) and quantitative PCR (qPCR)
data? Can the novel linear regression of efficiency qPCR method (LRE qPCR), which
has properties desirable in a synthetic biology standard, match the accuracy of
conventional qPCR? Does cell cultivation scale influence PCR performance? To
answer these questions we performed e-pPCR and qPCR in the presence and absence
of cellular material disrupted by a mild 30s sonication procedure. The e-pPCR
limit of detection (LOD) for a genomic target locus was 50pg (4.91*10(3) copies)
of purified genomic DNA (gDNA) but the presence of cellular material reduced this
sensitivity sixfold to 300pg gDNA (2.95*10(4) copies). LRE qPCR matched the
accuracy of a conventional standard curve qPCR method. The presence of material
from bioreactor cultivation of up to OD600=80 did not significantly compromise
the accuracy of LRE qPCR. We conclude that a simple and rapid cell disruption
step is sufficient to render P. pastoris samples of up to OD600=80 amenable to
analysis using LRE qPCR which we propose as a synthetic biology standard.
PMID- 27211508
TI - Detection and quantification of Bacillus cereus group in milk by droplet digital
PCR.
AB - Droplet digital PCR (ddPCR) is one of the newest and most promising methods for
the detection and quantification of molecular targets by PCR. Here, we optimized
and used a new ddPCR assay for the detection and quantification of the Bacillus
cereus group in milk. We also compared the ddPCR to a standard qPCR assay. The
new ddPCR assay showed a similar coefficient of determination and a better limit
of detection compared to the qPCR assay during quantification of the target
molecules in the samples. However, the ddPCR assay has a limitation during
quantification of a high number of target molecules. This new assay was then
tested for the quantification of the B. cereus group in 90 milk samples obtained
over three months from two different dairies and the milk was stored at different
temperatures before sampling. The ddPCR assay showed good agreement with the qPCR
assay for the quantification of the B. cereus group in milk, and due to its lower
detection limit more samples were detected as positive. The new ddPCR assay is a
promising method for the quantification of target bacteria in low concentration
in milk.
PMID- 27211509
TI - Self-sorting regioisomers through the hierarchical organization of hydrogen
bonded rosettes.
AB - The self-assembly of two regioisomeric hydrogen-bonding naphthalenes was studied
in mixed states in different polarity solvents. The regioisomers co-assemble to
form heteromeric rosettes in chloroform. Upon injecting this solution into
methylcyclohexane the heteromeric rosettes kinetically form amorphous aggregates,
which over time differentiate into thermodynamically stable distinct
nanostructures through self-sorting.
PMID- 27211510
TI - Overexpression of heme oxygenase-1 induced by constitutively activated NF-kappaB
as a potential therapeutic target for activated B-cell-like diffuse large B-cell
lymphoma.
AB - There is an urgent requirement for a new therapeutic target for activated B-cell
like lymphoma (ABC-DLBCL), which is known to have dismal outcome and constitutive
activation of NF-kappaB. Heme oxygenase-1 (HO-1) can inhibit apoptosis and
promote proliferation in many cancers. To our knowledge, no studies have been
performed on the correlation between HO-1 and DLBCL. In this study,
immunohistochemical analysis of 31 tumor tissues from DLBCL patients [20 of ABC
subtype and 11 of germinal center B-cell-like (GCB) subtype] and 11 normal lymph
nodes revealed that HO-1 overexpression was characteristic of ABC-DLBCL. In
addition, HO-1 mRNA expression levels were consistent with the
immunohistochemistry results. High levels of HO-1 expression were significantly
correlated with the involvement of more than 1 extranodal site (p=0.025), with a
high positivity rate of Ki-67 (p<0.01). Similar to its anti-apoptotic role in
other malignancies, HO-1 upregulation suppressed apoptosis of the ABC-DLBCL cell
line OCI-ly10, whereas its downregulation sensitized the tumor cells to
chemotherapeutic drugs. Further study demonstrated that the HO-1 overexpression
was mediated by constitutively activated NF-kappaB which together played an anti
apoptotic role in ABC-DLBCL. Combination of the NF-kappaB inhibitor Bay11-7082
and the lentivirus vector Lenti-siHO-1 significantly decreased HO-1 protein
expression and increased apoptosis in OCI-ly10 cells. However, in GCB-DLBCL cells
with low levels of NF-kappaB expression, the TNF-alpha-mediated activation of NF
kappaB leading to HO-1 upregulation rescued the cells from apoptosis caused by HO
1 silencing. These results indicated that HO-1 can be a potential target for the
treatment of ABC-DLBCL.
PMID- 27211512
TI - Clinical assessment of the specificity of an adipsin rapid test for the diagnosis
of preeclampsia.
AB - OBJECTIVE: To evaluate the specificity of the adipsin rapid test in clinical
practice for the diagnosis of preeclampsia (PE). METHODS: A total of 1144
pregnant women were recruited in this study: 44 pregnant women with PE and 1100
healthy pregnancies as controls. Urine samples were collected and used,
respectively, for the adipsin rapid test and the urinary dipstick test for
protein detection. Sensitivity and specificity were calculated on the basis of
the detection results. RESULTS: In the 1144 women examined with the adipsin rapid
test for PE diagnosis, the sensitivity and specificity were 93.2% and 98.8%,
respectively; the total accuracy was 98.6%. For the 1144 women tested with
urinary dipstick, the sensitivity and specificity were 93.2% and 40.5%,
respectively; and the total accuracy was 42.5%. CONCLUSION: Both the adipsin
rapid test and the urinary dipstick test are noninvasive and inexpensive rapid
tests for the diagnosis of PE. However, the adipsin rapid test was proven more
reliable since it had a higher sensitivity, specificity, and accuracy.
PMID- 27211513
TI - Toward More Free-Floating Model Cell Membranes: Method Development and
Application to Their Interaction with Nanoparticles.
AB - Identifying the mechanisms of nanoparticle (NP) interactions with cell membranes
is key to understanding potential NP cytotoxicity and applications as
nanocarriers for targeted drug delivery. To elucidate these mechanisms of
interaction, supported phospholipid bilayers (SPBs) are commonly used as models
of cell membranes. However, SPBs are soft thin films, and, as such, their
properties can be significantly affected by the underlying substrate. Free
floating cell membranes would be best modeled by weakly adhered SPBs; thus, we
propose a method for tailoring the interfacial interaction of an electrically
charged SPB-substrate system based on modulations in the solution chemistry.
Using the dissipation signal of the quartz crystal microbalance with dissipation
monitoring (QCM-D), we show that the method can be used to tailor SPB-substrate
interactions without the loss of its structural integrity. To demonstrate the
application of the method, SPBs are exposed to cationic and anionic polystyrene
latex NPs. These studies reveal that the bilayer response to the modulations in
the interfacial interaction with its underlying substrate can be used as a
sensitive tool to probe the integrity of SPBs upon exposure to NPs. As expected,
anionic NPs tend to impart no significant damage to the anionic bilayers, whereas
cationic NPs can be detrimental to bilayer integrity. This is the first report of
a QCM-D based method to probe bilayer integrity following exposure to NPs.
Importantly, the degree of SPB interaction with its underlying substrate is shown
to be a critical factor in the kinetics of bilayer disruption by cationic NPs,
whereby weakly adhered bilayers are prone to significantly faster breakup. Since
free-floating cell membranes are better represented by a weakly adhered SPB, the
results of this work critically influence paradigms in experimental studies
involving SPBs as models for cell membranes.
PMID- 27211514
TI - Histone availability as a strategy to control gene expression.
AB - Histone proteins are main structural components of the chromatin and major
determinants of gene regulation. Expression of canonical histone genes is
strictly controlled during the cell cycle in order to couple DNA replication with
histone deposition. Indeed, reductions in the levels of canonical histones or
defects in chromatin assembly cause genetic instability. Early data from yeast
demonstrated that severe histone depletion also causes strong gene expression
changes. We have recently reported that a moderated depletion of canonical
histones in human cells leads to an open chromatin configuration, which in turn
increases RNA polymerase II elongation rates and causes pre-mRNA splicing
defects. Interestingly, some of the observed defects accompany the scheduled
histone depletion that is associated with several senescence and aging processes.
Thus, our comparison of induced and naturally-occurring histone depletion
processes suggests that a programmed reduction of the level of canonical histones
might be a strategy to control gene expression during specific physiological
processes.
PMID- 27211515
TI - Adiposity-independent hypoadiponectinemia as a potential marker of insulin
resistance and inflammation in schizophrenia patients treated with second
generation antipsychotics.
AB - OBJECTIVE: The purpose of this study was to explore body fat independent effect
of second generation antipsychotics (SGAs) on measures of glucose and adipokine
homeostasis, and markers of inflammation. METHOD: Eight non-diabetic men with
schizophrenia (age: 55+/-3years, BMI: 29.7+/-1.2kg/m(2)) on SGAs were studied
after an overnight fast. DXA and single-cut CT of abdomen were respectively used
for the assessment of total body and abdominal fat. Blood samples were collected
for measurements of glucose, insulin, leptin, adiponectin, C-reactive protein
(CRP), and TNF-alpha. Data in schizophrenic subjects were compared to eight age
(55+/-2.8years) and BMI (29.6+/-1.1kg/m(2)) matched healthy men. RESULTS: The
results were significant for markedly decreased serum adiponectin in
schizophrenia patients (4.6+/-0.9 vs 11.1+/-1.5ng/mL, p=0.001). Lower levels of
adiponectin in schizophrenia men were associated with significant increases in
insulin resistance (4.2+/-0.7 vs 1.7+/-0.4, p=0.004), CRP (3.5+/-1.2 vs 1.2+/
0.3, p=0.037), and leptin (12+/-1.4 vs 8.5+/-1.4ng/mL, p=0.05). Various measures
of adiposity, including fat mass index (FMI) and abdominal fat were not different
in the two study groups. CONCLUSIONS: These findings in the context of comparable
age and total body/abdominal fat mass are assumed to be either disease specific,
and/or treatment inflicted. The definitive invoking etiology and a presumptive
role of hypoadiponectinemia in the development of insulin resistance and
increased risk of inflammation warrant future investigation.
PMID- 27211517
TI - Hydrogen adsorption-mediated synthesis of concave Pt nanocubes and their enhanced
electrocatalytic activity.
AB - Concave nanocubes are enclosed by high-index facets and have negative curvature;
they are expected to have enhanced reactivity, as compared to nanocubes with flat
surfaces. Herein, we propose and demonstrate a new strategy for the synthesis of
concave Pt nanocubes with {hk0} high-index facets, by using a hydrogen adsorption
mediated electrochemical square-wave potential method. It was found that Pt atoms
prefer to deposit on edge sites rather than terrace sites on Pt surfaces with
intensive hydrogen adsorption, resulting in the formation of concave structures.
The as-prepared concave Pt nanocubes exhibit enhanced catalytic activity and
stability towards oxidation of ethanol and formic acid in acidic solutions,
compared to commercial Pt/C catalysts.
PMID- 27211516
TI - Reasons for discontinuing clozapine: A cohort study of patients commencing
treatment.
AB - BACKGROUND: Clozapine is uniquely effective in the management of treatment
resistant schizophrenia (TRS). However, a substantial proportion of patients
discontinue treatment and this carries a poor prognosis. METHODS: We investigated
the risk factors, reasons and timing of clozapine discontinuation in a two-year
retrospective cohort study of 316 patients with TRS receiving their first course
of clozapine. Reasons for discontinuation of clozapine and duration of treatment
were obtained from case notes and Cox regression was employed to test the
association of baseline clinical factors with clozapine discontinuation. RESULTS:
A total of 142 (45%) patients discontinued clozapine within two years. By
studying the reasons for discontinuations due to a patient decision, we found
that adverse drug reactions (ADRs) accounted for over half of clozapine
discontinuations. Sedation was the most common ADR cited as a reason for
discontinuation and the risk of discontinuation due to ADRs was highest in the
first few months of clozapine treatment. High levels of deprivation in the
neighbourhood where the patient lived were associated with increased risk of
clozapine discontinuation (HR=2.12, 95% CI 1.30-3.47). CONCLUSIONS: Living in a
deprived neighbourhood was strongly associated with clozapine discontinuation.
Clinical management to reduce the burden of ADRs in the first few months of
treatment may have a significant impact and help more patients experience the
benefits of clozapine treatment.
PMID- 27211519
TI - Evaluation of the thermal effects of prenatal ultrasound on hematological
analysis of young Oryctolagus Cuniculus.
AB - Elevated temperatures can induce changes in red blood cell (RBC), white blood
cell (WBC) and platelet (PLT) counts. Ultrasound heating during obstetric scans
has the potential to increase body temperature owing to the phenomenon of
absorption. We conducted a study to determine the thermal effects of prenatal
ultrasound on RBCs, hemoglobin concentration (Hb), WBCs and PLTs in young
rabbits. We selected 69 rabbits that were 1 month of age and 73 that were 5
months of age, and allocated them to four groups. The control group consisted of
four pregnant does that were allowed to have a full term delivery without any
ultrasound exposure. The experimental groups were subjected to one-time
ultrasound exposure for 30, 60 and 90 min in the middle of each gestational stage
accordingly. RBCs and Hb showed significant reductions in the experimental groups
of 1- and 5-month-old rabbits (P<0.05). In addition, WBCs and PLTs yielded
significant differences in the 1-month group that were not observed in the 5
month group (P>0.05). The highest values recorded were those of the WBCs of 1
month-old subjects that received 90 min of exposure at the second stage of
gestation. The PLTs were the lowest values recorded in 1-month-old subjects
following 90 min of ultrasound exposure at the third stage of gestation. These
findings suggest that hematological fluctuations during the early stages of
postnatal life persisted until 1 month of age and recovered thereafter, as the
subjects progressed into adulthood. Therefore, ultrasound heating can cause
significant, yet reversible effects on the hematological parameters of rabbits.
PMID- 27211520
TI - Fixed drug eruption-like macules caused by febuxostat.
PMID- 27211518
TI - MetaTrans: an open-source pipeline for metatranscriptomics.
AB - To date, meta-omic approaches use high-throughput sequencing technologies, which
produce a huge amount of data, thus challenging modern computers. Here we present
MetaTrans, an efficient open-source pipeline to analyze the structure and
functions of active microbial communities using the power of multi-threading
computers. The pipeline is designed to perform two types of RNA-Seq analyses:
taxonomic and gene expression. It performs quality-control assessment, rRNA
removal, maps reads against functional databases and also handles differential
gene expression analysis. Its efficacy was validated by analyzing data from
synthetic mock communities, data from a previous study and data generated from
twelve human fecal samples. Compared to an existing web application server,
MetaTrans shows more efficiency in terms of runtime (around 2 hours per million
of transcripts) and presents adapted tools to compare gene expression levels. It
has been tested with a human gut microbiome database but also proposes an option
to use a general database in order to analyze other ecosystems. For the
installation and use of the pipeline, we provide a detailed guide at the
following website (www.metatrans.org).
PMID- 27211521
TI - Placenta growth factor contributes to cell apoptosis and epithelial-to
mesenchymal transition in the hyperoxia-induced acute lung injury.
AB - AIMS: To investigate the role of placenta growth factor (PLGF) in hyperoxia
induced lung injury in preterm born rats. MAIN METHODS: Primary alveolar
epithelial cells type II (AECIIs) were exposed to 90% oxygen for 24h. Preterm
born rats were delivered by caesarean section, injected with PLGF-shRNA, and
exposed to 90% oxygen for 14days. MTT was used to examine the viability of cells.
ELISA was used to measure the secretion of PLGF in primary AECIIs and
bronchoalveolar lavage fluid. Flow cytometry and TUNEL staining were used to
measure cell apoptosis in the lung. Expression of PLGF mRNA was detected using
real-time PCR, and protein expression of PLGF and apoptotic proteins was detected
using Western blotting analysis. Immunofluorescence staining was used to measure
the expression of E-cadherin and alpha-smooth muscle actin (alpha-SMA). KEY
FINDINGS: Exogenous PLGF inhibited viability of AECIIs. In addition, treatment of
PLGF increased the percentage of apoptotic cells, regulated the expression of E
cadherin and alpha-SMA in the primary AECIIs. Moreover, PLGF exacerbated these
pathological changes in hyperoxia-exposed AECIIs. In the in vivo study, shRNA
mediated PLGF inhibition attenuated lung injury induced by hyperoxia exposure. In
addition, PLGF inhibition reduced the percentage of apoptotic cells, increased
the expression of Bcl-2 and decreased expression of Bax and cleaved-caspase 3.
PLGF inhibition also reduced collagen deposition and inhibited epithelial-to
mesenchymal transition (EMT) in the lung of hyperoxia-exposed rat pups.
SIGNIFICANCE: Our findings suggested that PLGF contributed to hyperoxia-induced
lung injury through promoting apoptosis and EMT. PLGF may become a therapeutic
target of hyperoxia-induced lung injury.
PMID- 27211522
TI - Intravenous abuse potential study of oxycodone alone or in combination with
naltrexone in nondependent recreational opioid users.
AB - BACKGROUND: ALO-02, comprising pellets of extended-release oxycodone surrounding
sequestered naltrexone, is intended to deter abuse. OBJECTIVE: Determine the
abuse potential of intravenous oxycodone combined with naltrexone, which
represents simulated crushed ALO-02 in solution, compared with intravenous
oxycodone in nondependent, recreational opioid users. METHODS: A randomized,
double-blind, placebo-controlled, three-way crossover study with naloxone
challenge, drug discrimination, and treatment phases. Intravenous treatments
included oxycodone hydrochloride 20 mg, oxycodone hydrochloride 20 mg plus
naltrexone hydrochloride 2.4 mg (simulated crushed ALO-02 20 mg/2.4 mg), or
placebo (0.9% sodium chloride for injection). Primary end points were peak
effects (Emax) and area under the effects curve within 2 h postdose (AUE0-2h) on
drug liking and high visual analog scales. RESULTS: Thirty-three participants
were randomized into treatment phase, and 29 completed all treatments. Study
validity was confirmed with statistically significant differences in Emax for
drug liking and high (p < 0.0001) between intravenous oxycodone and placebo.
Intravenous simulated crushed ALO-02 resulted in significantly lower scores than
oxycodone on drug liking (Emax: 58.2 vs. 92.4; AUE0-2h: 104.3 vs. 152.4) and high
(Emax: 17.2 vs. 93.1; AUE0-2h: 12.0 vs. 133.6), respectively (p < 0.0001, all
comparisons). More participants experienced adverse events after intravenous
oxycodone (n = 27 [90%]) versus intravenous simulated crushed ALO-02 (n = 4
[12.5%]) or placebo (n = 2 [6.5%]). CONCLUSION: Intravenous administration of
simulated crushed ALO-02 resulted in significantly lower abuse potential, as
assessed by subjective ratings of drug liking and high, than intravenous
oxycodone in nondependent, recreational opioid users. This suggests that
injection of ALO-02 may not be as desirable to recreational opioid users compared
with oxycodone taken for nonmedical reasons.
PMID- 27211523
TI - Big Data Analytics for Scanning Transmission Electron Microscopy Ptychography.
AB - Electron microscopy is undergoing a transition; from the model of producing only
a few micrographs, through the current state where many images and spectra can be
digitally recorded, to a new mode where very large volumes of data (movies,
ptychographic and multi-dimensional series) can be rapidly obtained. Here, we
discuss the application of so-called "big-data" methods to high dimensional
microscopy data, using unsupervised multivariate statistical techniques, in order
to explore salient image features in a specific example of BiFeO3 domains.
Remarkably, k-means clustering reveals domain differentiation despite the fact
that the algorithm is purely statistical in nature and does not require any prior
information regarding the material, any coexisting phases, or any differentiating
structures. While this is a somewhat trivial case, this example signifies the
extraction of useful physical and structural information without any prior bias
regarding the sample or the instrumental modality. Further interpretation of
these types of results may still require human intervention. However, the open
nature of this algorithm and its wide availability, enable broad collaborations
and exploratory work necessary to enable efficient data analysis in electron
microscopy.
PMID- 27211524
TI - Active muscle and tendon stiffness of plantar flexors in sprinters.
AB - The aim of the present study was to investigate and compare muscle and tendon
stiffness under active conditions in sprinters and untrained participants. In
total, 14 sprinters and 24 untrained men participated in this study. Active
muscle stiffness in the medial gastrocnemius muscle was calculated based on
changes in estimated muscle force and fascicle length during fast stretching
after submaximal isometric contractions. Tendon stiffness was determined during
isometric plantar flexion using ultrasonography. No significant differences were
observed in active muscle stiffness between sprinters and untrained men at any
torque levels. Furthermore, no significant difference was noted in tendon
stiffness between the two groups. These results suggest that muscle and tendon
mechanical properties in the plantar flexors under active conditions are similar
in sprinters and untrained participants.
PMID- 27211525
TI - The Healthy Children, Strong Families intervention promotes improvements in
nutrition, activity and body weight in American Indian families with young
children.
AB - OBJECTIVE: American Indian children of pre-school age have disproportionally high
obesity rates and consequent risk for related diseases. Healthy Children, Strong
Families was a family-based randomized trial assessing the efficacy of an obesity
prevention toolkit delivered by a mentor v. mailed delivery that was designed and
administered using community-based participatory research approaches. DESIGN:
During Year 1, twelve healthy behaviour toolkit lessons were delivered by either
a community-based home mentor or monthly mailings. Primary outcomes were child
BMI percentile, child BMI Z-score and adult BMI. Secondary outcomes included
fruit/vegetable consumption, sugar consumption, television watching, physical
activity, adult health-related self-efficacy and perceived health status. During
a maintenance year, home-mentored families had access to monthly support groups
and all families received monthly newsletters. SETTING: Family homes in four
tribal communities, Wisconsin, USA. SUBJECTS: Adult and child (2-5-year-olds)
dyads (n 150). RESULTS: No significant effect of the mentored v. mailed
intervention delivery was found; however, significant improvements were noted in
both groups exposed to the toolkit. Obese child participants showed a reduction
in BMI percentile at Year 1 that continued through Year 2 (P<0.05); no change in
adult BMI was observed. Child fruit/vegetable consumption increased (P=0.006) and
mean television watching decreased for children (P=0.05) and adults (P=0.002).
Reported adult self-efficacy for health-related behaviour changes (P=0.006) and
quality of life increased (P=0.02). CONCLUSIONS: Although no effect of delivery
method was demonstrated, toolkit exposure positively affected adult and child
health. The intervention was well received by community partners; a more
comprehensive intervention is currently underway based on these findings.
PMID- 27211527
TI - Removals.
PMID- 27211529
TI - How protonation and deprotonation of 9-methylguanine alter its singlet O2
addition path: about the initial stage of guanine nucleoside oxidation.
AB - Mutagenicity of singlet O2 is due to its oxidatively generated damage to the
guanine nucleobases of DNA. Oxidation of neutral guanosine has been assumed to be
initiated by the formation of a transient 4,8-endoperoxide via a Diels-Alder
cycloaddition of singlet O2. Protonation and deprotonation of guanosine represent
another factor related to DNA damage and repair. Herein, 9-methylguanine was
utilized as a model substrate to mimic the correlation between singlet O2
oxidation of the nucleoside and its ionization states, both in the absence and in
the presence of water ligands. We used guided-ion-beam scattering tandem mass
spectrometry to detect and quantify transient intermediates at room temperature.
To provide a reliable description of reaction potential surfaces, different
levels of theory including restricted and unrestricted density functional theory,
CCSD(T), MP2, and multi-reference CASSCF and CASMP2 were applied. By means of
molecular potential, kinetic and direct dynamics simulations, two reaction
pathways were identified and neither follows the mechanism for neutral guanosine.
Singlet O2 oxidation of protonated 9-methylguanine begins by a concerted
cycloaddition; but it is mediated by a 5,8-endoperoxide. By contrast, a concerted
cycloaddition does not occur for deprotonated 9-methylguanine. The latter
involves a stepwise addition starting with the formation of an 8-peroxide, which
subsequently evolves to a 4,8-endoperoxide. This dichotomy implies that acidic
and basic media may lead to different chemistries for guanosine oxidation in
aqueous solutions, starting from initial stage. The comparison with oxidation of
protonated/deprotonated guanine illustrates the different mechanisms and products
and particularly the suppressed oxidizability of 9-methylguanine vs. free
guanine.
PMID- 27211528
TI - Overexpression of spinach non-symbiotic hemoglobin in Arabidopsis resulted in
decreased NO content and lowered nitrate and other abiotic stresses tolerance.
AB - A class 1 non-symbiotic hemoglobin family gene, SoHb, was isolated from spinach.
qRT-PCR showed that SoHb was induced by excess nitrate, polyethylene glycol,
NaCl, H2O2, and salicylic acid. Besides, SoHb was strongly induced by application
of nitric oxide (NO) donor, while was suppressed by NO scavenger, nitrate
reductase inhibitor, and nitric oxide synthase inhibitor. Overexpression of SoHb
in Arabidopsis resulted in decreased NO level and sensitivity to nitrate stress,
as shown by reduced root length, fresh weight, the maximum photosystem II quantum
ratio of variable to maximum fluorescence (Fv/Fm), and higher malondialdehyde
contents. The activities and gene transcription of superoxide dioxidase, and
catalase decreased under nitrate stress. Expression levels of RD22, RD29A,
DREB2A, and P5CS1 decreased after nitrate treatment in SoHb-overexpressing
plants, while increased in the WT plants. Moreover, SoHb-overexpressing plants
showed decreased tolerance to NaCl and osmotic stress. In addition, the SoHb
overexpression lines showed earlier flower by regulating the expression of SOC,
GI and FLC genes. Our results indicated that the decreasing NO content in
Arabidopsis by overexpressing SoHb might be responsible for lowered tolerance to
nitrate and other abiotic stresses.
PMID- 27211526
TI - Different involvement of subregions within dorsal premotor and medial frontal
cortex for pro- and antisaccades.
AB - The antisaccade task has been widely used to investigate cognitive action
control. While the general network for saccadic eye movements is well defined,
the exact location of eye fields within the frontal cortex strongly varies
between studies. It is unknown whether this inconsistency reflects spatial
uncertainty or is the result of different involvement of subregions for specific
aspects of eye movement control. The aim of the present study was to examine
functional differentiations within the frontal cortex by integrating results from
neuroimaging studies analyzing pro- and antisaccade behavior using meta-analyses.
The results provide evidence for a differential functional specialization of
neighboring oculomotor frontal regions, with lateral frontal eye fields (FEF) and
supplementary eye field (SEF) more often involved in prosaccades while medial FEF
and anterior midcingulate cortex (aMCC) revealed consistent stronger involvement
for antisaccades. This dissociation was furthermore mirrored by functional
connectivity analyses showing that the lateral FEF and SEF are embedded in a
motor output network, while medial FEF and aMCC are integrated in a multiple
demand network.
PMID- 27211530
TI - Flavivirus vaccines: Innovate, invest, implement.
PMID- 27211531
TI - New Insights Into Intellectual Disability Caused by Mutations in a Chromatin
Regulator.
PMID- 27211532
TI - Drug repositioning in sarcomas and other rare tumors.
PMID- 27211533
TI - Telomere length: A possible link between phthalate exposure and cancer
development?
PMID- 27211534
TI - Innovation Management? Orienting Sepsis R&D and Technology Transfer Towards
Stratified Medicine.
PMID- 27211535
TI - Chronic Kidney Disease and Diabetes-A Potential Causal Link.
PMID- 27211536
TI - Novel Therapeutic Avenues for Chronic Inflammatory Demyelinating Polyneuropathy:
The Difficulties of Disease Diversity.
PMID- 27211537
TI - Stroke, Migraine and Triptans: From Bedside to Bench.
PMID- 27211538
TI - Parsing psychosis subtypes through investigations of rare genetic variants.
PMID- 27211539
TI - Trying to Understand NK Cell Function in vivo Points towards a Severity Score for
CVID Patients.
PMID- 27211540
TI - Autonomic Dysfunction: A Predictive Factor of Risk to Develop Rheumatoid
Arthritis?
PMID- 27211541
TI - Tissue Engineering Chambers: Potential Clinical Uses and Limitations.
PMID- 27211542
TI - Quo Vadis Breast Tissue Engineering?
PMID- 27211544
TI - How can we Challenge the Four-minute Rule?
PMID- 27211543
TI - Stunting: The Need for Application of Advances in Technology to Understand a
Complex Health Problem.
PMID- 27211545
TI - Temperature effects on health - current findings and future implications.
PMID- 27211546
TI - Glucose Metabolism in T Cells and Monocytes: New Perspectives in HIV
Pathogenesis.
AB - Activation of the immune system occurs in response to the recognition of foreign
antigens and receipt of optimal stimulatory signals by immune cells, a process
that requires energy. Energy is also needed to support cellular growth,
differentiation, proliferation, and effector functions of immune cells. In HIV
infected individuals, persistent viral replication, together with inflammatory
stimuli contributes to chronic immune activation and oxidative stress. These
conditions remain even in subjects with sustained virologic suppression on
antiretroviral therapy. Here we highlight recent studies demonstrating the
importance of metabolic pathways, particularly those involving glucose
metabolism, in differentiation and maintenance of the activation states of T
cells and monocytes. We also discuss how changes in the metabolic status of these
cells may contribute to ongoing immune activation and inflammation in HIV-
infected persons and how this may contribute to disease progression,
establishment and persistence of the HIV reservoir, and the development of co
morbidities. We provide evidence that other viruses such as Epstein-Barr and Flu
virus also disrupt the metabolic machinery of their host cells. Finally, we
discuss how redox signaling mediated by oxidative stress may regulate metabolic
responses in T cells and monocytes during HIV infection.
PMID- 27211547
TI - The Role of Amyloid-beta Oligomers in Toxicity, Propagation, and Immunotherapy.
AB - The incidence of Alzheimer's disease (AD) is growing every day and finding an
effective treatment is becoming more vital. Amyloid-beta (Abeta) has been the
focus of research for several decades. The recent shift in the Abeta cascade
hypothesis from all Abeta to small soluble oligomeric intermediates is directing
the search for therapeutics towards the toxic mediators of the disease. Targeting
the most toxic oligomers may prove to be an effective treatment by preventing
their spread. Specific targeting of oligomers has been shown to protect cognition
in rodent models. Additionally, the heterogeneity of research on Abeta oligomers
may seem contradictory until size and conformation are taken into account. In
this review, we will discuss Abeta oligomers and their toxicity in relation to
size and conformation as well as their influence on inflammation and the
potential of Abeta oligomer immunotherapy.
PMID- 27211548
TI - Targeting myeloid-derived suppressor cells with colony stimulating factor-1
receptor blockade can reverse immune resistance to immunotherapy in indoleamine
2,3-dioxygenase-expressing tumors.
AB - Tumor indoleamine 2,3-dioxygenase (IDO) promotes immunosuppression by direct
action on effector T cells and Tregs and through recruitment, expansion and
activation of myeloid-derived suppressor cells (MDSCs). Targeting of MDSCs is
clinically being explored as a therapeutic strategy, though optimal targeting
strategies and biomarkers predictive of response are presently unknown.
Maturation and tumor recruitment of MDSCs are dependent on signaling through the
receptor tyrosine kinase CSF-1R on myeloid cells. Here, we show that MDSCs are
the critical cell population in IDO-expressing B16 tumors in mediating
accelerated tumor outgrowth and resistance to immunotherapy. Using a clinically
relevant drug, we show that inhibition of CSF-1R signaling can functionally block
tumor-infiltrating MDSCs and enhance anti-tumor T cell responses. Furthermore,
inhibition of CSF-1R sensitizes IDO-expressing tumors to immunotherapy with T
cell checkpoint blockade, and combination of CSF-1R blockade with IDO inhibitors
potently elicits tumor regression. These findings provide evidence for a critical
and functional role for MDSCs on the in vivo outcome of IDO-expressing tumors.
PMID- 27211549
TI - The Nuclear Receptor, RORgamma, Regulates Pathways Necessary for Breast Cancer
Metastasis.
AB - We have previously reported that RORgamma expression was decreased in ER-ve
breast cancer, and increased expression improves clinical outcomes. However, the
underlying RORgamma dependent mechanisms that repress breast carcinogenesis have
not been elucidated. Here we report that RORgamma negatively regulates the
oncogenic TGF-beta/EMT and mammary stem cell (MaSC) pathways, whereas RORgamma
positively regulates DNA-repair. We demonstrate that RORgamma expression is: (i)
decreased in basal-like subtype cancers, and (ii) inversely correlated with
histological grade and drivers of carcinogenesis in breast cancer cohorts.
Furthermore, integration of RNA-seq and ChIP-chip data reveals that RORgamma
regulates the expression of many genes involved in TGF-beta/EMT-signaling, DNA
repair and MaSC pathways (including the non-coding RNA, LINC00511). In
accordance, pharmacological studies demonstrate that an RORgamma agonist
suppresses breast cancer cell viability, migration, the EMT transition
(microsphere outgrowth) and mammosphere-growth. In contrast, RNA-seq demonstrates
an RORgamma inverse agonist induces TGF-beta/EMT-signaling. These findings
suggest pharmacological modulation of RORgamma activity may have utility in
breast cancer.
PMID- 27211550
TI - A Selective Small Molecule DNA2 Inhibitor for Sensitization of Human Cancer Cells
to Chemotherapy.
AB - Cancer cells frequently up-regulate DNA replication and repair proteins such as
the multifunctional DNA2 nuclease/helicase, counteracting DNA damage due to
replication stress and promoting survival. Therefore, we hypothesized that
blocking both DNA replication and repair by inhibiting the bifunctional DNA2
could be a potent strategy to sensitize cancer cells to stresses from radiation
or chemotherapeutic agents. We show that homozygous deletion of DNA2 sensitizes
cells to ionizing radiation and camptothecin (CPT). Using a virtual high
throughput screen, we identify 4-hydroxy-8-nitroquinoline-3-carboxylic acid (C5)
as an effective and selective inhibitor of DNA2. Mutagenesis and biochemical
analysis define the C5 binding pocket at a DNA-binding motif that is shared by
the nuclease and helicase activities, consistent with structural studies that
suggest that DNA binding to the helicase domain is necessary for nuclease
activity. C5 targets the known functions of DNA2 in vivo: C5 inhibits resection
at stalled forks as well as reducing recombination. C5 is an even more potent
inhibitor of restart of stalled DNA replication forks and over-resection of
nascent DNA in cells defective in replication fork protection, including BRCA2
and BOD1L. C5 sensitizes cells to CPT and synergizes with PARP inhibitors.
PMID- 27211551
TI - Effective Management of Advanced Angiosarcoma by the Synergistic Combination of
Propranolol and Vinblastine-based Metronomic Chemotherapy: A Bench to Bedside
Study.
AB - BACKGROUND: Angiosarcomas are rare malignant tumors of vascular origin that
represent a genuine therapeutic challenge. Recently, the combination of
metronomic chemotherapy and drug repositioning has been proposed as an attractive
alternative for cancer patients living in developing countries. METHODS: In vitro
experiments with transformed endothelial cells were used to identify synergistic
interactions between anti-hypertensive drug propranolol and chemotherapeutics.
This led to the design of a pilot treatment protocol combining oral propranolol
and metronomic chemotherapy. Seven consecutive patients with
advanced/metastatic/recurrent angiosarcoma were treated with this combination for
up to 12months, followed by propranolol-containing maintenance therapy. FINDINGS:
Gene expression analysis showed expression of ADRB1 and ADRB2 adrenergic receptor
genes in transformed endothelial cells and in angiosarcoma tumors. Propranolol
strongly synergized with the microtubule-targeting agent vinblastine in vitro,
but only displayed additivity or slight antagonism with paclitaxel and
doxorubicin. A combination treatment using bi-daily propranolol (40mg) and weekly
metronomic vinblastine (6mg/m(2)) and methotrexate (35mg/m(2)) was designed and
used in 7 patients with advanced angiosarcoma. Treatment was well tolerated and
resulted in 100% response rate, including 1 complete response and 3 very good
partial responses, based on RECIST criteria. Median progression-free and overall
survival was 11months (range 5-24) and 16months (range 10-30), respectively.
INTERPRETATION: Our results provide a strong rationale for the combination of
beta-blockers and vinblastine-based metronomic chemotherapy for the treatment of
advanced angiosarcoma. Furthermore, our study highlights the potential of drug
repositioning in combination with metronomic chemotherapy in low- and middle
income country setting. FUNDING: This study was funded by institutional and
philanthropic grants.
PMID- 27211552
TI - Urinary Phthalates and Leukocyte Telomere Length: An Analysis of NHANES 1999
2002.
AB - The International Agency for Research on Cancer classified the di-2-ethylhexyl
phthalate (DEHP) as "possibly carcinogenic to humans". In vitro studies reported
that phthalate exposure resulted in induction of several nuclear transcription
factors that are activators of telomerase reverse transcriptase (TERT) and
telomerase activity of the human telomerase complex. The objective of this study
was to determine whether there is an association between urinary phthalate
metabolites [mono-ethyl phthalate (MEP), mono-butyl phthalate (MBP), mono-(2
ethyl)-hexyl phthalate (MEHP), and mono-benzyl phthalate (MBzP) and leukocyte
telomere length (LTL) in the adult population of the National Health and
Nutrition Examination Survey (NHANES) 1999-2002 (n=2472). After adjustment for
potential confounders, participants in the 3rd and 4th quartiles of urinary MEHP
had statistically significantly longer LTL (5.34%, 95% CI: 1.31, 9.53; and 7.14%,
95% CI: 2.94, 11.63; respectively) compared to the lowest quartile, with evidence
of a dose-response relationship (p-trend=0.01). The association remained when the
analyses were stratified by age groups (20-39years, 40-59years, and 60years and
older), and sex. Furthermore, MBP and MBzP were associated with higher LTL in
older participants. The age independent association between longer LTL and MEHP
(a metabolite of DEHP) might suggest a possible role of MEHP as tumor promoter.
PMID- 27211553
TI - HIV-Nef and ADAM17-Containing Plasma Extracellular Vesicles Induce and Correlate
with Immune Pathogenesis in Chronic HIV Infection.
AB - Antiretroviral therapy (ART) efficiently suppresses HIV replication but immune
activation and low CD4 T cell counts often persist. The underlying mechanism of
this ART-resistant pathogenesis is not clear. We observed that levels of plasma
extracellular vesicles (pEV) are strongly elevated in HIV infection and do not
decline during ART. Surprisingly, these vesicles contained the viral accessory
proteins Nef and Vpu, which are assumed to be not expressed under efficient ART,
as well as pro-inflammatory effectors, including activated ADAM17. HIV pEV were
characterized by the presence of activated alphavbeta3 and absence of CD81 and
Tsg101. Correlating with immune activation, peripheral monocytes ingested large
amounts of pEV, giving rise to an increased population of CD1c(+) CD14(+) cells
that secreted inflammatory cytokines. Importantly, the pro-inflammatory content,
particularly ADAM17 activity, correlated with low T cell counts. Preliminary
evidence suggested that HIV pEV derived from peripheral mononuclear cells and
from an unknown myeloid cell population. In summary we propose an important role
of pro-inflammatory pEV in chronic HIV infection due to ongoing viral Nef
activity.
PMID- 27211554
TI - A Transcriptomic Biomarker to Quantify Systemic Inflammation in Sepsis - A
Prospective Multicenter Phase II Diagnostic Study.
AB - Development of a dysregulated immune response discriminates sepsis from
uncomplicated infection. Currently used biomarkers fail to describe
simultaneously occurring pro- and anti-inflammatory responses potentially
amenable to therapy. Marker candidates were screened by microarray and, after
transfer to a platform allowing point-of-care testing, validated in a
confirmation set of 246 medical and surgical patients. We identified up-regulated
pathways reflecting innate effector mechanisms, while down-regulated pathways
related to adaptive lymphocyte functions. A panel of markers composed of three up
(Toll-like receptor 5; Protectin; Clusterin) and 4 down-regulated transcripts
(Fibrinogen-like 2; Interleukin-7 receptor; Major histocompatibility complex
class II, DP alpha1; Carboxypeptidase, vitellogenic-like) described the magnitude
of immune alterations. The created gene expression score was significantly
greater in patients with definite as well as with possible/probable infection
than with no infection (median (Q25/Q75): 80 (60/101)) and 81 (58/97 vs. 49
(27/66), AUC-ROC=0.812 (95%-CI 0.755-0.869), p<0.0001). Down-regulated lymphocyte
markers were associated with prognosis with good sensitivity but limited
specificity. Quantifying systemic inflammation by assessment of both pro- and
anti-inflammatory innate and adaptive immune responses provides a novel option to
identify patients-at-risk and may facilitate immune interventions in sepsis.
PMID- 27211555
TI - A Long-term Co-perfused Disseminated Tuberculosis-3D Liver Hollow Fiber Model for
Both Drug Efficacy and Hepatotoxicity in Babies.
AB - Treatment of disseminated tuberculosis in children<=6years has not been
optimized. The pyrazinamide-containing combination regimen used to treat
disseminated tuberculosis in babies and toddlers was extrapolated from adult
pulmonary tuberculosis. Due to hepatotoxicity worries, there are no dose-response
studies in children. We designed a hollow fiber system model of disseminated
intracellular tuberculosis with co-perfused three-dimensional organotypic liver
modules to simultaneously test for efficacy and toxicity. We utilized pediatric
pharmacokinetics of pyrazinamide and acetaminophen to determine dose-dependent
pyrazinamide efficacy and hepatotoxicity. Acetaminophen concentrations that cause
hepatotoxicity in children led to elevated liver function tests, while 100mg/kg
pyrazinamide did not. Surprisingly, pyrazinamide did not kill intracellular
Mycobacterium tuberculosis up to fourfold the standard dose as monotherapy or as
combination therapy, despite achieving high intracellular concentrations. Host
pathogen RNA-sequencing revealed lack of a pyrazinamide exposure transcript
signature in intracellular bacteria or of phagolysosome acidification on pH
imaging. Artificial intelligence algorithms confirmed that pyrazinamide was not
predictive of good clinical outcomes in children<=6years who had extrapulmonary
tuberculosis. Thus, adding a drug that works inside macrophages could benefit
children with disseminated tuberculosis. Our in vitro model can be used to
identify such new regimens that could accelerate cure while minimizing toxicity.
PMID- 27211556
TI - Irisin Inhibits Hepatic Cholesterol Synthesis via AMPK-SREBP2 Signaling.
AB - Irisin, a myokine released during exercise, promotes browning of subcutaneous
adipose tissue and regulates energy homeostasis. Although exercise constantly
reduces blood cholesterol, whether irisin is involved in the regulation of
cholesterol remains largely unknown. In the present study, subcutaneous infusion
of irisin for 2weeks induced a reduction in plasma and hepatic cholesterol in
high fat diet-induced obese (DIO) mice. These alterations were associated with an
activation of 5' AMP-activated protein kinase (AMPK) and inhibition of sterol
regulatory element-binding transcription factor 2 (SREBP2) transcription and
nuclear translocation. In primary hepatocytes from either lean or DIO mice,
irisin significantly decreased cholesterol content via sequential activation of
AMPK and inhibition of SREBP2. Suppression of AMPK by compound C or AMPKalpha1
siRNA blocked irisin-induced alterations in cholesterol contents and SREBP2. In
conclusion, irisin could suppress hepatic cholesterol production via a mechanism
dependent of AMPK and SREBP2 signaling. These findings suggest that irisin is a
promising therapeutic target for treatment of hypercholesterolemia.
PMID- 27211557
TI - Weight Loss Upregulates the Small GTPase DIRAS3 in Human White Adipose Progenitor
Cells, Which Negatively Regulates Adipogenesis and Activates Autophagy via Akt
mTOR Inhibition.
AB - Long-term weight-loss (WL) interventions reduce insulin serum levels, protect
from obesity, and postpone age-associated diseases. The impact of long-term WL on
adipose-derived stromal/progenitor cells (ASCs) is unknown. We identified DIRAS3
and IGF-1 as long-term WL target genes up-regulated in ASCs in subcutaneous white
adipose tissue of formerly obese donors (WLDs). We show that DIRAS3 negatively
regulates Akt, mTOR and ERK1/2 signaling in ASCs undergoing adipogenesis and acts
as a negative regulator of this pathway and an activator of autophagy. Studying
the IGF-1-DIRAS3 interaction in ASCs of WLDs, we demonstrate that IGF-1, although
strongly up-regulated in these cells, hardly activates Akt, while ERK1/2 and S6K1
phosphorylation is activated by IGF-1. Overexpression of DIRAS3 in WLD ASCs
completely inhibits Akt phosphorylation also in the presence of IGF-1.
Phosphorylation of ERK1/2 and S6K1 is lesser reduced under these conditions. In
conclusion, our key findings are that DIRAS3 down-regulates Akt-mTOR signaling in
ASCs of WLDs. Moreover, DIRAS3 inhibits adipogenesis and activates autophagy in
these cells.
PMID- 27211558
TI - Type 2 Diabetes, Diabetes Genetic Score and Risk of Decreased Renal Function and
Albuminuria: A Mendelian Randomization Study.
AB - BACKGROUND: Type 2 diabetes (T2D) is a risk factor for dysregulation of
glomerular filtration rate (GFR) and albuminuria. However, whether the
association is causal remains unestablished. RESEARCH DESIGN AND METHODS: We
performed a Mendelian Randomization (MR) analysis in 11,502 participants aged 40
and above, from a well-defined community in Shanghai during 2011-2013, to explore
the causal association between T2D and decreased estimated GFR (eGFR) and
increased urinary albumin-to-creatinine ratio (uACR). We genotyped 34 established
T2D common variants in East Asians, and created a T2D-genetic risk score (GRS).
We defined decreased eGFR as eGFR<90ml/min/1.73m(2) and increased uACR as
uACR>=30mg/g. We used the T2D_GRS as the instrumental variable (IV) to quantify
the causal effect of T2D on decreased eGFR and increased uACR. RESULTS: Each 1
standard deviation (SD, 3.90 points) increment in T2D_GRS was associated with
decreased eGFR: odds ratio (OR)=1.18 (95% confidence interval [CI]: 1.01, 1.30).
In the MR analysis, we demonstrated a causal relationship between genetically
determined T2D and decreased eGFR (OR=1.47, 95% CI: 1.15, 1.88, P=0.0003). When
grouping the genetic loci according to their relations with either insulin
secretion (IS) or insulin resistance (IR), we found both IS_GRS and IR_GRS were
significantly related to decreased eGFR (both P<0.02). In addition, T2D_GRS and
IS_GRS were significantly associated with Log-uACR (both P=0.04). CONCLUSION: Our
results provide novel evidence for a causal association between T2D and decreased
eGFR by using MR approach in a Chinese population.
PMID- 27211559
TI - H2S Regulates Hypobaric Hypoxia-Induced Early Glio-Vascular Dysfunction and Neuro
Pathophysiological Effects.
AB - Hypobaric Hypoxia (HH) is an established risk factor for various neuro
physiological perturbations including cognitive impairment. The origin and
mechanistic basis of such responses however remain elusive. We here combined
systems level analysis with classical neuro-physiological approaches, in a rat
model system, to understand pathological responses of brain to HH. Unbiased
'statistical co-expression networks' generated utilizing temporal, differential
transcriptome signatures of hippocampus-centrally involved in regulating
cognition-implicated perturbation of Glio-Vascular homeostasis during early
responses to HH, with concurrent modulation of vasomodulatory, hemostatic and
proteolytic processes. Further, multiple lines of experimental evidence from
ultra-structural, immuno-histological, substrate-zymography and barrier function
studies unambiguously supported this proposition. Interestingly, we show a
significant lowering of H2S levels in the brain, under chronic HH conditions.
This phenomenon functionally impacted hypoxia-induced modulation of cerebral
blood flow (hypoxic autoregulation) besides perturbing the strength of functional
hyperemia responses. The augmentation of H2S levels, during HH conditions,
remarkably preserved Glio-Vascular homeostasis and key neuro-physiological
functions (cerebral blood flow, functional hyperemia and spatial memory) besides
curtailing HH-induced neuronal apoptosis in hippocampus. Our data thus revealed
causal role of H2S during HH-induced early Glio-Vascular dysfunction and
consequent cognitive impairment.
PMID- 27211561
TI - Risk of Stroke in Migraineurs Using Triptans. Associations with Age, Sex, Stroke
Severity and Subtype.
AB - BACKGROUND: Identifying migraineurs by triptan utilization we studied risk for
stroke in migraineurs compared to the general population. METHODS: A cohort study
including all citizens 25-80years of age in Denmark 2003-2011 was conducted. All
persons prescribed triptans, and all those hospitalized for a first stroke were
identified in the Danish Registries. Information on stroke severity/subtype and
cardiovascular risk factors was available for stroke patients. FINDINGS: Of the
49,711 patients hospitalized for a first stroke, 1084 were migraineurs using
triptans. Adjusting for age, sex, income, and educational level, risk for stroke
was higher among migraineurs in respect to all strokes (RR 1.07; CI 1.01-1.14)
and ischemic strokes (RR 1.07; CI 1.00-1.14). Risk for hemorrhagic stroke was
increased but only in women (RR 1.41; CI 1.11-1.79). Risk was for mild strokes
(RR 1.31; CI 1.16-1.48) while risk for severe strokes was lower among migraineurs
(RR 0.77; CI 0.65-0.91). Risk was age-related; highest among women 25-45years
(RR~1.7). Risk was unrelated to numbers of dispensations. INTERPRETATION:
Migraineurs identified by triptan utilization had higher risk for stroke. Strokes
were minor and cardiovascular risk factors were less prevalent pointing to a
migraine-specific etiology of stroke different from that of thromboembolism.
PMID- 27211560
TI - Human Endogenous Retrovirus and Neuroinflammation in Chronic Inflammatory
Demyelinating Polyradiculoneuropathy.
AB - BACKGROUND: Human endogenous retroviruses HERV-W encode a pro-inflammatory
protein, named MSRV-Env from its original identification in Multiple Sclerosis.
Though not detected in various neurological controls, MSRV-Env was found in
patients with chronic inflammatory demyelinating polyradiculoneuropathies
(CIDPs). This study investigated the expression of MSRV in CIDP and evaluated
relevant MSRV-Env pathogenic effects. METHODS: 50 CIDP patients, 19 other
neurological controls (ONDs) and 65 healthy blood donors (HBDs) were recruited
from two different countries. MSRV-env and -pol transcripts, IL6 and CXCL10
levels were quantified from blood samples. MSRV-Env immunohistology was performed
in distal sensory nerves from CIDP and neurological controls biopsies. MSRV-Env
pathogenic effects and mode of action were assayed in cultured primary human
Schwann cells (HSCs). FINDINGS: In both cohorts, MSRV-env and -pol transcripts,
IL6 positivity prevalence and CXCL10 levels were significantly elevated in CIDP
patients when compared to HBDs and ONDs (statistically significant in all
comparisons). MSRV-Env protein was detected in Schwann cells in 5/7 CIDP
biopsies. HSC exposed to or transfected with MSRV-env presented a strong increase
of IL6 and CXCL10 transcripts and protein secretion. These pathogenic effects on
HSC were inhibited by GNbAC1, a highly specific and neutralizing humanized
monoclonal antibody targeting MSRV-Env. INTERPRETATION: The present study showed
that MSRV-Env may trigger the release of critical immune mediators proposed as
instrumental factors involved in the pathophysiology of CIDP. Significant MSRV
Env expression was detected in a significant proportion of patients with CIDP, in
which it may play a role according to its presently observed effects on Schwann
cells along with previously known effects on immune cells. Experimental results
also suggest that a biomarker-driven therapeutic strategy targeting this protein
with a neutralizing antibody such as GNbAC1 may offer new perspectives for
treating CIDP patients with positive detection of MSRV-Env expression. FUNDING:
Geneuro-Innovation, France.
PMID- 27211562
TI - Phenotypically distinct subtypes of psychosis accompany novel or rare variants in
four different signaling genes.
AB - BACKGROUND: Rare gene variants are important sources of schizophrenia
vulnerability that likely interact with polygenic susceptibility loci. This study
examined if novel or rare missense coding variants in any of four different
signaling genes in sporadic schizophrenia cases were associated with clinical
phenotypes in an exceptionally well-characterized sample. METHOD: Structured
interviews, cognition, symptoms and life course features were assessed in 48
ethnically-diverse cases with psychosis who underwent targeted exome sequencing
of PTPRG (Protein Tyrosine Phosphatase, Receptor Type G), SLC39A13 (Solute
Carrier Family 39 (Zinc Transporter) Member 13), TGM5 (transglutaminase 5) and
ARMS/KIDINS220 (Ankyrin repeat-rich membrane spanning protein or Kinase D
Interacting Substrate of 220kDa). Cases harboring rare missense coding
polymorphisms or novel mutations in one or more of these genes were compared to
other cases not carrying any rare missense coding polymorphisms or novel
mutations in these genes and healthy controls. FINDINGS: Fifteen of 48 cases
(31.25%) carried rare or novel missense coding variants in one or more of these
genes. The subgroups significantly differed in important features, including
specific working memory deficits for PTPRG (n=5); severe negative symptoms,
global cognitive deficits and poor educational attainment, suggesting a
developmental disorder, for SLC39A13 (n=4); slow processing speed, childhood
attention deficit disorder and milder symptoms for TGM5 (n=4); and global
cognitive deficits with good educational attainment suggesting neurodegeneration
for ARMS/KIDINS220 (n=5). Case vignettes are included in the appendix.
INTERPRETATION: Genes prone to missense coding polymorphisms and/or mutations in
sporadic cases may highlight influential genes for psychosis and illuminate
heterogeneous pathways to schizophrenia. Ethnicity appears less important at the
level of genetic variability. The sequence variations that potentially alter the
function of specific genes or their signaling partners may contribute to
particular subtypes of psychosis. This approach may be applicable to other
complex disorders.
PMID- 27211563
TI - HnRNP A1 is Involved in Deep Vein Thrombosis Patients with Behcet's Disease.
AB - OBJECTIVE: The aim of this study was to verify the hypothesis originated from
bioinformatics and literature reviews that hnNRP A1 may be a new immune target of
Behcet's disease (BD). METHODS: First, bioinformatics was used to show the
correlation between hnRNP A1 and A2/B1 in amino acid sequences and three
dimensional structures. Second, hnRNP A1 was expressed, purified, and
immunologically confirmed by systematic immunology methods including: Western
blotting, immunoprecipitation and Dot-ELISA. Then, ELISA was used to screen the
anti-hnRNP A1 autoantibodies in newly confirmed clinical samples and the clinical
significance was compared between anti-hnRNP A1 antibody positive and negative
groups. Finally, the endothelial cells antigen profile of one anti-hnRNP A1
antibody positive BD patient was detected using immunoprecipitation with liquid
chromatography tandem mass spectrometry (LC-TMS). RESULTS: In total 720 subjects
enrolled and tested in this study. Our results demonstrated hnRNP A1 as a new
immune target of BD. The reactivity of BD serum IgG antibodies against hnRNP A1
was significantly higher than healthy controls (P<0.0001), and deep vein
thrombosis (DVT) showed a significant higher in the anti-hnRNP A1 antibodies
positive group (P<0.05).
PMID- 27211564
TI - Low Circulating Natural Killer Cell Counts are Associated With Severe Disease in
Patients With Common Variable Immunodeficiency.
AB - Natural Killer (NK) cells have been shown to exert antiviral and antitumoural
activities. Nevertheless most available data are derived from mouse models and
functions of these cells in human remain unclear. To evaluate the impact of low
circulating NK cell counts and to provide some clues to the role of NK cells in
natural conditions, we studied a large cohort of patients with common variable
immunodeficiency (CVID) included in a multicenter cohort of patients with primary
hypogammaglobulinaemia. Patients were classified into three groups on the basis
of their NK cell counts: severe and mild NK cell lymphopenia (<50 and 50
99*10(6)/L respectively), and normal NK cell counts (>100*10(6)/L). Clinical
events were analyzed and compared between these three groups of patients. During
study period, 457 CVID patients were included: 99 (21.7%) with severe NK cell
lymphopenia, 118 (25.8%) with mild NK cell lymphopenia and 240 (52.5%) with
normal NK cell counts. Non-infectious complications (57% vs. 36% and 35%), and,
particularly, granulomatous complications (25.3% vs. 13.6% and 8.8%), were more
frequent in patients with severe NK cell lymphopenia than in other groups.
Invasive infections (68.7% vs. 60.2% and 48.8%), including bacteraemia (22.2% vs.
5.9% and 8.3%) and infectious pneumonia (63.6% vs. 59.3% and 44.2%), were also
more frequent in this population. However, no difference was observed for viral
infections and neoplasms. Low circulating NK cell counts are associated with more
severe phenotypes of CVID, which may indicate a protective role of these immune
cells against severe bacterial infections and other complications and non
redundant immune functions when the adaptive immune response is not optimal.
PMID- 27211565
TI - Autonomic Dysfunction Precedes Development of Rheumatoid Arthritis: A Prospective
Cohort Study.
AB - BACKGROUND: Heart rate variability (HRV) is a validated method to establish
autonomic nervous system (ANS) activity. Rheumatoid arthritis (RA) is accompanied
by ANS imbalance. We hypothesized that ANS dysfunction may precede the
development of RA, which would suggest that it plays a role in its
etiopathogenesis. METHODS: First, we assessed HRV parameters in supine (resting)
and upright (active) position in healthy subjects (HS, n=20), individuals at risk
of developing arthritis (AR subjects, n=50) and RA patients (RA, n=20). Next, we
measured resting heart rate (RHR), a parasympathetic HRV parameter, in an
independent prospective cohort of AR subjects (n=45). We also evaluated
expression levels of the parasympathetic nicotinic acetylcholine receptor type 7
(alpha7nAChR) on circulating monocytes. FINDINGS: Both AR subjects (68 beats per
minute (bpm), interquartile range (IQR) 68-73) and RA patients (68bpm, IQR 62-76)
had a significantly higher RHR compared to HS (60bpm, IQR 56-63). RHR was
significantly higher at baseline in individuals who subsequently developed
arthritis. Expression levels of alpha7nAChR were lower in AR subjects with RHR
>=70bpm compared to those with RHR <70bpm, consistent with reduced activity of
the parasympathetic cholinergic anti-inflammatory pathway. INTERPRETATION: These
data support the notion that autonomic dysfunction precedes the development of
RA.
PMID- 27211566
TI - Creation of a Large Adipose Tissue Construct in Humans Using a Tissue-engineering
Chamber: A Step Forward in the Clinical Application of Soft Tissue Engineering.
AB - Tissue engineering is currently exploring new and exciting avenues for the repair
of soft tissue and organ defects. Adipose tissue engineering using the tissue
engineering chamber (TEC) model has yielded promising results in animals;
however, to date, there have been no reports on the use of this device in humans.
Five female post mastectomy patients ranging from 35 to 49years old were
recruited and a pedicled thoracodorsal artery perforator fat flap ranging from 6
to 50ml was harvested, transposed onto the chest wall and covered by an acrylic
perforated dome-shaped chamber ranging from 140 to 350cm(3). Magnetic resonance
evaluation was performed at three and six months after chamber implantation.
Chambers were removed at six months and samples were obtained for histological
analysis. In one patient, newly formed tissue to a volume of 210ml was generated
inside the chamber. One patient was unable to complete the trial and the other
three failed to develop significant enlargement of the original fat flap, which,
at the time of chamber explantation, was encased in a thick fibrous capsule. Our
study provides evidence that generation of large well-vascularized tissue
engineered constructs using the TEC is feasible in humans.
PMID- 27211567
TI - Child Stunting is Associated with Low Circulating Essential Amino Acids.
AB - BACKGROUND: Stunting affects about one-quarter of children under five worldwide.
The pathogenesis of stunting is poorly understood. Nutritional interventions have
had only modest effects in reducing stunting. We hypothesized that insufficiency
in essential amino acids may be limiting the linear growth of children. METHODS:
We used a targeted metabolomics approach to measure serum amino acids,
glycerophospholipids, sphingolipids, and other metabolites using liquid
chromatography-tandem mass spectrometry in 313 children, aged 12-59months, from
rural Malawi. Children underwent anthropometry. FINDINGS: Sixty-two percent of
the children were stunted. Children with stunting had lower serum concentrations
of all nine essential amino acids (tryptophan, isoleucine, leucine, valine,
methionine, threonine, histidine, phenylalanine, lysine) compared with nonstunted
children (p<0.01). In addition, stunted children had significantly lower serum
concentrations of conditionally essential amino acids (arginine, glycine,
glutamine), non-essential amino acids (asparagine, glutamate, serine), and six
different sphingolipids compared with nonstunted children. Stunting was also
associated with alterations in serum glycerophospholipid concentrations.
INTERPRETATION: Our findings support the idea that children with a high risk of
stunting may not be receiving an adequate dietary intake of essential amino acids
and choline, an essential nutrient for the synthesis of sphingolipids and
glycerophospholipids.
PMID- 27211568
TI - Maternal collapse: Challenging the four-minute rule.
AB - INTRODUCTION: The current approach to, cardiopulmonary resuscitation of pregnant
women in the third trimester has been to adhere to the "four-minute rule": If
pulses have not returned within 4min of the start of resuscitation, perform a
cesarean birth so that birth occurs in the next minute. This investigation sought
to re-examine the evidence for the four-minute rule. METHODS: A literature review
focused on perimortem cesarean birth was performed using the same key words that
were used in formulating the "four-minute rule." Maternal and neonatal injury
free survival rates as a function of arrest to birth intervals were determined,
as well as actual incision to birth intervals. RESULTS: Both maternal and
neonatal injury free survival rates diminished steadily as the time interval from
maternal arrest to birth increased. There was no evidence for any specific
survival threshold at 4min. Skin incision to birth intervals of 1min occurred in
only 10% of women. CONCLUSION: Once a decision to deliver is made, care providers
should proceed directly to Cesarean birth during maternal cardiac arrest in the
third trimester rather than waiting for 4min for restoration of the maternal
pulse. Birth within 1min from the start of the incision is uncommon in these
circumstances.
PMID- 27211569
TI - Effects of Air Temperature on Climate-Sensitive Mortality and Morbidity Outcomes
in the Elderly; a Systematic Review and Meta-analysis of Epidemiological
Evidence.
AB - INTRODUCTION: Climate change and rapid population ageing are significant public
health challenges. Understanding which health problems are affected by
temperature is important for preventing heat and cold-related deaths and
illnesses, particularly in the elderly. Here we present a systematic review and
meta-analysis on the effects of ambient hot and cold temperature (excluding
heat/cold wave only studies) on elderly (65+ years) mortality and morbidity.
METHODS: Time-series or case-crossover studies comprising cause-specific cases of
elderly mortality (n=3,933,398) or morbidity (n=12,157,782) were pooled to obtain
a percent change (%) in risk for temperature exposure on cause-specific disease
outcomes using a random-effects meta-analysis. RESULTS: A 1 degrees C temperature
rise increased cardiovascular (3.44%, 95% CI 3.10-3.78), respiratory (3.60%, 3.18
4.02), and cerebrovascular (1.40%, 0.06-2.75) mortality. A 1 degrees C
temperature reduction increased respiratory (2.90%, 1.84-3.97) and cardiovascular
(1.66%, 1.19-2.14) mortality. The greatest risk was associated with cold-induced
pneumonia (6.89%, 20-12.99) and respiratory morbidity (4.93% 1.54-8.44). A 1
degrees C temperature rise increased cardiovascular, respiratory, diabetes
mellitus, genitourinary, infectious disease and heat-related morbidity.
DISCUSSION: Elevated risks for the elderly were prominent for temperature-induced
cerebrovascular, cardiovascular, diabetes, genitourinary, infectious disease,
heat-related, and respiratory outcomes. These risks will likely increase with
climate change and global ageing.
PMID- 27211571
TI - Corrigendum to "Risk of hemoptysis in cystic fibrosis clinical trials: A
retrospective cohort study" [J Cyst Fibros (2015) 632-638].
PMID- 27211570
TI - Discrimination and characterization of Sertoli cell-only syndrome in non
obstructive azoospermia using cell-free seminal DDX4.
AB - Cell-free seminal mRNA (cfs-mRNA) contains testis-specific transcripts from
bilateral testes. This study determined the presence of DEAD box polypeptide 4
(DDX4) in cfs-mRNA to identify and characterize the incidence of Sertoli cell
only (SCO) syndrome in men with non-obstructive azoospermia (NOA). DDX4 cfs-mRNA
was determined in 315 men with NOA, and compared with testicular samples obtained
by microdissection from 19 NOA patients. Karyotype and azoospermia factor
microdeletion analysis were performed, and clinical features were evaluated.
Negative DDX4 cfs-mRNA suggestive of SCO was found in 13.7% of NOA patients, with
a similar incidence in NOA men with known genetic causes and those without known
genetic causes. DDX4 cfs-mRNA was absent in 44% of SCO cases diagnosed by
testicular histopathology, but present in all patients presenting with maturation
arrest or hypospermatogenesis. Furthermore, 84.2% of NOA men with DDX4 cfs
positive mRNA had a DDX4-positive testicular sample. In NOA men without genetic
causes, SCO patients discriminated by negative DDX4 cfs-mRNA showed different
clinical features when compared with non-SCO cases. These results suggest that
the evaluation of DDX4 cfs-mRNA is more accurate than testicular histopathology
in discriminating SCO, and also permits the identification of a specific group of
NOA men with distinct clinical features.
PMID- 27211572
TI - Low-dose computed tomography screening for lung cancer in populations highly
exposed to tobacco: A systematic methodological appraisal of published randomised
controlled trials.
AB - Low-dose computed tomography (LDCT) screening recommendations for lung cancer are
contradictory. The French National Authority for Health commissioned experts to
carry a systematic review on the effectiveness, acceptability and safety of lung
cancer screening with LDCT in subjects highly exposed to tobacco. We used MEDLINE
and Embase databases (2003-2014) and identified 83 publications representing ten
randomised control trials. Control arms and methodology varied considerably,
precluding a full comparison and questioning reproducibility of the findings.
From five trials reporting mortality results, only the National Lung Screening
Trial found a significant decrease of disease-specific and all-cause mortality
with LDCT screening compared to chest X-ray screening. None of the studies
provided all information needed to document the risk-benefit balance. The lack of
statistical power and the methodological heterogeneity of European trials
question on the possibility of obtaining valid results separately or by pooling.
We conclude, in regard to the lack of strong scientific evidence, that LDCT
screening should not be recommended in subjects highly exposed to tobacco.
PMID- 27211573
TI - "Dis-able bodied" or "dis-able minded": stakeholders' return-to-work experiences
compared between physical and mental health conditions.
AB - PURPOSE: This study aimed to explore if and why the return-to-work (RTW)
experiences of various workplace stakeholders in the Netherlands and Denmark
differ between physical and mental health conditions, and to understand the
consequences of potentially different experiences for the RTW process in both
health conditions. METHODS: We studied 21 cases of long-term sickness absence,
and held a total of 61 semi-structured interviews with the various actors
involved in these cases. RESULTS: Physical cases were seen as "easy" and mental
cases as "difficult" to manage, based on the visibility and predictability of
health complaints. On this ground, assessing work ability and following required
RTW actions were perceived as more urgent in mental than in physical cases.
Despite these perceptions, in practice, the assessment of work ability seemed to
impair the RTW process in mental cases (but not in physical ones), and the (non
)uptake of RTW actions appeared to have similar results in both mental and
physical cases. CONCLUSIONS: With these outcomes, the effectiveness of a
differential approach is questioned, and the relevance of a bidirectional dialog
on work ability and a phased RTW plan is highlighted, regardless of the absence
cause. Our study also demonstrates how policymakers need to strike a balance
between obligatory and permissive legislation to better involve workplaces in RTW
issues. Implications for rehabilitation Both physically and mentally sick-listed
employees could benefit from a bidirectional dialog on work ability as well as
from a phased RTW plan. A greater role for employers in the RTW process should be
accompanied with a support for sick-listed employees, in both physical and mental
sickness absence cases. Dutch and Danish RTW legislation could be improved by
carefully balancing obligatory and permissive rules and regulations to involve
workplaces in RTW matters.
PMID- 27211574
TI - Uncovering three-dimensional gradients in fibrillar orientation in an impact
resistant biological armour.
AB - The complex hierarchical structure in biological and synthetic fibrous
nanocomposites entails considerable difficulties in the interpretation of the
crystallographic texture from diffraction data. Here, we present a novel
reconstruction method to obtain the 3D distribution of fibres in such systems. An
analytical expression is derived for the diffraction intensity from fibres,
explaining the azimuthal intensity distribution in terms of the angles of the
three dimensional fibre orientation distributions. The telson of stomatopod
(mantis shrimp) serves as an example of natural biological armour whose high
impact resistance property is believed to arise from the hierarchical
organization of alpha chitin nanofibrils into fibres and twisted plywood
(Bouligand) structures at the sub-micron and micron scale. Synchrotron microfocus
scanning X-ray diffraction data on stomatopod telson were used as a test case to
map the 3D fibre orientation across the entire tissue section. The method is
applicable to a range of biological and biomimetic structures with graded 3D
fibre texture at the sub-micron and micron length scales.
PMID- 27211575
TI - Secondary Adrenal Insufficiency: Where Is It Hidden and What Does It Look Like?
AB - Adrenal failure secondary to hypothalamic-pituitary disease is a common although
underestimated and underdiagnosed condition, with serious consequences.
Corticotropin deficiency can be isolated or more frequently occur in association
with other pituitary hormones deficiencies. The most frequent endogenous cause of
secondary adrenal insufficiency (SAI) is a tumor of the hypothalamic-pituitary
region, usually associated with panhypopituitarism secondary to tumor growth or
to its treatment with surgery or irradiation. Less commonly, SAI is due to
nontumoral disorders including infiltrative lesions, infective processes,
vascular alterations, traumatic brain injury, empty sella or genetic disorders.
Finally, long-term administration of exogenous glucocorticoids can determine
secondary and/or tertiary hypoadrenalism acting at the hypothalamic level and
leading to prolonged suppression of the hypothalamic-pituitary-adrenal axis. It
is essential to perform validated diagnostic procedures in order to promptly
diagnose hypoadrenalism so as to prevent an adrenal crisis. At the same time,
diagnosis is complex as no single test has sufficient sensitivity to identify all
patients with SAI. Therefore, clinical judgment and follow-up are crucial for the
assessment of corticotropin deficiency. Patients with persisting suggestive
symptoms and/or a clinical history of higher risk for adrenal insufficiency
deserve careful subsequent reassessments.
PMID- 27211576
TI - Research impact: a narrative review.
AB - Impact occurs when research generates benefits (health, economic, cultural) in
addition to building the academic knowledge base. Its mechanisms are complex and
reflect the multiple ways in which knowledge is generated and utilised. Much
progress has been made in measuring both the outcomes of research and the
processes and activities through which these are achieved, though the measurement
of impact is not without its critics. We review the strengths and limitations of
six established approaches (Payback, Research Impact Framework, Canadian Academy
of Health Sciences, monetisation, societal impact assessment, UK Research
Excellence Framework) plus recently developed and largely untested ones
(including metrics and electronic databases). We conclude that (1) different
approaches to impact assessment are appropriate in different circumstances; (2)
the most robust and sophisticated approaches are labour-intensive and not always
feasible or affordable; (3) whilst most metrics tend to capture direct and
proximate impacts, more indirect and diffuse elements of the research-impact link
can and should be measured; and (4) research on research impact is a rapidly
developing field with new methodologies on the horizon.
PMID- 27211578
TI - No Target Left Behind: Improving Therapeutic Options for ERBB2-Mutant Non-Small
Cell Lung Cancer.
PMID- 27211577
TI - The use of massive transfusion protocol for trauma and non-trauma patients in a
civilian setting: what can be done better?
AB - INTRODUCTION: Massive transfusion protocol (MTP) is increasingly used in civilian
trauma cases to achieve better haemostatic resuscitation in patients requiring
massive blood transfusions (MTs), with improved survival outcomes. However, in
non-trauma patients, evidence for MTP is lacking. This study aims to assess the
outcomes of a newly established MTP in a civilian setting, for both trauma and
non-trauma patients, in an acute surgical care unit. METHODS: A retrospective
cohort analysis was performed on 46 patients for whom MTP was activated in Changi
General Hospital, Singapore. The patients were categorised into trauma and non
trauma groups. Assessment of Blood Consumption (ABC) score was used to identify
MTP trauma patients and analyse over-activation rates. RESULTS: Only 39.1% of all
cases with MTP activation eventually received MTs; 39.8% of the MTs were for non
trauma patients. Mean fresh frozen plasma to packed red blood cells (pRBC) ratio
achieved with MTP was 0.741, while mean platelet to pRBC ratio was 0.213. The 24
hour mortality rate for all patients who received an MT upon MTP activation was
33.3% (trauma vs. non-trauma group: 45.5% vs. 14.3%). The ABC scoring system used
for trauma patients had a sensitivity and specificity of 81.8% and 41.2%,
respectively. CONCLUSION: MTP may be used for both trauma and non-trauma patients
in acute care surgery. Scoring systems to predict the need for an MT, improved
compliance to predefined transfusion ratios and regular reviews of the MTP are
necessary to optimise MTPs and to improve the outcomes of patients receiving MTs.
PMID- 27211580
TI - Erratum.
PMID- 27211579
TI - Is Weight Loss a Loss of Chance in Patients Receiving Chemoradiotherapy?
PMID- 27211581
TI - Dealing with Lung Cancer TNM Classification.
PMID- 27211582
TI - EGFR Status in Mesothelioma: Possible Implications for the Efficacy of Anti-EGFR
and Anti-MET Therapies.
PMID- 27211584
TI - Views about HIV/STI and health promotion among gay and bisexual Chinese and South
Asian men living in Auckland, New Zealand.
AB - Ethnic minority gay, bisexual, and other men who have sex with men (MSM) are
considered to have a high risk for HIV infection. The aim of this study was to
identify some of the ways Chinese and South Asian MSM talk about and understand
issues related to HIV/STI and health promotion, as well as highlighting some of
this group's health promoting behaviours. A qualitative study using face-to-face
interviews with 44 Chinese and South Asian MSM living in Auckland, New Zealand,
was undertaken. Following data analysis, four major themes were identified: the
importance of condoms, condom use, HIV/STI practices, and HIV health promotion.
The results showed that the men interviewed had a good understanding of the
benefits of using condoms for anal sex. They also reported strong recall of the
local HIV health promotion campaigns which seek to influence men's behaviours
through promotion of a single, unequivocal message to always use a condom for
anal sex. The men however did not always report consistent condom use, and a
range of reasons why this happened were identified. Among the men who discussed
testing practices, regular testing was much more likely to have occurred in men
who have lived in New Zealand for more than 5 years. These results suggest that
future health promotion initiatives should be tailored to ensure the needs of
Chinese and South Asian MSM are appropriately addressed when promoting condom use
for anal sex.
PMID- 27211583
TI - On Cuteness: Unlocking the Parental Brain and Beyond.
AB - Cuteness in offspring is a potent protective mechanism that ensures survival for
otherwise completely dependent infants. Previous research has linked cuteness to
early ethological ideas of a 'Kindchenschema' (infant schema) where infant facial
features serve as 'innate releasing mechanisms' for instinctual caregiving
behaviours. We propose extending the concept of cuteness beyond visual features
to include positive infant sounds and smells. Evidence from behavioural and
neuroimaging studies links this extended concept of cuteness to simple
'instinctual' behaviours and to caregiving, protection, and complex emotions. We
review how cuteness supports key parental capacities by igniting fast privileged
neural activity followed by slower processing in large brain networks also
involved in play, empathy, and perhaps even higher-order moral emotions.
PMID- 27211585
TI - Patient-Based Clinical Innovations by Practicing Physicians-A Commentary.
PMID- 27211586
TI - Physiological Techniques and Pulmonary Hypertension - Left Heart Disease.
AB - Group 2 Pulmonary hypertension (PH) is associated with left heart disease
(LHD;Group 2 PH) and is the most common form of PH. Group 2 PH represents an
important subgroup of patients with LHD where the development of PH leads to a
significant increase in morbidity and mortality. Early diagnosis may provide an
opportunity to intervene and significantly delay progression. In addition to
clinical suspicion, several approaches including hemodynamic assessment, exercise
testing, and imaging techniques play an important role in better disease
characterization and management. Here, we review the role of physiologic based
hemodynamic and exercise assessments of Group 2 PH patients.
PMID- 27211587
TI - [Food addiction: Definition, measurement and limits of the concept, associated
factors, therapeutic and clinical implications].
AB - Addictions, which are characterized by the inability to control a behavior
despite existence of physical or psychological consequences, have biological,
psychological and social determinants. Although the possibility of developing an
addiction to some psychoactive substances (e.g. alcohol, tobacco, cannabis) and
to gambling (i.e., gambling disorder) is now well demonstrated, the possibility
to develop a non-drug addiction (i.e., behavioral addiction) to certain behaviors
which provide pleasure (e.g. eating, having sex, buying things) is still in
debate. The concept of food addiction, which refers to people who exhibit
substance dependence criteria in relation to some high-fat and high-sugar foods,
was recently proposed by applying substance dependence DSM criteria to eating
behavior. To assess food addiction, the Yale Food Addiction Scale is now the only
self-administered questionnaire (diagnosis and estimate of the number of symptoms
of food addiction). Prevalence for food addiction is higher in overweight and
obese patients, and in patients with certain psychopathological characteristics
(i.e., depression, Attention Deficit Hyperactivity Disorder, high impulsivity),
in patients who are single and in patients with neurobiological alterations in
the reward system. However, it is still unclear whether food addiction is
necessary associated with subsequent increase in body weight and/or obesity. An
increasing number of studies demonstrated that drug addiction and food addiction
shares some similar clinical, neurobiological and psychopathological and
sociocultural risk factors. To test the pertinence to include food addiction as
an addiction, it would be interesting to conduct future studies in patients who
may experience harms related to their food addiction, including not only patients
with obesity, but also patients with metabolic syndrome, type 2 diabetes,
hypertension, dyslipidemia, atherosclerosis, stroke, or coronary heart disease.
Food addiction is a clinical and multidimensional concept which requires
integrated care with psychotherapy, pharmacological and social lines of approach.
This concept has also practical implications in terms of prevention and public
health (e.g., prevention, brief interventions, possible law enforcement regarding
some kinds of food which could present some addictive properties).
PMID- 27211600
TI - Intussusception in Children Aged Less than Five years.
AB - OBJECTIVE: To enumerate the cases of intussusception in Chennai during 2012-2013,
describe the clinical profile, outcomes of case management and highlight the
importance of systematically collecting this data in lieu of rotavirus vaccine
introduction in India. METHODS: Medical records of pediatric intussusception
cases admitted in eight large hospitals in Chennai from July 2012 through June
2013 were retrospectively reviewed. Demographic and clinical data including
diagnostic and treatment practices were obtained. Cases were categorized based on
the diagnostic certainty criteria stipulated by the Brighton collaboration on
intussusception. RESULTS: During the one year of study period, 205 cases of
intussusception were diagnosed in 8 hospitals in Chennai city of India. The
median age at presentation was 9 mo (Inter Quartile Range, IQR 6-14) with a male
to female ratio of 1.8:1. The commonest site of intussusception was ileocolic
(80.4 %). Most of the cases (59.8 %) were managed non-surgically. Direct surgical
intervention was carried out in 26.5 % cases whereas in 11.8% of cases, surgery
was required after failure of non-surgical measures. Median duration of hospital
stay was 3 d (IQR 1-5). CONCLUSIONS: This study documents the case burden of
intussusception among children in Chennai in a calendar year. Data on receipt of
rotavirus vaccine was not available. The authors recommend collection of
rotavirus vaccine data among all cases of intussusception in the country, and do
retrospective analysis in other parts of the country and prospective surveillance
in pediatric / immunization clinics to assess impact of rotavirus vaccine on
intussusception rates in the post rotavirus vaccine introduction scenario.
PMID- 27211602
TI - Factors that predict the occurrence of and recovery from non-alcoholic fatty
liver disease after pancreatoduodenectomy.
AB - BACKGROUND: The manifestations of non-alcoholic fatty liver disease in patients
who have undergone pancreatoduodenectomy differ from those associated with
obesity-related non-alcoholic fatty liver disease. This study aimed to identify
factors that predicted the occurrence of and recovery from non-alcoholic fatty
liver disease after pancreatoduodenectomy. METHODS: This retrospective study
included 120 patients who underwent pancreatoduodenectomy between April 2004 and
December 2013. Non-alcoholic fatty liver disease was diagnosed using unenhanced
computed tomography as a value of <40 Hounsfield units. Recovery from non
alcoholic fatty liver disease was based on increases in liver computed
tomographic attenuation values. Pre-, intra-, and postoperative factors were
analyzed using univariate analysis and multivariable logistic regression models.
RESULTS: Non-alcoholic fatty liver disease occurred after pancreatoduodenectomy
in 45 patients (38%), and in 11 of 41 patients (27%) who received prophylactic
pancreatic enzyme supplementation therapy and in 34 of 79 patients (43%) who did
not (P = .082). Six patients received therapeutic supplementation after
diagnosis. The non-alcoholic fatty liver disease recovery rates in patients who
did and did not receive pancreatic enzyme supplementation therapy were 100% and
58%, respectively (P = .069). Multivariable analysis identified a high body mass
index, small pancreatic volume, long operative time, and a high aspartate
aminotransferase/alanine aminotransferase ratio 1 month after
pancreatoduodenectomy as independent risk factors. A small diameter main
pancreatic duct, a low serum amylase level at postoperative day 28, and a high
minimum liver computed tomographic value predicted recovery from non-alcoholic
fatty liver disease. CONCLUSION: The non-alcoholic fatty liver disease occurrence
rate in patients undergoing pancreatoduodenectomy is high, but in about half of
these patients, non-alcoholic fatty liver disease will resolve without any enzyme
supplementation. Prophylactic supplementation in the postoperative management of
pancreatoduodenectomy patients should be based on risk factors, and therapeutic
supplementation should be based on recovery factors.
PMID- 27211601
TI - SUMO5, a Novel Poly-SUMO Isoform, Regulates PML Nuclear Bodies.
AB - Promyelocytic leukemia nuclear bodies (PML-NBs) are PML-based nuclear structures
that regulate various cellular processes. SUMOylation, the process of covalently
conjugating small ubiquitin-like modifiers (SUMOs), is required for both the
formation and the disruption of PML-NBs. However, detailed mechanisms of how
SUMOylation regulates these processes remain unknown. Here we report that SUMO5,
a novel SUMO variant, mediates the growth and disruption of PML-NBs. PolySUMO5
conjugation of PML at lysine 160 facilitates recruitment of PML-NB components,
which enlarges PML-NBs. SUMO5 also increases polySUMO2/3 conjugation of PML,
resulting in RNF4-mediated disruption of PML-NBs. The acute promyelocytic
leukemia oncoprotein PML-RARalpha blocks SUMO5 conjugation of PML, causing
cytoplasmic displacement of PML and disruption of PML-NBs. Our work not only
identifies a new member of the SUMO family but also reveals the mechanistic basis
of the PML-NB life cycle in human cells.
PMID- 27211603
TI - Assessment of placental transfer and the effect on embryo-fetal development of a
humanized monoclonal antibody targeting lymphotoxin-alpha in non-human primates.
AB - An enhanced embryo-fetal development study was conducted in cynomolgus monkeys
using pateclizumab, a humanized IgG1 monoclonal antibody (mAb) targeting
lymphotoxin-alpha. Pateclizumab administration between gestation days (GD) 20 and
132 did not induce maternal or developmental toxicities. The ratio of fetal-to
maternal serum concentration of pateclizumab was 0.73% on GD 50 and 61% by GD
139. Decreased fetal inguinal lymph node-to-body weight ratio was present in the
high-dose group without microscopic abnormalities, a change attributable to
inhibition of lymphocyte recruitment, which is a pharmacologic effect of
pateclizumab during late lymph node development. The effect was observed in
inguinal but not submandibular or mesenteric lymph nodes; this was attributed to
differential susceptibility related to sequential lymph node development.
Placental transfer of therapeutic IgG1 antibodies; thus, begins during the first
trimester in non-human primates. Depending on the potency and dose levels
administered, antibody levels in the fetus may be pharmacologically or
toxicologically relevant.
PMID- 27211604
TI - Comparing expectant management and spontaneous labor approaches in studying the
effect of labor induction on cesarean delivery.
AB - PURPOSE: Evidence of the impact of labor induction on cesarean delivery (CD)
remains inconclusive because of differing methodological approaches. A
spontaneous labor comparison group describes patterns retrospectively, whereas an
expectant management comparison group prospectively evaluates a decision to
induce. We examined the influence of comparison group on the association between
labor induction and CD. METHODS: We studied 166,559 mother-newborn dyads from 14
National Perinatal Information Center member hospitals, 2007-2012. We included
singleton births 34-42 completed weeks' gestation and excluded women with
contraindications to vaginal delivery. We calculated risk ratios (RR) adjusted
for hypertensive and diabetic disorders, intrauterine growth restriction, parity,
and maternal age. RESULTS: When comparing induction to spontaneous labor,
induction had significantly lower risk for CD at weeks 34-35 (adjusted RR [95%
confidence interval (CI)]: 0.6 [0.5, 0.7] for week 34 and 0.7 [0.6, 0.8] for week
35) and higher risk at weeks 37-41 (adjusted RRs [95% CIs]: 1.8 [1.6, 2.1], 2.1
[1.9, 2.2], 1.8 [1.7, 1.9], 1.9 [1.8, 2.0], and 1.6 [1.5, 1.7], respectively).
When comparing induction to expectant management, adjusted RRs [95% CIs] were
significantly below 1.0 for week 34 (0.8 [0.7, 0.9]), week 36 (0.9 [0.8, 0.9]),
and week 37 (0.9 [0.8, 0.9]), and were only elevated at week 40 (1.4 [1.3, 1.4])
and week 41 (1.4 [1.3, 1.5]). CONCLUSIONS: Using two different methodological
approaches with the same sample, we confirm that comparing labor induction to
spontaneous onset of labor, instead of expectant management of pregnancy, does
not fully inform clinical practice and may lead to an exaggerated estimate of the
risk of CD.
PMID- 27211605
TI - Deubiquitinases and cancer: A snapshot.
AB - Ubiquitination is the vital system for controlling protein degradation and
regulation of basic cellular processes. Deubiquitinases (DUBs) are emerging as an
important regulator of several pathways related to cancer and other diseases.
Their ability to detach ubiquitin from the target substrate and regulation of
signaling makes it potential target to treat cancer and other fatal diseases. In
the current review, we are trying to summarize deubiquitination, and their role
in cancer and potential small molecules DUBs inhibitors which can be used as
drugs for cancer treatment.
PMID- 27211606
TI - Anemia increases the mortality risk in patients with stroke: A meta-analysis of
cohort studies.
AB - The impact of anemia on the outcome of patients with stroke remains inconsistent.
We performed a meta-analysis of cohort studies to assess the mortality risk in
stroke patients with and without anemia. Systematic searches were conducted in
the PubMed, China National Knowledge Infrastructure, Web of Science and Wanfang
databases to identify relevant studies from inception to November 2015. The
estimated odds ratio with a 95% confidence interval was pooled. subgroup analyses
and sensitivity analyses were also conducted. We used Begg's funnel plot and
Egger's test to detect the potential publication bias. Thirteen cohort studies
with a total of 19239 patients with stroke were included in this meta-analysis.
The heterogeneity among studies was slight (I(2) = 59.0%, P = 0.031). The results
from a random-effect model suggest that anemia is associated with an increased
mortality risk in patients with stroke (adjusted odds ratio = 1.39, 95%
confidence interval: 1.22-1.58, P < 0.001). The subgroup analyses are consistent
with the total results. This meta-analysis of 13 cohort studies finds that anemia
increases the mortality risk in patients with stroke. Future studies should
perform longer follow-up to confirm this finding and explore its possible
mechanism.
PMID- 27211607
TI - Understanding causes of fall and struck-by incidents: What differentiates
construction safety in the Arabian Gulf region?
AB - Rapid growth in the Arabian Gulf region has fueled an explosive pace of
construction and a rise in risks of occupational injury. Scarcity of pertinent
data, however, makes it hard to determine whether accident characteristics,
causal factors and remedial interventions identified elsewhere apply to the Gulf
in comparable ways. This difficulty stems from unusual construction sector
characteristics, notably a heterogeneous mix of expatriate laborers and firms
working without a common language, work culture or labor practices. Does this
change the mix of accident types or the ranking of main causes and priority
remedies? To answer this question, a sample of 519 incident records was analyzed
to determine whether accident types and frequencies are comparable to elsewhere.
Site safety experts were then interviewed to determine whether rankings of
factors and interventions should be similar. Findings are that types are indeed
comparable, but the rankings of factors and interventions may not be. Main
factors have to do with worker skills and training, experience, use of safety
gear and risk perception. The overarching safety issue, however, is that firms
and governments do not have strong incentive to address these factors.
PMID- 27211608
TI - Development and validation of an HILIC-MS/MS method by one-step precipitation for
chloroquine in miniature pig plasma.
AB - BACKGROUND: Quantification of polar compounds such as chloroquine by revered
phase LC is a challenge because of poor retention and silanol interactions with
stationary phase. Strong ion-pairing reagents added to mobile phases to improve
reversed-phase retention and improve peak shape can be harmful for MS. RESULTS:
This new approach provides a rapid and sensitive method for the detection of
chloroquine using hydrophilic interaction LC coupled to MS/MS (HILIC-MS/MS).
Ammonium formate and formic acid were added to mobile phase to attain good peak
shapes and the salified chloroquine as well retained in an HILIC column.
Linearity, intra- and inter-day precision, accuracy, recovery, matrix effect and
stability were evaluated during the validation process. CONCLUSION: The validated
method has been successfully used in a PK study in miniature pigs, and paves way
for future development.
PMID- 27211609
TI - Application of Guideline Recommended Treatment in Routine Clinical Practice: A
Population-based Study of Stage I-IIIB Non-small Cell Lung Cancer.
AB - AIMS: The application of guideline recommended treatment (GRT) in routine
clinical practice can be difficult due to differences between the clinic
population and the clinical trial populations on which evidence is based. The
study aims were to measure receipt of GRT in stage I-IIIB non-small cell lung
cancer (NSCLC) patients, identify factors associated with GRT and its impact on
survival. MATERIALS AND METHODS: New diagnoses of stage I-IIIB NSCLC from 1
January 2006 to 31 December 2011 in South West Sydney residents were identified
from the district Clinical Cancer Registry. Treatment received was assigned as
GRT or not based on Australian guidelines (using Eastern Cooperative Oncology
Group [ECOG] performance status and TNM stage). Multivariate Poisson regression
models with robust variance identified predictors of GRT receipt. Cox regression
models identified multivariate predictors of patient survival. RESULTS: In total,
592 eligible cases were identified, of whom 66% (n = 389) received GRT. This
ranged from 81% of stage I to 39% of stage IIIB (relative risk 0.48, 0.38-0.60, P
< 0.0001). Stage I-IIIA patients who were ECOG 2 and stage III patients aged 70
years and older were less likely to receive GRT. The median survival was 30
months in the GRT group and 16 months in the non-GRT group (P < 0.001). GRT
receipt was associated with improved survival in stage I-II disease only (hazard
ratio 0.41, P < 0.001; and hazard ratio 0.43, P = 0.006). CONCLUSION: One-third
of NSCLC patients did not receive GRT. Stage and performance status were key
predictors for GRT receipt. Patients with early stage NSCLC were associated with
improved survival with the receipt of GRT.
PMID- 27211610
TI - Reverse phenotyping comes of age.
PMID- 27211611
TI - Neuronal ceroid lipofuscinosis associated with an MFSD8 mutation in Chihuahuas.
AB - The neuronal ceroid lipofuscinoses (NCLs) are hereditary neurodegenerative
disorders characterized by progressive declines in neurological functions,
seizures, and premature death. NCLs result from mutations in at least 13
different genes. Canine versions of the NCLs can serve as important models in
developing effective therapeutic interventions for these diseases. NCLs have been
described in a number of dog breeds, including Chihuahuas. Studies were
undertaken to further characterize the pathology of Chihuahua NCL and to verify
its molecular genetic basis. Four unrelated client owned Chihuahuas from Japan,
Italy and England that exhibited progressive neurological signs consistent with a
diagnosis of NCL underwent neurological examinations. Brain and in some cases
also retinal and heart tissues were examined postmortem for the presence of
lysosomal storage bodies characteristic of NCL. The affected dogs exhibited
massive accumulation of autofluorescent lysosomal storage bodies in the brain,
retina and heart accompanied by brain atrophy and retinal degeneration. The dogs
were screened for known canine NCL mutations previously reported in a variety of
dog breeds. All 4 dogs were homozygous for the MFSD8 single base pair deletion
(MFSD8:c.843delT) previously associated with NCL in a Chinese Crested dog and in
2 affected littermate Chihuahuas from Scotland. The dogs were all homozygous for
the normal alleles at the other genetic loci known to cause different forms of
canine NCL. The MFSD8:c.843delT mutation was not present in 57 Chihuahuas that
were either clinically normal or suffered from unrelated diseases or in 1761
unaffected dogs representing 186 other breeds. Based on these data it is almost
certain that the MFSD8:c.843delT mutation is the cause of NCL in Chihuahuas.
Because the disorder occurred in widely separated geographic locations or in
unrelated dogs from the same country, it is likely that the mutant allele is
widespread among Chihuahuas. Genetic testing for this mutation in other
Chihuahuas is therefore likely to identify intact dogs with the mutant allele
that could be used to establish a research colony that could be used to test
potential therapeutic interventions for the corresponding human disease.
PMID- 27211612
TI - A phase 1/2 study of intrathecal heparan-N-sulfatase in patients with
mucopolysaccharidosis IIIA.
AB - OBJECTIVE: This was an open-label, phase 1/2 dose-escalation, safety trial of
intrathecal recombinant human heparan-N-sulfatase (rhHNS) administered via
intrathecal drug delivery device (IDDD) for treating mucopolysaccharidosis IIIA
(NCT01155778). STUDY DESIGN: Twelve patients received 10, 45, or 90mg of rhHNS
via IDDD once monthly for a total of 6 doses. Primary endpoints included adverse
events (AEs) and anti-rhHNS antibodies. Secondary endpoints included standardized
neurocognitive assessments, cortical gray matter volume, and
pharmacokinetic/pharmacodynamic analyses. RESULTS: All patients experienced
treatment-emergent AEs; most of mild-to-moderate severity. Seven patients
reported a total of 10 serious AEs (SAEs), all but one due to hospitalization to
revise a nonfunctioning IDDD. No SAEs were considered related to rhHNS. Anti
rhHNS antibodies were detected in the serum of 6 patients and in the
cerebrospinal fluid (CSF) of 2 of these. CSF heparan sulfate levels were elevated
at baseline and there were sustained declines in all tested patients following
the first rhHNS dose. No impact of anti-rhHNS antibodies on any pharmacodynamic
or safety parameters was evident. 4 of 12 patients showed a decline in
developmental quotient, 6 were stable, and 2 patients had only a single data
point. No dose group showed a clearly different response pattern. CONCLUSIONS:
rhHNS administration via IDDD appeared generally safe and well tolerated.
Treatment resulted in consistent declines in CSF heparan sulfate, suggesting in
vivo activity in the relevant anatomical compartment. Results of this small study
should be interpreted with caution. Future studies are required to assess the
potential clinical benefits of rhHNS and to test improved IDDD models.
PMID- 27211613
TI - Simultaneous separation/enrichment and detection of trace ciprofloxacin and
lomefloxacin in food samples using thermosensitive smart polymers aqueous two
phase flotation system combined with HPLC.
AB - Smart polymer aqueous two phase flotation system (SPATPF) is a new separation and
enrichment technology that integrated the advantages of the three technologies,
i.e., aqueous two phase system, smart polymer and flotation sublation. Ethylene
oxide and propylene oxide copolymer (EOPO)-(NH4)2SO4 SPATPF is a pretreatment
technique, and it is coupled with high-performance liquid chromatography to
analyze the trace ciprofloxacin and lomefloxacin in real food samples. The
optimized conditions of experiment were determined in the multi-factor experiment
by using response surface methodology. The flotation efficiency of lomefloxacin
and ciprofloxacin was 94.50% and 98.23% under the optimized conditions. The
recycling experimentsshowed that the smart polymer EOPO could use repeatedly,
which will reduce the cost in the future application.
PMID- 27211614
TI - LC-MS analysis of phenolic compounds and antioxidant activity of buckwheat at
different stages of malting.
AB - The impact of malting on the profile of the phenolic compounds and the
antioxidant properties of two buckwheat varieties was investigated. The highest
relative increases in phenolic compounds were observed for isoorientin, orientin,
and isovitexin, which are consequently major inducible phenolic compounds during
malting. Only a minor relative increase was observed for the most abundant
phenolic compound, rutin. The radical-scavenging activity of buckwheat seeds was
evaluated using ABTS and DPPH assays. A considerable increase in total phenolic
compounds and higher antioxidant activity were observed after 64h of germination,
whereas kilning resulted in decreased total phenolic compounds and antioxidant
activity. Higher antioxidant activities for extracts were found for buffered
solvents than for pure methanol and water. Changes in the composition of the
phenolic compounds and increased antioxidant content were confirmed by several
methods, indicating that buckwheat malt can be used as a food rich in
antioxidants.
PMID- 27211615
TI - Effect of sunlight-exposure on antioxidants and antioxidant enzyme activities in
'd'Anjou' pear in relation to superficial scald development.
AB - Influence of preharvest sunlight exposure on superficial scald development in
'd'Anjou' pears during cold storage was investigated. The biochemical changes
related to scald including alpha-farnesene, conjugated trienols (CTols),
antioxidants, antioxidant enzyme activities were monitored among separated
blushed and shaded peels of unbagged fruit as well as the whole peel of bagged
fruit. In unbagged fruit, scald symptom was restricted to shaded peel; while
there was no difference in alpha-farnesene between blushed and shaded peels,
CTols increased significantly in shaded peel along with scald development after
3months storage. Bagging treatment increased both alpha-farnesene and CTols
significantly and enhanced scald. Preharvest sunlight exposure significantly
increased certain antioxidant contents and antioxidant enzyme activities in
blushed peel at harvest and during storage. These results reveal a direct role of
CTols during development of scald, however, antioxidant systems may play an
important role in alpha-farnesene oxidation to CTols and scald susceptibility in
'd'Anjou pears.
PMID- 27211616
TI - Optimized combination of dilution and refined QuEChERS to overcome matrix effects
of six types of tea for determination eight neonicotinoid insecticides by ultra
performance liquid chromatography-electrospray tandem mass spectrometry.
AB - Liquid chromatography-electrospray ionization tandem mass spectrometry (LC-ESI
MS/MS) is a primary tool for analysis of low volatility compounds in complex
matrices. However, complex matrices, such as different types of tea, complicate
analysis through ionization suppression or enhancement. In this study, sample
preparation by a refined QuEChERS method combined with a dilution strategy
removed almost all matrix effects caused by six types of tea. Tea samples were
soaked with water and extracted with acetonitrile, cleaned up with a combination
of PVPP (160mg) and GCB (20mg), and dried. Dried extracts were diluted with 20mL
acetonitrile/water (15:85, v/v) before analysis by UPLC-MS/MS. The average
recoveries of eight neonicotinoid insecticides (dinotefuran, nitenpyram,
thiamethoxam, imidacloprid, clothianidin, imidaclothiz, acetamiprid, and
thiacloprid) ranged from 66.3 to 108.0% from tea samples spiked at 0.01-0.5mgkg(
1). Relative standard deviations were below 16% for all recovery tests. The limit
of quantification ranged from 0.01 to 0.05mgkg(-1).
PMID- 27211617
TI - A feasibility study of non-targeted adulterant screening based on NIRM spectral
library of soybean meal to guarantee quality: The example of non-protein
nitrogen.
AB - The quality and safety of soybean meal is a key matter for the livestock breeding
and food industries, since it is one of the most important and widely used
protein feed raw materials. As driven by commercial interests, new illegal
adulterants which are unknown to consumers and regulators emerge constantly. In
order to make up for the inadequacy of traditional detection methods, a novel non
targeted adulterant screening method based on a near-infrared microscopy spectral
library of soybean meal is proposed. This study focused on the feasibility of non
targeted screening methods for the detection of adulteration in soybean meal. Six
types of non-protein nitrogen were taken as examples and partial least squares
discriminant analysis was employed to verify the feasibility of this novel
method. The results showed that the non-targeted screening method could screen
out adulterations in soybean meal with satisfactory results.
PMID- 27211618
TI - Formation of the reduced form of furaneol(r) (2,5-dimethyl-4-hydroxy
tetrahydrofuran-3-one) during the Maillard reaction through catalysis of amino
acid metal salts.
AB - Under pyrolytic conditions the acidity/basicity of Maillard reaction mixtures can
be controlled through the use of hydrochloride or sodium salts of amino acids to
generate a diversity of products. When the degradation of glucose was studied
under pyrolytic conditions using excess sodium glycinate the reaction was found
to generate a major unknown peak having a molecular ion at m/z 130. Subsequent in
depth isotope labelling studies indicated that acetol was an important precursor
of this compound under pyrolytic and aqueous heating conditions. The dimerisation
and cyclisation of acetol into 2,5-dimethyl-4-hydroxy-tetrahydrofuran-3-one was
found to be catalysed by amino acid metal salts. Also, ESI/qTOF/MS studies
indicated that the unknown peak has expected molecular formula of C6H10O3.
Finally, a peak having the same retention time and mass spectrum was also
generated pyrolytically when furaneol(r) was reduced with NaBH4 confirming the
initial hypothesis regarding the unknown peak to be the reduced form of
furaneol(r).
PMID- 27211619
TI - Immobilized lysozyme for the continuous lysis of lactic bacteria in wine: Bench
scale fluidized-bed reactor study.
AB - Lysozyme from hen egg white (HEWL) was covalently immobilized on spherical
supports based on microbial chitosan in order to develop a system for the
continuous, efficient and food-grade enzymatic lysis of lactic bacteria
(Oenococcus oeni) in white and red wine. The objective is to limit the sulfur
dioxide dosage required to control malolactic fermentation, via a cell
concentration typical during this process. The immobilization procedure was
optimized in batch mode, evaluating the enzyme loading, the specific activity,
and the kinetic parameters in model wine. Subsequently, a bench-scale fluidized
bed reactor was developed, applying the optimized process conditions. HEWL
appeared more effective in the immobilized form than in the free one, when the
reactor was applied in real white and red wine. This preliminary study suggests
that covalent immobilization renders the enzyme less sensitive to the inhibitory
effect of wine flavans.
PMID- 27211620
TI - Vitamins A and E content of commercial infant foods in the UK: A cause for
concern?
AB - According to the European Food Safety Authority, currently, there are no reliable
data or robust guidelines available in relation to the micronutrient composition
of infant foods. This study evaluated the intake of vitamins A and E of infants
from 'ready-to-feed' foods and formulas. Normal phase high performance liquid
chromatography was employed for simultaneous quantification of retinyl acetate,
retinyl palmitate, alpha-tocopherol and gamma-tocopherol, reverse phase high
performance liquid chromatography for the quantification of beta-carotene, and UV
spectrophotometry for the quantification of carotenoids from selected infant food
samples. Based on the results of this study, the estimated total daily intake of
vitamin A (retinol equivalents) and vitamin E (alpha-tocopherol equivalents) from
both infant food and formula milk exceed recommendations set by the UK Department
of Health. This requires further analysis of risk of exposure, whilst a cause for
concern over deficiency might arise when the intake of milk is compromised.
PMID- 27211621
TI - Development and validation of a dissolution test for lutein tablets and
evaluation of intestinal permeability.
AB - Lutein is a carotenoid with antioxidant activity that is present in various
dosage forms. The bioavailability of carotenoid from oral dosage formulations
depends on their release, dissolution and its permeability through the
gastrointestinal tract. Here, a dissolution test was developed for evaluating
formulations and the bioavailability was assessed. The test utilized a USP
apparatus II with rotations of 50, 75 and 100rpm in water with P80 at 1, 2 and 5%
(w/v). A non-everted rat intestinal sac model was used in conjunction to assess
the intestinal permeability. The most discriminative conditions were 100rpm in
water with 2% polysorbate 80, which showed profile differences between two
formulations. The intestinal permeation studies showed a lag-time and apparent
permeability coefficient that were characteristic of highly permeable drugs. We
suggest that a dissolution test can be an essential quality control tool for
formulations containing compounds as lutein, although not mandatory by the
regulation agencies.
PMID- 27211622
TI - Pin p 1 is a major allergen in pine nut and the first food allergen described in
the plant group of gymnosperms.
AB - This study aimed to report the complete sequence of a 2S albumin purified from
pine nut and to analyze its allergenic properties. Individual recognition of this
protein by serum IgE from pine nut-allergic patients was assessed. IgE cross
linking capacity was analyzed in a basophil activation test. Inhibition of IgE
binding and stability to heating was also assessed. The complete nucleotide
sequence was obtained and a phylogenetic study was carried out. 2S albumin from
pine nut (registered as Pin p 1.0101) was recognized by IgE of 75% of sera. The
allergen was heat-stable and had a robust capacity to inhibit IgE-binding to
whole pine nut extract. The IgE cross-linking capacity of Pin p 1 on basophils
was also demonstrated. Despite the low homology of Pin p 1 sequence with other
allergenic 2S albumins from angiosperms, Pin p 1 contains the typical skeleton of
8 cysteine residues, important for its alpha-helixes enriched structure.
PMID- 27211623
TI - Development of dummy molecularly imprinted based on functionalized silica
nanoparticles for determination of acrylamide in processed food by matrix solid
phase dispersion.
AB - A novel technique was applied for the synthesis of dummy molecularly imprinted
silica nanoparticles (DMISNPs). DMISNPs were characterized by Fourier
transmission infrared spectrometry, scanning electron microscopy and transmission
electron microscope. The material was used as dispersant for the analysis of
biscuit and bread samples using matrix solid phase dispersion (MSPD). Of
advantages of such approach may be counted as the simplicity of synthesis
procedure, low consumption of organic solvent, mild working temperature during
the synthesis, high binding capacity and affinity. The effect of various
parameters such as sample-to-dispersant ratio and eluents volume on extraction
recovery was investigated and optimized by central composite design under
response surface methodology. It was proven that the proposed dispersant leads to
high affinity toward acrylamide even in complicated matrices. Quantification of
the acrylamide was carried out by high performance liquid chromatography with UV
detection (HPLC-UV).
PMID- 27211624
TI - Chemical composition, antioxidant and anti-inflammatory properties of pistachio
hull extracts.
AB - Phytochemical and bioactivity analyses of pistachio hulls revealed the presence
of anacardic acids (3198mg/100g), fatty acids (1500mg/100g), and phytosterols
(192mg/100g) as major components. Carotenoids (4.93mg/100g), chlorophylls
(10.27mg/100g), tocopherols (8.83mg/100g), and three triterpene acids
(mangiferolic, isomangiferolic and mangiferonic acids) were characterized. A
polar (P) extract contained quercetin-3-O-glucoside (6.27mg/g), together with
smaller concentrations of quercetin, myricetin and luteolin flavonoids,
accounting for 5.53mg/g. Gallotannins and other phenolic compounds esterified
with a gallic acid moiety characterized the P extract. P extract potently
inhibited the release of nitric oxide (NO) and reactive oxygen species (ROS) in
lipopolysaccharide-stimulated RAW 264.7 macrophage cells. The mRNA expression
levels of the anti-inflammatory cytokine COX-2 were significantly inhibited by
fractions P2-P5, while IL-6 was only inhibited by fraction P3. Moreover, the P
extract significantly decreased the non-mitochondrial oxidative burst associated
with inflammatory response in macrophages.
PMID- 27211625
TI - Total arsenic in selected food samples from Argentina: Estimation of their
contribution to inorganic arsenic dietary intake.
AB - An optimized flow injection hydride generation atomic absorption spectroscopy (FI
HGAAS) method was used to determine total arsenic in selected food samples (beef,
chicken, fish, milk, cheese, egg, rice, rice-based products, wheat flour, corn
flour, oats, breakfast cereals, legumes and potatoes) and to estimate their
contributions to inorganic arsenic dietary intake. The limit of detection (LOD)
and limit of quantification (LOQ) values obtained were 6MUgkg(-)(1) and 18MUgkg(
)(1), respectively. The mean recovery range obtained for all food at a
fortification level of 200MUgkg(-)(1) was 85-110%. Accuracy was evaluated using
dogfish liver certified reference material (DOLT-3 NRC) for trace metals. The
highest total arsenic concentrations (in MUgkg(-)(1)) were found in fish (152
439), rice (87-316) and rice-based products (52-201). The contribution to
inorganic arsenic (i-As) intake was calculated from the mean i-As content of each
food (calculated by applying conversion factors to total arsenic data) and the
mean consumption per day. The primary contributors to inorganic arsenic intake
were wheat flour, including its proportion in wheat flour-based products (breads,
pasta and cookies), followed by rice; both foods account for close to 53% and 17%
of the intake, respectively. The i-As dietary intake, estimated as 10.7MUgday(
)(1), was significantly lower than that from drinking water in vast regions of
Argentina.
PMID- 27211626
TI - Quantitative detection of pork in commercial meat products by TaqMan(r) real-time
PCR assay targeting the mitochondrial D-loop region.
AB - The TaqMan(r) real-time PCR assay using the mitochondrial D-loop region was
developed for the quantitative detection of pork in processed meat products. The
newly designed primers and probe specifically amplified pork without any cross
reactivity with non-target animal species. The limit of detection of the real
time PCR assay was 0.1pg of heat-treated pork meat and 0.1% (w/w) pork meat in
beef and chicken meat mixtures. The quantitative real-time PCR assay was applied
to analyze the pork meat content in 22 commercial processed meat products
including jerkies, press hams, sausages, hamburger patties and steaks, grilled
short rib patties, and nuggets. The developed real-time PCR method was able to
detect pork meat in various types of processed meat products that declared the
use of pork meat on their label. All processed meat products that declared no use
of pork meat showed a negative result in the assay. The method developed in this
study showed sensitivity and specificity in the quantification of pork meat in
commercial processed meat products.
PMID- 27211627
TI - On the effects of higher alcohols on red wine aroma.
AB - This work aims to assess the aromatic sensory contribution of the four most
relevant wine higher alcohols (isobutanol, isoamyl alcohol, methionol and beta
phenylethanol) on red wine aroma. The four alcohols were added at two levels of
concentration, within the natural range of occurrence, to eight different wine
models (WM), close reconstitutions of red wines differing in levels of fruity
(F), woody (W), animal (A) or humidity (H) notes. Samples were submitted to
discriminant and descriptive sensory analysis. Results showed that the
contribution of methionol and beta-phenylethanol to wine aroma was negligible and
confirmed the sensory importance of the pair isobutanol-isoamyl alcohol. Sensory
effects were only evident in WM containing intense aromas, demonstrating a strong
dependence on the aromatic context. Higher alcohols significantly suppress
strawberry/lactic/red fruity, coconut/wood/vanilla and humidity/TCA notes, but
not the leather/animal/ink note. The spirit/alcoholic/solvent character generated
by higher alcohols has been shown to be wine dependent.
PMID- 27211628
TI - Solid phase extraction of lead, cadmium and zinc on biodegradable
polyhydroxybutyrate diethanol amine (PHB-DEA) polymer and their determination in
water and food samples.
AB - A new biodegradable polyhydroxybutyrate diethanol amine (PHB-DEA) polymer was
used as adsorbent for the sensitive and selective separation, preconcentration
and determination of Pb(II), Cd(II) and Zn(II) by using atomic absorption
spectrometry. Diethyl dithiocarbamate was used as chelating reagent. Analytical
parameters such as pH, eluent type and its volume, flow rates of sample solution,
ligand amount, sample volume were optimized. Effects of some cations, anions and
transition metal ions were also investigated. Enrichment factor and relative
standard deviation were found to be 100 and 3%, respectively. The limits of
detection based on three times standard deviation of blanks (N=21) were found
1.05MUgL(-1) for Pb(II), 0.42MUgL(-1) for Cd(II) and 0.13MUgL(-1) for Zn(II).
Limits of quantification (10s, N=21) were found 3.47MUgL(-1) for Pb(II),
1.39MUgL(-1) for Cd(II) and 0.43MUgL(-1) for Zn(II). Accuracy evaluation of the
method was confirmed with analyses of certified reference materials (NIST SRM
1515 Apple leaves, IAEA 336 Lichen, GBW 07605 Tea). Optimized method was applied
to tap water and food samples after microwave digestion method. Cadmium and lead
values in some samples were found higher than legal limits.
PMID- 27211629
TI - Strontium isotope characterization of wines from Quebec, Canada.
AB - The (87)Sr/(86)Sr isotope ratios were measured on grape, wine and soil samples
collected in 13 commercial vineyards located in three major wine producing areas
of Quebec (Canada). The soils yield Sr isotope ratios that are intimately related
to the local geology and unambiguously discriminate the different producing
areas. A strong relationship exists between the (87)Sr/(86)Sr isotope ratios of
the wine and the grapes. This suggests that the vinification process does not
alter the overall Sr budget. Although the Sr isotope ratios of the grapes do not
show a strong correlation with the bulk Sr isotope composition of the soil, they
do correlate strongly with the Sr isotope composition contained in the labile
fraction of the soil. This indicates that the labile fraction of the soil
represents the Sr reservoir available to the plant during its growth. This study
demonstrates that the Sr isotope approach can be used as a viable tool in
forensic science for investigating the provenance of commercial wines.
PMID- 27211630
TI - Effects of UV-C treatment and cold storage on ergosterol and vitamin D2 contents
in different parts of white and brown mushroom (Agaricus bisporus).
AB - Effects of ultraviolet-C (UV-C) treatment (0.5, 1.0 and 2.0kJ/m(2)) and cold
storage on ergosterol and vitamin D2 content in different parts of white and
brown button mushrooms (Agaricus bisporus) were investigated. UV-C treatment did
not significantly affect ergosterol content in the caps and stems of the two
mushrooms, but ergosterol content increased significantly during 14days cold
storage. Vitamin D2 content in the caps and stems of two mushrooms significantly
increased as UV-C dose increased, and 2.0kJ/m(2) UV-C showed the best result.
During cold storage, vitamin D2 content in the caps of the two mushrooms
decreased from day 1 to day 7, and then kept stable until day 14, but vitamin D2
content in the stems of brown mushrooms kept increasing for the whole 14days
period. UV-C could increase vitamin D2 contents in both caps and stems of white
and brown mushrooms without significantly affecting ergosterol content.
PMID- 27211631
TI - The presence of aflatoxins and ochratoxin A in rice and rice products; and
evaluation of dietary intake.
AB - In present study aflatoxins (AFs) and ochratoxin A (OTA) were analysed in 208
samples of rice and products collected from central areas of Punjab, Pakistan.
The analysis was carried out using HPLC equipped with fluorescence detector. The
results have shown that 35% of the samples were found contaminated with AFs, out
of which 19% and 24% samples were found to be above the European Union (EU)
maximum content for AFB1 and total AFs, respectively. About 19% samples were
found contaminated with OTA and 14% samples were found to be above the EU maximum
content. The highest mean level of AFB1 and total AFs were found in brown rice
samples i.e. 8.91 and 12.4MUg/kg, respectively. However, white rice samples have
shown the highest mean level of OTA (8.50MUg/kg) with highest level of
24.9MUg/kg. The high mean dietary exposure 22.2 and 24.2ngkg(-1)bwday(-1) to AFB1
and OTA, respectively poses significant health hazard for local population.
PMID- 27211632
TI - Identification and quantification of the phosphorylated ovalbumin by high
resolution mass spectrometry under dry-heating treatment.
AB - The specific phosphorylation sites and degree of phosphorylation (DP) at each
site are directly related to protein's structure and functional properties. Thus,
characterizing the introduced phosphate groups is of great importance. This study
was to monitor the phosphorylation sites, DP and the number of phosphorylation
sites in P-Oval achieved by dry heating in the presence of pyrophosphate for 1, 2
and 5days by using Fourier transform ion cyclotron mass spectrometry (FTICR MS).
Two phosphorylation sites were found in natural ovalbumin, but the number of
phosphorylation sites increased to 8, 8 and 10 after dry-heating phosphorylation
for 1, 2 and 5days, respectively. In addition, dual-phosphorylated peptides were
detected for samples without extensive heating. The phosphorylation sites were
found to be mainly on Ser residues, which could be the preferred phosphorylation
site for dry heating in the presence of pyrophosphate.
PMID- 27211633
TI - Rebalance between 7S and 11S globulins in soybean seeds of differing protein
content and 11SA4.
AB - Protein content and globulin subunit composition of soybean seeds affect the
quality of soy foods. In this proteomic study, the protein profile of soybean
seeds with high (~45.5%) or low (~38.6%) protein content and with or without the
glycinin (11S) subunit 11SA4 was examined. 44 unique proteins and their
homologues were identified and showed that both protein content and 11SA4
influenced the abundance of a number of proteins. The absence of 11SA4 exerted a
greater impact than the protein content, and led to a decreased abundance of
glycinin G2/A2B1 and G5/A5A4B3 subunits, which resulted in lower total 11S with a
concomitant higher total beta-conglycinin (7S). Low protein content was
associated with higher glycinin G3/A1aB1b and lower glycinin G4/A5A4B3. Using the
proteomic approach, it was demonstrated that 11SA4 deficiency induced
compensatory accumulation of 7S globulins and led to a similar total abundance
for 7S+11S irrespective of protein content or 11SA4.
PMID- 27211635
TI - The use of asparaginase to reduce acrylamide levels in cooked food.
AB - Strategies proposed for reducing the formation of the suspected carcinogen
acrylamide in cooked foods often rely on a reduction in the extent of the
Maillard reaction, in which acrylamide is formed from the reaction between
asparagine and reducing sugars. However, the Maillard reaction also provides
desirable sensory attributes of cooked foods. Mitigation procedures that modify
the Maillard reaction may negatively affect flavour and colour. The use of
asparaginase to convert asparagine to aspartic acid may provide a means to reduce
acrylamide formation, while maintaining sensory quality. This review collates
research on the use of enzymes, asparaginase in particular, to mitigate
acrylamide formation. Asparaginase is a powerful tool for the food industry and
it is likely that its use will increase. However, the potential adverse effects
of asparaginase treatment on sensory properties of cooked foods and the need to
achieve sufficient enzyme-substrate contact remain areas for future research.
PMID- 27211634
TI - Simultaneous determination of caffeine and paracetamol by square wave voltammetry
at poly(4-amino-3-hydroxynaphthalene sulfonic acid)-modified glassy carbon
electrode.
AB - Poly(4-amino-3-hydroxynaphthalene sulfonic acid)-modified glassy carbon electrode
(poly(AHNSA)/GCE) was prepared for simultaneous determination of caffeine and
paracetamol using square-wave voltammetry. The method was used to study the
effects of pH and scan rate on the voltammetric response of caffeine and
paracetamol. Linear calibration curves in the range of 10-125MUM were obtained
for both caffeine and paracetamol in acetate buffer solution of pH 4.5 with a
correlation coefficient of 0.9989 and 0.9986, respectively. The calculated
detection limits (S/N=3) were 0.79MUM for caffeine and 0.45MUM for paracetamol.
The effects of some interfering substances in the determination of caffeine and
paracetamol were also studied and their interferences were found to be negligible
which proved the selectivity of the modified electrode. The method was
successfully applied for the quantitative determination of caffeine and
paracetamol in Coca-Cola, Pepsi-Cola and tea samples.
PMID- 27211636
TI - Extraction of alpha-humulene-enriched oil from clove using ultrasound-assisted
supercritical carbon dioxide extraction and studies of its fictitious solubility.
AB - Clove buds are used as a spice and food flavoring. In this study, clove oil and
alpha-humulene was extracted from cloves using supercritical carbon dioxide
extraction with and without ultrasound assistance (USC-CO2 and SC-CO2,
respectively) at different temperatures (32-50 degrees C) and pressures (9.0
25.0MPa). The results of these extractions were compared with those of heat
reflux extraction and steam distillation methods conducted in parallel. The
extracts obtained using these four techniques were analyzed using gas
chromatography and gas chromatography/mass spectrometry (GC/MS). The results
demonstrated that the USC-CO2 extraction procedure may extract clove oil and
alpha-humulene from clove buds with better yields and shorter extraction times
than conventional extraction techniques while utilizing less severe operating
parameters. Furthermore, the experimental fictitious solubility data obtained
using the dynamic method were well correlated with density-based models,
including the Chrastil model, the Bartle model and the Kumar and Johnston model.
PMID- 27211637
TI - Culinary practices mimicking a polysaccharide-rich recipe enhance the
bioaccessibility of fat-soluble micronutrients.
AB - This study was carried out to assess the impact of heat processing of a complex
emulsion on the behavior of fat soluble micronutrients (FSM) in a traditional
Tunisian dish. A simplified recipe involved, dried mucilage-rich jute leaves,
tomato paste and olive oil, followed by a cooking treatment (150min).
Hydrothermal pattern and viscosity were monitored along with the changes of FSM
content and the bioaccessibility (called micellarization, using an in vitro
digestion model). Partitioning of carotenoids differed according to their
lipophilicity: lycopene, beta-carotene and lutein diffused to the oil phase
(100%, 70% and 10% respectively). In contrast with the poor carotenes/tocopherol
bioaccessibility (0.9-1%), the highest micellarization was observed for lutein
(57%) and it increased with heating time and viscosity change. Domestic culinary
cooking practices probably increase the bioavailability of carotenes mainly by
their diffusion to the oil phase, facilitating their in vivo transfer into
micelles.
PMID- 27211638
TI - Obtaining a protocol for extraction of phenolics from acai fruit pulp through
Plackett-Burman design and response surface methodology.
AB - This work aimed to obtain a simplified extraction protocol for simultaneous
achievement of total anthocyanin and total phenolic in acai pulp using a 3-step
optimization approach. First, a Plackett-Burman 20 was applied in 16 independent
variables selected in literature. Secondly, seven factors pre-selected in the
first screening were reassessed using a Plackett-Burman 12. Then, four selected
factors; solid/solvent ratio (g:mL), acetone concentration (%), time of
extraction in acidified ethanolic solution (min) and ethanol concentration (%)
were optimized using a central composite design with response surface
methodology. In addition, the optimized protocol were compared with two
standardized extraction procedures assessing acai and grape pulps. The optimized
method is effective for the simultaneous extraction of total phenolics and total
anthocyanins, allowing representative measurements of free radical-scavenging
capacity (DPPH) and trolox equivalent capacity (TEAC) of grape and acai pulps,
with savings of time and reagents, moreover, avoiding the use of methanol.
PMID- 27211639
TI - Significant advancement of mass spectrometry imaging for food chemistry.
AB - Food contains various compounds that have an impact on our daily lives. Many
technologies have been established to analyze these molecules of interest in
foods. However, the analysis of the spatial distribution of these compounds in
foods using conventional technology, such as high-performance liquid
chromatography-mass spectrometry or gas chromatography-mass spectrometry is
difficult. Matrix-assisted laser desorption/ionization mass spectrometry imaging
(MALDI-MSI) is considered an ideal complementary approach. MALDI-MSI is a two
dimensional MALDI-MS technology that can detect compounds in a tissue section
without extraction, purification, separation, or labeling. MALDI-MSI can be used
to visualize the spatial distribution of chemical compounds or biomolecules in
foods. Although the methodology of MALDI-MSI in food science is not yet fully
established, the versatility of MALDI-MSI is expected to open a new frontier in
food science. Herein, we describe the principles and applications of MALDI-MSI in
food science and related fields.
PMID- 27211640
TI - Antioxidant-guided isolation and mass spectrometric identification of the major
polyphenols in barley (Hordeum vulgare) grain.
AB - In the present study, the relative contribution of individual/classes of
polyphenols in barley, to its antioxidant properties, was evaluated. Flash
chromatography was used to fractionate the total polyphenol extract of Irish
barley cultivar 'Irina', and fractions with highest antioxidant properties were
identified using total phenolic content and three in vitro antioxidant assays:
DPPH, FRAP, and ORAC. Flavanols (catechin, procyanidin B, prodelphinidin B,
procyanidin C) and a novel substituted flavanol (catechin dihexoside, C27H33O16(
), m/z 613.17), were identified as constituents of the fraction with highest
antioxidant capacity. Upon identification of phenolics in the other active
fractions, the order of most potent contributors to observed antioxidant capacity
of barley extract were, flavanols>flavonols (quercetin)>hydroxycinnamic acids
(ferulic, caffeic, coumaric acids). The most abundant polyphenol in the overall
extract was ferulic acid (277.7MUg/gdw barley), followed by procyanidin B
(73.7MUg/gdw barley).
PMID- 27211641
TI - Preheated milk proteins improve the stability of grape skin anthocyanins
extracts.
AB - The effects of casein and whey proteins, preheated at 40-100 degrees C and 45-60
degrees C for 15min, respectively, on color loss and anthocyanins degradation in
grape skin anthocyanins extracts (GSAE) at pH 3.2 and 6.3 were evaluated.
Preheating milk proteins effectively improved their protective effects against
color loss and anthocyanins degradation in GSAE solutions during thermal
treatment (at 80 degrees C for 2h), H2O2 oxidation (0.005% H2O2 for 1h) and
illumination (at 5000lx for 5 d). Whey proteins and casein, preheated at 50
degrees C and 60 degrees C for 15min, respectively, demonstrated the optimal
protective effects. However, preheated whey proteins had a better protective
effect on the thermal, oxidation and photo stability of GSAE, decreasing the
thermal, oxidative and photo degradation of anthocyanins in GSAE 71.59%, 32.22%
and 56.92% at pH 3.2 and 54.91%, 22.89% and 46.68% at pH 6.3, respectively.
PMID- 27211642
TI - Determining the geographical origin of Sechium edule fruits by multielement
analysis and advanced chemometric techniques.
AB - This paper describes the determination and evaluation of the major and trace
element composition (Al, As, Ba, Ca, Cd, Co, Cu, Fe, K, Mg, Mn, Na, Pb, Sr and
Zn) of Sechium edule (Jacq) Swartz fruits collected from four different places of
production in Corrientes province, Argentina. Element concentrations were
determined by using inductively coupled plasma optical emission spectrometry (ICP
OES) after microwave digestion. The accuracy was confirmed with standard
reference material of spinach leaves (NIST, 1570a) and spiking tests. Principal
component analysis (PCA), linear discriminant analysis (LDA), k-nearest neighbors
(kNN), partial least square-discriminant analysis (PLS-DA) and support vector
machine (SVM) were applied to the results for discriminating the geographical
origin of S. edule fruits. Finally, the LDA method was found to perform best with
up to 90% accuracy rate based on the following elements: Ca, Ba, Cu, Mn, Na, Sr,
and Zn.
PMID- 27211643
TI - Effects of inulin on the structure and emulsifying properties of protein
components in dough.
AB - High-purity gliadin, glutenin and gluten fractions were extracted from wheat
gluten flour. To investigate the effects of three types of inulin with different
degrees of polymerization (DP) on the emulsifying properties, disulfide contents,
secondary structures and microstructures of these fractions, Turbidimetry,
spectrophotometer, Fourier transform infrared spectroscopy (FT-IR) and scanning
electron microscopy (SEM) were used in this study. The results showed that the
emulsifying activity of gliadin was higher than that of glutenin and gluten, but
its emulsion stability was lower than that of glutenin. Adding inulin increased
the emulsifying activity of the three protein fractions and emulsion stability of
gliadin and gluten, but decreased the emulsion stability of glutenin and
disulfide bond contents of glutenin and gluten. In the presence of inulin, the
alpha-helical structure of the three proteins had no significant change, whereas
the beta-turn structure decreased and beta-sheet structure increased. The SEM
images showed that inulin had the most significant effect on the glutenin
microstructure. In general, inulin with a higher DP had greater effects on the
structure and emulsifying properties of protein components in dough.
PMID- 27211644
TI - Role of continuous phase protein, (-)-epigallocatechin-3-gallate and carrier oil
on beta-carotene degradation in oil-in-water emulsions.
AB - The chemical instability of beta-carotene limits its utilization as a
nutraceutical ingredient in foods. In this research, the effect of continuous
phase alpha-lactalbumin (alpha-LA) and (-)-epigallocatechin-3-gallate (EGCG) on
beta-carotene degradation in medium chain triacylglycerol (MCT)- and corn oil-in
water emulsions was examined. EGCG significantly inhibited beta-carotene
degradation in both MCT and corn oil-in-water emulsions in a dose dependent
manner. alpha-LA was not able to protect beta-carotene in MCT emulsions and the
combination of EGCG and alpha-LA had a similar effect as EGCG alone. EGCG had no
effect on lipid oxidation in corn oil-in-water emulsions but can protect beta
carotene. beta-Carotene was more stable in corn oil emulsions stabilized by alpha
LA compared to emulsions stabilized by Tween 20. These results show that EGCG is
effective at protecting beta-carotene in different emulsion systems without
negatively impacting lipid oxidation suggesting that it could be utilized to
increase the incorporation of beta-carotene into food emulsions.
PMID- 27211645
TI - Technological characteristics and selected bioactive compounds of Opuntia
dillenii cactus fruit juice following the impact of pulsed electric field pre
treatment.
AB - Selected technological characteristics and bioactive compounds of juice pressed
directly from the mash of whole Opuntia dillenii cactus fruits have been
investigated. The impact of pulsed electric fields (PEF) for a non-thermal
disintegration on the important juice characteristics has been evaluated in
comparison to microwave heating and use of pectinases. Results showed that the
cactus juice exhibited desirable technological characteristics. Besides, it also
contained a high amount of phenolic compounds being the major contributors to the
overall antioxidant activity of juice. HPLC-DAD/ESI-MS(n) measurements in the
fruits' peel and pulp showed that isorhamnetin 3-O-rutinoside was determined as
the single flavonol found only in the fruit's peel. Treating fruit mash with a
moderate electric field strength increased juice yield and improved juice
characteristics. Promisingly, the highest release of isorhamnetin 3-O-rutinoside
from fruit's peel into juice was maximally achieved by PEF.
PMID- 27211646
TI - Fortification of yogurts with different antioxidant preservatives: A comparative
study between natural and synthetic additives.
AB - Consumers demand more and more so-called "natural" products and, therefore, the
aim of this work was to compare the effects of natural versus synthetic
antioxidant preservatives in yogurts. Matricaria recutita L. (chamomile) and
Foeniculum vulgare Mill. (fennel) decoctions were tested as natural additives,
while potassium sorbate (E202) was used as a synthetic additive. The
fortification of yogurts with natural and synthetic antioxidants did not cause
significant changes in the yoghurt pH and nutritional value, in comparison with
control samples (yogurt without any additive). However, the fortified yogurts
showed higher antioxidant activity, mainly the yogurts with natural additives
(and among these, the ones with chamomile decoction). Overall, it can be
concluded that plant decoctions can be used to develop novel yogurts, by
replacing synthetic preservatives and improving the antioxidant properties of the
final product, without changing the nutritional profile.
PMID- 27211647
TI - A novel capsule-like structure of micro-sized particles formed by phytosterol
ester and gamma-cyclodextrin in water.
AB - The composite material formed by phytosterol ester (PSE) and gamma-cyclodextrin
(gamma-CD) disperses readily in water and has been used to mask undesirable
flavours. This paper elucidates the structure of the PSE/gamma-CD particle.
Cryogenic scanning electron microscopy and contact angle measurements showed that
the PSE/gamma-CD particles formed a capsule-like structure with a hydrophilic
surface. A phase-solubility study using cholesteryl oleate (ChO), one of the
components of PSE, showed that ChO formed a hydrophilic and stoichiometric
inclusion complex with gamma-CD at a molar ratio of 2:5. The structure of the
PSE/gamma-CD inclusion complex was similar to that of ChO/gamma-CD, based on
differential scanning calorimetry and powder X-ray diffractometry results. Thus,
we propose that the PSE/gamma-CD particle has a capsule-like structure wherein a
hydrophobic PSE droplet is surrounded by an outer layer of the hydrophilic
PSE/gamma-CD inclusion complex.
PMID- 27211648
TI - Bovine and soybean milk bioactive compounds: Effects on inflammatory response of
human intestinal Caco-2 cells.
AB - In this study the effects of commercial bovine and soybean milks and their
bioactive compounds, namely genistein, daidzein and equol, on the inflammatory
responses induced by lipopolysaccharide (LPS) treatment of human intestinal Caco
2 cells were examined, in terms of nitric oxide (NO) release and inducible nitric
oxide synthetase (iNOS) expression. Both milks and their bioactive compounds
significantly inhibited, dose-dependently, the expression of iNOS mRNA and
protein, resulting in a decreased NO production. The NF-kappaB activation in LPS
stimulated intestinal cells was also examined. In all cases we observed that cell
pre-treatment before LPS activation inhibited the IkB phosphorylation.
Accordingly, quantification of bioactive compounds by solid phase microextraction
coupled with liquid chromatography has shown that they were absorbed, metabolized
and released by Caco-2 cells in culture media. In conclusion, we demonstrated
that milks and compounds tested are able to reduce LPS-induced inflammatory
responses from intestinal cells, interfering with NF-kB dependent molecular
mechanisms.
PMID- 27211649
TI - Removing isoflavones from modern soyfood: Why and how?
AB - Estrogenic isoflavones were found, in the 1940s, to disrupt ewe reproduction and
were identified in soy-consumers' urine in 1982. This led to controversy about
their safety, often supported by current Asian diet measurements, but not by
historical data. Traditional Asian recipes of soy were tested while assaying soy
glycosilated isoflavones. As these compounds are water-soluble, their
concentration is reduced by soaking. Pre-cooking or simmering time-dependently
reduces the isoflavone:protein ratio in Tofu. Cooking soy-juice for 15 or 60min
decreases the isoflavone:protein ratios in Tofu from 6.90 to 3.57 and 1.80,
respectively (p<0.001). Traditional Tempeh contains only 18.07% of the original
soybean isoflavones (p<0.001). Soy-juice isoflavones were reduced by ultra
filtration (6.54 vs 1.24 isoflavone:protein; p<0.001). Soy-protein and
isoflavones are dissociated by water rinsing and prolonged cooking, but these
have no equivalent in modern processes. As regards human health, a precise
definition of the safety level of isoflavone intake requires additional studies.
PMID- 27211650
TI - Enhancement of lycopene bioaccessibility from tomato juice using excipient
emulsions: Influence of lipid droplet size.
AB - The use of excipient emulsions to increase the bioaccessibility of lycopene in
tomato juice was studied by simulating gastrointestinal conditions. The influence
of droplet diameter (d=0.17 or 19MUm) and thermal treatment (90 degrees C, 10min)
on lycopene bioaccessibility was evaluated. Lycopene bioaccessibility was
relatively low (<8%) in the absence of excipient emulsions due to the crystalline
nature of the carotenoids and their entrapment within chromoplasts. Emulsions
containing small droplets were fully digested within the small intestine phase,
and led to a higher bioaccessibility (12.5%) than emulsions containing large
droplets (10.0%) or emulsion-free samples (7.5%). The relatively modest increase
in bioaccessibility was attributed to the high level of entrapment in crystalline
form. Thermal processing did not appreciably disrupt tomato cells, and therefore
only led to a slight increase in lycopene bioaccessibility. Overall, this study
shows that excipient emulsions may increase the bioaccessibility of carotenoids
in tomato juices.
PMID- 27211651
TI - Exposure to ozone reduces postharvest quality loss in red and green chilli
peppers.
AB - The effect of continuous exposure to ozone at 0.45, 0.9 and 2MUmolmol(-1) on
quality changes during the storage of red and green chilli peppers at 10 degrees
C was investigated. Ozone at 0.45 and 0.9MUmolmol(-1) reduced disease incidence
in red peppers, with no further benefits at 2MUmolmol(-1). Ozone at 0.9MUmolmol(
1) reduced weight loss during storage and improved firmness maintenance. Skin
colour was bleached in red peppers exposed to ozone at 2MUmolmol(-1), and in
green ones at all tested doses. Total phenolic content was not affected by ozone
but antioxidant activity was reduced in green chilli peppers exposed to ozone at
2MUmolmol(-1), due to lower ascorbic acid content in those samples. Ozone at
0.9MUmolmol(-1) extended the shelf-life of chilli peppers.
PMID- 27211653
TI - One-pot nanoparticulation of potentially bioactive peptides and gallic acid
encapsulation.
AB - Whey protein isolate was hydrolyzed to an in vitro antioxidative hydrolysate,
followed by transglutaminase-induced cross-linking and microemulsification in an
oil phase. The obtained microemulsion was then dispersed in a gallic acid-rich
model wastewater which caused gallic acid transportation into internal
nanodroplets. Whey peptides were consequently gelled, yielding nanoparticles.
Electrophoresis showed that beta-lactoglobulin and low molecular weight peptides
were cross-linked by transglutaminase. Protein hydrolysis and subsequent
enzymatic cross-linking increased the zeta-potential value. Microscopic
investigation indicated that most particles were non-spherical. Non-cross-linked
and cross-linked peptides underwent a form of heat-triggered self-assembly in the
dry state, while nanoparticles did not show such behavior. Peptide crystallites
size was increased by cross-linking and acid-induced particle formation. The
latter also caused a reduction in intensity of C-H stretching and C-N bending
peaks in infra-red spectrum. Gallic acid release from particles to simulated
gastrointestinal fluids was through diffusion from swollen particles, and reached
almost 70% release.
PMID- 27211654
TI - Relationship of molecular weight distribution profile of unreduced gluten protein
extracts with quality characteristics of bread.
AB - A statistical correlation was established among the molecular weight distribution
patterns of unreduced gluten proteins and physicochemical, rheological and bread
making quality characteristics of wheat varieties. Size exclusion chromatography
fractionated the gluten proteins apparently into five peaks. Peak I signified
glutenins (30-130kDa), peak II as gliadins (20-55kDa), peak III as very low
molecular weight monomeric gliadins (10-28kDa), peak IV and V, collectively, as
albumins and globulins (<10kDa). Peaks I and II had appreciable effects on dough
development time (r=0.830(**) and r=-0.930(**)) and dough stability (r=0.901(**)
and r=-0.979(**)). Peak I was associated with R/E ratio (r=0.745(**)), gluten
index (r=0.959(**)), and gliadin/glutenin ratio (r=-0.952(**)), while peak II
influenced inversely as expected. Peak I exhibited positive statistical
significance with bread loaf volume (r=0.848(**)); however, peak II had negative
(r=-0.818(**)) impact. Bread firmness increased with increment in peak II
(r=0.625(**)), and decreased with accretion in peak I (r=-0.623(**)).
PMID- 27211652
TI - Microwave-assisted hydrothermal extraction of sulfated polysaccharides from Ulva
spp. and Monostroma latissimum.
AB - Microwave-assisted hydrothermal extraction was applied for production of sulfated
polysaccharides from Ulva spp. and Monostroma latissimum. The maximum ulvan
yields attained 40.4+/-3.2% (Ulva meridionalis) and 36.5+/-3.1% (Ulva ohnoi)
within 4min of come-up time and 10min of extraction time at 160 degrees C,
respectively. The rhamnan sulfate yield from M. latissimum further attained
53.1+/-7.2% at 140 degrees C. The sulfated polysaccharides were easily recovered
from the extract by simple ethanol precipitation. In addition, molecular weights
and viscosity of the extracted polysaccharides could be controlled by varying the
extraction temperature. Dielectric measurement revealed that ionic conduction was
the important parameter that affect the microwave susceptibility of algae-water
mixture. The sulfated polysaccharides extracts are expected as potential
feedstock for medical and food applications.
PMID- 27211655
TI - Tetrodotoxin levels in pufferfish (Lagocephalus sceleratus) caught in the
Northeastern Mediterranean Sea.
AB - The toxicity of tetrodotoxin (TTX) in pufferfish (Lagocephalus sceleratus) from
Mersin Bay in the Northeastern Mediterranean Sea was measured using a mouse
bioassay (MBA) and LC-MS-MS. Pufferfish were caught by trawl fishing, longlining
and fishing line from December 2012 to October 2013. Changes in the levels of TTX
in the gonads, livers, intestines, skins and muscles as a function of season and
sex were determined. The gonads of female fish were toxic in all seasons
(>2MUg/g), whereas the gonads of male fish were only toxic in the spring and
autumn. The highest TTX levels in gonads, livers, intestines and skins of female
fish were 52.1, 46.2, 7.64 and 3.43MUg/g, respectively, using LC-MS-MS. The TTX
level in the muscle of female fish in winter was 2.83MUg/g but was otherwise
below the toxic limit. Consequently, it can be dangerous to consume pufferfish,
including the edible muscle, from the Eastern Mediterranean Sea.
PMID- 27211656
TI - Simultaneous determination of Ltx and Ltxd in cured meat products by LC/MS/MS.
AB - 9,10-Epoxyoctadec-12-enoic acid (Ltx) and 9,10-dihydroxy-12-octadecenoic acid
(Ltxd), oxidation products of Linoleic acid, are of biological significance. In
this paper, a method was developed for simultaneous determination of Ltx and Ltxd
in cured meat products. The analytes were separated by high performance liquid
chromatography and detected with tandem mass spectrometry. The method was of
satisfactory performances with the quantification limits of 0.64MUg/g and
0.025MUg/g for Ltx and Ltxd, respectively. The method was employed to detect the
analytes in cured meat products, and 21 out 26 samples were found to contain Ltx
and Ltxd simultaneously, in ranges of 0.77-6.90MUg/g and 0.17-3.93MUg/g,
respectively. The result also indicated there were isomers of Ltx and Ltxd in
samples, and the final amount of Ltxds after ingestion might be much higher than
those originally detected in cured meats. More attention should be paid to Ltxs
and Ltxds in cured meat products.
PMID- 27211657
TI - Radiostrontium levels in foodstuffs: 4-Years control activity by Italian
reference centre, as a contribution to risk assessment.
AB - (90)Sr is considered an important contaminant relating to food supply chains. In
this study, 176 liquid and 260 solid foods, were analysed in order to quantify
(90)Sr. Through ruggedness tests, the application field of radiochemical methods
used was extended successfully to all most important types of foodstuffs.
Regarding liquid matrices, milk samples resulted the most important indicator
about (90)Sr contamination, with mean (90)Sr activity concentration equal to
0.058BqL(-1). Among other liquid foods, wine/spirits and livestock watering
resulted the most contaminated, with mean contamination levels equal to 0.022 and
0.035BqL(-1), respectively. Concerning solid matrices, cheeses produced from
sheep's milk and animal feeds resulted the most contaminated (mean levels: 1.237
and 1.557Bqkg(-1), respectively). Meat products and seafood showed contamination
levels not significant within this survey; while, among vegetables,
cacao/chocolate and spices resulted in contamination levels comparable with those
of cheese obtained from milk of cows origin.
PMID- 27211658
TI - Sequential fungal fermentation-biotransformation process to produce a red pigment
from sclerotiorin.
AB - The fungus Penicillium sclerotiorum produces sclerotiorin, an orange compound
closely related to the useful food coloring pigments produced by Monascus
species. The high productivity, together with several biological activities
reported for sclerotiorin highlights its potential application in food industry.
In this work, sclerotiorin was obtained as the major metabolite produced in
liquid fermentation by P. sclerotiorum standing for 30% of the fungal dry
extract. Modulation of sclerotiorin color was accomplished by biotransformation
using Beauveria bassiana generating a red derivative with 13.8% yield. Color
modification was caused by fungal-mediated substitution of oxygen by nitrogen in
the pyrone ring changing the molecule's chromophore. A derivative, 1-methyl
sclerotiorin was synthesized from sclerotiorin using diazomethane and fed to B.
bassiana. In this case, substituent at C-1 avoided heteroatom substitution.
Sclerotiorin derivatives obtained in the present show the great potential of
sclerotiorin derivatives as food colorants.
PMID- 27211659
TI - A study of arsenic speciation in soil, irrigation water and plant tissue: A case
study of the broad bean plant, Vicia faba.
AB - Samples of soil, the broad bean plant, Vicia faba and irrigation water were
collected from the same agricultural site in Dokan, in the Kurdistan region of
Iraq. Total arsenic and arsenic speciation were determined in all materials by
ICP-MS and HPLC-ICP-MS, respectively. Available arsenic (11%) was also determined
within the soil, together with Cd, Cr, Cu, Ni, Zn, Fe and Mn. The concentrations
of total arsenic were: soil (5.32MUgg(-1)), irrigation water (1.06MUgL(-1)),
roots (2.065MUgg(-1)) and bean (0.133MUgg(-1)). Stems, leaves and pods were also
measured. Inorganic As(V) dominated soil (90%) and root (78%) samples. However,
organo-arsenic (MMA, 48% and DMA, 19%) was the more dominant species in the
edible bean. The study provides an insight into the uptake, preferred disposal
route, speciation changes and loss mechanism involved for arsenic with this food
source.
PMID- 27211660
TI - 2,3-Dideoxyglucosides of selected terpene phenols and alcohols as potent
antifungal compounds.
AB - The antifungal activities of novel 2,3-unsaturated and 2,3-dideoxy 1-O-glucosides
of carvacrol, thymol, and perillyl alcohol were tested against Aspergillus
flavus, Aspergillus ochraceus, Fusarium oxysporum, Saccharomyces cerevisiae and
Candida albicans. In the agar well diffusion tests, zones of inhibition for the
derivatives of carvacrol, thymol and perillyl alcohol were higher (15-30mm) in
the case of filamentous fungi than those for the parent compounds. Their MIC and
MFC values indicated that the 2,3-unsaturated and 2,3-dideoxy 1-O-glucosides of
carvacrol and thymol exhibited more fungicidal activity than the other compounds.
Further, the 2,3-dideoxyglucosides of carvacrol and thymol, exhibited
antitoxigenic effects against A. ochraceus and A. flavus and inhibited the
production of ochratoxin and aflatoxin-B2. Propidium iodide influx assay
demonstrated the lysis of C. albicans cells by carvacrol and its 2,3-unsaturated
1-O-glucoside and the loss of the membrane integrity. These new 2,3
dideoxyglucosides can be useful as antifungal agents and condiments in foods.
PMID- 27211661
TI - Myo-Inositol content determined by myo-inositol biosynthesis and oxidation in
blueberry fruit.
AB - Myo-inositol metabolism in plant edible organs has become the focus of many
recent studies because of its benefits to human health and unique functions in
plant development. In this study, myo-inositol contents were analyzed during the
development of two blueberry cultivars, cv 'Berkeley' and cv 'Bluecrop'.
Furthermore, two VcMIPS 1/2 (Vaccinium corymbosum MIPS) genes, one VcIMP
(Vaccinium corymbosum IMP) gene and one VcMIOX (Vaccinium corymbosum MIOX) gene
were isolated for the first time from blueberry. The expression patterns of
VcMIPS2, VcIMP and VcMIOX genes showed a relationship with the change profiles of
myo-inositol content during fruit ripening. The results were further confirmed by
the analyses of the enzyme activity. Results indicated that both myo-inositol
biosynthesis and oxidation played important roles in determining of myo-inositol
levels during the development of blueberry. To our knowledge, this report is the
first to discuss myo-inositol levels in fruits in terms of biosynthesis and
catabolism.
PMID- 27211662
TI - Dynamic high pressure microfluidization treatment of zein in aqueous ethanol
solution.
AB - Dynamic high pressure microfluidization (DHPM) treatment at the pressures of 25,
50, 75, 100, 125 and 150MPa was performed to explore its effects on the
characteristics of zein in aqueous ethanol solution. The results showed that
after DHPM treatment at 125MPa, the volume percentage of zein nanoparticles
(d<100nm) was increased from 68.8% to 94.7%, and the fluorescence intensity
approached to the maximum. DHPM treatment at the pressure of 25MPa led to the
increase of alpha-helix and beta-sheet of zein from 57.1% to 59.4% and from 16.8%
to 17.9%, respectively. The partial denaturation of zein was induced after DHPM
process at pressures from 50 to 150MPa. The morphology of zein was modified from
nanosphere to the needle-like shapes after DHPM treatment at 75MPa, and the
deduction was proposed that the morphological change at 75MPa was ascribed to the
existence of the intermediate transition state.
PMID- 27211663
TI - Superheated water extraction of glycyrrhizic acid from licorice root.
AB - Superheated water extraction (SWE) has become an interesting green extraction
method for different classes of compounds. In this study, SWE was used to extract
glycyrrhizic acid (GA) from licorice root. Response surface methodology (RSM) was
applied to evaluate and optimize the extraction conditions. The influence of
operating conditions such as water temperature (100, 120 and 140 degrees C) and
solvent flow rates (1, 3 and 5mL/min) were investigated at 0.5mm mean particle
size and 20bar pressure. Separation and identification of the glycyrrhizic acid,
as the main component, was carried out by the RP-HPLC method. The best operating
conditions for the SWE of licorice were determined to be 100 degrees C
temperature,15mL/min flow rate and 120min extraction time. The results showed
that the amount of the obtained GA was relatively higher using SWE (54.760mg/g)
than the Soxhlet method (28.760mg/g) and ultrasonic extraction (18.240mg/g).
PMID- 27211664
TI - Antibacterial and antifungal activities of thymol: A brief review of the
literature.
AB - Thymol (2-isopropyl-5-methylphenol) is the main monoterpene phenol occurring in
essential oils isolated from plants belonging to the Lamiaceae family (Thymus,
Ocimum, Origanum, and Monarda genera), and other plants such as those belonging
to the Verbenaceae, Scrophulariaceae, Ranunculaceae, and Apiaceae families. These
essential oils are used in the food industry for their flavouring and
preservative properties, in commercial mosquito repellent formulations for their
natural repellent effect, in aromatherapy, and in traditional medicine for the
treatment of headaches, coughs, and diarrhea. Many different activities of thymol
such as antioxidant, anti-inflammatory, local anaesthetic, antinociceptive,
cicatrizing, antiseptic, and especially antibacterial and antifungal properties
have been shown. This review aims to critically evaluate the available literature
regarding the antibacterial and antifungal effects of thymol.
PMID- 27211665
TI - Application of terahertz spectroscopy imaging for discrimination of transgenic
rice seeds with chemometrics.
AB - Discrimination of genetically modified organisms is increasingly demanded by
legislation and consumers worldwide. The feasibility of a non-destructive
discrimination of transgenic rice seeds from its non-transgenic counterparts was
examined by terahertz spectroscopy imaging system combined with chemometrics.
Principal component analysis (PCA), least squares support vector machines (LS
SVM), PCA-back propagation neural network (PCA-BPNN), and random forest (RF)
models with the first and second derivative and standard normal variate
transformation (SNV) pre-treatments were applied to classify rice seeds based on
genotype. The results demonstrated that differences between non-transgenic and
transgenic rice seeds did exist, and an excellent classification (accuracy was
96.67% in the prediction set) could be achieved using the RF model combined with
the first derivative pre-treatment. The results indicated that THz spectroscopy
imaging together with chemometrics would be a promising technique to identify
transgenic rice seeds with high efficiency and without any sample preparation.
PMID- 27211666
TI - Gallic acid as a protective antioxidant against anthocyanin degradation and color
loss in vitamin-C fortified cranberry juice.
AB - The objective of this study was to evaluate different antioxidants for
anthocyanin (ACY) retention in vitamin C fortified cranberry juice and assess its
quality. Cranberry juice was fortified with 40-80mg/100mL vitamin C and added
hesperidin, catechin, and gallic acid at different concentrations. Juice was
pasteurized at 85 degrees C for 1min and stored at 23 degrees C for 16days. ACYs,
vitamin C, color intensity, and browning index (BI) were evaluated at 2-day
intervals. Gallic acid was found to be the most effective antioxidant against
ACYs degradation and significantly (p<0.05) increased red color intensity by 37%
and ACY concentration by 41%, compared to the control. After 16-day storage, the
BI of gallic acid-added juice was significantly lower (0.80 vs 1.00) than the
control juice. The outcome of this research provided a potential solution of
using gallic acid to preserve a health-beneficial component (ACYs), and
endogenous red color in cranberry juice.
PMID- 27211667
TI - Assessment of freshness and freeze-thawing of sea bream fillets (Sparus aurata)
by a cytosolic enzyme: Lactate dehydrogenase.
AB - The evaluation of freshness and freeze-thawing of fish fillets was carried out by
assessment of autolysis of cells using a cytosolic enzyme lactate dehydrogenase.
Autolysis plays an important role in spoilage of fish and postmortem changes in
fish tissue are due to the breakdown of the cellular structures and release of
cytoplasmic contents. The outflow of a cytosolic enzyme, lactate dehydrogenase,
was studied in sea bream fillets and the Sparus aurata fibroblasts (SAF-1) cell
line during an 8day storage period at +4 degrees C. A significant increase of
lactate dehydrogenase release was observed, especially after 5days of storage.
The ratio between the free and the total lactate dehydrogenase activity is a
promising predictive marker to measure the quality of fresh fish fillets. The
effect of freeze-thawing on cytosolic lactate dehydrogenase and lysosomal alpha-d
glucosidase activities was also tested. Despite the protecting effect of the
tissue compared to the cell-line, a loss of lactate dehydrogenase activity, but
not of alpha-d-glucosidase, was observed. In conclusion, lactate dehydrogenase
may be used as a marker to both assess freshness of fish and distinguish between
fresh and frozen-thawed fish fillets.
PMID- 27211668
TI - Authentication of vegetable oils by confocal X-ray scattering analysis with
coherent/incoherent scattered X-rays.
AB - This paper presents an alternative analytical method based on the Rayleigh to
Compton scattering intensity ratio and effective atomic number for non
destructive identification of vegetable oils using confocal energy dispersive X
ray fluorescence and scattering spectrometry. A calibration curve for the
Rayleigh to Compton scattering intensity ratio and effective atomic number was
constructed on the basis of a reliable physical model for X-ray scattering. The
content of light elements, which are "invisible" using X-ray fluorescence, can be
calculated "by difference" from the calibration curve. In this work, we
demonstrated the use of this proposed approach to identify complex organic
matrices in different vegetable oils with high precision and accuracy.
PMID- 27211669
TI - Detection of three herbicide, and one metabolite, residues in brown rice and rice
straw using various versions of the QuEChERS method and liquid chromatography
tandem mass spectrometry.
AB - A single-run analytical method was developed to analyze the three herbicides
azimsulfuron, bensulfuron-methyl, and mesotrione and its metabolite (4
methylsulfonyl-2-nitrobenzoic acid (MNBA)) in brown rice and rice straw using
liquid chromatography-tandem mass spectrometry (LC/MS/MS). Samples extracted
using various versions of Quick, Easy, Cheap, Effective, Rugged, and Safe
"QuEChERS" (original unbuffered, acetate (AOAC), and citrate (EN) buffered)
methods gave poor recoveries of all the tested analytes in both matrices. The
extraction efficiency was improved when primary-secondary amine (PSA) sorbent was
removed from the purification step, with the best recovery being achieved for EN
QuEChERS, which was subsequently used throughout the study. Overall, a
determination coefficients (R(2))?0.995 was achieved at matrix-matched
calibration curves at various concentration ranges. The recovery rates at three
fortification levels (limit of quantification (LOQ), 1/2 maximum residue limit
(1/2MRL), and MRL) ranged from 78 to 114.5, with relative standard deviations
(RSDs)<18% for all the tested analytes in both matrices. The LOQs for all
herbicides were lower than the MRL set by the Ministry of Food and Drug Safety
(MFDS), Republic of Korea. Field trials with the recommended, or double the
recommended dose, revealed that the herbicides can safely be applied to rice, as
no residues were detected in the harvested samples at 110days.
PMID- 27211670
TI - Effects of industrial pre-freezing processing and freezing handling on
glucosinolates and antioxidant attributes in broccoli florets.
AB - The effects of industrial pre-freezing processing and freezing handling on the
contents of glucosinolates and antioxidants (vitamin C, polyphenols, carotenoid
and chlorophyll), as well as the antioxidant capacity in broccoli (Brassica
oleracea L. var. italica) florets were investigated in the present study. Our
results showed that the glucosinolate accumulations were significantly decreased
after pre-freezing processing, whereas elevated levels of phenols, carotenoids,
chlorophyll, and also antioxidant capacity were observed in frozen broccoli
florets. The contents of vitamin C remained constant during above mentioned
processing. In conclusion, the current industrial freezing processing method is a
good practice for the preservation of main antioxidant nutrients in broccoli
florets, although some improvements in pre-freezing processing, such as steam
blanching and ice-water cooling, are needed to attenuate the decrease in
glucosinolate content.
PMID- 27211671
TI - Obtaining sugars and natural antioxidants from olive leaves by steam-explosion.
AB - In this work, steam-explosion treatment was evaluated as a procedure to recover
sugars and natural antioxidants from olive tree leaves. The treatment was carried
out following a Box-Behnken experimental design, with three factors, temperature
(180-220 degrees C), process time (2-10min) and milling time (0-15s). Response
surface methodology showed that temperature was the most influential factor,
followed by process time, while the best results were achieved with whole leaves.
The operational conditions for simultaneously maximizing the sugars and natural
antioxidants recoveries resulted to be 180 degrees C, 8.3min and whole leaf;
under these conditions 18.39g and 1950mg were obtained from 100g dry olive
leaves, respectively. This is equivalent to 70% recovery of the initial sugars
present in olive leaves, with a very low formation of inhibitory compounds and an
important amount of natural products with antioxidant capacity such as
oleuropein, hydroxytyrosol and flavonoids.
PMID- 27211672
TI - Thermal processing differentially affects lycopene and other carotenoids in cis
lycopene containing, tangerine tomatoes.
AB - Tangerine tomatoes, unlike red tomatoes, accumulate cis-lycopenes instead of the
all-trans isomer. cis-Lycopene is the predominating isomeric form of lycopene
found in blood and tissues. Our objective was to understand how thermal
processing and lipid concentration affect carotenoid isomerisation and
degradation in tangerine tomatoes. We conducted duplicated factorial designed
experiments producing tangerine tomato juice and sauce, varying both processing
time and lipid concentration. Carotenoids were extracted and analysed using high
performance liquid chromatography with photodiode array detection. Phytoene,
phytofluene, zeta-carotene, neurosporene, tetra-cis-lycopene, all-trans-lycopene
and other-cis-lycopenes were quantified. Tetra-cis-lycopene decreased with
increasing heating time and reached 80% of the original level in sauce after
processing times of 180min. All-trans-lycopene and other-cis-lycopenes increased
with longer processing times. Total carotenoids and total lycopene decreased with
increased heating times while phytoene and phytofluene were unchanged. These data
suggest limiting thermal processing of tangerine tomato products if delivery of
tetra-cis-lycopene is desirable.
PMID- 27211673
TI - Potato wound-healing tissues: A rich source of natural antioxidant molecules with
potential for food preservation.
AB - The need for safe, effective preservatives is a prominent issue in the food and
drug industries, reflecting demand for natural alternatives to synthetic
chemicals viewed as harmful to consumers and the environment. Thus, this study
determined the identities and scavenging capacities of antioxidant metabolites
produced as a response to potato tuber wounding, using activity-guided
fractionation of polar extracts from a Yukon Gold cultivar that had previously
exhibited exceptionally high radical-scavenging activity. Activity-guided
fractionation using the ABTS(+) radical scavenging assay and LC-MS with TOF-MS
for compositional analysis of the most potent antioxidant fractions yielded
identification of nine constituents: coumaroylputrescine; feruloylquinic acid;
isoferuloylputrescine; ferulic acid; 22,25-dimethoxy-3-[[2,3,4-tri-O-methyl-6-O
(2,3,4,6-tetra-O-methyl-beta-d-glucopyranosyl)-beta-d-glucopyranosyl]oxy]-(3beta)
lanost-9(11)-en-24-one; 4-(2Z)-2-decen-1-yl-5-[1-(4-hydroxyphenyl)decyl]-1,2
benzenediol; 8-[(2E)-3,7-dimethyl-2,6-octadien-1-yl]-5-hydroxy-2,8-dimethyl-6-(3
methyl-2-buten-1-yl)-2H-1-benzopyran-4,7(3H,8H)-dione; 3-[(2-O-beta-d
glucopyranosyl-beta-d-glucopyranosyl)oxy]-20-[(6-O-beta-d-xylopyranosyl-beta-d
glucopyranosyl)oxy]-dammar-24-en-19-al; (3beta)-28-oxo-28-(phenylmethoxy)oleanan
3-yl 2-O-beta-d-galactopyranosyl-3-O-(phenylmethyl)-, butyl ester beta-d
glucopyranosiduronic acid. A positive correlation was observed between the
scavenging activities and the polarities of the active fractions. The antioxidant
capacities of the fractions were also characterised by monitoring the activity
throughout a 45-minute assay period.
PMID- 27211675
TI - Limited proteolysis of myoglobin opens channel in ferrochelatase-globin complex
for iron to zinc transmetallation.
AB - Recombinant ferrochelatase (BsFECH) from Bacillus subtilis expressed in
Escherichia coli BL21(DE3) was found by UV-visible spectroscopy to bind the model
substrate tetraphenylporphyrin-sulfonate, TPPS, with Ka=3.8 10(5)mol/L in aqueous
phosphate buffer pH 5.7 at 30 degrees C, and to interact with metmyoglobin with
Ka=1.07+/-0.13 10(5)mol/L at 30 degrees C. The iron/zinc exchange in myoglobin
occurring during maturation of Parma hams seems to depend on such substrate
binding to BsFECH and was facilitated by limited pepsin proteolysis of myoglobin
to open a reaction channel for metal exchange still with BsFECH associated to
globin. BsFECH increased rate of zinc insertion in TPPS significantly and showed
saturation kinetics with an apparent binding constant of Zn(II) to the [enzyme
TPPS] complex of 1.3 10(4)mol/L and a first-order rate constant of 6.6 10(-1)s(
1) for dissociation of the tertiary complex, a similar pattern was found for
zinc/iron transmetallation in myoglobin.
PMID- 27211674
TI - Detailed analysis of seed coat and cotyledon reveals molecular understanding of
the hard-to-cook defect of common beans (Phaseolus vulgaris L.).
AB - The hard-to-cook (HTC) defect in legumes is characterized by the inability of
cotyledons to soften during the cooking process. Changes in the non-starch
polysaccharides of common bean seed coat and cotyledon were studied before and
after development of the HTC defect induced by storage at 35 degrees C and 75%
humidity for 8months. Distinct differences in the yields of alcohol insoluble
residues, degree of methoxylation (DM), sugar composition, and molar mass
distribution of non-starch polysaccharides were found between the seeds coat and
cotyledons. The non-starch polysaccharide profiles, both for seed coats and
cotyledons, significantly differed when comparing HTC and easy-to-cook (ETC)
beans. In conclusion, differences in the structure, composition and
extractability of non-starch polysaccharides between the ETC and HTC beans
confirmed the significant role of pectin polysaccharides in interaction with
divalent ions in the HTC development, which consequently affect their cooking
behaviors.
PMID- 27211676
TI - Ellagitannin content, volatile composition and sensory profile of wines from
different countries matured in oak barrels subjected to different toasting
methods.
AB - Ellagitannins and aromatic compounds evolution in Cabernet Sauvignon wines
macerated in oak barrels for a year was studied. Identical barrels with different
toastings (medium toasting, medium toasting with watering, Noisette) were used in
French, Italian and USA cellars. Ellagitannins increased by 84-96% with aging
time, as did woody volatiles, by 86-91% in French wines and 23-35% in Italian
wines, while fruity aroma compounds declined by 50-57% in the French and Italian
wines over a 12-months period. Nevertheless, other behaviors and different
kinetics rates for these compounds were observed depending on barrel toasting,
wine matrix and their interactions. Perceived overall woody intensity was closely
related to trans-whiskey lactone, guaiacol and vanillin, whereas astringency and
bitterness were significantly linked to ellagitannins (p<0.05). This is the first
study that evaluates the toasting effect on wines from different countries
matured in the same oak barrels.
PMID- 27211677
TI - Influence of canopy-applied chitosan on the composition of organic cv. Sangiovese
and Cabernet Sauvignon berries and wines.
AB - The effects of canopy-applied chitosan on grapes and derived wine were evaluated
in an organically managed mature vineyard. The experiment was performed on
Sangiovese and Cabernet Sauvignon red grape cultivars, the application of a
chitosan solution was compared to water spraying. Each treatment was applied 3
times (beginning and end of veraison, and pre-harvest) in a randomized block
experimental design. Significant differences in (+)-catechin, (-)-epicatechin and
procyanidin B2 amounts in berries and wines were detected in Cabernet Sauvignon
but not in Sangiovese. Chitosan did not influence the berry skin anthocyanin and
flavonol amount or t-resveratrol concentration in both skins and wines. A
considerable increase in gamma-aminobutyric acid (GABA), together with some other
amino acids, ammonium and amines was observed in the berry flesh of cv. Cabernet
Sauvignon. The increase in phenolic acids and nitrogenous compounds, especially
GABA, in the pulp of Cabernet Sauvignon grapes suggests changes in stress
response.
PMID- 27211678
TI - Comparative characterization of the deamidation of carboxylic acid deamidated
wheat gluten by altering the processing conditions.
AB - The physicochemical, structural and functional properties of citric-acid
deamidated wheat gluten at controlled degrees of deamidation (25%, 40% and 55%),
which were obtained by using different acid concentrations (3.93*10(-5), 3.14*10(
3) and 2.36*10(-2)mol/L) and temperatures (70 degrees C 2h, 90 degrees C 1h and
110 degrees C 40min), were compared. Various deamidation processing conditions
leading to the same degree of deamidation resulted in proteins with different
physicochemical and structural characteristics, as indicted by the degree of
hydrolysis, Z-potential, surface hydrophobicity, particle size, SDS-PAGE results,
SEC-HPLC results, intrinsic fluorescence and FTIR spectra. Agglomerative
hierarchical clustering analysis and principal component analysis qualitatively
indicated a significant effect of pH on protein deamidation. Three samples at 40%
deamidation, which were produced by a moderate acid concentration, showed the
best emulsifying and foaming properties. Processes conducted at greater than 90
degrees C causing protein aggregation and at a high acid concentration rupturing
peptide bonds, impaired protein quality. These findings demonstrated that a
limited amount of H(+) could function well in the catalysis of the deamidation of
amide groups without an excess of H(+), which hydrolyses peptide bonds in a
stronger hydrothermal treatment.
PMID- 27211679
TI - The current practice in the application of chemometrics for correlation of
sensory and gas chromatographic data.
AB - A lot of research has been conducted in correlating the sensory properties of
food with different analytical measurements in recent years. Various statistical
methods have been used in order to get the most reliable results and to create
prediction models with high statistical performance. The current review
summarises the latest practices in the field of correlating attributes from
sensory analysis with volatile data obtained by gas chromatographic analysis. The
review includes the origin of the data, different pre-processing and variable
selection methods and finally statistical methods of analysis and validation.
Partial least squares regression analysis appears as the most commonly used
statistical method in the area. The main shortcomings were identified in the
steps of pre-processing, variable selection and also validation of models that
have not gained enough attention. As the association between volatiles and
sensory perception is often nonlinear, future studies should test the application
of different nonlinear techniques.
PMID- 27211680
TI - Determination of free and esterified carotenoid composition in rose hip fruit by
HPLC-DAD-APCI(+)-MS.
AB - Rose hip fruit, which contains high concentration of carotenoids is commonly used
for different food products in Europe and it is considered to have medical
properties. In this study, a simple, rapid and efficient HPLC-DAD-APCI(+)-MS
method was developed and applied to identify and quantify the carotenoids in rose
hip fruit of four rose species, including both unsaponified and saponified
extract. In the unsaponified extract 23 carotenoid esters were detected, in which
either rubixanthin ester or violaxanthin ester was the dominant component of the
ester composition. In the saponified extract 21 carotenoids, including 11
xanthophylls and 10 carotenes were detected. This is the first time the total
carotenoid composition, including the carotenoid esters in rose hip fruit were
identified and quantified. This work reveals the potential of rose hip fruit to
be utilized as a healthy dietary material and give chemical information for the
possible future development in the pharmacology field.
PMID- 27211681
TI - A comprehensive strategy to detect the fraudulent adulteration of herbs: The
oregano approach.
AB - Fraud in the global food supply chain is becoming increasingly common due to the
huge profits associated with this type of criminal activity. Food commodities and
ingredients that are expensive and are part of complex supply chains are
particularly vulnerable. Both herbs and spices fit these criteria perfectly and
yet strategies to detect fraudulent adulteration are still far from robust. An FT
IR screening method coupled to data analysis using chemometrics and a second
method using LC-HRMS were developed, with the latter detecting commonly used
adulterants by biomarker identification. The two tier testing strategy was
applied to 78 samples obtained from a variety of retail and on-line sources.
There was 100% agreement between the two tests that over 24% of all samples
tested had some form of adulterants present. The innovative strategy devised
could potentially be used for testing the global supply chains for fraud in many
different forms of herbs.
PMID- 27211682
TI - Contribution to the characterization of Opuntia spp. juices by LC-DAD-ESI-MS/MS.
AB - Opuntia spp. fruits are considered as health promoting foods due to the diversity
of bioactive molecules found in these fruits. The composition in organic acids,
flavonols and betalains in the Opuntia ficus-indica juice from a region of
Portugal was accomplished for the first time by liquid chromatography and tandem
mass spectrometry using an electrospray ionization source operating in negative
and positive mode. The methodology used allowed the detection of 44 compounds,
from which 32 were identified. Isorhamnetin derivatives were the dominant
flavonol glycosides. A total of 9 betalains including 6 betaxanthins and 3
betacyanin were also detected in the fruit juice samples and indicaxanthin,
betanin and isobetanin were the major pigments. Phenolic acid and phenylpyruvic
acid derivatives were also identified. To our knowledge, it is the first time
derivative compounds from piscidic acid, phenolic compounds and betalains are
characterized in cactus pear juice using a single LC-DAD-ESI-MS/MS method.
PMID- 27211683
TI - Volatile compounds in whole meal bread crust: The effects of yeast level and
fermentation temperature.
AB - The influence of fermentation temperatures (8 degrees C, 16 degrees C, and 32
degrees C) and yeast levels (2%, 4%, and 6% of the flour) on the formation of
volatile compounds in the crust of whole meal wheat bread was investigated. The
fermentation times were regulated to optimum bread height for each treatment. The
volatile compounds were extracted by dynamic headspace extraction and analyzed by
gas chromatography-mass spectrometry. The results were evaluated using
multivariate data analysis and ANOVA. In all crust samples 28 volatile compounds
out of 58 compounds were identified and the other 30 compounds were tentatively
identified. Higher fermentation temperatures promoted the formation of Maillard
reaction products 3-methyl-1-butanol, pyrazine, 2-ethylpyrazine, 2-ethyl-3
methylpyrazine, 2-vinylpyrazine, 3-hydroxy-2-butanone, 3-(methylsulfanyl)
propanal, and 5-methyl-2-furancarboxaldehyde whereas at lower temperature (8
degrees C) the formation of 2- and 3-methylbutanal was favored. Higher levels of
yeast promoted the formation of 3-methyl-1-butanol, 2-methyl-1-propanol and 3
(methylsulfanyl)-propanal, whereas hexanal was promoted in the crust fermented
with lower yeast level.
PMID- 27211684
TI - Convective drying of hawthorn fruit (Crataegus spp.): Effect of experimental
parameters on drying kinetics, color, shrinkage, and rehydration capacity.
AB - Thin layer drying characteristics and physicochemical properties of hawthorn
fruit (Crataegus spp.) were investigated using a convective dryer at air
temperatures 50, 60 and 70 degrees C and air velocities of 0.5, 0.9 and 1.3m/s.
The drying process of hawthorn took place in the falling rate period, and the
drying time decreased with increasing air temperature and velocity. The
experimental data obtained during the drying process were fitted to eleven
different mathematical models. The Midilli et al.'s model was found to be the
best appropriate model for explaining the drying behavior of hawthorn fruit.
Effective moisture diffusion coefficients (Deff) were calculated by Fick's
diffusion model and their values varied from 2.34*10(-10)m(2)/s to 2.09*10(
9)m(2)/s. An Arrhenius-type equation was applied to determine the activation
energies. While the shrinkage decreased, the rehydration ratio increased with
increasing air temperature and air velocity.
PMID- 27211685
TI - Comparative study of the antioxidative activities of caffeoylquinic and caffeic
acids.
AB - A detailed conformational analysis was performed to determine the most stable
conformers of chlorogenic, cryptochlorogenic, and neochlorogenic acids. The
simulated and experimental NMR spectra of caffeoylquinic acids are in excellent
agreement. The bond dissociation enthalpies, proton affinities, electron transfer
enthalpies, ionisation potentials, and proton dissociation enthalpies for these
compounds and caffeic acid in benzene, methanol, and water were used for
thermodynamic consideration of the major antioxidative mechanisms: HAT (Hydrogen
Atom Transfer), SPLET (Sequential Proton-Loss Electron-Transfer), and SET-PT
(Single Electron Transfer - Proton Transfer). All compounds are characterised
with very similar values of each enthalpy, suggesting that they will exhibit
comparable antioxidative activities. This assumption is in perfect accord with
the experimental findings. It was suggested that HAT may be the predominant
mechanism in nonpolar solvents, while HAT and SPLET are competitive pathways in
polar media. All calculations were performed using the B3LYP-D2/6-311++G(d,p) and
M06-2X/6-311++G(d,p) levels of theory and CPCM solvation model.
PMID- 27211687
TI - Influence of cyclodextrins on texture behavior and freeze-thaw stability of kappa
carrageenan gel.
AB - The influences of cyclodextrins (CDs) on texture characteristics and freeze-thaw
stability of kappa-carrageenan gel were investigated using texture profile
analysis (TPA) and synthesis test. The TPA results demonstrated that the texture
behavior of gelatinized kappa-carrageenan was obvious influenced by CDs. Hardness
was strengthened at low CD concentrations (1-2%, w/w) and then weakened along
with the increase of CD concentrations. Springiness was significantly weakened
after the CDs were added. Gumminess showed the similar change as hardness and
chewiness dropped along with the concentration increasing of CDs, while
cohesiveness had little change after the addition of CDs. Moreover, CDs improved
the freeze-thaw stability of gelatinized kappa-carrageenan. In both texture
behavior and freeze-thaw stability aspects, the influences of modified CDs were
superior to that of natural CDs. According to the experimental results, a
proposed model was given to illuminate the distribution of CDs in the gelatinized
kappa-carrageenan.
PMID- 27211686
TI - Explorative study of apple juice fluorescence in relation to antioxidant
properties.
AB - Total fluorescence spectra (excitation-emission matrices, EEM) were recorded for
a series of commercial apple juices, including clear and cloudy juices produced
from concentrate, cloudy juices that were not from concentrate, and freshly
squeezed juices. An exploratory study of the spectra with parallel factor
analysis (PARAFAC) revealed three groups of fluorophores with different emission
properties, and these properties were characterized by excitation/emission maxima
at 270/315nm, (310, 370)/455nm, and 430/(550, 680)nm, respectively. A regression
analysis of the total fluorescence spectra arranged into three-way arrays using N
way partial least squares regression methods (NPLS1 and NPLS2) and an analysis of
the unfolded spectra by partial least squares methods (PLS1 and PLS2) revealed
quantitative relations between the fluorescence and antioxidant properties of
juices. The best models for the total phenolic contents and total antioxidant
capacities were obtained by applying the NPLS1 method to the EEM. The model
parameters were as follows: R(2)CV=0.802, RPD=2.3 for the total phenolic content
and R(2)CV=0.808 and RPD=2.3 for the total antioxidant capacity. These results
show the potential use of fluorescence spectroscopy for screening apple juices
for their antioxidant properties.
PMID- 27211688
TI - Variability in capsaicinoid content and Scoville heat ratings of commercially
grown Jalapeno, Habanero and Bhut Jolokia peppers.
AB - The variability of capsaicinoid content of three common, commercially-available
hot pepper varieties, namely Jalapeno, Habanero and Bhut Jolokia, was
investigated. For each variety tested, ten peppers were acquired from each of ten
different suppliers resulting in 100 peppers per variety that were individually
analyzed. The results showed that different pepper varieties had different
distribution types. The Habanero peppers showed a normal distribution; the Bhut
Jolokia showed a skewed distribution and the Jalapeno peppers showed a very
skewed distribution. The source of variability was also different; the Habaneros
were very consistent within a given pepper supplier so most of the overall
variation resulted from differences between suppliers. The Jalapeno peppers were
the exact opposite with a very high degree of variability within a given supplier
and relatively low variation between suppliers. A bootstrap statistical
simulation was conducted on the data to suggest a minimum number of peppers to
analyze to characterize the variation in a population. The simulations indicated
that small sample sizes are effective at estimating the mean concentrations, but
a sample size of ten or more is necessary to describe the population and capture
the high-end tail of the distributions, which are the very hottest peppers.
PMID- 27211689
TI - New insights in the chemical composition of benzoin balsams.
AB - Benzoin balsam is an anthropic exudate obtained from the bark of several species
of Styrax trees that is mainly used as a perfume fixative as well as a flavouring
agent. Benzoe tonkinensis Laos (also commercialized under the denomination Siam
benzoin balsam) displaying characteristic vanilla notes and already being largely
used to flavour all kinds of edible goods, was intended to be proposed by
Agroforex Company to the Codex Committee on Food Additives for evaluation as a
food additive. For this purpose, the present paper reports the phytochemical
characterisation of both the volatile and non-volatile fractions of benzoin
balsams and the quantitation of some of the major components by gas and liquid
chromatography techniques. Four coniferyl and two morinol derivatives were
characterised for the first time in Benzoe tonkinensis Laos. Finally, two liquid
chromatographic methods used to easily discriminate Siam from Sumatra balsam
(also known as Benzoe sumatranus Indonesia) were developed.
PMID- 27211690
TI - Characterisation of aroma-active and off-odour compounds in German rainbow trout
(Oncorhynchus mykiss). Part I: Case of aquaculture water from earthen-ponds
farming.
AB - Comprehensive analyses were accomplished to explore the odorous molecules
responsible for off-odour development in earthen-ponds rainbow trout
(Oncorhynchus mykiss) aquaculture farming in Germany. In this part of the study,
water odorants were extracted using solvent-assisted flavour evaporation (SAFE);
then, extracts were analysed by one- and two- dimensional high resolution gas
chromatography coupled with olfactometry and mass spectrometry using two columns
with different polarity (DB-FFAP and DB-5). Aroma extract dilution analysis
(AEDA) of the solvent extract samples revealed 54 odorants, and 47 of them were
identified. In this study, a series of compounds is described for the first time
in German earthen-ponds rainbow trout aquaculture water including, amongst
others, 4-hydroxy-2,5-dimethyl-3(2H)-furanone (furaneol), vanillin, (E)-4,5-epoxy
(E)-2-decenal, 4-ethyloctanoic acid, 3-methylindole (skatole), 5alpha-androst-16
en-3-one (androstenone), and 2-(2-butoxyethoxy) ethanol. Moreover, the sensory
experiment indicated that (E)-4,5-epoxy-(E)-2-decenal, (E,E)-2,4-octadienal, and
1-octen-3-one are the main contributors to the metallic, cucumber, and mushroom
notes of the samples.
PMID- 27211691
TI - Ripening and storage conditions of Chetoui and Arbequina olives: Part II. Effect
on olive endogenous enzymes and virgin olive oil secoiridoid profile determined
by high resolution mass spectrometry.
AB - Several factors affect virgin olive oil (VOO) phenolic profile. The aim of this
study was to monitor olive hydrolytic (beta-glucosidase) and oxidative
(peroxydase, POX, and polyphenoloxydase, PPO) enzymes during olive ripening and
storage and to determine their capacity to shape VOO phenolic profile. To this
end, olives from the cultivars Chetoui and Arbequina were stored at 4 degrees C
or 25 degrees C for 4weeks and their enzymatic activities and oil phenolic
profiles were compared to those of ripening olives. We observed different trends
in enzymes activities according to cultivar and storage temperature. Secoiridoid
compounds, determined by high resolution mass spectrometry (HRMS), and their
deacetoxylated, oxygenated, and deacetoxy-oxygenated derivatives were identified
and their contents differed between the cultivars according to olive ripening
degree and storage conditions. These differences could be due to beta
glucosidase, POX and PPO activities changes during olive ripening and storage.
Results also show that oxidised phenolic compounds could be a marker of VOO
''freshness".
PMID- 27211692
TI - Grape cluster microclimate influences the aroma composition of Sauvignon blanc
wine.
AB - New Zealand Sauvignon blanc (SB) wines are characterised by a distinctive
combination of tropical-fruity and green-herbaceous aromatic compounds. The
influence of sunlight exposure of grape clusters on juice and wine composition
was investigated, with the aim of manipulating aromatic compounds in SB wine. In
the absence of basal leaf removal SB clusters naturally exposed to sunlight were
riper than shaded clusters, evidenced by higher total soluble solids (TSS) and
proline, and lower malic acid, 3-isobutyl-2-methoxypyrazine (IBMP) and arginine.
Volatile thiols in wines did not differ between shaded and exposed clusters. At
equivalent TSS, cluster exposure had little or no effect on malic acid
concentration. Conversely, wine from shaded clusters had almost double the IBMP
concentration of wine from exposed clusters at equivalent TSS. The effects on SB
juice and wine composition of natural variations in cluster microclimate are not
comparable with the effects of cluster exposure created through leaf removal.
PMID- 27211693
TI - From soil to grape and wine: Variation of light and heavy elements isotope
ratios.
AB - In the development of a geographical traceability model, it is necessary to
understand if the value of the monitored indicators in a food is correlated to
its origin or if it is also influenced by 'external factors' such as those coming
from its production. In this study, a deeper investigation of the trend of direct
geographical traceability indicators along the winemaking process of two
traditional oenological products was carried out. Different processes were
monitored, sampling each step of their production (grape juice, intermediate
products and wine). The results related to the determinations of delta(18)O,
(D/H)I, (D/H)II, delta(13)C, delta(15)N and (87)Sr/(86)Sr have been reported.
Furthermore, correspondence with the isotopic values coming from the respective
soil and vine-branch samples have been investigated as well, showing the optimal
traceability power of the monitored geographical tracers.
PMID- 27211694
TI - The use of glucose oxidase and catalase for the enzymatic reduction of the
potential ethanol content in wine.
AB - Due to the increase of sugar levels in wine grapes as one of the impacts of
climate change, alcohol reduction in wines becomes a major focus of interest.
This study combines the use of glucose oxidase and catalase activities with the
aim of rapid conversion of glucose into non-fermentable gluconic acid. The H2O2
hydrolysing activity of purified catalase is necessary in order to stabilize
glucose oxidase activity. After establishing the adequate enzyme ratio, the
procedure was applied in large-scale trials (16L- and 220L-scale) of which one
was conducted in a winery under industrial wine making conditions. Both enzyme
activity and wine flavour were clearly influenced by the obligatory aeration in
the different trials. With the enzyme treatment an alcohol reduction of 2%vol.
was achieved after 30h of aeration. However the enzyme treated wines were
significantly more acidic and less typical.
PMID- 27211695
TI - Interactions of grape tannins and wine polyphenols with a yeast protein extract,
mannoproteins and beta-glucan.
AB - At present, there is a great interest in enology for yeast derived products to
replace aging on lees in winemaking or as an alternative for wine fining. These
are yeast protein extracts (YPE), cell walls and mannoproteins. Our aim was to
further understand the mechanisms that drive interactions between these
components and red wine polyphenols. To this end, interactions between grape skin
tannins or wine polyphenols or tannins and a YPE, a mannoprotein fraction and a
beta-glucan were monitored by binding experiments, ITC and DLS. Depending on the
tannin structure, a different affinity between the polyphenols and the YPE was
observed, as well as differences in the stability of the aggregates. This was
attributed to the mean degree of polymerization of tannins in the polyphenol
fractions and to chemical changes that occur during winemaking. Much lower
affinities were found between polyphenols and polysaccharides, with different
behaviors between mannoproteins and beta-glucans.
PMID- 27211696
TI - Nonsynonymous HTR2C polymorphism predicts cortisol response to psychosocial
stress II: Evidence from two samples.
AB - The 5-HT2C receptor is the primary serotonin receptor located in the
corticotrophin releasing hormone (CRH) neurons of the hypothalamus. These neurons
initiate the signaling cascade that culminates in cortisol release. Therefore,
genetic variation in the 5-HT2C receptor gene (HTR2C) is a prime candidate for
affecting cortisol reactivity to stress. Accordingly, we examined the association
of a nonsynonymous polymorphism (Cys23Ser; rs6318) in HTR2C with stress
reactivity in two Trier Social Stress Tests conducted at separate sites. In both
Study 1 (N=128) and Study 2 (N=185), Cys23 homozygous females and hemizygous
males had greater cortisol reactivity. There was no relation between this
polymorphism and self-reported affective response (Studies 1 and 2) or
cardiovascular reactivity (Study 2). Additionally, the short/short genotype of a
polymorphism (5-HTTLPR) in the serotonin transporter gene was associated with
greater cortisol reactivity in Study 1 as well as in Study 2 (previously
reported). The Cys23Ser polymorphism and the 5-HTTLPR were independently
associated with cortisol reactivity in both studies. These findings emphasize the
important role of genetic variation in the serotonin system on regulating
cortisol reactivity to social evaluative stress. Comparison of the present
associations with those of prior studies underscores the likely importance of
situational and psychological factors in determining the direction and magnitude
of the association between genotype and phenotype.
PMID- 27211697
TI - Pulling the sting out of nettle systematics - A comprehensive phylogeny of the
genus Urtica L. (Urticaceae).
AB - The genus Urtica L. is subcosmopolitan, found on all continents (except
Antarctica) and most extratropical islands and ranges from Alaska to Patagonia,
Spitzbergen to the Cape and Camtschatka to the subantarctic islands. However,
throughout its geographical range morphologically nearly indistinguishable
species are found alongside morphologically quite disparate species, with the
overall diversity of morphological characters extremely limited. The systematics
of Urtica have puzzled scientists for the past 200years and no single
comprehensive attempt at understanding infrageneric relationships has been
published in the past, nor are species delimitations unequivocally established.
We here provide the first comprehensive phylogeny of the genus including 61 of
the 63 species recognized, represented by 144 ingroup accessions and 14 outgroup
taxa. The markers ITS1-5.8S-ITS2, psbA-trnH intergenic spacer, trnL-trnF and trnS
trnG are used. The phylogeny is well resolved. The eastern Asian Zhengyia
shennongensis T. Deng, D.G. Zhang & H. Sun is retrieved as sister to Urtica.
Within Urtica, a clade comprising the western Eurasian species U. pilulifera L.
and U. neubaueri Chrtek is sister to all other species of the genus. The
phylogenetic analyses retrieve numerous well-supported clades, suggesting
previously unsuspected relationships and implying that classically used taxonomic
characters such as leaf morphology and growth habit are highly homoplasious.
Species delimitation is problematical, and several accessions assigned to Urtica
dioica L. (as subspecies) are retrieved in widely different places in the
phylogeny. The genus seems to have undergone numerous dispersal-establishment
events both between continents and onto different islands. Three recent species
radiations are inferred, one in America centered in the Andes, one in New
Zealand, and one in northern Eurasia which includes Urtica dioica s.str. sensu
Henning et al. (2014). The present study provides the basis of a critical re
examination of species limits and taxonomy, but also of the dispersal ecology of
this widespread plant group and an in-depth study of the three clades with recent
radiations.
PMID- 27211698
TI - ERRATUM.
PMID- 27211699
TI - Serum metabonomics of NAFLD plus T2DM based on liquid chromatography-mass
spectrometry.
AB - OBJECTIVES: Nonalcoholic fatty liver disease (NAFLD), a main liver disease around
the world, is closely associated with insulin resistance, type 2 diabetes
mellitus (T2DM) and other metabolic diseases. The objective of this study is to
identify distinct metabolites of NAFLD patients with or without T2DM. DESIGN AND
METHODS: We used a biomarker-discovery population to find distinct metabolites of
NAFLD patients with or without T2DM. Then, a validation population was applied to
test the model of the biomarker-discovery population. All the individuals
received anthropometric and common biochemical measurements. The metabolic data
were analyzed by multivariable statistical analyses using ultra-high-performance
liquid chromatography/quadrupole time-of-flight-tandem mass spectrometry.
RESULTS: There were 7, 7, 2 metabolites in the positive electrospray ionization
(ESI(+)) mode, which were identified between groups from both the biomarker
discovery and validation population. The NAFLD group showed higher concentrations
of oleamide, l-phenylalanine, l-proline, bilirubin, l-palmitoylcarnitine, and PC
(20:5) and a lower concentration of Lyso-PAF C-18 than those of control. Compared
with the control group, the NAFLD+T2DM group displayed higher oleamide, l
leucine, LysoPC (14:0), bilirubin, tetradecenoylcarnitine, linoleyl carnitine,
and tetradecadiencarnitine in serum. Tetradecenoylcarnitine and
tetradecadiencarnitine were more elevated in patients with NAFLD+T2DM than in the
NAFLD group. CONCLUSIONS: Serum metabonomic analyses displayed great metabolic
changes in patients with NAFLD and NAFLD plus T2DM. Our study is beneficial in
providing a further view into the pathogenesis and pathophysiology of NAFLD and
NAFLD plus T2DM, which might be useful for the prevention and therapy of NAFLD
and NAFLD plus T2DM.
PMID- 27211700
TI - Europium Silicide - a Prospective Material for Contacts with Silicon.
AB - Metal-silicon junctions are crucial to the operation of semiconductor devices:
aggressive scaling demands low-resistive metallic terminals to replace high-doped
silicon in transistors. It suggests an efficient charge injection through a low
Schottky barrier between a metal and Si. Tremendous efforts invested into
engineering metal-silicon junctions reveal the major role of chemical bonding at
the interface: premier contacts entail epitaxial integration of metal silicides
with Si. Here we present epitaxially grown EuSi2/Si junction characterized by
RHEED, XRD, transmission electron microscopy, magnetization and transport
measurements. Structural perfection leads to superb conductivity and a record-low
Schottky barrier with n-Si while an antiferromagnetic phase invites spin-related
applications. This development opens brand-new opportunities in electronics.
PMID- 27211781
TI - Women growing older with environmental sensitivities: A grounded theory model of
meeting one's needs.
AB - This article describes a telephone interview study of 21 women over the age of 65
with environmental sensitivities (ES), including both chemical and electrical
hypersensitivities. We employed Charmaz's constructivist grounded theory, using
incident, focused, and theoretical coding levels. We were interested in how
informants thought their needs would be met as they grew older with ES. We found
a central process (that which motivates informants) of "balancing on a changing
tightrope with great overwhelm" with three categories: No Safety Net, The
Knowing, and Going Through the Cracks. No Safety Net refers to the
inaccessibility of most community and health resources for persons who must avoid
chemicals, electromagnetic fields, or both. The Knowing refers to having the
awareness that one has been dealt out of the equation and will not receive help
from conventional sources. Going Through the Cracks describes living one's life
by finding small openings and opportunities for living and experiencing what most
take for granted. We describe these categories in detail and appeal to health
care providers and the general public to view culture through the eyes of those
who are unable to participate in it to an extent considered "normal."
PMID- 27211782
TI - Estimation of muscle activity using higher-order derivatives, static
optimization, and forward-inverse dynamics.
AB - We propose a new method to estimate muscle activity in a straightforward manner
with high accuracy and relatively small computational costs by using the external
input of the joint angle and its first to fourth derivatives with respect to
time. The method solves the inverse dynamics problem of the skeletal system, the
forward dynamics problem of the muscular system, and the load-sharing problem of
muscles as a static optimization of neural excitation signals. The external input
including the higher-order derivatives is required for a calculation of
constraints imposed on the load-sharing problem. The feasibility of the method is
demonstrated by the simulation of a simple musculoskeletal model with a single
joint. Moreover, the influences of the muscular dynamics, and the higher-order
derivatives on the estimation of the muscle activity are demonstrated, showing
the results when the time constants of the activation dynamics are very small,
and the third and fourth derivatives of the external input are ignored,
respectively. It is concluded that the method can have the potential to improve
estimation accuracy of muscle activity of highly dynamic motions.
PMID- 27211783
TI - Biaxial tensile tests of the porcine ascending aorta.
AB - One of the aims of this work is to develop an original custom built biaxial set
up to assess mechanical behavior of soft tissues. Stretch controlled biaxial
tensile tests are performed and stereoscopic digital image correlation (SDIC) is
implemented to measure the 3D components of the generated displacements. Using
this experimental device, the main goal is to investigate the mechanical behavior
of porcine ascending aorta in the more general context of human ascending aorta
pathologies. The results highlight that (i) SDIC arrangement allows accurate
assessment of displacements and so stress strain curves, (ii) porcine ascending
aorta has a nearly linear and anisotropic mechanical behavior until 30% of
strain, (iii) porcine ascending aorta is stiffer in the circumferential direction
than in the longitudinal one, (iv) the material coefficient representing the
interaction between the two loading directions is thickness dependent, (v) taking
into account the variability of the samples the stress values are independent of
the stretch rate in the range of values from 10(-3) to 10(-1)s(-1) and finally,
(vi) unlike other segments of the aorta, 4-month-old pigs ascending aorta is
definitely not a relevant model to investigate the mechanical behavior of the
human ascending aorta.
PMID- 27211784
TI - Reproducibility Crisis: Are We Ignoring Reaction Norms?
PMID- 27211785
TI - Apparently aberrant fixation of a fracture of the oblique angle of the mandible.
PMID- 27211787
TI - Electrostatic control over temperature-dependent tunnelling across a single
molecule junction.
AB - Understanding how the mechanism of charge transport through molecular tunnel
junctions depends on temperature is crucial to control electronic function in
molecular electronic devices. With just a few systems investigated as a function
of bias and temperature so far, thermal effects in molecular tunnel junctions
remain poorly understood. Here we report a detailed charge transport study of an
individual redox-active ferrocene-based molecule over a wide range of
temperatures and applied potentials. The results show the temperature dependence
of the current to vary strongly as a function of the gate voltage. Specifically,
the current across the molecule exponentially increases in the Coulomb blockade
regime and decreases at the charge degeneracy points, while remaining temperature
independent at resonance. Our observations can be well accounted for by a formal
single-level tunnelling model where the temperature dependence relies on the
thermal broadening of the Fermi distributions of the electrons in the leads.
PMID- 27211788
TI - Viral Pathogenesis: Tracing the steps of Zika virus.
PMID- 27211786
TI - Overlap of Arrhythmogenic Cardiomyopathy, Spongiform Cardiomyopathy, and
Congenital Heart Disease.
PMID- 27211791
TI - Glucocorticoid resistance syndrome caused by two novel mutations in the NR3C1
gene.
PMID- 27211789
TI - Reassortment in segmented RNA viruses: mechanisms and outcomes.
AB - Segmented RNA viruses are widespread in nature and include important human,
animal and plant pathogens, such as influenza viruses and rotaviruses. Although
the origin of RNA virus genome segmentation remains elusive, a major consequence
of this genome structure is the capacity for reassortment to occur during co
infection, whereby segments are exchanged among different viral strains.
Therefore, reassortment can create viral progeny that contain genes that are
derived from more than one parent, potentially conferring important fitness
advantages or disadvantages to the progeny virus. However, for segmented RNA
viruses that package their multiple genome segments into a single virion
particle, reassortment also requires genetic compatibility between parental
strains, which occurs in the form of conserved packaging signals, and the
maintenance of RNA and protein interactions. In this Review, we discuss recent
studies that examined the mechanisms and outcomes of reassortment for three well
studied viral families - Cystoviridae, Orthomyxoviridae and Reoviridae - and
discuss how these findings provide new perspectives on the replication and
evolution of segmented RNA viruses.
PMID- 27211790
TI - Human norovirus transmission and evolution in a changing world.
AB - Norovirus infections are a major cause of gastroenteritis, and outbreaks occur
frequently. Several factors are currently increasing the challenge posed by
norovirus infections to global health, notably the increasing number of
infections in immunocompromised individuals, who are more susceptible to disease,
and the globalization of the food industry, which enables large norovirus
outbreaks to occur on an international scale. Furthermore, the rapid rate of the
genetic and antigenic evolution of circulating noroviruses complicates the
development of vaccines and therapies that are required to counter these
challenges. In this Review, we describe recent advances in the study of the
transmission, pathogenesis and evolution of human noroviruses, and consider the
ongoing risk of norovirus outbreaks, together with the future prospects for
therapeutics, in a rapidly changing world.
PMID- 27211792
TI - Improving postoperative handover from anaesthetists to non-anaesthetists in a
children's intensive care unit: the receiver's perception.
AB - INTRODUCTION: The efficiency of postoperative handover of paediatric patients to
the children's intensive care unit (CICU) varies according to institutions,
clinical setup and workflow. Reorganisation of handover flow based on findings
from observational studies has been shown to improve the efficiency of
information transfer. This study aimed to evaluate a new handover process based
on recipients' perceptions, focusing on completeness and comprehensiveness of
verbal communication, and the usability of a situation, background, assessment
and recommendation (SBAR) form. METHODS: This was a prospective interventional
study conducted in the CICU of KK Women's and Children's Hospital, Singapore. It
comprised four phases: (1) evaluation of the current handover process through an
audit and opinion survey; (2) development of a new handover process based on the
opinion survey and hospital personnel feedback; (3) implementation; and (4)
evaluation of the new handover process. The new handover process was based on a
PETS (pre-handover, equipment handover, timeout and sign out) protocol with a
'single traffic communication' flow and a new SBAR handover document. It included
relevant patient information, and the options 'not applicable' and 'none', to
increase compliance and reduce ambiguity. RESULTS: Significantly more recipients
indicated that the new SBAR form was the most important handover tool and
provided more useful information. Recipients' perceptions indicated improvement
in information sufficiency and clarity; reduction of omission errors; and fewer
inconsistencies in patient descriptions in the new process. CONCLUSION: Dual
customisation of the handover process, PETS protocol and SBAR form is necessary
to meet the workflow and information demands of the receiving team.
PMID- 27211794
TI - Pseudo-Cushing - A Clinical Challenge?
AB - The distinction between Cushing's syndrome and pseudo-Cushing is a major clinical
challenge. Indeed, any endocrinologist used to dealing with Cushing's syndrome
has certainly faced this dilemma more than once and is aware that there are no
clear-cut solutions. Several factors contribute to this ongoing quandary, such as
unbalanced epidemiology, overlap in clinical features and inherent variability in
test responses. Thus, extreme care has to be taken in both excluding and
confirming Cushing's syndrome in patients with mild clinical features and
borderline laboratory alterations.
PMID- 27211793
TI - Investigation of SLA4A3 as a candidate gene for human retinal disease.
AB - SLC4A3 has been shown to cause retinal degeneration in a genetically engineered
knockout mouse, and in a naturally occurring form of canine progressive retinal
atrophy considered to be the equivalent of retinitis pigmentosa in humans (RP).
This study was undertaken to investigate if SLC4A3 coding variants were
implicated in human retinal degeneration. SLC4A3 exons were amplified and
sequenced in 200 patients with autosomal recessive retinal degeneration who had
no known molecular diagnosis for their condition, which included 197 unrelated
individuals with suspected RP and three individuals with other forms of retinal
disease. Three rare variants were identified that were predicted to be
potentially pathogenic, however each variant was heterozygous in a single patient
and therefore not considered disease-causing in isolation. Of these three
variants, SNP-3 was the rarest, with an allele frequency of 7.06 x 10(-5)
(>46,000 exomes from the ExAC database). In conclusion, no compound heterozygous
or homozygous potentially pathogenic variants were identified that would account
for recessive RP or retinal degeneration in this cohort, however the possibility
remains that the rare variants identified could be acting with as yet
undiscovered mutations in introns or regulatory regions. SLC4A3 remains an
excellent candidate gene for human retinal degeneration, and with the advent of
whole exome and whole genome sequencing of cohorts of molecularly unsolved
patients with syndromic and non-syndromic forms of retinal degeneration, SLC4A3
may yet be implicated in human disease.
PMID- 27211795
TI - S768I Mutation in EGFR in Patients with Lung Cancer.
AB - INTRODUCTION: Epidermal growth factor receptor gene (EGFR) mutations are
relatively common oncogenic drivers in non-small cell lung cancer (NSCLC). The
outcomes of patients who present with less common EGFR mutations or more than one
EGFR mutation are uncertain. We reviewed our experience with the S768I mutation
of exon 20 of EGFR to provide insight into the clinical significance of this
mutation. METHODS: We used a natural language search program to search our
electronic medical record system and every EGFR mutation analysis of patients
with NSCLC treated at Mayo Clinic that was performed in our Department of
Molecular Genetics to identify patients with EGFR S768I mutation. Relevant
clinical and laboratory data were abstracted for selected cases, including
evaluation of response after treatment with tyrosine kinase inhibitors. RESULTS:
A total of 1527 patients with NSCLC who underwent EGFR testing were reviewed. The
S768I mutation was present in nine patients (0.59%), four of whom were female.
Only three had an isolated S768I mutation, four had a concurrent G719 mutation,
and two had a concurrent L858R mutation. Among patients with stage IV disease
treated with erlotinib (n = 4), one had an isolated S768I mutation and three had
additional mutations (two patients with G719 and one patient with L858R). The
tumor response to erlotinib of patients with stage IV disease was highly variable
(progression-free survival ranged from 3 to 30 months and overall survival ranged
from 5 to more than 51 months). CONCLUSIONS: S768I mutations in exon 20 of the
EGFR gene are rare and are typically seen in conjunction with sensitizing EGFR
mutations. Because of this mutation's rarity and the variability of responses of
treated cases, its exact prognostic and predictive role is not fully understood.
In our experience, S768I mutations in isolation do not necessarily confer
sensitivity to erlotinib, but in conjunction with sensitizing EGFR mutations,
S768I mutations do not restrict efficacy.
PMID- 27211796
TI - "Bottom-up" self-assembly and "cold crystallization" of butterfly shaped
tetrabenzofluorene molecules.
AB - Butterfly-shaped tetrabenzo[a,c,g,i]fluorene (TBF)-based molecules (1 and 2) were
designed, synthesized and well characterized using various spectroscopic
techniques. The single crystal X-ray structure of 1 shows the presence of
intermolecular 3-D pi-pi stacking interaction and unprecedented "cold
crystallization" in polycyclic aromatic molecules. We report for the first time,
the "bottom-up" self-assembly of TBF based organic molecules. The supramolecular
studies reveal the formation of vesicles and cuboid-shaped nanocrystals in THF
water and toluene solution, respectively.
PMID- 27211797
TI - Quality of Life and Life Expectancy in Patients with Adrenal Insufficiency: What
Is True and What Is Urban Myth?
AB - For a long time it has been assumed that patients with chronic adrenal
insufficiency under established replacement therapy have a normal life expectancy
and a normal everyday life. Recent studies now indicate both an impairment of
quality of life (QoL) with a negative impact on daily life and increased
mortality in a significant number of patients. The clinical presentation of
patients varies considerably. While some neither suffer from reduced QoL nor from
adrenal crisis, others are significantly more affected by the disease. Long-term
management of patients is thus more challenging and goes far beyond
identification of the correct maintenance dose of corticosteroids. The mortality
from adrenal crisis is still high and prevention should be a top priority for
endocrinologists. Concepts of replacement therapy as well as patient education
and emergency equipment are currently being reassessed. Developments to improve
patient care and treatment comprise novel glucocorticoid preparations that are
closer to the physiological circadian cortisol profile, a uniform European
emergency card and more standardized crisis prevention measures.
PMID- 27211798
TI - Global trends and patterns of commercial milk-based formula sales: is an
unprecedented infant and young child feeding transition underway?
AB - OBJECTIVE: The marketing of infant/child milk-based formulas (MF) contributes to
suboptimal breast-feeding and adversely affects child and maternal health
outcomes globally. However, little is known about recent changes in MF markets.
The present study describes contemporary trends and patterns of MF sales at the
global, regional and country levels. DESIGN: Descriptive statistics of trends and
patterns in MF sales volume per infant/child for the years 2008-2013 and
projections to 2018, using industry-sourced data. SETTING: Eighty countries
categorized by country income bracket, for developing countries by region, and in
countries with the largest infant/child populations. SUBJECTS: MF categories
included total (for ages 0-36 months), infant (0-6 months), follow-up (7-12
months), toddler (13-36 months) and special (0-6 months). RESULTS: In 2008-2013
world total MF sales grew by 40.8 % from 5.5 to 7.8 kg per infant/child/year, a
figure predicted to increase to 10.8 kg by 2018. Growth was most rapid in East
Asia particularly in China, Indonesia, Thailand and Vietnam and was led by the
infant and follow-up formula categories. Sales volume per infant/child was
positively associated with country income level although with wide variability
between countries. CONCLUSIONS: A global infant and young child feeding (IYCF)
transition towards diets higher in MF is underway and is expected to continue
apace. The observed increase in MF sales raises serious concern for global child
and maternal health, particularly in East Asia, and calls into question the
efficacy of current regulatory regimes designed to protect and promote optimal
IYCF. The observed changes have not been captured by existing IYCF monitoring
systems.
PMID- 27211799
TI - Light-triggered reversible "one-to-two" morphological transition in a "latent
double-amphiphilic" linear-hyperbranched supramolecular block copolymer.
AB - This study reports a new category of stimuli-responsive morphological
transitions, i.e., from one morphology (e.g., vesicles) to another two different
ones (e.g., nanosheets and nanofibers), by investigating the light-responsive
self-assembly behaviour of a "latent double-amphiphilic" linear-hyperbranched
supramolecular block copolymer.
PMID- 27211801
TI - Cortex Lycii Radicis Extracts Protect Pancreatic Beta Cells Under High Glucose
Conditions.
AB - The normal function of pancreatic beta cells is vital to the control of blood
glucose. Earlier research suggests that the traditional Chinese medicine Cortex
Lycii Radicis may help protect pancreatic beta cells and improve insulin
sensitivity. However, the specific effects and molecular mechanism of this herb
have not been described. Thus, we investigated the role of Cortex Lycii Radicis
in regulating the proliferation, apoptosis, and autophagy of INS-1 pancreatic
beta cells. Our study revealed that Cortex Lycii Radicis extracts could promote
INS-1 cell proliferation and inhibit cell apoptosis under high glucose
conditions. We also evaluated the formation of autophagosomes and found that GFP
LC3 fusion protein was translocated to the autophagosome membrane. Autophagosomes
increased in the group treated with Cortex Lycii Radicis compared with the no
treatment control group, indicating that these extracts could promote the
activation of autophagy in INS-1 cells. Our findings suggest a significant
association of the Cortex Lycii Radicis extracts treatment with apoptosis and
autophagy, which protects the function of pancreatic beta cells, providing
evidence for the development of a new drug for diabetes treatment.
PMID- 27211802
TI - Cardiac Specific Overexpression of hHole Attenuates Isoproterenol-Induced
Hypertrophic Remodeling through Inhibition of Extracellular Signal-Regulated
Kinases (ERKs) Signalling.
AB - The human Hole gene (hHole) encodes a six-transmembrane protein with 319- amino
acids. Our previous study showed that hHole was strongly expressed in adult heart
and may act as a suppressor of extracellular signal-regulated kinases (ERKs),
overactivation of which contributed to pathological cardiac hypertrophy. In this
study, it was observed that Hole expression was up-regulated in murine
hypertrophic hearts. In a cardiac specific transgenic mouse model, it was
observed that overexpression of hHole specifically in heart attenuated cardiac
hypertrophy and fibrosis induced by isoproterenol (ISO), with blunted
transcriptions of ERK1/2, total ERK1/2 proteins and phosphorylated ERK1/2 (p
ERK1/2) levels. Furthermore, overexpression of hHole in mice by hydrodynamic tail
vein injection with hHole plamids also inhibited cardiac hypertrophy induced by
ISO. Our work identified hHole as a novel repressor of cardiac hypertrophy, and
provided new insights into the possible target for the prevention or treatment of
cardiac diseases.
PMID- 27211803
TI - Opportunities for Emergency Medical Services (EMS) Care of Syncope.
AB - Introduction Emergency Medical Service (EMS) systems are vital in the
identification, assessment, and treatment of trauma, stroke, myocardial
infarction, and sepsis patients, improving early recognition, resuscitation, and
transport. Emergency Medical Service personnel provide similar care for patients
with syncope. The role of EMS in the management of patients with syncope has not
been reported. Hypothesis/Objective The objective of this study was to describe
the management of out-of-hospital syncope by prehospital providers in an urban
EMS system. METHODS: This was a retrospective cohort study of consecutively
enrolled patients over 18 years of age, over a two-year period, who presented by
EMS with syncope, or near-syncope, to a tertiary care emergency department (ED).
Demographics included comorbidities, history, and physical exam findings
documented by prehospital providers, as well as the interventions provided. Data
were collected from standardized patient care records for descriptive analysis.
RESULTS: Of the 723 patients presenting with syncope to the ED, 284 (39.3%) were
transported by EMS. Compared to non-EMS patients, those who arrived by ambulance
were older (mean age 65 [SD = 18.5] years versus 61 [SD = 19.2] years; P = .019).
There were no statistically significant differences in cardiovascular
comorbidities (hypertension, coronary artery disease, diabetes mellitus, stroke,
or congestive heart failure) between EMS and non-EMS patients. The most common
chief complaints were fainting (50.0%) and dizziness (44.7%). The most common
intervention provided was cardiac monitoring (55.6%), followed by administration
of normal saline infusion (50.5%), oxygen (41.9%), blood glucose check (41.5%),
and electrocardiogram (EKG; 40.5%). CONCLUSION: Emergency Medical Service
personnel transport more than one-third of patients presenting to the ED with
syncope. Documentation of key elements of the history (witnesses, prodrome,
predisposing factors, and post-event symptoms) and physical examination were not
recorded consistently. Long BJ , Serrano LA , Cabanas JG , Bellolio MF .
Opportunities for Emergency Medical Services (EMS) care of syncope. Prehosp
Disaster Med. 2016;31(4):349-352.
PMID- 27211800
TI - The Role of the PERK/eIF2alpha/ATF4/CHOP Signaling Pathway in Tumor Progression
During Endoplasmic Reticulum Stress.
AB - Hypoxia is a major hallmark of the tumor microenvironment that is strictly
associated with rapid cancer progression and induction of metastasis. Hypoxia
inhibits disulfide bond formation and impairs protein folding in the Endoplasmic
Reticulum (ER). The stress in the ER induces the activation of Unfolded Protein
Response (UPR) pathways via the induction of protein kinase RNA-like endoplasmic
reticulum kinase (PERK). As a result, the level of phosphorylated Eukaryotic
Initiation Factor 2 alpha (eIF2alpha) is markedly elevated, resulting in the
promotion of a pro-adaptive signaling pathway by the inhibition of global protein
synthesis and selective translation of Activating Transcription Factor 4 (ATF4).
On the contrary, during conditions of prolonged ER stress, pro-adaptive responses
fail and apoptotic cell death ensues. Interestingly, similar to the activity of
the mitochondria, the ER may also directly activate the apoptotic pathway through
ER stress-mediated leakage of calcium into the cytoplasm that leads to the
activation of death effectors. Apoptotic cell death also ensues by ATF4-CHOP-
mediated induction of several pro-apoptotic genes and suppression of the
synthesis of anti-apoptotic Bcl-2 proteins. Advancing molecular insight into the
transition of tumor cells from adaptation to apoptosis under hypoxia-induced ER
stress may provide answers on how to overcome the limitations of current anti
tumor therapies. Targeting components of the UPR pathways may provide more
effective elimination of tumor cells and as a result, contribute to the
development of more promising anti-tumor therapeutic agents.
PMID- 27211804
TI - Strength and stability analysis of a single-walled black phosphorus tube under
axial compression.
AB - Few-layered black phosphorus materials currently attract much attention due to
their special electronic properties. As a consequence, a single-layer black
phosphorus (SLBP) nanotube has been theoretically built. The corresponding
electronic properties of such a black phosphorus nanotube (BPNT) were also
evaluated numerically. However, unlike graphene formed with 2sp(2) covalent
carbon atoms, SLBP is formed with 3sp(3) bonded atoms. It means that the
structure from SLBP will possess lower Young's modulus and mechanical strength
than those of carbon nanotubes. In this study, molecular dynamics simulation is
performed to investigate the strength and stability of BPNTs affected by the
factors of diameter, length, loading speed and temperature. Results are
fundamental for investigating the other physical properties of a BPNT acting as a
component in a nanodevice. For example, buckling of the BPNT happens earlier than
fracture, before which the nanostructure has very small axial strain. For the
same BPNT, a higher load speed results in lower critical axial strain and a
nanotube with lower axial strain can still be stable at a higher temperature.
PMID- 27211805
TI - Erratum to "Serial change of C1 inhibitor in patients with sepsis-a preliminary
report" [Volume 34, Issue 3, March 2016, pages 594-598].
PMID- 27211807
TI - What are the cardiac effects of carbon monoxide poisoning in the acute and
chronic periods?
PMID- 27211806
TI - Comparison of serum sodium levels measured by blood gas analyzer and biochemistry
autoanalyzer in patients with hyponatremia, eunatremia, and hypernatremia.
AB - BACKGROUND: Blood gas analyzer (BGA) electrolyte measurements are frequently used
in emergency departments (EDs) pending biochemistry laboratory autoanalyzer (BLA)
results. There is lack of data in the literature in terms of agreement of these 2
measurement methods of sodium. We aimed to comprehensively evaluate the agreement
in hyponatremia, eunatremia, and hypernatremia groups. METHODS: Retrospectively,
adult subjects who presented to ED of a tertiary care teaching hospital and had
simultaneous BGA and BLA results were included in the study. Blood pairs were
grouped into hyponatremia, eunatremia, and hypernatremia according to BLA
results. Agreement of sodium measurements between the methods were evaluated by
Bland-Altman plots and Passing and Bablok regression analysis. RESULTS: A total
of 2557 blood pairs (1326 males [51.8%]) were included. Median age of the
patients was 66 years (18-103). The numbers of patients with hyponatremia,
eunatremia, and hypernatremia were 487 (19%), 1943 (76%), and 127 (5%),
respectively. The minimum and maximum serum sodium levels measured by
biochemistry analyzer were 106 and 171 mmol/L, respectively. The Pearson linear
correlation coefficient between BGA and BLA for sodium measurements were 0.574,
0.358, and 0.562 in hyponatremia, eunatremia, and hypernatremia groups,
respectively. The absolute mean difference for the 3 groups was greater than 4
mmol/L. Biochemistry laboratory autoanalyzer tended to measure serum sodium
higher than BGA in all sodium groups. Passing and Bablok regression analysis
showed significant differences between the 2 methods in all sodium groups.
CONCLUSION: This is the first comprehensive evaluation of agreement between BGA
and BLA in distinct sodium groups. Significant differences should be taken into
account when these patients are managed in the ED.
PMID- 27211808
TI - [Sensitivity to antibiotics uropathogens bacteria in Nouakchott - Mauritania].
AB - INTRODUCTION: A urinary tract infection (UTI) is a frequent pathology in
outpatients and admitted patients as well. In recent years, there has been an
increase in the resistance of germs responsible for UTI to tested antibiotics,
particularly because of the emergence of Enterobacteria secreting extended
spectrum beta-lactamase (ESBL). OBJECTIVE: The aim of this work was to study the
epidemiology of germs responsible for urinary tract infections and their
antibiotic sensitivity at three clinical laboratories in the city of Nouakchott
(Mauritania) in all patients presenting to these laboratories for urine culture
during a period of six months. METHODS: This is a prospective study conducted at
three clinical laboratories in Nouakchott, over a period of six months from
January 1st to June 30th 2014 for all patients coming to these laboratories for
urinalysis test during this period. The culture was performed according to the
usual techniques, and the antibiogram was done according to the recommendations
of the Antibiogram Committee of the French Society of Microbiology. The
statistical analysis was performed using SPSS Statistics 20. RESULTS: From 3082
urine exam, 568 were positive, which means 18.4%. These infections were for
hospitalized patients (17.8%) and outpatients in particular (82.2%). Sex ratio
F/M was 1.6. The epidemiology of urinary tract infections in these three medical
analysis laboratories is comparable to the past studies data regarding age, sex,
and the bacteria, the most frequently responsible (Escherichia coli 64.4%). But
differences in the resistance of E. coli are observed: higher resistance to beta
lactam antibiotics, the quinolones, the fluoroquinolones, and cotrimoxazol. UTI
was common in patients with urinary catheter (64.9%), diabetics (52.4%),
hospitalized patients (49.3%) and pregnant women (38.7%). The prevalence of
urinary tract infections caused by Enterobacteria ESBL in our study was 12.8%, of
all urinary tract infections caused by Enterobacteria; 10.4 and 20.4% of the E.
coli and Klebsiella spp, respectively produced a ESBL. CONCLUSION: The
distribution of germs in our study is comparable to the literature, however,
antibiotic resistance is higher in our study, which is probably a result of the
inappropriate use of these drugs in our country, therefore it is important for us
to create a good strategy to supply and distribute these drugs, as well as the
review of the empirical treatment of UTI in our country. LEVEL OF EVIDENCE: 4.
PMID- 27211809
TI - Mitochondrial Hsp70 and the troubles of nomenclature: leaving behind tradition to
gain intuitiveness and clarity.
PMID- 27211811
TI - Corrigendum.
PMID- 27211810
TI - Investigation of apoptotic events at molecular level induced by SERS guided
targeted theranostic nanoprobe.
AB - Herein, we have examined distinctive structural and functional variations of
cellular components during apoptotic cell death induced by a targeted theranostic
nanoprobe, MMP-SQ@GNR@LAH-DOX, which acted as a SERS "on/off" probe in the
presence of a MMP protease and executed synergistic photothermal chemotherapy, as
reflected by the SERS fingerprinting, corresponding to the phosphodiester
backbone of DNA.
PMID- 27211812
TI - Recent advancements in hematopoietic stem cell (HSC) and cellular products
minimal manipulation and clinical use.
PMID- 27211813
TI - Paolo Perseghin, Biography.
PMID- 27211814
TI - An update on ABO incompatible hematopoietic progenitor cell transplantation.
AB - Hematopoietic progenitor cell (HPC) transplantation has long been established as
the optimal treatment for many hematologic malignancies. In the setting of
allogenic HLA matched HPC transplantation, greater than 50% of unrelated donors
and 30% of related donors demonstrate some degree of ABO incompatibility (ABOi),
which is classified in one of three ways: major, minor, or bidirectional. Major
ABOi refers to the presence of recipient isoagglutinins against the donor's A
and/or B antigen. Minor ABOi occurs when the HPC product contains the
isoagglutinins targeting the recipient's A and/or B antigen. Bidirectional refers
to the presence of both major and minor ABOi. Major adverse events associated
with ABOi HPC transplantation includes acute and delayed hemolysis, pure red cell
aplasia, and delayed engraftment. ABOi HPC transplantation poses a unique
challenge to the clinical transplantation unit, the HPC processing lab, and the
transfusion medicine service. Therefore, it is essential that these services
actively communicate with one another to ensure patient safety. This review will
attempt to globally address the challenges related to ABOi HPC transplantation,
with an increased focus on aspects related to the laboratory and transfusion
medicine services.
PMID- 27211816
TI - Resident's corner. sQuiz: Generalized lesions resembling water droplets after
treatment with idarubicin and all-trans retinoic acid.
PMID- 27211815
TI - Mechanism of p27 Unfolding for CDK2 Reactivation.
AB - Cell-cycle regulatory protein, CDK2 is active when bound to its complementary
partner protein, CyclinA or E. Recent discovery of the Kip/Cip family of proteins
has indicated that the activity of CDK2 is also regulated by a member protein,
p27. Although, the mechanism of CDK2 inhibition by p27 binding is known from
crystal structure, little is known about the mechanism of CDK2 reactivation.
Here, we execute classical and accelerated molecular dynamics simulations of
unphosphorylated- and phosphorylated-p27 bound CDK2/CyclinA to unravel the CDK2
reactivation mechanism at molecular-to-atomic detail. Results suggest that the
phosphorylation of p27 Y88 residue (pY88-p27) first disrupts the p27/CDK2 hybrid
beta-sheet and subsequently ejects the p27 310 helix from CDK2 catalytic cleft.
The unbinding of p27 from CDK2/CyclinA complex, thus, follows a two-step
unfolding mechanism, where the 310 helix ejection constitutes the rate-limiting
step. Interestingly, the unfolding of p27 leaves CDK2/CyclinA in an active state,
where the prerequisite CDK2-CyclinA interfacial contacts were regained and ATP
achieved its native position for smooth transfer of phosphate. Our findings match
very well with NMR chemical shift data that indicated the flip-out of p27 310
helix from CDK2 pocket and kinetic experiments that exhibited significant kinase
activity of CDK2 when saturated with pY88-p27.
PMID- 27211818
TI - Low electron-polar optical phonon scattering as a fundamental aspect of carrier
mobility in methylammonium lead halide CH3NH3PbI3 perovskites.
AB - High carrier mobility is often invoked to justify the exceptionally long
diffusion length in CH3NH3PbI3 perovskites. Using a combination of an ab initio
band structure and scattering models, we present clear evidence that large
electrical and Hall mobilities are crucially related to the low scattering rate
of carriers with polar optical phonons, which represents the dominant mobility
limiting mechanism at room temperature. With a charge-injection regime at room
temperature, we obtained carrier relaxation times (taurel) of ~10 fs, which are
typical of polar inorganic semiconductors, and electrical mobilities (MU) as high
as ~60 cm(2) V(-1) s(-1) and 40 cm(2) V(-1) s(-1) for electrons and holes,
respectively, which were robustly independent on the injected carrier density in
the range of n ~ 10(14) cm(-3) to 10(20) cm(-3). In the absence of a significant
concentration of trapping centers, these mobilities foster diffusion lengths of
~10 MUm for the low injection density regime (n ~ 10(15) cm(-3)), which are in
agreement with recent measurements for highly pure single-crystal perovskites.
PMID- 27211817
TI - Leptin promotes proliferation and metastasis of human gallbladder cancer through
OB-Rb leptin receptor.
AB - Emerging evidence has shown that leptin, an adipocyte-derived cytokine that is
closely associated with obesity, play a significant role in carcinogenesis and
tumorigenesis. However, its impact on gallbladder cancer (GBC) remains unclear.
In this study, we firstly found that leptin and its functional receptor OB-Rb
were significantly co-expressed in human GBC tissues and cell lines, the content
of which were higher than those in normal human gallbladder tissues. Treatment
with leptin promoted the proliferation, migration and invasion of GBC cells,
which were attenuated by OB-Rb shRNA. Blocking in the G2/M period of cell cycle,
increasing of MMP3 and MMP9, increasing of VEGF-C/D, activation of SOCS3/JAK2/p
STAT3 pathway was demonstrated after treatment with leptin. All of these positive
responses were attenuated by OB-Rb receptor shRNA. Taken together, our findings
suggest that leptin promoted the proliferation, migration and invasion of GBC
cells by increasing OB-Rb expression through the SOCS3/JAK2/p-STAT3 signal
pathway. Targeting the leptin/OB-Rb axis could be an attractive therapeutic
strategy for treatment of GBC.
PMID- 27211819
TI - Osteoarthritis, obesity and type 2 diabetes: The weight of waist circumference.
AB - BACKGROUND: Obesity and type 2 diabetes (T2D) significantly increase the risk of
developing an arthritic condition. METHODS: We performed a review of literature
on the pathophysiological mechanisms that underpin the relationships between
obesity, T2D and osteoarthritis (OA). RESULTS: The pathophysiology of the link
between obesity and OA is related to both the direct effect of excess mechanical
loads being placed on the cartilage and to an adipose tissue effect. Adipocytes
produce and release adipokines (e.g. leptin). They are also the seat of a local
inflammatory reaction when the adipose tissue is ectopic (visceral vs.
subcutaneous adipose tissue), and then systemic effects that add even more to a
micro-inflammatory mechanism. In diabetics, insulin resistance can add to these
mechanisms, which can damage cartilage, bone and synovial tissue. These all act
together to reduce mobility in obese subjects and contribute to a vicious cycle
centered on OA, especially when the obesity is predominantly abdominal and/or
associated with T2D. DISCUSSION: Prevention of obesity-related OA must be the
focus in high-risk subjects, such as those who are obese with metabolic
syndrome>"metabolically healthy" obese, have T2D, and normal weight subjects with
abdominal obesity (defined as waist circumference>102cm for men and 88cm for
women). The primary component of this prevention effort is weight loss combined
with a balanced diet and regular physical activity.
PMID- 27211821
TI - Observed Racial Socialization and Maternal Positive Emotions in African American
Mother-Adolescent Discussions About Racial Discrimination.
AB - This study examined patterns of (a) observed racial socialization messages in
dyadic discussions between 111 African American mothers and adolescents (Mage =
15.50) and (b) mothers' positive emotions displayed during the discussion.
Mothers displayed more advocacy on behalf of their adolescents in response to
discrimination by a White teacher than to discrimination by a White salesperson.
Mothers displayed consistent emotional support of adolescents' problem solving
across both dilemmas but lower warmth in response to the salesperson dilemma.
Findings illustrate evidence of the transactional nature of racial socialization
when presented with adolescents' racial dilemmas. The role of adolescent gender
in mothers' observed racial socialization responses is also discussed. A
framework for a process-oriented approach to racial socialization is presented.
PMID- 27211820
TI - Genetic manipulation of iron biomineralization enhances MR relaxivity in a
ferritin-M6A chimeric complex.
AB - Ferritin has gained significant attention as a potential reporter gene for in
vivo imaging by magnetic resonance imaging (MRI). However, due to the ferritin
ferrihydrite core, the relaxivity and sensitivity for detection of native
ferritin is relatively low. We report here on a novel chimeric magneto-ferritin
reporter gene - ferritin-M6A - in which the magnetite binding peptide from the
magnetotactic bacteria magnetosome-associated Mms6 protein was fused to the C
terminal of murine h-ferritin. Biophysical experiments showed that purified
ferritin-M6A assembled into a stable protein cage with the M6A protruding into
the cage core, enabling magnetite biomineralisation. Ferritin-M6A-expressing C6
glioma cells showed enhanced (per iron) r2 relaxivity. MRI in vivo studies of
ferritin-M6A-expressing tumour xenografts showed enhanced R2 relaxation rate in
the central hypoxic region of the tumours. Such enhanced relaxivity would
increase the sensitivity of ferritin as a reporter gene for non-invasive in vivo
MRI-monitoring of cell delivery and differentiation in cellular or gene-based
therapies.
PMID- 27211822
TI - Dynamic finite-element model for efficient modelling of electric currents in
electroporated tissue.
AB - In silico experiments (numerical simulations) are a valuable tool for non
invasive research of the influences of tissue properties, electrode placement and
electric pulse delivery scenarios in the process of electroporation. The work
described in this article was aimed at introducing time dependent effects into a
finite element model developed specifically for electroporation. Reference
measurements were made ex vivo on beef liver samples and experimental data were
used both as an initial condition for simulation (applied pulse voltage) and as a
reference value for numerical model calibration (measured pulse current). The
developed numerical model is able to predict the time evolution of an electric
pulse current within a 5% error over a broad range of applied pulse voltages,
pulse durations and pulse repetition frequencies. Given the good agreement of the
current flowing between the electrodes, we are confident that the results of our
numerical model can be used both for detailed in silico research of
electroporation mechanisms (giving researchers insight into time domain effects)
and better treatment planning algorithms, which predict the outcome of treatment
based on both spatial and temporal distributions of applied electric pulses.
PMID- 27211824
TI - Effect of mid-dose efavirenz concentrations and CYP2B6 genotype on viral
suppression in patients on first-line antiretroviral therapy.
AB - The therapeutic range for efavirenz plasma concentrations is unclear and some
studies found no correlation with viral non-suppression. Efavirenz concentrations
are variable, driven in part by polymorphisms in CYP2B6. We hypothesised that
efavirenz mid-dosing concentrations, together with CYP2B6 metaboliser genotype,
could predict viral non-suppression. Participants starting first-line efavirenz
based antiretroviral therapy were monitored for 48 weeks. HIV-RNA and efavirenz
mid-dose interval concentrations were determined at Weeks 16 and 48. CYP2B6
metaboliser genotype status was determined by 516G->T and 983T->C polymorphisms.
Cox proportional hazards modelling was used to predict viral non-suppression and
to determine the most predictive efavirenz mid-dosing concentration threshold. In
total, 180 participants were included. Median efavirenz concentrations were 2.3
mg/L (IQR 1.6-4.6 mg/L) and 2.2 mg/L (IQR 1.5-3.9 mg/L) at Weeks 16 and 48,
respectively. Moreover, 49 (27.2%), 84 (46.7%) and 39 (21.7%) participants had
extensive, intermediate or slow CYP2B6 metaboliser genotype, respectively. Log2
efavirenz concentrations [adjusted hazard ratio (aHR) = 0.77, 95% CI 0.67-0.89]
and baseline CD4 cell count (aHR = 0.994, 95% CI 0.989-0.998), but not CYP2B6
genotype, were predictive of viral non-suppression. For every doubling of
efavirenz concentration there was a 23% decrease in the hazard of non
suppression. A threshold of 0.7 mg/L was found to be the efavirenz mid-dosing
concentration that was most predictive of non-suppression. Mid-dosing efavirenz
concentrations are predictive of viral non-suppression, but the currently
recommended lower therapeutic limit (1 mg/L) is higher than our finding.
Knowledge of CYP2B6 metaboliser genotype is not required for prediction of
virological outcomes.
PMID- 27211823
TI - Identification of a New Genotype of African Swine Fever Virus in Domestic Pigs
from Ethiopia.
AB - African swine fever (ASF) is an important emerging transboundary animal disease
(TAD), which currently has an impact on many countries in Africa, Eastern Europe,
the Caucasus and the Russian Federation. The current situation in Europe shows
the ability of the virus to rapidly spread, which stands to threaten the global
swine industry. At present, there is no viable vaccine to minimize spread of the
disease and stamping out is the main source of control. In February 2011,
Ethiopia had reported its first suspected outbreaks of ASF. Genomic analyses of
the collected ASF virus (ASFV) strains were undertaken using 23 tissue samples
collected from domestic swine in Ethiopia from 2011 to 2014. The analysis of
Ethiopian ASFVs partial p72 gene sequence showed the identification of a new
genotype, genotype XXIII, that shares a common ancestor with genotypes IX and X,
which comprise isolates circulating in Eastern African countries and the Republic
of Congo. Analysis of the p54 gene also followed the p72 pattern and the deduced
amino acid sequence of the central variable region (CVR) of the B602L gene showed
novel tetramer repeats not previously characterized.
PMID- 27211825
TI - Head lice probably resistant to ivermectin recovered from two rural girls in
Dielmo, a village in Sine-Saloum, Senegal.
PMID- 27211826
TI - Development of efflux pump inhibitors in antituberculosis therapy.
AB - Resistance and tolerance to antituberculosis (anti-TB) drugs, especially the
first-line drugs, has become a serious problem in anti-TB therapy. Efflux of
antimicrobial agents via bacterial efflux pumps is one of the main reasons for
drug resistance. Efflux pump inhibitors (EPIs) bind to efflux pumps to inhibit
drug efflux and thus enhance the drug effect and reduce drug resistance. Studies
on EPIs targeting the efflux pumps of Mycobacterium tuberculosis (Mtb) help to
understand Mtb resistance and to identify the potential drug target and are of
significance in guiding the development of new anti-TB drugs and optimal
combinations. Currently, there are many potential EPIs under study, but none of
them has been used clinically for anti-TB therapy. In this article, we will
provide an overview on the current development of EPIs targeting the efflux pumps
of Mtb and discuss their potential clinical applications.
PMID- 27211827
TI - Rapid detection of amoxicillin-susceptible Escherichia coli in fresh uncultured
urine: a new tool to limit the use of broad-spectrum empirical therapy of
community-acquired pyelonephritis.
AB - Because of the high prevalence of amoxicillin resistance among uropathogens,
amoxicillin is not recommended as an empirical treatment of urinary tract
infections (UTIs). Quick detection of an amoxicillin-susceptible Escherichia coli
(ASEC) would allow prescribing amoxicillin without preliminary broad-spectrum
empirical treatment in uncomplicated pyelonephritis. To quickly diagnose UTIs due
to ASEC, we developed a real-time PCR that detects in fresh uncultured urine the
E. coli-specific gene yccT as well as the blaTEM and blaCTX-M genes. The ASEC
rapid test was considered positive if the PCR was positive for the yccT gene but
negative for blaTEM and blaCTX-M. The test was compared with culture and
susceptibility testing. Among 200 patients with a suspected community-acquired
UTI, 61 (30.5%) had a monobacterial UTI due to ASEC. The ASEC rapid test result
was obtained in 3 h 13 [95% confidence interval (CI) 3 h 12-3 h 15] and was
positive for 43 patients (21.5%). Specificity and sensitivity were 97.8% (95% CI
95.8-99.8%) and 65.6% (95% CI 59.0-72.1%), respectively. Positive and negative
predictive values were 93.0% (95% CI 89.5-96.5%) and 86.6% (95% CI 81.9-91.3%),
respectively. Owing to its high specificity and positive predictive value, the
ASEC rapid test allows the diagnosis of UTI due to ASEC only 3 h after urine
sampling. A positive ASEC rapid test may be used to treat uncomplicated
pyelonephritis with amoxicillin from the start, without preliminary broad
spectrum empirical treatment. The ASEC rapid test is a promising tool to spare
fluoroquinolones and third-generation cephalosporins in UTIs.
PMID- 27211828
TI - Synergistic WO3.2H2O Nanoplates/WS2 Hybrid Catalysts for High-Efficiency Hydrogen
Evolution.
AB - Tungsten trioxide dihydrate (WO3.2H2O) nanoplates are prepared by in situ anodic
oxidation of tungsten disulfide (WS2) film on carbon fiber paper (CFP). The
WO3.2H2O/WS2 hybrid catalyst exhibits excellent synergistic effects which
facilitate the kinetics of the hydrogen evolution reaction (HER). The
electrochromatic effect takes place via hydrogen intercalation into WO3.2H2O.
This process is accelerated by the desirable proton diffusion coefficient in the
layered WO3.2H2O. Hydrogen spillover from WO3.2H2O to WS2 occurs via atomic
polarization caused by the electric field of the charges on the planar defect or
edge active sites of WS2. The optimized hybrid catalyst presents a geometrical
current density of 100 mA cm(-2) at 152 mV overpotential with a Tafel slope of
~54 mV per decade, making the materials one of the most active nonprecious metal
HER catalysts.
PMID- 27211829
TI - Depth and time-dependent heterogeneity of microglia in mouse hippocampal slice
cultures.
AB - Microglia are the brain-resident immune cells with the phagocytic capacity to
engulf dead and living neurons in health and disease. However, the mechanisms
underlying the neuron-microglia interaction remain elusive partly because proper
in vitro systems are lacking. Specifically, the highly activated status of
microglia with amoeboid morphology in primary culture is different from the
'resting' microglia with multiple processes in vivo. Here, we performed a
detailed investigation of microglial properties in mouse hippocampal slice
cultures, focusing on the changes in morphology in the activated state, finding a
depth and time-dependent localization of in vivo-like microglia in slice
cultures.
PMID- 27211832
TI - Marker recycling system using the sC gene in the white koji mold, Aspergillus
luchuensis mut. kawachii.
PMID- 27211830
TI - Identification of host genes leading to West Nile virus encephalitis in mice
brain using RNA-seq analysis.
AB - Differential host responses may be critical determinants of distinct pathologies
of West Nile virus (WNV) NY99 (pathogenic) and WNV Eg101 (non-pathogenic)
strains. We employed RNA-seq technology to analyze global differential gene
expression in WNV-infected mice brain and to identify the host cellular factors
leading to lethal encephalitis. We identified 1,400 and 278 transcripts, which
were differentially expressed after WNV NY99 and WNV Eg101 infections,
respectively, and 147 genes were common to infection with both the viruses. Genes
that were up-regulated in infection with both the viruses were mainly associated
with interferon signaling. Genes associated with inflammation and cell
death/apoptosis were only expressed after WNV NY99 infection. We demonstrate that
differences in the activation of key pattern recognition receptors resulted in
the induction of unique innate immune profiles, which corresponded with the
induction of interferon and inflammatory responses. Pathway analysis of
differentially expressed genes indicated that after WNV NY99 infection, TREM-1
mediated activation of toll-like receptors leads to the high inflammatory
response. In conclusion, we have identified both common and specific responses to
WNV NY99 and WNV Eg101 infections as well as genes linked to potential resistance
to infection that may be targets for therapeutics.
PMID- 27211833
TI - Biosynthesis of the antituberculous agent caprazamycin: Identification of
caprazol-3"-phosphate, an unprecedented caprazamycin-related metabolite.
PMID- 27211831
TI - Isolation and characterization of a bacterial strain that degrades cis
dichloroethenein the absence of aromatic inducers.
AB - Bacteria capable of degrading cis-dichloroethene (cDCE) were screened from cDCE
contaminated soil, and YKD221, a bacterial strain that exhibited a higher growth
on minimal salt agar plates in the presence of cDCE than in the absence of cDCE,
were isolated. Phylogenetic studies of the 16S rRNA as well as gyrB, rpoD, and
recA in YKD221 indicated that this strain is closely related to the type strains
of Pseudomonas plecoglossicida, monteilii, and putida. An average nucleotide
identity analysis indicated that YKD221 is most closely related to P. putida
strains, including the type strain, which suggests that YKD221 belongs to P.
putida. Although the genome of YKD221 was very similar to that of P. putida F1, a
toluene-degrading strain, the YKD221 genome has 15 single-nucleotide
polymorphisms and 4 insertions compared with the F1 genome. YKD221 caused the
release of sufficient chloride ions from cDCE to suggest that the strain is able
to completely dechlorinate and degrade cDCE. YKD221 also degraded trichloroethene
but was unable to degrade trans-dichloroethene and tetrachloroethene. The
degradation activity of YKD221 was elevated after growth on toluene. Inactivation
of todC1, which encodes for a large subunit of the catalytic terminal component
in toluene dioxygenase, resulted in a complete loss of growth on toluene and cDCE
degradation activity. This is the first evidence of the involvement of todC1C2BA
coded toluene dioxygenase in cDCE degradation. YKD221 did not appear to grow on
cDCE in a minimal salt liquid medium. However, YKD221 did exhibit an enhanced
increase in cell concentration and volume of cells during growth on minimal salt
agar plates with cDCE when first grown in LB medium. This behavior appears to
have led us to misinterpret our initial results on YKD221 as an indication of
improved growth in the presence of cDCE.
PMID- 27211834
TI - Impact brain apnoea - A forgotten cause of cardiovascular collapse in trauma.
AB - OBJECTIVE: Early death following cranial trauma is often considered unsurvivable
traumatic brain injury (TBI). However, Impact Brain Apnoea (IBA), the phenomenon
of apnoea following TBI, may be a significant and preventable contributor to
death attributed to primary injury. This paper reviews the history of IBA, cites
case examples and reports a survey of emergency responder experience. METHODS:
Literature and narrative review and focused survey of pre-hospital physicians.
RESULTS: IBA was first reported in the medical literature in 1705 but has been
demonstrated in multiple animal studies and is frequently anecdotally witnessed
in the pre-hospital arena following human TBI. It is characterised by the
cessation of spontaneous breathing following a TBI and is commonly accompanied by
a catecholamine surge witnessed as hypertension followed by cardiovascular
collapse. This contradicts the belief that isolated traumatic brain injury cannot
be the cause of shock, raising the possibility that brain injury may be
misinterpreted and therefore mismanaged in patients with isolated brain injury.
Current trauma management techniques (e.g. rolling patients supine, compression
only cardiopulmonary resuscitation) could theoretically compound hypoxia and
worsen the effects of IBA. Anecdotal examples from clinicians attending head
injured patients within a few minutes of injury are described. Proposals for the
study and intervention for IBA using advances in remote technology are discussed.
CONCLUSION: IBA is a potential cause of early death in some head injured
patients. The precise mechanisms in humans are poorly understood but it is likely
that early, simple interventions to prevent apnoea could improve clinical
outcomes.
PMID- 27211835
TI - Reperfusion injury protection during Basic Life Support improves circulation and
survival outcomes in a porcine model of prolonged cardiac arrest.
AB - OBJECTIVE: Ischemic postconditioning (PC) using three intentional pauses at the
start of cardiopulmonary resuscitation (CPR) improves outcomes after cardiac
arrest in pigs when epinephrine (epi) is used before defibrillation. We
hypothesized PC, performed during basic life support (BLS) in the absence of
epinephrine, would reduce reperfusion injury and enhance 24h functional recovery.
DESIGN: Prospective animal investigation. SETTING: Animal laboratory SUBJECTS:
Female farm pigs (n=46, 39+/-1kg). INTERVENTIONS: Protocol A: After 12min of
ventricular fibrillation (VF), 28 pigs were randomized to four groups: (A)
Standard CPR (SCPR), (B) active compression-decompression CPR with an impedance
threshold device (ACD-ITD), (C) SCPR+PC (SCPR+PC) and (D) ACD-ITD CPR+PC.
Protocol B: After 15min of VF, 18 pigs were randomized to ACD-ITD CPR or ACD
ITD+PC. The BLS duration was 2.75min in Protocol A and 5min in Protocol B.
Following BLS, up to three shocks were delivered. Without return of spontaneous
circulation (ROSC), CPR was resumed and epi (0.5mg) and defibrillation delivered.
The primary end point was survival without major adverse events. Hemodynamic
parameters and left ventricular ejection fraction (LVEF) were also measured. Data
are presented as mean+/-SEM. MEASUREMENTS AND MAIN RESULTS: Protocol A: ACD
ITD+PC (group D) improved coronary perfusion pressure after 3min of BLS versus
the three other groups (28+/-6, 35+/-7, 23+/-5 and 47+/-7 for groups A, B, C, D
respectively, p=0.05). There were no significant differences in 24h survival
between groups. PROTOCOL B: LVEF 4h post ROSC was significantly higher with ACD
ITD+PC vs ACD-ITD alone (52.5+/-3% vs. 37.5+/-6.6%, p=0.045). Survival rates were
significantly higher with ACD-ITD+PC vs. ACD-ITD alone (p=0.027). CONCLUSIONS:
BLS using ACD-ITD+PC reduced post resuscitation cardiac dysfunction and improved
functional recovery after prolonged untreated VF in pigs. PROTOCOL NUMBER: 12-11.
PMID- 27211836
TI - An accurate method for real-time chest compression detection from the impedance
signal.
AB - OBJECTIVE: Real-time feedback improves CPR performance. Chest compression data
may be obtained from an accelerometer/force sensor, but the impedance signal
would serve as a less costly, universally available alternative. The objective is
to assess the performance of a method which detects the presence/absence of chest
compressions and derives CPR quality metrics from the impedance signal in real
time at 1s intervals without any latency period. METHODS: Defibrillator
recordings from cardiac arrest cases were divided into derivation (N=119) and
validation (N=105) datasets. With the force signal as reference, the
presence/absence of chest compressions in the impedance signal was manually
annotated (reference standard). The method classified the impedance signal at 1s
intervals as Chest Compressions Present, Chest Compressions Absent or
Indeterminate. Accuracy, sensitivity and specificity for chest compression
detection were calculated for each case. Differences between method and reference
standard chest compression fractions and rates were calculated on a minute-to
minute basis. RESULTS: In the validation set, median accuracy was 0.99 (IQR 0.98,
0.99) with 2% of 1s intervals classified as Indeterminate. Median sensitivity and
specificity were 0.99 (IQR 0.98, 1.0) and 0.98 (IQR 0.95, 1.0), respectively.
Median chest compression fraction error was 0.00 (IQR -0.01, 0.00), and median
chest compression rate error was 1.8 (IQR 0.6, 3.3) compressions per minute.
CONCLUSION: A real-time method detected chest compressions from the impedance
signal with high sensitivity and specificity and accurately estimated chest
compression fraction and rate. Future investigation should evaluate whether an
impedance-based guidance system can provide an acceptable alternative to an
accelerometer-based system.
PMID- 27211837
TI - Automatic detection of oesophageal intubation based on ventilation pressure
waveforms shows high sensitivity and specificity in patients with pulmonary
disease.
AB - BACKGROUND: Unrecognised endotracheal tube misplacement in emergency intubations
has a reported incidence of up to 17%. Current detection methods have many
limitations restricting their reliability and availability in these
circumstances. There is therefore a clinical need for a device that is small
enough to be practical in emergency situations and that can detect oesophageal
intubation within seconds. In a first reported evaluation, we demonstrated an
algorithm based on pressure waveform analysis, able to determine tube location
with high reliability in healthy patients. The aim of this study was to validate
the specificity of the algorithm in patients with abnormal pulmonary compliance,
and to demonstrate the reliability of a newly developed small device that
incorporates the technology. MATERIALS AND METHODS: Intubated patients with mild
to moderate lung injury, admitted to intensive care were included in the study.
The device was connected to the endotracheal tube, and three test ventilations
were performed in each patient. All diagnostic data were recorded on PC for
subsequent specificity/sensitivity analysis. RESULTS AND DISCUSSION: A total of
105 ventilations in 35 patients with lung injury were analysed. With the
threshold D-value of 0.1, the system showed a 100% sensitivity and specificity to
diagnose tube location. CONCLUSION: The algorithm retained its specificity in
patients with decreased pulmonary compliance. We also demonstrated the
feasibility to integrate sensors and diagnostic hardware in a small, portable
hand-held device for convenient use in emergency situations.
PMID- 27211838
TI - Complement inhibition in biomaterial- and biosurface-induced thromboinflammation.
AB - Therapeutic medicine today includes a vast number of procedures involving the use
of biomaterials, transplantation of therapeutic cells or cell clusters, as well
as of solid organs. These treatment modalities are obviously of great benefit to
the patient, but also present a great challenge to the innate immune system,
since they involve direct exposure of non-biological materials, cells of non
hematological origin as well as endothelial cells, damaged by ischemia-perfusion
in solid organs to proteins and cells in the blood. The result of such an
exposure may be an inappropriate activation of the complement and
contact/kallikrein systems, which produce mediators capable of triggering the
platelets and PMNs and monocytes, which can ultimately result in thrombotic and
inflammatory (i.e., a thrombo-inflammatory) response to the treatment modality.
In this concept review, we give an overview of the mechanisms of recognition
within the innate immunity system, with the aim to identify suitable points for
intervention. Finally, we discuss emerging and promising techniques for surface
modification of biomaterials and cells with specific inhibitors in order to
diminish thromboinflammation and improve clinical outcome.
PMID- 27211839
TI - Computer simulation of two chemostat models for one nutrient resource.
AB - We consider Michaelis-Menten chemostat dynamic models, describing the process of
continuous cultivation of bacteria with one organic substrate and two types of
microorganisms in a case where the Michaelis-Menten constants for the two
competing species of microorganisms are equal. For such a system we obtain
solutions with the finite initial conditions assuming only positive values. As it
is shown the problem is reduced to the solution of the nonlinear differential
equation of the first order. For some parametric relations the solutions of the
differential system are found in the analytical form. Using numerical procedures
we construct software modules that allow modeling the chemostat cultivation for
the changing parameters and visualizing the dynamics of the development process
for each microorganism. A comparative analysis of some numerical methods that are
used to integrate the resulting nonlinear differential equation is given.
PMID- 27211840
TI - Damage limitation.
AB - A spring-loaded mechanism can explain the activation process for a protein that
has a crucial role in maintaining the genomic integrity of immature eggs cells.
PMID- 27211841
TI - Sinomenine attenuates renal fibrosis through Nrf2-mediated inhibition of
oxidative stress and TGFbeta signaling.
AB - Renal fibrosis is the common feature of chronic kidney disease and mainly
mediated by TGFbeta-associated pro-fibrogenic signaling, which causes excessive
extracellular matrix accumulation and successive loss of kidney functions.
Sinomenine (SIN), an alkaloid derived from medicinal herb extensively used in
treatment of rheumatoid arthritis and various inflammatory disorders, displays
renal protective properties in experimental animals; however its pharmacological
potency against renal fibrosis is not explored. In this study we report that SIN
possesses strong anti-renal fibrosis functions in kidney cell and in mouse
fibrotic kidney. SIN beneficially modulated the pro-fibrogenic protein expression
in TGFbeta-treated kidney cells and attenuated the renal fibrotic pathogenesis
incurred by unilateral ureteral obstruction (UUO), which correlated with its
activation of Nrf2 signaling - the key defender against oxidative stress with
anti-fibrotic potentials. Further investigation on its regulation of Nrf2
downstream events revealed that SIN significantly balanced oxidative stress via
improving the expression and activity of anti-oxidant and detoxifying enzymes,
and interrupted the pro-fibrogenic signaling of TGFbeta/Smad and Wnt/beta
catenin. Even more impressively SIN achieved its anti-fibrotic activities in an
Nrf2-dependent manner, suggesting that SIN regulation of Nrf2-associated anti
fibrotic activities constitutes a critical component of SIN's renoprotective
functions. Collectively our studies have demonstrated a novel anti-fibrotic
property of SIN and its upstream events and provided a molecular basis for SIN's
potential applications in treatment of renal fibrosis-associated kidney
disorders.
PMID- 27211842
TI - Development of HSPA1A promoter-driven luciferase reporter gene assays in human
cells for assessing the oxidative damage induced by silver nanoparticles.
AB - The exponential increase in the total number of engineered nanoparticles in
consumer products requires novel tools for rapid and cost-effective toxicology
screening. In order to assess the oxidative damage induced by nanoparticles,
toxicity test systems based on a human HSPA1A promoter-driven luciferase reporter
in HepG2, LO2, A549, and HBE cells were established. After treated with heat
shock and a group of silver nanoparticles (AgNPs) with different primary particle
sizes, the cell viability, oxidative damage, and luciferase activity were
determined. The time-dependent Ag(+) ions release from AgNPs in cell medium was
also evaluated. Our results showed that heat shock produced a strong time
dependent induction of relative luciferase activity in the four luciferase
reporter cells. Surprisingly, at 4h of recovery, the relative luciferase activity
was >98* the control level in HepG2-luciferase cells. Exposure to different sizes
of AgNPs resulted in activation of the HSPA1A promoter in a dose-dependent
manner, even at low cytotoxic or non-cytotoxic doses. The smaller (5nm) AgNPs
were more potent in luciferase induction than the larger (50 and 75nm) AgNPs.
These results were generally in accordance with the oxidative damage indicated by
malondialdehyde concentration, reactive oxygen species induction and glutathione
depletion, and Ag(+) ions release in cell medium. Compared with the other three
luciferase reporter cells, the luciferase signal in HepG2-luciferase cells is
obviously more sensitive and stable. We conclude that the luciferase reporter
cells, especially the HepG2-luciferase cells, could provide a valuable tool for
rapid screening of the oxidative damage induced by AgNPs.
PMID- 27211843
TI - Role of nicotinamide (vitamin B3) in acetaminophen-induced changes in rat liver:
Nicotinamide effect in acetaminophen-damged liver.
AB - Acetaminophen is a widely used analgesic and antipyretic agent, which is safe at
therapeutic doses. However, overdoses of acetaminophen induce severe oxidative
stress, which leads to acute liver failure. Nicotinamide has proven effective in
ameliorating many pathological conditions that occur due to oxidative stress.
This study verifies the prophylactic and therapeutic effects of nicotinamide
against the hepatic pathophysiological and ultrastructural alterations induced by
acetaminophen. Wistar rats intoxicated with an acute overdose of acetaminophen
(5g/kg b.wt) were given a single dose of nicotinamide (500mg/kg b.wt) either
before or after intoxication. Acetaminophen caused significant elevation in the
liver functions and lipid peroxidation marker, and decline in the activities of
the hepatic antioxidant enzymes. This oxidative injury was associated with
hepatic centrilobular necrosis, hemorrage, vacuolar degeneration, lipid
accumulation and mitochondrial alterations. Treating intoxicated rats with
nicotinamide (500mg/kg) significantly ameliorated acetaminophen-induced
biochemical changes and pathological injuries. However, administering the same
dose of nicotinamide to healthy animals or prior to acetaminophen-intoxication
induced hepatotoxicity. Caution should be taken when administering high doses of
NAM because of its possible hepatotoxicity. Considering the wide use of
nicotinamide, there is an important need for monitoring nicotinamide tolerance,
safety and efficacy in healthy and diseased subjects.
PMID- 27211844
TI - Restored Physiological Vasomotion Imitating Intrascaffold Restenosis 3 Years
After Bioresorbable Scaffold Implantation.
AB - Bioresorbable vascular scaffolds (BVSs) have recently been introduced into
clinical practice; they have many potential advantages, 1 of which includes
restoring physiological vasomotion. It has been shown that the degree of
vasomotion is correlated with bioresorption. We present a case of intrascaffold
spasm masquerading as restenosis 3 years after implantation, despite the ongoing
process of bioresorption: intra-BVS vasospasm should therefore be considered and
confirmed by intracoronary nitrate administration.
PMID- 27211845
TI - Strain sonoelastographic evaluation of biceps muscle intrinsic stiffness after
botulinum toxin-A injection.
AB - BACKGROUND: The most commonly used clinical tools for measuring spasticity are
modified Ashworth scale (MAS) and Tardieu scale but both yield subjective rather
than objective results. Ultrasound elastography (EUS) provides information on
tissue stiffness and allows the qualitative or quantitative measurements of the
mechanical properties of tissues. OBJECTIVE: To assess the stiffness of biceps
brachialis muscles in stroke patients by strain EUS and to investigate the
sonoelastographic changes and its correlations with clinical evaluation
parameters after botulinum toxin-A (BTA) injections. METHODS: This is a
prospective study. A total of 48 chronic stroke patients requiring BTA injections
to biceps brachialis muscles were included in the study. All patients received
injections with BTA to biceps brachialis muscles under ultrasound guidance. MAS,
goniometric measurements, and strain EUS assessments were performed at
preintervention and at 4-week postintervention. RESULTS: Strain index values of
biceps muscle on the affected side were significantly increased compared with
those on the unaffected side (p < 0.01). At 4 weeks after BTA injection,
significant improvements were observed in MAS grades and goniometric measurements
(p < 0.05). Statistically significant differences were also found between the MAS
grades and strain index values in both pre-/postintervention period (p < 0.01).
No significant correlations were observed between clinical parameters and strain
EUS findings. CONCLUSIONS: Strain EUS is a promising diagnostic tool for
assessing stiffness in spastic muscles, in establishing the treatment plan and
monitoring the effectiveness of the therapeutic modality.
PMID- 27211846
TI - Predominance of sperm motion in corners.
AB - Sperm migration through the female tract is crucial to fertilization, but the
role of the complex and confined structure of the fallopian tube in sperm
guidance remains unknown. Here, by confocal imaging microchannels head-on, we
distinguish corner- vs. wall- vs. bulk-swimming bull sperm in confined
geometries. Corner-swimming dominates with local areal concentrations as high as
200-fold that of the bulk. The relative degree of corner-swimming is strongest in
small channels, decreases with increasing channel size, and plateaus for channels
above 200 MUm. Corner-swimming remains predominant across the physiologically
relevant range of viscosity and pH. Together, boundary-following sperm account
for over 95% of the sperm distribution in small rectangular channels, which is
similar to the percentage of wall swimmers in circular channels of similar size.
We also demonstrate that wall-swimming sperm travel closer to walls in smaller
channels (~100 MUm), where the opposite wall is within the hydrodynamic
interaction length-scale. The corner accumulation effect is more than the
superposition of the influence of two walls, and over 5-fold stronger than that
of a single wall. These findings suggest that folds and corners are dominant in
sperm migration in the narrow (sub-mm) lumen of the fallopian tube and
microchannel-based sperm selection devices.
PMID- 27211847
TI - Stability of numerous novel potassium chlorides at high pressure.
AB - K-Cl is a simple system displaying all four main types of bonding, as it contains
(i) metallic potassium, (ii) elemental chlorine made of covalently bonded Cl2
molecules held together by van der Waals forces, and (iii) an archetypal ionic
compound KCl. The charge balance rule, assigning classical charges of "+1" to K
and "-1" to Cl, predicts that no compounds other than KCl are possible. However,
our quantum-mechanical variable-composition evolutionary simulations predict an
extremely complex phase diagram, with new thermodynamically stable compounds
K3Cl, K2Cl, K3Cl2, K4Cl3, K5Cl4, K3Cl5, KCl3 and KCl7. Of particular interest are
2D-metallic homologs Kn+1Cln, the presence of positively charged Cl atoms in
KCl7, and the predicted stability of KCl3 already at nearly ambient pressures at
zero Kelvin. We have synthesized cubic -KCl3 at 40-70 GPa and trigonal -KCl3 at
20-40 GPa in a laser-heated diamond anvil cell (DAC) at temperature exceeding
2000 K from KCl and Cl2. These phases were identified using in situ synchrotron X
ray diffraction and Raman spectroscopy. Upon unloading to 10 GPa, -KCl3
transforms to a yet unknown structure before final decomposition to KCl and Cl2
at near-ambient conditions.
PMID- 27211848
TI - Small molecule activation of NOTCH signaling inhibits acute myeloid leukemia.
AB - Aberrant activation of the NOTCH signaling pathway is crucial for the onset and
progression of T cell leukemia. Yet recent studies also suggest a tumor
suppressive role of NOTCH signaling in acute myeloid leukemia (AML) and
reactivation of this pathway offers an attractive opportunity for anti-AML
therapies. N-methylhemeanthidine chloride (NMHC) is a novel Amaryllidaceae
alkaloid that we previously isolated from Zephyranthes candida, exhibiting
inhibitory activities in a variety of cancer cells, particularly those from AML.
Here, we report NMHC not only selectively inhibits AML cell proliferation in
vitro but also hampers tumor development in a human AML xenograft model. Genome
wide gene expression profiling reveals that NMHC activates the NOTCH signaling.
Combination of NMHC and recombinant human NOTCH ligand DLL4 achieves a remarkable
synergistic effect on NOTCH activation. Moreover, pre-inhibition of NOTCH by
overexpression of dominant negative MAML alleviates NMHC-mediated cytotoxicity in
AML. Further mechanistic analysis using structure-based molecular modeling as
well as biochemical assays demonstrates that NMHC docks in the hydrophobic cavity
within the NOTCH1 negative regulatory region (NRR), thus promoting NOTCH1
proteolytic cleavage. Our findings thus establish NMHC as a potential NOTCH
agonist that holds great promises for future development as a novel agent
beneficial to patients with AML.
PMID- 27211849
TI - Hydro cone lens visual performance and impact on quality of life in irregular
corneas.
AB - The aim of this study is to evaluate the visual performance (efficiency) of
HydroCone (Toris K) soft silicon hydrogel lenses in patients with irregular
corneas.
PMID- 27211850
TI - Inhibition of the neuronal NFkappaB pathway attenuates bortezomib-induced
neuropathy in a mouse model.
AB - Bortezomib is a proteasome inhibitor with a remarkable antitumor activity, used
in the clinic as first line treatment for multiple myeloma. One hallmark of
bortezomib mechanism of action in neoplastic cells is the inhibition of nuclear
factor kappa B (NFkappaB), a transcription factor involved in cell survival and
proliferation. Bortezomib-induced peripheral neuropathy is a dose-limiting
toxicity that often requires adjustment of treatment and affects patient's
prognosis and quality of life. Since disruption of NFkappaB pathway can also
affect neuronal survival, we assessed the role of NFkappaB in bortezomib-induced
neuropathy by using a transgenic mouse that selectively provides blockage of the
NFkappaB pathway in neurons. Interestingly, we observed that animals with
impaired NFkappaB activation developed significantly less severe neuropathy than
wild type animals, with particular preservation of large myelinated fibers, thus
suggesting that neuronal NFkappaB activation plays a positive role in bortezomib
induced neuropathy and that bortezomib treatment might induce neuropathy by
inhibiting NFkappaBeta in non-neuronal cell types or by targeting other signaling
pathways. Therefore, inhibition of NFkappaB might be a promising strategy for the
cotreatment of cancer and neuropathy.
PMID- 27211851
TI - Nrf2 suppresses macrophage inflammatory response by blocking proinflammatory
cytokine transcription.
AB - Nrf2 (NF-E2-related factor-2) transcription factor regulates oxidative/xenobiotic
stress response and also represses inflammation. However, the mechanisms how Nrf2
alleviates inflammation are still unclear. Here, we demonstrate that Nrf2
interferes with lipopolysaccharide-induced transcriptional upregulation of
proinflammatory cytokines, including IL-6 and IL-1beta. Chromatin
immunoprecipitation (ChIP)-seq and ChIP-qPCR analyses revealed that Nrf2 binds to
the proximity of these genes in macrophages and inhibits RNA Pol II recruitment.
Further, we found that Nrf2-mediated inhibition is independent of the Nrf2
binding motif and reactive oxygen species level. Murine inflammatory models
further demonstrated that Nrf2 interferes with IL6 induction and inflammatory
phenotypes in vivo. Thus, contrary to the widely accepted view that Nrf2
suppresses inflammation through redox control, we demonstrate here that Nrf2
opposes transcriptional upregulation of proinflammatory cytokine genes. This
study identifies Nrf2 as the upstream regulator of cytokine production and
establishes a molecular basis for an Nrf2-mediated anti-inflammation approach.
PMID- 27211852
TI - A novel mutation of alpha-galactosidase A gene causes Fabry disease mimicking
primary erythromelalgia in a Chinese family.
AB - PURPOSE: Fabry disease is an X-linked genetic disorder caused by the mutations of
alpha-galactosidase A (GLA, MIM 300644) gene presenting with various clinical
symptoms including small-fiber peripheral neuropathy and limb burning pain. Here,
we reported a Chinese pedigree with the initial diagnosis of primary
erythromelalgia in an autosomal dominant (AD)-inherited pattern. METHODS:
Mutation analysis of SCN9A and GLA genes by direct sequencing and functional
analysis of a novel mutation of GLA in cells were performed. RESULTS: Our data
did not show any pathological mutations in SCN9A gene; however, a novel missense
mutation c.139T>C (p.W47R) of GLA was identified in a male proband as well as two
female carriers in this family. Enzyme assay of alpha-galactosidase A activity
showed deficient enzyme activity in male patients and female carriers, further
confirming the diagnosis of Fabry disease. Finally, a functional analysis
indicated that the replacement of the 47th amino acid tryptophan (W47) with
arginine (W47R) or glycine (W47G) led to reduced activity of alpha-galactosidase
A in 293T cells. Therefore, these findings demonstrated that the novel mutation
p.W47R of GLA is the cause of Fabry disease. CONCLUSIONS: Because Fabry disease
and primary erythromelalgia share similar symptoms, it is a good strategy for
clinical physicians to perform genetic mutation screenings on both SCN9A and GLA
genes in those patients with limb burning pain but without a clear inheritant
pattern.
PMID- 27211853
TI - Winner of the 2016 Wellcome Image Awards.
PMID- 27211854
TI - Simultaneous determination of levonorgestrel and two endogenous sex hormones in
human plasma based on LC-MS/MS.
AB - BACKGROUND: Levonorgestrel (LNG) is a commonly used emergency contraceptive which
can effect sex hormone levels in female blood. The objective of this study was to
develop and validate a specific and sensitive LC-MS/MS method for monitoring
endogenous sex hormone levels (17beta-estradiol and progesterone) in female
plasma after administration of LNG. Results & methodology: The method was
developed, optimized and validated according to the EMA guideline. Assay
validation met all the criteria, including good linearity in the range of 0.1-20
ng/ml for 17beta-estradiol, as well as 0.2-30 ng/ml for LNG and progesterone.
CONCLUSION: The method has been preliminarily applied to explore the variation
trend of sex hormone levels in healthy Chinese female volunteers' plasma after
oral administration of 1.5 mg LNG tablet. With LNG absorption into plasma,
progesterone and estradiol levels descended rapidly, and maintained at low levels
in the onset time.
PMID- 27211855
TI - Albizia lebbeck seed methanolic extract as a complementary therapy to manage
local toxicity of Echis carinatus venom in a murine model.
AB - CONTEXT AND OBJECTIVE: Viperid venom-induced chronic local-toxicity continues
even after anti-snake venom treatment. Therefore, traditional antidote Albizia
lebbeck L. (Fabaceae) seed extract was tested against Echis carinatus S.
(Viperidae) venom (ECV)-induced local toxicity to evaluate its complementary
remedy. MATERIALS AND METHODS: Soxhlet extraction of A. lebbeck seeds was
performed with the increasing polarity of solvents (n-hexane to water); the
extract was screened for phytochemicals (alkaloids, anthraquinones, flavonoids,
glycosides, phenolics, saponins, steroids and tannins). In preliminary in vitro
analysis, A. lebbeck methanolic extract (ALME) demonstrated significant
inhibition of ECV proteases, the major enzyme-toxin responsible for local-
toxicity. Therefore, in vitro neutralizing potential of ALME was further
evaluated against hyaluronidases and phospholipase A2 (1:1-1:100 w/w). In
addition, alleviation of ECV induced characteristic local- toxicity [haemorrhage
(i.d.) and myotoxicity (i.m.)] was determined in mice. RESULTS: ALME contained
high concentrations of phenolics and flavonoids and demonstrated significant in
vitro inhibition of ECV protease (IC50 = 36.32 MUg, p < 0.0001) and hyaluronidase
(IC50 = 91.95 MUg, p < 0.0001) at 1:100 w/w. ALME significantly neutralized ECV
induced haemorrhage (ED50 = 26.37 MUg, p < 0.0001) and myotoxicity by
significantly reducing serum creatinine kinase (ED50 = 37.5 MUg, p < 0.0001) and
lactate dehydrogenase (ED50 = 31.44 MUg, p = 0.0021) levels at 1:50 w/w.
DISCUSSION AND CONCLUSION: ALME demonstrated significant neutralization of ECV
enzymes that contribute in local tissue damage and haemostatic alterations. The
study scientifically supports the anecdotal use of A. lebbeck in complementary
medicine and identifies ALME as principle fraction responsible for antivenom
properties.
PMID- 27211856
TI - Influence of school support on early marriage experiences and health services
utilization among young orphaned women in Zimbabwe.
AB - School support programs reduce school dropout, early marriage, and early
pregnancy for a majority of young orphaned women. We used a mixed-methods
approach to examine why these programs are less effective for a significant
minority by exploring their influence on marriage and health services
utilization. Participants were from a randomized controlled trial testing school
support as HIV prevention. Half as many intervention as control participants had
been married; married intervention participants had 1 more year of education
compared with married control participants. Receiving school support did not
appear to improve health-related factors. Pregnancy was among the most common
reasons for marriage across both groups. The greatest benefit of school support
appears to be in delaying marriage and pregnancy while increasing educational
attainment.
PMID- 27211857
TI - A Caenorhabditis elegans Genome-Scale Metabolic Network Model.
AB - Caenorhabditis elegans is a powerful model to study metabolism and how it relates
to nutrition, gene expression, and life history traits. However, while numerous
experimental techniques that enable perturbation of its diet and gene function
are available, a high-quality metabolic network model has been lacking. Here, we
reconstruct an initial version of the C. elegans metabolic network. This network
model contains 1,273 genes, 623 enzymes, and 1,985 metabolic reactions and is
referred to as iCEL1273. Using flux balance analysis, we show that iCEL1273 is
capable of representing the conversion of bacterial biomass into C. elegans
biomass during growth and enables the predictions of gene essentiality and other
phenotypes. In addition, we demonstrate that gene expression data can be
integrated with the model by comparing metabolic rewiring in dauer animals versus
growing larvae. iCEL1273 is available at a dedicated website
(wormflux.umassmed.edu) and will enable the unraveling of the mechanisms by which
different macro- and micronutrients contribute to the animal's physiology.
PMID- 27211858
TI - A Genome-Scale Database and Reconstruction of Caenorhabditis elegans Metabolism.
AB - We present a genome-scale model of Caenorhabditis elegans metabolism along with
the public database ElegCyc (http://elegcyc.bioinf.uni-jena.de:1100), which
represents a reference for metabolic pathways in the worm and allows for the
visualization as well as analysis of omics datasets. Our model reflects the
metabolic peculiarities of C. elegans that make it distinct from other higher
eukaryotes and mammals, including mice and humans. We experimentally verify one
of these peculiarities by showing that the lifespan-extending effect of L
tryptophan supplementation is dose dependent (hormetic). Finally, we show the
utility of our model for analyzing omics datasets through predicting changes in
amino acid concentrations after genetic perturbations and analyzing metabolic
changes during normal aging as well as during two distinct, reactive oxygen
species (ROS)-related lifespan-extending treatments. Our analyses reveal a
notable similarity in metabolic adaptation between distinct lifespan-extending
interventions and point to key pathways affecting lifespan in nematodes.
PMID- 27211859
TI - Simultaneous Pathway Activity Inference and Gene Expression Analysis Using RNA
Sequencing.
AB - Reporter gene assays are a venerable tool for studying signaling pathways, but
they lack the throughput and complexity necessary to contribute to a systems
level understanding of endogenous signaling networks. We present a parallel
reporter assay, transcription factor activity sequencing (TF-seq), built on
synthetic DNA enhancer elements, which enables parallel measurements in primary
cells of the transcriptome and transcription factor activity from more than 40
signaling pathways. Using TF-seq in Myd88(-/-) macrophages, we captured dynamic
pathway activity changes underpinning the global transcriptional changes of the
innate immune response. We also applied TF-seq to investigate small molecule
mechanisms of action and find a role for NF-kappaB activation and coordination of
the STAT1 response in the macrophage reaction to the anti-inflammatory natural
product halofuginone. Simultaneous TF-seq and global gene expression profiling
represent an integrative approach for gaining mechanistic insight into pathway
activity and transcriptional changes that result from genetic and small molecule
perturbations.
PMID- 27211861
TI - Graphene oxide decorated monolithic column as stationary phase for capillary
electrochromatography.
AB - In this work, GO bonded monolith (pAS-GO@PS-DVB) as the stationary phase for
capillary electrochromatography was fabricated, which was achieved by a simple
one-step in-situ copolymerization of styrene and vinylized GO in the presence of
divinylbenzene as a cross-linker. GO functionalization was primarily completed
using p-aminostyrene based on condensation reaction between amino and carboxyl
groups. The characterization by infrared spectroscopy, X-ray photoelectron
spectroscopy, X-ray diffraction and scanning electron microscopy proved the
covalent bonding of GO on the monolith. The average pore diameter via Barrett
Joyner-Halenda, specific surface area and pore volume via Brunauer-Emmett-Teller
equation by nitrogen adsorption/desorption were determined to be 112.4nm,
485.8m(2)g(-1) and 1.4cm(3)g(-1), respectively. The pAS-GO@PS-DVB monolithic
column gave effective separation for a wide range of aromatic compounds, which
was based on hydrogen bonding and pi-pi interactions of GO with polar and/or non
polar organic compounds. The reproducibility in terms of the precisions of
migration time, peak height and peak area was estimated below 6% using thiourea
and other aromatic compounds. Furthermore, the differences of migration time,
peak height and peak area between the first-week analysis and the forth-week
analysis were less than 19%, indicating good stability of the proposed monolithic
column in one month. The applicability of the pAS-GO@PS-DVB monolith was also
demonstrated by baseline separation of three phenols and three anilines.
PMID- 27211860
TI - Characterizing Strain Variation in Engineered E. coli Using a Multi-Omics-Based
Workflow.
AB - Understanding the complex interactions that occur between heterologous and native
biochemical pathways represents a major challenge in metabolic engineering and
synthetic biology. We present a workflow that integrates metabolomics,
proteomics, and genome-scale models of Escherichia coli metabolism to study the
effects of introducing a heterologous pathway into a microbial host. This
workflow incorporates complementary approaches from computational systems
biology, metabolic engineering, and synthetic biology; provides molecular insight
into how the host organism microenvironment changes due to pathway engineering;
and demonstrates how biological mechanisms underlying strain variation can be
exploited as an engineering strategy to increase product yield. As a proof of
concept, we present the analysis of eight engineered strains producing three
biofuels: isopentenol, limonene, and bisabolene. Application of this workflow
identified the roles of candidate genes, pathways, and biochemical reactions in
observed experimental phenomena and facilitated the construction of a mutant
strain with improved productivity. The contributed workflow is available as an
open-source tool in the form of iPython notebooks.
PMID- 27211862
TI - Efficacy of progressive aquatic resistance training for tibiofemoral cartilage in
postmenopausal women with mild knee osteoarthritis: a randomised controlled
trial.
AB - OBJECTIVE: To study the efficacy of aquatic resistance training on biochemical
composition of tibiofemoral cartilage in postmenopausal women with mild knee
osteoarthritis (OA). DESIGN: Eighty seven volunteer postmenopausal women, aged 60
68 years, with mild knee OA (Kellgren-Lawrence grades I/II and knee pain) were
recruited and randomly assigned to an intervention (n = 43) and control (n = 44)
group. The intervention group participated in 48 supervised aquatic resistance
training sessions over 16 weeks while the control group maintained usual level of
physical activity. The biochemical composition of the medial and lateral
tibiofemoral cartilage was estimated using single-slice transverse relaxation
time (T2) mapping and delayed gadolinium-enhanced magnetic resonance imaging of
cartilage (dGEMRIC index). Secondary outcomes were cardiorespiratory fitness,
isometric knee extension and flexion force and knee injury and OA outcome (KOOS)
questionnaire. RESULTS: After 4-months aquatic training, there was a significant
decrease in both T2 -1.2 ms (95% confidence interval (CI): -2.3 to -0.1, P =
0.021) and dGEMRIC index -23 ms (-43 to -3, P = 0.016) in the training group
compared to controls in the full thickness posterior region of interest (ROI) of
the medial femoral cartilage. Cardiorespiratory fitness significantly improved in
the intervention group by 9.8% (P = 0.010). CONCLUSIONS: Our results suggest
that, in postmenopausal women with mild knee OA, the integrity of the collagen
interstitial water environment (T2) of the tibiofemoral cartilage may be
responsive to low shear and compressive forces during aquatic resistance
training. More research is required to understand the exact nature of acute
responses in dGEMRIC index to this type of loading. Further, aquatic resistance
training improves cardiorespiratory fitness. TRIAL REGISTRATION NUMBER:
ISRCTN65346593.
PMID- 27211863
TI - Matrix metalloproteinase 12 is an indicator of intervertebral disc degeneration
co-expressed with fibrotic markers.
AB - OBJECTIVE: Recent evidence suggests a role of fibrogenesis in intervertebral disc
(IVD) degeneration. We aim to explore if fibrotic genes may serve as IVD
degeneration indicators, and if their expression is associated with myofibroblast
activity. DESIGN: Transcriptional expression of fibrosis markers (COL1A1, COL3A1,
FN1, HSP47, MMP12, RASAL1) were analyzed in degenerated (D) and non-degenerated
(ND) human nucleus pulposus (NP) and annulus fibrosus (AF) cells, along with
traditional (SOX9, ACAN) and newly established degeneration markers (CDH2, KRT19,
KRT18, FBLN1, MGP, and COMP). Protein expression was investigated by
immunohistochemistry in human IVDs, and in rodent IVDs undergoing natural ageing
or puncture-induced degeneration. Co-expression with myofibroblast markers was
examined by double staining on human and rat specimens. Disc degeneration
severity and extent of fibrosis were determined by histological scoring and
picrosirius red staining respectively. RESULTS: Human D-NP showed more intensive
staining for picrosirius red than ND-NP. Among the genes examined, D-NP showed
significantly higher MMP12 expression along with lower KRT19 expression. Protein
expression analysis revealed increased MMP12(+) cells in human D-IVD.
Histological scoring indicated mild degeneration in the punctured rat discs and
discs of ageing mouse. Higher MMP12 positivity was found in peripheral NP and AF
of the degenerative rat discs and in NP of the aged mice. In addition, human D-NP
and D-AF showed increased alpha-SMA(+) cells, indicating enhanced myofibroblast
activity. MMP12 was found co-expressed with alpha-SMA, FSP1 and FAP-alpha in
human and rat degenerative IVDs. CONCLUSIONS: Our study suggests that in addition
to a reduced KRT19 expression, an increased expression of MMP12, a profibrotic
mediator, is characteristic of disc degenerative changes. Co-expression study
indicates an association of the increased MMP12 positivity with myofibroblast
activity in degenerated IVDs. Overall, our findings implicate an impact of MMP12
in disc cell homeostasis. The precise role of MMP12 in IVD degeneration warrants
further investigation.
PMID- 27211864
TI - Integrin alpha1beta1 protects against signs of post-traumatic osteoarthritis in
the female murine knee partially via regulation of epidermal growth factor
receptor signalling.
AB - OBJECTIVE: To investigate the role of integrin alpha1beta1 in the progression of
post-traumatic osteoarthritis (PTOA), and elucidate the contribution of epidermal
growth factor receptor (EGFR) signalling to the mechanism by which integrin
alpha1beta1 might control PTOA. We hypothesised that integrin alpha1beta1 plays a
protective role in the course of PTOA and that the effect of PTOA (e.g.,
synovitis, loss of cartilage and growth of osteophytes) would be exacerbated in
mice lacking integrin alpha1beta1 at every time point post destabilisation of
medial meniscus (DMM). METHODS: DMM or sham surgery was performed on integrin
alpha1-null and wild type (WT) mice and the progression of PTOA analysed at 2, 4,
8 and 12 weeks post-surgery (PS) using micro-computed tomography (microCT),
histology, and immunohistochemistry. In addition, the effects of EGFR blockade
were examined by treating the mice with the EGFR inhibitor erlotinib. RESULTS:
Integrin alpha1-null female, but not male, mice showed earlier cartilage
degradation post DMM surgery compared to WT controls. Furthermore, erlotinib
treatment resulted in significantly less cartilage damage in integrin alpha1-null
but not WT mice. Independent of genotype, erlotinib treatment significantly
mitigated the effects of PTOA on many tissues of female mice including meniscal
and fabella bone volume, subchondral bone thickness and density and cartilage
degradation. In contrast, reduced EGFR signalling had little effect on signs of
PTOA in male mice. CONCLUSION: Integrin alpha1beta1 protects against PTOA-induced
cartilage degradation in female mice partially via the reduction of EGFR
signalling. Furthermore, reduction of EGFR signalling protects against the
development of PTOA in female, but not male mice.
PMID- 27211865
TI - On the move: Exploring the impact of residential mobility on cannabis use.
AB - A large literature exists suggesting that residential mobility leads to increased
participation in risky health behaviours such as cannabis use amongst youth.
However, much of this work fails to account for the impact that underlying
differences between mobile and non-mobile youth have on this relationship. In
this study we utilise multilevel models with longitudinal data to simultaneously
estimate between-child and within-child effects in the relationship between
residential mobility and cannabis use, allowing us to determine the extent to
which cannabis use in adolescence is driven by residential mobility and
unobserved confounding. Data come from a UK cohort, The Avon Longitudinal Study
of Parents and Children. Consistent with previous research we find a positive
association between cumulative residential mobility and cannabis use when using
multilevel extensions of conventional logistic regression models (log odds: 0.94,
standard error: 0.42), indicating that children who move houses are more likely
to use cannabis than those who remain residentially stable. However, decomposing
this relationship into within- and between-child components reveals that the
conventional model is underspecified and misleading; we find that differences in
cannabis use between mobile and non-mobile children are due to underlying
differences between these groups (between-child log odds: 3.56, standard error:
1.22), not by a change in status of residential mobility (within-child log odds:
1.33, standard error: 1.02). Our findings suggest that residential mobility in
the teenage years does not place children at an increased risk of cannabis use
throughout these years.
PMID- 27211867
TI - CRISPR Immunological Memory Requires a Host Factor for Specificity.
AB - Bacteria and archaea employ adaptive immunity against foreign genetic elements
using CRISPR-Cas systems. To generate immunological memory, the Cas1-Cas2 protein
complex captures 30-40 base pair segments of foreign DNA and catalyzes their
integration into the host genome as unique spacer sequences. Although spacers are
inserted strictly at the A-T-rich leader end of CRISPR loci in vivo, the
molecular mechanism of leader-specific spacer integration remains poorly
understood. Here we show that the E. coli integration host factor (IHF) protein
is required for spacer acquisition in vivo and for integration into linear DNA in
vitro. IHF binds to the leader sequence and induces a sharp DNA bend, allowing
the Cas1-Cas2 integrase to catalyze the first integration reaction at the leader
repeat border. Together, these results reveal that Cas1-Cas2-mediated spacer
integration requires IHF-induced target DNA bending and explain the elusive role
of CRISPR leader sequences during spacer acquisition.
PMID- 27211866
TI - RBFox2 Binds Nascent RNA to Globally Regulate Polycomb Complex 2 Targeting in
Mammalian Genomes.
AB - Increasing evidence suggests that diverse RNA binding proteins (RBPs) interact
with regulatory RNAs to regulate transcription. RBFox2 is a well-characterized
pre-mRNA splicing regulator, but we now encounter an unexpected paradigm where
depletion of this RBP induces widespread increase in nascent RNA production in
diverse cell types. Chromatin immunoprecipitation sequencing (ChIP-seq) reveals
extensive interaction of RBFox2 with chromatin in a nascent RNA-dependent manner.
Bayesian network analysis connects RBFox2 to Polycomb complex 2 (PRC2) and
H3K27me3, and biochemical experiments demonstrate the ability of RBFox2 to
directly interact with PRC2. Strikingly, RBFox2 inactivation eradicates PRC2
targeting on the majority of bivalent gene promoters and leads to transcriptional
de-repression. Together, these findings uncover a mechanism underlying the
enigmatic association of PRC2 with numerous active genes, highlight the
importance of gene body sequences to gauge transcriptional output, and suggest
nascent RNAs as critical signals for transcriptional feedback control to maintain
homeostatic gene expression in mammalian genomes.
PMID- 27211868
TI - Global Analysis of Host and Bacterial Ubiquitinome in Response to Salmonella
Typhimurium Infection.
AB - Ubiquitination serves as a critical signal in the host immune response to
infection. Many pathogens have evolved strategies to exploit the ubiquitin (Ub)
system to promote their own survival through a complex interplay between host
defense machinery and bacterial virulence factors. Here we report dynamic changes
in the global ubiquitinome of host epithelial cells and invading pathogen in
response to Salmonella Typhimurium infection. The most significant alterations in
the host ubiquitinome concern components of the actin cytoskeleton, NF-kappaB and
autophagy pathways, and the Ub and RHO GTPase systems. Specifically, infection
induced ubiquitination promotes CDC42 activity and linear ubiquitin chain
formation, both being required for NF-kappaB activation. Conversely, the
bacterial ubiquitinome exhibited extensive ubiquitination of various effectors
and several outer membrane proteins. Moreover, we reveal that bacterial Ub
modifying enzymes modulate a unique subset of host targets, affecting different
stages of Salmonella infection.
PMID- 27211869
TI - Interrupting peptidoglycan deacetylation during Bdellovibrio predator-prey
interaction prevents ultimate destruction of prey wall, liberating bacterial
ghosts.
AB - The peptidoglycan wall, located in the periplasm between the inner and outer
membranes of the cell envelope in Gram-negative bacteria, maintains cell shape
and endows osmotic robustness. Predatory Bdellovibrio bacteria invade the
periplasm of other bacterial prey cells, usually crossing the peptidoglycan
layer, forming transient structures called bdelloplasts within which the
predators replicate. Prey peptidoglycan remains intact for several hours, but is
modified and then degraded by escaping predators. Here we show predation is
altered by deleting two Bdellovibrio N-acetylglucosamine (GlcNAc) deacetylases,
one of which we show to have a unique two domain structure with a novel
regulatory"plug". Deleting the deacetylases limits peptidoglycan degradation and
rounded prey cell "ghosts" persist after mutant-predator exit. Mutant predators
can replicate unusually in the periplasmic region between the peptidoglycan wall
and the outer membrane rather than between wall and inner-membrane, yet still
obtain nutrients from the prey cytoplasm. Deleting two further genes encoding
DacB/PBP4 family proteins, known to decrosslink and round prey peptidoglycan,
results in a quadruple mutant Bdellovibrio which leaves prey-shaped ghosts upon
predation. The resultant bacterial ghosts contain cytoplasmic membrane within
bacteria-shaped peptidoglycan surrounded by outer membrane material which could
have promise as "bacterial skeletons" for housing artificial chromosomes.
PMID- 27211871
TI - Chronic kidney disease: Microbiota trigger inflammation.
PMID- 27211872
TI - Ciliopathies: CPLANE regulates intraflagellar transport.
PMID- 27211873
TI - Is in vitro meat the solution for the future?
AB - The production of in vitro meat regularly generates media interest because of the
contribution it could, at first glance, make to the issue of feeding humankind
while also protecting the environment and respecting animals. However, the
majority of experts considers that there are still numerous technological
obstacles that have to be overcome to produce in vitro meat. In addition, even if
in vitro meat could eliminate the supposed lack of well-being of livestock and
has the potential to free up cultivable land, other supposed advantages are
questionable and not always agreed upon by the scientific community. However,
another major problem for the commercialisation of in vitro meat would be its
acceptance by consumers, even if some consumers are ready to taste it at least
once. In particular, the artificial nature of the product goes against the
growing demand for natural products in many countries. The consumption of in
vitro meat will depend on a conflict of values at an individual or collective
level. The reality is that a range of other complementary solutions already exist
which meet the challenges of food supply in our society, but which are less
saleable to the media.
PMID- 27211875
TI - Catalytic Chemo- and Regioselective Coupling of 1,3-Dicarbonyls with N
Heterocyclic Nucleophiles.
AB - The development of a decarboxylative palladium-catalyzed coupling of 1,3
dicarbonyl compounds with indole, pyrrole, imidazole, and pyrazole nucleophiles
via an allylic linker under neutral conditions is disclosed. This process enables
the installation of an all-carbon quaternary center and new C-C and C-N bonds in
a single operation. Despite the weakly acidic nature of N-heterocycles, the
reactions proceed with good efficiency and complete regio- and chemoselectivity.
PMID- 27211874
TI - Estrogen modulation of calretinin and BDNF expression in midbrain dopaminergic
neurons of ovariectomised mice.
AB - Estrogen attenuates the loss of dopamine neurons from the substantia nigra in
animal models of Parkinson's disease (PD) and excitatory amino-acid induced
neurotoxicity by interactions with brain-derived neurotrophic factor (BDNF), and
calretinin (CR) containing dopaminergic (DA) neurons. To examine this interaction
more closely, we treated the ovariectomised (OVX) mice with estrodial for 10days,
and compared these mice to those OVX mice injected with the vehicle or control
mice. Estrogen treatment in OVX mice had significantly more tyrosine hydroxylase
(TH) positive neurons in the substantia nigra pars compacta (SNpc). Dopamine
transporter (DAT) mRNA and BDNF mRNA levels in the midbrain were also
significantly increased by estrogen treatment (P<0.05). OVX markedly decreased
the number of TH/CR double stained cells in the SNpc (P<0.05), a trend which
could be reversed by estrogen treatment. However, the number of GFAP positive
cells in the substantia nigra did not show significant changes (P >0.05) after
vehicle or estrodial treatment. Furthermore, we found that estrogen treatment
abrogated the OVX-induced decrease in the phosphorylated AKT (p-AKT), but not p
ERK. We hypothesize that short-term treatment with estrogen confers
neuroprotection to DA neurons by increasing CR in the DA neurons and BDNF in the
midbrain, which possibly related to activation of the PI3K/Akt signaling pathway.
PMID- 27211870
TI - Complement in disease: a defence system turning offensive.
AB - Although the complement system is primarily perceived as a host defence system, a
more versatile, yet potentially more harmful side of this innate immune pathway
as an inflammatory mediator also exists. The activities that define the ability
of the complement system to control microbial threats and eliminate cellular
debris - such as sensing molecular danger patterns, generating immediate
effectors, and extensively coordinating with other defence pathways - can quickly
turn complement from a defence system to an aggressor that drives immune and
inflammatory diseases. These host-offensive actions become more pronounced with
age and are exacerbated by a variety of genetic factors and autoimmune responses.
Complement can also be activated inappropriately, for example in response to
biomaterials or transplants. A wealth of research over the past two decades has
led to an increasingly finely tuned understanding of complement activation,
identified tipping points between physiological and pathological behaviour, and
revealed avenues for therapeutic intervention. This Review summarizes our current
view of the key activating, regulatory, and effector mechanisms of the complement
system, highlighting important crosstalk connections, and, with an emphasis on
kidney disease and transplantation, discusses the involvement of complement in
clinical conditions and promising therapeutic approaches.
PMID- 27211876
TI - Assessing Resident Cataract Surgery Outcomes Using Medicare Physician Quality
Reporting System Measures.
AB - OBJECTIVES: To assess resident cataract surgery outcomes at an academic teaching
institution using 2 Physician Quality Reporting System (PQRS) cataract measures,
which are intended to serve as a proxy for quality of surgical care. DESIGN: A
retrospective review comparing cataract surgery outcomes of resident and
attending surgeries using 2 PQRS measures: (1) 20/40 or better best-corrected
visual acuity following cataract surgery and (2) complications within 30 days
following cataract surgery requiring additional surgical procedures. SETTING: An
academic ophthalmology center. PARTICIPANTS: A total of 2487 surgeries performed
at the Massachusetts Eye and Ear Infirmary from January 1, 2011 to December 31,
2012 were included in this study. RESULTS: Of all 2487 cataract surgeries, 98.95%
achieved a vision of at least 20/40 at or before 90 days, and only 0.64% required
a return to the operating room for postoperative complications. Of resident
surgeries, 98.9% (1370 of 1385) achieved 20/40 vision at or before 90 days follow
up. Of attending surgeries, 99.0% (1091 of 1102) achieved 20/40 vision at or
before 90 days (p = 1.00). There were no statistically significant differences
between resident and attending cases regarding postoperative complications
needing a return to the operating room (i.e., 0.65%, or 9 of 1385 resident cases
vs 0.64%, or 7 of 1102 attending cases; p = 1.00). CONCLUSIONS: Using PQRS
Medicare cataract surgery criteria, this study establishes new benchmarks for
cataract surgery outcomes at a teaching institution and supplemental measure for
assessing resident surgical performance. Excellent cataract outcomes were
achieved at an academic teaching institution, with results exceeding Medicare
thresholds of 50%. There appears to be no significant difference in supervised
trainee and attending cataract surgeon outcomes using 2 PQRS measures currently
used by Medicare to determine physician reimbursement and quality of care.
PMID- 27211877
TI - Undergraduate Suture and Local Anesthetic Training in the United Kingdom.
PMID- 27211878
TI - Repaying in Kind: Examination of the Reciprocity Effect in Faculty and Resident
Evaluations.
AB - BACKGROUND: Although the reciprocity hypothesis (that trainees have a tendency to
modify evaluations based on the grades they receive from instructors) has been
documented in other fields, very little work has examined this phenomenon in the
surgical residency environment. The purpose of this study was to investigate the
extent to which lenient-grading faculty receive higher evaluations from surgery
residents. METHODS: Evaluation data from 2 consecutive academic years were
collected retrospectively at a large university-based General Surgery residency
program. Monthly faculty evaluations of residents (15 items) and resident
evaluations of faculty (8 items; 1 = never demonstrates, 10 = always
demonstrates) were included. Correlation and regression analyses were conducted
with SPSS version 22 (IBM; Chicago, IL). RESULTS: A total of 2274 faculty
assessments and 1480 resident assessments were included in this study,
representing 2 years of evaluations for 32 core faculty members responsible for
completing all resident evaluations and 68 PGY1-5 general surgery residents.
Faculty (63% men, 13.5 +/- 9.8 years out of training) represented 5 different
divisions (general surgery, surgical oncology, transplant, trauma critical care,
and vascular) within the general surgery department. Faculty received an average
of 71.1 +/- 33.9 evaluations from residents over the course of 2 years. The
average rating of faculty teaching by residents was 9.5 +/- 0.4. Residents
received an average of 21.8 +/- 0.5 evaluations with average ratings of 4.2 +/-
0.4. Correlation analyses indicated a positive relationship between the average
rating received from residents and the number of years since faculty completed
training (r = 0.44, p = 0.01). Additionally, a significant relationship emerged
between ratings received from residents and ratings given to residents (r = 0.40,
p = 0.04). Regression analyses indicated that when both variables (years since
training, ratings given to residents) were included in the model, only ratings
given to residents remained a significant predictor of evaluation ratings
received from residents (F(1,32) = 4.40, p = 0.04), with an R2 of 0.16. Sex or
division affiliation did not account for any unique variance. CONCLUSIONS: These
findings suggest that a reciprocity effect exists between surgery faculty and
resident evaluations. This effect warrants further exploration, such that efforts
to mitigate the risks of providing inaccurate assessments may be developed.
Providing trainees with accurate assessments is particularly important given the
high-stakes use of these data for milestones, promotion, and graduation purposes,
which currently do not account for this reciprocity effect. SUMMARY: Results
suggest that there is a reciprocity effect in the faculty and resident evaluation
process.
PMID- 27211879
TI - Robotic Surgery Simulator: Elements to Build a Training Program.
AB - OBJECTIVE: Face, content, and construct validity of robotic surgery simulators
were confirmed in the literature by several studies, but elements to build a
training program are still lacking. The aim of our study was to validate a
progressive training program and to assess according to prior surgical experience
the amount of training needed with a robotic simulator to complete the program.
DESIGN: Exercises using the Da Vinci Skill Simulator were chosen to ensure
progressive learning. A new exercise could only be started if a minimal score of
80% was achieved in the prior one. The number of repetitions to achieve an
exercise was not limited. We devised a "performance index" by calculating the
ratio of the sum of scores for each exercise over the number of repetitions
needed to complete the exercise with at least an 80% score. SETTING: The study
took place at the Francois Baclesse Cancer Center. Participants all work at the
primary care university Hospital located next to the cancer center. PARTICIPANTS:
A total of 32 surgeons participated in the study- 2 experienced surgeons, 8
junior and 8 senior residents in surgery, 6 registrars, and 6 attending surgeons.
RESULTS: There was no difference between junior and senior residents, whereas the
registrars had better results (p < 0.0001). The registrars performed less
exercise repetitions compared to the junior or senior residents (p = 0.012).
Attending surgeons performed significantly more repetitions than registrars (p =
0.024), but they performed fewer repetitions than junior or senior residents with
no statistical difference (p = 0.09). The registrars had a performance index of
50, which is the best result among all novice groups. Attending surgeons were
between senior and junior residents with an index at 33.85. CONCLUSION: Choice of
basic exercises to manipulate different elements of the robotic surgery console
in a specific and progressive order enables rapid progress. The level of prior
experience in laparoscopic surgery affects outcomes. More advanced laparoscopic
expertise seems to slow down learning, surgeons having to "unlearn" to acquire a
new technique.
PMID- 27211880
TI - Can Rural Minimally Invasive Surgery Fellowships Provide Operative Experience
Similar to Urban Programs?
AB - OBJECTIVE: Operative experience in rural fellowship programs is largely unknown.
The 2 of the most rural minimally invasive surgery (MIS)/bariatric fellowships
are located in the upper Midwest. We hypothesized that these 2 programs would
offer a similar operative experience to other U.S. programs in more urban
locations. DESIGN: The 2011 to 2012 and 2012 to 2013 fellowship case logs from 2
rural Midwest programs were compared with case logs from 23 U.S. MIS/bariatric
programs. All rural Midwest fellowship graduates completed a survey describing
their fellowship experience and current practice. Statistical analysis included
Wilcoxon rank-sum test. SETTING: Setting included the 2 rural Midwest U.S.
MIS/bariatric fellowship programs. PARTICIPANTS: Graduates from MIS/bariatric
fellowship programs participated in the study. RESULTS: Mean volumes for
bariatric, foregut, abdominal wall, small intestine, and hepatobiliary cases for
rural Midwest fellows vs. other U.S. programs were 123.8 +/- 23.7 vs. 150.2 +/-
49.2 (p = 0.20); 44.3 +/- 19.4 vs. 66.3 +/- 35.5 (p = 0.18); 48.3 +/- 28.0 vs.
57.9 +/- 27.8 (p = 0.58); 11.3 +/- 1.9 vs. 12.0 +/- 8.7 (p = 0.58); and 55.0 +/-
34.8 vs. 48.1 +/- 42.6 (p = 0.63), respectively. Mean endoscopy volume was
significantly higher among rural Midwest fellows (451.0 +/- 395.2 vs. 99.7 +/-
83.4; p = 0.05). All rural Midwest fellows reported an adequate number of cases
as operating surgeon during fellowship. A total of 60% of fellows currently
practice in a rural area. In all, 87% and 13% reported that their fellowship
training was extremely or somewhat beneficial to their current practice,
respectively. CONCLUSIONS: Rural MIS fellowship programs offer a similar
operative experience to other U.S. programs. A greater volume of endoscopy cases
was observed in rural Midwest fellowships.
PMID- 27211881
TI - Teachers and Sanitation Promotion: An Assessment of Community-Led Total
Sanitation in Ethiopia.
AB - Community-led total sanitation (CLTS) is a participatory approach to addressing
open defecation that has demonstrated success in previous studies, yet there is
no research on how implementation arrangements and context change effectiveness.
We used a quasi-experimental study design to compare two interventions in
Ethiopia: conventional CLTS in which health workers and local leaders provided
facilitation and an alternative approach in which teachers provided facilitation.
In 2012, Plan International Ethiopia trained teachers from 111 villages and
health workers and leaders from 54 villages in CLTS facilitation. The trained
facilitators then implemented CLTS in their respective villages for a year.
Latrine ownership, use, and quality were measured with household surveys.
Differences between interventions were explored using surveys and interviews. The
decrease in open defecation associated with teacher-facilitated CLTS was 8.2
percentage points smaller than for conventional CLTS (p = 0.048). Teachers had
competing responsibilities and initially lacked support from local leaders, which
may have lessened their success. Teachers may be more appropriate for a
supporting rather than leading role in sanitation promotion because they did
demonstrate ability and engagement. Open defecation decreased by 15.3 percentage
points overall but did not change where baseline open defecation was below 30%.
Ownership of a latrine with stable flooring increased by 8.7 percentage points
overall. Improved latrine ownership did not change during the intervention. CLTS
is most appropriate where open defecation is high because there were no
significant changes in sanitation practices or latrine upgrades where baseline
open defecation was low.
PMID- 27211882
TI - Dual-priming oligonucleotide-based multiplex PCR using tissue samples from the
rapid urease test kit for the detection of Helicobacter pylori in bleeding peptic
ulcers.
AB - BACKGROUND: In patients with peptic ulcer bleeding (PUB), diagnostic tests for
Helicobacter pylori (H. pylori) infection have low sensitivity. The aim of our
study was to investigate the diagnostic yield of dual-priming oligonucleotide
based multiplex (DPO)-PCR using tissue samples from the rapid urease test (RUT,
CLO((r))test) kit in patients with PUB. METHODS: We prospectively enrolled
patients with PUB. During second-look endoscopy, gastric biopsy specimens for
histology and RUT were obtained from a total of 170 patients. DPO-PCR tests were
performed on tissue samples obtained from the CLO((r))test kit. If testing for H.
pylori was negative, endoscopy with re-biopsy was performed 8 weeks after the
bleeding episode. RESULTS: H. pylori-associated bleeding was confirmed in 64.1%
(109/170) of the patients. At the bleeding episode, the diagnostic sensitivities
of RUT, histology, and DPO-PCR test were 47.7% (52/109), 71.6% (78/109) and 97.2%
(106/109), respectively (p<0.01). The specificity of the DPO-PCR test was 91.8%
(56/61). The positive predictive value (PPV) of the DPO-PCR test was 95.5%
(106/111), and its negative predictive value (NPV) was 94.9% (56/59).
CONCLUSIONS: In patients with PUB, the DPO-PCR test could be a useful diagnostic
tool for H. pylori infection. Particularly given a negative RUT result,
subsequent DPO-PCR testing of tissue samples from the CLO((r))test kit could be
of considerable benefit.
PMID- 27211883
TI - Quick, safe and effective repair of EUS-related duodenal perforation using over
the-scope clip system (with video).
AB - Duodenal perforation is a rare but potentially life-threating complication of
bilio-pancreatic endoscopic-ultrasound (EUS) examination. Here we report a case
of 90 yeas-old patient underwent to EUS with curvilinear ecoendoscope and
complicated by duodenal wall perforation. As reported in our case, Over-the-scope
clipping system represents a quick, safe and effective approach in order close
the leak and prevent further complication.
PMID- 27211884
TI - Circular replication-associated protein encoding DNA viruses identified in the
faecal matter of various animals in New Zealand.
AB - In recent years, innovations in molecular techniques and sequencing technologies
have resulted in a rapid expansion in the number of known viral sequences, in
particular those with circular replication-associated protein (Rep)-encoding
single-stranded (CRESS) DNA genomes. CRESS DNA viruses are present in the virome
of many ecosystems and are known to infect a wide range of organisms. A large
number of the recently identified CRESS DNA viruses cannot be classified into any
known viral families, indicating that the current view of CRESS DNA viral
sequence space is greatly underestimated. Animal faecal matter has proven to be a
particularly useful source for sampling CRESS DNA viruses in an ecosystem, as it
is cost-effective and non-invasive. In this study a viral metagenomic approach
was used to explore the diversity of CRESS DNA viruses present in the faeces of
domesticated and wild animals in New Zealand. Thirty-eight complete CRESS DNA
viral genomes and two circular molecules (that may be defective molecules or
single components of multicomponent genomes) were identified from forty-nine
individual animal faecal samples. Based on shared genome organisations and
sequence similarities, eighteen of the isolates were classified as
gemycircularviruses and twelve isolates were classified as smacoviruses. The
remaining eight isolates lack significant sequence similarity with any members of
known CRESS DNA virus groups. This research adds significantly to our knowledge
of CRESS DNA viral diversity in New Zealand, emphasising the prevalence of CRESS
DNA viruses in nature, and reinforcing the suggestion that a large proportion of
CRESS DNA viruses are yet to be identified.
PMID- 27211885
TI - The effect of chlorhexidine in reducing oral colonisation in geriatric patients:
a randomised controlled trial.
AB - INTRODUCTION: Inadequate oral care has been implicated in the development of
aspiration pneumonia in frail geriatric patients and is a major cause of
mortality, due to the colonisation of microbes in vulnerable patients. This type
of pneumonia has been associated with an increase in respiratory pathogens in the
oral cavity. The aim of this study was to evaluate the effects of chlorhexidine
compared to routine oral care in edentulous geriatric inpatients. METHODS: A
double-blind, parallel-group randomised controlled trial was carried out. The
intervention group received oral care with chlorhexidine 0.2%, while the control
group received routine oral care with thymol. Nurses provided oral care with
assigned solutions of 20 mL once daily over seven days. Oral cavity assessment
using the Brief Oral Health Status Examination form was performed before each
oral care procedure. Data on medication received and the subsequent development
of aspiration pneumonia was recorded. An oral swab was performed on Day 7 to
obtain specimens to test for colonisation. RESULTS: The final sample consisted of
35 (control) and 43 (intervention) patients. Chlorhexidine was effective in
reducing oral colonisation compared to routine oral care with thymol (p < 0.001).
The risk of oral bacterial colonisation was nearly three times higher in the
thymol group compared to the chlorhexidine group. CONCLUSION: The use of
chlorhexidine 0.2% significantly reduced oral colonisation and is recommended as
an easier and more cost-effective alternative for oral hygiene.
PMID- 27211887
TI - Cushing's Syndrome: Where and How to Find It.
AB - The diagnosis of Cushing's syndrome is challenging to endocrinologists as
patients often present with an insidious history, together with subtle external
clinical features. Moreover, complications of endogenous hypercortisolism, such
as visceral obesity, diabetes, hypertension and osteoporosis, are conditions
commonly found in the population, and discerning whether these are truly a
consequence of hypercortisolism is not straightforward. To avoid misdiagnosis, a
careful investigative approach is essential. The investigation of Cushing's
syndrome is a three-step process. Firstly, after exclusion of exogenous
glucocorticoid use, the decision to initiate investigations should be based on
whether there is a clinical index of suspicion of the disease. Specific signs of
endogenous hypercortisolism raise the a priori probability of a truly positive
test. Secondly, if the probability of hypercortisolism is high, one should carry
out specific tests as indicated by Endocrine Society guidelines. Populations with
non-distinguishing features of Cushing's syndrome should not be screened
routinely as biochemical tests have a high false-positive rate if used
indiscriminately. Thirdly, once hypercortisolism is confirmed, one should move to
establish the cause. This usually entails distinguishing between adrenal or
pituitary-related causes and the remoter possibility of the ectopic
adrenocorticotropic hormone syndrome. It is crucial that the presence of
Cushing's syndrome is established before any attempt at differential diagnosis.
PMID- 27211886
TI - Adenosine relaxation in isolated rat aortic rings and possible roles of smooth
muscle Kv channels, KATP channels and A2a receptors.
AB - BACKGROUND: An area of ongoing controversy is the role adenosine to regulate
vascular tone in conduit vessels that regulate compliance, and the role of nitric
oxide (NO), potassium channels and receptor subtypes involved. The aim of our
study was to investigate adenosine relaxation in rat thoracic aortic rings, and
the effect of inhibitors of NO, prostanoids, Kv, KATP channels, and A2a and A2b
receptors. METHODS: Aortic rings were freshly harvested from adult male Sprague
Dawley rats and equilibrated in an organ bath containing oxygenated, modified
Krebs-Henseleit solution, 11 mM glucose, pH 7.4, 37 degrees C. Isolated rings
were pre-contracted sub-maximally with 0.3 MUM norepinephrine (NE), and the
effect of increasing concentrations of adenosine (1 to 1000 MUM) were examined.
The drugs L-NAME, indomethacin, 4-aminopyridine (4-AP), glibenclamide, 5
hydroxydecanoate, ouabain, 8-(3-chlorostyryl) caffeine and PSB-0788 were examined
in intact and denuded rings. Rings were tested for viability after each
experiment. RESULTS: Adenosine induced a dose-dependent, triphasic relaxation
response, and the mechanical removal of the endothelium significantly deceased
adenosine relaxation above 10 MUM. Interestingly, endothelial removal
significantly decreased the responsiveness (defined as % relaxation per MUM
adenosine) by two-thirds between 10 and 100 MUM, but not in the lower (1-10 MUM)
or higher (>100 MUM) ranges. In intact rings, L-NAME significantly reduced
relaxation, but not indomethacin. Antagonists of voltage-dependent Kv (4-AP),
sarcolemma KATP (glibenclamide) and mitochondrial KATP channels (5-HD) led to
significant reductions in relaxation in both intact and denuded rings, with
ouabain having little or no effect. Adenosine-induced relaxation appeared to
involve the A2a receptor, but not the A2b subtype. CONCLUSIONS: It was concluded
that adenosine relaxation in NE-precontracted rat aortic rings was triphasic and
endothelium-dependent above 10 MUM, and relaxation involved endothelial nitric
oxide (not prostanoids) and a complex interplay between smooth muscle A2a subtype
and voltage-dependent Kv, SarcKATP and MitoKATP channels. The possible in vivo
significance of the regulation of arterial compliance to left ventricular
function coupling is discussed.
PMID- 27211888
TI - Selective precipitation of alkyl dihalides using a newly synthesized water
soluble bisphosphorylpillar[5]arene.
AB - Bisphosphorylpillar[5]arenes ( and ) were synthesized and used to study the host
guest complexation in organic solvents and water. The interactions between the
guests and the phosphate of the hosts lead to strong binding towards alkyl
dihalides and alkyldiammonium ions. may be used to detect or separate alkyl
dihalides in water due to the rapid precipitation of the complex.
PMID- 27211889
TI - Congenital Adrenal Hyperplasia: Unresolved Issues.
AB - Congenital adrenal hyperplasia (CAH) describes a family of disorders that comes
from enzymatic deficiencies in cortisol production, with 21-hydroxylase
deficiency causing ~90% of cases. Distinction is made between the severe
classical form and milder nonclassical form of CAH. Molecular genetic analysis is
used to confirm the hormonal diagnosis. A high rate of genotype-phenotype
disconcordance has been found in 21-hydroxylase deficiency. The goal of treatment
is to replace with synthetic glucocorticoids and mineralocorticoids and suppress
adrenal androgen production. The treatment of patients affected with nonclassical
CAH, particularly males, remains controversial. Variable synthetic
glucocorticoids are used and new modes of glucocorticoid delivery are under
investigation. To improve height, growth hormone and other adjuvant therapies are
employed. Long-term outcomes of genital surgery using modern techniques in
females affected with classical CAH continue to be investigated. Prenatal
treatment with dexamethasone is available to avoid ambiguous genitalia in these
females. Although studies have shown its safety to mother and fetus, prenatal
treatment is still regarded as experimental. Currently, prenatal diagnosis of CAH
can only be obtained through invasive methods. Recently, the detection of cell
free fetal DNA in maternal plasma has made it possible to make this diagnosis
earlier and noninvasively.
PMID- 27211890
TI - Intimate partner violence, common mental disorders and household food insecurity:
an analysis using path analysis.
AB - OBJECTIVE: To investigate the direct and indirect associations between
psychological and physical intimate partner violence and the occurrence of common
mental disorders (CMD) and how they relate to the occurrence of household food
insecurity (HFI). DESIGN: This was a population-based cross-sectional study.
Intimate partner violence was assessed using the Brazilian version of the Revised
Conflict Tactics Scale (CTS2) and HFI was assessed using the Brazilian Food
Insecurity Scale. The propositional analytical model was based on a review of the
literature and was tested using path analysis. SETTING: Duque de Caxias, Greater
Rio de Janeiro, Brazil (April-December 2010). SUBJECTS: Women (n 849) who had
been in a relationship in the 12 months preceding the interview. RESULTS: Both
psychological and physical violence were found to be major risk factors of HFI.
Psychological violence was associated with HFI indirectly via physical violence
and CMD, and directly by an unidentified path. The effects of physical violence
seemed to be manifested exclusively through CMD. Most of the variables in the
propositional model related to socio-economic position, demographic
characteristics, degree of women's social support and partner alcohol misuse were
retained in the 'final' model, indicating that these factors contribute
significantly to the increased likelihood of HFI. CONCLUSIONS: The results
reinforce the importance of considering domestic violence and other psychosocial
aspects of family life when implementing interventions designed to
reduce/eradicate HFI.
PMID- 27211891
TI - Synthesis of tumor necrosis factor alpha for use as a mirror-image phage display
target.
AB - Tumor Necrosis Factor alpha (TNFalpha) is an inflammatory cytokine that plays a
central role in the pathogenesis of chronic inflammatory disease. Here we
describe the chemical synthesis of l-TNFalpha along with the mirror-image d
protein for use as a phage display target. The synthetic strategy utilized native
chemical ligation and desulfurization to unite three peptide segments, followed
by oxidative folding to assemble the 52 kDa homotrimeric protein. This synthesis
represents the foundational step for discovering an inhibitory d-peptide with the
potential to improve current anti-TNFalpha therapeutic strategies.
PMID- 27211892
TI - Noise Pollution: Do We Need a Solution? An Analysis of Noise in a Cardiac Care
Unit.
AB - Introduction Hospitals are meant to be places for respite and healing; however,
technological advances and reliance on monitoring alarms has led to the
environment becoming increasingly noisy. The coronary care unit (CCU), like the
emergency department, provides care to ill patients while being vulnerable to
noise pollution. The World Health Organization (WHO; Geneva, Switzerland)
recommends that for optimum rest and healing, sound levels should average
approximately 30 decibels (dB) with maximum readings less than 40 dB. Problem The
purpose of this study was to measure and analyze sound levels in three different
locations in the CCU, and to review alarm reports in relation to sound levels.
METHODS: Over a one-month period, sound recorders (Extech SDL600; Extech
Instruments; Nashua, New Hampshire USA) were placed in three separate locations
in the CCU at the West Roxbury Veterans' Administration (VA) Hospital (Roxbury,
Massachusetts USA). Sound samples were recorded once per second, stored in Comma
Separated Values format for Excel (Microsoft Corporation; Redmond, Washington
USA), and then exported to Microsoft Excel. Averages were determined, plotted per
hour, and alarm histories were reviewed to determine alarm noise effect on total
noise for each location, as well as common alarm occurrences. RESULTS: Patient
Room 1 consistently had the lowest average recordings, though all averages were
>40 dB, despite decreases between 10:00 pm and 7:00 am. During daytime hours,
recordings maintained levels >50 dB. Overnight noise remained above recommended
levels 55.25% of the period in Patient Room 1 and 99.61% of the same time period
in Patient Room 7. The nurses' station remained the loudest location of all
three. Alarms per hour ranged from 20-26 during the day. Alarms per day averaged:
Patient Room 1-57.17, Patient Room 7-122.03, and the nurses' station - 562.26.
Oxygen saturation alarms accounted for 33.59% of activity, and heart-related
(including ST segment and pacemaker) accounted for 49.24% of alarms. CONCLUSION:
The CCU cares for ill patients requiring constant monitoring. Despite advances in
technology, measured noise levels for the hospital studied exceeded WHO standards
of 40 dB and peaks of 45 dB, even during night hours when patients require rest.
Further work is required to reduce noise levels and examine effects on patient
satisfaction, clinical outcomes, and length of stay. Ryan KM , Gagnon M , Hanna T
, Mello B , Fofana M , Ciottone G , Molloy M . Noise pollution: do we need a
solution? An analysis of noise in a cardiac care unit. Prehosp Disaster Med.
2016;31(4):432-435.
PMID- 27211893
TI - Oral pH in gastroesophageal reflux disease.
AB - AIMS AND OBJECTIVES: The aim of this study is to compare surface pH in various
parts of the oral cavity between patients with gastroesophageal reflux disease
(GERD) and healthy controls. METHODS: Using a flat pH meter sensor, fixed
electrode pen type digital pH meter, oral pH levels were assessed at different
mucosal sites among 34 GERD patients and 32 healthy controls. Salivary flow rates
and buffering capacity were also assessed in them. A thorough oral examination
was performed to screen for any oral and dental changes. RESULT: A significantly
lower pH of 6.65 +/- 0.13 (mean +/- SD) was found in the GERD group compared to
control group 7.23 +/- 0.12 (p < 0.05). Least pH was found in the floor of the
mouth 6.594 +/- 0.17 and highest in the lower labial mucosa among the GERD
patients. Salivary flow rate and buffering capacity were low in these patients.
Significant changes were noticed in the hard and soft tissues of the oral cavity
among the GERD group. CONCLUSION: Oral mucosal pH is altered in GERD patients and
may contribute to effects on the oral cavity.
PMID- 27211894
TI - The National Prevention Strategy and the U.S. Peace Corps.
PMID- 27211895
TI - Progression of Poly-tobacco Product Use Patterns in Adolescents.
AB - INTRODUCTION: Diverse patterns of adolescent use and poly-use of tobacco products
other than conventional cigarettes are emerging. Data characterizing common
patterns of youth tobacco product use and typical transitions among patterns may
inform tobacco control policy and prevention. This study identified common
patterns of use and poly-use of five popular tobacco products (i.e., conventional
cigarettes, electronic [e-]cigarettes, hookah, blunts, and cigars) and
progression among patterns across time among ninth-graders using latent
transition analysis (analyses conducted in 2015). METHODS: Data were from a
longitudinal cohort study of ninth-grade students enrolled in ten public high
schools in California (N=3,304; 46.6% male; 48.3% Hispanic; mean age, 14.58
[SD=0.40] years), involving a baseline (2013) and 6-month follow-up (2014). Past
6-month any use of the five tobacco products was assessed. RESULTS: Poly-use (two
or more products) constituted 42% and 50% of tobacco-using teens at baseline and
follow-up, respectively. Three common patterns were identified, which reflected
successfully greater degrees of low, intermediate, and high diversity of tobacco
product use: non-users (baseline prevalence, 0.75; follow-up prevalence, 0.64); e
cigarette/hookah users only (prevalence, 0.21, 0.27); and poly-tobacco product
users of all five products (prevalence, 0.04, 0.09). Most typical transitions
involved progressing to the next more diverse pattern (non-user->e
cigarette/hookah user [probability=0.13] and e-cigarette/hookah user->poly
tobacco product user [probability=0.19]). Transition from one of the user
patterns to non-user status was rare (probability<=0.08). CONCLUSIONS: Adolescent
poly-tobacco use is common. E-cigarette and hookah use may reflect an
intermediate pattern of tobacco product use progression along a continuum of poly
product use diversity.
PMID- 27211896
TI - Call to Action: The Need for an LGBT-Focused Physical Activity Research Strategy.
PMID- 27211897
TI - Neighborhood Walkability and Adiposity in the Women's Health Initiative Cohort.
AB - INTRODUCTION: Neighborhood environments may play a role in the rising prevalence
of obesity among older adults. However, research on built environmental
correlates of obesity in this age group is limited. The current study aimed to
explore associations of Walk Score, a validated measure of neighborhood
walkability, with BMI and waist circumference in a large, diverse sample of older
women. METHODS: This study linked cross-sectional data on 6,526 older
postmenopausal women from the Women's Health Initiative Long Life Study (2012
2013) to Walk Scores for each participant's address (collected in 2012). Linear
and logistic regression models were used to estimate associations of BMI and
waist circumference with continuous and categorical Walk Score measures.
Secondary analyses examined whether these relationships could be explained by
walking expenditure or total physical activity. All analyses were conducted in
2015. RESULTS: Higher Walk Score was not associated with BMI or overall obesity
after adjustment for sociodemographic, medical, and lifestyle factors. However,
participants in highly walkable areas had significantly lower odds of abdominal
obesity (waist circumference >88 cm) as compared with those in less walkable
locations. Observed associations between walkability and adiposity were partly
explained by walking expenditure. CONCLUSIONS: Findings suggest that neighborhood
walkability is linked to abdominal adiposity, as measured by waist circumference,
among older women and provide support for future longitudinal research on
associations between Walk Score and adiposity in this population.
PMID- 27211898
TI - Dlg5 maintains apical polarity by promoting membrane localization of Crumbs
during Drosophila oogenesis.
AB - Apical-basal polarity plays critical roles in the functions of epithelial
tissues. However, the mechanisms of epithelial polarity establishment and
maintenance remain to be fully elucidated. Here we show that the membrane
associated guanylate kinase (MAGUK) family protein Dlg5 is required for the
maintenance of apical polarity of follicle epithelium during Drosophila
oogenesis. Dlg5 localizes at the apical membrane and adherens junction (AJ) of
follicle epithelium in early stage egg chambers. Specifically, we demonstrate
that the major function of Dlg5 is to promote apical membrane localization of
Crumbs, since overexpression of Crumbs but not other major apical or AJ
components could rescue epithelial polarity defects resulted from loss of Dlg5.
Furthermore, we performed a structure-function analysis of Dlg5 and found that
the C-terminal PDZ3 and PDZ4 domains are required for all Dlg5's functions as
well as its ability to localize to apical membrane. The N-terminal coiled-coil
motif could be individually targeted to the apical membrane, while the central
linker region could be targeted to AJ. Lastly, the MAGUK core domains of PDZ4-SH3
GUK could be individually targeted to apical, AJ and basolateral membranes.
PMID- 27211899
TI - Global epidemiology of avian influenza A H5N1 virus infection in humans, 1997
2015: a systematic review of individual case data.
AB - Avian influenza A H5N1 viruses have caused many, typically severe, human
infections since the first human case was reported in 1997. However, no
comprehensive epidemiological analysis of global human cases of H5N1 from 1997 to
2015 exists. Moreover, few studies have examined in detail the changing
epidemiology of human H5N1 cases in Egypt, especially given the outbreaks since
November, 2014, which have the highest number of cases ever reported worldwide in
a similar period. Data on individual patients were collated from different
sources using a systematic approach to describe the global epidemiology of 907
human H5N1 cases between May, 1997, and April, 2015. The number of affected
countries rose between 2003 and 2008, with expansion from east and southeast
Asia, then to west Asia and Africa. Most cases (67.2%) occurred from December to
March, and the overall case-fatality risk was 483 (53.5%) of 903 cases which
varied across geographical regions. Although the incidence in Egypt has increased
dramatically since November, 2014, compared with the cases beforehand, there were
no significant differences in the fatality risk, history of exposure to poultry,
history of patient contact, and time from onset to hospital admission in the
recent cases.
PMID- 27211900
TI - CRFR1 in AgRP Neurons Modulates Sympathetic Nervous System Activity to Adapt to
Cold Stress and Fasting.
AB - Signaling by the corticotropin-releasing factor receptor type 1 (CRFR1) plays an
important role in mediating the autonomic response to stressful challenges.
Multiple hypothalamic nuclei regulate sympathetic outflow. Although CRFR1 is
highly expressed in the arcuate nucleus (Arc) of the hypothalamus, the identity
of these neurons and the role of CRFR1 here are presently unknown. Our studies
show that nearly half of Arc-CRFR1 neurons coexpress agouti-related peptide
(AgRP), half of which originate from POMC precursors. Arc-CRFR1 neurons are
innervated by CRF neurons in the hypothalamic paraventricular nucleus, and CRF
application decreases AgRP(+)CRFR1(+) neurons' excitability. Despite similar
anatomy in both sexes, only female mice selectively lacking CRFR1 in AgRP neurons
showed a maladaptive thermogenic response to cold and reduced hepatic glucose
production during fasting. Thus, CRFR1, in a subset of AgRP neurons, plays a
regulatory role that enables appropriate sympathetic nervous system activation
and consequently protects the organism from hypothermia and hypoglycemia.
PMID- 27211901
TI - Reversal of Cytosolic One-Carbon Flux Compensates for Loss of the Mitochondrial
Folate Pathway.
AB - One-carbon (1C) units for purine and thymidine synthesis can be generated from
serine by cytosolic or mitochondrial folate metabolism. The mitochondrial 1C
pathway is consistently overexpressed in cancer. Here, we show that most but not
all proliferating mammalian cell lines use the mitochondrial pathway as the
default for making 1C units. Clustered regularly interspaced short palindromic
repeats (CRISPR)-mediated mitochondrial pathway knockout activates cytosolic 1C
unit production. This reversal in cytosolic flux is triggered by depletion of a
single metabolite, 10-formyl-tetrahydrofolate (10-formyl-THF), and enables rapid
cell growth in nutrient-replete conditions. Loss of the mitochondrial pathway,
however, renders cells dependent on extracellular serine to make 1C units and on
extracellular glycine to make glutathione. HCT-116 colon cancer xenografts
lacking mitochondrial 1C pathway activity generate the 1C units required for
growth by cytosolic serine catabolism. Loss of both pathways precludes xenograft
formation. Thus, either mitochondrial or cytosolic 1C metabolism can support
tumorigenesis, with the mitochondrial pathway required in nutrient-poor
conditions.
PMID- 27211903
TI - High occupational level is associated with poor response to treatment of
depression.
AB - Depression may be complicated by work-related stress and, in turn, depression is
a leading cause of disability in workplaces. Though available effective
treatments, only one third of patients reach full remission after a first
treatment trial and nearly half of the patients are non-responders. Occupational
level has been found to be a reliable predictor of health outcome in the general
population. In the present study we tested the potential association of
occupational level of those in work with response to treatment of depression in a
large multinational sample. Major depressive disorder patients (n=654) stratified
in three occupational levels (high, middle, low) were considered for the present
study. Response to last treatment for current episode and treatment resistant
depression, defined as non-response to 2 or more previous adequate treatment
trials, were considered the outcome variables. Depressed patients from the high
occupational level had a higher level of educational achievement. They showed a
significantly poorer response to the last treatment with lower remission rates
and more treatment resistance than the other occupational level groups. They were
treated less with Serotonin Reuptake Inhibitors (SRIs). Potential confounding
factors did not influence the main effect. The present findings indicate that
those working at a high occupational level may be a risk factor for poor response
to medication for depression and this has potential implications for clinicians
and their patients, for future research, for employers and for public policy.
PMID- 27211904
TI - The rapid antidepressant and anxiolytic-like effects of YY-21 involve enhancement
of excitatory synaptic transmission via activation of mTOR signaling in the mPFC.
AB - Although antidepressants have been widely prescribed to treat patients with major
depressive disease (MDD), there is little disagreement over the need for improved
antidepressant therapeutics as the typical treatments have a slow therapeutic
onset and moderate efficacy. In the present study, we assessed a novel compound,
YY-21, from timosaponin B-III derived from sarsasapogenin of Anemarrhenae
Rhizoma. From the initial results, we found that YY-21 obviously increased
presynaptic glutamate release and enhanced long-term synaptic activity within
10min as determined by excitatory postsynaptic current (EPSC) and field
excitatory postsynaptic potential (fEPSP) in medial prefrontal cortex (mPFC)
slices, respectively. YY-21 demonstrated anxiolytic-like effects following acute
administration in naive animals and reversed the depressive-like and anxiety
phenotypes induced by chronic unpredictable mild stress (CMS) with a relatively
fast therapeutic onset. Furthermore, analysis of intracellular signaling pathways
showed that YY-21 normalized the CMS-induced low protein levels of GluN2B, p
mTOR, synaptic-related proteins, such as BDNF, PSD-95 and GluA1. Pre-application
of the mTOR-selective inhibitor rapamycin blocked YY-21-induced long-term
synaptic enhancement. These findings suggest that the activation of BDNF
dependent mTOR signaling, which produces a rapid increase in the postsynaptic
protein PSD-95 and GluA1 and further triggers the long-term enhancement of
synaptic neurotransmission, may be the mechanism underlying the rapid
antidepressant and anxiolytic effects induced by YY-21.
PMID- 27211905
TI - Genetic and antigenic characteristics of ApxIIA and ApxIIIA from Actinobacillus
pleuropneumoniae serovars 2, 3, 4, 6, 8 and 15.
AB - Apx toxins produced by Actinobacillus pleuropneumoniae are essential components
of new generation vaccines. In this study, apxIIA and apxIIIA genes of serovars
2, 3, 4, 6, 8 and 15 were cloned and sequenced. Amino acid sequences of ApxIIA
proteins of serovars 2, 3, 4, 6, 8 and 15 were almost identical to those of
serovars 1, 5, 7, 9 and 11-13. Immunoblot analysis showed that rApxIIA from
serovars 2 and 15 reacts strongly with sera from animals infected with various
serovars. Sequence analysis revealed that ApxIIIA proteins has two variants, one
in strains of serovar 2 and the other in strains of serovars 3, 4, 6, 8 and 15. A
mouse cross-protection study showed that mice actively immunized with rApxIIIA/2
or rApxIIIA/15 are protected against challenge with A. pleuropneumoniae strains
of serovars 3, 4, 6, 8, 15, and 2 expressing ApxIII/15 and ApxIII/2,
respectively. Similarly, mice passively immunized with rabbit anti-rApxIIIA/2 or
anti-rApxIIIA/15 sera were found to be protected against challenge with strains
of serovars 2 and 15. Our study revealed antigenic and sequence similarities
within ApxIIA and ApxIIIA proteins, which may help in the development of
effective vaccines against disease caused by A. pleuropneumoniae.
PMID- 27211902
TI - Antibody-Array-Based Proteomic Screening of Serum Markers in Systemic Lupus
Erythematosus: A Discovery Study.
AB - A discovery study was carried out where serum samples from 22 systemic lupus
erythematosus (SLE) patients and matched healthy controls were hybridized to
antibody-coated glass slide arrays that interrogated the level of 274 human
proteins. On the basis of these screens, 48 proteins were selected for ELISA
based validation in an independent cohort of 28 SLE patients. Whereas AXL,
ferritin, and sTNFRII were significantly elevated in patients with active lupus
nephritis (LN) relative to SLE patients who were quiescent, other molecules such
as OPN, sTNFRI, sTNFRII, IGFBP2, SIGLEC5, FAS, and MMP10 exhibited the capacity
to distinguish SLE from healthy controls with ROC AUC exceeding 90%, all with p <
0.001 significance. These serum markers were next tested in a cohort of 45 LN
patients, where serum was obtained at the time of renal biopsy. In these
patients, sTNFRII exhibited the strongest correlation with eGFR (r = -0.50, p =
0.0014) and serum creatinine (r = 0.57, p = 0.0001), although AXL, FAS, and
IGFBP2 also correlated with these clinical measures of renal function. When
concurrent renal biopsies from these patients were examined, serum FAS, IGFBP2,
and TNFRII showed significant positive correlations with renal pathology activity
index, while sTNFRII displayed the highest correlation with concurrently scored
renal pathology chronicity index (r = 0.57, p = 0.001). Finally, in a
longitudinal cohort of seven SLE patients examined at ~3 month intervals, AXL,
ICAM-1, IGFBP2, SIGLEC5, sTNFRII, and VCAM-1 demonstrated the ability to track
with concurrent disease flare, with significant subject to subject variation. In
summary, serum proteins have the capacity to identify patients with active
nephritis, flares, and renal pathology activity or chronicity changes, although
larger longitudinal cohort studies are warranted.
PMID- 27211907
TI - Prescription of adrenaline auto-injectors to 1145 Japanese outdoor workers in
2015.
PMID- 27211906
TI - Differential effects of rapalogues, dual kinase inhibitors on human ovarian
carcinoma cells in vitro.
AB - Ovarian cancer is the second most common gynaecological malignancy and was
diagnosed in over 7,000 women in 2011 in the UK. There are currently no reliable
biomarkers available for use in a regular screening assay for ovarian cancer and
due to characteristic late presentation (78% in stages III and IV) ovarian cancer
has a low survival rate (35% after 10 years). The mTOR pathway is a central
regulator of growth, proliferation, apoptosis and angiogenesis; providing balance
between available resources such as amino acids and growth factors, and stresses
such as hypoxia, to control cellular behaviour accordingly. Emerging data links
mTOR with the aetiopathogenesis of ovarian cancer. We hypothesised that mTOR
inhibitors could play a therapeutic role in ovarian cancer treatment. In this
study we began by validating the expression of four main mTOR pathway components,
mTOR, DEPTOR, rictor and raptor, at gene and protein level in in vitro models of
endometrioid (MDAH-2774) and clear cell (SKOV3) ovarian cancer using qPCR and
ImageStream technology. Using a wound healing assay we show that inhibition of
the mTOR pathway using rapamycin, rapalogues, resveratrol and NVP BEZ-235 induces
a cytostatic and not cytotoxic response up to 18 h in these cell lines. We
extended these findings up to 72 h with a proliferation assay and show that the
effects of inhibition of the mTOR pathway are primarily mediated by the
dephosphorylation of p70S6 kinase. We show that mTOR inhibition does not involve
alteration of mTOR pathway components or induce caspase 9 cleavage. Preclinical
studies including ovarian tissue of ovarian cancer patients, unaffected controls
and patients with unrelated gynaecological conditions show that DEPTOR is
reliably upregulated in ovarian cancer.
PMID- 27211909
TI - sQUIZ your knowledge: generalized hyperpigmentation and palmoplantar
erythrodysesthesia.
PMID- 27211908
TI - Seafloor heterogeneity influences the biodiversity-ecosystem functioning
relationships in the deep sea.
AB - Theoretical ecology predicts that heterogeneous habitats allow more species to co
exist in a given area. In the deep sea, biodiversity is positively linked with
ecosystem functioning, suggesting that deep-seabed heterogeneity could influence
ecosystem functions and the relationships between biodiversity and ecosystem
functioning (BEF). To shed light on the BEF relationships in a heterogeneous deep
seabed, we investigated variations in meiofaunal biodiversity, biomass and
ecosystem efficiency within and among different seabed morphologies (e.g.,
furrows, erosional troughs, sediment waves and other depositional structures,
landslide scars and deposits) in a narrow geo-morphologically articulated sector
of the Adriatic Sea. We show that distinct seafloor morphologies are
characterized by highly diverse nematode assemblages, whereas areas sharing
similar seabed morphologies host similar nematode assemblages. BEF relationships
are consistently positive across the entire region, but different seabed
morphologies are characterised by different slope coefficients of the
relationship. Our results suggest that seafloor heterogeneity, allowing
diversified assemblages across different habitats, increases diversity and
influence ecosystem processes at the regional scale, and BEF relationships at
smaller spatial scales. We conclude that high-resolution seabed mapping and a
detailed analysis of the species distribution at the habitat scale are crucial
for improving management of goods and services delivered by deep-sea ecosystems.
PMID- 27211910
TI - Ascorbic Acid Induces Necrosis in Human Laryngeal Squamous Cell Carcinoma via
ROS, PKC, and Calcium Signaling.
AB - Ascorbic acid induces apoptosis, autophagy, and necrotic cell death in cancer
cells. We investigated the mechanisms by which ascorbic acid induces death in
laryngeal squamous cell carcinoma Hep2 cells. Ascorbic acid markedly reduced cell
viability and induced death without caspase activation and an increase in
cytochrome c. Hep2 cells exposed to ascorbic acid exhibited membrane rupture and
swelling, the morphological characteristics of necrotic cell death. The
generation of reactive oxygen species (ROS) was increased in Hep2 cells treated
with ascorbic acid, and pretreatment with N-acetylcysteine blocked ascorbic acid
induced cell death. Ascorbic acid also stimulated protein kinase C (PKC)
signaling, especially PKC alpha/beta activation, and subsequently increased
cytosolic calcium levels. However, ascorbic acid-induced necrotic cell death was
inhibited by Ro-31-8425 (PKC inhibitor) and BAPTA-AM (cytosolic calcium-selective
chelator). ROS scavenger NAC inhibited PKC activation induced by ascorbic acid
and Ro-31-8425 suppressed the level of cytosolic calcium increased by ascorbic
acid, indicating that ROS is represented as an upstream signal of PKC pathway and
PKC activation leads to the release of calcium into the cytosol, which ultimately
regulates the induction of necrosis in ascorbic acid-treated Hep2 cells. These
data demonstrate that ascorbic acid induces necrotic cell death through ROS
generation, PKC activation, and cytosolic calcium signaling in Hep2 cells. J.
Cell. Physiol. 232: 417-425, 2017. (c) 2016 Wiley Periodicals, Inc.
PMID- 27211911
TI - Longer rewarming time in finger cooling test in association with HbA1c level in
diabetics.
AB - OBJECTIVE: To assess if rewarming time in finger cooling test (FCT) as an
indicator of microvascular dysfunction is abnormal in patients with type 2
diabetes mellitus (T2DM). METHODS: Forty-three T2DM patients and 48 healthy
controls with similarly distributed baseline demographic, clinical and laboratory
parameters were subjected to FCT involving 60-second index finger immersion into
water at 4 degrees C. Finger temperature was measured before FCT (baseline-T),
immediately after cooling stimulus (T0), and at one-minute intervals until
baseline-T recovery. Temperature decline amplitude was calculated as the
difference between T0 and baseline-T, and rewarming time as time elapsed from T0
to baseline-T recovery. RESULTS: T2DM patients compared with healthy controls had
statistically similar baseline-T, significantly larger temperature decline
amplitude, significantly lower T0, and significantly longer rewarming time. In
T2DM patients, rewarming time positively correlated with T2DM duration (r=0.513,
p<0.001) and glycated hemoglobin (HbA1c) level (r=0.446, p=0.003), which also
were its independent predictors in multivariate regression analysis. CONCLUSIONS:
Patients with T2DM display abnormal FCT results suggestive of microvascular
dysfunction, with T2DM duration and HbA1c level independently predicting
rewarming time.
PMID- 27211912
TI - GBIQ: a non-arbitrary, non-biased method for quantification of fluorescent
images.
AB - Non-arbitrary and non-biased quantification of fluorescent images is an essential
tool for the data-centric approach to biological systems. Typical application is
high-content analysis, where various phenotypic changes in cellular components
and/or morphology are measured from fluorescent image data. A standard protocol
to detect cellular phenotypes is cell-segmentation, in which boundaries of
cellular components, such as cell nucleus and plasma membrane, are first
identified to define cell segments, then acquiring various phenotypic data of
each segment. To achieve reliable outcome, cell-segmentation requires manual
adjustments of many parameters; this requirement could hamper automated image
processing in high-throughput workflow, whose quantification must be non
arbitrary and non-biased. As a practical alternative to the segmentation-based
method, we developed GBIQ (Grid Based Image Quantification), which allows
comparison of cellular information without identification of single cells. GBIQ
divides an image with tiles of fixed size grids and records statistics of the
grids with their location coordinates, minimizing arbitrary intervenes. GBIQ
requires only one parameter (size of grid) to be set; nonetheless it robustly
produces results suitable for further statistical evaluation. The simplicity of
GBIQ allows it to be readily implemented in an automated high-throughput image
analysis workflow.
PMID- 27211913
TI - Mechanisms of mindfulness: The dynamics of affective adaptation during open
monitoring.
AB - Mindfulness - the nonjudgmental awareness of the present experience - is thought
to facilitate affective adaptation through increased exposure to emotions and
faster extinction of habitual responses. To test this framework, the
amplification of the Late Positive Potential (LPP) by negative relative to
neutral images was analyzed across stimulus repetitions while 37 novices
performed an open monitoring mindfulness exercise. Compared to two active control
conditions where attention was either diverted to a distracting task or the
stimuli were attended without mindfulness instructions, open monitoring enhanced
the initial LPP response to negative stimuli, indicating increased emotional
exposure. Across successive repetitions, mindfulness reduced and ultimately
removed the affective LPP amplification, suggesting extinction of habitual
emotional reactions. This effect arose from reduced negative as well enlarged
neutral LPPs. Unlike stimuli from control conditions, the images previously
viewed with mindfulness instructions did not elicit affective LPP amplification
during subsequent re-exposure, suggesting reconsolidation of stimulus meaning.
PMID- 27211915
TI - Survey of Primary Aromatic Amines and Colorants in Polyurethane, Nylon and
Textile Toys.
AB - The residual and migration levels of 28 primary aromatic amines (PAAs) in
polyurethane and nylon toys were determined using LC-MS/MS, and the migration and
residual amounts of PAAs and 15 colorants in textile toys were determined using
LC-MS/MS and LC-TOF-MS according to the European Standard EN71. Among 34
polyurethane toy samples, 2,6-diaminotoluene and 2,4-diaminotoluene were detected
in the same 12 samples at residual levels ranging from 2.1 to 19.7 and from 7.6
to 39.6 MUg/g, respectively. Furthermore, 4,4'-diaminodiphenylmethane (4,4'-MDA)
and aniline were detected in 9 samples (from 0.2 to 8.7 MUg/g), and one sample
(0.4 MUg/g), respectively. PAAs were not detected in the 8 samples of nylon toys.
As for the migration test into water, only 4,4'-MDA migrated from 3 polyurethane
toys at levels ranging from 0.4 to 2.5 MUg/g. PAAs did not migrate from the 43
textile toys, but colorants such as Solvent Yellow 1 and Basic Red 9 were
detected at the residual level of 0.02 MUg/g. The residual levels of PAAs and
colorants detected in this study were significantly lower than the limit values
established by the European Union regulation.
PMID- 27211914
TI - Molecular detection of severe fever with thrombocytopenia syndrome and tick-borne
encephalitis viruses in ixodid ticks collected from vegetation, Republic of
Korea, 2014.
AB - Ticks play an important role in transmission of arboviruses responsible for
emerging infectious diseases, and have a significant impact on human, veterinary,
and wildlife health. In the Republic of Korea (ROK), little is known about
information regarding the presence of tick-borne viruses and their vectors. A
total of 21,158 ticks belonging to 3 genera and 6 species collected at 6
provinces and 4 metropolitan areas in the ROK from March to October 2014 were
assayed for selected tick-borne pathogens. Haemaphysalis longicornis (n=17,570)
was the most numerously collected, followed by Haemaphysalis flava (n=3317),
Ixodes nipponensis (n=249), Amblyomma testudinarium (n=11), Haemaphysalis
phasiana (n=8), and Ixodes turdus (n=3). Ticks were pooled (adults 1-5, nymphs 1
30, and larvae 1-50) and tested by one-step reverse transcription polymerase
chain reaction (RT-PCR) or nested RT-PCR for the detection of severe fever with
thrombocytopenia virus (SFTSV), tick-borne encephalitis virus (TBEV), Powassan
virus (POWV), Omsk hemorrhagic fever virus (OHFV), and Langat virus (LGTV). The
overall maximum likelihood estimation (MLE) [estimated numbers of viral RNA
positive ticks/1000 ticks] for SFTSV and TBEV was 0.95 and 0.43, respectively,
while, all pools were negative for POWV, OHFV, and LGTV. The purpose of this
study was to determine the prevalence of SFTSV, TBEV, POWV, OHFV, and LGTV in
ixodid ticks collected from vegetation in the ROK to aid our understanding of the
epidemiology of tick-borne viral diseases. Results from this study emphasize the
need for continuous tick-based arbovirus surveillance to monitor the emergence of
tick-borne diseases in the ROK.
PMID- 27211916
TI - Surveillance of Radioactive Cesium in Meats of Wild Animals Caught in Chiba
Prefecture.
AB - From fiscal year 2012 to 2014, we surveyed the concentration of radioactive
cesium in 39 wild animal meats obtained from 20 wild boars and 19 deer caught in
Chiba prefecture, using a germanium semiconductor detector. Four wild boar meats
in the fiscal years 2012 and 2013 exceeded the radioactive cesium limit in
general foods (100 Bq/kg), whereas none of the deer meats exceeded the limit. The
left side of the wild boar that showed a radioactive cesium concentration above
100 Bq/kg was divided into 14 parts. We compared the radioactive cesium
concentration in the ham used for the screening test with those in other parts.
The concentration was highest in ham, among the edible parts.
PMID- 27211917
TI - Identification of Mushroom Species by Automated rRNA Intergenic Spacer Analysis
(ARISA) and Its Application to a Suspected Case of Food Poisoning with Tricholoma
ustale.
AB - Automated rRNA intergenic spacer analysis (ARISA), a method of microbiome
analysis, was evaluated for species identification of mushrooms based on the
specific fragment sizes. We used 51 wild mushroom-fruiting bodies collected in
the centre of Hokkaido and two cultivated mushrooms. Samples were hot-air-dried
and DNA were extracted by a beads beating procedure. Sequencing analysis of
portions of the rRNA gene (rDNA) provided 33 identifications of mushrooms by
genus or species. The results of ARISA identification based on the combination of
the fragment sizes corresponding to two inter spacer regions (ITS2 and ITS1) of
rDNA within+/-0.1% accuracy showed that 27 out of the 33 species had specific
fragment sizes differentiated from other species. The remaining 6 species formed
3 pairs that showed overlapping fragment sizes. In addition, within-species
polymorphisms were observed as 1 bp differences among 32 samples of 13 species.
ARISA was applied to investigate a case of suspected food poisoning in which the
mushroom was thought to be a toxic Kakishimeji. The morphological identification
of the mushroom was ambiguous since the remaining sample lacked a part of the
fruiting body. Further, yeast colonies had grown on the surface of the fruiting
body during storage. The ARISA fragment size of the mushroom showed 7 bp
difference from that of the candidate toxic mushroom. Although ARISA could be a
useful tools for estimation of mushroom species, especially in case where the
fruiting bodies have deteriorated or been processed, further studies are
necessary for reliable identification. For example, it may be necessary to adopt
more informative genes which could provide clearer species-specific polymorphisms
than the ITS regions.
PMID- 27211918
TI - Database of Pesticides and Off-flavors for Health Crisis Management.
AB - In this experiment, 351 pesticides and 441 different organic compounds were
analyzed by GC/MS, and a database of retention time, retention index,
monoisotopic mass, two selected ions, molecular formula, and CAS numbers was
created. The database includes compounds such as alcohols, aldehydes, carboxylic
acids, esters, ethers and hydrocarbons with unpleasant odors. This database is
expected to be useful for health crisis management in the future.
PMID- 27211919
TI - The Contents and Composition of Tetrodotoxin and Paralytic Shellfish Poisoning
Toxins in Marine Pufferfish Canthigaster rivulata.
AB - The contents and composition of tetrodotoxin (TTX) and paralytic shellfish toxins
(PSTs) in skin, muscle, and internal organs of two samples of marine puffer fish
Canthigaster rivulata from Wakayama prefecture, Japan, were analyzed. Liquid
chromatography-mass spectrometry (LC-MS) and high-performance liquid
chromatography with post-column derivatization and fluorescence detection (LC
FLD) were used for the analysis of TTX and PSTs, respectively. For both samples,
TTX and two analogues of PSTs, saxitoxin (STX) and decarbamoyl STX (dcSTX), were
detected at levels over the limit of quantization (LOQ) only in the skin. These
toxins in the muscle and internal organs were at trace levels, or not detected
(ND). TTX contents were 11,000 and 13,000 ng/g (or 35 and 41 nmol/g), while PSTs
contents were 168 and 460 ng/g (or 0.63 and 1.72 nmol/g) in the two skin
specimens. The compositions of total toxin content were 98.2 and 96.0 mol% TTX
and 1.8 and 4.0 mol% PSTs, respectively. Thus, the main contributor to toxin
content in C. rivulata skin was TTX and the levels of PSTs toxicity in C.
rivulata were very low. When the PSTs contents were converted into mouse unit
score from the LC-FLD results, the resulting values of 1.0 and 2.8 MU/g of PSTs
in C. rivulata skin were similar to those in Takifugu poecilonotus and Takifugu
vermicularis in Japan, as determined in previous studies.
PMID- 27211920
TI - Development and Validation of Simultaneous Analysis of Minerals and Toxic
Elements in Foods by ICP-MS.
AB - We have developed and validated an inductively coupled plasma-mass spectrometry
(ICP-MS) method for the simultaneous analysis of minerals and toxic elements in
foods. Food samples were digested by microwave irradiation to prepare solutions
for measurement by ICP-MS. Optimal gas mode and internal standard for each
element were selected as appropriate. The method was validated for eighteen
elements in total using three certified standard reference materials, namely,
Typical Japanese Diet, Rice Flour-Unpolished and Infant/Adult Nutritional
Formula, according to the guidelines of the Ministry of Health, Labour and
Welfare of Japan. The trueness and precision of the method were all within the
acceptable limits, except for Na in Rice Flour-Unpolished.
PMID- 27211921
TI - Critical transport rates that limit the performance of microbial electrochemistry
technologies.
AB - Microbial electrochemistry technologies (METs) take advantage of the connection
of microorganisms with electrodes. In the classic case of a microbial anode, the
maximization of current density produced is often the goal. But, current
production is dependent on many transport processes occurring, which can be rate
limiting. These include the fluxes of electron donor and acceptor, the ionic
flux, the acidity and alkalinity fluxes at anode and cathode respectively, the
electron transport flux at the biofilm, and the reactant/product crossover flux.
Associated with these fluxes are inherent concentration gradients that can affect
performance. This critical review provides an analysis on how these transport
processes have hindered the development of METs, and how MET designs have evolved
as more knowledge of these transport limitations is gained. Finally, suggestions
are provided on how to design MET systems taking into consideration critical
transport processes that are intimately linked to the current produced.
PMID- 27211922
TI - Participation in a Self-management Intervention for People Living With HIV.
PMID- 27211923
TI - Safety Net Party: A Group-Based Program to Prevent HIV/STDs in African-Born Women
in the United States.
PMID- 27211924
TI - Structural investigations on a linear isolated depsipeptide: the importance of
dispersion interactions.
AB - In this paper we present the first investigations on an isolated linear
depsipetide CyCO-Gly-Lac-NH-PhOMe (cyclohexylcarbonyl-glycine-lactate-2-anisidine
abbreviated as MOC) in a molecular beam experiment. Depsipeptides are a special
subclass of peptides which contain at least one ester bond replacing a peptide
bond. This leads to a different folding behavior and a different biological
activity compared to a "normal" peptide. In order to analyze the folding of an
isolated depsipeptide on a molecular level a variety of combined IR/UV methods
including IR/IR/UV experiments are applied to MOC. Three different isomers are
identified in combination with DFT calculations using the hybrid functional B3LYP
D3 with a TZVP basis set. The most stable structure shows a tweezer-like
arrangement between the aromatic chromophore and the aliphatic cyclohexyl ring. A
characteristic feature of this structure is that it is stabilized by dispersion
interactions resulting from CH/pi interactions. If dispersion is not taken into
account this structural arrangement is no longer a minimum on the potential
energy surface indicating the importance of dispersion interactions.
PMID- 27211926
TI - Peyronie's Disease and Injectable Collagenase Clostridium histolyticum: Safety,
Efficacy, and Improvements in Subjective Symptoms.
AB - OBJECTIVE: To report on an early adopter series of collagenase Clostridium
histolyticum (CCh) for Peyronie's disease (PD). Postapproval studies of CCh have
been anticipated after recent Food and Drug Administration authorization of its
use for men with PD as definitive and durable nonsurgical interventions have been
long desired. MATERIALS AND METHODS: From May 2014 to October 2015, a database
consisting of PD patients with >30 degrees of penile curvature received CCh from
a single provider at a single institution. Objective penile curvature
measurements and deformity directions were assessed pre- and posttreatment. Using
the validated Peyronie's Disease Questionnaire (PDQ), changes in subjective
symptoms of intercourse ability, penile pain, and bother were also noted.
RESULTS: We followed 49 unique PD patients treated with CCh. Mean follow-up was
183 days with a median of 6 injections over 3 cycles performed per patient. The
mean pretreatment penile curvature was 49.3 degrees. Curvature was reduced by
15.4 degrees (32.4%, P < .01) after therapy. There were 10 out of 22 patients who
regained ability to perform vaginal intercourse. Subjectively, there was an
improvement in the ability to perform intercourse (29.1% improvement, P < .01)
and bother symptoms (mean decrease 43.2%, P < .01), but no significant changes in
penile pain (P = .89). Five notable bleeding events (10.2%) were noted, including
1 penile fracture requiring operative exploration. CONCLUSION: CCh use for PD
yielded improvements in penile curvature, subjective intercourse, and bother
symptoms. Further postanalysis studies of greater follow-up are needed to assess
long-term durability, efficacy, and safety.
PMID- 27211925
TI - The Fd-GOGAT1 mutant gene lc7 confers resistance to Xanthomonas oryzae pv. Oryzae
in rice.
AB - Disease resistance is an important goal of crop improvement. The molecular
mechanism of resistance requires further study. Here, we report the
identification of a rice leaf color mutant, lc7, which is defective in
chlorophyll synthesis and photosynthesis but confers resistance to Xanthomonas
oryzae pv. Oryzae (Xoo). Map-based cloning revealed that lc7 encodes a mutant
ferredoxin-dependent glutamate synthase1 (Fd-GOGAT1). Fd-GOGAT1 has been proposed
to have great potential for improving nitrogen-use efficiency, but its function
in bacterial resistance has not been reported. The lc7 mutant accumulates
excessive levels of ROS (reactive oxygen species) in the leaves, causing the leaf
color to become yellow after the four-leaf stage. Compared to the wild type, lc7
mutants have a broad-spectrum high resistance to seven Xoo strains.
Differentially expressed genes (DEGs) and qRT-PCR analysis indicate that many
defense pathways that are involved in this broad-spectrum resistance are
activated in the lc7 mutant. These results suggest that Fd-GOGAT1 plays an
important role in broad-spectrum bacterial blight resistance, in addition to
modulating nitrogen assimilation and chloroplast development.
PMID- 27211927
TI - The First Report of Urothelial (Clear Cell Variant) Bladder Cancer in a Child.
AB - Urothelial bladder tumours in childhood are extremely rare, with most cases being
low grade, superficial disease of the papillary subtype with favourable outcomes.
Urothelial (clear cell variant) carcinoma of bladder (UCCVCB) is an exceptional
histological finding in both the adult and paediatric population. Herein we
describe the first case of UCCVCB diagnosed in childhood. With this case being
only the fourth report of confirmed muscle invasion in childhood, we discuss the
entity of UCCVCB, and furthermore review all previously reported confirmed cases
of childhood muscle invasive urothelial bladder cancer, in general.
PMID- 27211928
TI - Comparison of Two Validated Voiding Questionnaires and Clinical Impression in
Children With Lower Urinary Tract Symptoms: ICIQ-CLUTS Versus Akbal Survey.
AB - OBJECTIVE: To compare the correlation of 2 commonly used and validated voiding
questionnaires (ICIQ-CLUTS and Akbal's) according to the physician's clinical
impressions. Also, we investigated the reliability of these instruments in
children with lower urinary tract symptoms (LUTS). MATERIALS AND METHODS: Akbal's
questionnaires and ICIQ-CLUTS forms were completed by children between 5 and 18
years old with and without LUTS and by their parents. The data were classified
into 3 age groups (5-9, 10-13, 14-18). The reliability of Akbal and ICIQ-CLUTS
was investigated by using Cronbach's alpha (>=0.7 is indicated acceptability).
The total scores of the tools were compared with the physician's clinical
impression (Kendall's tau b-test). RESULTS: A total of 154 children (LUTS: n =
88, controls: n = 66) were prospectively enrolled into the study. The reliability
of both instruments was excellent (Cronbach's alpha scores; Akbal = 0.811, ICIQ
CLUTS children version: 0.728 and ICIQ-CLUTS parental version: 0.746). When we
compared by Kendal tau, Akbal was better correlated with physician's clinical
impression. In addition, the children version of ICIQ-CLUTS was better correlated
than parental version. CONCLUSION: The results of our study provide that both
tools are reliable and objective to grade the LUTS in pediatric population.
Although both surveys were significantly correlated with clinical impression, the
consistency of Akbal's questionnaire is found superior than that of ICIQ-CLUTS.
PMID- 27211929
TI - Sarcomatoid Carcinoma of the Bladder in a Child: Case Report of a Successful
Treatment Including Gemcitabine and Cisplatin.
AB - This report describes an unusual case of sarcomatoid carcinoma of the bladder in
a 3-year-old girl. Although saving the patient's life is most essential, it is
also essential to consider quality of life. The patient underwent neoadjuvant
chemotherapy with gemcitabine and cisplatin for bladder preservation. The tumor
considerably decreased in size. After 4 courses of chemotherapy, the patient
underwent a partial cystectomy followed by postoperative irradiation with 2
courses of chemotherapy. Seventy months after the operation, she remains alive,
showing complete remission with normal bladder function. Chemotherapy resulted in
tumor shrinkage and allowed for bladder preservation.
PMID- 27211930
TI - Robotic Single-port Surgery: Paving the Way for the Future.
AB - Adoption of robotic technology in urology has been widely popularized as it
provides considerable advantages over standard techniques, including improved
instrument dexterity, three-dimensional high-definition optics, and enhanced
ergonomics. As a result, these features have facilitated the adaptation of
robotics for laparoendoscopic single-site surgery (LESS) to overcome challenges
with clashing, suturing, and intra-abdominal triangulation. Since the inception
of robotic LESS by our institution in 2008,(1) many centers have gone on to study
this approach in various urologic applications. Herein we discuss the evolution
of robotic LESS access, instrumentation, and outcomes with special focus on up
and-coming technology.
PMID- 27211931
TI - How I Teach the Norwood Procedure.
PMID- 27211932
TI - Shared Decision Making and Effective Risk Communication in the High-Risk Patient
With Operable Stage I Non-Small Cell Lung Cancer.
AB - Shared decision making is a dynamic clinical process by which the provider
assists the patient in choosing between more than one treatment option for a
given condition. Here, we explore what the shared decision making and risk
communication process could look like in the setting of a high-risk patient with
clinical stage I non-small cell lung cancer who is potentially eligible for
either segmentectomy or stereotactic body radiation therapy. We highlight
clinical tools that can be used during the shared decision making and risk
communication process in a stepwise manner to identify patient preferences and
values to assist in making a tailored treatment decision.
PMID- 27211933
TI - Invited Commentary.
PMID- 27211934
TI - Invited Commentary.
PMID- 27211935
TI - Invited Commentary.
PMID- 27211936
TI - Invited Commentary.
PMID- 27211937
TI - Invited Commentary.
PMID- 27211938
TI - Invited Commentary.
PMID- 27211939
TI - Invited Commentary.
PMID- 27211940
TI - Invited Commentary.
PMID- 27211941
TI - Invited Commentary.
PMID- 27211942
TI - Delayed Retrograde Ascending Aortic Dissection After Endovascular Repair of
Descending Dissection.
AB - We report the case of a 34-year-old woman who developed delayed retrograde
ascending aortic dissection after previous endovascular repair of her descending
thoracic aorta. Preoperative computed tomography imaging specifically highlights
the interaction of endograft springs with the dissection flap and surrounding
aortic tissues. Intraoperative findings are presented for comparison and further
discussion.
PMID- 27211943
TI - Superior Vena Cava Syndrome Caused by Pacemaker Leads.
AB - Superior vena cava syndrome is one of the rare adverse events associated with
pacemaker leads. We describe a 47-year-old woman with a pacemaker implanted 10
years earlier who presented to us with superior vena cava syndrome managed
surgically. We report the presentation, diagnosis, and treatment of this patient
and the causes and management options of superior vena cava obstruction
associated with pacemaker leads.
PMID- 27211944
TI - Epicardial Tachosil Patch Repair of Ventricular Rupture in a 90-Year-Old After
Mitral Valve Replacement.
AB - We report our experience with emergent treatment of ventricular rupture following
a mitral valve replacement in a 90 year-old male. The repair was performed using
a Tachosil patch (Baxter Health Care Corporation, Westlake Village, California),
a fibrin sealant coated on an equine collagen sponge, and BioGlue (Cryolife,
Kenneson, GA) and bovine pericardium (Edwards Lifesciences, Irvine, CA). Aside
from early ventricular dysfunction requiring a low-dose dopamine infusion, this
patient's recovery was uneventful. Follow-up echocardiograms demonstrated no
gross anatomic abnormality at the repair site, and steady improvement in his
ventricular function.
PMID- 27211945
TI - Primary Cardiac T-Cell Lymphoma Localized in the Mitral Valve.
AB - Primary cardiac lymphoma is a rare cardiac tumor, and usually originates from B
cells and involves the right side of the heart. We present an extremely rare case
of primary cardiac T-cell lymphoma involving the mitral valve alone. A 58-year
old woman who was positive for human T-cell leukemia virus 1 underwent mitral
valve replacement because of severe mitral regurgitation. The postoperative
pathologic diagnosis of the mitral valve was T-cell lymphoma. Further evaluation
revealed no malignancy, except for the mitral valve. To the best of our
knowledge, this is the first case of primary cardiac T-cell lymphoma localized in
the mitral valve.
PMID- 27211946
TI - Perceval S Valve Solution for Degenerated Freestyle Root in the Presence of
Chronic Aortic Dissection.
AB - Aortic root replacement with porcine xenograft is a valuable treatment option in
acute aortic dissection, but conduits are often prone to degeneration.
Reoperation is still associated with high operative mortality, and it usually
requires root removal and repetition of the Bentall procedure, or a less radical
option limited to valve replacement. We describe two cases of Freestyle root
degeneration in patients with chronic aortic dissection, in whom we performed a
valve-in-valve procedure with the Perceval S prosthesis (Sorin Group, Saluggia,
Italy).
PMID- 27211947
TI - Surgical Challenges of Familial Hypercholesterolemia.
AB - A 21-year-old patient with familial hypercholesterolemia presented with angina
caused by ostial stenosis of the left internal mammary artery and severe calcific
aortic stenosis with small aortic root 9 years after coronary revascularization.
The ostium of the left internal mammary artery was enlarged using a saphenous
vein patch through a left supraclavicular incision, which improved left
ventricular function. Successful aortic valve replacement with posterior aortic
root enlargement was subsequently performed. The surgical management of this
condition is discussed briefly.
PMID- 27211948
TI - Thoracic Duct Decompression for Protein-Losing Enteropathy in Failing Fontan
Circulation.
AB - An infrequent but devastating late complication of Fontan circulation is protein
losing enteropathy (PLE), which results from unbalanced lymphatic homeostasis.
Surgical decompression of the thoracic duct by redirecting its drainage to the
pulmonary venous atrium has been introduced recently as a possible treatment.
This report describes a single-institution experience with this innovative
procedure in 2 patients with failing Fontan circulation with PLE refractory to
optimized medical therapy.
PMID- 27211949
TI - Cardiopulmonary Bypass Strategy for a Cyanotic Child With Hemoglobin SC Disease.
AB - Hemoglobin SC (HbSC) disease is a hemoglobinopathy that may produce sickling
under conditions of hypoxemia, dehydration, and acidosis. We present a case of
HbSC disease and tricuspid atresia, type IB. We describe management by
cardiopulmonary bypass CPB using exchange transfusion at initiation of bypass and
fractionation of collected blood, allowing platelet and plasma apheresis, as an
option for patients unable to undergo this procedure off pump.
PMID- 27211950
TI - Uncommon Multicystic Lesion of the Interventricular Septum in a 7-Year-Old Boy:
Unusual Presentation of an Intracardiac Teratoma.
AB - Intracardiac teratomas are very rare primary cardiac tumors; only a few cases
have been reported. We present the case of a 7-year-old boy who early in life
showed pulmonary stenosis and needed percutaneous and surgical procedures,
including sectioning of the right ventricular bands and reconstruction of the
right ventricular outflow tract. At the age of 7 years the patient received a
diagnosis of a multilobular cystic mass in the right ventricle adherent to the
interventricular septum, which was not present at birth. Successful surgical
resection was performed. Histologic examination revealed a mature teratoma. We
emphasize the differential diagnosis of teratomas in cystic lesions of the
interventricular septum.
PMID- 27211951
TI - Crossed Pulmonary Arteries in a Patient With Persistent Truncus Arteriosus.
AB - We report a 14-month-old child with persistent truncus arteriosus and crossed
pulmonary arteries. The potential advantage of crossed pulmonary artery
arrangement in achieving surgical correction is discussed.
PMID- 27211952
TI - Combined Ablation and Exclusion of the Left Atrial Appendage in a Pediatric
Patient: A Minimally Invasive Simplified Approach.
AB - We present the first reported case of totally video-assisted thoracoscopic
surgery for combined ablation and external exclusion without appendectomy of the
left atrial appendage. We encourage the use of video-assisted thoracoscopic
surgery for a combined procedure of epicardial radiofrequency ablation and
external exclusion without appendectomy to achieve a safe and complete electrical
isolation of the left atrial appendage in children with focal atrial tachycardia.
In our opinion, the external exclusion of the appendage has several advantages:
it presents minimal risk of bleeding (especially in the pediatric population),
and the clip can be retrieved if the circumflex artery is distorted.
PMID- 27211953
TI - An Extremely Rare Variant of Pulmonary Venous Atresia.
AB - We describe an unusual case of a newborn with a rare variant of atresia of the
common pulmonary vein that was mistaken for total anomalous pulmonary venous
connection, cardiac type. The survival of patients with atresia of the common
pulmonary vein is dependent on early diagnosis followed by immediate surgical
repair, although early accurate diagnosis has been described as difficult in
previous reports. Reverse aortic arch flow detected by echocardiography may be an
important sign suggesting such a diagnosis.
PMID- 27211954
TI - Nonoperative Damage Control: The Use of Extracorporeal Membrane Oxygenation in
Traumatic Bronchial Avulsion as a Bridge to Definitive Operation.
AB - The conventional treatment for an avulsed bronchus is emergent thoracotomy and
repair or lobectomy. The principles of damage control thoracic operations include
initial hemorrhage control with delayed definite repair after physiologic
resuscitation. We report a multiply injured patient with avulsion of the left
lower lobe bronchus. Profound acidosis, hypercarbia, and hypoxia precluded an
emergent operation, and venovenous extracorporeal membrane oxygenation (V-V ECMO)
was used for organ support during physiologic resuscitation. After the
achievement of physiologic repletion, a thoracotomy and lobectomy were performed
while the patient was supported by V-V ECMO.
PMID- 27211955
TI - Endovascular Repair of a Descending Thoracic Aortic Aneurysm With an Aberrant
Left Subclavian Artery Associated With an Infrarenal Aortic Aneurysm.
AB - Aneurysms involving a right-sided descending thoracic aorta with an aberrant
origin of the left subclavian artery are rare. We describe the successful
surgical repair of this vascular anomaly by the combined use of a left carotid to
subclavian artery bypass followed by endovascular stent graft placement to
exclude the aortic aneurysm. We also review the literature associated with this
particular anatomic presentation.
PMID- 27211956
TI - An Intracardiac Mass in a Pregnant Woman Presenting With Ventricular Tachycardia.
AB - A pregnant patient presented with symptomatic ventricular tachycardia.
Echocardiography revealed a large intramyocardial mass. Surgical resection was
attempted in conjunction with cryoablation of the surrounding myocardial tissue.
Histologic examination of the resected mass revealed cardiac neurofibroma. To the
best of our knowledge, this is the first report of cardiac neurofibroma in a
pregnant patient in the absence of any neurocutaneous syndromes such as
neurofibromatosis.
PMID- 27211957
TI - Delayed Asymptomatic Thrombosis and Erosion of the Coronary Sinus After
Transvenous Mitral Annuloplasty With the Viacor Device.
PMID- 27211959
TI - Mitral Repair for Decompensated Postinfarction Papillary Muscle Rupture.
PMID- 27211958
TI - Emergency Cardiac Surgery for Irreversible MitraClip Delivery System Entrapment.
PMID- 27211960
TI - Thoracoscopic Indocyanine Green Near-Infrared Fluorescence for Thoracic
Sympathetic Ganglions.
PMID- 27211961
TI - Clampless and Sutureless Hybrid Technique for Aortic Arch Debranching on a
Porcelain Aorta.
AB - An innovative hybrid approach to the supraaortic vessels in a porcelain aorta and
severe fibrotic tissue reaction at the neck is described. The technique is
demonstrated in an 80-year-old woman with previous several carotid operations but
still experiencing recurrent transient ischemic attacks. Clinical success was
achieved at midterm follow-up, demonstrating the efficacy of hybrid treatment for
this high-risk patient. Novel prosthetic vascular grafts that can be applied
without cross-clamping may also provide a solution to approaching a porcelain
aorta and difficult anatomies.
PMID- 27211963
TI - A Modified Epicardial Radiofrequency Ablation for Preoperative Atrial
Fibrillation Combined With Isolated Aortic Valve Disease.
AB - Isolated aortic valve diseases can lead to atrial fibrillation (AF) by causing
left atrium pressure overload and enlargement. At present, most patients with
preoperative AF and isolated aortic valve disease have undergone a Cox-maze IV
procedure through a left atriotomy under cardiopulmonary bypass with aortic cross
clamping. Here, we describe a novel modified epicardial radiofrequency ablation
procedure performed on a beating heart without aortic cross-clamping or opening
the left atrium. This technique has proved to be safe and feasible, with good
clinical outcomes. It may be useful in selecting the best ablation approaches for
patients with AF and aortic valve disease.
PMID- 27211962
TI - Total Aortic Repair for Acute Type A Aortic Dissection Complicated by
Malperfusion or Symptomatic Branch Vessel Malalignment.
AB - Malperfusion or persistent perfusion of the false lumen with acute type A aortic
dissections is a major cause of morbidity and mortality. We describe our
experience with total aortic repair in patients with acute type A dissection with
recurrent or ongoing branch ischemia, true lumen collapse, or rapid dilatation of
a false lumen after initial surgical repair.
PMID- 27211964
TI - Skirted Cannula Technique for Apical Cannulation in Implantation of Centrimag
Left Ventricular Assist Device.
AB - The CentriMag, an extracorporeal short-term ventricular assist device designed
for treatment of patients with acute cardiogenic shock, is Conformite Europeenne
marked in Europe for use up to 30 days. Extended use beyond the licensed period
is not uncommon, however. We have developed a skirted cannula technique for
apical cannulation in implantation of the Centrimag. This technique allows easy
positioning of the cannula and excellent hemostasis. It also offers secure
fixation of the cannula so that patients can ambulate and attend rehabilitation
programs should extended use be anticipated.
PMID- 27211965
TI - Factors Affecting Mortality in Transcatheter Aortic Valve Implantation.
PMID- 27211966
TI - Reply.
PMID- 27211967
TI - Reply.
PMID- 27211968
TI - Modeling Hospital Length of Stay Data: Pitfalls and Opportunities.
PMID- 27211969
TI - Reply.
PMID- 27211970
TI - Reliability of EuroSCORE II to Predict Long-Term Mortality After Isolated Aortic
Valve Operations.
PMID- 27211971
TI - Reply.
PMID- 27211972
TI - Risk Scores and Long-Term Mortality Prediction After Aortic Valve Replacement.
PMID- 27211973
TI - Internal Thoracic Artery Histologic Characteristics Clarify Its High Performance
in Coronary Bypass.
PMID- 27211974
TI - Reply.
PMID- 27211975
TI - Ascending Aorta Diameters: Normal, Abnormal, or Pathologic?
PMID- 27211976
TI - Special Measures to Do De Vega Procedure.
PMID- 27211977
TI - Robotic Excision of a Papillary Fibroelastoma of the Mitral Chordae.
AB - Papillary fibroelastomas of the mitral chordae tendineae are rare, primary benign
tumors. They are either incidentally diagnosed during echocardiography or
discovered after transient ischemic attack, stroke, or myocardial infarction.
Removal of papillary fibroelastomas should be considered, given the increased
risk for embolization causing cerebrovascular accident or mortality in patients
with echocardiographic evidence of papillary fibroelastoma not undergoing
surgical procedures. Although fibroelastoma removal can be performed in most
cases without disrupting mitral valve competency, sternotomy and minithoracotomy
are the typical approaches for excision. Herein, we report the first robotic
excision of a mitral chord papillary fibroelastoma.
PMID- 27211978
TI - Replacement of a Dislocated Aortic Prosthesis After Transcatheter Valve
Implantation.
AB - A 77-year-old woman who had severe symptomatic aortic stenosis and was a high
risk for conventional surgery underwent transcatheter aortic valve implantation
by means of the transfemoral approach. The prosthesis migrated and became
embolized in the left ventricle after inflation, causing interference with the
mitral valve and also partial outflow tract obstruction. The patient was
emergently transferred to the operating room. Vertical aortotomy was performed
under cardiopulmonary bypass, and the calcified native leaflets were removed. The
migrated Edwards SAPIEN XT valve was extracted and subsequently successfully sewn
into the annulus after examination for leaflet and stent competence. The
hemodynamic performance of the implanted valve was surprisingly more favorable
than that of the conventional tissue prosthesis.
PMID- 27211979
TI - Hybrid Exclusion of HeartMate II Left Ventricular Assist Device After Bridge to
Recovery.
AB - We present a hybrid technique of left ventricular assist device exclusion after
bridge to recovery in a pediatric patient, using percutaneous occlusion of the
outflow graft and surgical excision of driveline. This technique has the
advantage of avoiding chest reentry and cardiopulmonary bypass.
PMID- 27211980
TI - Minimally Invasive Management of Complex Recurrent Lymphangioma of the Thorax and
Abdomen.
AB - Lymphangioma is a rare benign proliferation of lymph vessels in the head and
neck, axilla, and rarely the mediastinum. We report on the minimally invasive
management of a complex recurrence of lymphangioma involving bilateral chest,
mediastinum, and abdomen. Given the complexity, the patient underwent debulking
of the lymphangioma, ligation of the cisterna chyli, and repeated percutaneous
drainage and sclerosing procedures. This report suggests that the use of combined
minimally invasive techniques including laparoscopy, thoracoscopy, percutaneous
drainage, and sclerosis may be the optimal strategy to manage these complex
situations.
PMID- 27211981
TI - Patch Repair of an Isolated Right Sinus of Valsalva Aneurysm.
AB - Isolated aneurysm of the sinus of Valsalva is an uncommon problem. It is more
likely to occur in the noncoronary or right coronary sinus. Most case reports and
series we found in the literature showed that the diagnosis is usually
accidental, and surgical repair provides a long-lasting resolution. In this
report we present a case of an aneurysm of the right coronary sinus and its
management.
PMID- 27211982
TI - Successful Multistaged Surgical Management of Secondary Aortoesophageal Fistula
With Graft Infection.
AB - Secondary aortoenteric fistula is a rare and dreaded complication of aortic graft
replacement. This case demonstrates successful management of a patient with
thoracic aortic graft infection resulting in aortoesophageal fistula and the
feasibility of combined endovascular approach as a temporary measure to stabilize
the patient in extremis, followed by a definitive surgical repair. The patient
had a remote history of descending aortic repair and an emergent thoracic
endovascular aortic repair for upper gastrointestinal bleeding 2 months ago. We
performed a three-staged operation involving extraanatomic bypass, total infected
aortic graft excision, and primary closure of the esophageal perforation with
muscle flap coverage, from which he eventually recovered.
PMID- 27211983
TI - Surgical Management of Pulmonary Mucosa-Associated Lymphoid Tissue Lymphoma
Associated With Light-Chain Deposition Disease.
AB - A 52-year-old woman presented with a right middle lobe (RML) lung nodule
suspicious for malignancy. Thoracoscopic middle lobectomy was performed. The
pathology report revealed a pulmonary mucosa-associated lymphoid tissue (MALT)
lymphoma in association with light-chain deposition disease (LCDD). Pulmonary
MALT lymphoma and LCDD are unusual disorders presenting in the lung, and the
association between these 2 conditions is even more uncommon. The optimal
management for these patients is controversial, although surgical resection of
localized well-circumscribed lesions may represent an effective therapeutic
approach.
PMID- 27211984
TI - Invited Commentary on Ge et al. Ann Thorac Surg 2016;101:1691-9.
PMID- 27211985
TI - Invited Commentary on Fuzellier et al. Ann Thorac Surg 2016;101:1716-23.
PMID- 27211986
TI - Association of Depression With 90-Day Hospital Readmission After Total Joint
Arthroplasty.
AB - BACKGROUND: Hospital readmission after total joint arthroplasty accounts for
substantial resource consumption. Depression has been shown to impact
postsurgical outcomes. We therefore aimed to study the association of depression
with risk of readmission after total joint arthroplasty. METHODS: Retrospective
cohort data from the population-based California Healthcare Cost and Utilization
Project database from 2007 to 2010 were analyzed using multivariable logistic
regression to predict odds of 90-day readmission after hospital discharge for
primary total knee arthroplasty (TKA, n = 132,422) or total hip arthroplasty
(THA, n = 65,071) arthroplasty in adults ages 50+ years. We included the primary
exposure of depression and controlled for age, sex, race/ethnicity, Medicaid
insurance, comorbidities, and admission year. RESULTS: Overall 90-day readmission
rates were approximately 8% for TKA and THA. Even after controlling for other
chronic conditions and nonmodifiable covariates, we found depression predicted
higher likelihood of readmission. The odds of readmission for subjects with
depression were 21%-24% higher overall (odds ratio for TKA: 1.21, 95% confidence
interval: 1.13-1.29; odds ratio for THR: 1.24, 95% confidence interval: 1.13
1.35; P < .001). Subjects with surgery in earlier years were also more likely to
be readmitted (P < .01). CONCLUSION: Depression is associated with a
significantly higher risk of readmission after THA and TKA. Hospital readmissions
must be minimized to improve care quality, while making these procedures fiscally
feasible. Promoting care coordination across disciplines for management of
nonorthopedic comorbidities before surgery, particularly in higher risk patients
with depression, could optimize orthopedic surgery outcomes, patient well-being,
and costs of care. Therefore, every effort to address depression before surgery
is warranted.
PMID- 27211988
TI - Lacerated Liver Graft in an Open Abdomen Setting: A Case Report.
AB - Donor scarcity is a primary problem in the development of a transplant program.
The imbalance between an extremely increasing demand and the number of organs in
the supply has led to an extended criteria donor approach. The successful use of
donors with infectious diseases and septic shock has been reported. However,
organs from deceased donors with traumatic abdominal injury and open abdomen are
usually discarded due to risks of severe infections. Thus far, only 1 such case,
in which a liver graft from an open abdomen was used successfully, has been
reported. Herein, we report of a case of liver transplant using a traumatized
liver allograft procured from a deceased donor with an open abdomen. The donor
was a 16-year-old patient who had blunt abdominal trauma and severe head injury
from a car accident, resulting in emergency laparotomy with suturing of the
lacerated wound at the liver and abdominal packing. The donor was subsequently
pronounced brain dead, and the family consented to organ donation. A multiorgan
procurement was performed, and the liver was transplanted to 52-year-old patient
who had multiple hepatocellular carcinomas. The postoperative course was without
any infection or rejection. In conclusion, the use of donor livers with
preexisting trauma in open abdomen settings can be used as alternative to expand
the organ donor pool.
PMID- 27211987
TI - alpha-Synuclein induced toxicity in brain stem serotonin neurons mediated by an
AAV vector driven by the tryptophan hydroxylase promoter.
AB - We studied the impact of alpha-synuclein overexpression in brainstem serotonin
neurons using a novel vector construct where the expression of human wildtype
alpha-synuclein is driven by the tryptophan hydroxylase promoter, allowing
expression of alpha-synuclein at elevated levels, and with high selectivity, in
serotonergic neurons. alpha-Synuclein induced degenerative changes in axons and
dendrites, displaying a distorted appearance, suggesting accumulation and
aggregation of alpha-synuclein as a result of impaired axonal transport,
accompanied by a 40% loss of terminals, as assessed in the hippocampus. Tissue
levels of serotonin and its major metabolite 5-HIAA remained largely unaltered,
and the performance of the alpha-synuclein overexpressing rats in tests of
spatial learning (water maze), anxiety related behavior (elevated plus maze) and
depressive-like behavior (forced swim test) was not different from control,
suggesting that the impact of the developing axonal pathology on serotonin
neurotransmission was relatively mild. Overexpression of alpha-synuclein in the
raphe nuclei, combined with overexpression in basal forebrain cholinergic
neurons, resulted in more pronounced axonal pathology and significant impairment
in the elevated plus maze. We conclude that alpha-synuclein pathology in
serotonergic or cholinergic neurons alone is not sufficient to impair non-motor
behaviors, but that it is their simultaneous involvement that determines severity
of such symptoms.
PMID- 27211989
TI - Elevational sensitivity in an Asian 'hotspot': moth diversity across elevational
gradients in tropical, sub-tropical and sub-alpine China.
AB - South-western China is widely acknowledged as a biodiversity 'hotspot': there are
high levels of diversity and endemism, and many environments are under
significant anthropogenic threats not least climate warming. Here, we explore
diversity and compare response patterns of moth assemblages among three
elevational gradients established within different climatic bioregions - tropical
rain forest, sub-tropical evergreen broad-leaved forest and sub-alpine coniferous
forest in Yunnan Province, China. We hypothesised that tropical assemblages would
be more elevationally stratified than temperate assemblages, and tropical species
would be more elevationally restricted than those in the temperate zone. Contrary
to our hypothesis, the moth fauna was more sensitive to elevational differences
within the temperate transect, followed by sub-tropical and tropical transects.
Moths in the cooler and more seasonal temperate sub-alpine gradient showed
stronger elevation-decay beta diversity patterns, and more species were
restricted to particular elevational ranges. Our study suggests that moth
assemblages are under threat from future climate change and sub-alpine rather
than tropical faunas may be the most sensitive to climate change. These results
improve our understanding of China's biodiversity and can be used to monitor
future changes to herbivore assemblages in a 'hotspot' of biodiversity.
PMID- 27211990
TI - Assessment of Executive Function in Patients With Substance Use Disorder: A
Comparison of Inventory- and Performance-Based Assessment.
AB - INTRODUCTION: Chronic polysubstance abuse (SUD) is associated with
neurophysiological and neuroanatomical changes. Neurocognitive impairment tends
to affect quality of life, occupational functioning, and the ability to benefit
from therapy. Neurocognitive assessment is thus of importance, but costly and not
widely available. Therefore, in a busy clinical setting, procedures that include
readily available measures targeting core cognitive deficits would be beneficial.
This paper investigates the utility of psychometric tests and a questionnaire
based inventory to assess "hot" and "cold" neurocognitive measures of executive
functions (EF) in adults with a substance use disorder. Hot decision-making
processes are associated with emotional, affective, and visceral responses, while
cold executive functions are associated with rational decision-making. MATERIAL
AND METHODS: Subjects with polysubstance abuse (n=126) and healthy controls
(n=32) were compared on hot (Iowa Gambling Task) and cold (Stroop and the Trail
Making Test) measures of EF, in addition to a questionnaire assessing everyday EF
related problems (BRIEF-A; Behavior Rating Inventory of Executive Function -
Adult, self-report version). Information about the substance abuse and social
adjustment were assessed by self-report. Logistic regression analyses were
applied to assess independent correlates of SUD status and social adjustment. A
multiple linear regression was performed to predict the number of previous
treatment attempts. RESULTS: The psychometric test of hot EF (the Iowa Gambling
Task) did not differentiate the patients with polysubstance abuse from controls,
and was not associated with social adjustment. The psychometric tests of cold EF
distinguished somewhat between the groups and were associated with one indicator
of social adjustment. The BRIEF-A differentiated between groups on all the
clinical scales and was associated with three out of five social adjustment
indicators ("criminal lifestyle," "conflict with caregiver," and "stable
housing."). CONCLUSIONS: The BRIEF-A inventory was the most sensitive measure of
executive function in patients with substance use disorder, followed by measures
of cold executive function. BRIEF-A should therefore be considered as an integral
part of the clinical routine when assessing patients with SUD.
PMID- 27211991
TI - Evaluating Measures of Fidelity for Substance Abuse Group Treatment With
Incarcerated Adolescents.
AB - The evaluation of treatment fidelity has become increasingly important as the
demand for evidence-based practice grows. The purpose of the present study is to
describe the psychometric properties of two measures of treatment fidelity that
can be used by therapists and supervisors - one for group-based cognitive
behavioral therapy (CBT) and one for combined Substance Education and Twelve-Step
Introduction (SET) for adolescent substance use. At the end of group sessions
(CBT n=307; SET n=279), therapists and supervisors completed an evaluation
measure assessing adherence to certain core components of the intervention. The
supervisor version of the fidelity measure also included items for rating the
level of competency the therapist demonstrated when providing each component of
the intervention. Results from split-half cross-validation analyses provide
strong support for an 11-item, three-factor CBT fidelity measure. Somewhat less
consistent but adequate support for a nine-item, two-factor SET fidelity measure
was found. Internal consistencies ranged from acceptable to good for both the CBT
and SET adherence scales and from acceptable to good for the CBT and SET
competency scales, with the exception of the CBT practices competency scale.
Preliminary validation of the measures suggests that both measures have adequate
to strong factor structure, reliability, and concurrent and discriminant
validity. The results of this study have implications for research and clinical
settings, including the supervision process.
PMID- 27211992
TI - Gender Differences in Internalizing Symptoms and Suicide Risk Among Men and Women
Seeking Treatment for Cannabis Use Disorder from Late Adolescence to Middle
Adulthood.
AB - Cannabis continues to rise in popularity as the perception of its harmfulness
decreases and evidence of its deleterious developmental effect increases. While
internalizing distress and suicide risk have been linked with cannabis use
problems [DSM-5 cannabis use disorder (CUD); DSM-IV cannabis abuse and
dependence] it remains unclear how this association varies over the course of
development in treatment-seeking men and women. The current study utilized the
National Drug Abuse Treatment Clinical Trials Network (NIDA CTN) to conduct a
cross-sectional comparison of internalizing distress and suicide risk among men
(n=437) and women (n=163) spanning ages 18-50 who met DSM-5 criteria for CUD.
Interactions between gender and developmental stage (i.e., late adolescence,
early adulthood, and middle adulthood) were observed for suicide risk and anxiety
but not depression problems. Specifically, women seeking CUD treatment in late
adolescence and middle adulthood exhibited significantly higher rates of anxiety
and suicide risk compared to men seeking treatment during the same developmental
stages. Internalizing distress and suicide risk did not differ between treatment
seeking men and women in the early adult stage. Overall, results suggest that the
structure of risk for CUD may differ in men and women across the lifespan and
that women presenting for CUD treatment during late adolescence and middle
adulthood may uniquely benefit from intervention designed to address these
elevations in anxiety and suicide risk.
PMID- 27211993
TI - Access to Addiction Pharmacotherapy in Private Health Plans.
AB - BACKGROUND: An increasing number of medications are available to treat
addictions. To understand access to addiction medications, it is essential to
consider the role of private health plans. To contain medication expenditures,
most U.S. health plans use cost-sharing and administrative controls, which may
impact physicians' prescribing and patients' use of addiction medications. This
study identified health plan approaches to manage access to and utilization of
addiction medications (oral and injectable naltrexone, acamprosate, and
buprenorphine). METHODS: Data are from a nationally representative survey of
private health plans in 2010 (n=385 plans, 935 products; response rate 89%),
compared to the same survey in 2003. The study assessed formulary inclusion,
prior authorization, step therapy, overall restrictiveness, and if and how health
plans encourage pharmacotherapy. RESULTS: Formulary exclusions were rare in 2010,
with acamprosate excluded most often, by only 9% of products. Injectable
naltrexone was covered by 96% of products. Prior authorization was common for
injectable naltrexone (85%) and rare for acamprosate (3%). Step therapy policies
were used only for injectable naltrexone (41%) and acamprosate (20%). Several
medications were often on the most expensive tier. Changes since 2003 include
fewer exclusions, yet increased use of other management approaches. Most health
plans encourage use of addiction pharmacotherapy, and use a variety of methods to
do so. CONCLUSIONS: Management of addiction medications has increased over time
but it is not ubiquitous. However, health plans now also include all medications
on formularies and encourage providers to use them, indicating that they value
addiction pharmacotherapy as an evidence-based practice.
PMID- 27211994
TI - The Montreal Cognitive Assessment (MoCA) is Sensitive to Head Injury and
Cognitive Impairment in a Residential Alcohol and Other Drug Therapeutic
Community.
AB - INTRODUCTION: Retaining clients in residential alcohol and other drug (AOD)
treatment is difficult and cognitive impairment has been identified as a
significant predictor of treatment dropout. The application of extensive
screening for cognitive impairment is cost-prohibitive for most AOD treatment
services. The current study aimed to explore cognitive functioning and impairment
associated factors in a typical sample of residential AOD clients using a free
brief screening tool that could be utilised by front-line AOD services. METHODS:
Residents of an AOD therapeutic community (n=128) and a non-substance using
control group (n=37) were administered a brief cognitive screening measure, the
Montreal Cognitive Assessment (MoCA). MoCA total and domain scores were compared
between these groups and within the AOD group examined in association with
primary substance of misuse, severity of dependence, gender, psychological
distress, and history of head injury. RESULTS: Almost half (43.8%) of the AOD
sample were identified as cognitively impaired, compared to 16.2% of the control
group. Furthermore, 67.2% of the AOD sample had sustained head injuries and 50%
of the sample required hospitalization for head injury. History of head injury
was a significant determinant of cognitive impairment, and associated with
greater levels of psychological distress. CONCLUSIONS: There are high rates of
inter-related cognitive impairment, head injuries, and psychological distress
among clients in residential AOD treatment. Routine screening of clients at
intake for cognitive impairment by means of a brief screening measure such as the
MoCA, in combination with the assessment of history of head injuries and comorbid
psychological disorders, could inform treatment modifications or adjunct
interventions to increase retention and improve long-term outcomes.
PMID- 27211995
TI - The Future of Opioid Agonist Therapies in Ukraine: A Qualitative Assessment of
Multilevel Barriers and Ways Forward to Promote Retention in Treatment.
AB - Opioid agonist therapies (OAT) to treat opioid addiction in people who inject
drugs (PWID) began in Ukraine in 2004. Scale-up of OAT, however, has been
hampered by both low enrollment and high attrition. To better understand the
factors influencing OAT retention among PWID in Ukraine, qualitative data from
199 PWIDs were collected during 25 focus groups conducted in five Ukrainian
cities from February to April 2013. The experiences of PWID who were currently or
previously on OAT or currently trying to access OAT were analyzed to identify
entry and retention barriers encountered. Transcribed data were analyzed using a
grounded theory approach. Individual beliefs about OAT, particularly misaligned
treatment goals between clients and providers, influenced PWID's treatment
seeking behaviors. Multiple programmatic and structural issues, including
inconvenient hours and treatment site locations, complicated dosing regimens,
inflexible medication dispensing guidelines, and mistreatment by clinic and
medical staff also strongly influenced OAT retention. Findings suggest the need
for both programmatic and policy-level structural changes such as revising legal
regulations covering OAT dispensing, formalizing prescription dosing policies and
making OAT more available through other sites, including primary care settings as
a way to improve treatment retention. Quality improvement interventions that
target treatment settings could also be deployed to overcome healthcare delivery
barriers. Additional patient education and medical professional development
around establishing realistic treatment goals as well as community awareness
campaigns that address the myths and fears associated with OAT can be leveraged
to overcome individual, family and community-level barriers.
PMID- 27211997
TI - STAF score is a new simple approach for diagnosing cardioembolic stroke.
AB - BACKGROUND AND PURPOSE: Detecting cardioembolic stroke soon after acute cerebral
ischemia has a major impact on secondary stroke prevention. Recently, the Score
for the Targeting of Atrial Fibrillation (STAF) was introduced to identify stroke
patients at risk of atrial fibrillation. However, whether the STAF score could be
a useful approach to differentiate cardioembolic stroke from other stroke
subtypes is unclear. METHODS: Consecutive patients with acute ischemic stroke
that were admitted to our stroke center were enrolled. Each patient was assessed
(age, baseline National Institutes of Health Stroke Scale, left atrial dilatation
and absence of vascular etiology) to calculate the STAF score. A follow-up visit
was conducted for each patient during hospitalization to determine the diagnosed
stroke etiology according to the Trial of Org 10172 in Acute Stroke Treatment
criteria. RESULTS: The median and interquartile range of the STAF score was
significantly higher in the cardioembolic than in the non-cardioembolic group [6
(2) vs. 2 (3), p < 0.001]. The discriminating ability of the STAF score model was
good as demonstrated by the receiver operating characteristic curve. The area
under the curve (AUC) of STAF score (AUC = 0.98; 95% CI, 0.96-0.99) was
significantly greater than B-type natriuretic peptide (AUC = 0.87; 95% CI, 0.83
0.91) (p < 0.05). The optimal STAF cut-off value was >= 5, which diagnosed
cardioembolic stroke with a sensitivity of 90% and specificity of 95%.
CONCLUSIONS: The STAF score is a simple and accurate tool that can discriminate
the cardioembolic stroke from other types during hospitalization for acute
ischemic stroke.
PMID- 27211996
TI - Patients' Beliefs About Medications are Associated with Stated Preference for
Methadone, Buprenorphine, Naltrexone, or no Medication-Assisted Therapy Following
Inpatient Opioid Detoxification.
AB - Subsequent to initial opioid detoxification, people with opioid use disorder are
typically advised to engage in follow-up treatment to prevent relapse. Medication
assisted treatments (MATs) - i.e., the opioid agonist methadone (MMT) or partial
agonist/antagonist, buprenorphine/naltrexone (BUP) -- are the maintenance
treatment options with the best research support for positive outcomes. A third
MAT, injectable extended-release naltrexone (XR-NTX), was approved by the FDA for
opioid dependence in 2010 and shows promise. However, relatively few eligible
patients choose to initiate one of these MATs following initial detoxification
treatment. Consistent with the health belief model, we hypothesized that beliefs
about 1) efficacy of each MAT; 2) safety of each MAT; and 3) perceived
consistency with being drug-free would predict stated patient preferences for a
particular MAT or for no MAT. We also hypothesized that perceived structural
barriers (e.g., time, transportation) would decrease the likelihood of stating a
preference for a given MAT. To assess these hypotheses, we surveyed 372 people
undergoing inpatient opioid detoxification treatment. Results supported
hypotheses for all 3 sets of patient beliefs, with the patient group stating that
they preferred a particular MAT having significantly more positive beliefs about
that MAT relative to other groups (p<.001). The group that preferred "no MAT" had
the most negative beliefs about all MATs. Perceived structural barriers were not
related to stated preferences, except that people who preferred BUP were more
likely to endorse barriers to MMT than any of the other 3 groups. Notably, a
relatively high proportion (32%) of participants were most interested in XR-NTX
despite a lack of prior experience with this medication. These results suggest
that efforts to increase MAT enrollment following detoxification might benefit
from including patient beliefs as one set of factors to assess and target for
change.
PMID- 27211998
TI - Spectroscopic analysis of the interaction between NiO nanoparticles and bovine
trypsin.
PMID- 27211999
TI - Engineering of a novel carbonyl reductase with coenzyme regeneration in E. coli
for efficient biosynthesis of enantiopure chiral alcohols.
AB - The novel anti-Prelog stereospecific carbonyl reductase from Acetobacter sp.
CCTCC M209061 was successfully expressed in E. coli combined with glucose
dehydrogenase (GDH) to construct an efficient whole-cell biocatalyst with
coenzyme NADH regeneration. The enzymatic activity of GAcCR (AcCR with a GST tag)
reached 304.9U/g-dcw, even 9 folds higher than that of wild strain, and the
activity of GDH for NADH regeneration recorded 46.0U/mg-protein in the
recombinant E. coli. As a whole-cell biocatalyst, the recombinant E. coli
BL21(DE3)pLysS (pETDuet-gaccr-gdh) possessed a broad substrate spectrum for kinds
of carbonyl compounds with encouraging yield and stereoselectivity. Besides, the
asymmetric reduction of ethyl 4-chloroacetoacetate (COBE) to optically pure ethyl
4-chloro-3-hydroxybutyrate (CHBE) catalyzed by the whole-cell biocatalyst was
systematically investigated. Under the optimal reaction conditions, the optical
purity of CHBE was over 99% e.e. for (S)-enantiomer, and the initial rate and
product yield reached 8.04MUmol/min and 99.4%, respectively. Moreover, the space
time yield was almost 20 folds higher than that catalyzed by the wild strain.
Therefore, a new, high efficiency biocatalyst for asymmetric reductions was
constructed successfully, and the enantioselective reduction of prochiral
compounds using the biocatalyst was a promising approach for obtaining
enantiopure chiral alcohols.
PMID- 27212001
TI - A randomized controlled trial evaluating the efficacy of a 67% sodium bicarbonate
toothpaste on gingivitis.
AB - INTRODUCTION: In previous studies, toothpastes with high levels of sodium
bicarbonate (>50%) have reduced gingival inflammation and oral malodour. This
study compared the effects of brushing for 6 weeks with 67% (test group) or 0%
(control group) sodium bicarbonate toothpaste on gingival health. METHODS: This
was a single-centre, single examiner-blind, randomized, controlled, two
treatment, parallel-group study. Eligible subjects (>=18 years) had >=20 gradable
teeth, mild-to-moderate gingivitis, a positive response to bleeding on brushing
and >=20 bleeding sites. The primary objective was to compare the number of
bleeding sites following twice-daily use of 67% sodium bicarbonate toothpaste or
0% sodium bicarbonate toothpaste after 6 weeks. Secondary endpoints included
Modified Gingival Index (MGI), Bleeding Index (BI) and volatile sulphur compounds
(VSC), assessed after 6 weeks. Safety was assessed by treatment-emergent oral
soft tissue abnormalities and adverse events. RESULTS: Of 148 patients randomized
(74 to each treatment), 66 (89.2%) completed the study in the test group,
compared with 69 (93.2%) in the control group. Compared with the control group,
the test group had a significant reduction in the number of bleeding sites at
Week 6 (absolute difference - 11.0 [-14.0, -8.0], P < 0.0001; relative difference
- 25.4%), together with significant reductions in MGI and BI (both P < 0.0001).
Although the median reductions from baseline for VSC were numerically greater in
the test group, the difference did not reach statistical significance (P =
0.9701). CONCLUSIONS: This 67% sodium bicarbonate toothpaste provided
statistically significant improvements in gingival health and bleeding after 6
weeks of use.
PMID- 27212000
TI - Comparison of the combination of dexmedetomidine and ketamine to propofol or
propofol/sevoflurane for drug-induced sleep endoscopy in children.
AB - AIM: Examination of dynamic airway collapse in patients with obstructive sleep
apnea (OSA) during drug-induced sleep endoscopy (DISE) can help identify the
anatomic causes of airway obstruction. We hypothesized that a combination of
dexmedetomidine and ketamine (Group DK) would result in fewer oxygen
desaturations and a higher successful completion rate during DISE in children
with OSA when compared to propofol (Group P) or sevoflurane/propofol (Group SP).
METHODS: In this retrospective study, we reviewed the records of 59 children who
presented for DISE between October 2013 and March 2015. Data analyzed included
demographics, OSA severity, and hemodynamics (heart rate and blood pressure). The
primary outcomes were airway desaturation during DISE to <85% and successful
completion of DISE; these were compared between the three groups: DK, P, and SP.
RESULTS: Preoperative polysomnography was available for 49 patients. There were
significantly more patients with severe OSA in Group P as compared to the other
two groups. The mean (+/-sd) bolus dose for ketamine, dexmedetomidine, and
propofol were 2.0 +/- 0.6 mg.kg(-1) , 1.9 +/- 0.9 mcg.kg(-1) , and 1.8 +/- 1.1
mg.kg(-1) , respectively. The mean (+/-sd) infusion rate for dexmedetomidine was
1.6 +/- 0.7 mcg.kg(-1) .h(-1) and for propofol was 248 +/- 68 mcg.kg(-1) .min(-1)
in Group P and 192 +/- 48 mcg.kg(-1) .min(-1) in Group SP. Patients in Group DK
had significantly fewer desaturations to <85% during DISE compared to Group P.
Patients in Group DK had significantly more successful completion of DISE (100%
Group DK, 92% Group P, and 79% Group SP) as compared to Group SP. CONCLUSIONS:
These results suggest that the described dose regimen of propofol used alone or
in combination with sevoflurane appears to be associated with more oxygen
desaturations and a lower rate of successful completion than a combination of
dexmedetomidine and ketamine during DISE in children with OSA.
PMID- 27212002
TI - Editorial.
PMID- 27212003
TI - A review of instruments to measure interprofessional team-based primary care.
AB - Interprofessional team-based care is increasingly regarded as an important
feature of delivery systems redesigned to provide more efficient and higher
quality care, including primary care. Measurement of the functioning of such
teams might enable improvement of team effectiveness and could facilitate
research on team-based primary care. Our aims were to develop a conceptual
framework of high-functioning primary care teams to identify and review
instruments that measure the constructs identified in the framework, and to
create a searchable, web-based atlas of such instruments (available at:
http://primarycaremeasures.ahrq.gov/team-based-care/ ). Our conceptual framework
was developed from existing frameworks, the teamwork literature, and expert
input. The framework is based on an Input-Mediator-Output model and includes 12
constructs to which we mapped both instruments as a whole, and individual
instrument items. Instruments were also reviewed for relevance to measuring team
based care, and characterized. Instruments were identified from peer-reviewed and
grey literature, measure databases, and expert input. From nearly 200 instruments
initially identified, we found 48 to be relevant to measuring team-based primary
care. The majority of instruments were surveys (n = 44), and the remainder (n =
4) were observational checklists. Most instruments had been developed/tested in
healthcare settings (n = 30) and addressed multiple constructs, most commonly
communication (n = 42), heedful interrelating (n = 42), respectful interactions
(n = 40), and shared explicit goals (n = 37). The majority of instruments had
some reliability testing (n = 39) and over half included validity testing (n =
29). Currently available instruments offer promise to researchers and
practitioners to assess teams' performance, but additional work is needed to
adapt these instruments for primary care settings.
PMID- 27212004
TI - Cutting Edge in Thyroid Surgery: Autofluorescence of Parathyroid Glands.
AB - BACKGROUND: Identification of parathyroid glands may be challenging during
thyroid and parathyroid surgery. Accidental resection of the glands may increase
the morbidity of the surgery. The aim of this study was to evaluate accuracy in
identification of autofluorescent parathyroid glands with the use of near
infrared light in real time. STUDY DESIGN: Patients undergoing thyroid and
parathyroid surgery between June and August 2015 were included in the study.
During the procedure, the surgical field was exposed to near infrared laser light
in order to analyze the intensity of the fluorescence of different tissues
(parathyroid glands, thyroid glands, and background). Surgical images were
recorded and analyzed. RESULTS: Twenty-eight patients were included in the study.
Nineteen patients were women and 9 were men. Seven patients had primary
hyperparathyroidism, 4 had hyperthyroidism, 3 had goiters, and 11 had thyroid
cancer. Three patients had mixed pathologies, including 2 patients with thyroid
cancer and primary hyperparathyroidism and 1 patient with goiter and primary
hyperparathyroidism. Identification of autofluorescent parathyroid glands was
achieved in all patients with near infrared light. The mean fluorescent intensity
of parathyroid glands was 40.6 (+/-26.5), thyroid glands 31.8 (+/-22.3), and
background 16.6 (+/-15.4). Parathyroid glands demonstrated statistically higher
fluorescence intensity compared with the thyroid gland and background (p <
0.0014). No postoperative hypocalcemia or other complications related to the
surgery were registered. CONCLUSIONS: Visualization of autofluorescent
parathyroid glands with the use of near infrared light allows high rates of
parathyroid gland identification and could be a safe, feasible, and noninvasive
method for intraoperative identification of parathyroid glands in real time.
Further clinical studies must be performed to determine the cost-effectiveness
and clinical application of this method.
PMID- 27212007
TI - Importance of strain subgroup analysis in probiotic meta-analyses.
PMID- 27212005
TI - Successful Completion of the Pilot Phase of a Randomized Controlled Trial
Comparing Sentinel Lymph Node Biopsy to No Further Axillary Staging in Patients
with Clinical T1-T2 N0 Breast Cancer and Normal Axillary Ultrasound.
AB - BACKGROUND: Axillary surgery is not considered therapeutic in patients with
clinical T1-T2 N0 breast cancer. The importance of axillary staging is eroding in
an era in which tumor biology, as defined by biomarker and gene expression
profile, is increasingly important in medical decision making. We hypothesized
that axillary ultrasound (AUS) is a noninvasive alternative to sentinel lymph
node biopsy (SLNB), and AUS could replace SLNB without compromising patient care.
STUDY DESIGN: Patients with clinical T1-T2 N0 breast cancer and normal AUS were
eligible for enrollment. Subjects were randomized to no further axillary staging
(arm 1) vs SLNB (arm 2). Descriptive statistics were used to describe the results
of the pilot phase of the randomized controlled trial. RESULTS: Sixty-eight
subjects were enrolled in the pilot phase of the trial (34 subjects in arm 1, no
further staging; 32 subjects in arm 2, SLNB; and 2 subjects voluntarily withdrew
from the trial). The median age was 61 years (range 40 to 80 years) in arm 1 and
59 years (range 31 to 81 years) in arm 2, and there were no significant clinical
or pathologic differences between the arms. Median follow-up was 17 months (range
1 to 32 months). The negative predictive value (NPV) of AUS for identification of
clinically significant axillary disease (>2.0 mm) was 96.9%. No axillary
recurrences have been observed in either arm. CONCLUSIONS: Successful completion
of the pilot phase of the randomized controlled trial confirms the feasibility of
the study design, and provides prospective evidence supporting the ability of AUS
to exclude clinically significant disease in the axilla. The results provide
strong support for a phase 2 randomized controlled trial.
PMID- 27212006
TI - Evaluation and Enhancement of Calibration in the American College of Surgeons
NSQIP Surgical Risk Calculator.
AB - BACKGROUND: The American College of Surgeon (ACS) NSQIP Surgical Risk Calculator
has been widely adopted as a decision aid and informed consent tool by surgeons
and patients. Previous evaluations showed excellent discrimination and combined
discrimination and calibration, but model calibration alone, and potential
benefits of recalibration, were not explored. Because lack of calibration can
lead to systematic errors in assessing surgical risk, our objective was to assess
calibration and determine whether spline-based adjustments could improve it.
STUDY DESIGN: We evaluated Surgical Risk Calculator model calibration, as well as
discrimination, for each of 11 outcomes modeled from nearly 3 million patients
(2010 to 2014). Using independent random subsets of data, we evaluated model
performance for the Development (60% of records), Validation (20%), and Test
(20%) datasets, where prediction equations from the Development dataset were
recalibrated using restricted cubic splines estimated from the Validation
dataset. We also evaluated performance on data subsets composed of higher-risk
operations. RESULTS: The nonrecalibrated Surgical Risk Calculator performed well,
but there was a slight tendency for predicted risk to be overestimated for lowest
and highest-risk patients and underestimated for moderate-risk patients. After
recalibration, this distortion was eliminated, and p values for miscalibration
were most often nonsignificant. Calibration was also excellent for subsets of
higher-risk operations, though observed calibration was reduced due to
instability associated with smaller sample sizes. CONCLUSIONS: Performance of
NSQIP Surgical Risk Calculator models was shown to be excellent and improved with
recalibration. Surgeons and patients can rely on the calculator to provide
accurate estimates of surgical risk.
PMID- 27212008
TI - Dynamical state of the network determines the efficacy of single neuron
properties in shaping the network activity.
AB - Spike patterns are among the most common electrophysiological descriptors of
neuron types. Surprisingly, it is not clear how the diversity in firing patterns
of the neurons in a network affects its activity dynamics. Here, we introduce the
state-dependent stochastic bursting neuron model allowing for a change in its
firing patterns independent of changes in its input-output firing rate
relationship. Using this model, we show that the effect of single neuron spiking
on the network dynamics is contingent on the network activity state. While spike
bursting can both generate and disrupt oscillations, these patterns are
ineffective in large regions of the network state space in changing the network
activity qualitatively. Finally, we show that when single-neuron properties are
made dependent on the population activity, a hysteresis like dynamics emerges.
This novel phenomenon has important implications for determining the network
response to time-varying inputs and for the network sensitivity at different
operating points.
PMID- 27212009
TI - Biomarkers to identify and isolate senescent cells.
AB - Aging is the main risk factor for many degenerative diseases and declining
health. Senescent cells are part of the underlying mechanism for time-dependent
tissue dysfunction. These cells can negatively affect neighbouring cells through
an altered secretory phenotype: the senescence-associated secretory phenotype
(SASP). The SASP induces senescence in healthy cells, promotes tumour formation
and progression, and contributes to other age-related diseases such as
atherosclerosis, immune-senescence and neurodegeneration. Removal of senescent
cells was recently demonstrated to delay age-related degeneration and extend
lifespan. To better understand cell aging and to reap the benefits of senescent
cell removal, it is necessary to have a reliable biomarker to identify these
cells. Following an introduction to cellular senescence, we discuss several
classes of biomarkers in the context of their utility in identifying and/or
removing senescent cells from tissues. Although senescence can be induced by a
variety of stimuli, senescent cells share some characteristics that enable their
identification both in vitro and in vivo. Nevertheless, it may prove difficult to
identify a single biomarker capable of distinguishing senescence in all cell
types. Therefore, this will not be a comprehensive review of all senescence
biomarkers but rather an outlook on technologies and markers that are most
suitable to identify and isolate senescent cells.
PMID- 27212010
TI - Unfavourable stoichiometry of PAI-1 and tPA foments OSMF.
PMID- 27212011
TI - Anatomy and surgical approach of rat's vestibular sensors and nerves.
AB - BACKGROUND: The rat is one of the most used species in the neurosciences, but how
to selectively reach each of its 5 vestibular sensors has never been described.
Besides, new functions of the vestibular system have been recently discovered in
the rat involving vegetative, circadian and cognitive functions. But the central
pathways sustaining these functions and the role of each of the vestibular
sensors are not clear. NEW METHODS: Here we want to describe the anatomy and look
for a direct surgical approach to the 5 vestibular sensors in rats, as an
indispensable technique to further study the central vestibular pathways. To do
so we studied 10 rats either by microtomography with osmium tetroxide staining,
histology with hematoxilyn-eosine staining or microsurgical dissection. RESULTS:
The microtomography allows a 3D representation of the 5 vestibular sensors and
their nerves, with precise landmarks confirmed by the histological analysis. Each
of the landmarks are illustrated and a selective surgical approach to each sensor
and their nerves, is described step by step. COMPARISON WITH EXISTING METHOD:
Selective approaches to the vestibular sensors have been used in other species
such as cats, monkeys and recently humans but the current study is the first
allowing this technique in rats. CONCLUSION: Each vestibular sensor of the rat
can be reached by a selective surgical approach. This allows further techniques
such as electrophysiology or neurotracing of the central vestibular pathways.
This also indicates the rat as a potential model for vestibular prostheses.
PMID- 27212012
TI - Editorial Commentary: Remote monitoring of cardiac implantable electronic device
patients: Why is a medical necessity perceived as an unnecessary burden?
PMID- 27212014
TI - Luminescent mechanochromism of a chiral complex: distinct crystal structures and
color changes of racemic and homochiral gold(i) isocyanide complexes with a
binaphthyl moiety.
AB - Luminescent mechanochromism of a chiral gold(i) complex is investigated. The
racemic and homochiral forms of the gold(i) complex possess distinct crystal
packing arrangements with different emission colors. Upon mechanical stimulation,
both crystals transform into amorphous powders that exhibit similar emission
colors.
PMID- 27212013
TI - Non-invasive monitoring of pulmonary artery pressure from timing information by
EIT: experimental evaluation during induced hypoxia.
AB - Monitoring of pulmonary artery pressure (PAP) in pulmonary hypertensive patients
is currently limited to invasive solutions. We investigate a novel non-invasive
approach for continuous monitoring of PAP, based on electrical impedance
tomography (EIT), a safe, low-cost and non-invasive imaging technology. EIT
recordings were performed in three healthy subjects undergoing hypoxia-induced
PAP variations. The pulmonary pulse arrival time (PAT), a timing parameter
physiologically linked to the PAP, was automatically calculated from the EIT
signals. Values were compared to systolic PAP values from Doppler
echocardiography, and yielded strong correlation scores ([Formula: see text]) for
all three subjects. Results suggest the feasibility of non-invasive, unsupervised
monitoring of PAP.
PMID- 27212015
TI - Blood pressure and antihypertensive medication profile in a multiethnic Asian
population of stable chronic kidney disease patients.
AB - INTRODUCTION: Clinical practice guidelines recommend different blood pressure
(BP) goals for chronic kidney disease (CKD) patients. Usage of antihypertensive
medication and attainment of BP targets in Asian CKD patients remain unclear.
This study describes the profile of antihypertensive agents used and BP
components in a multiethnic Asian population with stable CKD. METHODS: Stable CKD
outpatients with variability of serum creatinine levels < 20%, taken > 3 months
apart, were recruited. Mean systolic blood pressure (SBP) and diastolic blood
pressure (DBP) were measured using automated manometers, according to practice
guidelines. Serum creatinine was assayed and the estimated glomerular filtration
rate (GFR) calculated using the CKD Epidemiology Collaboration equation. BP and
antihypertensive medication profile was examined using univariate analyses.
RESULTS: 613 patients (55.1% male; 74.7% Chinese, 6.4% Indian, 11.4% Malay; 35.7%
diabetes mellitus) with a mean age of 57.8 +/- 14.5 years were recruited. Mean
SBP was 139 +/- 20 mmHg, DBP was 74 +/- 11 mmHg, serum creatinine was 166 +/- 115
umol/L and GFR was 53 +/- 32 mL/min/1.73 m(2). At a lower GFR, SBP increased (p <
0.001), whereas DBP decreased (p = 0.0052). Mean SBP increased in tandem with the
number of antihypertensive agents used (p < 0.001), while mean DBP decreased when
>= 3 antihypertensive agents were used (p = 0.0020). CONCLUSION: Different
targets are recommended for each BP component in CKD patients. A majority of
patients cannot attain SBP targets and/or exceed DBP targets. Research into
monitoring and treatment methods is required to better define BP targets in CKD
patients.
PMID- 27212016
TI - Quinone-induced protein modifications: Kinetic preference for reaction of 1,2
benzoquinones with thiol groups in proteins.
AB - Oxidation of polyphenols to quinones serves as an antioxidative mechanism, but
the resulting quinones may induce damage to proteins as they react through a
Michael addition with nucleophilic groups, such as thiols and amines to give
protein adducts. In this study, rate constants for the reaction of 4
methylbenzoquinone (4MBQ) with proteins, thiol and amine compounds were
determined under pseudo first-order conditions by UV-vis stopped-flow
spectrophotometry. The chemical structures of the adducts were identified by LC
ESI-MS/MS. Proteins with free thiols were rapidly modified by 4MBQ with apparent
second order rate constants, k2 of (3.1+/-0.2)*10(4)M(-1)s(-1) for bovine serum
albumin (BSA) and (4.8+/-0.2)*10(3)M(-1)s(-1) for human serum albumin at pH 7.0.
These values are at least 12-fold greater than that for alpha-lactalbumin (4.0+/
0.2)*10(2)M(-1)s(-1), which does not contain any free thiols. Reaction of Cys-34
of BSA with N-ethylmaleimide reduced the thiol concentration by ~59%, which
resulted in a decrease in k2 by a similar percentage, consistent with rapid
adduction at Cys-34. Reaction of 4MBQ with amines (Gly, Nalpha-acetyl-l-Lys,
Nepsilon-acetyl-l-Lys and l-Lys) and the guanidine group of Nalpha-acetyl-l-Arg
was at least 5*10(5) slower than with low-molecular-mass thiols (l-Cys, Nalpha
acetyl-l-Cys, glutathione). The thiol-quinone interactions formed colorless thiol
phenol products via an intermediate adduct, while the amine-quinone interactions
generated colored amine-quinone products that require oxygen involvement. These
data provide strong evidence for rapid modification of protein thiols by quinone
species which may be of considerable significance for biological and food
systems.
PMID- 27212017
TI - Carnosic acid attenuates unilateral ureteral obstruction-induced kidney fibrosis
via inhibition of Akt-mediated Nox4 expression.
AB - Fibrosis represents a common pathway to end-stage renal disease. Transforming
growth factor-beta (TGF-beta) plays a critical role in the progression of kidney
fibrosis. In the present study, we explored the effect of carnosic acid (CA)
against TGF-beta-induced fibroblast activation in vitro and unilateral ureteral
obstruction (UUO)-induced kidney fibrosis in vivo. CA attenuated TGF-beta-induced
up-regulation of profibrogenic proteins, alpha-smooth muscle actin (alpha-SMA),
collagen I (COLI), fibronectin (FN), and plasminogen activator inhibitor-1 (PAI
1) in kidney fibroblast cells (NRK-49F). CA inhibited TGF-beta-induced hydrogen
peroxide generation via inhibition of NADPH oxidase 4 (Nox4) expressions. In
mice, CA-administration markedly mitigated the UUO-induced interstitial
extension, collagen deposition, superoxide anion formation, hydrogen peroxide
production, and lipid peroxidation. In addition, CA significantly attenuated the
expression of alpha-SMA, COLI, FN, PAI-1, and Nox4 in UUO-induced kidneys. These
results indicated that CA attenuated oxidative stress via inhibition of Nox4
expression in TGF-beta-stimulated fibroblasts and UUO operated-kidneys,
suggesting that CA may be useful for the treatment of fibrosis-related diseases.
PMID- 27212018
TI - Simultaneous quantitation of oxidized and reduced glutathione via LC-MS/MS: An
insight into the redox state of hematopoietic stem cells.
AB - Cellular redox balance plays a significant role in the regulation of
hematopoietic stem-progenitor cell (HSC/MPP) self-renewal and differentiation.
Unregulated changes in cellular redox homeostasis are associated with the onset
of most hematological disorders. However, accurate measurement of the redox state
in stem cells is difficult because of the scarcity of HSC/MPPs. Glutathione (GSH)
constitutes the most abundant pool of cellular antioxidants. Thus, GSH metabolism
may play a critical role in hematological disease onset and progression. A major
limitation to studying GSH metabolism in HSC/MPPs has been the inability to
measure quantitatively GSH concentrations in small numbers of HSC/MPPs. Current
methods used to measure GSH levels not only rely on large numbers of cells, but
also rely on the chemical/structural modification or enzymatic recycling of GSH
and therefore are likely to measure only total glutathione content accurately.
Here, we describe the validation of a sensitive method used for the direct and
simultaneous quantitation of both oxidized and reduced GSH via liquid
chromatography followed by tandem mass spectrometry (LC-MS/MS) in HSC/MPPs
isolated from bone marrow. The lower limit of quantitation (LLOQ) was determined
to be 5.0ng/mL for GSH and 1.0ng/mL for GSSG with lower limits of detection at
0.5ng/mL for both glutathione species. Standard addition analysis utilizing mouse
bone marrow shows that this method is both sensitive and accurate with
reproducible analyte recovery. This method combines a simple extraction with a
platform for the high-throughput analysis, allows for efficient determination of
GSH/GSSG concentrations within the HSC/MPP populations in mouse, chemotherapeutic
treatment conditions within cell culture, and human normal/leukemia patient
samples. The data implicate the importance of the modulation of GSH/GSSG redox
couple in stem cells related diseases.
PMID- 27212019
TI - Evaluation of NADPH oxidases as drug targets in a mouse model of familial
amyotrophic lateral sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is an incurable neurodegenerative disease
characterized by progressive loss of motor neurons, gliosis, neuroinflammation
and oxidative stress. The aim of this study was to evaluate the involvement of
NADPH oxidases (NOX) in the oxidative damage and progression of ALS
neuropathology. We examined the pattern of NOX expression in spinal cords of
patients and mouse models of ALS and analyzed the impact of genetic deletion of
the NOX1 and 2 isoforms as well as pharmacological NOX inhibition in the
SOD1(G93A) ALS mouse model. A substantial (10-60 times) increase of NOX2
expression was detected in three etiologically different ALS mouse models while
up-regulation of some other NOX isoforms was model-specific. In human spinal cord
samples, high NOX2 expression was detected in microglia. In contrast to previous
publications, survival of SOD1(G93A) mice was not modified upon breeding with
constitutive NOX1 and NOX2 deficient mice. As genetic deficiency of a single NOX
isoform is not necessarily predictive of a pharmacological intervention, we
treated SOD1(G93A) mice with broad-spectrum NOX inhibitors perphenazine and
thioridazine. Both compounds reached in vivo CNS concentrations compatible with
NOX inhibition and thioridazine significantly decreased superoxide levels in the
spinal cord of SOD1(G93A) mice in vivo. Yet, neither perphenazine nor
thioridazine prolonged survival. Thioridazine, but not perphenazine, dampened the
increase of microglia markers in SOD1(G93A) mice. Thioridazine induced an
immediate and temporary enhancement of motor performance (rotarod) but its
precise mode of action needs further investigation. Additional studies using
specific NOX inhibitors will provide further evidence on the relevance of NOX as
drug targets for ALS and other neurodegenerative disorders.
PMID- 27212020
TI - Identification of an adaptor protein that facilitates Nrf2-Keap1 complex
formation and modulates antioxidant response.
AB - Nrf2 plays a key role in the protection of the body against environmental stress
via inducible expression of detoxification and antioxidant enzymes. Keap1
functions as a sensor for oxidative and electrophilic stresses and promotes Nrf2
degradation via its E3 ligase activity. Modulation of the Nrf2-Keap1 pathway has
been extensively explored as a strategy to combat against drug toxicity and
stress-induced diseases. Here we report a new player that modulates the Nrf2
Keap1 pathway. PAQR3, a membrane protein specifically localized in the Golgi
apparatus, negatively regulates the expression of an array of Nrf2 target genes
and alters cellular level of reactive oxygen species. PAQR3 tethers Nrf2 and
Keap1, but not small MAF proteins to the Golgi apparatus. PAQR3 interacts with
both Nrf2 and Keap1 and facilitates the interaction of Nrf2 with Keap1. PAQR3
promotes ubiquitination and degradation of Nrf2. Disruption of PAQR3 interaction
with Nrf2 and Keap1 by a synthetic peptide reduces Nrf2 ubiquitination and
elevates expression of Nrf2 target genes. At the animal level, deletion of PAQR3
increases Nrf2 protein level and the expression of Nrf2 target genes. In
conclusion, our study pinpoints that PAQR3 functions as an adaptor protein to
promote Nrf2-Keap1 complex formation, thereby modulating the Nrf2-Keap2 pathway
and playing an important role in controlling antioxidant response of the cell.
PMID- 27212021
TI - Quantitative analysis of methyl and propyl parabens in neonatal DBS using LC
MS/MS.
AB - AIM: Excipients are used to overcome the chemical, physical and microbiological
challenges posed by developing formulated medicines. Both methyl and propyl
paraben are commonly used in pediatric liquid formulations. There is no data on
systemic exposure to parabens in neonates. The European Study of Neonatal
Exposure to Excipients project has investigated this. Results & methodology: DBS
sampling was used to collect opportunistic blood samples. Parabens were extracted
from the DBS and analyzed using a validated LC-MS/MS assay. DISCUSSION &
CONCLUSION: The above assay was applied to analyze neonatal DBS samples. The
blood concentrations of parabens in neonates confirm systemic exposure to
parabens following administration of routine medicines.
PMID- 27212022
TI - Multi-Omics of Single Cells: Strategies and Applications.
AB - Most genome-wide assays provide averages across large numbers of cells, but
recent technological advances promise to overcome this limitation. Pioneering
single-cell assays are now available for genome, epigenome, transcriptome,
proteome, and metabolome profiling. Here, we describe how these different
dimensions can be combined into multi-omics assays that provide comprehensive
profiles of the same cell.
PMID- 27212023
TI - Direct evidence for charge stripes in a layered cobalt oxide.
AB - Recent experiments indicate that static stripe-like charge order is generic to
the hole-doped copper oxide superconductors and competes with superconductivity.
Here we show that a similar type of charge order is present in La5/3Sr1/3CoO4, an
insulating analogue of the copper oxide superconductors containing cobalt in
place of copper. The stripe phase we have detected is accompanied by short-range,
quasi-one-dimensional, antiferromagnetic order, and provides a natural
explanation for the distinctive hourglass shape of the magnetic spectrum
previously observed in neutron-scattering measurements of La2-xSrxCoO4 and many
hole-doped copper oxide superconductors. The results establish a solid empirical
basis for theories of the hourglass spectrum built on short-range, quasi-static,
stripe correlations.
PMID- 27212024
TI - Complementary expression of optomotor-blind and the Iroquois complex promotes
fold formation to separate wing notum and hinge territories.
AB - Animal morphogenesis requires folds or clefts to separate populations of cells
which are often associated with different cell affinities. In the Drosophila wing
imaginal disc, the regional expression of the Iroquois complex (Iro-C) in the
notum leads to the formation of the hinge/notum (H/N) fold that separates the
wing hinge and notum territories. Although Decapentaplegic (Dpp) signaling has
been revealed as essential for the hinge/notum subdivision through the
restriction of Iro-C toward the notum region, the mechanism by which the H/N
border develops into a fold is unknown. Here, we report that a Dpp target gene,
optomotor-blind (omb), mediates the role of Dpp signaling in Iro-C inhibition.
omb is complementarily expressed on the dorsal hinge side, abutting the Iro-C
domain along the H/N border. Ectopic omb expression inhibits Iro-C in the notum
territory, independent of known Iro-C regulators Msh and Stat92E. Uniform
manipulation of either omb or Iro-C genes spanning the presumptive H/N border
significantly suppresses H/N fold formation via inhibition of the apical
microtubule enrichment. Ectopically sharp border or discontinuity in level of Iro
C or Omb is enough to generate ectopic fold formation. These results reveal that
omb and Iro-C not only are complementarily expressed but also cooperate to
promote H/N fold formation. Our data help to understand how Dpp signaling is
interpreted region-specifically during tissue subdivision.
PMID- 27212025
TI - Essential function of the transcription factor Rax in the early patterning of the
mammalian hypothalamus.
AB - The hypothalamus is a region of the anterior forebrain that controls basic
aspects of vertebrate physiology, but the genes involved in its development are
still poorly understood. Here, we investigate the function of the homeobox gene
Rax/Rx in early hypothalamic development using a conditional targeted
inactivation strategy in the mouse. We found that lack of Rax expression prior to
embryonic day 8.5 (E8.5) caused a general underdevelopment of the hypothalamic
neuroepithelium, while inactivation at later timepoints had little effect. The
early absence of Rax impaired neurogenesis and prevented the expression of
molecular markers of the dorsomedial hypothalamus, including neuropeptides
Proopiomelanocortin and Somatostatin. Interestingly, the expression domains of
genes expressed in the ventromedial hypothalamus and infundibulum invaded dorsal
hypothalamic territory, showing that Rax is needed for the proper dorsoventral
patterning of the developing medial hypothalamus. The phenotypes caused by the
early loss of Rax are similar to those of eliminating the expression of the
morphogen Sonic hedgehog (Shh) specifically from the hypothalamus. Consistent
with this similarity in phenotypes, we observed that Shh and Rax are coexpressed
in the rostral forebrain at late head fold stages and that loss of Rax caused a
downregulation of Shh expression in the dorsomedial portion of the hypothalamus.
PMID- 27212027
TI - Experimental realization of the "lock-and-key" mechanism in liquid crystals.
AB - The ability to control the movement and assembly of particles in liquid crystals
is not only an important route to design functional materials, but also sheds
light on the mechanisms of colloidal interactions. In this study we place micron
sized particles with "Saturn ring" defects near a wall with hills and dales that
impose perpendicular (homeotropic) molecular anchoring. The strong splay
distortion at the wall interacts with the distortion around the particles in the
near field and favors their migration towards the dales via the so-called "lock
and-key" mechanism. We demonstrate experimentally that the lock-and-key mechanism
can robustly localize a particle at specific topographical features. We observe
the complex trajectories traced by the particles as they dock on the dales,
estimate the binding energy, and explore a range of parameters that favor or
disfavor the docking event, thus exploiting the capabilities of our experimental
system. We extend the study to colloids with homeotropic anchoring but with an
associated point defect instead of a Saturn ring and show that they find a
different preferred location, i.e. we can place otherwise identical particles at
well defined sites according to their topological defect structure. Finally, for
deep enough wells, confinement drives topological transitions of Saturn rings to
dipoles. This ability to tailor wall geometry to guide colloids to well defined
sites within nematic liquid crystals represents an important new tool in directed
assembly.
PMID- 27212026
TI - Novel action of FOXL2 as mediator of Col1a2 gene autoregulation.
AB - FOXL2 belongs to the evolutionarily conserved forkhead box (FOX) superfamily and
is a master transcription factor in a spectrum of developmental pathways,
including ovarian and eyelid development and bone, cartilage and uterine
maturation. To analyse its action, we searched for proteins that interact with
FOXL2. We found that FOXL2 interacts with specific C-terminal propeptides of
several fibrillary collagens. Because these propeptides can participate in
feedback regulation of collagen biosynthesis, we inferred that FOXL2 could
thereby affect the transcription of the cognate collagen genes. Focusing on
COL1A2, we found that FOXL2 indeed affects collagen synthesis, by binding to a
DNA response element located about 65Kb upstream of this gene. According to our
hypothesis we found that in Foxl2(-/-) mouse ovaries, Col1a2 was elevated from
birth to adulthood. The extracellular matrix (ECM) compartmentalizes the ovary
during folliculogenesis, (with type I, type III and type IV collagens as primary
components), and ECM composition changes during the reproductive lifespan. In
Foxl2(-/-) mouse ovaries, in addition to up-regulation of Col1a2, Col3a1, Col4a1
and fibronectin were also upregulated, while laminin expression was reduced.
Thus, by regulating levels of extracellular matrix components, FOXL2 may
contribute to both ovarian histogenesis and the fibrosis attendant on depletion
of the follicle reserve during reproductive aging and menopause.
PMID- 27212028
TI - 6-Month Versus 12-Month Dual-Antiplatelet Therapy Following Long Everolimus
Eluting Stent Implantation: The IVUS-XPL Randomized Clinical Trial.
AB - OBJECTIVES: The aim of this study was to investigate whether a 6-month dual
antiplatelet therapy (DAPT) duration was comparable with a 12-month duration in
patients who underwent everolimus-eluting stent implantation. BACKGROUND: Well
designed studies that determine optimal DAPT strategies after everolimus-eluting
stent implantation are limited. METHODS: A total of 1,400 patients (implanted
mean total stent length >45 mm) were randomly assigned to receive 6-month (n =
699) or 12-month (n = 701) DAPT between October 2010 and July 2014 at 20 centers
in Korea. The primary endpoint was the composite of cardiac death, myocardial
infarction, stroke, or TIMI (Thrombolysis in Myocardial Infarction) major
bleeding at 1 year, analyzed using an intention-to-treat approach. RESULTS: The
primary endpoint occurred in 15 patients (2.2%) in the 6-month DAPT group and 14
patients (2.1%) in the 12-month DAPT group (hazard ratio [HR]: 1.07; p = 0.854).
Definite or probable stent thrombosis occurred in 2 patients (0.3%) in the 6
month DAPT group and in 2 patients (0.3%) in the 12-month DAPT group (HR: 1.00; p
= 0.999). There were no significant between-group differences in the primary
endpoint in 686 patients with acute coronary syndrome (2.4% in both groups; HR:
1.00; p = 0.994) and in 506 patients with diabetes mellitus (2.2% [6-month] vs.
3.3% [12-month]; HR: 0.64; p = 0.428). CONCLUSIONS: Compared with 12-month DAPT,
6-month DAPT did not increase the composite events of cardiac death, myocardial
infarction, stroke, or TIMI major bleeding at 1 year in patients who underwent
everolimus-eluting stent implantation. (Impact of Intravascular Ultrasound
Guidance on Outcomes of XIENCE PRIME Stents in Long Lesions [IVUS-XPL Study];
NCT01308281).
PMID- 27212029
TI - Malignant Neuroendocrine Tumour (Carcinoid) of the Spleen in an African Pygmy
Hedgehog (Atelerix albiventris).
AB - A malignant neuroendocrine tumour (carcinoid) of the spleen was diagnosed on post
mortem examination of a 3-year-old, male African pygmy hedgehog (Atelerix
albiventris). The animal presented with a history of inappetence, weight loss,
lethargy, a wide-based gait and a palpable abdominal mass. The gross
pathological, histopathological, histochemical and immunohistochemical findings
are described. Primary splenic carcinoids are reported rarely in the human
medical literature and this is believed to be the first report in a non-human
animal.
PMID- 27212030
TI - Integrative functional genomic analysis identifies epigenetically regulated
fibromodulin as an essential gene for glioma cell migration.
AB - An integrative functional genomics study of multiple forms of data are vital for
discovering molecular drivers of cancer development and progression. Here, we
present an integrated genomic strategy utilizing DNA methylation and
transcriptome profile data to discover epigenetically regulated genes implicated
in cancer development and invasive progression. More specifically, this analysis
identified fibromodulin (FMOD) as a glioblastoma (GBM) upregulated gene because
of the loss of promoter methylation. Secreted FMOD promotes glioma cell migration
through its ability to induce filamentous actin stress fiber formation. Treatment
with cytochalasin D, an actin polymerization inhibitor, significantly reduced the
FMOD-induced glioma cell migration. Small interfering RNA and small molecule
inhibitor-based studies identified that FMOD-induced glioma cell migration is
dependent on integrin-FAK-Src-Rho-ROCK signaling pathway. FMOD lacking C-terminus
LRR11 domain (DeltaFMOD), which does not bind collagen type I, failed to induce
integrin and promote glioma cell migration. Further, FMOD-induced integrin
activation and migration was abrogated by a 9-mer wild-type peptide from the FMOD
C-terminus. However, the same peptide with mutation in two residues essential for
FMOD interaction with collagen type I failed to compete with FMOD, thus
signifying the importance of collagen type I-FMOD interaction in integrin
activation. Chromatin immunoprecipitation-PCR experiments revealed that
transforming growth factor beta-1 (TGF-beta1) regulates FMOD expression through
epigenetic remodeling of FMOD promoter that involved demethylation and gain of
active histone marks with a simultaneous loss of DNMT3A and EZH2 occupancy, but
enrichment of Sma- and Mad-related protein-2 (SMAD2) and CBP. FMOD silencing
inhibited the TGF-beta1-mediated glioma cell migration significantly. In
univariate and multivariate Cox regression analysis, both FMOD promoter
methylation and transcript levels predicted prognosis in GBM. Thus, this study
identified several epigenetically regulated alterations responsible for cancer
development and progression. Specifically, we found that secreted FMOD as an
important regulator of glioma cell migration downstream of TGF-beta1 pathway and
forms a potential basis for therapeutic intervention in GBM.
PMID- 27212031
TI - Activation of the SDF1/CXCR4 pathway retards muscle atrophy during cancer
cachexia.
AB - Cancer cachexia is a life-threatening syndrome that affects most patients with
advanced cancers and causes severe body weight loss, with rapid depletion of
skeletal muscle. No treatment is available. We analyzed microarray data sets to
identify a subset of genes whose expression is specifically altered in cachectic
muscles of Yoshida hepatoma-bearing rodents but not in those with diabetes,
disuse, uremia or fasting. Ingenuity Pathways Analysis indicated that three genes
belonging to the C-X-C motif chemokine receptor 4 (CXCR4) pathway were
downregulated only in muscles atrophying because of cancer: stromal cell-derived
factor 1 (SDF1), adenylate cyclase 7 (ADCY7), and p21 protein-activated kinase 1
(PAK1). Notably, we found that, in the Rectus Abdominis muscle of cancer
patients, the expression of SDF1 and CXCR4 was inversely correlated with that of
two ubiquitin ligases induced in muscle wasting, atrogin-1 and MuRF1, suggesting
a possible clinical relevance of this pathway. The expression of all main SDF1
isoforms (alpha, beta, gamma) also declined in Tibialis Anterior muscle from
cachectic mice bearing murine colon adenocarcinoma or human renal cancer and
drugs with anticachexia properties restored their expression. Overexpressing
genes of this pathway (that is, SDF1 or CXCR4) in cachectic muscles increased the
fiber area by 20%, protecting them from wasting. Similarly, atrophying myotubes
treated with either SDF1alpha or SDF1beta had greater total protein content,
resulting from reduced degradation of overall long-lived proteins. However,
inhibiting CXCR4 signaling with the antagonist AMD3100 did not affect protein
homeostasis in atrophying myotubes, whereas normal myotubes treated with AMD3100
showed time- and dose-dependent reductions in diameter, until a plateau, and
lower total protein content. This further confirms the involvement of a saturable
pathway (that is, CXCR4). Overall, these findings support the idea that
activating the CXCR4 pathway in muscle suppresses the deleterious wasting
associated with cancer.
PMID- 27212032
TI - Functional interaction of histone deacetylase 5 (HDAC5) and lysine-specific
demethylase 1 (LSD1) promotes breast cancer progression.
AB - We have previously demonstrated that crosstalk between lysine-specific
demethylase 1 (LSD1) and histone deacetylases (HDACs) facilitates breast cancer
proliferation. However, the underlying mechanisms are largely unknown. Here, we
report that expression of HDAC5 and LSD1 proteins were positively correlated in
human breast cancer cell lines and tissue specimens of primary breast tumors.
Protein expression of HDAC5 and LSD1 was significantly increased in primary
breast cancer specimens in comparison with matched-normal adjacent tissues. Using
HDAC5 deletion mutants and co-immunoprecipitation studies, we showed that HDAC5
physically interacted with the LSD1 complex through its domain containing nuclear
localization sequence and phosphorylation sites. Although the in vitro
acetylation assays revealed that HDAC5 decreased LSD1 protein acetylation, small
interfering RNA (siRNA)-mediated HDAC5 knockdown did not alter the acetylation
level of LSD1 in MDA-MB-231 cells. Overexpression of HDAC5 stabilized LSD1
protein and decreased the nuclear level of H3K4me1/me2 in MDA-MB-231 cells,
whereas loss of HDAC5 by siRNA diminished LSD1 protein stability and
demethylation activity. We further demonstrated that HDAC5 promoted the protein
stability of USP28, a bona fide deubiquitinase of LSD1. Overexpression of USP28
largely reversed HDAC5-KD-induced LSD1 protein degradation, suggesting a role of
HDAC5 as a positive regulator of LSD1 through upregulation of USP28 protein.
Depletion of HDAC5 by shRNA hindered cellular proliferation, induced G1 cell
cycle arrest, and attenuated migration and colony formation of breast cancer
cells. A rescue study showed that increased growth of MDA-MB-231 cells by HDAC5
overexpression was reversed by concurrent LSD1 depletion, indicating that tumor
promoting activity of HDAC5 is an LSD1 dependent function. Moreover,
overexpression of HDAC5 accelerated cellular proliferation and promoted acridine
mutagen ICR191-induced transformation of MCF10A cells. Taken together, these
results suggest that HDAC5 is critical in regulating LSD1 protein stability
through post-translational modification, and the HDAC5-LSD1 axis has an important
role in promoting breast cancer development and progression.
PMID- 27212034
TI - REC8 functions as a tumor suppressor and is epigenetically downregulated in
gastric cancer, especially in EBV-positive subtype.
AB - REC8 meiotic recombination protein (REC8) was found to be preferentially
methylated in gastric cancer (GC) using promoter methylation array. We aimed to
elucidate the epigenetic alteration and biological function of REC8 in GC. REC8
was downregulated in 100% (3/3) of Epstein-Barr virus (EBV)-positive and 80%
(8/10) of EBV-negative GC cell lines by promoter methylation, but the expression
could be restored through demethylation treatment. Protein expression of REC8 was
significantly lower in human primary gastric tumors than in adjacent non-tumor
tissues. A negative correlation between methylation and mRNA expression of REC8
was observed in 223 gastric samples of The Cancer Genome Atlas study (r=-0.7018,
P<0.001). The methylation level (%) of the REC8 promoter was significantly higher
in EBV-positive gastric tumors than in EBV-negative gastric tumors, as shown by
bisulfite genomic sequencing (77.6 (69.3-80.5) vs 51.4 (39.5-62.3), median
(interquartile range); P<0.001); methylation levels in both subtypes of tumors
were significantly higher than in normal stomach tissues (14.8 (4.2-24.0)) (both
P<0.001). Multivariate analysis revealed that REC8 methylation was an independent
factor for poor survival in GC patients (hazard ratio=1.68, P<0.05). REC8
expression significantly suppressed cell viability, clonogenicity and cell cycle
progression; it induced apoptosis and inhibited migration of AGS-EBV (EBV
positive) and BGC823 (EBV-negative) GC cells, and it suppressed tumorigenicity in
nude mice. In contrast, knockdown of REC8 in gastric epithelial immortalized GES
1 cells significantly increased cell viability, clonogenicity and migration
ability. The tumor-suppressive effect of REC8 is mediated at least in part by the
downregulation of genes involved in cell growth (G6PD, SLC2A1, NOL3, MCM2, SNAI1
and SNAI2), and the upregulation of apoptosis/migration inhibitors (GADD45G and
LDHA) and tumor suppressors (PinX1, IGFBP3 and ETS2). In conclusion, REC8 is a
novel tumor suppressor that is commonly downregulated by promoter methylation in
GC, especially in the EBV-associated subtype. Promoter methylation of REC8 is an
independent risk factor for the shortened survival of GC patients.
PMID- 27212033
TI - An important role for Myb-MuvB and its target gene KIF23 in a mouse model of lung
adenocarcinoma.
AB - The conserved Myb-MuvB (MMB) multiprotein complex has an important role in
transcriptional activation of mitotic genes. MMB target genes are overexpressed
in several different cancer types and their elevated expression is associated
with an advanced tumor state and a poor prognosis. This suggests that MMB could
contribute to tumorigenesis by mediating overexpression of mitotic genes.
However, although MMB has been extensively characterized biochemically, the
requirement for MMB in tumorigenesis in vivo has not been investigated. Here we
demonstrate that MMB is required for tumor formation in a mouse model of lung
cancer driven by oncogenic K-RAS. We also identify a requirement for the mitotic
kinesin KIF23, a key target gene of MMB, in tumorigenesis. RNA interference
mediated depletion of KIF23 inhibited lung tumor formation in vivo and induced
apoptosis in lung cancer cell lines. Our results suggest that inhibition of KIF23
could be a strategy for treatment of lung cancer.
PMID- 27212037
TI - Physicochemical Properties of Near-Linear Lanthanide(II) Bis(silylamide)
Complexes (Ln = Sm, Eu, Tm, Yb).
AB - Following our report of the first near-linear lanthanide (Ln) complex, [Sm(N??)2]
(1), herein we present the synthesis of [Ln(N??)2] [N?? = {N(SiiPr3)2}; Ln = Eu
(2), Tm (3), Yb (4)], thus achieving approximate uniaxial geometries for a series
of "traditional" LnII ions. Experimental evidence, together with calculations
performed on a model of 4, indicates that dispersion forces are important for
stabilization of the near-linear geometries of 1-4. The isolation of 3 under a
dinitrogen atmosphere is noteworthy, given that "[Tm(N")(MU-N")]2" (N" =
{N(SiMe3)2}) has not previously been structurally authenticated and reacts
rapidly with N2(g) to give [{Tm(N")2}2(MU-eta2:eta2-N2)]. Complexes 1-4 have been
characterized as appropriate by single-crystal X-ray diffraction, magnetic
measurements, electrochemistry, multinuclear NMR, electron paramagnetic resonance
(EPR), and electronic spectroscopy, along with computational methods for 3 and 4.
The remarkable geometries of monomeric 1-4 lead to interesting physical
properties, which complement and contrast with comparatively well understood
dimeric [Ln(N")(MU-N")]2 complexes. EPR spectroscopy of 3 shows that the near
linear geometry stabilizes mJ states with oblate spheroid electron density
distributions, validating our previous suggestions. Cyclic voltammetry
experiments carried out on 1-4 did not yield LnII reduction potentials, so a
reactivity study of 1 was performed with selected substrates in order to
benchmark the SmIII -> SmII couple. The separate reactions of 1 with 2,2,6,6
tetramethylpiperidine-1-oxyl (TEMPO), azobenzene, and benzophenone gave crystals
of [Sm(N??)2(TEMPO)] (5), [Sm(N??)2(N2Ph2)] (6), and [Sm(N??){MU-OPhC(C6H5)CPh2O
kappaO,O'}]2 (7), respectively. The isolation of 5-7 shows that the SmII center
in 1 is still accessible despite having two bulky N?? moieties and that the N
donor atoms are able to deviate further from linearity or ligand scrambling
occurs in order to accommodate another ligand in the SmIII coordination spheres
of the products.
PMID- 27212035
TI - DNA methylation by DNMT1 and DNMT3b methyltransferases is driven by the MUC1-C
oncoprotein in human carcinoma cells.
AB - Aberrant expression of the DNA methyltransferases (DNMTs) and disruption of DNA
methylation patterns are associated with carcinogenesis and cancer cell survival.
The oncogenic MUC1-C protein is aberrantly overexpressed in diverse carcinomas;
however, there is no known link between MUC1-C and DNA methylation. Our results
demonstrate that MUC1-C induces the expression of DNMT1 and DNMT3b, but not
DNMT3a, in breast and other carcinoma cell types. We show that MUC1-C occupies
the DNMT1 and DNMT3b promoters in complexes with NF-kappaB p65 and drives DNMT1
and DNMT3b transcription. In this way, MUC1-C controls global DNA methylation as
determined by analysis of LINE-1 repeat elements. The results further demonstrate
that targeting MUC1-C downregulates DNA methylation of the CDH1 tumor suppressor
gene in association with induction of E-cadherin expression. These findings
provide compelling evidence that MUC1-C is of functional importance to induction
of DNMT1 and DNMT3b and, in turn, changes in DNA methylation patterns in cancer
cells.
PMID- 27212036
TI - LAPTM4B: an oncogene in various solid tumors and its functions.
AB - The oncogene Lysosome-associated protein transmembrane-4beta (LAPTM4B) gene was
identified, and the polymorphism region in the 5'-UTR of this gene was certified
to be associated with tumor susceptibility. LAPTM4B-35 protein was found to be
highly expressed in various solid tumors and could be a poor prognosis marker.
The functions of LAPTM4B in solid tumors were also explored. It is suggested that
LAPTM4B could promote the proliferation of tumor cells, boost invasion and
metastasis, resist apoptosis, initiate autophagy and assist drug resistance.
PMID- 27212038
TI - Subclinical Hypercortisolism: How to Deal with It?
AB - Subclinical hypercortisolism (SH) is a condition of mild cortisol excess, found
in the 5-30% of patients with adrenal incidentalomas, defined as silent adrenal
masses diagnosed throughout radiological examinations performed for unrelated
diseases. Generally, the diagnosis of SH is supported by the presence of high
cortisol levels after a 1-mg dexamethasone suppression test, low
adrenocorticotropic hormone levels, altered cortisol circadian rhythm and 24-hour
urinary free cortisol levels higher than the upper reference value. Less
frequently, diagnosis is made by other tests. Unfortunately, up to now a
consensus on SH diagnostic criteria is lacking. Although SH is characterized by
the absence of the classical features of Cushing's syndrome by definition, it has
been associated with the presence of different metabolic complications (mainly
type 2 diabetes mellitus, arterial hypertension, central obesity and
dyslipidemia), cardiovascular diseases, osteoporosis and vertebral fractures,
altered coagulation parameters, worsening of quality of life, and increased
mortality. The management of SH, as well as the improvement of metabolic
parameters and other complications after surgical treatment, is still a matter of
debate.
PMID- 27212039
TI - Association Between Dehydration and Short-Term Risk of Ischemic Stroke in
Patients with Atrial Fibrillation.
AB - Previous cross-sectional studies have demonstrated a higher incidence of
dehydration in patients admitted for stroke suggesting a possible association.
However, the temporality of the association has not been well established. We
examined whether dehydration increases the risk of ischemic stroke in patients
with a recent hospitalization for atrial fibrillation (AF). Data was from 1994 to
2012 from the Myocardial Infarction Data Acquisition System (MIDAS), a repository
of in-patient records New Jersey hospitals, for AF hospitalizations (n =
1,282,787). Estimates for the association between AF hospitalization with/without
dehydration and ischemic stroke within 30 days post-AF discharge were determined
using log-linear multivariable modeling adjusting for socio-demographic factors
and comorbid conditions. Within 10 days of discharge for AF, patients 18-80 years
old (YO) with comorbid dehydration had a 60 % higher risk of ischemic stroke
compared to AF patients without comorbid dehydration (adjusted risk ratio (ARR)
1.60, 95 % confidence interval (CI) 1.28-2.00). Eighteen- to 80-YO patients had a
34 % higher risk of ischemic stroke in days 11-20 post-AF discharge (ARR 1.34, 95
% CI 1.04, 1.74). There was no difference in the risk of stroke in 18-80-YO
patients with or without prior dehydration during days 21-30 post-AF discharge.
We also found no difference in the risk of ischemic stroke during any time period
in patients over 80 YO. Dehydration may be a significant risk factor for ischemic
stroke in patients 18-80 YO with AF.
PMID- 27212041
TI - Adrenal Insufficiency Therapy: How to Keep the Balance between Good Quality of
Life and Low Risk for Long-Term Side Effects?
AB - Glucocorticoid treatment in adrenal insufficiency remains a challenge since many
patients complain of fatigue, reduced health-related quality of life and working
ability. Moreover, there is a fear of increased mortality and morbidity related
to adrenal crises and chronic overexposure to glucocorticoids. In order to
counter these negative effects on daily life and future health, recent
recommendations speak for lower replacement doses and administration forms that
attempt to mimic the circadian variation in cortisol. At the same time, low
replacement doses should not put the patient at an increased risk of an adrenal
crisis. This chapter provides an overview of current state-of-the-art approaches
to treatment and follow-up of adrenal insufficiency aimed at avoiding over- and
undertreatment.
PMID- 27212040
TI - Honokiol suppresses TNF-alpha-induced neutrophil adhesion on cerebral endothelial
cells by disrupting polyubiquitination and degradation of IkappaBalpha.
AB - Adhesion molecules expressed on cerebral endothelial cells (ECs) mediate
leukocyte recruitment and play a significant role in cerebral inflammation.
Increased levels of adhesion molecules on the EC surface induce leukocyte
infiltration into inflammatory areas and are thus hallmarkers of inflammation.
Honokiol, isolated from the Chinese medicinal herb Magnolia officinalis, has
various pharmacological activities, including anti-inflammatory effects, yet the
nature of honokiol targeting molecules remains to be revealed. Here, we
investigated the inhibitory effect of honokiol on neutrophil adhesion and
vascular cell adhesion molecule-1 (VCAM-1) expression, which underlie its
molecular target, and mechanisms for inactivating nuclear factor kappa enhancer
binding protein (NF-kappaB) in mouse cerebral ECs. Honokiol inhibited tumour
necrosis factor-alpha (TNF-alpha)-induced neutrophil adhesion and VCAM-1 gene
expression in cerebral ECs. The inflammatory transcription factor NF-kappaB was
downregulated by honokiol. Honokiol significantly blocked TNF-alpha-induced NF
kappaB p65 nuclear translocation and degradation of the proteasome-dependent
inhibitor of NF-kappaB alpha (IkappaBalpha). From docking model prediction,
honokiol directly targeted the ubiquitin-ubiquitin interface of Lys48-linked
polychains. Moreover, honokiol prevented the TNF-alpha-induced Lys48-linked
polyubiquitination, including IkappaBalpha-polyubiquitin interaction. Honokiol
has protective anti-inflammatory effects on TNF-alpha-induced neutrophil adhesion
and VCAM-1 gene expression in cerebral ECs, at least in part by directly
inhibiting ubiquitination-mediated IkappaBalpha degradation and then preventing
NF-kappaB nuclear translocation.
PMID- 27212042
TI - Female, Black, and Unmarried Patients Are More Likely to Present With Metastatic
Bladder Urothelial Carcinoma.
AB - BACKGROUND: Although there are well-established risk factors for the diagnosis of
bladder cancer, there is no consensus regarding risk factors for presentation of
advanced or metastatic disease at diagnosis. The objective of this study was to
identify the demographic and clinical factors associated with metastasis at
diagnosis in patients with bladder urothelial carcinoma. PATIENTS AND METHODS:
Patients diagnosed with bladder urothelial carcinoma from 2004 to 2010 were
identified in the Surveillance, Epidemiology, and End Results (SEER) database (n
= 108,417). The primary outcome was metastatic disease at the time of diagnosis.
Demographic and socioeconomic variables were analyzed, and multivariable logistic
regression models were performed to generate odds ratios (OR) for factors
associated with metastasis at diagnosis. RESULTS: Of patients with bladder
cancer, 3018 (2.8%) had metastasis at diagnosis and 105,399 (97.2%) had
nonmetastatic disease. Patients with metastatic disease at diagnosis were more
frequently female (29.6% vs. 23.6%, P < .001), black (9.4% vs. 5.0%, P < .001),
and unmarried (44.1% vs. 32.5%, P < .001) compared to patients with nonmetastatic
disease. On multivariable analysis, the following characteristics were confirmed
to be independently associated with metastatic disease at diagnosis: female
gender (vs. male, OR 1.21), black race (vs. white, OR 1.71), unmarried (vs.
married, OR 1.46), unemployed (OR 1.02), and foreign-born status (OR 1.01).
CONCLUSION: Female gender, black race, unmarried, unemployed, and foreign-born
status are independently associated with metastasis at diagnosis for bladder
urothelial carcinoma. All clinicians should be aware of these potential health
care disparities in order to involve social services and other support mechanisms
in efforts to improve early care.
PMID- 27212043
TI - Primary Yolk Sac Tumor of the Prostate in a Child: Case Report.
PMID- 27212044
TI - Prostate-Specific Antigen Flare Phenomenon During 223Ra-Dichloride Treatment for
Bone Metastatic Castration-Resistant Prostate Cancer: A Case Report.
PMID- 27212045
TI - Techniques for Exercise Preparation and Management in Adults with Type 1
Diabetes.
AB - OBJECTIVES: People with type 1 diabetes are at risk for early- and late-onset
hypoglycemia following exercise. Reducing this risk may be possible with
strategic modifications in carbohydrate intake and insulin use. We examined the
exercise preparations and management techniques used by individuals with type 1
diabetes before and after physical activity and sought to determine whether use
of differing diabetes technologies affects these health-related behaviours.
METHODS: We studied 502 adults from the Type 1 Diabetes Exchange's online patient
community, Glu, who had completed an online survey focused on diabetes self
management and exercise. RESULTS: Many respondents reported increasing
carbohydrate intake before (79%) and after (66%) exercise as well as decreasing
their meal boluses before (53%) and after (46%) exercise. Most reported adhering
to a target glucose level before starting exercise (77%). Despite these
accommodations, the majority reported low blood glucose (BG) levels after
exercise (70%). The majority of users of both insulin pump therapy (CSII) and
continuous glucose monitoring (CGM) (Combined) reported reducing basal insulin
around exercise (55%), with fewer participants adjusting basal insulin when using
other devices (SMBG only = 20%; CGM = 34%; CSII = 42%; p<0.001). However, CSII
and Combined users reported that exercise makes their BG levels harder to control
(p<0.05) and makes them feel less able to predict their BG levels while
exercising (p<0.001); they show agreement that fear of low BG levels keeps them
from exercising (p<0.01). CONCLUSIONS: These findings highlight the need for
exercise-management strategies tailored to individuals' overall diabetes
management, for despite making exercise-specific adjustments for care, many
people with type 1 diabetes still report significant difficulties with BG control
when it comes to exercise.
PMID- 27212046
TI - Evaluation of Glycemic Control, Lifestyle and Clinical Characteristics in
Patients with Type 2 Diabetes Treated at King Abdullah University Hospital in
Jordan.
AB - OBJECTIVES: The study aimed to assess glycemic control in a Jordanian population
with type 2 diabetes and to explore the sociodemographic, clinical and medication
related factors as well as the anthropometric indexes and laboratory values
associated with and possibly contributing to unsatisfactory glycemic control.
METHODS: We included 237 patients previously diagnosed as having type 2 diabetes.
Data were collected through direct interviews. Sociodemographic and clinical
details were collected using a questionnaire designed for the purpose of the
study, anthropometric measurements were obtained at the time of the interviews,
and laboratory data were extracted from the medical records of King Abdullah
University Hospital. RESULTS: Of the participants, 60.8% were found to have
unsatisfactory glycemic control (glycated hemoglobin levels >=7%). Unsatisfactory
glycemic control was associated with younger ages at diabetes diagnosis, higher
mean weights and higher prevalences of diabetic neuropathy. No relationships were
found among glycemic control and body mass index, waist circumference or central
obesity. Patients with adequate control were more likely to have health insurance
and to have hypothyroidism as a comorbidity. Insulin use and medication plans
containing insulin were associated with unsatisfactory control. Patients with
unsatisfactory control had higher mean levels of low-density lipoproteins and
triglycerides and lower mean levels of high-density lipoproteins. Moreover,
elevated triglycerides (>=150 mg/dL) and dyslipidemia were associated with
unsatisfactory glycemic control. CONCLUSIONS: More than half of the participants
had unsatisfactory glycemic control, highlighting the need for a change in the
approach and strategies used for patients with diabetes in Jordan. Factors
associated with glycemic control that were found in this study should be further
studied and used in the prevention and management of diabetes.
PMID- 27212047
TI - Self-Monitoring of Blood Glucose Levels: Evaluating the Impact of a Policy of
Quantity Limits on Test-Strip Use and Costs.
AB - OBJECTIVES: To evaluate the impact of new quantity limits for blood glucose test
strips (BGTS) in August 2013 on utilization patterns and costs in the elderly
population of Ontario, Canada. METHODS: We conducted a population-based, cross
sectional time series analysis of all individuals 65 years of age and older who
received publically funded BGTSs between August 1, 2010, and July 31, 2015, in
Ontario, Canada. The number of BGTSs dispensed and the associated costs were
measured for 4 diabetes therapy subgroups-insulin, hypoglycemia-inducing oral
agents, non-hypoglycemia-inducing oral agents, and no drug therapy-each month
during the study period. We used interventional autoregressive integrated moving
average (ARIMA) models to assess the impact of Ontario's policy change on test
strip use and costs. RESULTS: In the course of the study period, 657,338,177 test
strips were dispensed to elderly patients in Ontario, at a total cost of
CAN$482.3 million. Introduction of quantity limits was associated with
significant reductions in the number of monthly strips dispensed and the
associated costs (p<0.0001). In the year following the policy's implementation,
test strip use decreased by 22.2% compared with the prior year (from 145,232,024
test strips to 113,007,795 test strips, a net decrease of 32,224,229 strips),
resulting in a 22.5% reduction in costs (from $106.5 million to $82.6 million, a
net cost reduction of approximately $24 million). CONCLUSIONS: The introduction
of quantity limits, aligned with guidance from the Canadian Diabetes Association,
led to immediate significant reductions in BGTS dispensing and costs. More
research is needed to assess the impact of this policy on patient outcomes.
PMID- 27212048
TI - Capsulotomy Size Affects Hip Joint Kinematic Stability.
AB - PURPOSE: To evaluate the effect of capsulotomy size and subsequent repair on the
biomechanical stability of hip joint kinematics through external rotation of a
cadaveric hip in neutral flexion. METHODS: Eight fresh-frozen cadaveric hip
specimens were used in this study. Each hip was tested under torsional loads of 6
N.m applied by a servohydraulic frame and transmitted by a pulley system. The
test conditions were (1) neutral flexion with the capsule intact, (2) neutral
flexion with a 4-cm interportal capsulotomy, (3) neutral flexion with a 6-cm
capsulotomy, and (4) neutral flexion with capsulotomy repair. Soft tissue was
retained during all interventions. Measures indicating joint kinematics (range of
motion [ROM], hysteresis area [HA], and neutral zone [NZ]) were obtained for each
condition. RESULTS: For all hip specimens, the average ROM, HA, and NZ were
calculated relative to the intact capsular state (100%) and expressed in terms of
percentage (+/- SD). The findings for ROM were as follows: intact, 100%; 4 cm,
107.42% +/- 5.69%; 6 cm, 113.40% +/- 7.92%; and repair, 99.78% +/- 3.77%. The
findings for HA were as follows: intact, 100%; 4 cm, 108.30% +/- 9.30%; 6 cm,
115.30% +/- 13.92%; and repair, 99.47% +/- 4.12%. The findings for NZ were as
follows: intact, 100%; 4 cm, 139.61% +/- 62.35%; 6 cm, 169.25% +/- 78.19%; and
repair, 132.03% +/- 64.38%. Statistically significant differences in ROM existed
between the intact and 4-cm conditions (P = .039), the intact and 6-cm conditions
(P < .0001), the 4-cm and repair conditions (P = .033), and the 6-cm and repair
conditions (P < .0001). There was no statistically significant difference between
the intact and repair conditions (P > .99) or between the 4- and 6-cm conditions
(P = .126). CONCLUSIONS: Under laboratory-based conditions, larger-sized
capsulotomies were accompanied by increases in all 3 measures of joint mobility:
ROM, HA, and NZ at time zero. Complete capsular closure effectively restored
these measures when compared with the intact condition. CLINICAL RELEVANCE:
Cadaveric models consisting of the hip joint with surrounding soft tissue were
used under laboratory testing conditions to investigate potential iatrogenic
joint instability resulting from expansive capsulotomies, showing that complete
capsular closure leads to reconstitution of original joint stability properties
at time zero.
PMID- 27212049
TI - Effects of Pamidronate on Dental Enamel Formation Assessed by Light Microscopy,
Energy-Dispersive X-Ray Analysis, Scanning Electron Microscopy, and Microhardness
Testing.
AB - The aim of the present work was to investigate birefringence and morphology of
the secretory-stage enamel organic extracellular matrix (EOECM), and structural
and mechanical properties of mature enamel of upper incisors from adult rats that
had been treated with pamidronate disodium (0.5 mg/kg/week for 56 days), using
transmitted polarizing and bright-field light microscopies (TPLM and BFLM),
energy-dispersive X-ray (EDX) analysis, scanning electron microscopy (SEM) and
microhardness testing. BFLM showed no morphological changes of the EOECM in
pamidronate and control groups, but TPLM revealed a statistically significant
reduction in optical retardation values of birefringence brightness of
pamidronate-treated rats when compared with control animals (p0.05). The present
study indicates that pamidronate can affect birefringence of the secretory-stage
EOECM, which does not seem to be associated with significant changes in
morphological and/or mechanical properties of mature enamel.
PMID- 27212051
TI - Patient-derived Tumor Models for Diffuse Intrinsic Pontine Gliomas.
AB - BACKGROUND: Diffuse intrinsic pontine gliomas represent a unique subtype of
primary brain tumors occuring in a specific location and age. Their growth
demonstrates early invasion and, following diagnosis, rapid growth not responsive
to common therapies. Until recently, the genetic and cellular basis of these
tumors was unknown. Genetic evidence implicates mutations in the histone genes in
the origin of these tumors. METHODS: Surgical biopsies performed on selected
patients have resulted in the establishment of anatomically accurate mouse models
that have been used to examine patterns of growth and response to new therapeutic
agents. RESULTS: Human derived pontine glioma models recapitulate the invasive
patterns of growth. The grade of the original tumor affects the latency of tumor
growth after implantation. CONCLUSION: The use of human-derived xenograft models
allows for improved pre-clinical testing of new therapeutic targets in a tumor-
and organ-specific manner.
PMID- 27212050
TI - Neuroretinal Apoptosis as a Vascular Dysfunction in Diabetic Patients.
AB - BACKGROUND: Diabetic retinopathy (DR) is an important complication of diabetes
and is considered one of the main causes of blindness in moderate-income and
highly-developed countries. As it is a major socioeconomic problem, defining all
mechanisms that may lead to DR development is of great importance. In the 21st
century diabetic lesions occurring in the retina are well known. However what
kind of retinal neuronal damage occurs in the course of diabetes remains unclear.
RESULTS: In this manuscript we present the most recent knowledge about suggested
mechanisms of diabetic retinopathy, including neuroretinal apoptosis. Getting a
deep insight into the role of apoptosis and degeneration of retinal neurons
leading to DR will have vital consequences. CONCLUSION: The findings of this
review confirm that it is very likely that in the nearest future diabetic
retinopathy treatment will be based on administration of neuroprotective agents.
The implementation of neuroprotective drugs may slow down retinopathy
progression, making it possible to avoid the currently used therapeutic
procedures, such as laser photocoagulation, intravitreous injections or posterior
vitrectomy, which are not only risky for the healthy part of the retina but also
relatively expensive.
PMID- 27212053
TI - Exploring International Views on Key Concepts for Mass-gathering Health through a
Delphi Process.
AB - Introduction The science underpinning mass-gathering health (MGH) is developing
rapidly. However, MGH terminology and concepts are not yet well defined or used
consistently. These variations can complicate comparisons across settings. There
is, therefore, a need to develop consensus and standardize concepts and data
points to support the development of a robust MGH evidence-base for governments,
event planners, responders, and researchers. This project explored the views and
sought consensus of international MGH experts on previously published concepts
around MGH to inform the development of a transnational minimum data set (MDS)
with an accompanying data dictionary (DD). Report A two-round Delphi process was
undertaken involving volunteers from the World Health Organization (WHO) Virtual
Interdisciplinary Advisory Group (VIAG) on Mass Gatherings (MGs) and the MG
section of the World Association for Disaster and Emergency Medicine (WADEM). The
first online survey tested agreement on six key concepts: (1) using the term "MG
HEALTH;" (2) purposes of the proposed MDS and DD; (3) event phases; (4) two MG
population models; (5) a MGH conceptual diagram; and (6) a data matrix for
organizing MGH data elements. Consensus was defined as >=80% agreement. Round 2
presented five refined MGH principles based on Round 1 input that was analyzed
using descriptive statistics and content analysis. Thirty-eight participants
started Round 1 with 36 completing the survey and 24 (65% of 36) completing Round
2. Agreement was reached on: the term "MGH" (n=35/38; 92%); the stated purposes
for the MDS (n=38/38; 100%); the two MG population models (n=31/36; 86% and
n=30/36; 83%, respectively); and the event phases (n=34/36; 94%). Consensus was
not achieved on the overall conceptual MGH diagram (n=25/37; 67%) and the
proposed matrix to organize data elements (n=28/37; 77%). In Round 2, agreement
was reached on all the proposed principles and revisions, except on the MGH
diagram (n=18/24; 75%). Discussion/Conclusions Event health stakeholders require
sound data upon which to build a robust MGH evidence-base. The move towards
standardization of data points and/or reporting items of interest will strengthen
the development of such an evidence-base from which governments, researchers,
clinicians, and event planners could benefit. There is substantial agreement on
some broad concepts underlying MGH amongst an international group of MG experts.
Refinement is needed regarding an overall conceptual diagram and proposed matrix
for organizing data elements. Steenkamp M , Hutton AE , Ranse JC , Lund A ,
Turris SA , Bowles R , Arbuthnott K , Arbon PA . Exploring international views on
key concepts for mass-gathering health through a Delphi process. Prehosp Disaster
Med. 2016;31(4):443-453.
PMID- 27212052
TI - Molecular and physiological responses to titanium dioxide and cerium oxide
nanoparticles in Arabidopsis.
AB - Changes in tissue transcriptomes and productivity of Arabidopsis thaliana were
investigated during exposure of plants to 2 widely used engineered metal oxide
nanoparticles, titanium dioxide (nano-titania) and cerium dioxide (nano-ceria).
Microarray analyses confirmed that exposure to either nanoparticle altered the
transcriptomes of rosette leaves and roots, with comparatively larger numbers of
differentially expressed genes found under nano-titania exposure. Nano-titania
induced more differentially expressed genes in rosette leaves, whereas roots had
more differentially expressed genes under nano-ceria exposure. MapMan analyses
indicated that although nano-titania up-regulated overall metabolism in both
tissues, metabolic processes under nano-ceria remained mostly unchanged. Gene
enrichment analysis indicated that both nanoparticles mainly enriched ontology
groups such as responses to stress (abiotic and biotic), and defense responses
(pathogens), and responses to endogenous stimuli (hormones). Nano-titania
specifically induced genes associated with photosynthesis, whereas nano-ceria
induced expression of genes related to activating transcription factors, most
notably those belonging to the ethylene responsive element binding protein
family. Interestingly, there were also increased numbers of rosette leaves and
plant biomass under nano-ceria exposure, but not under nano-titania. Other
transcriptomic responses did not clearly relate to responses observed at the
organism level, possibly because of functional and genomic redundancy in
Arabidopsis, which may mask expression of morphological changes, despite
discernable responses at the transcriptome level. In addition, transcriptomic
changes often relate to transgenerational phenotypic development, and hence it
may be productive to direct further experimental work to integrate high
throughput genomic results with longer term changes in subsequent generations.
Environ Toxicol Chem 2017;36:71-82. Published 2016 Wiley Periodicals Inc. on
behalf of SETAC. This article is a US government work and, as such, is in the
public domain in the United States of America.
PMID- 27212054
TI - Pharmacokinetic indices for cefovecin after single-dose administration to adult
sea otters (Enhydra lutris).
AB - Seven sea otters received a single subcutaneous dose of cefovecin at 8 mg/kg body
weight. Plasma samples were collected at predetermined time points and assayed
for total cefovecin concentrations using ultra-performance liquid chromatography
and tandem mass spectrometry. The mean (+/-SD) noncompartmental pharmacokinetic
indices were as follows: CMax (obs) 70.6 +/- 14.6 MUg/mL, TMax (obs) 2.9 +/- 1.5
h, elimination rate constant (kel ) 0.017 +/- 0.002/h, elimination half-life
(t1/2kel) 41.6 +/- 4.7 h, area under the plasma concentration-vs.-time curve to
last sample (AUClast) 3438.7 +/- 437.7 h.MUg/mL and AUC extrapolated to infinity
(AUC0->infinity ) 3447.8 +/- 439.0 h.MUg/mL. The minimum inhibitory
concentrations (MIC) for select isolates were determined and used to suggest
possible dosing intervals of 10 days, 5 days, and 2.5 days for gram-positive,
gram-negative, and Vibrio parahaemolyticus bacterial species, respectively. This
study found a single subcutaneous dose of cefovecin sodium in sea otters to be
clinically safe and a viable option for long-acting antimicrobial therapy.
PMID- 27212055
TI - [Identification of Alternaria botrytis (Preuss) Woudenberg & Crous].
PMID- 27212057
TI - On the relationship between auditory cognition and speech intelligibility in
cochlear implant users: An ERP study.
AB - There is a high degree of variability in speech intelligibility outcomes across
cochlear-implant (CI) users. To better understand how auditory cognition affects
speech intelligibility with the CI, we performed an electroencephalography study
in which we examined the relationship between central auditory processing,
cognitive abilities, and speech intelligibility. Postlingually deafened CI users
(N=13) and matched normal-hearing (NH) listeners (N=13) performed an oddball task
with words presented in different background conditions (quiet, stationary noise,
modulated noise). Participants had to categorize words as living (targets) or non
living entities (standards). We also assessed participants' working memory (WM)
capacity and verbal abilities. For the oddball task, we found lower hit rates and
prolonged response times in CI users when compared with NH listeners. Noise
related prolongation of the N1 amplitude was found for all participants. Further,
we observed group-specific modulation effects of event-related potentials (ERPs)
as a function of background noise. While NH listeners showed stronger noise
related modulation of the N1 latency, CI users revealed enhanced modulation
effects of the N2/N4 latency. In general, higher-order processing (N2/N4, P3) was
prolonged in CI users in all background conditions when compared with NH
listeners. Longer N2/N4 latency in CI users suggests that these individuals have
difficulties to map acoustic-phonetic features to lexical representations. These
difficulties seem to be increased for speech-in-noise conditions when compared
with speech in quiet background. Correlation analyses showed that shorter ERP
latencies were related to enhanced speech intelligibility (N1, N2/N4), better
lexical fluency (N1), and lower ratings of listening effort (N2/N4) in CI users.
In sum, our findings suggest that CI users and NH listeners differ with regards
to both the sensory and the higher-order processing of speech in quiet as well as
in noisy background conditions. Our results also revealed that verbal abilities
are related to speech processing and speech intelligibility in CI users,
confirming the view that auditory cognition plays an important role for CI
outcome. We conclude that differences in auditory-cognitive processing contribute
to the variability in speech performance outcomes observed in CI users.
PMID- 27212056
TI - The pace of vocabulary growth during preschool predicts cortical structure at
school age.
AB - Children vary greatly in their vocabulary development during preschool years.
Importantly, the pace of this early vocabulary growth predicts vocabulary size at
school entrance. Despite its importance for later academic success, not much is
known about the relation between individual differences in early vocabulary
development and later brain structure and function. Here we examined the
association between vocabulary growth in children, as estimated from longitudinal
measurements from 14 to 58 months, and individual differences in brain structure
measured in 3rd and 4th grade (8-10 years old). Our results show that the pace of
vocabulary growth uniquely predicts cortical thickness in the left supramarginal
gyrus. Probabilistic tractography revealed that this region is directly connected
to the inferior frontal gyrus (pars opercularis) and the ventral premotor cortex,
via what is most probably the superior longitudinal fasciculus III. Our findings
demonstrate, for the first time, the relation between the pace of vocabulary
learning in children and a specific change in the structure of the cerebral
cortex, specifically, cortical thickness in the left supramarginal gyrus. They
also highlight the fact that differences in the pace of vocabulary growth are
associated with the dorsal language stream, which is thought to support speech
perception and articulation.
PMID- 27212058
TI - Hypnotically induced somatosensory alterations: Toward a neurophysiological
understanding of hypnotic anaesthesia.
AB - Whereas numerous studies have investigated hypnotic analgesia, few have
investigated hypnotic anaesthesia. Using magnetoencephalography (MEG) we
investigated and localized brain responses (event-related fields and oscillatory
activity) during sensory processing under hypnotic anaesthesia. Nineteen right
handed neurotypical individuals with moderate-to-high hypnotizability received
100 vibrotactile stimuli to right and left index fingers in a random sequence.
Thereafter a hypnotic state was induced, in which anaesthetic suggestion was
applied to the left hand only. Once anaesthetic suggestion was achieved, a
second, identical, session of vibrotactile stimuli was commenced. We found
greater brain activity in response to the stimuli delivered to the left
(attenuated) hand before hypnotic anaesthesia, than under hypnotic anaesthesia,
in both the beta and alpha bands. In the beta band, the reduction of activity
under hypnotic anaesthesia was found around 214-413ms post-stimuli and was
located mainly in the right insula. In the alpha band, it was found around 253
500ms post-stimuli and was located mainly in the left inferior frontal gyrus. In
a second experiment, attention modulation per se was ruled out as the underlying
cause of the effects found. These findings may suggest that the brain mechanism
underlying hypnotic anaesthesia involves top-down somatosensory inhibition and,
therefore, a reduction of somatosensory awareness. The result of this mechanism
is a mental state in which individuals lose bodily sensation.
PMID- 27212061
TI - sQuiz your knowledge: erythematous nodule on the leg? Use your dermoscope.
PMID- 27212059
TI - Increased functional connectivity between cortical hand areas and praxis network
associated with training-related improvements in non-dominant hand precision
drawing.
AB - Chronic forced use of the non-dominant left hand yields substantial improvements
in the precision and quality of writing and drawing. These changes may arise from
increased access by the non-dominant (right) hemisphere to dominant (left)
hemisphere mechanisms specialized for end-point precision control. To evaluate
this prediction, 22 healthy right-handed adults underwent resting state
functional connectivity (FC) MRI scans before and after 10 days of training on a
left hand precision drawing task. 89% of participants significantly improved left
hand speed, accuracy, and smoothness. Smoothness gains were specific to the
trained left hand and persistent: 6 months after training, 71% of participants
exhibited above-baseline movement smoothness. Contrary to expectations, we found
no evidence of increased FC between right and left hemisphere hand areas.
Instead, training-related improvements in left hand movement smoothness were
associated with increased FC between both sensorimotor hand areas and a left
lateralized parieto-prefrontal network implicated in manual praxis. By contrast,
skill retention at 6 months was predicted by changes including decreased FC
between the representation of the trained left hand and bilateral sensorimotor,
parietal, and premotor cortices, possibly reflecting consolidation and a
disengagement of early learning processes. These data indicate that modest
amounts of training (<200min total) can induce substantial, persistent
improvements the precision and quality of non-dominant hand control in healthy
adults, supported by strengthened connectivity between bilateral sensorimotor
hand areas and a left-lateralized parieto-prefrontal praxis network.
PMID- 27212060
TI - Different prognostic value of circulating and disseminated tumor cells in primary
breast cancer: Influence of bisphosphonate intake?
AB - Disseminated tumor cells (DTCs) in the bone marrow (BM) and circulating tumor
cells (CTCs) in blood of breast cancer patients (pts) are known to correlate with
worse outcome. Here we demonstrate a different prognostic value of DTCs and CTCs
and explain these findings by early clodronate intake. CTCs (n = 376 pts) were
determined using the AdnaTest BreastCancer (Qiagen Hannover GmbH, Germany) and
DTCs (n = 525 pts) were analyzed by immunocytochemistry using the pan-cytokeratin
antibody A45-B/B3. Clodronate intake was recommended in case of DTC-positivity.
CTCs were detected in 22% and DTCs in 40% of the pts, respectively. DTCs were
significantly associated with nodal status (p = 0.03), grading (p = 0.01),
lymphangiosis (p = 0.03), PR status (p = 0.02) and clodronate intake (p <
0.0001), no significant associations were demonstrated for CTCs. CTCs
significantly correlated with reduced PFS (p = 0.0227) and negative prognostic
relevance was predominantly related to G2 tumors (p = 0.044), the lobular (p =
0.024) and the triple-negative subtype (p = 0.005), HR-negative pts (p = 0.001),
postmenopausal women (p = 0.013) and patients who had received radiation therapy
(p = 0.018). No prognostic significance was found for DTCs. Therefore early
clodronate intake can improve prognosis of breast cancer patients and CTCs might
be a high risk indicator for the onset of metastasis not limited to bone
metastasis.
PMID- 27212062
TI - Phenomic prediction of maize hybrids.
AB - Phenomic experiments are carried out in large-scale plant phenotyping facilities
that acquire a large number of pictures of hundreds of plants simultaneously.
With the aid of automated image processing, the data are converted into genotype
feature matrices that cover many consecutive days of development. Here, we
explore the possibility of predicting the biomass of the fully grown plant from
early developmental stage image-derived features. We performed phenomic
experiments on 195 inbred and 382 hybrid maizes varieties and followed their
progress from 16 days after sowing (DAS) to 48 DAS with 129 image-derived
features. By applying sparse regression methods, we show that 73% of the variance
in hybrid fresh weight of fully-grown plants is explained by about 20 features at
the three-leaf-stage or earlier. Dry weight prediction explained over 90% of the
variance. When phenomic features of parental inbred lines were used as predictors
of hybrid biomass, the proportion of variance explained was 42 and 45%, for fresh
weight and dry weight models consisting of 35 and 36 features, respectively.
These models were very robust, showing only a small amount of variation in
performance over the time scale of the experiment. We also examined mid-parent
heterosis in phenomic features. Feature heterosis displayed a large degree of
variance which resulted in prediction performance that was less robust than
models of either parental or hybrid predictors. Our results show that phenomic
prediction is a viable alternative to genomic and metabolic prediction of hybrid
performance. In particular, the utility of early-stage parental lines is very
encouraging.
PMID- 27212063
TI - Identification of methylated genes in salivary gland adenoid cystic carcinoma
xenografts using global demethylation and methylation microarray screening.
AB - Salivary gland adenoid cystic carcinoma (ACC) is a rare head and neck malignancy
without molecular biomarkers that can be used to predict the chemotherapeutic
response or prognosis of ACC. The regulation of gene expression of oncogenes and
tumor suppressor genes (TSGs) through DNA promoter methylation may play a role in
the carcinogenesis of ACC. To identify differentially methylated genes in ACC, a
global demethylating agent, 5-aza-2'-deoxycytidine (5-AZA) was utilized to unmask
putative TSG silencing in ACC xenograft models in mice. Fresh xenografts were
passaged, implanted in triplicate in mice that were treated with 5-AZA daily for
28 days. These xenografts were then evaluated for genome-wide DNA methylation
patterns using the Illumina Infinium HumanMethylation27 BeadChip array.
Validation of the 32 candidate genes was performed by bisulfite sequencing (BS
seq) in a separate cohort of 6 ACC primary tumors and 6 normal control salivary
gland tissues. Hypermethylation was identified in the HCN2 gene promoter in all 6
control tissues, but hypomethylation was found in all 6 ACC tumor tissues.
Quantitative validation of HCN2 promoter methylation level in the region detected
by BS-seq was performed in a larger cohort of primary tumors (n=32) confirming
significant HCN2 hypomethylation in ACCs compared with normal samples (n=10;
p=0.04). HCN2 immunohistochemical staining was performed on an ACC tissue
microarray. HCN2 staining intensity and H-score, but not percentage of the
positively stained cells, were significantly stronger in normal tissues than
those of ACC tissues. With our novel screening and sequencing methods, we
identified several gene candidates that were methylated. The most significant of
these genes, HCN2, was actually hypomethylated in tumors. However, promoter
methylation status does not appear to be a major determinant of HCN2 expression
in normal and ACC tissues. HCN2 hypomethylation is a biomarker of ACC and may
play an important role in the carcinogenesis of ACC.
PMID- 27212064
TI - An essential role for the baseplate protein Gp45 in phage adsorption to
Staphylococcus aureus.
AB - Despite the importance of phages in driving horizontal gene transfer (HGT) among
pathogenic bacteria, the underlying molecular mechanisms mediating phage
adsorption to S. aureus are still unclear. Phage phi11 is a siphovirus with a
high transducing efficiency. Here, we show that the tail protein Gp45 localized
within the phi11 baseplate. Phage phi11 was efficiently neutralized by anti-Gp45
serum, and its adsorption to host cells was inhibited by recombinant Gp45 in a
dose-dependent manner. Flow cytometry analysis demonstrated that biotin-labelled
Gp45 efficiently stained the wild-type S. aureus cell but not the double knockout
mutant DeltatarM/S, which lacks both alpha- and beta-O-GlcNAc residues on its
wall teichoic acids (WTAs). Additionally, adsorption assays indicate that GlcNAc
residues on WTAs and O-acetyl groups at the 6-position of muramic acid residues
in peptidoglycan are essential components of the phi11 receptor. The elucidation
of Gp45-involved molecular interactions not only broadens our understanding of
siphovirus-mediated HGT, but also lays the groundwork for the development of
sensitive affinity-based diagnostics and therapeutics for S. aureus infection.
PMID- 27212065
TI - Inhibitory and inductive effects of Phikud Navakot extract on human cytochrome
P450.
AB - Effects of the hydroethanolic extract of Phikud Navakot (PN), a Thai traditional
remedy, on human cytochrome P450s (CYPs) were investigated in vitro. Selective
substrates of CYPs were used to investigate the effects and kinetics of PN on CYP
inhibition using human liver microsomes. Primary human hepatocytes were used to
assess the inductive effects of PN on CYP enzyme activities and protein
expressions. The results showed that PN inhibited the activities of CYP1A2,
CYP2C9, CYP2D6, and CYP3A4 with half maximal inhibitory concentration (IC50)
values of 13, 62, 67, and 88 MUg/mL, respectively. Meanwhile, it had no effect on
the activities of CYP2C19 and CYP2E1 (IC50 > 1 mg/mL). PN exhibited competitive
inhibition of CYP1A2 (Ki = 34 MUg/mL), mixed type inhibition of CYP2C9 and CYP2D6
(Ki = 80 and 12 MUg/mL, respectively), and uncompetitive inhibition of CYP3A4 (Ki
= 150 MUg/mL). PN did not have an inductive effect on CYP1A2, CYP2C9, CYP2C19 and
CYP3A4 in primary human hepatocytes, which is an advantageous characteristic of
the extract. However the extract may cause herb-drug interactions via inhibition
of CYP1A2, CYP2C9, CYP2D6 and CYP3A4, and precautions should be taken when PN is
coadministered with drugs that are metabolized by these CYP enzymes.
PMID- 27212066
TI - Conformational analysis of 2-substituted piperazines.
AB - The unusual activity differences of carbon linked versus oxygen linked 2
substituted piperazines as alpha7 nicotinic acetylcholine receptor agonists led
to a conformational study of several examples. The conformational preferences of
which are absent from the literature. We report the first study and explanation
of the conformational preference of 2-substiturted piperazines and show an
example of how this preference controls binding in a pharmaceutically relevant
case. In all cases the axial conformation for these 1-acyl and 1 aryl 2
substituted piperazines was found to be preferred. For the ether linked
compounds, the axial conformation was found to be further stabilized by an
intramolecular hydrogen bond. The axial orientation also places the basic and
pyridyl nitrogens into a special orientation that closely mimics nicotine.
Molecular modeling studies confirm that the R enantiomers of the compounds can
bind to the alpha7 nicotinic acetylcholine receptor with the basic and pyridyl
nitrogens colocalized with their counterparts in Epibatidine.
PMID- 27212067
TI - 1,4-Diphenalkylpiperidines: A new scaffold for the design of potent inhibitors of
the vesicular monoamine transporter-2.
AB - A series of 1,4-diphenalkylpiperidine analogs were synthesized and evaluated for
their affinity and inhibitory potency at the [(3)H]dihydrotetrabenazine (DTBZ)
binding site and [(3)H]dopamine (DA) uptake site on the vesicular monoamine
transporter-2 (VMAT2). Results revealed that translocation of the phenethyl side
chains of lobelane from C2 and C6 to C1 and C4 around the central piperidine ring
slightly reduces affinity and inhibitory potency at VMAT2 with respect to
lobelane. However, methoxy and fluoro-substitution of either phenyl ring of these
1,4-diphenethyl analogs afforded VMAT2 inhibition comparable or higher (5-fold)
affinity at the DTBZ binding and DA uptake sites relative to lobelane, whereas
replacement of the 4-phenethyl moiety in these analogs with a 4-phenmethyl moiety
markedly reduced affinity for the DTBZ binding and DA uptake sites by 3- and 5
fold, respectively. Among the twenty five 1,4-diphenethylpiperidine analogs
evaluated, compounds containing a 4-(2-methoxyphenethyl) moiety exhibited the
most potent inhibition of DTBZ binding and vesicular DA uptake. From this
subgroup, analogs 8h, 8j and 8m exhibited Ki values of 9.3nM, 13nM and 13nM,
respectively, for inhibition of [(3)H]DA uptake by VMAT2, and represent some of
the most potent inhibitors of VMAT2 function reported thus far.
PMID- 27212068
TI - Synthesis and biological activity of pyrrole analogues of combretastatin A-4.
AB - A series of pyrrole analogues of combretastatin (CA-4) were synthesized and
tested for their anti-proliferative activity. The highly diastereoselective acyl
Claisen rearrangement was used to provide 2,3-syn disubstituted morpholine amides
which were used as precursors for the various analogues. This synthesis allows
for the preparation of 1,2- and 2,3-diaryl-1H-pyrroles which are both
geometrically similar to CA-4. These pyrrolic analogues were tested for their
anti-proliferative activity against two human cell lines, K562 and MDA-MB-231
with 2,3-diaryl-1H-pyrrole 35 exhibiting the most potent activity with IC50 value
of 0.07MUM against MDA-MB-231 cell line.
PMID- 27212069
TI - Synthesis and decreasing Abeta content evaluation of arctigenin-4-yl carbamate
derivatives.
AB - A series of arctigenin-4-yl carbamate derivatives were synthesized and evaluated
for potency in reducing beta-amyloid (Abeta) content in HEK293-APPswe cells. Most
of the arctigenin-4-yl aralkyl or aryl carbamate derivatives showed improved
potency in reducing Abeta content. Among the synthesized compounds, arctigenin-4
yl (3-chlorophenyl)carbamate (20) exhibited the strongest potency with 78.7%
Abeta content reduction at 20MUM. Furthermore, the effect of arctigenin-4-yl (4
chlorophenyl)carbamate (19) and arctigenin-4-yl (3-chlorophenyl)carbamate (20) on
lowing Abeta content was better than arctigenin under the concentrations of 1, 10
and 20MUM.
PMID- 27212070
TI - Selective anti-malarial minor groove binders.
AB - A set of 31 DNA minor groove binders (MGBs) with diverse structural features
relating to both physical chemical properties and DNA binding sequence preference
has been evaluated as potential drugs to treat Plasmodium falciparum infections
using a chloroquine sensitive strain (3D7) and a chloroquine resistant strain
(Dd2) in comparison with human embryonic kidney (HEK) cells as an indicator of
mammalian cell toxicity. MGBs with an alkene link between the two N-terminal
building blocks were demonstrated to be most active with IC50 values in the range
30-500nM and therapeutic ratios in the range 10->500. Many active compounds
contained a C-alkylthiazole building block. Active compounds with logD7.4 values
of approximately 3 or 7 were identified. Importantly the MGBs tested were
essentially equally effective against both chloroquine sensitive and resistant
strains. The results show that suitably designed MGBs have the potential for
development into clinical candidates for antimalarial drugs effective against
resistant strains of Plasmodia.
PMID- 27212071
TI - Food insecurity and social protection in Europe: Quasi-natural experiment of
Europe's great recessions 2004-2012.
AB - Food insecurity rose sharply in Europe after 2009, but marked variation exists
across countries and over time. We test whether social protection programs
protected people from food insecurity arising from economic hardship across
Europe. Data on household food insecurity covering 21 EU countries from 2004 to
2012 were taken from Eurostat 2015 edition and the Organisation for Economic
Cooperation and Development. Cross-national first difference models were used to
evaluate how rising unemployment and declining wages related to changes in the
prevalence of food insecurity and the role of social protection expenditure in
modifying observed effects. Economic hardship was strongly associated with
greater food insecurity. Each 1 percentage point rise in unemployment rates was
associated with an estimated 0.29 percentage point rise in food insecurity (95%
CI: 0.10 to 0.49). Similarly, each $1000 decreases in annual average wages was
associated with a 0.62 percentage point increase in food insecurity (95% CI: 0.27
to 0.97). Greater social protection spending mitigated these risks. Each $1000
spent per capita reduced the associations of rising unemployment and declining
wages with food insecurity by 0.05 percentage points (95% CI: -0.10 to -0.0007)
and 0.10 (95% CI: -0.18 to -0.006), respectively. The estimated effects of
economic hardship on food insecurity became insignificant when countries spent
more than $10,000 per capita on social protection. Rising unemployment and
falling wages are strong statistical determinants of increasing food insecurity,
but at high levels of social protection, these associations could be prevented.
PMID- 27212072
TI - Total shoulder arthroplasty for glenohumeral arthritis associated with posterior
glenoid bone loss: results of an all-polyethylene, posteriorly augmented glenoid
component.
AB - BACKGROUND: Posterior glenoid bone loss is commonly encountered in total shoulder
arthroplasty (TSA). The purpose of our study is to report the clinical and
radiographic findings of patients with a minimum of 2 years' follow-up treated
with an all-polyethylene, augmented glenoid component. METHODS: Twenty-two
shoulders with posterior glenoid bone loss were treated by a single surgeon. All
underwent primary TSA using a posteriorly augmented, all-polyethylene, stepped
glenoid component. Outcome data included visual analog scale, Western Ontario
Osteoarthritis of the Shoulder index, and Short Form 36 scores. Radiographic
analysis was performed to evaluate bone-cement interface lucency, implant
seating, and osseous integration of the central peg. RESULTS: The mean follow-up
period was 36 months. Average preoperative retroversion measured with computed
tomography scan was 23.5 degrees . In addition to statistically significant
increases in forward flexion and external rotation, the visual analog scale
score, Western Ontario Osteoarthritis of the Shoulder score, and Short Form 36
physical component summary score all improved significantly (P < .001). Twelve
shoulders had osseous integration between the central-peg flanges, 6 had bone
adjacent to the central-peg flanges but without identifiable osseous integration,
and 1 showed osteolysis. The mean Lazarus score was 0.5. All glenoids had perfect
seating scores. Two patients sustained a total of 3 episodes of prosthetic
instability. CONCLUSIONS: Early results of a posteriorly augmented, all
polyethylene, stepped prosthetic glenoid component to address posterior glenoid
loss in TSA are encouraging. Continued evaluation will determine prosthetic
longevity and maintained clinical improvement.
PMID- 27212073
TI - Interlayer coupling in two-dimensional titanium carbide MXenes.
AB - Success in the exfoliation of the stacked T-functionalized titanium carbide
MXenes Tin+1CnT2 (T = OH, O, and F) would potentially extend their application
scope, which requires an understanding of the nature of interlayer coupling.
Here, we report for the first time the intrinsic interlayer coupling in pristine
MXenes on the basis of first-principles calculations by taking long-range
interaction into account. It is demonstrated that the functional terminations
(OH, O, and F) weaken the interlayer coupling as compared with the bare
counterparts, whereas the coupling is significantly stronger than van der Waals
bonding as specified by the fact that the binding energies of stacked Tin+1CnT2
are 2-6 times those of well-known graphite and MoS2 with weak interlayer
coupling. With binding energies in the range of 1-3.3 J m(-2), the successful
exfoliation of stacked Tin+1CnT2 into monolayers invariably requires further
weakening of the interlayer coupling.
PMID- 27212074
TI - High Plasma Pentraxin 3 Levels in Diabetic Polyneuropathy Patients with
Nociceptive Pain.
AB - Diabetic polyneuropathy is the most common neurologic complication of diabetes
mellitus. Underlying mechanisms of diabetic polyneuropathy are related to various
metabolic and inflammatory pathways. Pentraxin 3 (PTX3) is an acute phase protein
that is produced locally at the inflammatory sites by several cell types.
Thioredoxin binding protein 2 (TBP2) is a thioredoxin regulator involved in
intracellular energy pathways and cell growth. We measured the plasma levels of
PTX3 and TBP2 in type 2 diabetic patients (n = 27) with pain complaints and
compared their levels with those of healthy age- and sex-matched subjects (n =
24). Moreover, the diabetic patients were divided into two groups using the Leeds
Assessment of Neuropathic Symptoms and Signs (LANSS) pain scale: patients with
nociceptive pain that is caused by tissue damage and patients with neuropathic
pain that is caused by nerve damage. Patients with LANSS scores of < 12 were
considered to have nocicceptive pain (n = 15), while patients with LANSS scores
of >= 12 were considered to have neuropathic pain (n = 12). We found that PTX3
levels were significantly higher in diabetic patients compared to controls (p =
0.03), but there was no significant difference in the TBP2 levels. Importantly,
patients with nociceptive pain had significantly higher PTX3 levels compared to
patients with neuropathic pain (p < 0.05). Thus, plasma PTX3 levels can be
helpful for discrimination of nociceptive pain from neuropathic pain in diabetic
patients. We propose that PTX3 may contribute to the onset of nociceptive pain.
PMID- 27212075
TI - Suppression of Allograft Rejection with Soluble VEGF Receptor 2 Chimeric Protein
in a Mouse Model of Corneal Transplantation.
AB - When a transparent cornea becomes opaque due to infectious diseases, trauma, or
ophthalmic surgery, the impaired cornea is replaced with a donor cornea to
improve visual function. In this corneal transplantation, the graft survival rate
is comparatively high, partly because of lacking vascular and lymphatic vessel in
cornea. However, the transplanted corneas sometimes become opaque if allograft
rejection occurs. Suppression of allograft rejection is critical for favorable
outcomes of corneal transplantation. The essential effects of endogenous
monomeric soluble vascular endothelial growth factor receptors (VEGFRs) 1 and 2
have been reported in corneal angiogenesis and lymphangiogenesis. This study
investigated the effects of dimeric soluble VEGFR2/Fc chimera protein on corneal
allograft rejection for future clinical application. Allogeneic full-thickness
corneal transplantation was performed in C57BL/6 to BALB/c mice. The recipients
were treated by intrastromal injection of soluble VEGFR1/Fc chimera (sR1/Fc
group), soluble VEGFR2/Fc chimera (sR2/Fc group), or human IgG1/Fc protein
(IgG/Fc group) at 0, 7, and 14 days after surgery. Both hemangiogenesis and
lymphangiogenesis were significantly suppressed in the corneas of the sR2/Fc
group compared with the IgG/Fc group. All grafts failed due to corneal wound
rupture in the sR1/Fc group. In the sR2/Fc group, respective donor-derived MHC
class II(+)/CD11c(+) cells and CD11b-positive macrophage infiltration were
reduced in the DLNs and the corneas showing a negative delayed-type
hypersensitivity, compared with the IgG/Fc group. Our findings demonstrate that
soluble VEGFR2/Fc chimera protein efficiently suppresses corneal allo-rejection,
while reducing hemangiogenesis and lymhangiogenesis, and immune-competent cell
trafficking and may be a powerful tool for corneal allograft survival.
PMID- 27212077
TI - More data are needed to understand the effects of race and troponin elevation
after thrombolysis-author response.
PMID- 27212076
TI - Indoor radiocaesium contamination in residential houses within evacuation areas
after the Fukushima nuclear accident.
AB - Indoor contaminants were investigated from July 2013 to January 2015 within
ninety-five residential houses in five evacuation zones, Iitate village, Odaka
district, and the towns of Futaba, Okuma, and Tomioka. A dry smear test was
applied to the surface of materials and structures in rooms and in the roof-space
of houses. We found that (134)Cs and (137)Cs were the dominant radionuclides in
indoor surface contamination, and there was a distance dependence from the
Fukushima Daiichi nuclear power plant (FDNPP). For surface contamination in
Iitate village (29-49 km from the FDNPP), 24.8% of samples exceeded the detection
limit, which is quite a low value, while in Okuma (<3.0 km from the FDNPP), 99.7%
of samples exceeded the detection limit and surface contamination levels exceeded
20 Bq/cm(2) (the value was corrected to March 2011). In residential houses in
Okuma, Futaba, and Tomioka, closer to the FDNPP than those in Odaka district and
Iitate village, surface contamination was inversely proportional to the square of
the distance between a house and the FDNPP. In the houses closest to the FDNPP,
the contribution of surface contamination to the ambient dose equivalent rate was
evaluated to be approximately 0.3 MUSv/h.
PMID- 27212078
TI - Deep learning as a tool for increased accuracy and efficiency of
histopathological diagnosis.
AB - Pathologists face a substantial increase in workload and complexity of
histopathologic cancer diagnosis due to the advent of personalized medicine.
Therefore, diagnostic protocols have to focus equally on efficiency and accuracy.
In this paper we introduce 'deep learning' as a technique to improve the
objectivity and efficiency of histopathologic slide analysis. Through two
examples, prostate cancer identification in biopsy specimens and breast cancer
metastasis detection in sentinel lymph nodes, we show the potential of this new
methodology to reduce the workload for pathologists, while at the same time
increasing objectivity of diagnoses. We found that all slides containing prostate
cancer and micro- and macro-metastases of breast cancer could be identified
automatically while 30-40% of the slides containing benign and normal tissue
could be excluded without the use of any additional immunohistochemical markers
or human intervention. We conclude that 'deep learning' holds great promise to
improve the efficacy of prostate cancer diagnosis and breast cancer staging.
PMID- 27212079
TI - Development of a Zika vaccine.
PMID- 27212080
TI - Metabolic specialisation on preferred prey and constraints in the utilisation of
alternative prey in an ant-eating spider.
AB - Trophic specialists are expected to possess adaptations that increase the
efficiency of handling preferred prey. Such adaptations may constrain the ability
to utilise alternative prey. Here we tested whether the ant-eating spider
Euryopis episinoides possesses metabolic specialisations with increased
efficiency in utilising preferred prey and decreased efficiency in utilising
alternative prey. In addition, we investigated the contribution of genetic
variation via maternal effects. We reared E. episinoides spiders from the first
instar on two different diets, either ants (preferred prey) or fruit flies
(alternative prey). Spider survival rate and increases in body mass were
significantly higher on the ant diet. The total development time did not differ
between diet groups, nor did the number of egg sacs per female or the incubation
period. However, the number of eggs per egg sac and hatching success were higher
on the ant diet. There was a genetic variation in several offspring traits. Our
data support the hypothesis that stenophagous ant-eating E. episinoides have a
metabolic specialisation on ant utilisation indicated by higher efficiency in
utilising ants than fruit flies. While most individuals of E. episinoides were
able to capture fruit flies, only very few spiders were able to develop and
reproduce on a pure fruit fly diet, suggesting the existence of within-species
genetic variation regarding the tolerance to alternative prey.
PMID- 27212081
TI - Artificial Autopolyploidization Modifies the Tricarboxylic Acid Cycle and GABA
Shunt in Arabidopsis thaliana Col-0.
AB - Autopolyploidy is a process whereby the chromosome set is multiplied and it is a
common phenomenon in angiosperms. Autopolyploidy is thought to be an important
evolutionary force that has led to the formation of new plant species. Despite
its relevance, the consequences of autopolyploidy in plant metabolism are poorly
understood. This study compares the metabolic profiles of natural diploids and
artificial autotetraploids of Arabidopsis thaliana Col-0. Different physiological
parameters are compared between diploids and autotetraploids using nuclear
magnetic resonance (NMR), elemental analysis (carbon:nitrogen balance) and
quantitative real-time PCR (qRT-PCR). The main difference between diploid and
autotetraploid A. thaliana Col-0 is observed in the concentration of metabolites
related to the tricarboxylic acid cycle (TCA) and gamma-amino butyric acid (GABA)
shunt, as shown by multivariate statistical analysis of NMR spectra. qRT-PCR
shows that genes related to the TCA and GABA shunt are also differentially
expressed between diploids and autotetraploids following similar trends as their
corresponding metabolites. Solid evidence is presented to demonstrate that
autopolyploidy influences core plant metabolic processes.
PMID- 27212082
TI - TGF-beta1-induced PAI-1 contributes to a profibrotic network in patients with
eosinophilic esophagitis.
AB - BACKGROUND: Eosinophilic esophagitis (EoE) is an allergic disease of increasing
worldwide incidence. Complications are due to tissue remodeling and involve TGF
beta1-mediated fibrosis. Plasminogen activator inhibitor 1 (PAI-1/serpinE1) can
be induced by TGF-beta1, but its role in EoE is not known. OBJECTIVE: We sought
to understand the expression and role of PAI-1 in patients with EoE. METHODS: We
used esophageal biopsy specimens and plasma samples from control subjects and
patients with EoE, primary human esophageal epithelial cells, and fibroblasts
from patients with EoE in immunohistochemistry, quantitative PCR, and immunoassay
experiments to understand the induction of PAI-1 by TGF-beta1, the relationship
between PAI-1 and esophageal fibrosis, and the role of PAI-1 in fibrotic gene
expression. RESULTS: PAI-1 expression was significantly increased in epithelial
cells of biopsy specimens from patients with active EoE compared with that seen
in biopsy specimens from patients with inactive EoE or control subjects (P <
.001). Treatment of primary esophageal epithelial cells with recombinant TGF
beta1 increased PAI-1 transcription, intracellular protein expression, and
secretion. Esophageal PAI-1 expression correlated with basal zone hyperplasia,
fibrosis, and markers of esophageal remodeling, including vimentin, TGF-beta1,
collagen I, fibronectin, and matrix metalloproteases, and plasma PAI-1 levels
correlated with plasma TGF-beta1 levels. PAI-1 inhibition significantly decreased
baseline and TGF-beta1-induced fibrotic gene expression. CONCLUSIONS: PAI-1
expression is significantly increased in the epithelium in patients with EoE and
reflects fibrosis, and its inhibition decreases TGF-beta1-induced gene
expression. Epithelial PAI-1 might serve as a marker of EoE severity and form
part of a TGF-beta1-induced profibrotic network.
PMID- 27212083
TI - Respiratory syncytial virus immunoprophylaxis in high-risk infants and
development of childhood asthma.
AB - BACKGROUND: Respiratory syncytial virus (RSV) lower respiratory tract infection
is implicated in asthma development. RSV immunoprophylaxis during infancy is
efficacious in preventing RSV-related hospitalizations and has been associated
with decreased wheezing in the first years of life. OBJECTIVE: We investigated
whether greater adherence to immunoprophylaxis in infants at high risk for severe
RSV would be associated with decreased childhood asthma. METHODS: We conducted a
retrospective cohort investigation including children born from 1996-2003 who
were enrolled in Kaiser Permanente Northern California or Tennessee Medicaid and
eligible to receive RSV immunoprophylaxis. Asthma was defined at 4.5 to 6 years
of age by using asthma-specific health care visits and medication fills. We
classified children into immunoprophylaxis eligibility groups and calculated
adherence (percentage receipt of recommended doses). We used a set of statistical
strategies (multivariable logistic regression and propensity score [PS]-adjusted
and PS-matched analyses) to overcome confounding by medical complexity because
infants with higher adherence (>=70%) have higher prevalence of chronic lung
disease, lower birth weight, and longer nursery stays. RESULTS: By using
multivariable logistic regression and PS-adjusted models in the combined group,
higher adherence to RSV immunoprophylaxis was not associated with decreased
asthma. However, in PS-matched analysis, treated children with 70% or greater
adherence had decreased odds of asthma compared with those with 20% or less
adherence (odds ratio, 0.62; 95% CI, 0.50-0.78). CONCLUSIONS: This investigation
of RSV immunoprophylaxis in high-risk children primarily found nonsignificant
associations on prevention of asthma in specific preterm groups. Our findings
highlight the need for larger studies and prospective cohorts and provide
estimates of potential preventive effect sizes in high-risk children.
PMID- 27212085
TI - Antithymocyte globulin: Importance of good clinical pharmacological practice.
PMID- 27212084
TI - IL-1beta enhances inflammatory TH2 differentiation.
PMID- 27212088
TI - Primary Care of Adult Women: Common Dermatologic Conditions.
AB - Dermatologic disease often presents in the primary care setting. Therefore, it is
important for the primary care provider to be familiar with the presentation,
diagnosis, and treatment of common skin conditions. This article provides an
overview of acne, rosacea, melasma, vitiligo, alopecia, nonmelanoma, and melanoma
skin cancer, dermatitis, and lichen sclerosus.
PMID- 27212087
TI - Preventive Care in Women's Health.
AB - Specialists in general obstetrics and gynecology are key providers of primary
care in women. They diagnose and provide the initial management of many medical
conditions unrelated to reproductive health. Most importantly they can impact the
overall health of patients through incorporating preventive approaches in the
annual well-woman visit. This article defines preventive care and identifies
leading causes of mortality in women. A framework for identifying key elements of
the well-woman examination is summarized. Examples of prevention are provided,
which focus on major health care issues that affect adult women.
PMID- 27212086
TI - The pruritus- and TH2-associated cytokine IL-31 promotes growth of sensory
nerves.
AB - BACKGROUND: Pruritus is a cardinal symptom of atopic dermatitis, and an increased
cutaneous sensory network is thought to contribute to pruritus. Although the
immune cell-IL-31-neuron axis has been implicated in severe pruritus during
atopic skin inflammation, IL-31's neuropoietic potential remains elusive.
OBJECTIVE: We sought to analyze the IL-31-related transcriptome in sensory
neurons and to investigate whether IL-31 promotes sensory nerve fiber outgrowth.
METHODS: In vitro primary sensory neuron culture systems were subjected to whole
transcriptome sequencing, ingenuity pathway analysis, immunofluorescence, and
nerve elongation, as well as branching assays after IL-31 stimulation. In vivo we
investigated the cutaneous sensory neuronal network in wild-type, Il31
transgenic, and IL-31 pump-equipped mice. RESULTS: Transgenic Il31 overexpression
and subcutaneously delivered IL-31 induced an increase in the cutaneous nerve
fiber density in lesional skin in vivo. Transcriptional profiling of IL-31
activated dorsal root ganglia neurons revealed enrichment for genes promoting
nervous system development and neuronal outgrowth and negatively regulating cell
death. Moreover, the growth cones of primary small-diameter dorsal root ganglia
neurons showed abundant IL-31 receptor alpha expression. Indeed, IL-31
selectively promoted nerve fiber extension only in small-diameter neurons. Signal
transducer and activator of transcription 3 phosphorylation mediated IL-31
induced neuronal outgrowth, and pharmacologic inhibition of signal transducer and
activator of transcription 3 completely abolished this effect. In contrast,
transient receptor potential cation channel vanilloid subtype 1 channels were
dispensable for IL-31-induced neuronal sprouting. CONCLUSIONS: The pruritus- and
TH2-associated novel cytokine IL-31 induces a distinct transcriptional program in
sensory neurons, leading to nerve elongation and branching both in vitro and in
vivo. This finding might help us understand the clinical observation that
patients with atopic dermatitis experience increased sensitivity to minimal
stimuli inducing sustained itch.
PMID- 27212089
TI - Clinical Management of Obesity in Women: Addressing a Lifecycle of Risk.
AB - The World Health Organization estimates that nearly 2 billion people worldwide
are overweight, 600 million of whom are obese. The increasing prevalence of this
condition in women is of particular concern given its impact on reproductive
health and mortality. Burgeoning data implicating maternal obesity in fetal
programming and the metabolic health of future generations further suggest that
obesity in women is one of the most pressing public health concerns of the twenty
first century. However, health care professionals are infrequently engaged in
obesity management. This article provides a conceptual understanding of obesity
and a rational approach to treatment.
PMID- 27212090
TI - Evaluation and Management of Behavioral Health Disorders in Women: An Overview of
Major Depression, Bipolar Disorder, Anxiety Disorders, and Sleep in the Primary
Care Setting.
AB - Providers of obstetric and gynecologic care are often the most commonly seen
medical providers for adult women, providing primary and reproductive care. Even
where psychiatric care is readily available, obstetricians/gynecologists are
frequently the front line for recognition, education, and initial management of
many mental health problems. In settings where psychiatric treatment is a more
scarce resource, obstetricians/gynecologists often are responsible for ongoing
treatment of these disorders. This review focuses on the impact of the female
reproductive life cycle on the presentation and management of some of the most
common behavioral health problems in women: major depression, bipolar disorder,
anxiety disorders, and primary sleep disorders.
PMID- 27212091
TI - Evaluation of Anemia.
AB - Anemia is a common problem in primary care. Classification based on mean cell
volume narrows the differential diagnosis and directs testing. A marked
macrocytosis is characteristic of vitamin B12 and folate deficiencies, certain
medications, and primary bone marrow disorders. The three most common causes of
microcytic anemia are iron deficiency, thalassemia trait, and anemia of
inflammation. Additional laboratory testing is required for diagnosis.
Determination of the rate of development of anemia and examination of a blood
smear may provide diagnostic clues to guide more specialized testing. Diagnosis
of iron, vitamin B12, or folate deficiency mandates determination of the
underlying cause.
PMID- 27212092
TI - Cardiovascular Disease in Women: Primary and Secondary Cardiovascular Disease
Prevention.
AB - Cardiovascular disease remains the leading cause of death in the United States.
Primary prevention of cardiovascular disease requires involvement of an extended
health care team. Obstetricians and gynecologists are uniquely positioned within
the health care system because they are often the primary or only contact women
have with the system. This review article discusses initial assessment, treatment
recommendations, and practical tips regarding primary and secondary prevention of
cardiovascular disease in women with a focus on coronary heart disease;
discussion includes peripheral and cerebrovascular disease.
PMID- 27212093
TI - Hypertension in Women: Evaluation and Management.
AB - Hypertension is the most commonly encountered chronic medical condition in
primary care and one of the most significant modifiable cardiovascular risk
factors for women and men. Timely diagnosis and evidence-based management offer
an important opportunity to reduce the risk of hypertension-related morbidity and
mortality, including cardiovascular events, end-stage renal disease, and heart
failure. Clinical trials have shown significant improvements in patient-oriented
outcomes when hypertension is well-controlled, yet many hypertensive patients
remain undiagnosed, uncontrolled, or managed with inappropriate pharmacotherapy.
This article discusses the initial diagnosis, evaluation, and management of
hypertension in nonpregnant women, with topics for obstetrician-gynecologists and
women's health providers.
PMID- 27212094
TI - Women's Health and Lung Development and Disease.
AB - Although the lung is not traditionally thought of as an organ affected by sex
based differences, emerging literature elucidates major differences between men
and women in the development, physiology, and predilection to and outcomes in
lung diseases. These differences are driven by both differences in sex hormones
and differences in environmental exposures. However, in many cases the underlying
etiology of these sex- and gender-based differences is unknown. This article
outlines the state-of-the-art knowledge on the etiology of sex differences in
lung disease, including differences in lung development and physiology, and
reviews therapy recommendations that are sex based.
PMID- 27212095
TI - Primary Care Endocrinology in the Adult Woman.
AB - Diabetes mellitus, thyroid disorders, and osteoporosis are endocrine conditions
affecting a significant proportion of women presenting to the obstetrician
gynecologist. Obstetrician-gynecologists are often the first health-care
providers that young women see in adulthood, and thus, have a critical
opportunity to identify women at risk for gestational and overt diabetes and
manage the condition in those who have developed it. The obstetrician
gynecologist should be aware of the appropriate therapeutic options and treatment
goals (eg, hemoglobin A1c) for women with diabetes. Thyroid disorders often
present with menstrual irregularities or infertility, can affect pregnancy
outcomes, and contribute to cardiovascular and bone disorders as women age.
Finally, osteoporosis and low bone mineral density affect a substantial
proportion of older women and some younger women with risk factors for secondary
osteoporosis. The morbidity and mortality of osteoporotic fractures is
substantial. There are many lifestyle interventions and therapeutic options
available for these conditions, and the gynecologist plays a key role in
optimizing risk factor assessment, screening, and providing treatment when
appropriate.
PMID- 27212096
TI - Primary Care Evaluation and Management of Gastroenterologic Issues in Women.
AB - Gastrointestinal disorders often present to the primary care setting where
initial preventive, diagnostic, and treatment strategies are implemented. This
article reviews the presentation and diagnosis of common gastrointestinal
disorders, including colorectal cancer, irritable bowel syndrome, peptic ulcer
disease, gallbladder disorders, inflammatory bowel disease, gastroesophageal
reflux, and Barrett's esophagus. We focus on the evaluation and management of
these diseases in women.
PMID- 27212097
TI - Primary Care for the Older Adult Patient: Common Geriatric Issues and Syndromes.
AB - Older adults are the fastest growing segment of the US population and the
majority of older adults are women. Primary care for the older adult patient
requires a wide variety of skills, reflecting the complexity and heterogeneity of
this patient population. Individualizing care through consideration of patients'
goals, medical conditions, and prognosis is paramount. Quality care for the older
adult patient requires familiarity with common geriatric syndromes, such as
dementia, falls, and polypharmacy. In addition, developing the knowledge and
communication skills necessary for complex care and end-of-life care planning is
essential.
PMID- 27212098
TI - Are Obstetrician-Gynecologists Primary Care Physicians?
PMID- 27212099
TI - Primary Care of Adult Women.
PMID- 27212100
TI - Critical effects on binding of epidermal growth factor produced by amino acid
substitutions.
AB - Epidermal growth factor (EGF) plays important roles in multiple biological
processes, such as the regulation of cell growth, proliferation, and
differentiation. EGF exerts their pharmacologic effects via receptor-mediated
mechanism associated with high affinity to epidermal growth factor receptor
(EGFR) on the cell surface. Overexpression of EGFR has been reported and
implicated in the pathogenesis of many human cancers. The current study addresses
the effects of mutations on binding properties of EGF to EGFR. Two mutant
structures with three point mutations of conserved residues, Ile23, Arg41 and
Leu47, which have been found to be important for the receptor binding, were built
using homology modeling. The "wild type" (WT) and the mutant structures, after
structural validations, were subjected to molecular dynamics simulations (MDSs).
The primary aim of MDS was to investigate the possible impact of mutations on the
protein structure and function. Analysis of root mean square deviation (RMSD),
other time dependent structural properties and their averages provided some
insights into the possible structural characteristics of the mutant and the WT
forms of the EGF. RMSD analysis showed that WT EGF was more stable than the
mutant structures. The docking analysis revealed that the binding energy of
mutant EGFs to EGFR is lower than WT. Combination of the used computational
approaches provides a way in understanding the impact of deleterious mutations in
altering the EGF and EGFR interactions.
PMID- 27212101
TI - Effect of the Prophylactic Use of Proton-Pump Inhibitors on the Pattern of
Gastrointestinal Symptoms in Patients Late After Kidney Transplant.
AB - OBJECTIVES: Although immunosuppressive drugs have been recognized as leading
causes of gastrointestinal symptoms after kidney transplant, other widely used
medications such as proton-pump inhibitors recently have been implicated. Our aim
was to study the effects of chronic proton-pump inhibitor therapy on
gastrointestinal symptoms in clinically stable patients late after kidney
transplant. MATERIALS AND METHODS: The study comprised 100 kidney transplant
recipients (66 men and 34 women, mean age of 49 +/- 12 y, mean time after
transplant of 56 +/- 46 mo). All patients completed the Gastrointestinal Symptoms
Rating Scale and the Quality of Life Questionnaire SF-8 surveys. RESULTS: The
most commonly reported symptoms included borborygmus (27%), flatulence (23%),
abdominal distension (18%), urgent need of defecation (17%), and heartburn, acid
reflux, and eructation (13%). Proton-pump inhibitors were chronically used by 50%
of patients and sporadically by 33%. Gastrointestinal Symptoms Rating Scale
scores were higher in patients who used proton-pump inhibitors (mean score of 7.8
+/- 5.5 vs 4.6 +/- 3.0; P = .013). Total score of items representing diarrhea in
the Gastrointestinal Symptoms Rating Scale (increased passage of stools, loose
stools, urgent need of defecation, incomplete evacuation) was higher in patients
treated with proton-pump inhibitors than in those not treated (2.3 +/- 2.2 vs 1.3
+/- 1.9; P = .04). CONCLUSIONS: Chronic use of proton-pump inhibitors may
increase the prevalence of gastrointestinal symptoms, particularly diarrhea, in
patients late after kidney transplant.
PMID- 27212103
TI - Jumping the nuclear envelop barrier: Improving polyplex-mediated gene
transfection efficiency by a selective CDK1 inhibitor RO-3306.
AB - Successful transfection of plasmid DNA (pDNA) requires intranuclear
internalization of pDNA effectively and the nuclear envelope appears to be one of
the critical intracellular barriers for polymer mediated pDNA delivery.
Polyethylenimine (PEI), as the classic cationic polymer, compact the negatively
charged pDNA tightly and make up stable polyplexes. The polyplexes are too large
to enter the nuclear through nuclear pores and it is believed that the nuclear
envelope breakdown in mitosis could facilitate the nuclear entry of polyplexes.
To jump the nuclear envelope barrier, we used a selective and reversible CDK1
inhibitor RO-3306 to control the G2/M transition of the cell cycle and increased
the proportion of mitotic cells which have disappeared nuclear envelope during
transfection. Herein, we show that RO-3306 remarkably increases the transfection
efficiency of PEI polyplexes through enhanced nuclear localization of PEI and
pDNA. However, RO-3306 is less effective to the charge-reversal polymer poly[(2
acryloyl)ethyl(p-boronic acid benzyl)diethylammonium bromide] (B-PDEAEA) which
responses to cellular stimuli and releases free pDNA in cytoplasm. Our findings
not only offer new opportunities for improving non-viral based gene delivery but
also provide theoretical support for the rational design of novel functional
polymers for gene delivery. We also report current data showing that RO-3306
synergizes TRAIL gene induced apoptosis in cancer cells.
PMID- 27212102
TI - Similar patient-reported outcomes and performance after total knee arthroplasty
with or without patellar resurfacing.
AB - Background and purpose - Knee pain after total knee arthroplasty (TKA) is not
uncommon. Patellar retention in TKA is one cause of postoperative knee pain, and
may lead to secondary addition of a patellar component. Patellar resurfacing in
TKA is controversial. Its use ranges from 2% to 90% worldwide. In this randomized
study, we compared the outcome after patellar resurfacing and after no
resurfacing. Patients and methods - We performed a prospective, randomized study
of 74 patients with primary osteoarthritis who underwent a Triathlon CR TKA. The
patients were randomized to either patellar resurfacing or no resurfacing. They
filled out the VAS pain score and KOOS questionnaires preoperatively, and VAS
pain, KOOS, and patient satisfaction 3, 12, and 72 months postoperatively.
Physical performance tests were performed preoperatively and 3 months
postoperatively. Results - We found similar scores for VAS pain, patient
satisfaction, and KOOS 5 subscales at 3, 12, and 72 months postoperatively in the
2 groups. Physical performance tests 3 months postoperatively were also similar
in the 2 groups. No secondary resurfacing was performed in the group with no
resurfacing during the first 72 months Interpretation - Patellar resurfacing in
primary Triathlon CR TKA is of no advantage regarding pain, physical performance,
KOOS 5 subscales, or patient satisfaction compared to no resurfacing. None of the
patients were reoperated with secondary addition of a patellar component within 6
years. According to these results, routine patellar resurfacing in primary
Triathlon TKA appears to be unnecessary.
PMID- 27212104
TI - Lipid- and polyion complex-based micelles as agonist platforms for TNFR
superfamily receptors.
AB - Receptor clustering is important for signaling among the therapeutically relevant
TNFR superfamily of receptors. In nature, this clustering is driven by trimeric
ligands often presented in large numbers as cell surface proteins. Molecules
capable of driving similar levels of clustering could make good agonists and hold
therapeutic value. However, recapitulating such extensive clustering using
typical biotherapeutic formats, such as antibodies, is difficult. Consequently,
generating effective agonists of TNFR superfamily receptors is challenging.
Toward addressing this challenge we have used lipid- and polyion complex-based
micelles as platforms for presenting receptor-binding biologics in a multivalent
format that facilitates receptor clustering and imparts strong agonist activity.
We show that receptor-binding scFvs or small antibody mimetics that have no
agonist activity on their own can be transformed into potent agonists through
multivalent presentation on a micelle surface and that the activity of already
active multivalent agonists can be enhanced. Using this strategy, we generated
potent agonists against two different TNFR superfamily receptors and mouse tumor
model studies demonstrate that these micellar agonists have therapeutic efficacy
in vivo. Due to its ease of implementation and applicability independent of
agonist molecular format, we anticipate that this strategy could be useful for
developing agonists to a variety of receptors that rely on clustering to signal.
PMID- 27212105
TI - Dopamine D4 receptor stimulation prevents nigrostriatal dopamine pathway
activation by morphine: relevance for drug addiction.
AB - Morphine is one of the most effective drugs used for pain management, but it is
also highly addictive. Morphine elicits acute and long-term adaptive changes at
cellular and molecular level in the brain, which play a critical role in the
development of tolerance, dependence and addiction. Previous studies indicated
that the dopamine D4 receptor (D4 R) activation counteracts morphine-induced
adaptive changes of the MU opioid receptor (MOR) signaling in the striosomes of
the caudate putamen (CPu), as well as the induction of several Fos family
transcription factors. Thus, it has been suggested that D4 R could play an
important role avoiding some of the addictive effects of morphine. Here, using
different drugs administration paradigms, it is determined that the D4 R agonist
PD168,077 prevents morphine-induced activation of the nigrostriatal dopamine
pathway and morphological changes of substantia nigra pars compacta (SNc)
dopamine neurons, leading to a restoration of dopamine levels and metabolism in
the CPu. Results from receptor autoradiography indicate that D4 R activation
modulates MOR function in the substantia nigra pars reticulata (SNr) and the
striosomes of the CPu, suggesting that these regions are critically involved in
the modulation of SNc dopamine neuronal function through a functional D4 R/MOR
interaction. In addition, D4 R activation counteracts the rewarding effects of
morphine, as well as the development of hyperlocomotion and physical dependence
without any effect on its analgesic properties. These results provide a novel
role of D4 R agonist as a pharmacological strategy to prevent the adverse effects
of morphine in the treatment of pain.
PMID- 27212106
TI - MARIS plays important roles in Arabidopsis pollen tube and root hair growth.
AB - In flowering plants, male gametes are delivered to female gametes for double
fertilization through pollen tubes. Therefore, pollen tube growth is crucial for
double fertilization. Despite its importance to sexual reproduction, genetic
mechanisms of pollen tube growth remain poorly understood. In this study, we
characterized the receptor-like cytoplasmic protein kinase (RLCK) gene, MARIS
(MRI) that plays critical roles in pollen tube growth. MRI is preferentially
expressed in pollen grains, pollen tubes and roots. Mutation in MRI by a Ds
insertion led to a burst of pollen tubes after pollen germination. Pollen-rescue
assay by pollen and pollen tube-specific expression of MRI in the mri-4 mutant
showed that loss of MRI function also severely affected root hair elongation. MRI
protein interacted with the protein kinase OXIDATIVE SIGNAL INDUCIBLE1 (OXI1) in
the in vitro and in vivo assays, which functions in plant defence and root hair
development, and was phosphorylated by OXI1 in vitro. Our results suggest that
MRI plays important roles in pollen tube growth and may function in root hair
elongation through interaction with OXI1.
PMID- 27212110
TI - Analysis of Subgingival Plaque Ability to Stimulate Toll-Like Receptor 2 and 4.
AB - BACKGROUND: It has been shown that toll-like receptor (TLR) 2- and TLR4
stimulating abilities of supragingival plaque (SPP) are associated with
periodontal conditions. It is hypothesized that SPP might affect the periodontium
through its influence on subgingival plaque (SBP). This study investigates
relationships between TLR2- and TLR4-stimulating abilities of SBP and periodontal
conditions. METHODS: One hundred thirteen SBP samples were collected from the
deepest pockets in patients with chronic periodontitis. TLR2- and TLR4
stimulating abilities were measured using genetically engineered nuclear factor
kappa B reporter cells. Numbers of Porphyromonas gingivalis and Aggregatibacter
actinomycetemcomitans in each plaque sample were determined by real-time
polymerase chain reaction. Peripheral blood mononuclear cells (PBMCs) were
stimulated with SBP samples in presence or absence of TLR4 or TLR2 inhibitor.
Production of tumor necrosis factor (TNF)-alpha and interleukin (IL)-8 was
analyzed by enzyme-linked immunosorbent assay. RESULTS: TLR4-stimulating ability
of SBP was associated with plaque index (PI), but not with other clinical
parameters at sampling sites. TLR2-stimulating ability of SBP was associated with
none of the parameters. Number of P. gingivalis and A. actinomycetemcomitans in
each plaque sample was not associated with TLR2- or TLR4-stimulating ability of
SBP. PBMCs stimulated with SBP samples produced TNF-alpha and IL-8, which was
inhibited by TLR4 but not by TLR2 inhibitor. CONCLUSION: TLR4- but not TLR2
stimulating ability of SBP is associated with PI. Enhanced TLR4-stimulating
ability at sites with accumulated plaque may mediate gingival inflammation.
PMID- 27212109
TI - Macrophages Play a Key Role in the Obesity-Induced Periodontal Innate Immune
Dysfunction via Nucleotide-Binding Oligomerization Domain-Like Receptor Protein 3
Pathway.
AB - BACKGROUND: Obesity is associated with infiltration of macrophages into adipose
tissue. However, effects of obesity on macrophage infiltration and activation in
periodontal tissues with periodontitis are still to be elucidated. METHODS: A
diet-induced obesity 16-week mouse model was constructed, and periodontitis was
induced by periodontal ligation for 10 days. The model consisted of periodontitis
(P) and control (C) groups, with high fat (HF) and normal (N) diet conditions.
Bone loss (BL) was analyzed by microcomputed tomography. In periodontal tissues,
immunohistochemical staining and quantitative polymerase chain reaction (qPCR)
detected expressions of: 1) nucleotide-binding oligomerization domain-like
receptor protein 3 (NLRP3) pathway; 2) macrophage-specific marker (F4/80); and 3)
macrophage chemotactic protein 1 (MCP1). Bone marrow-derived macrophages (BMDMs)
from the mouse model were stimulated by Porphyromonas gingivalis
lipopolysaccharide (LPS) in vitro (NC/NC + LPS: BMDMs from NC group without/with
LPS stimulation; HFC/HFC + LPS: BMDMs from HFC group without/with LPS
stimulation). Expressions of NLRP3 pathway in BMDMs were detected by
immunocytochemical staining and qPCR. RESULTS: BL increased significantly with
periodontitis (NC versus NP; HFC versus HFP) and obesity (NP versus HFP).
Expressions of NLRP3 pathway were significantly elevated in gingival tissues with
periodontitis (NC versus NP; HFC versus HFP), but not with obesity (NC versus
HFC; NP versus HFP). F4/80 and MCP1 expressions were significantly upregulated in
gingival tissues with periodontitis (NC versus NP; HFC versus HFP) but
significantly downregulated in the context of obesity (NP versus HFP). In vitro,
NLRP3 pathway expressions were significantly upregulated in BMDMs after LPS
stimulation (NC + LPS versus NC; HFC + LPS versus HFC), but significantly
downregulated in HFC groups (HFC versus NC; HFC + LPS versus NC + LPS).
CONCLUSION: Obesity may paralyze innate immune response of periodontium via
attenuating infiltration and activation of macrophages and further aggravate
periodontal disease.
PMID- 27212111
TI - The microbiota and immune response during Clostridium difficile infection.
AB - Clostridium difficile is a gram-positive, spore forming anaerobe that infects the
gut when the normal microbiota has been disrupted. C. difficile infection (CDI)
is the most common cause of hospital acquired infection in the United States, and
the leading cause of death due to gastroenteritis. Patients suffering from CDI
have varying symptoms which range from mild diarrhea to pseudomembranous colitis
and death. The involvement of the immune response to influence disease severity
is just beginning to be investigated. There is evidence that the immune response
can facilitate either protective or pathogenic phenotypes, suggesting it plays a
multifaceted role during CDI. In addition to the immune response, the microbiota
is pivotal in dictating the pathogenesis to CDI. A healthy microbiota effectively
inhibits infection by restricting the ability of C. difficile to expand in the
colon. Thus, understanding which immune mediators and components of the
microbiota play beneficial roles during CDI will be important to future
therapeutic developments. This review outlines how the microbiota can modulate
specific immune mediators, such as IL-23 and others, to influence disease
outcome.
PMID- 27212112
TI - Sialylated galacto-oligosaccharides and 2'-fucosyllactose reduce necrotising
enterocolitis in neonatal rats.
AB - Necrotising enterocolitis (NEC) is one of the most frequent and fatal intestinal
disorders in preterm infants and has very limited treatment options. Breast-fed
infants are at a 6-10-fold lower NEC risk than formula-fed infants, and we have
previously shown that human milk oligosaccharides (HMO) improved survival and
reduced pathology in a rat NEC model. The HMO disialyllacto-N-tetraose (DSLNT)
was most effective, and sialylation was shown to be essential for its protective
effect. Galacto-oligosaccharides (GOS), currently added to some infant formula,
but not containing sialic acid, had no effect. In addition to DSLNT, our previous
work also showed that the neutral HMO fraction, which contains high
concentrations of 2'-fucosyllactose (2'FL), slightly improved pathology scores.
Here, we assessed the in vivo efficacy of 2'FL, as well as of GOS that we
enzymatically sialylated (Sia-GOS). Neonatal rats were randomised into the
following study groups - dam-fed (DF), formula-fed (FF), FF containing pooled HMO
(10 mg/ml), GOS (8 mg/ml), Sia-GOS (500 um) or 2'FL (2 mg/ml) - and subjected to
the established NEC protocol. The DF and HMO groups had the lowest pathology
scores with mean values of 0.67 (sd 0.34) and 0.90 (sd 0.47), respectively. The
FF group had significantly elevated pathology scores of 2.02 (sd 0.63). Although
the addition of GOS to the formula had no protective effect and generated scores
of 2.00 (sd 0.63), the addition of Sia-GOS or 2'FL significantly lowered
pathology scores to 1.32 (sd 0.56) (P<0.0034) and 1.43 (sd 0.51) (P<0.0040),
respectively. The results warrant further studies to investigate the underlying
mechanisms and to assess safety and efficacy in human neonates.
PMID- 27212114
TI - Awaji criteria improves the diagnostic sensitivity in amyotrophic lateral
sclerosis: A systematic review using individual patient data.
AB - OBJECTIVE: To determine the utility of the Awaji criteria in diagnosing
amyotrophic lateral sclerosis (ALS) and to propose a novel modification so as to
enhance sensitivity based on results of individual patient data (IPD). METHODS:
Individual patient data were available from 8 studies comparing the diagnostic
accuracy of Awaji and revised El Escorial (rEEC) criteria. The sensitivity of a
novel updated Awaji criteria, incorporating a "probable-laboratory supported"
category, was also tested. RESULTS: Individual patient data were available from
1086 patients, consisting of 881 ALS and 205 patients with disorders mimicking
ALS. Summary sensitivities based on random effects logistic regression modelling
disclosed a higher sensitivity of the Awaji criteria (0.70, 95% confidence
interval [CI] 0.51-0.83) and updated Awaji criteria (0.73, 95% CI 0.56-0.85) when
compared to rEEC (0.58, 95% CI 0.48-0.68). Paired analysis revealed higher
sensitivities of Awaji criteria in 4 studies, and of updated Awaji criteria in 7
studies, when compared to rEEC. CONCLUSION: Individual patient data analysis
established a higher sensitivity of Awaji criteria when compared to rEEC. The
updated Awaji criteria enhanced the diagnostic sensitivity in limb-onset ALS.
SIGNIFICANCE: The updated Awaji criteria should be considered in clinical
practice and future therapeutic trials.
PMID- 27212115
TI - Quantitative analysis of surface electromyography: Biomarkers for convulsive
seizures.
AB - Muscle activity during seizures is in electroencephalographical (EEG) praxis
often considered an irritating artefact. This article discusses ways by surface
electromyography (EMG) to turn it into a valuable tool of epileptology. Muscles
are in direct synaptic contact with motor neurons. Therefore, EMG signals provide
direct information about the electric activity in the motor cortex. Qualitative
analysis of EMG has traditionally been a part of the long-term video-EEG
recordings. Recent development in quantitative analysis of EMG signals yielded
valuable information on the pathomechanisms of convulsive seizures, demonstrating
that it was different from maximal voluntary contraction, and different from
convulsive psychogenic non-epileptic seizures. Furthermore, the tonic phase of
the generalised tonic-clonic seizures (GTCS) proved to have different
quantitative features than tonic seizures. The high temporal resolution of EMG
allowed detailed characterisation of temporal dynamics of the GTCS, suggesting
that the same inhibitory mechanisms that try to prevent the build-up of the
seizure activity, contribute to ending the seizure. These findings have clinical
implications: the quantitative EMG features provided the pathophysiologic
substrate for developing neurophysiologic biomarkers that accurately identify
GTCS. This proved to be efficient both for seizure detection and for objective,
automated distinction between convulsive and non-convulsive epileptic seizures.
PMID- 27212113
TI - Finding novel distinctions between the sAPPalpha-mediated anabolic biochemical
pathways in Autism Spectrum Disorder and Fragile X Syndrome plasma and brain
tissue.
AB - Autism spectrum disorder (ASD) and Fragile X syndrome (FXS) are developmental
disorders. No validated blood-based biomarkers exist for either, which impedes
bench-to-bedside approaches. Amyloid-beta (Abeta) precursor protein (APP) and
metabolites are usually associated with Alzheimer's disease (AD). APP cleavage by
alpha-secretase produces potentially neurotrophic secreted APPalpha (sAPPalpha)
and the P3 peptide fragment. beta-site APP cleaving enzyme (BACE1) cleavage
produces secreted APPbeta (sAPPbeta) and intact Abeta. Excess Abeta is
potentially neurotoxic and can lead to atrophy of brain regions such as amygdala
in AD. By contrast, amygdala is enlarged in ASD but not FXS. We previously
reported elevated levels of sAPPalpha in ASD and FXS vs. CONTROLS: We now report
elevated plasma Abeta and total APP levels in FXS compared to both ASD and
typically developing controls, and elevated levels of sAPPalpha in ASD and FXS
vs. CONTROLS: By contrast, plasma and brain sAPPbeta and Abeta were lower in ASD
vs. controls but elevated in FXS plasma vs. CONTROLS: We also detected age
dependent increase in an alpha-secretase in ASD brains. We report a novel
mechanistic difference in APP pathways between ASD (processing) and FXS
(expression) leading to distinct APP metabolite profiles in these two disorders.
These novel, distinctive biochemical differences between ASD and FXS pave the way
for blood-based biomarkers for ASD and FXS.
PMID- 27212116
TI - Identification of infants at high familiar risk for language-learning disorders
(LLD) by combining machine learning techniques with EEG-based brain network
metrics.
PMID- 27212117
TI - Testing brains with burst suppressions.
PMID- 27212118
TI - UFMylation: A Unique & Fashionable Modification for Life.
AB - Ubiquitin-fold modifier 1 (UFM1) is one of the newly-identified ubiquitin-like
proteins. Similar to ubiquitin, UFM1 is conjugated to its target proteins by a
three-step enzymatic reaction. The UFM1-activating enzyme, ubiquitin-like
modifier-activating enzyme 5 (UBA5), serves as the E1 to activate UFM1; UFM1
conjugating enzyme 1 (UFC1) acts as the E2 to transfer the activated UFM1 to the
active site of the E2; and the UFM1-specific ligase 1 (UFL1) acts as the E3 to
recognize its substrate, transfer, and ligate the UFM1 from E2 to the substrate.
This process is called ufmylation. UFM1 chains can be cleaved from its target
proteins by UFM1-specific proteases (UfSPs), suggesting that the ufmylation
modification is reversible. UFM1 cascade is conserved among nearly all of the
eukaryotic organisms, but not in yeast, and associated with several cellular
activities including the endoplasmic reticulum stress response and hematopoiesis.
Furthermore, the UFM1 cascade is closely related to a series of human diseases.
In this review, we summarize the molecular details of this reversible
modification process, the recent progress of its functional studies, as well as
its implication in tumorigenesis and potential therapeutic targets for cancer.
PMID- 27212119
TI - Effect of high-fat diets on mood and learning performance in adolescent mice.
AB - Recent studies point to dietary factors as important effectors in the brain and
epidemiological studies suggest a direct relationship between mood and anxiety
disorders, cognitive impairment and obesity. Nevertheless the link between the
consumption of high-fat diets (HFD) and emotional disorders still remains
unclear. This issue is of particular interest during adolescence, which is an
important period for shaping learning and memory acquisition that can be
particularly sensitive to the detrimental effects of HFD. Otherwise, major
depressive disorder and anxiety crisis often emerge in adolescence. In the
current study we have characterized in adolescent mice i) the onset of HFD
induced memory impairment using the novel location recognition (NLR) paradigm,
and ii) the effect of HFD on depression- and anxiety-related behaviors by using
the forced swimming and the elevated plus maze tests, respectively. Here we
report that memory impairments induced by HFD were already perceptible after 4
weeks HFD whereas HFD induced already antidepressant-like effects after 48-h,
that remained after long-term treatment (8 weeks). No effects in anxiety were
found. These data indicate that the antidepressant-like effect of HFD is
independent of memory deficits as it was already present after 48-h HFD, while no
effects in memory were still observed at this time.
PMID- 27212120
TI - Indwelling and Retrieval Complications of Denali and Celect Infrarenal Vena Cava
Filters.
AB - PURPOSE: To compare indwelling and retrieval complications of Denali and Celect
filters placed in the infrarenal inferior vena cava (IVC). MATERIALS AND METHODS:
A retrospective study was conducted over 2 years at a single institution in which
171 Denali and 162 Celect filters were placed in 333 patients with a mean age of
62.3 years +/- 15.7 (161 men; 48.3%). Filter indications included venous
thromboembolic disease (n = 320; 96.1%) and surgical prophylaxis (n = 13; 3.9%).
A jugular approach was used to place 303 filters (91.0%). Computed tomography
(CT) follow-up, complications, and retrieval data were obtained. RESULTS: Follow
up CT imaging was performed on 58 filters from each group with lower incidences
of caval strut penetration (one vs 12) and filter tilt (one vs 15) in the Denali
filter group (P = .002 and P < .001, respectively). There was no difference in
incidences of breakthrough pulmonary embolism (P = .68). Retrieval attempts were
performed on 43 Denali and 53 Celect filters with mean indwelling times at
retrieval of 128.2 and 144.1 days, respectively (P = .40). Mean fluoroscopy time
at retrieval was lower in the Denali group (3.1 min vs 6.0 min; P = .01). There
were fewer cases of complex retrieval in the Denali group (n = 2 vs 10; P = .06).
Tilt, fluoroscopy time, and air kerma were associated with complex retrieval (P =
.04, P < .001, and P < .001, respectively). There was one Denali filter
deployment complication that led to retrieval failure. CONCLUSIONS: This study
suggests that Denali filters are associated with lower incidences of strut
penetration and filter tilt as well as shorter fluoroscopy time at retrieval
compared with Celect filters when placed in the infrarenal IVC.
PMID- 27212121
TI - Free Hepatic Vein Pressure Is Not Useful to Calculate the Portal Pressure
Gradient in Cirrhosis: A Morphologic and Hemodynamic Study.
AB - PURPOSE: To systematically evaluate the accuracy of free hepatic vein pressure
(FHVP), the internal reference for hepatic venous pressure gradient (HVPG).
MATERIALS AND METHODS: Diameter and pressure measurements were obtained in
multiple locations within the hepatic vein, inferior vena cava (IVC), and right
atrium on 30 hepatic venograms in 29 consecutive candidates for transjugular
intrahepatic portosystemic shunt creation. RESULTS: On angiography, 15 patients
(52%) had hepatic veins showing a normal and conical appearance, whereas the
other 14 had irregular or narrow (maximal diameter <= 6 mm) veins. Diameters of
hepatic veins increased from 4.4 mm +/- 0.9 (range, 3.3-7 mm) at a peripheral
position to 8.7 mm +/- 3.0 (range, 5.0-15.5 mm; P < .001) at a central position,
and respective pressures decreased from 10.9 mm Hg +/- 3.7 (range, 3-17 mm Hg) to
7.4 mm Hg +/- 3.7 (range, 0-14 mm Hg; P < .001). Gradients between wedged hepatic
vein pressure and central free hepatic vein, IVC, and right atrium pressures were
17.2 mm Hg +/- 5.4 (range, 4-33 mm Hg), 18.0 mm Hg +/- 5.8 (range, 4-33 mm Hg),
and 20.0 mm Hg +/- 5.9 (range, 4-33 mm Hg), respectively. Pearson correlation
coefficients were 0.679 between the HVPG and hepatic atrial pressure gradient
(HAPG) and 0.889 between the wedged hepatic vein/IVC pressure gradient (HCPG) and
HAPG. CONCLUSIONS: FHVP measurement depends on catheter tip position and vein
morphology. Its use to calculate HVPG is not recommended. The high agreement
between the HCPG and the HAPG suggests that both gradients may be used if one
considers a systemic difference of 2 mm Hg.
PMID- 27212122
TI - A patient immobilization device for prone breast radiotherapy: Dosimetric effects
and inclusion in the treatment planning system.
AB - PURPOSE: To assess the dosimetric impact of a patient positioning device for
prone breast radiotherapy and assess the accuracy of a treatment planning system
(TPS) in predicting this impact. METHODS: Beam attenuation and build-up dose
perturbations, quantified by ionization chamber and radiochromic film dosimetry,
were evaluated for 3 components of the patient positioning device: the carbon
fiber baseplate, the support cushions and the support wedge for the contralateral
breast. Dose calculations were performed using the XVMC dose engine implemented
in the Monaco TPS. All components were included during planning CT acquisition.
RESULTS: Beam attenuation amounted to 7.57% (6MV) and 5.33% (15MV) for beams
obliquely intersecting the couchtop-baseplate combination. Beams traversing large
sections of the support wedge were attenuated by 12.28% (6MV) and 9.37% (15MV).
For the support cushion foam, beam attenuation remained limited to 0.11% (6MV)
and 0.08% (15MV) per centimeter thickness. A substantial loss of dose build-up
was detected when irradiating through any of the investigated components. TPS
dose calculations accurately predicted beam attenuation by the baseplate and
support wedge. A manual density overwrite was needed to model attenuation by the
support cushion foam. TPS dose calculations in build-up regions differed
considerably from measurements for both open beams and beams traversing the
device components. CONCLUSIONS: Irradiating through the components of the
positioning device resulted in a considerable degradation of skin sparing.
Inclusion of the device components in the treatment planning CT allowed to
accurately model the most important attenuation effect, but failed to accurately
predict build-up doses.
PMID- 27212123
TI - Indirect comparison between abiraterone acetate and enzalutamide for the
treatment of metastatic castration-resistant prostate cancer: a systematic
review.
AB - This study was designed to evaluate the efficacy, tolerability, and sequential
administration of abiraterone acetate (AA) and enzalutamide (Enz) for metastatic
castration-resistant prostate cancer (mCRPC). A literature search was performed
with PubMed, Embase, and Web of Science databases to identify relevant studies.
Reviewed literature included published phase III trials of AA or Enz in mCRPC and
studies regarding their sequential administration. Given the difference in
control arms in AA (active comparator) and Enz (true placebo) randomized phase
III studies, indirect comparisons between AA and Enz in mCRPC showed no
statistically significant difference in overall survival in prechemotherapy and
postchemotherapy settings (HR: 0.90, 95% CI, 0.73-1.11; HR: 0.85, 95% CI, 0.68
1.07). Compared with AA, Enz may better outperform control arms in treating mCRPC
both before and after chemotherapy regarding secondary endpoints based on
indirect comparisons: time to prostate-specific antigen (PSA) progression (HR:
0.34, 95% CI, 0.28-0.42; HR: 0.40, 95% CI, 0.30-0.53), radiographic progression
free survival (HR: 0.37, 95% CI, 0.28-0.48; HR: 0.61, 95% CI, 0.50-0.74), and PSA
response rate (OR: 18.29, 95% CI, 11.20-29.88; OR: 10.69, 95% CI, 3.92-29.20).
With regard to the effectiveness of Enz following AA or AA following Enz, recent
retrospective case series reported overall survival and secondary endpoints for
patients with mCRPC progression after chemotherapy. However, confirmatory head-to
head trials are necessary to determine the optimal sequencing of these agents.
PMID- 27212124
TI - Risk-prediction tools in prostate cancer: the challenge of tailoring.
PMID- 27212125
TI - Prostate cancer epigenetics and its clinical implications.
AB - Normal cells have a level of epigenetic programming that is superimposed on the
genetic code to establish and maintain their cell identity and phenotypes. This
epigenetic programming can be thought as the architecture, a sort of cityscape,
that is built upon the underlying genetic landscape. The epigenetic programming
is encoded by a complex set of chemical marks on DNA, on histone proteins in
nucleosomes, and by numerous context-specific DNA, RNA, protein interactions that
all regulate the structure, organization, and function of the genome in a given
cell. It is becoming increasingly evident that abnormalities in both the genetic
landscape and epigenetic cityscape can cooperate to drive carcinogenesis and
disease progression. Large-scale cancer genome sequencing studies have revealed
that mutations in genes encoding the enzymatic machinery for shaping the
epigenetic cityscape are among the most common mutations observed in human
cancers, including prostate cancer. Interestingly, although the constellation of
genetic mutations in a given cancer can be quite heterogeneous from person to
person, there are numerous epigenetic alterations that appear to be highly
recurrent, and nearly universal in a given cancer type, including in prostate
cancer. The highly recurrent nature of these alterations can be exploited for
development of biomarkers for cancer detection and risk stratification and as
targets for therapeutic intervention. Here, we explore the basic principles of
epigenetic processes in normal cells and prostate cancer cells and discuss the
potential clinical implications with regards to prostate cancer biomarker
development and therapy.
PMID- 27212126
TI - A sting in the tail: the N-terminal domain of the androgen receptor as a drug
target.
AB - The role of androgen receptor (AR) in the initiation and progression of prostate
cancer (PCa) is well established. Competitive inhibition of the AR ligand-binding
domain (LBD) has been the staple of antiandrogen therapies employed to combat the
disease in recent years. However, their efficacy has often been limited by the
emergence of resistance, mediated through point mutations, and receptor
truncations. As a result, the prognosis for patients with malignant castrate
resistant disease remains poor. The amino-terminal domain (NTD) of the AR has
been shown to be critical for AR function. Its modular activation function (AF-1)
is important for both gene regulation and participation in protein-protein
interactions. However, due to the intrinsically disordered structure of the
domain, its potential as a candidate for therapeutic intervention has been
dismissed in the past. The recent emergence of the small molecule EPI-001 has
provided evidence that AR-NTD can be targeted therapeutically, independent of the
LBD. Targeting of AR-NTD has the potential to disrupt multiple intermolecular
interactions between AR and its coregulatory binding partners, in addition to
intramolecular cross-talk between the domains of the AR. Therapeutics targeting
these protein-protein interactions or NTD directly should also have efficacy
against emerging AR splice variants which may play a role in PCa progression.
This review will discuss the role of intrinsic disorder in AR function and
illustrate how emerging therapies might target NTD in PCa.
PMID- 27212127
TI - The Huashan risk calculators performed better in prediction of prostate cancer in
Chinese population: a training study followed by a validation study.
AB - The performances of the Prostate Cancer Prevention Trial (PCPT) risk calculator
and other risk calculators for prostate cancer (PCa) prediction in Chinese
populations were poorly understood. We performed this study to build risk
calculators (Huashan risk calculators) based on Chinese population and validated
the performance of prostate-specific antigen (PSA), PCPT risk calculator, and
Huashan risk calculators in a validation cohort. We built Huashan risk
calculators based on data from 1059 men who underwent initial prostate biopsy
from January 2006 to December 2010 in a training cohort. Then, we validated the
performance of PSA, PCPT risk calculator, and Huashan risk calculators in an
observational validation study from January 2011 to December 2014. All necessary
clinical information were collected before the biopsy. The results showed that
Huashan risk calculators 1 and 2 outperformed the PCPT risk calculator for
predicting PCa in both entire training cohort and stratified population (with PSA
from 2.0 ng ml-1 to 20.0 ng m). In the validation study, Huashan risk calculator
1 still outperformed the PCPT risk calculator in the entire validation cohort
(0.849 vs 0.779 in area under the receiver operating characteristic curve [AUC]
and stratified population. A considerable reduction of unnecessary biopsies
(approximately 30%) was also observed when the Huashan risk calculators were
used. Thus, we believe that the Huashan risk calculators (especially Huashan risk
calculator 1) may have added value for predicting PCa in Chinese population.
However, these results still needed further evaluation in larger populations.
PMID- 27212128
TI - Comparison of surgical effect and postoperative patient experience between
laparoendoscopic single-site and conventional laparoscopic varicocelectomy: a
systematic review and meta-analysis.
AB - The present meta-analysis was conducted to compare the clinical effect and
patient experience of laparoendoscopic single-site varicocelectomy (LESSV) and
conventional laparoscopic varicocelectomy. The candidate studies were included
after literature search of database Cochrane Library, PubMed, EMBASE, and
MEDLINE. Related information on essential data and outcome measures was extracted
from the eligible studies by two independent authors, and a meta-analysis was
conducted using STATA 12.0 software. Subgroup analyses were conducted by study
design (RCT and non-RCT). The odds ratio (OR) or standardized mean difference
(SMD) and their 95% confidence intervals (95% CIs) were used to estimate the
outcome measures. Seven articles were included in our meta-analysis. The results
indicated that patient who had undergone LESSV had a shorter duration of back to
work (overall: SMD = -1.454, 95% CI: -2.502--0.405, P = 0.007; non-RCT: SMD =
2.906, 95% CI: -3.796--2.017, P = 0.000; and RCT: SMD = -0.841, 95% CI: -1.393-
0.289, P = 0.003) and less pain experience at 3 h or 6 h (SMD = -0.447, 95% CI:
0.754--0.139, P = 0.004), day 1 (SMD = -0.477, 95% CI: -0.905--0.05, P = 0.029),
and day 2 (SMD = -0.612, 95% CI: -1.099--0.125, P = 0.014) postoperatively based
on RCT studies. However, the meta-analyses based on operation time, clinical
effect (improvement of semen quality and scrotal pain relief), and complications
(hydrocele and recurrence) yielded nonsignificant results. In conclusion, LESSV
had a rapid recovery and less pain experience over conventional laparoscopic
varicocelectomy. However, there was no statistically significant difference
between the two varicocelectomy techniques in terms of the clinical effect and
the incidence of hydrocele and varicocele recurrence. More high-quality studies
are warranted for a comprehensive conclusion.
PMID- 27212130
TI - Clinics in diagnostic imaging (168).
AB - A 16-year-old Chinese male patient presented with constipation lasting five days,
colicky abdominal pain, lethargy, weakness and body aches. He was able to pass
flatus. Abdominal radiography showed a distended stomach causing inferior
displacement of the transverse colon. Computed tomography revealed a dilated
oesophagus, stomach and duodenum up to its third portion, with a short
aortomesenteric distance and narrow angle. There was also consolidation in the
lungs bilaterally. Based on the constellation of clinical and imaging findings, a
diagnosis of superior mesenteric artery syndrome complicated by aspiration
pneumonia was made. The patient was subsequently started on intravenous
hydration, nasogastric tube aspiration and antibiotics. Following stabilisation
of his acute condition, a nasojejunal feeding tube was inserted and a feeding
plan was implemented to promote weight gain. The clinical presentation,
differentials, diagnosis and treatment of superior mesenteric artery syndrome are
discussed.
PMID- 27212131
TI - Status of selected ion flow tube MS: accomplishments and challenges in breath
analysis and other areas.
AB - This article reflects our observations of recent accomplishments made using
selected ion flow tube MS (SIFT-MS). Only brief descriptions are given of SIFT-MS
as an analytical method and of the recent extensions to the underpinning
analytical ion chemistry required to realize more robust analyses. The challenge
of breath analysis is given special attention because, when achieved, it renders
analysis of other air media relatively straightforward. Brief overviews are given
of recent SIFT-MS breath analyses by leading research groups, noting the
desirability of detection and quantification of single volatile biomarkers rather
than reliance on statistical analyses, if breath analysis is to be accepted into
clinical practice. A 'strengths, weaknesses, opportunities and threats' analysis
of SIFT-MS is made, which should help to increase its utility for trace gas
analysis.
PMID- 27212132
TI - Advances in Understanding Bronchiolitis Obliterans After Lung Transplantation.
AB - Bronchiolitis obliterans syndrome (BOS) remains a major complication after lung
transplantation, causing significant morbidity and mortality in a majority of
recipients. BOS is believed to be the clinical correlate of chronic allograft
dysfunction, and is defined as an obstructive pulmonary function defect in the
absence of other identifiable causes, mostly not amenable to treatment. Recently,
it has become clear that BOS is not the only form of chronic allograft
dysfunction and that other clinical phenotypes exist; however, we focus
exclusively on BOS. Radiologic findings typically demonstrate air trapping,
mosaic attenuation, and hyperinflation. Pathologic examination reveals
obliterative bronchiolitis lesions and a pure obliteration of the small airways
(< 2 mm), with a relatively normal surrounding parenchyma. In this review, we
highlight recent advances in diagnosis, pathologic examination, and risk factors,
such as microbes, viruses, and antibodies. Although the pathophysiological
mechanisms remain largely unknown, we review the role of the airway epithelium
and inflammation and the various experimental animal models. We also clarify the
clinical and therapeutic implications of these findings. Although significant
progress has been made, the exact pathophysiological mechanisms and adequate
therapy for posttransplantation BOS remain unknown, highlighting the need for
further research to improve long-term posttransplantation BOS-free and overall
survival.
PMID- 27212133
TI - The pKa of Bronsted acids controls their reactivity with diazo compounds.
AB - We study the O-alkylation of phosphate groups by alkyl diazo compounds in a range
of small molecules and biopolymers. We show that the relatively high pKa of
phosphate in comparison to the other naturally occurring Bronsted acids can be
exploited to control alkylation selectivity. We provide a simple protocol for
chemical modification of some of the most important instances of phosphates in
natural compounds including in small molecule metabolites, nucleic acids, and
peptides.
PMID- 27212129
TI - Molecular signaling involving intrinsically disordered proteins in prostate
cancer.
AB - Investigations on cellular protein interaction networks (PINs) reveal that
proteins that constitute hubs in a PIN are notably enriched in Intrinsically
Disordered Proteins (IDPs) compared to proteins that constitute edges,
highlighting the role of IDPs in signaling pathways. Most IDPs rapidly undergo
disorder-to-order transitions upon binding to their biological targets to perform
their function. Conformational dynamics enables IDPs to be versatile and to
interact with a broad range of interactors under normal physiological conditions
where their expression is tightly modulated. IDPs are involved in many cellular
processes such as cellular signaling, transcriptional regulation, and splicing;
thus, their high-specificity/low-affinity interactions play crucial roles in many
human diseases including cancer. Prostate cancer (PCa) is one of the leading
causes of cancer-related mortality in men worldwide. Therefore, identifying
molecular mechanisms of the oncogenic signaling pathways that are involved in
prostate carcinogenesis is crucial. In this review, we focus on the aspects of
cellular pathways leading to PCa in which IDPs exert a primary role.
PMID- 27212135
TI - Etiopathogeny of Primary Adrenal Hypercortisolism.
AB - Primary adrenal hypercortisolism is mainly due to cortisol-producing
adrenocortical adenomas, bilateral micronodular or macronodular disease, and
adrenal carcinomas. Important advances in the pathophysiology of primary adrenal
hypercortisolism have been made in the last few years, partly through the use of
new molecular biology tools. Most adrenal abnormalities leading to increased
cortisol production involve somatic or germinal mutations of genes encoding
elements of the cyclic AMP/protein kinase A signaling pathway, as shown in
adrenal adenomas in 2014. One peculiar condition is primary macronodular adrenal
hyperplasia (PMAH), which has given rise to new pathophysiological concepts such
as regulation of cortisol secretion by illegitimate ligands through aberrant
expression of G protein-coupled transmembrane receptors in adrenal nodules and
stimulation of cortisol production by local adrenocorticotropic hormone
production through autocrine/paracrine mechanisms. These findings provide a basis
for the development of targeted therapies as an alternative to surgery. The
recent identification of germinal mutations of ARMC5 in PMAH raises the
possibility that this is much more frequently an inherited disease than
previously suspected. It also offers the possibility of earlier diagnosis of PMAH
by genetic screening and, hopefully, of earlier intervention to prevent the onset
of hypercortisolism and its complications. The pathophysiology of Cushing's
syndrome associated with a subset of adrenal adenomas, including subclinical
cortisol-secreting incidentalomas and adrenal carcinomas, remains to be
determined.
PMID- 27212134
TI - Influence of the Bolsa Familia program on nutritional status and food frequency
of schoolchildren.
AB - OBJECTIVE: To evaluate the food frequency and nutritional status among students
according to participation in the Bolsa Familia program funded by the government.
METHODS: Cross-sectional study carried out with students from the fourth grade of
elementary school in the municipal capital of the southeastern region of Brazil.
Food consumption and anthropometry were investigated by a questionnaire
administered in school, while participation in the Bolsa Familia program and
other socio-economic information was obtained through a protocol applied to
mothers/guardians. Statistical analysis included the Mann-Whitney test, the chi
squared test, and Poisson regression with robust variance, and the 5%
significance level was adopted. RESULTS: There were 319 children evaluated; 56.4%
were male, with a median of 9.4 (8.6-11.9) years, and 37.0% were beneficiaries of
Bolsa Familia program. Between the two groups, there was high prevalence of
regular soda consumption (34.3%), artificial juice (49.5%), and sweets (40.3%),
while only 54.3% and 51.7% consumed fruits and vegetables regularly,
respectively. Among participants of Bolsa Familia program, a prevalence 1.24
times higher in the regular consumption of soft drinks (95% CI: 1.10-1.39) was
identified compared to non-beneficiaries. The prevalence of overweight was higher
in the sample (32.9%), with no difference according to participation in the
program. CONCLUSION: The study found increased consumption of soft drinks among
BFP participants. The high rate of overweight and poor eating habits denote the
need to develop actions to promote healthy eating, especially for the
beneficiaries of the Bolsa Familia program, to promote improvements in
nutritional status and prevent chronic diseases throughout life.
PMID- 27212137
TI - Meta-epidemiologic study showed frequent time trends in summary estimates from
meta-analyses of diagnostic accuracy studies.
AB - OBJECTIVES: To evaluate changes over time in summary estimates from meta-analyses
of diagnostic accuracy studies. STUDY DESIGN AND SETTING: We included 48 meta
analyses from 35 MEDLINE-indexed systematic reviews published between September
2011 and January 2012 (743 diagnostic accuracy studies; 344,015 participants).
Within each meta-analysis, we ranked studies by publication date. We applied
random-effects cumulative meta-analysis to follow how summary estimates of
sensitivity and specificity evolved over time. Time trends were assessed by
fitting a weighted linear regression model of the summary accuracy estimate
against rank of publication. RESULTS: The median of the 48 slopes was -0.02 (
0.08 to 0.03) for sensitivity and -0.01 (-0.03 to 0.03) for specificity. Twelve
of 96 (12.5%) time trends in sensitivity or specificity were statistically
significant. We found a significant time trend in at least one accuracy measure
for 11 of the 48 (23%) meta-analyses. CONCLUSION: Time trends in summary
estimates are relatively frequent in meta-analyses of diagnostic accuracy
studies. Results from early meta-analyses of diagnostic accuracy studies should
be considered with caution.
PMID- 27212138
TI - Pharmacosurveillance without borders: electronic health records in different
countries can be used to address important methodological issues in estimating
the risk of adverse events.
AB - OBJECTIVES: Evaluate methodological advantages and limitations of an
international pharmacosurveillance system based on electronic health records
(EHRs). STUDY DESIGN AND SETTINGS: Type 2 diabetes was used as an exemplar.
Cohorts of newly treated diabetics were followed in each country (Quebec, Canada;
Massachusetts, United States; Manchester, UK) from 2009 to 2012 using local EHR
systems. Cox proportional hazards models were used to assess the risk of
cardiovascular events. RESULTS: A total of 44,913 newly treated diabetics were
identified; 82.6% (United States) to 93.1% (Canada) were started on biguanides;
13% of patients failed to fill initial prescriptions. An increased risk of
cardiovascular events with sulfonylureas was observed when dispensing [hazard
ratio (HR): 2.83] vs. EHR prescribing (HR: 2.47) data were used. The addition of
clinical data produced a threefold to 10-fold increase in comorbidity for obesity
and renal disease, but had no impact on the risk of different hypoglycemic
therapies. The risk of cardiovascular events with sulfonylureas was higher in the
United States [HR: 3.4; 95% confidence interval (CI): 2.1, 5.5] compared to
England (HR: 1.3; 95% CI: 1.1, 1.6). CONCLUSION: An international surveillance
system based on EHRs may provide more timely information about drug safety and
new opportunities to estimate potential sources of bias and health system effects
on drug-related outcomes.
PMID- 27212139
TI - Beyond linear elasticity: jammed solids at finite shear strain and rate.
AB - The shear response of soft solids can be modeled with linear elasticity, provided
the forcing is slow and weak. Both of these approximations must break down when
the material loses rigidity, such as in foams and emulsions at their (un)jamming
point - suggesting that the window of linear elastic response near jamming is
exceedingly narrow. Yet precisely when and how this breakdown occurs remains
unclear. To answer these questions, we perform computer simulations of stress
relaxation and shear start-up tests in athermal soft sphere packings, the
canonical model for jamming. By systematically varying the strain amplitude,
strain rate, distance to jamming, and system size, we identify characteristic
strain and time scales that quantify how and when the window of linear elasticity
closes, and relate these scales to changes in the microscopic contact network.
PMID- 27212140
TI - A wearable chemical-electrophysiological hybrid biosensing system for real-time
health and fitness monitoring.
AB - Flexible, wearable sensing devices can yield important information about the
underlying physiology of a human subject for applications in real-time health and
fitness monitoring. Despite significant progress in the fabrication of flexible
biosensors that naturally comply with the epidermis, most designs measure only a
small number of physical or electrophysiological parameters, and neglect the rich
chemical information available from biomarkers. Here, we introduce a skin-worn
wearable hybrid sensing system that offers simultaneous real-time monitoring of a
biochemical (lactate) and an electrophysiological signal (electrocardiogram), for
more comprehensive fitness monitoring than from physical or electrophysiological
sensors alone. The two sensing modalities, comprising a three-electrode
amperometric lactate biosensor and a bipolar electrocardiogram sensor, are co
fabricated on a flexible substrate and mounted on the skin. Human experiments
reveal that physiochemistry and electrophysiology can be measured simultaneously
with negligible cross-talk, enabling a new class of hybrid sensing devices.
PMID- 27212141
TI - Isotoxic radiosurgery planning for brain metastases.
AB - PURPOSE/OBJECTIVE(S): Radionecrosis (RN) has previously been correlated with
radiosurgery (RS) dose, lesion volume, and the volume of the brain receiving
specific doses, i.e. V10-14Gy. A knowledge-based individualized estimation of the
optimum RS dose has been derived based on lesional volume and brain toxicity
parameters. METHODS AND MATERIALS: A prediction model for brain toxicity
parameters and estimation of the optimum RS dose was derived using 30 historical
linac-based dynamic conformal arc RS plans for single brain metastases (BM) (0.2
20.3cc) with risk-adapted dose prescription ranging from 15 to 24Gy. Derivation
of the model followed a three-step process: (1) Derivation of formulas for the
prediction of brain toxicity parameters V10-18Gy; (2) Establishing the
relationship of the coefficients used for the prediction of V12Gy with
prescription dose; (3) Derivation of the optimum prescription dose for a given
maximum V12Gy as a function of a given lesion volume. Model validation was
performed on 65 new patients with 138 lesions (44 with multiple BM) treated with
non-coplanar volumetric modulated stereotactic arc treatment (VMAT). RESULTS: A
linear dependence with the PTV size was found for all investigated brain toxicity
parameters (V10-18Gy). Individualized RS prescription doses can be calculated for
any given PTV size based on a linear relationship between V12Gy and PTV size,
according to the formula PD=[V12Gy+0.96+(1.44*PTV)]/[0.12+(0.12*PTV)]. A very
good correlation (R(2)=0.991) was found between the predicted V12Gy and the
resulting V12Gy in 65 new patients with 138 lesions treated with non-coplanar
VMAT technique in our clinic. CONCLUSIONS: A simple formula is proposed for
estimation of the optimal individual RS dose for any given lesion volume for
patients with (multiple) BM. This formula is based on calculation of the brain
toxicity parameter, V12Gy, for the normal brain minus PTV.
PMID- 27212142
TI - A patient with non-ST-segment elevation acute coronary syndrome: Is it possible
to predict the culprit coronary artery?
AB - In acute coronary syndromes without ST-segment elevation (NSTE-ACS),
identification of the culprit artery is, most often, not possible. In this case
report, we elaborate on the likelihood of different culprit arteries in a patient
with NSTE-ACS. While her symptoms were progressing, typical ECG findings of
ischemia in the left coronary territories were diminishing. Instead, dynamic T
wave changes in the inferior leads were present and were most likely postischemic
and "reischemic." Although the culprit artery could not be identified with
certainty by means of these subtle changes, they correlated well with the
findings on angiography and the ECG recorded afterward. This case report
demonstrates the importance of analyzing ECG and its temporal changes in
conjunction with evolving symptoms.
PMID- 27212143
TI - A constant ST segment elevation in leads II, III, AVF: An electrocardiographic,
echocardiographic, clinical, exercise test, laboratory and multi-slice computed
tomography angiographic study.
AB - A constant ST-elevation was more often described in precordial leads. We
presented it in leads II, III, AVF in 16 consecutive patients seeking to
establish a link between it and clinical, laboratory, echocardiography, exercise
test, and multi-slice computed tomography angiography data. Main complaint of
these obese middle-age men was angina pectoris (68.75%). They usually had
hypertension, dyslipidemia, concentric left ventricular hypertrophy and non
pathological exercise test. Coronary stenosis >50% was only in one case (6.25%).
Despite the typical pain and risk factors, the constant ST-elevation in leads II,
III, AVF usually was not associated with coronary stenosis.
PMID- 27212144
TI - Scar burden assessed by Selvester QRS score predicts prognosis, not CRT clinical
benefit in preventing heart failure event and death: A MADIT-CRT sub-study.
PMID- 27212146
TI - Tetracoordinated Bis-phenanthroline Copper-Complex Couple as Efficient Redox
Mediators for Dye Solar Cells.
AB - A tetracoordinated redox couple, made by [Cu(2-mesityl-4,7-dimethyl-1,10
phenanthroline)2][PF6], 1, and its Cu(II) form [Cu(2-mesityl-4,7-dimethyl-1,10
phenanthroline)2][PF6]2, 2, has been synthesized, and its electrochemical and
photochemical features have been investigated and compared with those of a
previously published Cu(2+)/Cu(+) redox shuttle, namely, [Cu(2,9-dimethyl-1,10
phenanthroline)2][PF6], 3, and its pentacoordinated oxidized form [Cu(2,9
dimethyl-1,10-phenanthroline)2Cl][PF6], 4. The detrimental effect of the fifth
Cl(-) ancillary ligand on the charge transfer kinetics of the redox shuttles has
been exhaustively demonstrated. Appropriately balanced Cu-based electrolytes have
been then formulated and tested in dye solar cells in combination with a pi
extended benzothiadiazole dye. The bis-phenanthroline Cu-complexes, 1 and 2, have
been found to provide an overall 4.4% solar energy conversion efficiency, which
is more than twice that of the literature benchmark couple, 3 and 4, employing a
Cl-coordinated oxidized species and even comparable with the performances of a I(
)/I3(-) electrolyte of analogous concentration. A fast counter-electrode
reaction, due to the excellent electrochemical reversibility of 2, and a high
electron collection efficiency, allowed through the efficient dye regeneration
kinetics exerted by 1, represents two major characteristics of these copper-based
electron mediators and may constitute a pivotal step toward the development of a
next generation of copper-based efficient iodine-free redox shuttles.
PMID- 27212145
TI - Quantitative evidence for the effects of multiple drivers on continental-scale
amphibian declines.
AB - Since amphibian declines were first proposed as a global phenomenon over a
quarter century ago, the conservation community has made little progress in
halting or reversing these trends. The early search for a "smoking gun" was
replaced with the expectation that declines are caused by multiple drivers. While
field observations and experiments have identified factors leading to increased
local extinction risk, evidence for effects of these drivers is lacking at large
spatial scales. Here, we use observations of 389 time-series of 83 species and
complexes from 61 study areas across North America to test the effects of 4 of
the major hypothesized drivers of declines. While we find that local amphibian
populations are being lost from metapopulations at an average rate of 3.79% per
year, these declines are not related to any particular threat at the continental
scale; likewise the effect of each stressor is variable at regional scales. This
result - that exposure to threats varies spatially, and populations vary in their
response - provides little generality in the development of conservation
strategies. Greater emphasis on local solutions to this globally shared
phenomenon is needed.
PMID- 27212148
TI - Use of Web-Based Timelines to Enhance Patient Care Skills of Dietetics Students.
PMID- 27212147
TI - Factors Related to the High Rates of Food Insecurity among Diverse, Urban College
Freshmen.
AB - BACKGROUND: Food insecurity is a persistent public health concern; however, few
studies have examined the factors related to food insecurity among college
students, particularly college freshmen living in dormitories. OBJECTIVE: Our aim
was to examine the prevalence of food insecurity and associations with health
outcomes among college freshmen. DESIGN: A diverse sample of freshmen (n=209)
attending a large southwestern university and living in campus residence halls
completed online surveys. Anthropometrics were measured by trained staff.
STATISTICAL ANALYSES: Using mixed logistic regression, associations were examined
between food insecurity and health outcomes, adjusting for sociodemographic
characteristics and clustering of students within residence halls. RESULTS: Food
insecurity was prevalent, with 32% reporting inconsistent access to food in the
past month and 37% in the past 3 months. Food-insecure freshmen had higher odds
of depression (odds ratio=2.97; 95% CI 1.58 to 5.60) compared to food-secure
students. Food-insecure freshmen had significantly lower odds of eating
breakfast, consuming home-cooked meals, perceiving their off-campus eating habits
to be healthy, and receiving food from parents (P<0.05). CONCLUSIONS:
Interventions are needed to support students struggling with food insecurity, as
it is related to health outcomes.
PMID- 27212149
TI - Efficacy of Rhodamine Light in the Treatment of Superficial Vascular Lesions of
the Face.
AB - OBJECTIVE: The aim of this work was to verify the usefulness and efficacy of
treating superficial vascular lesions of the face using rhodamine intense pulsed
light (r-IPL). SUBJECTS AND METHODS: Fifty patients suffering from
telangiectasias of the face were enrolled and subsequently treated 4 times (every
20 days) with a new intensified r-IPL system optimized at the same wavelength as
the dye laser (595 nm). The outcome was assessed using photographs, and clinical
evaluations were made based on the percentage of fading of the erythema and
telangiectasias in the lesions after treatment. RESULTS: Marked clinical
improvements (70-100%) were observed in 31 (62%) patients after the second
session of r-IPL, while 46 (92%) showed a marked improvement after the fourth
session. No patients had to resort to topical or systemic drugs. CONCLUSION: r
IPL was effective in treating superficial vascular lesions, no side effects were
observed and the patients readily accepted the treatment. Hence, r-IPL could be
promising for the treatment of superficial vascular lesions of the face. Future
study would be necessary to confirm the long-term efficacy of this technique.
PMID- 27212150
TI - Could simvastatin be considered as a potential therapy for chronic lung diseases?
A debate on the pros and cons.
AB - INTRODUCTION: Simvastatin (SV) is a drug from the statin class, currently used
orally as an anti-cholesterolemic drug. It inhibits the 3-hydroxy-3-methyl
glutaryl-Coenzyme A (HMG-CoA) reductase to reduce cholesterol synthesis.
Recently, it has been found that SV also has several other protective
pharmacological actions unrelated to its anti-cholesterol effects that might be
beneficial in the treatment of chronic airway diseases. AREAS COVERED: This
review summarizes the evidence relating to SV as a potential anti-inflammatory,
anti-oxidant and muco-inhibitory agent, administered both orally and via
pulmonary inhalation, and discusses its pro and cons. Evidence could potentially
be used to support the delivery of SV as inhaled formulation for the treatment of
chronic respiratory diseases. EXPERT OPINION: The use of SV as anti-inflammatory,
anti-oxidant and muco-inhibitory agent for drug delivery to the lung is
promising. Inhaled SV formulations could allow the delivery profile to be
customized and optimized to take advantage of the rapid onset of action, low
systemic side effect and improved physico-chemical stability. This treatment
could potentially to be used clinically for the localized treatment of lung
diseases where inflammation and oxidative stress production is present.
PMID- 27212151
TI - Dispersible lanthanide organic hybrid nanoparticles: synthesis, morphology and
application.
AB - Novel nanoparticles of coordination polymers (CPs) with various morphologies are
successfully prepared. The obtained products can be well-dispersed to make films
on glass substrates by the colloidal deposition method and introduced into methyl
cellulose to produce transparent and luminescent films.
PMID- 27212153
TI - Draft genome sequence of Bradyrhizobium sp. strain BR 3267, an elite strain
recommended for cowpea inoculation in Brazil.
AB - The strain BR 3267 is a nitrogen-fixing symbiotic bacteria isolated from soil of
semi-arid area of Brazilian Northeast using cowpea as the trap plant. This strain
is used as commercial inoculant for cowpea and presents high efficient in
nitrogen fixation as consequence of its adaptation potential to semi-arid
conditions. We report here the draft genome sequence of Bradyrhizobium sp. strain
BR 3267, an elite bacterium used as inoculant for cowpea. Whole genome sequencing
of BR 3267 using Illumina MiSeq sequencing technology has 55 scaffolds with a
total genome size of 7,904,309bp and C+G 63%. Annotation was added by the RAST
prokaryotic genome annotation service and has shown 7314 coding sequences and 52
RNA genes.
PMID- 27212152
TI - Protamine zinc insulin combined with sodium selenite improves glycometabolism in
the diabetic KKAy mice.
AB - Long-term, high dosage protamine zinc insulin (PZI) treatments produce adverse
reactions. The trace element selenium (Se) is a candidate for the prevention of
diabetes due to anti-oxidative stress activity and the regulation of
glycometabolism. In this study, we aimed to investigate the anti-diabetic effects
of a combination of PZI and Se on type 2 diabetes. Diabetic KKAy mice were
randomized into the following groups: model group and groups that were
subcutaneously injected with PZI, Se, high or low dose PZI + Se for 6 weeks. PZI
combined with Se decreased the body weight and fasting blood glucose levels.
Moreover, this treatment also improved insulin tolerance, as determined by the
reduced values from the oral glucose tolerance test and insulin tolerance test,
and increased insulin levels and insulin sensitivity index. PZI combined with Se
ameliorated skeletal muscle and beta-cell damage and the impaired mitochondrial
morphology. Oxidative stress was also reduced. Furthermore, PZI combined with Se
upregulated phosphatidylinositol 3-kinase (PI3K) and downregulated protein
tyrosine phosphatase 1B (PTP1B). Importantly, the low dosage combination produced
effects similar to PZI alone. In conclusion, PZI combined with Se improved
glycometabolism and ameliorated the tissue and mitochondrial damage, which might
be associated with the PI3K and PTP1B pathways.
PMID- 27212154
TI - Injectable bioactive glass in the restoration of oral bone defect.
AB - OBJECTIVE: To explore the application value of injectable bioactive glass in the
restoration of the oral bone defect. PATIENTS AND METHODS: This study included 58
consecutive patients with oral bone defect > 1 mm, these patients were randomly
assigned to a control group (n=26, Hydroxyapatite bioceramics) and an observation
group (n=32, Injectable bioactive glass). The purpose of this study was to assess
the comparison of the healing of oral bone defect. RESULTS: X-ray examination was
performed at 6-month and 12-month following treatment. The bone healing in the
observation group was significantly better than the control group (p <0.05), the
incidences of local rejection reactions were not significantly different (p
>0.05). Cone-beam Computed Tomography (CBCT) was performed at 6-month and 12
month following treatment. The mean bone thickness in the observation group was
significantly lower than the control group, p <0.05. Both the levels of bone
morphogenetic protein 2 (BMP-2) and transforming growth factor beta (TGF-beta) in
the observation group were significantly higher than the control group, p <0.05.
CONCLUSIONS: The effect of injectable bioactive glass in the restoration of the
oral bone defect was better than hydroxyapatite bioceramics. Thus, injectable
bioactive glass has great application value.
PMID- 27212155
TI - Value of microsurgical varicocelectomy for severe oligo-asthenospermia patients
failed in fertilization assisted by in vitro fertilization.
AB - OBJECTIVE: To investigate the clinical effect of microsurgical varicocelectomy on
severe oligo-asthenospermia patients failing in fertilization assisted by
intracytoplasmic sperm injection. PATIENTS AND METHODS: From January 2013 to
August 2014, forty-nine patients with severe oligo-asthenospermia and serious
varicoceles were treated by microsurgical varicocelectomy after failing in
fertilization assisted by intracytoplasmic sperm injection (ICSI), eleven of whom
had varicoceles on the left side and thirty-eight had bilateral varicoceles.
Patients were followed up for the natural pregnancy condition, changes of routine
semen parameters and reproductive hormone level and the embryonic development and
outcome of next IVF-ET (ICSI) cycles within 6 months. RESULTS: After surgery,
61.2% (30/49) of spouses obtained clinical pregnancy. Among whom 22.4% (11/49)
were naturally pregnant, 32.65% (16/49) were conceived after second IVF-ET
assistance, and 6.1% (3/49) were conceived with the third or further assistance
of ICSI-ET. The overall miscarriage rate was 16.7% (5/30). All of the patients
had improvement in the sperm concentration and forward motility. The sperm
concentration increased from (10.53 +/- 8.76) * 106/ml to (20.23 +/- 11.76) *
106/ml. The ratio of forward motile sperm was increased to (30.52 +/- 18.78) %
from (8.75.52 +/- 6.36) % (p < 0.01). The serum total testosterone (T) improved
from (2.19 +/- 1.03) ng/ml to (4.05 +/- 0.64) ng/ml (p < 0.05). Serum follicle
stimulating hormone (FSH) changed from (5.23 +/- 1.26) mIU/ml to (3.76 +/- 2.22)
mIU/ml after the procedure. Luteinizing hormone (LH) changed from (4.38 +/- 1.36)
to (3.98 +/- 1.38) mIU/ml. Estrogen (E2) changed from 40.28 +/- 7.26 pg/ml to
35.24 +/- 5.75 pg/ml. Prolactin (PRL) level elevated from (18.24 +/- 4.28) to
(17.16 +/- 2.16) ng/ml (p > 0.05). The fertility rate of in vitro fertilization
significantly improved to (83.36 +/- 19.36) % from (72.36 +/- 17.88) % (p <
0.05). The rate of 2PN ratio increased from (66.73 +/- 17.93) % to (75.96 +/-
20.39) %. The cleavage rate increased from (83.26 +/- 32.33) % to (90.35 +/-
23.66). The abnormal fertility rate were (5.36 +/- 12.58) % and (7.26 +/- 13.89)
% before and after the procedure (p > 0.05), while the rate of high-quality
embryos increased significantly from (34.36 +/- 33.27) % to (55.67 +/- 23.36) %
(p < 0.05). The rate of transferable embryos remained without significant change
(70.67 +/- 30.6% before and 60.53 +/- 30.27% after the procedure). The anabiosis
rate of frozen embryo increased from (66.32 +/- 30.69) % to (89.72 +/- 29.69) %.
The further blastocyst rate improved from (10.98 +/- 9.7) % to (30.27 +/- 15.33)
% (p < 0.01). CONCLUSIONS: The microsurgical varicocelectomy effectively improved
sperm parameters, the fertility rate of oocyte fertilized in vitro and the
anabiosis rate and blastocyst rate of the frozen embryo for on patients with
severe oligo-asthenospermic, and further increased the odds of natural pregnancy,
the rate of high-quality embryos and the success rate of in vitro fertilization.
PMID- 27212156
TI - Peripheral blood regulatory T cell measurements correlate with serum vitamin D
level in patients with psoriasis.
AB - OBJECTIVE: Vitamin D is the precursor of a hormone (1,25-dihydroxyvitamin D3),
which has many biological effects in the skin. The immune modulator properties of
vitamin D are mediated in part through effects on regulatory T cells (T-reg).
Currently, in psoriasis, the relationship between vitamin D and T-reg has not
well elucidated. We assess whether vitamin D status is correlated with
circulating T-reg in patients affected by psoriasis and if there is a correlation
with the severity of the disease evaluated with Psoriasis Area Severity Index
(PASI) score. PATIENTS AND METHODS: For each patient we have analyzed, PASI
score, serum levels vitamin D and regulatory T cell percentages. Spearmen's
coefficient was used between serum vitamin D levels and the predictors.
Subsequently, the independent predictive factors were assessed by Multiple
Regression. RESULTS: A total of 26 patients were included in our analysis. Using
no parametric Spearman's Coefficient test between serum levels of vitamin D and
the single variables, we found an association with T-reg population (p < 0.001)
and with PASI-score (p = 0.04). CONCLUSIONS: While vitamin D treatment induces a
cytokine profile known to favor the differentiation of T cells with suppressive
activity, at the same time, several studies showed how vitamin D can prime for
tolerogenic dendritic cells able to favor the differentiation of Treg from T
naive cells. Low levels of vitamin-D may decrease the number of circulatory T
reg, disrupting the immunological homeostasis in psoriatic patients and
encouraging the inflammatory activity.
PMID- 27212157
TI - A prospective evaluation of minimal residual disease as risk stratification for
CCLG-ALL-2008 treatment protocol in pediatric B precursor acute lymphoblastic
leukemia.
AB - OBJECTIVE: The aim of this prospective study was to evaluate the cut-off value of
minimal residual disease (MRD) in predicting the efficacy of CCLG-ALL-2008 or
CCLG-2008 treatment protocol on pediatric B-precursor ALL (BP-ALL). PATIENTS AND
METHODS: Three hundred and seventy-nine Chinese pediatric BP-ALL were enrolled in
this study between Dec 2008 and Sep 2013 in two stratified cohorts. One hundred
and fifty-three patients enrolled between Dec 2008 and Oct 2010 as the first
cohort, and 196 patients enrolled from Nov 2010 to Sep 2013 as the second cohort.
Clinical and biological characteristics and 5 years EFS, RFS, and OS were
analyzed. RESULTS: Patients with E2A-PBX1 showed a favorable treatment response
with a lower minimal residual disease (MRD) level (< 10-4) at the time point 1
(TP1, p = 0.039) and the highest proportion of the 5-year EFS, RFS, and OS. A
high level of MRD was associated with high WBC counts, increased age, BCR-ABL1
fusion gene, MLL rearrangements and adverse karyotypes. In comparison with the
first cohort, the second cohort with the MRD assay incorporated prospectively,
the standard risk (SR) and the intermediate risk (IR) patients showed a better
RFS, EFS, and OS while the high-risk (HR) patients displayed worse RFS, EFS, and
OS than those of the first cohort, respectively. Patients with MRD level at
either 10-4 or 10-3 showed a similar OS at TP1 or TP2, and patients with MRD
level above 10-2 had the worst OS. CONCLUSIONS: This study indicated that the
levels of MRD to be an adequate guide in risk-adapted treatment under the CCLG
ALL-2008 protocol and can be adapted to the future development of advanced
clinical protocols.
PMID- 27212158
TI - Image-guided percutaneous liver biopsy in hepatosplenic gamma-delta T-cell
lymphoma: a single centre experience.
AB - OBJECTIVE: This study was to determine whether Image-Guided Percutaneous Liver
Biopsy (IGPLB) is a safe and accurate procedure in patients with Hepatosplenic
Gamma-Delta T-Cell Lymphoma (HSTCL) characterized by hepatosplenomegaly, and
provide a rapid and safe diagnostic approach in this rare group of patients with
a generally poor outcome. PATIENTS AND METHODS: We report five patients who
underwent IGPLB for an initial diagnosis of HSTCL, in whom diagnosis by bone
marrow biopsy and blood smear had failed. The patients presented with fever of
undetermined origin, fatigue, night sweats and abdominal pain. Percutaneous liver
biopsies were performed following location of the puncture point under the
guidance of computed tomography (CT) or ultrasonography (US). RESULTS: CT and US
can detect prominent hepatosplenomegaly without significant lymphadenopathy.
IGPLB, assessed by immunohistochemical and molecular pathological analyses, was
then performed without complications, which resulted in the diagnosis of HSTCL
and facilitated treatment in a timely manner. CONCLUSIONS: IGPLB is a safe and
accurate procedure in patients with suspected underlying malignant lymphoma
characterized by hepatosplenomegaly, especially in those without readily
accessible tissue amenable to biopsy.
PMID- 27212159
TI - Inhibition of mTOR suppresses human gallbladder carcinoma cell proliferation and
enhances the cytotoxicity of 5-fluorouracil by downregulating MDR1 expression.
AB - OBJECTIVE: Although 5-fluorouracil (5-FU) is widely used in the treatment of
various cancers, drug resistance remains a limitation for its anti-cancer
activity. Mammalian target of rapamycin (mTOR) is deregulated in diverse human
cancers, including gallbladder carcinoma and mTOR inhibitors show promising anti
cancer activities with proliferation inhibitory effects. This study aims to
clarify the benefit of the combination of 5-FU and the mTOR inhibitor, OSI-027,
on gallbladder carcinoma cell proliferation. MATERIALS AND METHODS: Two
gallbladder carcinoma cell lines and two agents (5-FU and OSI-027) were used in
the present study. Cell counting kit-8 assays and EdU staining were performed to
examine the proliferation of cancer cells. The expression of MDR1 protein was
determined by western blot analysis. RESULTS: The combination of OSI-027 with 5
FU showed a synergistic anti-proliferative effect on the gallbladder cancer
cells, RBE and GBC-SD cells. Upon 5-FU treatment, MDR1 expression was upregulated
and OSI-027 could reverse 5-FU-induced MDR1 upregulation. Moreover, MDR1
depletion sensitized gallbladder carcinoma cells to 5-FU stimulation and
attenuated the synergistic effect of OSI-027 and 5-FU. Finally, we determined
that OSI-027 downregulated MDR1 expression by suppressing its synthesis rather
than by promoting its degradation. CONCLUSIONS: Dual mTORC1/mTORC2 inhibitors
such as OSI-027 are promising therapeutic agents in combination with 5-FU for the
treatment of human gallbladder cancer.
PMID- 27212160
TI - Ascites as the initial characteristic manifestation in a patient with primary
gastric CD8-positive diffuse large B-cell lymphoma.
AB - CASE PRESENTATION: Diffuse large B-cell lymphoma (DLBCL) is the most common
lymphoid malignancy and the most common type of non-Hodgkin's lymphomas, the
stomach is the most common extranodal site. Gastric DLBCL is often characterized
by epigastric pain and vomiting. We report a case of a 78-year-old female patient
with gastric diffuse large B-cell lymphoma (DLBCL) with high CD8 level which was
initially manifested with ascites of unknown origin. The patient was admitted
with a chief complaint of abdominal distension and scanty urine over the last
twenty days, while without anorexia and fatigue until 15 March. She had no
history of viral hepatitis, tuberculosis, schistosomiasis. RESULTS: Laboratory
data revealed normal aminotransferases and bilirubin levels, but serum lactate
dehydrogenase, CA125, ascitic fluid lactate dehydrogenase, ascitic fluid
lymphocytes increased. The ascitic fluid was yellow-colored with 98.5%
lymphocytes. Stool occult blood test was positive. Upper gastrointestinal
endoscopy performed a few days later revealed multiple gastric crateriform
ulcers, and Helicobacter pylori was detected in the biopsy specimen. Peripheral
blood CD8+ was increased by 51%. Pathology test showed lymphocytes with atypical
hyperplasia, and immunohistochemistry test resulted CD20+, CD10-, CD79alpha+,
kappa+, bcl-6+, Ki-67+ (approximately 95%), lambda-, bcl-2-, CD3-, CD43-.
Immunoglobulin gene (Ig) clonal rearrangement showed IgH: FR1 (+), FR2 (+), FR3(
), Igk: VJ(+), Vkde (+) in lymphoma tissue. CONCLUSIONS: The features of
histopathology and immunohistochemistry of the tissue confirmed diffuse large B
cell lymphoma (DLBL). The patient received an uncompleted CHOP program combined
with H. pylori eradication. However, the patient deceased due to disease
development sixteen days later after the diagnosis.
PMID- 27212161
TI - MicroRNA-185 inhibits cell proliferation and epithelial-mesenchymal transition in
hepatocellular carcinoma by targeting Six2.
AB - OBJECTIVE: Epithelial-mesenchymal transition (EMT) plays an important role in the
malignant transformation of cancer. MicroRNAs are a group of small non-coding RNA
molecules that down-regulate the expression of genes involved in tumorigenesis.
Although microRNA-185 (miR-185) participates in the pathogenesis of several types
of cancer, its relationship with EMT in human hepatocellular carcinoma (HCC) has
not been investigated. The present study aims to elucidate the regulatory effects
of miR-185 on EMT in HCC cells. MATERIALS AND METHODS: MTT and an in vitro wound
healing assay were performed to determine cell growth and metastasis potential,
respectively. Real-time PCR was used to measure the mRNA expression of miR-185
and Six2. In addition, protein expression levels of Six2 and EMT-related markers
were determined by western blot. RESULTS: Our study showed that miR-185 was
significantly down-regulated in HCC cells. Also, a luciferase reporter gene assay
confirmed Six2 as a direct target of miR-185. Functional analyses indicated that
miR-185 up-regulation remarkably suppressed cell growth and the metastatic
potential of HCC cells. We also found that ectopic expression of miR-185 reversed
EMT via the up-regulation of E-cadherin and down-regulation of vimentin in
epithelial and mesenchymal HCC cells. CONCLUSIONS: miR-185 suppresses cell growth
and EMT progression by targeting Six2, providing a novel target for the molecular
treatment of liver malignancies.
PMID- 27212162
TI - Long noncoding RNA SNHG15, a potential prognostic biomarker for hepatocellular
carcinoma.
AB - OBJECTIVE: The purpose of this study was to investigate the expression of lncRNA
SNHG15 and its prognostic value in hepatocellular carcinoma (HCC). PATIENTS AND
METHODS: Expression levels of lncRNA SNHG15 in 152 pairs of HCC tissues and
adjacent normal tissues were detected by quantitative real-time PCR. Associations
between clinicopathological parameters and lncRNA SNHG15 expression were
evaluated using chi-square tests. The overall survival was analyzed by log-rank
test, and survival curves were plotted according to Kaplan-Meier. Univariate and
multivariate Cox regression analyses were conducted to determine whether lncRNA
SNHG15 was an independent predictor of survival. RESULTS: The lncRNA SNHG15
expression was significantly upregulated in tumor tissues compared with that in
adjacent non-tumor tissues (p < 0.01). It is also proved that lncRNA SNHG15
expression was associated with histological grade (p = 0.000), TNM stage (p =
0.015), and vein invasion (p = 0.000). In addition, Kaplan-Meier analysis showed
that increased LncRNA SNHG15 expression was associated with poor overall survival
of patients (p = 0.0011). Moreover, the results of the multivariate analysis
showed that high lncRNA SNHG15 expression was a significant independent predictor
of poor survival in HCC (p < 0.05). CONCLUSIONS: Our findings suggest that lncRNA
SNHG15 may serve as an efficient clinical biomarker and a therapeutic target for
HCC patients.
PMID- 27212163
TI - The role of targeted agents in the treatment of advanced gastric cancer: a meta
analysis of randomized controlled trials.
AB - OBJECTIVE: To assess the efficacy of targeted agents (TAs) in the treatment of
elderly patients with advanced gastric cancer (AGC). MATERIALS AND METHODS:
Databases from PubMed, Web of Science and abstracts presented at ASCO meeting up
to December 31, 2015 were searched to identify relevant studies. Eligible studies
included prospective randomized controlled trials (RCTs) evaluating therapies
with or without TAs in elderly patients with AGC. The endpoints were overall
survival (OS) and progression-free survival (PFS). Statistical analyses were
conducted by using Comprehensive Meta Analysis software (Version 2.0). RESULTS: A
total of 1,759 elderly patients with AGC from ten RCTs were included for
analysis. The pooled results demonstrated that the addition of TAs to therapies
in elderly patients significantly improved OS (HR 0.88, 95% CI: 0.79-0.99, p =
0.032), but not for PFS (HR 0.83, 95% CI: 0.66-1.06, p = 0.13) when compared to
controls. Subgroup analysis according to targeted agents indicated that survival
benefit was observed for anti-HER-2 agents (HR 0.71, 95% CI: 0.55-0.91, p =
0.006) and angiogenesis inhibitors (AIs) (HR 0.78, 95% CI: 0.62-0.99, p = 0.04)
in terms of OS. Conversely, no survival benefit was found for anti-EGFR agents in
terms of OS (HR 1.12, 95% CI: 0.93-1.36, p = 0.24) and PFS (HR 1.35, 95% CI: 0.88
2.07, p = 0.17). No publication bias was detected by Begg's and Egger's tests for
OS. CONCLUSIONS: The findings of this study suggest that the addition of TAs to
therapies in elderly patients with AGC offers an improved OS, which can be
ascribed to AIs and ant-HER2 agents. With available evidence, anti-EGFR agents
could not be recommended for use in elderly AGC patients.
PMID- 27212164
TI - MiR-30a increases cisplatin sensitivity of gastric cancer cells through
suppressing epithelial-to-mesenchymal transition (EMT).
AB - OBJECTIVE: MiR-30a can target multiple proteins involved in epithelial-to
mesenchymal transition (EMT). In this study, we investigated the association
between miR-30a and cisplatin (DDP) sensitivity in gastric cancer. In addition,
the regulation of miR-30a in EMT in SGC-7901 cells and SGC-7901/DDP cells and
their involvement in cisplatin sensitivity were further investigated. PATIENTS
AND METHODS: 20 advanced gastric cancer patients who received platinum-based
chemotherapy were recruited. Chemosensitivity was assessed after completion of
the therapy. MiR-30a expression was quantified and compared between
chemosensitive and chemoresistant groups. SGC-7901 cells and SGC-7901/DDP cells
were further used for the in-vitro gain-and-loss study to investigate the effect
of miR-30a on EMT and cisplatin sensitivity. RESULTS: Chemosensitive patients had
significantly higher miR-30a expression than the chemoresistant counterparts. SGC
7901 cells had significantly higher miR-30a expression than SGC-7901/DDP cells.
Knockdown of endogenous miR-30a promoted the elongated fibroblast-like
morphologic alteration of SGC-7901 cells and also enhanced Snail and Vimentin
expression. MiR-30a overexpression induced morphological changes from an
extended, fibroblast-like morphology to more epithelial-like morphology in SGC
7901/DDP cells and decreased Snail and Vimentin level. The cancer cells with miR
30a overexpression had significantly higher DDP sensitivity, while the cells with
miR-30a knockdown had decreased sensitivity. CONCLUSIONS: EMT is associated with
cisplatin resistance in gastric cancer. MiR-30a is an important miRNA modulating
EMT and cisplatin sensitivity of SGC-7901 and SGC-7901/DDP cells.
PMID- 27212165
TI - Down-regulation of miR-664 in cervical cancer is associated with lower overall
survival.
AB - OBJECTIVE: The aim of our study was to explore the clinicopathologic and
prognostic significance of microRNA-664 expression in human cervical cancer.
PATIENTS AND METHODS: qRT-PCR was used to determine the expression of miR-664 in
cervical cancer tissues. The relationship between miR-664 expression levels in
cervical cancer tissues and clinicopathological characteristics was estimated
respectively. The survival curves of the patients were determined using the
Kaplan-Meier method. Univariate and multivariate Cox regression analyses were
performed. RESULTS: The expression of miR-664 is downregulated in human cervical
cancer tissues when compared to the corresponding noncancerous tissues (p <
0.01). Low miR-664 expression was significantly associated with lymphatic
invasion (p = 0.000), distant metastasis (p < 0.000), FIGO stage (p = 0.001), and
histological grade (p = 0.008). Kaplan-Meier analysis demonstrated that low
levels of miR-664 expression were associated with poorer overall survival (p <
0.001). In the multivariate analysis, low miR-664 expression was an independent
prognostic factor for OS (p = 0.005). CONCLUSIONS: MiR-664 may be a promising
biomarker for the detection and prognosis evaluation of cervical cancer.
PMID- 27212166
TI - CD44+/CD24+ cervical cancer cells resist radiotherapy and exhibit properties of
cancer stem cells.
AB - OBJECTIVE: The aim of the study is to investigate the radiosensitivity of
CD44+/CD24+ cervical cancer cells and to explore its mechanism of radiotherapy
resistance. Moreover, we further to test whether the CD44+/CD24+ cervical cancer
cells had the characteristics of stem cells. MATERIALS AND METHODS: The human
squamous cell carcinoma SiHa cells were cultured in vitro, and CD44+/CD24+ SiHa
cells were sorted by FACS analysis. CD44+/CD24+ SiHa cells and the parental SiHa
cells were given several fractionated irradiation at a cumulative dose of 8 Gy,
16 Gy, 30 Gy, respectively. Survival curves were obtained and fitted using
clonogenic assays, and the radiosensitivity of tumor cells was compared according
to the radiobiological parameters, including Do, Dq, N and SF 2. Morphological
changes of cell apoptosis were determined using Hoechst 33258 fluorescence
staining. The ultrastructural changes in cells with apoptosis were observed by
transmission electron microscopy. Cell apoptosis rate was determined by FCAS
analysis. DNA "ladder" in apoptotic cells was detected by gel electrophoresis.
The mRNA levels of cell apoptosis-related genes were detected by RT-PCR assay.
Balling capacities of CD44+/CD24+ SiHa cells and parental SiHa cells were
detected by suspension culture without FBS. The in vivo tumorigenicity was
detected by inoculating CD44+/CD24+ SiHa and parental SiHa cells into nude mice.
RESULTS: The FACS analysis results demonstrated that there was a concomitant
increase in the percentage of CD44+/CD24+ cells as the increasing irradiation
doses. Colony formation assay results showed that the colony formation rate of
CD44+/CD24+ SiHa cells was significantly higher than that of parental SiHa cells
(p < 0.05). Moreover, the data from Hoechst 33258 staining, DNA fragment gel
electrophoresis, transmission electron microscopy and FACS analysis showed that
CD44+/CD24+ SiHa cells had no cell apoptosis after irradiation treatment. RT-PCR
results showed that the mRNA levels of bcl-2, surviving and OCT4 were
significantly higher in CD44+/CD24+ SiHa cells than that of parental SiHa cells
(p < 0.01). CD44+/CD24+ SiHa cells could form more compact cell spheres with a
larger volume than that of parental SiHa cells (p < 0.05). CD44+/CD24+ cervical
cancer cells had more potent tumorigenicity than that of parental cervical cancer
cells. CONCLUSIONS: CD44+/CD24+ cervical cancer resist cell apoptosis induced by
irradiation therapy and possessed the characteristics of stem cells.
PMID- 27212167
TI - MiR-99a suppress proliferation, migration and invasion through regulating insulin
like growth factor 1 receptor in breast cancer.
AB - OBJECTIVE: Breast cancer is one of the most common malignant tumors in women.
Despite the advances made in treatments of breast cancer, the incidence and death
rates of breast cancer are still on the rise. Therefore, it is essential for us
to explore the potential mechanism accounting for the malignancy of breast
cancer. MATERIALS AND METHODS: A lentiviral vector over-expressing miR-99a in MDA
MB-231 breast cancer cells was constructed. Cell proliferation was detected by
MTT assay. Migration and invasion were measured by Scratch-wound assay and
transwell assay. Direct target of miR-99a in MDA-MB-231 breast cancer cells was
examined using bioinformatics and luciferase assay. The expression of endogenous
insulin-like growth factor 1 receptor was quantified by qRT-PCR and Western
blotting. RESULTS: In our study, we found that miR-99a could suppress the
proliferation, migration and invasion of MDA-MB-231 cell in vitro and inhibited
the growth of xeno-transplant tumor in vivo. We also found that insulin-like
growth factor 1 receptor (IGF-1R) was a direct target of miR-99a. Furthermore,
knockdown of endogenous IGF-1R by siRNA could mimic the effect of miR-99a over
expression. CONCLUSIONS: Our findings demonstrated that miR-99a could inhibit the
malignancy of breast cancer cell by directly down-regulation of IGF-1R. These
results indicated that miR-99a may be an important biomarker for prognosis and
anticancer therapy in breast cancer in the future.
PMID- 27212168
TI - The functional analysis of MicroRNAs involved in NF-kappaB signaling.
AB - Nuclear factor kB (NF-kB) is a transcriptional factor that regulates a large
number of genes that controls diverse biological functions, ranging from
inflammation, cell proliferation and tumor development to learning and memory.
MicroRNAs (miRNAs) are small non-coding RNA molecules involved in most aspects of
physiological and pathological processes, including cancer, viral infections,
inflammation and autoimmune diseases. miRNAs also play an important role in the
regulation of NF-kB signaling pathway, some being inhibitory and others
activating. Here, we analyzed the convergence of miRNAs involved in NF-kB
signaling regulation and dysregulation of miRNAs and NF-kB activation in human
diseases, particularly in cancer. The function of miR-146, miR-125b, miR-21, miR
301a, miR-30b, and miR-199 and their impacts on tumorigenesis are analyzed in
this work. miRNAs as one of the most abundant classes of regulatory molecules,
deciphering their biological function and pathological contribution in NF-kB
dysregulation is essential to understand the complexity of immune systems and to
develop therapeutics against cancer.
PMID- 27212169
TI - RNA detection based on cyclic amplification of graphene oxide fluorescence.
AB - OBJECTIVE: Graphene oxide (GO) was prepared and used to adsorb single-stranded
DNA. Based on fluorescence quenching ability of GO, cyclic signal amplification
was performed using polymerase. Thus, two RNA sequences were detected by
fluorescence assay. METHODS: Klenow fragment was designed for the amplification
of fluorescence signals and RNA was detected by fluorescence assay. After
amplification with Klenow fragment, the lowest limit of detection was 1.0 * 10-11
M, and the response of fluorescent intensity was linear within the concentration
range of 1.0 * 10-11 M -1.0 * 10-9 M. By the modification of DNA terminals with
different fluorescent groups, two different RNA were detected. RESULTS: Different
fluorescent dyes were used to modify the terminals of DNA, and two RNA sequences
were detected based on fluorescence. There was no need for product separation and
purification before detection. CONCLUSIONS: Detection of RNA based on cyclic
amplification of GO fluorescence is fast and simple. It can be used for the
analysis of specific RNA sequences in cancer cells.
PMID- 27212170
TI - The risk of hypoparathyroidism after central compartment lymph node dissection in
the surgical treatment of pT1, N0 thyroid papillary carcinoma.
AB - OBJECTIVE: The role of central compartment lymph node dissection (CCLND) in the
treatment of papillary thyroid carcinoma (PTC) is still controversial. The
benefits of CCLND should be weighed against its potential risks. We aim to
evaluate the positivity of central lymph nodes in pT1, N0 PTC and to establish
the complication rates of total thyroidectomy (TT)+CCLND. PATIENTS AND METHODS:
This is a retrospective study on prospectively collected data over a 45-month
period. A total of 329 patients were included. Total thyroidectomy was performed
in 130 (39.5%) of these patients due to benign thyroid pathologies, and 199
(60.5%) pT1, N0 PTC patients had TT+CCLND. Our TT technique was applied in the
same way in both groups. Central lymph node positivity, the number of lymph nodes
removed during CCLND and the operative complications were evaluated. T-test and
chi-square analysis were conducted in independent groups for statistical
evaluation. RESULTS: The rate of central lymph node positivity in TT+CCLND group
was 38%. The average number of lymph nodes removed by CCLND is 10.2 (1-36).
Complication rates between TT and TT+CCLND groups were statistically significant
(3.8% vs. 11.1%, respectively) (p<0.05). The difference was found to be
particularly more pronounced for transient hypocalcemia. Although there was no
significant relation between the number of lymph nodes removed during CCLND and
the number of parathyroid glands detected in the pathology specimens (p>0.05),
the relation between the development of symptomatic hypocalcemia and the number
of the parathyroid glands removed during surgery was significant (p <0.05).
CONCLUSIONS: Central compartment lymph node metastasis in PTC is common. CCLND
may increase the rate of transient hypocalcemia.
PMID- 27212171
TI - A new criteria for screening macroprolactinemia using polyethylene glycol
treatment combined with different assays for prolactin.
AB - OBJECTIVE: To establish the criteria for screening macroprolactinemia (MP) after
Polyethylene glycol (PEG) treatment plus different assays of Prolactin (PRL) in a
clinical setting. PATIENTS AND METHODS: This study recruited 122 patients, and
their PRL-elevated serum samples were collected. Twenty-two of the 122 serum
samples were treated by gel filtration chromatography (GFC) and PEG
precipitation. The PRL content in the eluent and supernatant were tested
separately by two analyzers: i2000sr (Abbott Laboratories, Chicago, IL, USA) and
E170 (Roche Diagnostics, Basel, Switzerland). The GFC-method (the gold standard)
was applied, in order to establish the criteria of screening MP after PEG-method.
The MP positive rate and PRL concentrations in 100 PRL-elevated patients were
compared between the literature criteria (a PRL recovery of < 40%) and the new
criteria. RESULTS: The detected value of macroprolactin with the new criteria in
the i2000sr (a PRL recovery of < 50%) was higher than in the E170 (a PRL recovery
of < 60%). The E170 analyzer detected 38 cases of MP in 100 PRL-elevated samples
by using new criteria, which was higher than the literature criteria (24 cases)
(p < 0.05). Therefore, there were 15 samples could not be judged consistently
using the literature criteria by the i2000sr and E170 analyzers. There was also a
significant difference in PRL concentrations between the two groups (p < 0.01).
If using the new criteria, the inconsistency between the two analyzers was
reduced to 2 samples. There was a very significant difference in the
inconsistency between two criteria (p < 0.01). The reported values of PRL from
the i2000sr and E170 were 27.8 (16.2-42.6) ng/ml and 32.0 (19.6-49.9) ng/ml.
There were no significant differences between two analyzers. CONCLUSIONS:
Establishment of different criteria for screening MP by using PEG-method is
helpful for the accuracy of PRL determination and its comparability.
PMID- 27212172
TI - Can Helicobacter pylori be eradicated with high-dose proton pump inhibitor in
extensive metabolizers with the CYP2C19 genotypic polymorphism?
AB - Proton pump inhibitors (PPI) metabolism and pharmacokinetics are regulated by
cytochrome P450 enzymes in the liver. Cytochrome P450 2C19 (CYP2C19) polymorphism
plays an import role in the metabolism of PPIs. The three possible genotypes for
CYP2C19 each has a distinct effect on the pharmacodynamics of PPIs. Homozygote
extensive metabolizers (HomEM) are the most frequent genotype and have two wild
types (non-mutant) (*1/*1) alleles. HomEM is associated with increased enzyme
activity, which increases the rate of PPI metabolism. Intragastric pH, which is
required for eradication, is lowest in HomEM. In HomEMs, an insufficient increase
in intragastric pH results in decreased anti-Helicobacter pylori (HP) efficacy of
the antibiotics and, therefore, lower eradication rates. We determined whether
the HP eradication rate would increase after high-dose PPI treatment of extensive
PPI metabolizers who had been treated unsuccessfully with a standard PPI dose. In
our report, increasing the PPI dosage in patients with genotype polymorphisms may
be effective on eradication rates. Eradication rates are directly affected by
CYP2C19 polymorphisms, and eradication treatments should be planned considering
such genotypic polymorphisms. Hence, CYP2C19 genotyping prior to treatment may
facilitate determination of the optimum PPI dose to improve the therapeutic
outcome. However, further researches are required to confirm this hypothesis.
PMID- 27212173
TI - Helicobacter pylori infection in children: should it be carefully assessed?
AB - The prevalence of H. pylori infection, mainly acquired during childhood and may
be persisting throughout life, has been found high in developing countries; this
high prevalence is related to low socioeconomic status. The persistence of
bacterium exposure is related to gastritis and other severe complications
including peptic ulcer, lymphoma MALT and gastric cancer, which are rarely
present in the pediatric age due to a lower inflammatory and immunological
response. Virulence factors, host gastric mucosal factors, and the natural
environment of patients are associated with the clinical outcome of H. pylori
infection. The main bacterial virulence factors include adhesins (BabA, SabA),
vacuolating cytotoxin VacA, and the products of the cag pathogenicity island (cag
PAI). There are geographic differences between cagA, vacA status and H. pylori
related diseases. The main criteria to evaluate H. pylori infection in children
are gastrointestinal and extra gastrointestinal manifestations related to H.
pylori infection, familial history of gastric cancer, peptic ulcer, lymphoma
MALT, symptomatic children living in high prevalence regions, and immigrant or
adopted children in developed countries. Early detection of H. pylori and its
virulence factors, in addition to effective methods of eradication associated
with prevention programs, may lead to the decrease of H. pylori incidence and
gastritis, especially in endemic high-risk regions. The early assessment in
children may prevent further severe complications in adulthood.
PMID- 27212174
TI - Endothelial microparticles induce vascular endothelial cell injury in children
with Kawasaki disease.
AB - OBJECTIVE: To explore the role of microparticles produced by endothelial cells in
the injury of vascular endothelial cells. MATERIALS AND METHODS: We stimulated
human umbilical vein endothelial cells (HUVEC) with TNF-alpha in vitro, analyzed
the change of cellular morphology, and measured EMP level in the supernatant with
a flow cytometer. Then, we evaluated the corresponding clinical indicators and
the role of EMP in endothelial injury. RESULTS: The endothelial cellular
morphology underwent significant changes, and a large number of microparticles
were secreted. In turn, these microparticles blocked cell cycle and induced
apoptosis. CONCLUSIONS: The microparticles produced by endothelial cells play an
important role in the injury of vascular endothelial cells.
PMID- 27212175
TI - Doubts and difficulties in studying blood pressure variability.
AB - The blood pressure (BP) fluctuation was first noticed in the 18th century.
However, its clinical significance did not get attention until recent years. The
increase in BP variability (BPV) is possibly more valuable than the increase in
BP level for predicting damages in target organs. Moreover, attenuating BPV is
more important than decreasing the BP level. However, the concept of BPV was not
used in any related guideline for diagnosing, defining, and grading the risk of
hypertension, which is due to the understanding of BPV is not profound, and blind
areas and misunderstanding still exist in the definition, features, and
classification of BPV. In this paper, the doubts and difficulties in studying BPV
are analyzed, which may conduce to understand BPV and thus help for the clinical
diagnosis and treatment of hypertension.
PMID- 27212177
TI - The effects of MCA-MAO on cAMP pathway in rats with cerebral hemorrhage.
AB - OBJECTIVE: To explore the effects of MCA-MAO on the cAMP pathway in rats with
cerebral hemorrhage. MATERIALS AND METHODS: Forty SD male rats were randomly
divided into four groups: the sham operation group (n=10), the model group
(n=10), the negative control group (n=10) and the experimental group (n=10). To
prepare rat models for cerebral hemorrhage, autogenous femoral arterial blood was
injected into the caudate nucleus. In the case of rats in sham operation group,
normal saline was injected into the caudate nucleus. Rats in the negative control
group received a proper amount of saline via an injection into the abdominal
cavity. Rats in the experimental group were injected with 500 MUL/kg MCA-MAO into
the abdominal cavity. Five rats from each group were executed after 1 to 3 days,
the water contents of gray and white matters were detected using far infrared
moisture analyzer, the MAO activity was measured by the histochemical method. The
cAMP level was measured by radio-immunity method and the protein kinase A (PKA)
level was measured by Western blot. cAMP response element binding (CREB) mRNA
expression level was detected by RT-PCR. RESULTS: Water content, MAO activity,
cAMP, PKA, and CREB mRNA expression levels in the model, and the negative control
groups were significantly higher than those of the sham operation and the
experimental groups, the differences were statistically significant (p<0.05).
CONCLUSIONS: MAO may mediate the pathophysiological process of hemorrhage via
cAMP signaling pathway.
PMID- 27212176
TI - Effects of intravascular embolization operation on adult VGAD.
AB - OBJECTIVE: To analyze the effects of intravascular embolization on adult vein of
Galen aneurysmal dilatation (VGAD) patients. PATIENTS AND METHODS: Five
consecutively selected patients (median age of 56.4 years) were diagnosed with
VGAD in our hospital from February 2010 to February 2015 and treated with
intravascular embolization. RESULTS: 2 cases were confirmed with malformed
vessels in cerebellum, 2 cases in basal ganglia region and 1 case in brain stem;
3 cases with single branch artery blood supply and 2 cases with multiple branch
artery blood supply; malformed vessel was 2.5-5.5 cm in diameter, on average 4.3
(+/-1.2) cm; 3 cases were dominated by intracranial hemorrhage, 1 case by a
headache and 1 case by seizure; GCS scores ranged from 8-12, on average 10.5 (+/
1.6); intraoperative blood loss ranged from 20-80 ml, on average (55.8+/-15.9)
ml; 1 case died after operation, 1 case was disabled, and the remainder were
normal. CONCLUSIONS: Intravascular embolization was safe and effective for adult
VGAD patients.
PMID- 27212178
TI - Protective effects of estrogen combined with sevoflurane in an experimental model
of cerebral infarction and focal cerebral ischemia-reperfusion injury.
AB - OBJECTIVE: Potential additive effects of estrogens and sevoflurane against
cerebral infarction after transient or permanent middle cerebral artery occlusion
(MCAO) have not been addressed. We evaluated these using a rat model of MCAO.
MATERIALS AND METHODS: 60 adult female Sprague-Dawley rats were used in the
experiments after ovariectomy. Animals were divided into placebo/no MCAO, placebo
+ MCAO, and estrogen + MCAO groups. Each group was further subdivided into
subgroups exposed to sevoflurane or oxygen. Animals in the placebo group received
intraperitoneal injections of saline, whereas the estrogen group animals received
intraperitoneal injections of estradiol (1 mg per day). MCAO was performed 1 week
after the ovariectomy. Sevoflurane and oxygen subgroups breathed either
sevoflurane or oxygen for 30 min during the surgery. Outcomes were the levels of
serum estradiol (E2), interleukin (IL)-6, and beta-amyloid protein (beta-AP) (all
by ELISA), neurological deficit scores (24 hours, 7 and 28 days after the
operation), spatial learning and memory (both by the Morris water maze test on
days 7 and 28). RESULTS: MCAO significantly up-regulated serum levels of IL-6 and
beta-AP (p < 0.05 for both comparisons). The animals that received the combined
treatment with estrogen and sevoflurane showed less extensive up-regulation of
these markers (p < 0.05 vs. placebo-treated animals). Furthermore, MCAO induced
severe neurological dysfunction and disorders of spatial learning and memory. All
these were attenuated by the combined treatment. CONCLUSIONS: We demonstrate
neuroprotective effects of pre-conditioning with estrogen and post-conditioning
sevoflurane in experimental animal undergoing MCAO.
PMID- 27212179
TI - Impairment of time-based prospective memory in patients with Wilson's disease.
AB - OBJECTIVE: The aim of this study was to investigate the effect of basal ganglia
lesion of Wilson's disease (WD) patients on event-based prospective memory (EBPM)
and time-based prospective memory (TBPM). PATIENTS AND METHODS: A total of 30 WD
patients and 30 age and education level matched healthy controls were included.
EBPM (an action whenever particular words were presented) and TBPM (an action at
certain times) were performed to test the involvement of the prospective memory
in WD. RESULTS: A significant difference was found in the performance of TBPM
(2.9+/-1.1 vs. 5.8+/-0.4, p<0.05), but not EBPM (5.4+/-0.7 vs. 5.5+/-0.7, p>0.05)
in patients with WD compared with the healthy controls. CONCLUSIONS: Our results
demonstrated that basal ganglia are involved in the prospective memory in
patients with WD.
PMID- 27212180
TI - Effects of polymorphisms of serotonin transporter promoter (5-HTTLPR) and brain
derived neurotrophic factor gene (G196A rs6265) on the risk of major depressive
disorder in the Chinese Han population.
AB - OBJECTIVE: The etiology of Major depressive disorder (MDD) is multifactorial but
the genetic risk is an important factor. Previous studies have shown a
significant interaction between serotonin and brain-derived neurotrophic factor
(BDNF) in brain function. The serotonin transporter protein promoter polymorphism
(5-HTTLPR) and BDNF (rs6265) are two of the most studied candidate gene
polymorphisms in relation to MDD. However, the effect of 5-HTTLPR-BDNF (rs6265)
interaction on MDD-risk is not consistent. PATIENTS AND METHODS: This study
recruited 459 patients with MDD and 412 healthy volunteers in a Chinese Han
population. Polymerase chain reaction (PCR)-based genotyping was used to detect
polymorphisms. Logistic regression was applied to estimate the effect of
polymorphisms of 5-HTTLPR, BDNF (rs6265), and their interaction. RESULTS: We
observed a significant correlation between the heterozygous genotype of 5-HTTLPR
and MDD [odds ratio (OR) = 1.42, 95% CI: 1.05~1.91; p = 0.02]. The BDNF (rs6265)
polymorphism showed that there is no correlation with MDD. When interaction with
BDNF was modeled, for individuals with BDNF (rs6265), genotype GG, cases in the
heterozygous group had even higher odds of MDD than those in the combined
homozygous group of 5-HTTLPR polymorphism (OR = 2.92, 95% CI: 1.43-5.95; p =
0.003). CONCLUSIONS: Our results suggested that 5-HTTLPR, may be associated with
the susceptibility of MDD in an overdominant mode, and there may be a significant
interaction between 5-HTTLPR and BDNF (rs6265) polymorphisms in relation to MDD.
PMID- 27212181
TI - A randomized trial of Boswellia in association with betaine and myo-inositol in
the management of breast fibroadenomas.
AB - OBJECTIVE: Breast fibroadenoma is a common finding in young women and actually
accounts for the majority of benign breast lumps. Fibroadenoma does not require
any treatment unless clinical symptoms (mostly mastalgia) or histological markers
of cancer risk (atypia) impose specific medical or surgical intervention. In
symptomatic fibroadenoma, anti-estrogenic treatments provided evidence of
success. Yet, these therapies are often associated with relevant side effects
that lead to drug treatment discontinuation. Additionally, in such cases, relapse
is a frequent issue. Therefore, an optimal strategy is still warranted.
Boswellia, betaine and myo-inositol have already been proved to modulate
different pathways - inflammatory, metabolic, oxidative and endocrine processes -
in a wide array of human tissues. Based on that background, we hypothesized that
these substances can effectively synergize in inducing the regression of
fibroadenoma. PATIENTS AND METHODS: We included 64 patients <= 30 years of age
with fibroadenoma. The patients were randomized into two groups. The experimental
group was treated with an association of Boswellia, betaine, myo-inositol, B
group vitamins and N-acetylcysteine for 6 months; otherwise, the placebo group
was treated only with B-group vitamins and N-acetylcysteine. Patients were
monitored at the enrollment and the end of the study for evaluating the clinical
response. RESULTS: A significant clinical improvement was observed in the
experimental arm. Fibroadenoma median volume reduction averaged 17.86% in the
experimental group and 5.96% in the placebo group. Moreover, 14 out of 36
(38.88%) patients showed a reduction of fibroadenoma volume compared to 5/28
(17.85%) observed in the placebo group (p = 0.005). CONCLUSIONS: A
supplementation with Boswellia, betaine and myo-inositol reduces fibroadenoma
dimension in young women. No relevant side effects have been recorded.
PMID- 27212182
TI - The kinetics of the effect of manganese supplementation on SOD2 activity in
senescent human fibroblasts.
AB - OBJECTIVE: To investigate the effect of increasing Mn+2 concentrations on
superoxide dismutase 2 (SOD2) activity in pre-senescent and senescent cultured
fibroblasts, and to determine the Km Mn+2 values required to achieve maximal SOD2
activities in such cells. MATERIALS AND METHODS: SOD2 activities, and superoxide
anion (SOA) generation rates, were assayed in mitochondrial sonicates of young
passage 5 fibroblasts sub-cultured in routine growth medium (MEM 1), and in an
accurately identified senescent passage 20, 25 and 30 subcultures incubated with
media containing supplemental Mn+2 increments equal to 60, 90, 120, 150 and 180
nM (MEM 2, 3, 4, 5 and 6 respectively). RESULTS: Whereas SOD2 activity did not
significantly change in any of the cells sub-cultured in MEM 1, the enzyme
underwent progressive significant increases in early senescent passage 20 cells
and senescent passage 25 and 30 cells. Such increases were relative to Mn+2
concentration and peaked in value in the senescent cells incubated with MEM 5 and
MEM 6. Furthermore, whereas SOA generation rates underwent significant
progressive increases in MEM 1-incubated senescent passage 20-30 cells, peaking
in value at passage 30, the rates were gradually and significantly lowered in the
cells incubated with MEM 2-MEM 6, and reached lowest values in those incubated
with MEM 6 (p<0.001 for all comparisons). The computed Km values of Mn+2 with
respect to SOD2 in senescent passage 20, 25 and 30 cells equalled 19.2, 39.6 and
54.4 nM respectively with corresponding SOD2 Vmax values of 37.6, 55.9 and 71.4
umol/min/mg protein. CONCLUSIONS: Senescent cells near the end of their
replicative life span utilise more Mn+2 and achieve maximal SOD2 activities
suggesting that the use of supplementary Mn+2 can help in combating oxidative
stress.
PMID- 27212183
TI - Evaluation of the efficacy of atosiban in pregnant women with threatened preterm
labor associated with assisted reproductive technology.
AB - OBJECTIVE: The present study aimed to investigate the effectiveness of atosiban
in treating women with threatened preterm labor who had become pregnant through
assisted reproductive technology (ART) and the corresponding pregnancy outcomes.
PATIENTS AND METHODS: Seventy pregnant women with threatened preterm labor after
ART were randomly divided into two groups, with 35 cases in the atosiban group
and 35 in the ritodrine group. The post-treatment effects and the corresponding
pregnancy outcomes were observed. RESULTS: The efficacy of extending gestational
age by 48 hours was significantly higher in the atosiban group than in the
ritodrine group (p<0.05), whereas the efficacy of extending gestational age by
seven days was the same in the two groups (p>0.05). There was no significant
difference between the atosiban and ritodrine groups in the average gestational
age at birth (p<0.05). The occurrence of side effects in the pregnant women was
higher in the ritodrine group than in the atosiban group (p<0.05), although the
prevalence of abnormal fetal heart rate was not significantly different (p>0.05).
Both the perinatal mortality rate and the prevalence of neonatal asphyxia were
significantly lower in the atosiban group than in the ritodrine group (p<0.05).
When the medication was applied at a gestational age of fewer than 28 weeks, the
perinatal mortality rate and the prevalence of neonatal pneumonia were
significantly lower in the atosiban group compared with the ritodrine group
(p<0.05). When the first drug administration was at a gestational age of 28 weeks
or later, the need for neonatal pediatric treatment was significantly reduced in
the atosiban group relative to the ritodrine group. Independent of when the drug
administration was initiated, there were no significant differences between the
atosiban and ritodrine groups in the occurrences of neonatal asphyxia, acute
respiratory distress syndrome (ARDS), neonatal brain injury, or neonatal sepsis
(p>0.05). CONCLUSIONS: Administration of atosiban has a comparatively better
effect than that of ritodrine on pregnant women who underwent ART and is safe and
effective at preventing immediate preterm birth. Atosiban is significantly better
than ritodrine at reducing the rates of perinatal mortality and neonatal
pneumonia, and the perinatal outcomes for those who began to use atosiban at a
gestational age of fewer than 28 weeks were even better.
PMID- 27212184
TI - Renoprotective effect of atorvastatin on STZ-diabetic rats through inhibiting
inflammatory factors expression in diabetic rat.
AB - OBJECTIVE: Though increasing evidences showed that statins had potential benefits
to diabetic kidney disease (DKD), its mechanism has not been completely
elucidated yet. The aim of this study was to investigate the renoprotective
effects of atorvastatin on DKD. MATERIALS AND METHODS: Kidney injury was induced
by streptozotocin (STZ) in rats. STZ-diabetic rats were treated with atorvastatin
(10 mg/kg/d) for consecutive 8 weeks. Renal functional and morphological changes
were evaluated by clinical biochemistry and histological examination. The
expression of inflammatory factors in kidney was measured by real-time (RT)-PCR
and enzyme-linked immunosorbent assay (ELISA). RESULTS: Compared with DKD rat,
atorvastatin effectively reduced the levels of low-density lipoprotein
cholesterol (LDL-C), creatinine (CREA), ratio of urine albumin to creatinine
(UACR) (p <0.05). The expression of inflammatory factors TNF-alpha, MCP-1 and IL
6 in kidney tissue were significantly down-regulated, as well (p <0.05).
Atorvastatin improved kidney injury with the reduced morphologic lesions and
renal fibrosis and the increasing transforming growth factor-beta (TGF-beta) and
collagen I staining. CONCLUSIONS: Our results suggested that atorvastatin could
ameliorate DKD through inhibiting pro-inflammatory pathways. Atorvastatin may
possess a potential antidiabetic effect and serve as the therapeutic drug for DKD
management.
PMID- 27212185
TI - A pilot study on association between phthalate exposure and missed miscarriage.
AB - OBJECTIVE: The incidence of missed miscarriage has been increasing during the
past decade in China and the etiology of about half of the cases remains unclear.
Exposure to phthalates has been considered as a risk factor. The aim of this
paper is to assess the association between exposure to phthalates and missed
miscarriage. PATIENTS AND METHODS: A case-control study was performed including
150 cases of missed miscarriage and 150 matched controls with normal pregnancies.
The levels of phthalate exposure were compared between the two groups by
measuring 13 phthalate metabolites in urine samples. Blood samples were collected
for serum hormone measurement to assess the relationship between serum hormone
level and phthalate exposure. RESULTS: The urinary levels of metabolites of di-(2
ethylhexyl) phthalate (DEHP) and dimethyl phthalate (DMP) were significantly
higher in the cases than in the controls. A strong dose-response relationship was
observed between urinary metabolite levels and the odds of missed miscarriage.
Monomethyl phthalate (MMP), a metabolite of DMP, and mono-2-ethylhexyl phthalate
(MEHP), a metabolite of DEHP, each had significant negative correlation with
maternal serum hormone levels. CONCLUSIONS: In the current study, exposure to
DEHP and DMP was found to be associated with missed miscarriage. Interruption of
hormone synthesis by DMP and DEHP metabolites represents a plausible mechanism of
phthalate reproductive toxicity.
PMID- 27212186
TI - The news advances on Alzheimer's disease's therapeutics.
AB - Alzheimer's disease (AD) is a multifaceted disorder, characterized by the failure
of memory and dementia. AD affects mostly elder above 65 years of age and is
confirmed by post-mortem detection in the brain, of extracellular senile plaques
of amyloid-beta (Abeta) and intracellular neurofibrillary tangles. These
pathological hallmarks appear in the brain when the disease is already installed.
The difficulty of earlier diagnosis and possibly, the poor understanding of the
disease etiology, limit the benefits afforded by available treatments. Indeed,
several putative drugs resulting from thorough investigations in preclinical
studies have failed to produce clinical results, suggesting the development of
further therapeutic alternatives. Recently, the regular practice of physical
activity has been shown as one of the effective preventive or curative mean
against AD. This finding rekindles the debate on the place of the intrinsic
vascular component in the AD pathogenesis which is an aspect of the disease often
considered as a distinct pathology. A new integrative conception of the disease
may offer an advantage to current therapies which may gain in potency if combined
in a multi-target manner to yield true improvements. This review will revisit the
pathophysiology of AD and discuss the advanced therapeutics currently in use.
PMID- 27212187
TI - Investigation of low recovery in the free drug assay for antibody drug conjugates
by size exclusion-reversed phase two dimensional-liquid chromatography.
AB - Antibody drug conjugates (ADCs) are complex therapeutic agents combining the
selectivity of monoclonal antibodies and highly efficacious small molecule drugs
to successfully eliminate tumor cells while limiting the general toxicity and
side effects of the therapeutic to protect patient safety. One unique attribute
critical to the safety of ADCs is the residual content of unconjugated small
molecule drug present from either incomplete conjugation or degradation of the
ADC. Typically for quality control assays, quantifying the amount of the free
drug is performed through precipitation of the protein species using an organic
solvent and then assaying the amount of free drug left in the supernatant. During
the validation of an assay of this type for a maleimide based linker drug, issues
were experienced with low and variable recovery in the spiked samples of the drug
substance and drug product. A two-dimensional heart-cutting method coupling Size
Exclusion Chromatography (SEC) with Reverse Phase (RP) chromatography was
utilized to explore possible mechanisms leading to the low recovery of the free
linker drug. The results of the investigation indicated that the spiked linker
drug reacts with residual reactive groups on the ADC; a conclusion which was
confirmed by the observed increase of average Drug to Antibody Ratio (DAR)
determined by Hydrophobic Interaction Chromatography (HIC). Finally, several
approaches were evaluated to minimize the recovery loss. Capping the residual
reactive groups on the ADC with maleimide containing reagents effectively
mitigated the low recovery issue.
PMID- 27212188
TI - [About a presacral myelolipoma].
PMID- 27212189
TI - Diabetes and human immunodeficiency virus infection: Epidemiological, therapeutic
aspects and patient experience.
AB - INTRODUCTION: Nationally, no data on the association between human
immunodeficiency virus infection and diabetes have been published. OBJECTIVES: To
review the epidemiological, clinical and therapeutic data and evaluate the
experience of people living with HIV and suffering from diabetes. METHODS: Our
study population was composed of 190 outpatients (87 males and 103 females)
attending the Infectious Diseases department of the University Hospital Center of
Casablanca (Ibn Rochd). Using the computerized medical records, we identified
patients with HIV-Diabetes and collected their epidemiological, clinical and
therapeutic data. At the enrollment date of each patient, we measured
anthropometric parameters (weight, height, waist circumference, hip
circumference, and arm circumference). We also asked each patient, about the
impression on their bodies' appearance and the degree of concern with regard to
the diabetes. RESULTS: The population of patients with HIV, the prevalence of
diabetes was 10.5%, among the patients taking an antiretroviral therapy, the
prevalence was 13.5%. Diabetes has been diagnosed in 113 patients before the
discovery of their HIV infection. At time of recruitment, 111 of them were under
antiretroviral therapy for a mean period of 3.1years. Zidovudine was the most
prescribed drug followed by lamivudine. Type 2 diabetes was diagnosed in 144
patients. Eighty-seven patients feel conscious about their body appearance which
makes them feel bad about the way they look. Metformin was prescribed in 46
cases. The majority of patients (73.1%) considered diabetes as a second health
problem. Only 46 patients were well balanced. CONCLUSION: The multidisciplinary
consultation and patient education should enable an appropriate management of
diabetes in HIV infected patients.
PMID- 27212190
TI - [Association of progressive multifocal leukoencephalopathy and sarcoidosis].
PMID- 27212191
TI - [Smokers and psychiatric comorbidities].
AB - The prevalence of smoking in patients with psychiatric disorders is higher than
the prevalence of smoking in general population. Smokers with psychiatric
disorders are at a greater risk for medical morbidity and mortality, including
chronic obstructive pulmonary disease, cardiovascular disease, and cancers,
compared to those in the general population. Their life expectancies are
considerably reduced (25years). Tobacco-related illnesses are the leading cause
of death in patients with psychiatric disorders. Smokers with psychiatric
disorders report more severe withdrawal symptoms than those without psychiatric
disorders. Withdrawal symptoms should be disentangled from those linked to
psychiatric comorbidities. Approved pharmacotherapy, in particular nicotine
replacement therapy, varenicline and bupropion, is recommended for nicotine
dependent smokers with psychiatric disorders. Quitting does not exacerbate pre
existing mental illness.
PMID- 27212192
TI - The pathogenesis of bornaviral diseases in mammals.
AB - Natural bornavirus infections and their resulting diseases are largely restricted
to horses and sheep in Central Europe. The disease also occurs naturally in cats,
and can be induced experimentally in laboratory rodents and numerous other
mammals. Borna disease virus-1 (BoDV-1), the cause of most cases of mammalian
Borna disease, is a negative-stranded RNA virus that replicates within the
nucleus of target cells. It causes severe, often lethal, encephalitis in
susceptible species. Recent events, especially the discovery of numerous new
species of bornaviruses in birds and a report of an acute, lethal bornaviral
encephalitis in humans, apparently acquired from squirrels, have revived interest
in this remarkable family of viruses. The clinical manifestations of the
bornaviral diseases are highly variable. Thus, in addition to acute lethal
encephalitis, they can cause persistent neurologic disease associated with
diverse behavioral changes. They also cause a severe retinitis resulting in
blindness. In this review, we discuss both the pathological lesions observed in
mammalian bornaviral disease and the complex pathogenesis of the neurologic
disease. Thus infected neurons may be destroyed by T-cell-mediated cytotoxicity.
They may die as a result of excessive inflammatory cytokine release from
microglia. They may also die as a result of a 'glutaminergic storm' due to a
failure of infected astrocytes to regulate brain glutamate levels.
PMID- 27212193
TI - Antibacterial Activity of Silver Nanoparticle-Loaded Soft Contact Lens Materials:
The Effect of Monomer Composition.
AB - PURPOSE: In the present work, the effect of monomer composition on silver
nanoparticles' (SNPs) binding capacity of hydrogels was investigated and their
antibacterial efficacy was evaluated. MATERIALS AND METHODS: Three series of poly
hydroxyethyl methacrylate (HEMA) hydrogels were prepared using methacrylic acid
(MAA), methacrylamide (MAAM), and 4-vinylpyridine (4VP) as co-monomers, and
ethylene glycol dimethacrylate (EGDMA) as cross-linker. SNPs binding capacity of
hydrogels was evaluated in different concentrations (2, 10, and 20 ppm). In vitro
antibacterial activity of SNP-loaded hydrogels was studied against Pseudomonas
aeruginosa (P. aeruginosa) isolated from patients' eyes. Then, inhibitory effect
of hydrogels in biofilm formation was evaluated in the presence of Staphylococcus
epidermidis (S. epidermidis) (DSMZ 3270). RESULTS: Our data indicated that
poly(HEMA-co-MAA-co-EGDMA) had superior binding affinity for SNPs in comparison
with other hydrogels. All SNP-loaded hydrogels demonstrated excellent
antimicrobial effects at all times against P. aeruginosa and S. epidermidis after
soaking in 10 and 20 ppm SNP suspensions. Scanning electron microscope (SEM)
images revealed excellent inhibitory effect of SNPs against biofilm formation on
the surface of the hydrogels. CONCLUSIONS: This study indicated the effect of
monomer compositions in SNP loading capacity of poly(HEMA) hydrogels and
antibacterial efficacy of SNP-loaded hydrogels against P. aeruginosa and S.
epidermidis, but further in vivo evaluation is necessary.
PMID- 27212194
TI - [Denuded areas of skin in an infant].
PMID- 27212195
TI - miRNA-223 inhibits epithelial-mesenchymal transition in gastric carcinoma cells
via Sp1.
AB - Sp1 plays critical roles in epithelial-mesenchymal transition (EMT) of certain
cancer. However, few studies have indicated whether Sp1 is involved in the EMT of
gastric cancer, and whether abnormal expression of Sp1 in gastric cancer EMT is
regulated in a post-transcriptional manner, and the involvement of miRNAs in this
regulation. In this study, we selected 20 cases of gastric cancers, their liver
metastases and para-carcinoma tissues to examine the levels of Sp1 protein and
mRNA by immunohistochemistry and fluorescent PCR, which showed that Sp1 was
increased in gastric cancers and their metastases compared with adjacent tissues,
but there was no difference in Sp1 mRNA between these three groups, suggesting
changes in Sp1 may be attributed to inactivation of post-transcriptional
regulation. We verified by a luciferase reporter system that miRNA-223 binds to
3'-UTR of Sp1 gene and inhibits its translation, in agreement with negative
correlation between miRNA-223 and Sp1 protein levels in gastric cancer cells. By
employing TGF-beta1 to induce MGC-803, BGC-823 and SGC-7901, we successfully
built cellular EMT model. Then, we overexpressed miRNA-223 in the model by using
a lentiviral system, which diminished EMT indicators and suppressed proliferation
and invasion ability, and induced apoptosis. Finally, we verified the specificity
of the regulation pathway miRNA-223/Sp1/EMT. These findings suggest that low
expression of miRNA-223 in gastric cancer cells is an important cause for EMT.
miRNA-223 specifically regulates the EMT process of gastric cancer cells through
its target gene Sp1. Overexpression of miRNA-223 in these cells inhibits EMT via
the miRNA-223/Sp1/EMT pathway.
PMID- 27212196
TI - Robust Intratumor Partitioning to Identify High-Risk Subregions in Lung Cancer: A
Pilot Study.
AB - PURPOSE: To develop an intratumor partitioning framework for identifying high
risk subregions from (18)F-fluorodeoxyglucose positron emission tomography (FDG
PET) and computed tomography (CT) imaging and to test whether tumor burden
associated with the high-risk subregions is prognostic of outcomes in lung
cancer. METHODS AND MATERIALS: In this institutional review board-approved
retrospective study, we analyzed the pretreatment FDG-PET and CT scans of 44 lung
cancer patients treated with radiation therapy. A novel, intratumor partitioning
method was developed, based on a 2-stage clustering process: first at the patient
level, each tumor was over-segmented into many superpixels by k-means clustering
of integrated PET and CT images; next, tumor subregions were identified by
merging previously defined superpixels via population-level hierarchical
clustering. The volume associated with each of the subregions was evaluated using
Kaplan-Meier analysis regarding its prognostic capability in predicting overall
survival (OS) and out-of-field progression (OFP). RESULTS: Three spatially
distinct subregions were identified within each tumor that were highly robust to
uncertainty in PET/CT co-registration. Among these, the volume of the most
metabolically active and metabolically heterogeneous solid component of the tumor
was predictive of OS and OFP on the entire cohort, with a concordance index or CI
of 0.66-0.67. When restricting the analysis to patients with stage III disease
(n=32), the same subregion achieved an even higher CI of 0.75 (hazard ratio 3.93,
log-rank P=.002) for predicting OS, and a CI of 0.76 (hazard ratio 4.84, log-rank
P=.002) for predicting OFP. In comparison, conventional imaging markers,
including tumor volume, maximum standardized uptake value, and metabolic tumor
volume using threshold of 50% standardized uptake value maximum, were not
predictive of OS or OFP, with CI mostly below 0.60 (log-rank P>.05). CONCLUSION:
We propose a robust intratumor partitioning method to identify clinically
relevant, high-risk subregions in lung cancer. We envision that this approach
will be applicable to identifying useful imaging biomarkers in many cancer types.
PMID- 27212197
TI - Stochastic Predictions of Cell Kill During Stereotactic Ablative Radiation
Therapy: Do Hypoxia and Reoxygenation Really Matter?
AB - PURPOSE: To simulate stereotactic ablative radiation therapy on hypoxic and well
oxygenated in silico tumors, incorporating probabilistic parameter distributions
and linear-quadratic versus linear-quadratic-cubic methodology and the evaluation
of optimal fractionation schemes using biological effective dose
(BEDalpha/beta=10 or 3) comparisons. METHODS AND MATERIALS: A temporal tumor
growth and radiation therapy algorithm simulated high-dose external beam
radiation therapy using stochastic methods. Realistic biological proliferative
cellular hierarchy and pO2 histograms were incorporated into the 10(8)-cell tumor
model, with randomized radiation therapy applied during continual cell
proliferation and volume-based gradual tumor reoxygenation. Dose fractions ranged
from 6-35 Gy, with predictive outcomes presented in terms of the total doses
(converted to BED) required to eliminate all cells that could potentially
regenerate the tumor. RESULTS: Well-oxygenated tumor control BED10 outcomes were
not significantly different for high-dose versus conventional radiation therapy
(BED10: 79-84 Gy; Equivalent Dose in 2 Gy fractions with alpha/beta of 10: 66-70
Gy); however, total treatment times decreased from 7 down to 1-3 weeks. For
hypoxic tumors, an additional 28 Gy (51 Gy BED10) was required, with BED10
increasing with dose per fraction due to wasted dose in the final fraction.
Fractions of 9 Gy compromised well for total treatment time and BED, with
BED10:BED3 of 84:176 Gy for oxic and 132:278 Gy for non-reoxygenating hypoxic
tumors. Initial doses of 12 Gy followed by 6 Gy further increased the therapeutic
ratio. When delivering >=9 Gy per fraction, applying reoxygenation and/or linear
quadratic-cubic cell survival both affected tumor control doses by a significant
1-2 fractions. CONCLUSIONS: The complex temporal dynamics of tumor oxygenation
combined with probabilistic cell kinetics in the modeling of radiation therapy
requires sophisticated stochastic modeling to predict tumor cell kill. For
stereotactic ablative radiation therapy, high doses in the first week followed by
doses that are more moderate may be beneficial because a high percentage of
hypoxic cells could be eradicated early while keeping the required BED10
relatively low and BED3 toxicity to tolerable levels.
PMID- 27212198
TI - Correlation Between the Severity of Cetuximab-Induced Skin Rash and Clinical
Outcome for Head and Neck Cancer Patients: The RTOG Experience.
AB - PURPOSE: To evaluate the severity of cetuximab-induced skin rash and its
correlation with clinical outcome and late skin toxicity in patients with head
and neck squamous cell carcinoma treated with chemoradiation therapy and
cetuximab. METHODS AND MATERIALS: Analysis included patients who received loading
dose and >=1 cetuximab dose concurrent with definitive chemoradiation therapy (70
Gy + cisplatin) or postoperative chemoradiation therapy (60-66 Gy + docetaxel or
cisplatin). RESULTS: Six hundred two patients were analyzed; 383 (63.6%)
developed grade 2 to 4 cetuximab rash. Patients manifesting grade 2 to 4 rash had
younger age (P<.001), fewer pack-years smoking history (P<.001), were more likely
to be males (P=.04), and had p16-negative (P=.04) oropharyngeal tumors (P=.003).
In univariate analysis, grade 2 to 4 rash was associated with better overall
survival (hazard ratio [HR] 0.58, P<.001) and progression-free survival (HR 0.75,
P=.02), and reduced distant metastasis rate (HR 0.61, P=.03), but not local
regional failure (HR 0.79, P=.16) relative to grade 0 to 1 rash. In multivariable
analysis, HRs for overall survival, progression-free survival, distant
metastasis, and local-regional failure were, respectively, 0.68 (P=.008), 0.85
(P=.21), 0.64 (P=.06), and 0.89 (P=.48). Grade >=2 rash was associated with
improved survival in p16-negative patients (HR 0.28 [95% confidence interval 0.11
0.74]) but not in p16-positive patients (HR 1.10 [0.42-2.89]) (P=.05 for
interaction). Twenty-five percent of patients with grade 2 to 4 acute in-field
radiation dermatitis experienced grade 2 to 4 late skin fibrosis, versus 14% of
patients with grade 0 to 1 acute in-field radiation dermatitis (P=.002).
CONCLUSION: Grade 2 to 4 cetuximab rash was associated with better survival,
possibly due to reduction of distant metastasis. This observation was noted
mainly in p16-negative patients. Grade 2 to 4 acute in-field radiation dermatitis
was associated with higher rate of late grade 2 to 4 skin fibrosis.
PMID- 27212200
TI - Trametramide A, a new pyridone alkaloid from the fungus Trametes trogii TGC-P-3.
PMID- 27212201
TI - Comparison of two accelerometers for monitoring sleep: Agreement and validity.
PMID- 27212199
TI - Exome Sequencing and Gene Prioritization Correct Misdiagnosis in a Chinese
Kindred with Familial Amyloid Polyneuropathy.
AB - Inherited neuropathies show considerable heterogeneity in clinical manifestations
and genetic etiologies, and are therefore often difficult to diagnose. Whole
exome sequencing (WES) has been widely adopted to make definite diagnosis of
unclear conditions, with proven efficacy in optimizing patients' management. In
this study, a large Chinese kindred segregating autosomal dominant polyneuropathy
with incomplete penetrance was ascertained through a patient who was initially
diagnosed as Charcot-Marie-Tooth disease. To investigate the genetic cause, forty
six living family members were genotyped by SNP microarrays, and one confirmed
patient was subject to WES. Through systematic computational prioritization, we
identified a missense mutation c.G148T in TTR gene which results in a p.V50L
substitution known to cause transthyretin-related familial amyloid
polyneuropathy. Co-segregation analysis and clinical follow-up confirmed the new
diagnosis, which suggested new therapeutic options to the patients and informed
high risk family members. This study confirms WES as a powerful tool in
translational medicine, and further demostrates the practical utility of gene
prioritization in narrowing the scope of causative mutation.
PMID- 27212202
TI - Urinary volatile compounds differ across reproductive phenotypes and following
aggression in male Siberian hamsters.
AB - Chemical communication plays an integral role in social behavior by facilitating
social encounters, allowing for the evaluation of social partners, defining
territories and advertising information such as species and sex. Odors provide
information about the social environment for rodents and other mammals; however,
studies identifying chemical compounds and their functions have thus far focused
primarily on a few species. In addition, considerably less attention has been
focused on how environmental factors and behavioral context alter these compounds
during periods of reproductive quiescence. We examined the effects of photoperiod
and social context on chemical communication in the seasonally breeding Siberian
hamster which displays modest territorial aggression during long "summer-like"
days, but increased aggression in short "winter-like" days. We collected urine
samples from long- and short-day male hamsters to investigate how photoperiod and
subsequent changes in reproductive phenotype alter urinary volatile compound
profiles. Next, we identified changes in urinary compounds before and after an
aggressive encounter. Male hamsters exhibited a diverse urinary profile across
photoperiods; however, long-day reproductive males showed higher levels of
individual compounds when compared to short-day non-reproductive males. In
addition, individual compounds were altered following an aggressive encounter;
some changed only in long days whereas others changed regardless of photoperiod.
Further, aggression and circulating levels of testosterone were positively
correlated with urinary compounds in long-, but not short-day males. These
findings suggest both photoperiod- and aggression-specific physiological
regulation of urinary compounds in this species and contribute to a greater
understanding of chemical communication more broadly.
PMID- 27212203
TI - Generalised granuloma annulare associated with myelodysplastic syndrome.
PMID- 27212204
TI - A Qualitative Study of Violence Against Women after the Recent Disasters of Iran.
AB - Introduction Violence against women (VAW) is one of the most widespread
violations of human rights and a major barrier to achieving gender equality.
Violence against women is increased in disaster-stricken communities. Violence
experiences, cases, and lessons-learned concerning Iran's disasters have not been
investigated, documented, or shared so far. To fill this knowledge gap, this
qualitative study aimed to explore types of VAW and girls after the recent quakes
and floods in Iran. Problem The objective for this study was exploring the
manifestations of VAW after the natural disasters in Iran. METHODS: A qualitative
approach was used for this study. Data were collected by in-depth, unstructured
interviews and field observations in three affected regions of Iran, including
East Azerbaijan, Bushehr, and Mazandaran. A total of 15 participants, eight
damaged women as well as seven key informants, were interviewed. A content
analysis using Graneheim approach was performed for analyzing transcribed
interviews. RESULTS: Two main themes were extracted from data, including domestic
violence and violence within community. The first theme included three
categories: physical, psychological, and sexual violence. Psychological violence
and sexual harassment were two categories of violence within the community
concept. CONCLUSION: Different types of violence emerged from the present
research that can be anticipated and integrated into future disaster medicine
plans, public health reforms, and national rules of Iran. Improving women's
knowledge on their rights to have a life without violence, and participation of
both women and men in violence reduction projects, can be considered in all
disaster management phases. Sohrabizadeh S . A qualitative study of violence
against women after the recent disasters of Iran. Prehosp Disaster Med.
2016;31(4):407-412.
PMID- 27212205
TI - Hypoglycemic effect of D-chiro-inositol in type 2 diabetes mellitus rats through
the PI3K/Akt signaling pathway.
AB - In this investigation, a model of type 2 diabetes mellitus (T2DM) was used on
Sprague-Dawley (SD) rats to clarify more details of the mechanism in the therapy
of T2DM. D-chiro-inositol (DCI) was administrated to the diabetic rats as two
doses [30, 60 mg/(kg.body weight.day)]. The biochemical indices revealed that DCI
had a positive effect on hypoglycemic activity and promoted the glycogen
synthesis. The rats in DCI high-dosage group had a blood glucose reduction rate
of 21.5% after 5 weeks of treatment, and had insulin content in serum about 15.3
+/- 2.37 mIU/L which was significantly decreased than diabetes control group.
Real-time polymerase chain reaction (RT-PCR) results revealed that DCI gave a
positive regulation on glycogen synthase (GS) and protein glucose transporter-4
(Glut4). Western blotting suggested that DCI could up-regulated the expression of
the phosphatidylinositol-3-kinase (PI3K) p85, PI3Kp110, GS as well as the
phosphorylation of protein kinase B (Akt) both in the liver and the skeletal
muscle. The results also revealed that DCI enhanced the Glut4 expression on
skeletal muscle. Above all, DCI played a positive role in regulating insulin
mediated glucose uptake through the PI3K/Akt signaling pathway in T2DM rats.
PMID- 27212207
TI - The epidemiology of neuromuscular disorders: Age at onset and gender in the
Netherlands.
AB - Based on approximately eight years of data collection with the nationwide
Computer Registry of All Myopathies and Polyneuropathies (CRAMP) in the
Netherlands, recent epidemiologic information for thirty neuromuscular disorders
is presented. This overview includes age and gender data for a number of
neuromuscular disorders that are either relatively frequently seen in the
neuromuscular clinic, or have a particular phenotype. Since 2004, over 20,000
individuals with a neuromuscular disorder were registered in CRAMP; 56% men and
44% women. The number per diagnosis varied from nine persons with Emery-Dreifuss
muscular dystrophy to 2057 persons with amyotrophic lateral sclerosis.
Proportions of men ranged from 38% with post-polio syndrome to 68% with
progressive spinal muscular atrophy, excluding X-chromosome linked disorders.
Inclusion body myositis showed the highest median age at diagnosis of 70 years.
These data may be helpful in the diagnostic process in clinical practice and
trial readiness.
PMID- 27212206
TI - TMEM5-associated dystroglycanopathy presenting with CMD and mild limb-girdle
muscle involvement.
AB - The dystroglycanopathies, which are caused by reduced glycosylation of alpha
dystroglycan, are a heterogeneous group of neurodegenerative disorders
characterized by variable brain and skeletal muscle involvement. Recently,
mutations in TMEM5 have been described in severe dystroglycanopathies. We present
the clinical, molecular and neuroimaging features of an Italian boy who had
delayed developmental milestones with mild limb-girdle muscle involvement,
bilateral frontotemporal polymicrogyria, moderate intellectual disability, and no
cerebellar involvement. He also presented a cochlear dysplasia and harbored a
reported mutation (p.A47Rfs*42) in TMEM5, detected using targeted next-generation
sequencing. The relatively milder muscular phenotype and associated structural
brain abnormalities distinguish this case from previously reported patients with
severe dystroglycanopathies and expand the spectrum of TMEM5-associated
disorders.
PMID- 27212208
TI - Novel application of hydrophobin in medical science: a drug carrier for improving
serum stability.
AB - Multiple physiological properties of glucagon-like peptide-1 (GLP-1) ensure that
it is a promising drug candidate for the treatment of type 2 diabetes. However,
the in vivo half-life of GLP-1 is short because of rapid degradation by
dipeptidyl peptidase-IV (DPP-IV) and renal clearance. The poor serum stability of
GLP-1 has significantly limited its clinical utility, although many studies are
focused on extending the serum stability of this molecule. Hydrophobin, a self
assembling protein, was first applied as drug carrier to stabilize GLP-1 against
protease degradation by forming a cavity. The glucose tolerance test clarified
that the complex retained blood glucose clearance activity for 72 hours
suggesting that this complex might be utilized as a drug candidate administered
every 2-3 days. Additionally, it was found that the mutagenesis of hydrophobin
preferred a unique pH condition for self-assembly. These findings suggested that
hydrophobin might be a powerful tool as a drug carrier or a pH sensitive drug
release compound. The novel pharmaceutical applications of hydrophobin might
result in future widespread interest in hydrophobin.
PMID- 27212209
TI - Technical note: Computer-manufactured inserts for prosthetic sockets.
AB - The objective of this research was to use computer-aided design software and a
tabletop 3-D additive manufacturing system to design and fabricate custom plastic
inserts for trans-tibial prosthesis users. Shape quality of inserts was tested
right after they were inserted into participant's test sockets and again after
four weeks of wear. Inserts remained properly positioned and intact throughout
testing. Right after insertion the inserts caused the socket to be slightly under
sized, by a mean of 0.11mm, approximately 55% of the thickness of a nylon sheath.
After four weeks of wear the under-sizing was less, averaging 0.03mm,
approximately 15% of the thickness of a nylon sheath. Thus the inserts settled
into the sockets over time. If existing prosthetic design software packages were
enhanced to conduct insert design and to automatically generate fabrication files
for manufacturing, then computer manufactured inserts may offer advantages over
traditional methods in terms of speed of fabrication, ease of design,
modification, and record keeping.
PMID- 27212210
TI - Clinical workflow for personalized foot pressure ulcer prevention.
AB - Foot pressure ulcers are a common complication of diabetes because of patient's
lack of sensitivity due to neuropathy. Deep pressure ulcers appear internally
when pressures applied on the foot create high internal strains nearby bony
structures. Monitoring tissue strains in persons with diabetes is therefore
important for an efficient prevention. We propose to use personalized
biomechanical foot models to assess strains within the foot and to determine the
risk of ulcer formation. Our workflow generates a foot model adapted to a
patient's morphology by deforming an atlas model to conform it to the contours of
segmented medical images of the patient's foot. Our biomechanical model is
composed of rigid bodies for the bones, joined by ligaments and muscles, and a
finite element mesh representing the soft tissues. Using our registration
algorithm to conform three datasets, three new patient models were created. After
applying a pressure load below these foot models, the Von Mises equivalent
strains and "cluster volumes" (i.e. volumes of contiguous elements with strains
above a given threshold) were measured within eight functionally meaningful foot
regions. The results show the variability of both location and strain values
among the three considered patients. This study also confirms that the anatomy of
the foot has an influence on the risk of pressure ulcer.
PMID- 27212211
TI - Numerical and ex vivo studies of a bioprobe developed for laser-induced
thermotherapy (LITT) in contact with liver tissue.
AB - This work is based on the production of a bioprobe that is compatible with
magnetic resonance imaging (MRI) for laser-induced thermotherapy (LITT) in liver
cancer laser therapy. This probe is made of an alumina tube (3-mm diameter) in
which an optical fibre is centred and fixed. A shooting window (20mm) is created
using a mechanical rectifier. The device is then consolidated by the injection of
a transparent and heat-resistant resin. Through numerical modelling, the thermal
power damping of the laser source is evaluated as well as the propagation of the
heat in the ex vivo liver tissue according to different heating scenarios. These
analyses allow for an estimation of the irradiated volume. Ex vivo tests were
performed on bovine liver to confirm the adequacy of the bioprobe for LITT and of
the irradiated volumes predicted by the numerical model. There was a difference
of 8% between the simulations and ex vivo experiments. The pulsed mode heating
scenario was the most effective under the experimental conditions.
PMID- 27212212
TI - Heatless synthesis of well dispersible Au nanoparticles using pectin biopolymer.
AB - Due to its potency to utilize in enormous applications, preparation of nanogold
is of interest. Moreover, getting of highly dispersed nanogold with small size is
extremely needful in specific fields. Herein, Au nanocolloid was prepared using
alkali catalyzed pectin biopolymer. Pectin was concurrently used as reductant for
Au ions and stabilizer for the produced Au nanoparticles (AuNPs). Reducing sugars
were evaluated in the colloidal solution reflecting the role alkali in catalytic
degradation of pectin to produce much powerful reducing moieties. The obtained Au
nanocolloid was monitored via changing in color, UV-visible spectral and
transmission electron microscopy. Using of NaOH as strong alkali achieving rapid
rate of degradation reaction, resulted in 0.45g/L reducing sugars from 0.2g/L
pectin which produced AuNPs with mean size of 6.5nm. In case of Na2CO3 which
attained slow degradation rate led to, slightly low reducing sugar content
(0.41g/L), fabricated comparatively size of AuNPs (7.5nm). In both cases, well
distributed AuNPs was obtained with suitable stabilization up to 5 months and
Na2CO3 exhibited higher stability. The current successful method used to produce
small sized AuNPs with high dispersion is an innovative, one-step, easily,
costless, energy saving and eco-friendly method.
PMID- 27212213
TI - Structure and antioxidative property of a polysaccharide from an ammonium oxalate
extract of Phellinus linteus.
AB - In this paper, the novel polysaccharide PL-A11 was purified from an ammonium
oxalate extract of Phellinus linteus mycelia. Its physicochemical properties,
structural characteristics, and antioxidant activities were investigated. Results
showed that PL-A11 had a weight-average molecular weight (Mw) of 13.8kDa and was
mainly composed of arabinose, xylose, mannose, and glucose in a molar ratio of
1.1:1.3:1.0:6.6. The backbone of PL-A11 was composed of (1->4)-alpha-d
glucopyranosyl, (1->2)-alpha-d-xylopyranosyl, and (1->3)-alpha-d-arabinofuranosyl
residues, whereas the (1->6)-alpha-d-mannopyranosyl residues formed branches at
the O-2 position with 1-linked-alpha-d-glucopyranosyl terminal residues. From the
antioxidative activity tests in vivo, the administration of PL-A11 obviously
enhanced the activity of antioxidant enzymes and significantly reduced the level
of malondiadehyde (MDA) in the serum and liver of d-galactose-treated aging mice
in a dose-dependent manner, as well as effectively stimulated the immune system
of aging mice. These findings implied that PL-A11 could be developed as a
potential antioxidant for applications in the functional food, pharmaceutical,
cosmetic or nutraceutical industries.
PMID- 27212214
TI - Heme-coordinated histidine residues form non-specific functional "ferritin-heme"
peroxidase system: Possible and partial mechanistic relevance to oxidative stress
mediated pathology in neurodegenerative diseases.
AB - Ferritin is a giant protein composed of 24 subunits which is able to sequester up
to 4500 atoms of iron. We proposed two kinds of heme binding sites in mammalian
ferritins and provided direct evidence for peroxidase activity of heme-ferritin,
since there is the possibility that "ferritin-heme" systems display unexpected
catalytic behavior like heme-containing enzymes. In the current study, peroxidase
activity of heme-bound ferritin was studied using TMB(1), l-DOPA, serotonin, and
dopamine, in the presence of H2O2, as oxidant substrate. The catalytic oxidation
of TMB was consistent with first-order kinetics with respect to ferritin
concentration. Perturbation of the binding affinity and catalytic behavior of
heme-bound His-modified ferritin were also documented. We also discuss the
importance of the peroxidase-/nitrative-mediated oxidation of vital molecules as
well as ferritin-induced catalase inhibition using in vitro experimental system.
Uncontrollable "heme-ferritin"-based enzyme activity as well as up-regulation of
heme and ferritin may inspire that some oxidative stress-mediated cytotoxic
effects in AD-affected cells could be correlated to ferritin-heme interaction
and/or ferritin-induced catalase inhibition and describe its contribution as an
important causative pathogenesis mechanism in some neurodegenerative disorders.
PMID- 27212215
TI - Assessment of biochemical and immunomodulatory activity of sulphated
polysaccharides from Ulva intestinalis.
AB - The biochemical characteristics and immunomodulatory activity of sulphated
polysaccharides isolated from Ulva intestinalis and fractionated using a silica
silica column were investigated. The unfractionated (USP) and fractionated
sulphated polysaccharides (FSP4, FSP30, and FSP32) consisted mostly of
carbohydrates (4.84-26.55%) and sulphates (2.85-20.42%). Structural analyses
showed that USP, FSP4, FSP30 and FSP32 had molecular weights of 300, 80, 110 and
140kDa, respectively. FSP30 exhibited the strongest DPPH radical scavenging
activity. Moreover, FSP30 showed stronger immunomodulatory activities than UPS in
term of stimulating the production of pro-inflammatory cytokines, including
nitric oxide (NO), tumour necrosis factor-alpha (TNF-alpha), and interleukin
1beta (IL-1beta), in macrophage J774A.1 cells. USP and FSP30 were not cytotoxic
to mouse macrophage at the tested concentrations (6.25-50MUg/mL). The results
suggested that U. intestinalis polysaccharides could be explored as potential
antioxidant and immunomodulatory agents to be used as complementary medicine or
functional foods.
PMID- 27212216
TI - Guar gum succinate-sodium alginate beads as a pH-sensitive carrier for colon
specific drug delivery.
AB - Guar gum succinate - sodium alginate (GGS-SA) beads cross-linked with barium ions
were prepared and characterized as a pH sensitive carrier for colon-specific drug
delivery. The structure of GGS-SA beads was confirmed by FT-IR spectroscopy.
Scanning Electron Microscope (SEM) studies revealed that the drug loaded GGS-SA
beads prepared using 2:2 (w/v) weight percent of GGS and SA had a diameter about
1.4mm and roughly spherical in shape. X-ray diffraction (XRD) studies showed that
the peaks corresponding to GGS and SA at 13.5 degrees , 17.5 degrees , 20.2
degrees and 13.5 degrees , 22 degrees , 24.1 degrees , respectively were
destroyed in GGS-SA beads which show that these beads are more amorphous in
nature. Swelling studies demonstrated the pH-dependent swelling behavior of GGS
SA beads. The beads showed higher swelling degrees in pH 7.4 than that in pH 1.2
due to the existence of anionic groups in the polymer chains. The drug release
study showed that the amount of model drug, ibuprofen, released from the GGS-SA
beads was higher in pH 7.4 than that in pH 1.2 due to the pH-dependent swelling
behavior of the beads. MTT assay revealed that GGS-SA beads at a concentration
range of 0-30MUg/ml had no cytotoxic effect on the cultured mouse mesenchymal
stem cells (C3H10T1/2). These results suggest that GGS-SA beads can be used as
effective colon-specific drug delivery system with pH-dependent drug release
ability.
PMID- 27212217
TI - Polyionic hybrid nano-engineered systems comprising alginate and chitosan for
antihypertensive therapeutics.
AB - Hydrophobic nature of virtually all antihypertensive (AHT) drugs is the major
hindrance towards their oral administration. Current study focuses on the
development of polyionic hybrid nano drug delivery systems comprising sodium
alginate and chitosan, loaded with distinct AHT drugs (captopril, amlodipine and
valsartan). Encapsulation efficiency of hybrid NCS increased in the order of
amlodipine>valsartan>captopril with average value of 42+/-0.9%, 91+/-1.5% and
96+/-1.9%, respectively. Scanning electron microscopy revealed hybrid NCS with
smooth topography and round appearance in case of captopril. FTIR analysis
confirmed the cross-linking between amino and carboxylate group of chitosan and
alginate to form polyionic structures at nano-scale. Zeta-sizer experiments
revealed that particle size distribution had increased from 197+/-12nm to 341+/
15nm for void and captopril loaded NCS. However, highly positive zeta potential
of +32+/-1.6mV was not decreased significantly. In vitro sustained release assays
reflected excellent retention of AHT drug in hybrid nanoparticles at 4 degrees C
and 37 degrees C in physiological buffer, as less than 8% of the total drug was
released in first 24h. Thus, carbohydrate-based hybrid NCS offering high loading
capacity, stability and sustained release of hydrophobic drugs can be excellent
alternative to current AHT therapeutics.
PMID- 27212218
TI - Electrospun fibers based on Arabic, karaya and kondagogu gums.
AB - Nanofibers of natural tree polysaccharides based on three gums namely Arabic
(GA), karaya (GK) and kondagogu (KG) have been prepared for the first time using
electrospinning. Electrospinning solutions were prepared by mixing gum solutions
of GA, GK & KG with eco-friendly polymers such as polyvinyl alcohol (PVA) or
polyethylene oxide (PEO). The present study focuses on the effect of
electrospinning blended solutions of GA, GK or KG with PVA or PEO, additives
which influence system parameters and process parameters. This has important
effects on the electrospinning process and the resulting fibers whose morphology
and physicochemical properties were evaluated. The mass ratios of 70:30 to 90:10
for PVA: GA, PVA: GK and PVA: KG were observed to establish an optimum blend
solution ratio in order to fabricate uniform beadless nanofibers with an average
diameter of 240+/-50, 220+/-40 and 210+/-30nm, respectively. Various structural
and physicochemical properties of the electrospun fibers were investigated.
Furthermore, the comparisons of various functionalities of the untreated and
plasma treated electrospun fibers were assessed. The methane plasma treated
nanofibers were shown to be of extremely specific surface area, improved water
contact angle, high surface porosity and roughness and superior hydrophobic
properties compared to untreated fibers.
PMID- 27212219
TI - Microencapsulation of chia seed oil using chia seed protein isolate-chia seed gum
complex coacervates.
AB - Chia seed oil (CSO) microcapsules were produced by using chia seed protein
isolate (CPI)-chia seed gum (CSG) complex coacervates aiming to enhance the
oxidative stability of CSO. The effect of wall material composition, core-to-wall
ratio and method of drying on the microencapsulation efficiency (MEE) and
oxidative stability (OS) was studied The microcapsules produced using CPI-CSG
complex coacervates as wall material had higher MEE at equivalent payload, lower
surface oil and higher OS compared to the microcapsules produced by using CSG and
CPI individually. CSO microcapsules produced by using CSG as wall material had
lowest MEE (67.3%) and oxidative stability index (OSI=6.6h), whereas CPI-CSG
complex coacervate microcapsules had the highest MEE (93.9%) and OSI (12.3h). The
MEE and OSI of microcapsules produced by using CPI as wall materials were in
between those produced by using CSG and CPI-CSG complex coacervates as wall
materials. The CSO microcapsules produced by using CPI-CSG complex coacervate as
shell matrix at core-to-wall ratio of 1:2 had 6 times longer storage life
compared to that of unencapsulated CSO. The peroxide value of CSO microcapsule
produced using CPI-CSG complex coacervate as wall material was <10meq O2/kg oil
during 30 days of storage.
PMID- 27212220
TI - Ultrasonic-assisted extraction, structure and antitumor activity of
polysaccharide from Polygonum multiflorum.
AB - Polygonum multiflorum is a popular Chinese herbal medicine with various
pharmacological functions. In this study, the ultrasonic-assisted extraction
condition, structural characterization and antitumor activity of a polysaccharide
from roots of P. multiflorum were investigated. The ultrasonic-assisted
extraction condition was optimized by single-factor experiments and response
surface methodology. Results showed that the maximum extraction yield (5.49%) was
obtained at ultrasonic power 158W, extraction temperature 62 degrees C,
extraction time 80min and ratio of water to material 20mL/g. The obtained crude
polysaccharides were further purified to afford a neutral and an acidic fraction.
The structure of the main neutral polysaccharide (named PPS with molecular weight
of 3.26*10(5)Da) was characterized as a linear (1->6)-alpha-d-glucan by gas
chromatography, Fourier transform-infrared spectroscopy, methylation analysis, 1D
and 2D nuclear magnetic resonance. At the concentration of 400MUg/mL, the
inhibitory ratios of PPS on HepG-2 and BGC-823 cells were 53.35% and 38.58%,
respectively. Results suggested this polysaccharide could be a potential natural
antitumor agent.
PMID- 27212221
TI - Pt-Au Triangular Nanoprisms with Strong Dipole Plasmon Resonance for Hydrogen
Generation Studied by Single-Particle Spectroscopy.
AB - Three anisotropic Pt-covered, Pt-edged, and Pt-tipped Au triangular nanoprisms
(TNPs) were prepared by controlling the overgrowth of Pt as photocatalysts for H2
generation. With strong electric field and more interface for the hot electrons
transfer, the H2 generation rate of Pt-edged Au TNPs was 3 and 5 times higher
than those of Pt-tipped and Pt-covered Au TNPs. Single-particle photoluminescence
(PL) spectra and finite-difference-time-domain (FDTD) simulations demonstrated
that dipole surface plasmon resonance (DSPR) of Au TNPs enhanced the hot
electrons transfer from Au to Pt leading to H2 generation. SPR bands of Au TNPs
depending on the size play an important role on the photocatalytic activity of Pt
edged Au TNPs.
PMID- 27212223
TI - Can betaine pyridinium derivatives be used to control the photoejection of
cation?
AB - Using a combination of advanced DFT/TDDFT calculations together with ultrafast
and stationary spectroscopies we have investigated the photochemistry and
cationic complexation ability of 1-pyridinio-benzimidazolate (PyB) and analogs
substituted by 15-aza-5-crown (PyB-Aza) or dimethyl-amino groups (PyB-DiMe).
Focusing on PyB-Aza, the first aim was to assess the competitive complexation of
the imidazole bridge vs. the macrocycle. In acetonitrile, it was found by
absorption and emission that the imidazole moiety binds efficiently through
lateral electrostatic interaction of high charge density cations and especially
Ca(2+) to form a 1 : 1, metal : ligand (M : L) complex. Modulation of the
complexation toward para substitution of the phenyl ring with a donor group is
reported with values ranging from log K = 3.4 to 6.8. Complexation values are
properly predicted by DFT calculations. From a photochemical point of view, for
the same series, the trend is parallel to the rate of the photo-release process,
found to be less than 200 femtosecond (fs), the fastest photorelease
characteristic time reported so far. Unlike photoinduced charge transfer
molecules linked with an aza-crown group, the mechanism appears simpler with no
participation of loose complexes due to the macrocavity effect. Relaxation
mechanisms after cation ejection are discussed as well. Finally, even if any
photoinduced translocation of cation is reported for the PyB-Aza molecule between
two complexation sites, a discussion about the use of betaine pyridinium as a
molecular tool for the smart manipulation of cation systems is initiated.
PMID- 27212222
TI - Racial/Ethnic Differences in Insomnia Trajectories Among U.S. Older Adults.
AB - OBJECTIVES: Insomnia is reported to be more prevalent in minority racial/ethnic
groups. Little is known, however, about racial/ethnic differences in changes in
insomnia severity over time, particularly among older adults. We examined
racial/ethnic differences in trajectories of insomnia severity among middle-aged
and older adults. DESIGN: Data were drawn from five waves of the Health and
Retirement Study (2002-2010), a nationally representative longitudinal biennial
survey of adults aged > 50 years. SETTING: Population-based. PARTICIPANTS: 22,252
participants from non-Hispanic white, non-Hispanic black, Hispanic, and other
racial/ethnic groups. MEASUREMENTS: Participants reported the severity of four
insomnia symptoms; summed scores ranged from 4 (no insomnia) to 12 (severe
insomnia). We assessed change in insomnia across the five waves as a function of
race/ethnicity. RESULTS: Across all participants, insomnia severity scores
increased 0.19 points (95% CI: 0.14-0.24; t = 7.52; design df = 56; p < 0.001)
over time after adjustment for sex, race/ethnicity, education, and baseline age.
After adjusting for the number of accumulated health conditions and body mass
index, this trend decreased substantially and even changed direction (B = -0.24;
95% CI: -0.29 to -0.19; t = -9.22; design df = 56; p < 0.001). The increasing
trajectory was significantly more pronounced in Hispanics compared with non
Hispanic whites, even after adjustment for number of accumulated health
conditions, body mass index, and number of depressive symptoms. CONCLUSIONS:
Although insomnia severity increases with age-largely due to the accumulation of
health conditions-this trend appears more pronounced among Hispanic older adults
than in non-Hispanic whites. Further research is needed to determine the reasons
for a different insomnia trajectory among Hispanics.
PMID- 27212224
TI - Successful Glycemic Control Decreases the Elevated Serum FGF21 Level without
Affecting Normal Serum GDF15 Levels in a Patient with Mitochondrial Diabetes.
AB - Mitochondrial diabetes mellitus is a subtype of diabetes linked to mutations in
mitochondrial DNA. In patients with mitochondrial diabetes mellitus, the effect
of glycemic control on the serum concentrations of fibroblast growth factor 21
(FGF21) and growth differentiation factor 15 (GDF15) has not been evaluated.
FGF21 and GDF15 have been reported to be useful biomarkers for the diagnosis and
severity assessment of mitochondrial diseases like mitochondrial myopathy,
encephalopathy, lactic acidosis, and stroke-like episodes (MELAS). Recent studies
have shown FGF21 acts in an endocrine fashion to regulate glucose and lipid
metabolism in type 2 diabetes mellitus, while the exact biological functions of
GDF15 remain unknown. Although mitochondrial diabetes mellitus is commonly found
in cases with mitochondrial diseases, the comparison of FGF21 and GDF15 levels
between those with and without diabetes has not been performed. Here, we report a
24-year-old woman with mitochondrial diabetes mellitus, who showed a high level
of serum FGF21, but not serum GDF15, at diagnosis. In our case, liraglutide, a
glucagon-like peptide-1 receptor agonist, added to insulin glargine was effective
for her glycemic control and showed no adverse effects, including
gastrointestinal symptoms and hypoglycemia, during a 14-week observation. The
successful glycemic control caused a decrease in the FGF21 level, without
affecting the GDF15 level. Thus, we should consider patients' glycemic control
levels in using FGF21 values for the diagnosis of mitochondrial diseases. In
addition, sustained GDF15 levels during glycemic treatment in our case suggest
the usefulness of GDF15 as a marker for clinical severity of muscle-manifested
mitochondrial diseases.
PMID- 27212226
TI - Intolerance of uncertainty and transdiagnostic group cognitive behavioral therapy
for anxiety.
AB - BACKGROUND: Recent evidence suggests intolerance of uncertainty (IU) is a
transdiagnostic variable elevated across anxiety disorders. No studies have
investigated IU's response to transdiagnostic group CBT for anxiety (TGCBT). This
study evaluated IU outcomes following TGCBT across anxiety disorders. METHODS:
151 treatment-seekers with primary diagnoses of social anxiety disorder, panic
disorder, or GAD were evaluated before and after 12 weeks of TGCBT and completed
self-report questionnaires at pre-, mid-, and post-treatment. RESULTS: IU
decreased significantly following treatment. Decreases in IU predicted
improvements in clinical presentation across diagnoses. IU interacted with time
to predict improvement in clinical presentation irrespective of primary
diagnosis. IU also interacted with time to predict improvement in clinical
presentation although interactions of time with diagnosis-specific measures did
not. IUS interacted with time to predict reduction in anxiety and fear symptoms,
and inhibitory IU interacted with time to predicted reductions in anxiety
symptoms but prospective IU did not. CONCLUSION: IU appears to be an important
transdiagnostic variable in CBT implicated in both initial presentation and
treatment change. Further implications are discussed.
PMID- 27212225
TI - Suppression of 14-3-3gamma-mediated surface expression of ANO1 inhibits cancer
progression of glioblastoma cells.
AB - Anoctamin-1 (ANO1) acts as a Ca(2+)-activated Cl(-) channel in various normal
tissues, and its expression is increased in several different types of cancer.
Therefore, understanding the regulation of ANO1 surface expression is important
for determining its physiological and pathophysiological functions. However, the
trafficking mechanism of ANO1 remains elusive. Here, we report that segment a (N
terminal 116 amino acids) of ANO1 is crucial for its surface expression, and we
identified 14-3-3gamma as a binding partner for anterograde trafficking using
yeast two-hybrid screening. The surface expression of ANO1 was enhanced by 14-3
3gamma, and the Thr9 residue of ANO1 was critical for its interaction with 14-3
3gamma. Gene silencing of 14-3-3gamma and/or ANO1 demonstrated that suppression
of ANO1 surface expression inhibited migration and invasion of glioblastoma
cells. These findings provide novel therapeutic implications for glioblastomas,
which are associated with poor prognosis.
PMID- 27212227
TI - Intolerance of uncertainty in emotional disorders: What uncertainties remain?
AB - The current paper presents a future research agenda for intolerance of
uncertainty (IU), which is a transdiagnostic risk and maintaining factor for
emotional disorders. In light of the accumulating interest and promising research
on IU, it is timely to emphasize the theoretical and therapeutic significance of
IU, as well as to highlight what remains unknown about IU across areas such as
development, assessment, behavior, threat and risk, and relationships to
cognitive vulnerability factors and emotional disorders. The present paper was
designed to provide a synthesis of what is known and unknown about IU, and, in
doing so, proposes broad and novel directions for future research to address the
remaining uncertainties in the literature.
PMID- 27212229
TI - Corrigendum to 'Synthesis of oligosaccharides using per-O-trimethylsilyl-glycosyl
iodides as glycosyl donor' [Carbohydr. Res. 427 (2016) 1-5].
PMID- 27212228
TI - Influenza vaccination of pregnant women protects them over two consecutive
influenza seasons in a randomized controlled trial.
AB - BACKGROUND: We assessed the persistence of hemagglutinin inhibition (HAI)
antibodies and the vaccine efficacy (VE) of trivalent inactivated influenza
vaccine (IIV3) following vaccination of a cohort of pregnant South African women
during a second influenza season. METHODS: A cohort of women who participated in
a randomized placebo-controlled trial on the safety, immunogenicity and efficacy
of IIV3 in 2011 had HAI titers measured in 2012 and were monitored for influenza
illness until the end of 2012. RESULTS: The proportion of women with HAI titers
>=1:40 was significantly greater in vaccinees (63%) compared to placebo
recipients (22%; p < 0.001). VE in 2012 was 63.8% (95% confidence interval
[95%CI]: -33.7%, 90.2%); combined VE for 2011 and 2012 was 58.3% (95%CI: 0.2%,
82.6%). CONCLUSION: The majority of women who received IIV3 during pregnancy had
HAI titers above the putative threshold for protection against influenza illness
one year after vaccination and showed a trend towards protection against
influenza disease.
PMID- 27212230
TI - Do corrective shoes improve the development of the medial longitudinal arch in
children with flexible flat feet?
AB - BACKGROUND: Flexible flatfoot, as the most prevalent foot deformity in pediatric
population still has no standardized strategy for its management hence some
orthopedic surgeons have the tendency to use orthotic devices. The objective of
this study is to evaluate whether orthotic shoes effect the natural course of the
developing medial longitudinal arch in children diagnosed with moderate flexible
flatfoot. METHODS: Fourty-five children (33 boys and 12 girls) with moderate
flexible flatfoot were enrolled in this study. They were followed up for 34.6 +/-
10.9 months (24-57 months). Patients in group 1 were treated with corrective
shoes whereas group 2 was left untreated. Patients were evaluated according to;
general joint laxity, arch index, lateral talo-first metatarsal (TM), talo
horizontal (TH), calcaneal pitch (CP), lateral and anterior talocalcaneal (TC)
angles. RESULTS: Although there was a significant decrease in general laxity in
both groups, decrease of laxity percentage was not significant between groups (p
= 0.812). TM, TH and anterior TC angles were found to be decreased in groups
whereas there was no difference between group 1 and 2. The arch index was found
to be correlated with TM and TH angles in both groups (p = 0.004, p = 0.013).
CONCLUSIONS: Corrective shoes for flexible flatfoot was found not effective on
development of foot arches. Therefore, they should be limited only for selected
cases.
PMID- 27212232
TI - The scanning electron microscope in microbiology and diagnosis of infectious
disease.
AB - Despite being an excellent tool for investigating ultrastructure, scanning
electron microscopy (SEM) is less frequently used than transmission electron
microscopy for microbes such as viruses or bacteria. Here we describe rapid
methods that allow SEM imaging of fully hydrated, unfixed microbes without using
conventional sample preparation methods. We demonstrate improved ultrastructural
preservation, with greatly reduced dehydration and shrinkage, for specimens
including bacteria and viruses such as Ebola virus using infiltration with ionic
liquid on conducting filter substrates for SEM.
PMID- 27212231
TI - Regenerative repair of Pifithrin-alpha in cerebral ischemia via VEGF dependent
manner.
AB - Promoting regenerative repair, including neurogenesis and angiogenesis, may
provide a new therapeutic strategy for treatment of stroke. P53, a well
documented transcription factor, has been reported to be involved in cerebral
ischemia and also serves as an important regulator of vascular endothelial growth
factor (VEGF). However, the role of p53 in endogenous regenerative repair after
brain ischemia is poorly understood. In this study, we investigated the effects
of PFT-alpha, a specific p53 inhibitor on neurogenesis and angiogenesis
improvement and associated signal pathways in rats impaired by cerebral artery
occlusion (MCAo). PFT-alpha induced neuroprotection, reduced infarct volume and
neurological functional impairment after ischemic stroke. More importantly,
neurogenesis and angiogenesis were greatly enhanced by PFT-alpha, and accompanied
by increased expression of VEGF. Moreover, we got consistent results in neural
stem cells (NSCs) isolated from fetal rats. In contrast, application of the anti
VEGF neutralizing antibody (RB-222) partially reversed PFT-alpha-induced
neuroprotection and rescued p53 expression. Noteworthily, inhibition of p53 after
ischemic stroke in these rats improved their outcomes via promotion of
regenerative repair. In conclusion, PFT-alpha could serve as a promising
therapeutic strategy for ischemic stroke by promoting regenerative repair.
PMID- 27212233
TI - Structural and functional studies on a variant of cystatin purified from brain of
Capra hircus.
AB - Cystatins, known for their ubiquitous presence in mammalian system are thiol
protease inhibitors serving important physiological functions. Here, we present a
variant of cystatin isolated from brain of Capra hircus (goat) which is
glycosylated but lacks disulphide bonds. Caprine brain cystatin (CBC) was
isolated using alkaline treatment, ammonium sulphate fractionation (40-60%) and
gel filtration chromatography on Sephacryl S-100HR column with an overall yield
of 26.29% and 322-fold purification. The inhibitor gave a molecular mass of ~44
kDa as determined by SDS-PAGE and gel filtration behaviour. The Stokes radius and
diffusion coefficient of CBC were 27.14 A and 8.18 * 10-7 cm2 s-1, respectively.
Kinetic data revealed that CBC inhibited thiol proteases reversibly and
competitively, with the highest inhibition towards papain (Ki = 4.10 nM) followed
by ficin and bromelain. CBC possessed 34.7% alpha-helical content as observed by
CD spectroscopy. UV, fluorescence, CD and FTIR spectroscopy revealed significant
conformational change upon CBC-papain complex formation. Isothermal titration
calorimetry (ITC) was used to measure the thermodynamic parameters - DeltaH,
DeltaS, DeltaG along with N (binding stoichiometry) for CBC-papain complex
formation. Binding stoichiometry (N = .97 +/- .07 sites) for the CBC-papain
complex indicates that cystatin is surrounded by nearly one papain molecule.
Negative DeltaH (-5.78 kcal mol-1) and positive DeltaS (11.01 cal mol-1 deg-1)
values suggest that the interaction between CBC and papain is enthalpically as
well as entropically favoured process. The overall negative DeltaG (-9.19 kcal
mol-1) value implies a spontaneous CBC-papain interaction.
PMID- 27212234
TI - Root Regeneration Triggers an Embryo-like Sequence Guided by Hormonal
Interactions.
AB - Plant roots can regenerate after excision of their tip, including the stem cell
niche. To determine which developmental program mediates such repair, we applied
a combination of lineage tracing, single-cell RNA sequencing, and marker analysis
to test different models of tissue reassembly. We show that multiple cell types
can reconstitute stem cells, demonstrating the latent potential of untreated
plant cells. The transcriptome of regenerating cells prior to stem cell
activation resembles that of an embryonic root progenitor. Regeneration defects
are more severe in embryonic than in adult root mutants. Furthermore, the
signaling domains of the hormones auxin and cytokinin mirror their embryonic
dynamics and manipulation of both hormones alters the position of new tissues and
stem cell niche markers. Our findings suggest that plant root regeneration
follows, on a larger scale, the developmental stages of embryonic patterning and
is guided by spatial information provided by complementary hormone domains.
PMID- 27212235
TI - Acquired Tissue-Specific Promoter Bivalency Is a Basis for PRC2 Necessity in
Adult Cells.
AB - Bivalent promoters in embryonic stem cells (ESCs) carry methylation marks on two
lysine residues, K4 and K27, in histone3 (H3). K4me2/3 is generally considered to
promote transcription, and Polycomb Repressive Complex 2 (PRC2) places K27me3,
which is erased at lineage-restricted genes when ESCs differentiate in culture.
Molecular defects in various PRC2 null adult tissues lack a unifying explanation.
We found that epigenomes in adult mouse intestine and other self-renewing tissues
show fewer and distinct bivalent promoters compared to ESCs. Groups of tissue
specific genes that carry bivalent marks are repressed, despite the presence of
promoter H3K4me2/3. These are the predominant genes de-repressed in PRC2
deficient adult cells, where aberrant expression is proportional to the H3K4me2/3
levels observed at their promoters in wild-type cells. Thus, in adult animals,
PRC2 specifically represses genes with acquired, tissue-restricted promoter
bivalency. These findings provide new insights into specificity in chromatin
based gene regulation.
PMID- 27212236
TI - Coexisting Liquid Phases Underlie Nucleolar Subcompartments.
AB - The nucleolus and other ribonucleoprotein (RNP) bodies are membrane-less
organelles that appear to assemble through phase separation of their molecular
components. However, many such RNP bodies contain internal subcompartments, and
the mechanism of their formation remains unclear. Here, we combine in vivo and in
vitro studies, together with computational modeling, to show that subcompartments
within the nucleolus represent distinct, coexisting liquid phases. Consistent
with their in vivo immiscibility, purified nucleolar proteins phase separate into
droplets containing distinct non-coalescing phases that are remarkably similar to
nucleoli in vivo. This layered droplet organization is caused by differences in
the biophysical properties of the phases-particularly droplet surface tension
which arises from sequence-encoded features of their macromolecular components.
These results suggest that phase separation can give rise to multilayered liquids
that may facilitate sequential RNA processing reactions in a variety of RNP
bodies. PAPERCLIP.
PMID- 27212238
TI - Corpse Engulfment Generates a Molecular Memory that Primes the Macrophage
Inflammatory Response.
AB - Macrophages are multifunctional cells that perform diverse roles in health and
disease. Emerging evidence has suggested that these innate immune cells might
also be capable of developing immunological memory, a trait previously associated
with the adaptive system alone. While recent studies have focused on the dramatic
macrophage reprogramming that follows infection and protects against secondary
microbial attack, can macrophages also develop memory in response to other cues?
Here, we show that apoptotic corpse engulfment by Drosophila macrophages is an
essential primer for their inflammatory response to tissue damage and infection
in vivo. Priming is triggered via calcium-induced JNK signaling, which leads to
upregulation of the damage receptor Draper, thus providing a molecular memory
that allows the cell to rapidly respond to subsequent injury or infection. This
remarkable plasticity and capacity for memory places macrophages as key
therapeutic targets for treatment of inflammatory disorders.
PMID- 27212240
TI - Clinical, Laboratory, and Placental Findings in Perinatal Listeriosis.
AB - Clinical, laboratory, and placental manifestations of perinatal listeriosis are
highly variable. Herein, we retrospectively analyzed all patients treated for
neonatal listeriosis at the Charite University Medical Center in Berlin, Germany,
1999-2013. A total of 16 cases were identified. In 14 patients listeriosis was
confirmed in neonatal specimens, while in two only the placenta tested positive.
Elevated C-reactive protein and/or interleukin-6 levels were only inconsistently
found, while a marked white blood cell left shift was present in all infants, if
available. All but one infant manifested symptoms on the first day of life. Most
patients required respiratory support, while none developed meningoencephalitis
as evidenced by clinical or cerebrospinal fluid findings. Two patients died, all
other patients survived without sequelae. In conclusion, perinatal listeriosis is
still associated with significant morbidity and mortality. Clinical and
laboratory findings are highly heterogeneous, but extreme leukocyte left shift
seems to be a common feature.
PMID- 27212237
TI - Sleep Drive Is Encoded by Neural Plastic Changes in a Dedicated Circuit.
AB - Prolonged wakefulness leads to an increased pressure for sleep, but how this
homeostatic drive is generated and subsequently persists is unclear. Here, from a
neural circuit screen in Drosophila, we identify a subset of ellipsoid body (EB)
neurons whose activation generates sleep drive. Patch-clamp analysis indicates
these EB neurons are highly sensitive to sleep loss, switching from spiking to
burst-firing modes. Functional imaging and translational profiling experiments
reveal that elevated sleep need triggers reversible increases in cytosolic Ca(2+)
levels, NMDA receptor expression, and structural markers of synaptic strength,
suggesting these EB neurons undergo "sleep-need"-dependent plasticity.
Strikingly, the synaptic plasticity of these EB neurons is both necessary and
sufficient for generating sleep drive, indicating that sleep pressure is encoded
by plastic changes within this circuit. These studies define an integrator
circuit for sleep homeostasis and provide a mechanism explaining the generation
and persistence of sleep drive.
PMID- 27212239
TI - TMCO1 Is an ER Ca(2+) Load-Activated Ca(2+) Channel.
AB - Maintaining homeostasis of Ca(2+) stores in the endoplasmic reticulum (ER) is
crucial for proper Ca(2+) signaling and key cellular functions. The Ca(2+)
release-activated Ca(2+) (CRAC) channel is responsible for Ca(2+) influx and
refilling after store depletion, but how cells cope with excess Ca(2+) when ER
stores are overloaded is unclear. We show that TMCO1 is an ER transmembrane
protein that actively prevents Ca(2+) stores from overfilling, acting as what we
term a "Ca(2+) load-activated Ca(2+) channel" or "CLAC" channel. TMCO1 undergoes
reversible homotetramerization in response to ER Ca(2+) overloading and
disassembly upon Ca(2+) depletion and forms a Ca(2+)-selective ion channel on
giant liposomes. TMCO1 knockout mice reproduce the main clinical features of
human cerebrofaciothoracic (CFT) dysplasia spectrum, a developmental disorder
linked to TMCO1 dysfunction, and exhibit severe mishandling of ER Ca(2+) in
cells. Our findings indicate that TMCO1 provides a protective mechanism to
prevent overfilling of ER stores with Ca(2+) ions.
PMID- 27212241
TI - Delisting of liver transplant candidates with chronic hepatitis C after viral
eradication: A European study.
AB - BACKGROUND & AIMS: All oral direct acting antivirals (DAA) have been shown to
improve the liver function of patients with decompensated cirrhosis but it is
presently unknown whether this clinical improvement may lead to the delisting of
some patients. The aim of this study was to assess if and which patients can be
first inactivated due to clinically improvement and subsequently delisted in a
real life setting. METHODS: 103 consecutive listed patients without
hepatocellular carcinoma were treated with different DAA combinations in 11
European centres between February 2014 and February 2015. RESULTS: The cumulative
incidence of inactivated and delisted patients by competing risk analysis was
15.5% and 0% at 24weeks, 27.6% and 10.3% at 48weeks, 33.3% and 19.2% at 60weeks.
The 34 patients who were inactivated showed a median improvement of 3.4 points
for MELD (delta MELD, p<0.0001) and 2 points for Child-Pugh (CP) (delta-CP,
p<0.0001). Three variables emerged from the most parsimonious multivariate
competing risk model as predictors of inactivation for clinical improvement,
namely, baseline MELD classes (MELD 16-20: HR=0.120; p=0.0005, MELD >20:HR=0.042;
p<0.0001), delta MELD (HR=1.349; p<0.0001) and delta albumin (HR=0.307; p=0.0069)
both assessed after 12weeks of DAA therapy. CONCLUSIONS: This study showed that
all oral DAAs were able to reverse liver dysfunction and favoured the
inactivation and delisting of about one patient out-of-three and one patient out
of-five in 60weeks, respectively. Patients with lower MELD scores had higher
chances to be delisted. The longer term benefits of therapy need to be
ascertained. LAY SUMMARY: The excellent efficacy and safety profile of the new
drugs against Hepatitis C virus, "direct acting antivirals" or DAAs, have made
antiviral therapy possible also for patients with advanced liver disease and for
those on the waiting list for liver transplantation (LT). This study shows for
the first time that the DAAs may lead to a remarkable clinical improvement
allowing the delisting of one patient out of 5.
PMID- 27212242
TI - Reply to: "Survival data might be inappropriate in the paper by Pinato et al.":
Survival data correct and appropriate by Pinato et al.
PMID- 27212243
TI - Survival data might be inappropriate in the paper by Pinato et al.
PMID- 27212244
TI - Non-alcoholic fatty liver disease and risk of incident cardiovascular disease: A
meta-analysis.
AB - BACKGROUND & AIMS: There have been many studies of the effects of non-alcoholic
fatty liver disease (NAFLD) and the risk of cardiovascular disease (CVD), but
these have produced conflicting results. We performed a meta-analysis of these
studies to quantify the magnitude of the association between NAFLD (and NAFLD
severity) and risk of CVD events. METHODS: We searched PubMed, Google scholar,
and Web of Science databases using terms "NAFLD", "cardiovascular events",
"cardiovascular mortality", "prognosis" and their combinations to identify
observational studies published through January 2016. We included only
observational studies conducted in adults >18years and in which NAFLD was
diagnosed on imaging or histology. Data from selected studies were extracted and
meta-analysis was then performed using random effects modelling. RESULTS: A total
of 16 unique, observational prospective and retrospective studies with 34,043
adult individuals (36.3% with NAFLD) and approximately 2,600 CVD outcomes (>70%
CVD deaths) over a median period of 6.9years were included in the final analysis.
Patients with NAFLD had a higher risk of fatal and/or non-fatal CVD events than
those without NAFLD (random effect odds ratio [OR] 1.64, 95% CI 1.26-2.13).
Patients with more 'severe' NAFLD were also more likely to develop fatal and non
fatal CVD events (OR 2.58; 1.78-3.75). Sensitivity analyses did not alter these
findings. Funnel plot and Egger's test did not reveal significant publication
bias. CONCLUSIONS: NAFLD is associated with an increased risk of fatal and non
fatal CVD events. However, the observational design of the studies included does
not allow to draw definitive causal inferences. LAY SUMMARY: The data on whether
NAFLD by itself is associated with increased cardiovascular events and death
remains an issue of debate. The findings of this updated and large meta-analysis
of observational studies indicate that NAFLD is significantly associated with an
increased risk of fatal and non-fatal cardiovascular events. However, the
observational design of the studies included does not allow us to prove that
NAFLD causes cardiovascular disease. Clinicians who manage patients with NAFLD
should not focus only on liver disease but should also consider the increased
risk of cardiovascular disease and undertake early, aggressive risk factor
modification.
PMID- 27212245
TI - Role of epithelial to mesenchymal transition in hepatocellular carcinoma.
AB - The epithelial to mesenchymal transition (EMT) is a multistep biological process
whereby epithelial cells change in plasticity by transient de-differentiation
into a mesenchymal phenotype. EMT and its reversal, mesenchymal to epithelial
transition (MET), essentially occur during embryogenetic morphogenesis and have
been increasingly described in fibrosis and cancer during the last decade. In
carcinoma progression, EMT plays a crucial role in early steps of metastasis when
cells lose cell-cell contacts due to ablation of E-cadherin and acquire increased
motility to spread into surrounding or distant tissues. Epithelial plasticity has
become a hot issue in hepatocellular carcinoma (HCC), as strong inducers of EMT
such as transforming growth factor-beta are able to orchestrate both fibrogenesis
and carcinogenesis, showing rising cytokine levels in cirrhosis and late stage
HCC. In this review, we consider the significance of EMT-MET in malignant
hepatocytes as well as changes in the plasticity of hepatic stellate cells for
cellular heterogeneity of HCC, and further aim at explaining the current limiting
insights into EMT by snapshot analyses of HCC tissues. Recent advances in the
identification of clinically relevant mechanisms that impinge on important EMT
transcription factors, as well as on miRNAs causing EMT signatures and HCC
progression are highlighted. In addition, we draw particular attention to framing
EMT in the context of potential clinical relevance for HCC patients. We conclude
that some aspects of EMT are still elusive and further studies are required to
better link the clinical management of HCC with biomarkers and targeted therapies
related to EMT.
PMID- 27212246
TI - Phase I open label liver-directed gene therapy clinical trial for acute
intermittent porphyria.
AB - BACKGROUND & AIMS: Acute intermittent porphyria (AIP) results from
porphobilinogen deaminase (PBGD) haploinsufficiency, which leads to hepatic over
production of the neurotoxic heme precursors porphobilinogen (PBG) and delta
aminolevulinic acid (ALA) and the occurrence of neurovisceral attacks. Severe AIP
is a devastating disease that can only be corrected by liver transplantation.
Gene therapy represents a promising curative option. The objective of this study
was to investigate the safety of a recombinant adeno-associated vector expressing
PBGD (rAAV2/5-PBGD) administered for the first time in humans for the treatment
of AIP. METHODS: In this phase I, open label, dose-escalation, multicenter
clinical trial, four cohorts of 2 patients each received a single intravenous
injection of the vector ranging from 5*10(11) to 1.8*10(13) genome copies/kg.
Adverse events and changes in urinary PBG and ALA and in the clinical course of
the disease were periodically evaluated prior and after treatment. Viral
shedding, immune response against the vector and vector persistence in the liver
were investigated. RESULTS: Treatment was safe in all cases. All patients
developed anti-AAV5 neutralizing antibodies but no cellular responses against
AAV5 or PBGD were observed. There was a trend towards a reduction of
hospitalizations and heme treatments, although ALA and PBG levels remained
unchanged. Vector genomes and transgene expression could be detected in the liver
one year after therapy. CONCLUSIONS: rAAV2/5-PBGD administration is safe but AIP
metabolic correction was not achieved at the doses tested in this trial.
Notwithstanding, the treatment had a positive impact in clinical outcomes in most
patients. LAY SUMMARY: Studies in an acute intermittent porphyria (AIP) animal
model have shown that gene delivery of PBGD to hepatocytes using an adeno
associated virus vector (rAAV2/5-PBG) prevent mice from suffering porphyria acute
attacks. In this phase I, open label, dose-escalation, multicenter clinical trial
we show that the administration of rAAV2/5-PBGD to patients with severe AIP is
safe but metabolic correction was not achieved at the doses tested; the
treatment, however, had a positive but heterogeneous impact on clinical outcomes
among treated patients and 2 out of 8 patients have stopped hematin treatment.
CLINICAL TRIAL NUMBER: The observational phase was registered at
Clinicaltrial.gov as NCT 02076763. The interventional phase study was registered
at EudraCT as n degrees 2011-005590-23 and at Clinicaltrial.gov as NCT02082860.
PMID- 27212247
TI - Ursodeoxycholic acid in advanced polycystic liver disease: A phase 2 multicenter
randomized controlled trial.
AB - BACKGROUND & AIMS: Ursodeoxycholic acid (UDCA) inhibits proliferation of
polycystic human cholangiocytes in vitro and hepatic cystogenesis in a rat model
of polycystic liver disease (PLD) in vivo. Our aim was to test whether UDCA may
beneficially affect liver volume in patients with advanced PLD. METHODS: We
conducted an international, multicenter, randomized controlled trial in
symptomatic PLD patients from three tertiary referral centers. Patients with PLD
and total liver volume (TLV) ?2500ml were randomly assigned to UDCA treatment (15
20mg/kg/day) for 24weeks, or to no treatment. Primary endpoint was proportional
change in TLV. Secondary endpoints were change in symptoms and health-related
quality of life. We performed a post-hoc analysis of the effect of UDCA on liver
cyst volume (LCV). RESULTS: We included 34 patients and were able to assess
primary endpoint in 32 patients, 16 with autosomal dominant polycystic kidney
disease (ADPKD) and 16 with autosomal dominant polycystic liver disease (ADPLD).
Proportional TLV increased by 4.6+/-7.7% (mean TLV increased from 6697ml to
6954ml) after 24weeks of UDCA treatment compared to 3.1+/-3.8% (mean TLV
increased from 5512ml to 5724ml) in the control group (p=0.493). LCV was not
different after 24weeks between controls and UDCA treated patients (p=0.848).
However, UDCA inhibited LCV growth in ADPKD patients compared to ADPKD controls
(p=0.049). CONCLUSIONS: UDCA administration for 24weeks did not reduce TLV in
advanced PLD, but UDCA reduced LCV growth in ADPKD patients. Future studies might
explore whether ADPKD and ADPLD patients respond differently to UDCA treatment.
LAY SUMMARY: Current therapies for polycystic liver disease are invasive and have
high recurrence risks. Our trial showed that the drug, ursodeoxycholic acid, was
not able to reduce liver volume in patients with polycystic liver disease.
However, a subgroup analysis in patients that have kidney cysts as well showed
that liver cyst volume growth was reduced in patients who received
ursodeoxycholic acid in comparison to patients who received no treatment. Trial
registration number https://www.clinicaltrials.gov/: NCT02021110. EudraCT Number
https://www.clinicaltrialsregister.eu/: 2013-003207-19.
PMID- 27212248
TI - Peptide-dependent HLA-KIR-mediated regulation of NK cell function.
PMID- 27212250
TI - The Bagautdinov dressing method: negative pressure wound therapy in a patient
with an allergy to acrylate adhesive.
AB - Current embodiments of negative pressure wound therapy (NPWT) create a
hermetically sealed chamber at the surface of the body using polyurethane foam
connected to a vacuum pump, which is then covered by a flexible adhesive drape.
Commercially available NPWT systems routinely use flexible polyethylene films
that have a sticky side, coated with the same acrylate adhesives used in other
medical devices such as ECG leads and grounding pads. Severe reactions to the
acrylate adhesives in these other devices, although uncommon, have been reported.
We describe the case of a 63-year-old woman with an intractable leg ulcer
resulting from external-beam radiotherapy (XRT). Treatment with a standard
commercial NPWT system induced severe inflammation of the skin in direct contact
with drape adhesive. We successfully administered prolonged, outpatient NPWT to
the patient using an alternative method (first described by Bagautdinov in 1986),
using plain polyethylene film and petrolatum. The necessary hermetic seal is
achieved by smearing the skin with petrolatum before applying the polyethylene
film and activating the vacuum pump. The Bagautdinov method is a practical
solution to the problem of adapting NPWT to patients with contact sensitivity or
skin tears related to the adhesive compounds in the flexible drapes. Its use of a
circumferential elastic wrap to maintain constant pressure on the seal probably
limits the Bagautdinov technique to the extremities.
PMID- 27212249
TI - Plasma concentrations of oleoylethanolamide and other acylethanolamides are
altered in alcohol-dependent patients: effect of length of abstinence.
AB - Acylethanolamides are a family of endogenous lipid mediators that are involved in
physiological and behavioral processes associated with addiction. Recently,
oleoylethanolamide (OEA) has been reported to reduce alcohol intake and relapse
in rodents but the contribution of OEA and other acylethanolamides in alcohol
addiction in humans is unknown. The present study is aimed to characterize the
plasma acylethanolamides in alcohol dependence. Seventy-nine abstinent alcohol
dependent subjects (27 women) recruited from outpatient treatment programs and
age-/sex-/body mass-matched healthy volunteers (28 women) were clinically
assessed with the diagnostic interview PRISM according to the DSM-IV-TR after
blood extraction for quantification of acylethanolamide concentrations in the
plasma. Our results indicate that all acylethanolamides were significantly
increased in alcohol-dependent patients compared with control subjects (p <
0.001). A logistic model based on these acylethanolamides was developed to
distinguish alcohol-dependent patients from controls and included OEA,
arachidonoylethanolamide (AEA) and docosatetraenoylethanolamide (DEA), providing
a high discriminatory power according to area under the curve [AUC = 0.92 (95%CI:
0.87-0.96), p < 0.001]. Additionally, we found a significant effect of the
duration of alcohol abstinence on the concentrations of OEA, AEA and DEA using a
regression model (p < 0.05, p < 0.01 and p < 0.001, respectively), which was
confirmed by a negative correlation (rho = -0.31, -0.40 and -0.44, respectively).
However, acylethanolamides were not influenced by the addiction alcohol severity,
duration of problematic alcohol use or diagnosis of psychiatric comorbidity. Our
results support the preclinical studies and suggest that OEA, AEA and DEA are
altered in alcohol-dependence during abstinence and that might act as potential
markers for predicting length of alcohol abstinence.
PMID- 27212252
TI - The joint synovium: A critical determinant of articular cartilage fate in
inflammatory joint diseases.
AB - The synovium constitutes the envelope of articular joints and is a critical
provider of synovial fluid components and articular cartilage nutrients. Its
inflammation is a predominant feature and cause of joint degeneration in diseases
as diverse as rheumatoid, psoriatic, juvenile and idiopathic arthritis, and
lupus, gout and lyme disease. These inflammatory joint diseases (IJDs) are due to
a wide variety of genetic, epigenetic and environmental factors that trigger,
promote, and perpetuate joint destabilization. In spite of this variety of
causes, IJDs share main pathological features, namely inflammation of the joint
synovium (synovitis) and progressive degeneration of articular cartilage. In
addition to being a driving force behind the destruction of articular cartilage
in IJD, synovitis is also increasingly being recognized as a significant
contributor of articular cartilage degeneration in osteoarthritis, a disease
primarily due to aging- or trauma-related wear and tear of cartilage surfaces. In
view of this important role of the synovium in determining the fate of articular
cartilage, this review focuses on its underlying mechanisms in the pathology of
IJD. We address the roles of synovial fibroblasts, macrophages and endothelial
cells in the maintenance of joint health and in the destruction of articular
cartilage integrity during IJD. Molecular mechanisms that have been recently
shown to govern the pathological activities of the resident synovial cells are
highlighted. Finally, advantages and disadvantages of targeting these new
molecular mechanisms for preventing cartilage degeneration due to chronic
inflammation are also discussed.
PMID- 27212251
TI - Prophylaxis Among Hepatitis B Core Antibody-positive Deceased-donor Liver
Transplant Recipients: Hepatitis B Immunoglobulin Plus Oral Antiviral Agents
Versus Antiviral Agents Alone: A Single-center Experience.
AB - OBJECTIVES: Hepatitis B core antibody immunoglobulin G seropositivity is evidence
of past exposure to hepatitis B virus. Donor or recipient hepatitis B core
antibody positivity may pose a risk of reactivation, especially early after liver
transplant. Although most centers advocate using antiviral agents plus hepatitis
B immunoglobulin, some have recently relied on antivirals only as prophylaxis
after liver transplant. Here, we retrospectively investigated patient survival in
hepatitis B core antibody-positive recipients, comparing those treated with
antivirals plus hepatitis B immunoglobulin versus antivirals alone. MATERIALS AND
METHODS: After Internal Review Board approval, we reviewed medical records of
deceased-donor liver transplant recipients between 1995 and 2013. Demographic
characteristics, transplant indication, hepatitis B core antibody status, time to
death, and type of posttransplant prophylaxis were recorded. We also recorded
whether donors showed hepatitis B core antibody positivity. Patients who died
within 30 days of liver transplant were excluded. RESULTS: There were 148
hepatitis B core antibody-positive recipients. Prophylaxis was given to 75
recipients after transplant: 8 (5%) received hepatitis B immunoglobulin, 22 (15%)
received antivirals, and 45 (30%) received the combination. There were 34 deaths:
3 (38%) in hepatitis B immunoglobulin only, 3 (14%) in antiviral only, 8 (18%) in
the combination, and 20 (27%) in no prophylaxis groups. One- and 5-year survival
rates were similar for binary comparisons among prophylaxis groups (P > .05).
CONCLUSIONS: Preliminary results support the current practice of using hepatitis
B immunoglobulin plus antivirals for prophylaxis after liver transplant. The
similar survival benefit with the combination versus antiviral agents alone
suggests equal effectivity for prophylaxis posttransplant. However, a clear
benefit of antivirals was not evident in our analysis. Future larger prospective
studies are warranted to identify potential benefits of using antivirals alone as
prophylaxis after liver transplant and to further clarify their role as the sole
prophylactic regimen.
PMID- 27212254
TI - Mechanisms of quantitative disease resistance in plants.
AB - Quantitative disease resistance (QDR) causes the reduction, but not absence, of
disease, and is a major type of disease resistance for many crop species. QDR
results in a continuous distribution of disease scores across a segregating
population, and is typically due to many genes with small effects. It may also be
a source of durable resistance. The past decade has seen significant progress in
cloning genes underlying QDR. In this review, we focus on these recently cloned
genes and identify new themes of QDR emerging from these studies.
PMID- 27212253
TI - Epithelial cell extrusion: Pathways and pathologies.
AB - To remove dying or unwanted cells from an epithelium while preserving the barrier
function of the layer, epithelia use a unique process called cell extrusion. To
extrude, the cell fated to die emits the lipid Sphingosine 1 Phosphate (S1P),
which binds the G-protein-coupled receptor Sphingosine 1 Phosphate receptor 2
(S1P2) in the neighboring cells that activates Rho-mediated contraction of an
actomyosin ring circumferentially and basally. This contraction acts to squeeze
the cell out apically while drawing together neighboring cells and preventing any
gaps to the epithelial barrier. Epithelia can extrude out cells targeted to die
by apoptotic stimuli to repair the barrier in the face of death or extrude live
cells to promote cell death when epithelial cells become too crowded. Indeed,
because epithelial cells naturally turn over by cell death and division at some
of the highest rates in the body, epithelia depend on crowding-induced live cell
extrusion to preserve constant cell numbers. If extrusion is defective,
epithelial cells rapidly lose contact inhibition and form masses. Additionally,
because epithelia act as the first line of defense in innate immunity,
preservation of this barrier is critical for preventing pathogens from invading
the body. Given its role in controlling constant cell numbers and maintaining
barrier function, a number of different pathologies can result when extrusion is
disrupted. Here, we review mechanisms and signaling pathways that control
epithelial extrusion and discuss how defects in these mechanisms can lead to
multiple diseases. We also discuss tactics pathogens have devised to hijack the
extrusion process to infect and colonize epithelia.
PMID- 27212259
TI - The importance of physicochemical characteristics and nonlinear classifiers in
determining HIV-1 protease specificity.
AB - This paper reviews recent research relating to the application of bioinformatics
approaches to determining HIV-1 protease specificity, outlines outstanding
issues, and presents a new approach to addressing these issues. Leading machine
learning theory for the problem currently suggests that the direct encoding of
the physicochemical properties of the amino acid substrates is not required for
optimal performance. A number of amino acid encoding approaches which incorporate
potentially relevant physicochemical properties of the substrate are identified,
and are evaluated using a nonlinear task decomposition based neuroevolution
algorithm. The results are evaluated, and compared against a recent benchmark set
on a nonlinear classifier using only amino acid sequence and identity
information. Ensembles of these nonlinear classifiers using the physicochemical
properties of the substrate are demonstrated to consistently outperform the
recently published state-of-the-art linear support vector machine based approach
in out-of-sample evaluations.
PMID- 27212260
TI - Shedding light on betL*: pPL2-lux mediated real-time analysis of betL* expression
in Listeria monocytogenes.
AB - We propose a mechanism of action for the betL* mutation which is based on DNA
topology. Removing a single thymine residue from the betL sigma(A) promoter's -10
and -35 spacer results in a 'twist'-mediated activation of transcription which
accounts for the osmotolerance phenotype observed for strains expressing betL*.
PMID- 27212261
TI - Cesarean delivery complications in women with morbid obesity.
AB - OBJECTIVE: To compare cesarean complication rates between women with body mass
index (BMI) 40-49.9 kg/m(2) and BMI >= 50 kg/m(2) and associations with surgical
techniques. METHODS: This retrospective cohort study from 2009 to 2014 included
women who underwent cesarean with delivery BMI >= 50 and an equal number with BMI
40-49.9. Wound infections and/or separations were compared. We also examined
wound complication rates between skin closure techniques and self-retaining
retractor use. RESULTS: Among 498 patients (249 with BMI >= 50 and 249 with BMI
40-49.9) there were no differences in estimated blood loss >1000 mL, blood
transfusion, deep vein thrombosis or endometritis. Among those with outpatient
follow-up (144 with BMI >= 50 and 162 with BMI 40-49.9), those with BMI >= 50 had
a significantly higher rate of wound separations (p = 0.01) but not infections.
There were no differences in wound complication rates between skin closure
techniques or self-retaining retractor use, though the study was not powered for
these comparisons. CONCLUSION: Wound complications, particularly separations,
increase with BMI >= 50 compared to a lesser degree of morbid obesity. Skin
closure techniques and self-retaining retractor use were not associated with
cesarean wound complications in patients with morbid obesity.
PMID- 27212262
TI - Soil Fertility Map for Food Legumes Production Areas in China.
AB - Given the limited resources of fossil energy, and the environmental risks of
excess fertilizer on crops, it is time to reappraise the potential role of food
legume biological nitrogen fixation (BNF) as sources of nitrogen for cropping
systems in China. 150 soil samples across 17 provinces and 2 municipalities of
China were collected and analyzed. A distribution map of the soil fertilities and
their patterns of distribution was constructed. The pH results indicated that
soils were neutral to slightly alkaline overall. The soil organic matter (SOM)
and the available nitrogen (AN) content were relatively low, while the available
phosphorus (AP) and available potassium (AK) contents were from moderate to high.
Production areas of food legumes (faba bean, pea, adzuki bean, mung bean and
common bean) were clearly separated into 4 soil fertility type clusters. In
addition, regions with SOM, AN, AP and AK deficiency, high acidity and high
alkalinity were listed as target areas for further soil improvement. The
potential was considered for biological nitrogen fixation to substitute for the
application of mineral nitrogen fertiliser.
PMID- 27212263
TI - Delayed-onset rhabdomyolysis related to olanzapine: a case report.
PMID- 27212264
TI - Metabolic Alterations and Cardiovascular Outcomes of Cortisol Excess.
AB - Cushing's syndrome (CS) is a severe chronic and systemic condition caused by
endogenous or exogenous excess of glucocorticoids, associated with increased
morbidity and mortality. Patients with active CS suffer from many metabolic
alterations, including visceral obesity, systemic arterial hypertension,
impairment of glucose metabolism and dyslipidemia. Additionally, in these
patients several cardiovascular abnormalities, i.e. atherosclerosis, clotting
disorders, left ventricular hypertrophy, concentric remodeling and diastolic
dysfunction have been documented. These alterations, which persist even long
after hypercortisolism remission, account for the increased cardiovascular risk
and greatly contribute to the increased mortality observed in patients with CS.
The current review aims to discuss the main adverse effects of CS on metabolism
and cardiovascular risk, focusing on the active and remission phases of disease,
and underlining the importance of long-term monitoring and treatment of these
complications during active disease, as well as in the long-term follow-up after
CS remission.
PMID- 27212266
TI - Unique hyper-thermal composting process in Kagoshima City forms distinct
bacterial community structures.
AB - A unique compost, Satsuma soil, is produced from three types of wastewater sludge
using hyper-thermal processes at temperatures much higher than that of general
thermophilic processes in Kagoshima City, Japan. We analyzed the bacterial
community structures of this hyper-thermal compost sample and other sludges and
composts by a high-throughput barcoded pyrosequencing method targeting the 16S
rRNA gene. In total, 621,076 reads were derived from 17 samples and filtered.
Artificial sequences were deleted and the reads were clustered based on the
operational taxonomic units (OTUs) at 97% similarity. Phylum-level analysis of
the hyper-thermal compost revealed drastic changes of the sludge structures (each
relative abundance) from Firmicutes (average 47.8%), Proteobacteria (average
22.3%), and Bacteroidetes (average 10.1%) to two main phyla including Firmicutes
(73.6%) and Actinobacteria (25.0%) with less Proteobacteria (~0.3%) and
Bacteroidetes (~0.1%). Furthermore, we determined the predominant species (each
relative abundance) of the hyper-thermal compost including Firmicutes related to
Staphylococcus cohnii (13.8%), Jeotgalicoccus coquinae (8.01%), and
Staphylococcus lentus (5.96%), and Actinobacteria related to Corynebacterium
stationis (6.41%), and found that these species were not predominant in
wastewater sludge. In contrast, we did not observe any common structures among
eight other composts produced, using the hyper-thermal composts as the inoculums,
under thermophilic conditions from different materials. Principle coordinate
analysis of the hyper-thermal compost indicated a large difference in bacterial
community structures from material sludge and other composts. These results
suggested that a distinct bacterial community structure was formed by hyper
thermal composting.
PMID- 27212265
TI - Application of volcanic ash particles for protein affinity purification with a
minimized silica-binding tag.
AB - We recently reported that the spore coat protein, CotB1 (171 amino acids), from
Bacillus cereus mediates silica biomineralization and that the polycationic C
terminal sequence of CotB1 (14 amino acids), designated CotB1p, serves as a
silica-binding tag when fused to other proteins. Here, we reduced the length of
this silica-binding tag to only seven amino acids (SB7 tag: RQSSRGR) while
retaining its affinity for silica. Alanine scanning mutagenesis indicated that
the three arginine residues in the SB7 tag play important roles in binding to a
silica surface. Monomeric l-arginine, at concentrations of 0.3-0.5 M, was found
to serve as a competitive eluent to release bound SB7-tagged proteins from silica
surfaces. To develop a low-cost, silica-based affinity purification procedure, we
used natural volcanic ash particles with a silica content of ~70%, rather than
pure synthetic silica particles, as an adsorbent for SB7-tagged proteins. Using
green fluorescent protein, mCherry, and mKate2 as model proteins, our
purification method achieved 75-90% recovery with ~90% purity. These values are
comparable to or even higher than that of the commonly used His-tag affinity
purification. In addition to low cost, another advantage of our method is the use
of l-arginine as the eluent because its protein-stabilizing effect would help
minimize alteration of the intrinsic properties of the purified proteins. Our
approach paves the way for the use of naturally occurring materials as adsorbents
for simple, low-cost affinity purification.
PMID- 27212267
TI - Periodic peristalsis increasing acetone-butanol-ethanol productivity during
simultaneous saccharification and fermentation of steam-exploded corn straw.
AB - The acetone-butanol-ethanol (ABE) fermentation of lignocellulose at high solids
content has recently attracted extensive attention. However, the productivity of
high solids ABE fermentation of lignocellulose is typically low in traditional
processes due to the lack of efficient intensifying methods. In the present
study, periodic peristalsis, a novel intensifying method, was applied to improve
ABE production by the simultaneous saccharification and fermentation (SSF) of
steam-exploded corn straw using Clostridium acetobutylicum ATCC824. The ABE
concentration and the ABE productivity of SSF at a solids content of 17.5% (w/w)
with periodic peristalsis were 17.1 g/L and 0.20 g/(L h), respectively, which
were higher than those obtained under static conditions (15.2 g/L and 0.14 g/(L
h)). The initial sugar conversion rate over the first 12 h with periodic
peristalsis was 4.67 g/(L h) at 10 FPU/g cellulase dosage and 15% (w/w) solids
content, an increase of 49.7% compared with the static conditions. With periodic
peristalsis, the period of batch fermentation was shortened from 108 h to 84 h.
The optimal operating regime was a low frequency (6 h-1) of periodic peristalsis
in the acid-production phase (0-48 h) of SSF. Therefore, periodic peristalsis
should be an effective intensifying method to increase the productivity of ABE
fermentation at high solids content.
PMID- 27212268
TI - Defective quiescence entry promotes the fermentation performance of bottom
fermenting brewer's yeast.
AB - One of the key processes in making beer is fermentation. In the fermentation
process, brewer's yeast plays an essential role in both the production of ethanol
and the flavor profile of beer. Therefore, the mechanism of ethanol fermentation
by of brewer's yeast is attracting much attention. The high ethanol productivity
of sake yeast has provided a good basis from which to investigate the factors
that regulate the fermentation rates of brewer's yeast. Recent studies found that
the elevated fermentation rate of sake Saccharomyces cerevisiae species is
closely related to a defective transition from vegetative growth to the quiescent
(G0) state. In the present study, to clarify the relationship between the
fermentation rate of brewer's yeast and entry into G0, we constructed two types
of mutant of the bottom-fermenting brewer's yeast Saccharomyces pastorianus
Weihenstephan 34/70: a RIM15 gene disruptant that was defective in entry into G0;
and a CLN3DeltaPEST mutant, in which the G1 cyclin Cln3p accumulated at high
levels. Both strains exhibited higher fermentation rates under high-maltose
medium or high-gravity wort conditions (20 degrees Plato) as compared with the
wild-type strain. Furthermore, G1 arrest and/or G0 entry were defective in both
the RIM15 disruptant and the CLN3DeltaPEST mutant as compared with the wild-type
strain. Taken together, these results indicate that regulation of the G0/G1
transition might govern the fermentation rate of bottom-fermenting brewer's yeast
in high-gravity wort.
PMID- 27212269
TI - Interaction between cAMP and intracellular Ca(2+)-signaling pathways during odor
perception and adaptation in Drosophila.
AB - Binding of an odorant to olfactory receptors triggers cascades of second
messenger systems in olfactory receptor neurons (ORNs). Biochemical studies
indicate that the transduction mechanism at ORNs is mediated by cyclic adenosine
monophosphate (cAMP) and/or inositol,1,4,5-triphosphate (InsP3)-signaling
pathways in an odorant-dependent manner. However, the interaction between these
two second messenger systems during olfactory perception or adaptation processes
is much less understood. Here, we used interfering-RNAi to disrupt the level of
cAMP alone or in combination with the InsP3-signaling pathway cellular targets,
InsP3 receptor (InsP3R) or ryanodine receptor (RyR) in ORNs, and quantify at ORN
axon terminals in the antennal lobe, the odor-induced Ca(2+)-response. In-vivo
functional bioluminescence Ca(2+)-imaging indicates that a single 5s application
of an odor increased Ca(2+)-transients at ORN axon terminals. However, compared
to wild-type controls, the magnitude and duration of ORN Ca(2+)-response was
significantly diminished in cAMP-defective flies. In a behavioral assay,
perception of odorants was defective in flies with a disrupted cAMP level
suggesting that the ability of flies to correctly detect an odor depends on cAMP.
Simultaneous disruption of cAMP level and InsP3R or RyR further diminished the
magnitude and duration of ORN response to odorants and affected the flies'
ability to detect an odor. In conclusion, this study provides functional evidence
that cAMP and InsP3-signaling pathways act in synergy to mediate odor processing
within the ORN axon terminals, which is encoded in the magnitude and duration of
ORN response.
PMID- 27212271
TI - Diffuse Brain Hypoperfusion in Advanced Leukoencephalopathy with Calcifications
and Cysts.
AB - Leukoencephalopathy with calcifications and cysts (LCC) is an uncommon condition
of unknown etiology occurring in children and adults. Pathological findings
include obliterative hyalinosis of the small vessels, myelin loss, intense
gliosis, Rosenthal fiber formation, microcalcifications, and hemosiderin
deposits. Herein we report a 55-year-old man with LCC documented 10 years ago, in
whom we examined brain perfusion by pseudocontinuous arterial spin labeling
technique. We demonstrated diffused hypoperfusion of the affected white matter
(WM) and of the subcortical gray matter (GM) and cortical GM in the patient in
comparison to a group of healthy control subjects, using both qualitative
evaluation and region of interest analysis. WM and subcortical GM hypoperfusion
reflects the known distribution of LCC microangiopathy. We speculate that
cortical hypoperfusion may be related to cerebral atrophy or may reflect
deafferentation secondary to severe leukoencephalopathy, and may possibly
contribute to severe motor and cognitive impairment. Further studies addressing
cerebral blood flow in LCC are necessary.
PMID- 27212270
TI - Symmetry breaking in spreading RAT2 fibroblasts requires the MAPK/ERK pathway
scaffold RACK1 that integrates FAK, p190A-RhoGAP and ERK2 signaling.
AB - The spreading of adhering cells is a morphogenetic process during which cells
break spherical or radial symmetry and adopt migratory polarity with spatially
segregated protruding cell front and non-protruding cell rear. The organization
and regulation of these symmetry-breaking events, which are both complex and
stochastic, are not fully understood. Here we show that in radially spreading
cells, symmetry breaking commences with the development of discrete non
protruding regions characterized by large but sparse focal adhesions and long
peripheral actin bundles. Establishment of this non-protruding static region
specifies the distally oriented protruding cell front and thus determines the
polarity axis and the direction of cell migration. The development of non
protruding regions requires ERK2 and the ERK pathway scaffold protein RACK1.
RACK1 promotes adhesion-mediated activation of ERK2 that in turn inhibits p190A
RhoGAP signaling by reducing the peripheral localization of p190A-RhoGAP. We
propose that sustained ERK signaling at the prospective cell rear induces p190A
RhoGAP depletion from the cell periphery resulting in peripheral actin bundles
and cell rear formation. Since cell adhesion activates both ERK and p190A-RhoGAP
signaling this constitutes a spatially confined incoherent feed-forward signaling
circuit.
PMID- 27212272
TI - Trends in C-Reactive Protein Levels Are Associated with Neurological Change
Twenty-Four Hours after Thrombolysis for Acute Ischemic Stroke.
AB - BACKGROUND: Elevated inflammatory markers such as C-reactive protein (CRP) are
associated with worse outcomes in patients thrombolysed for acute ischemic stroke
(AIS). AIMS: To investigate whether changes in CRP levels are associated with
neurological change after thrombolysis for AIS. METHODS: Retrospective analysis
of a single-center database of consecutive thrombolysis cases for AIS from
October 18, 2011, to June 15, 2015, inclusive. Multivariate regression analysis
was used to investigate the relationship between change in CRP 12-24 hours after
thrombolysis and change in NIHSS (National Institutes of Health Stroke Scale)
score 24 hours after thrombolysis. The other potentially confounding predictor
variables included in the model were CRP on admission and NIHSS score before
thrombolysis. RESULTS: Complete data were available for 108 out of possible 435
eligible patients. Increases in CRP levels 12-24 hours after thrombolysis were
negatively associated with reduction in NIHSS score 24 hours after thrombolysis
(coefficient .08, 95% confidence interval .031-.129, P = .002). Thus, on average,
for every 12.5 mg/L additional increase in CRP 12-24 hours after thrombolysis,
NIHSS score at 24 hours improved by 1 point less. CONCLUSION: While it was
previously known that elevated CRP levels are associated with worse outcomes in
patients thrombolysed for AIS, the current work demonstrates that changes in CRP
levels after thrombolysis also relate to neurological change, and thus may have
scope for use as prognostic markers.
PMID- 27212273
TI - Racial Differences in Outcomes after Acute Ischemic Stroke Hospitalization in the
United States.
AB - BACKGROUND AND OBJECTIVES: Racial differences in stroke outcomes have major
health policy implications. There is paucity of contemporary data on racial
differences in clinical outcomes and resource utilization in acute ischemic
stroke hospitalizations in the United States. METHODS: We used the 2011-2012
National Inpatient Sample to identify hospitalizations with a primary diagnosis
of acute ischemic stroke. Primary outcomes were in-hospital mortality,
utilization of thrombolysis, and endovascular mechanical thrombectomy (EMT).
Secondary outcomes were length of stay (LOS) and average inflation-adjusted
charges. RESULTS: A total of 173,910 hospitalizations representing 835,811
hospitalizations nationwide were included in the study. Mean age was 70.9 years
and 52.3% were women. Blacks (adjusted OR .71, 95% CI .64-.78, P < .001) and
Asian or Pacific Islanders (adjusted OR .80, 95% CI .66-.97, P = .02) had a lower
in-hospital mortality compared to Whites. Blacks were less likely to be treated
with thrombolysis (adjusted OR .84, 95% CI .76-.92, P < .001) and EMT (OR .73,
95% CI .58-.91, P = .01). Average LOS and inflation-adjusted charges were
significantly higher for racial minorities compared to Whites. CONCLUSIONS:
Blacks and Asians hospitalized for ischemic stroke are less likely to die in the
hospital compared to Whites. Hospitalization for stroke in Blacks is associated
with lower rates of reperfusion therapy, longer lengths of stay, and higher costs
compared to Whites.
PMID- 27212274
TI - Time dependent DFT investigation of the optical properties of artificial light
harvesting special pairs.
AB - Computational modeling of selected artificial special pairs has been carried out.
The structures chosen are bio-inspired molecular models of the light harvesting
system II that have been previously investigated experimentally. Time-dependent
density functional theory calculations have been employed to characterize the
inter-macrocycle interactions resulting from two zinc porphyrins that are
covalently linked with rigid linkers that vary the inter-porphyrin distance and
the inter-planar angle in a C2v framework. The effects of varying the linker
structure have been explored for electronic states with energies up to and
including the Soret-correlated states in the dimer. An expansion of the Gouterman
four orbital model for the monomers to an eight orbital model in the dimers
provides a reasonable explanation of the inter-macrocycle interactions and
provides insight into their experimental properties.
PMID- 27212275
TI - Iridium(III) 1-Phenylisoquinoline Complexes as a Photosensitizer for
Photocatalytic CO2 Reduction: A Mixed System with a Re(I) Catalyst and a
Supramolecular Photocatalyst.
AB - An Ir(III) complex with 1-phenylisoquinoline (piq) ligands [Ir(piq)2(dmb)](+)
(Ir, dmb = 4,4'-dimethyl-2,2'-bipyridine) exhibited strong absorption in the
visible region, and the lifetime of its excited state was very long (tau = 2.8
MUs). Photochemical reduction of Ir efficiently proceeded with 1-benzyl-1,4
dihydronicotinamide (BNAH) and 1,3-dimethyl-2-phenyl-2,3-dihydro-1H
benzo[d]imidazole (BIH) as reductants, giving the one-electron-reduced species
(OERS), which was stable in solution at ambient temperature. The OERS of the Ir
complex possessed strong reductive power, sufficient to supply an electron to fac
Re(dmb)(CO)3Br (Re). The photocatalytic reduction of CO2 proceeded efficiently
using a mixed system constructed with Ir as a redox photosensitizer and Re as a
catalyst, selectively giving CO (PhiCO = 0.16 using BNAH at lambdaex = 480 nm).
Ir was a more suitable photosensitizer for evaluating the activity of the Re
catalyst in the photocatalytic reaction compared to [Ru(dmb)3](2+) (Ru) because
the Ir complex was more stable in the photocatalytic reaction, and its
decomposition products did not function as catalysts for CO2 reduction while the
decomposition products of the Ru complex functioned as catalysts for the
reduction of CO2 to HCOOH, inducing a drastic perturbation of the product
distribution. A supramolecular photocatalyst (Ir-Re), in which the Ir(III)
photosensitizer and the Re(I) catalyst were connected by a bridging ligand, was
newly synthesized. When using BNAH, Ir-Re possessed a greater photocatalytic
ability (PhiCO = 0.21, TONCO = 130) than the corresponding mixed system of the Ir
and Re mononuclear complexes. Using BIH as the reductant, both Ir-Re and the
mixed system showed very high photocatalytic activity (PhiCO = 0.40-0.41, TONCO =
1700).
PMID- 27212276
TI - Multianalyte LC-MS-based methods in doping control: what are the implications for
doping athletes?
PMID- 27212277
TI - Acyclovir is not effective in pityriasis rosea: Results of a randomized, triple
blind, placebo-controlled trial.
AB - BACKGROUND: Acyclovir is considered to be an effective treatment for pityriasis
rosea but randomized, blinded, placebo-controlled trials have not been performed.
AIMS: To test the efficacy of acyclovir in pityriasis rosea in a randomized,
triple-blind, placebo-controlled trial. METHODS: Twenty seven patients with
pityriasis rosea were randomly allocated to receive placebo (n = 13) or acyclovir
(800 mg five times daily for one week) (n = 14). The severity of disease was
assessed by the pityriasis rosea area and severity index. Cure was defined as the
absence of erythema, with no or minimal scaling. RESULTS: The number of days
(mean +/- standard deviation) taken for cure was not significantly different
between the two groups (placebo 26.54 +/- 9.14 days versus acyclovir 33.29 +/-
9.49 days; P = 0.0720, t-test; 95% confidence interval of difference -0.65 to
14.14 days). LIMITATIONS: The sample size for the present study was calculated
using data from an earlier study. As the standard deviation was not mentioned in
that article, a common standard deviation of fifteen days was assumed. A study
with a larger sample size may be more effective in detecting minor treatment
differences between acyclovir and placebo, if they exist at all. CONCLUSION:
Acyclovir is not an effective treatment for pityriasis rosea.
PMID- 27212278
TI - Clinico-bacteriological study of pyodermas in a tertiary care centre in South
India.
PMID- 27212279
TI - Effects of isotretinoin on the thyroid gland and thyroid function tests in acne
patients: A preliminary study.
AB - BACKGROUND: Isotretinoin is widely used in the treatment of acne. AIMS: We
investigated the effects of isotretinoin on thyroid function tests and thyroid
volume in acne patients. METHODS: In this prospective study, a total of 104 acne
patients were included. Sixty-six patients were treated with isotretinoin for at
least 4 months. Thirty eight patients were included in the control group. The
levels of thyroid stimulating hormone, free triiodothyronine, free thyroxine,
antithyroglobulin and antithyroid peroxidase antibodies were measured and a
thyroid ultrasound was performed in all the subjects before treatment and 4
months after treatment. A "p" value of < 0.05 was considered significant.
RESULTS: In the isotretinoin-treated group, thyroid stimulating hormone levels
increased significantly during isotretinoin treatment (P = 0.018). Free
triiodothyronine, free thyroxine, anti-thyroid peroxidase levels and thyroid
volume decreased significantly during treatment (P = 0.016, P= 0.012, P= 0.006, P
= 0.020 respectively). LIMITATIONS: The major limitation of this study is the
lack of follow-up data after the cessation of isotretinoin therapy in acne
patients. CONCLUSION: Patients treated with isotretinoin should be monitored with
thyroid function tests.
PMID- 27212280
TI - Metastatic Merkel cell carcinoma of the face.
PMID- 27212281
TI - Ichthyosis follicularis, atrichia and photophobia (IFAP) syndrome affecting two
successive generations in an Indian family.
PMID- 27212282
TI - Efficacy and relapse rates of different treatment modalities for progressive
macular hypomelanosis.
AB - BACKGROUND: Progressive macular hypomelanosis is an acquired disorder
characterized by hypopigmented macules mostly on the trunk and upper extremities.
Although many treatment modalities have been proposed for this condition with
variable success rates, there are few reports comparing their efficacy and
relapse rates. AIM: To compare the efficacy and relapse rates of different
treatment modalities for progressive macular hypomelanosis. METHODS: Case records
of patients diagnosed with progressive macular hypomelanosis and treated in
National Skin Centre for a six year period between 2008 and 2014 were reviewed.
Patient demographics, distribution of hypopigmented macules, treatment efficacy
and relapse rates were noted. RESULTS: A total of 108 patients were seen for
progressive macular hypomelanosis over the study period; of these, 40 opted for
no treatment but were followed up. Thirty-six were treated with topical
antimicrobials and 32 with phototherapy. Of those untreated, 23% recovered
spontaneously while 38% in the antimicrobial group and 90% in the phototherapy
had remission of their hypopigmentation. After 2 years of follow-up, relapse
occurred only in the phototherapy group. LIMITATIONS: The main limitation is the
retrospective design whereby diagnosis is dependent on the attending
dermatologist. CONCLUSIONS: Narrow-band ultraviolet B therapy appears to be the
most effective treatment for progressive macular hypomelanosis but also has the
highest potential for relapse. Response rates for antimicrobial therapy are lower
and slower, but patients who responded did not relapse. A combination of
topical/systemic antimicrobials with narrow-band ultraviolet B therapy might be
the best option to hasten recovery and minimize relapse.
PMID- 27212283
TI - Ultraviolet A1 phototherapy: One center's experience.
AB - BACKGROUND: Ultraviolet A1(UVA1) phototherapy is increasingly being used in the
treatment of morphea, atopic dermatitis, lupus and some other recalcitrant
dermatoses. We present a retrospective review of our experience with this
modality. AIM: To evaluate the treatment response rates for various dermatoses
and adverse effects of UVA1 phototherapy. METHODS: We reviewed phototherapy notes
along with electronic and/or paper case records for all patients treated with
UVA1 phototherapy from October 1996 to December 2008. RESULTS: A total of 269
patients (outcomes available for 247) had 361 treatment courses (treatment data
available for 317 courses) over this period. We found phototherapy to be
beneficial in 28 (53%) of 53 patients with atopic dermatitis and 19 (51%) of 37
patients with morphea. A beneficial outcome was recorded in all six (100%) cases
of urticaria and six (85.7%) of seven patients treated for a polymorphic light
eruption. Benefit was also recorded in systemic lupus erythematosus (8 (44.4%) of
18), lichen sclerosus (6 (42.9%) of 14), mastocytosis (2 (33.3%) of 6),
necrobiosis lipoidica (4 (30.8%) of 13), granuloma annulare (2 (25%) of 8),
scleroderma (2 (22.2%) of 9) and keloids (1 (7.7%) of 13). Overall, treatment was
well tolerated with no patients having to stop treatment due to adverse effects.
LIMITATIONS: This is a retrospective study with no control group.
Subjective/recall bias is quite possible as a number of patients were followed up
over the phone. CONCLUSIONS: Our data suggest that ultraviolet A1 can be
considered for the treatment of selected dermatoses. However, long-term
malignancy risk is as yet unknown.
PMID- 27212284
TI - Combined vitamin C sonophoresis and neodymium-doped yttrium aluminum garnet
(NdYAG) laser for facial hyperpigmentation: An outcome observation study in Asian
patients.
AB - BACKGROUND: The neodymium-doped yttrium aluminum garnet (NdYAG) laser therapy has
been a popular technique for facial rejuvenation but certain adverse effects like
post-inflammatory hyperpigmentation are issues of concern to Asian patients.
AIMS: To assess the outcome following combined treatment with vitamin C
sonophoresis and NdYAG laser, in selected cases of facial hyperpigmentation.
METHODS: Twenty three women with dyschromia or melasma who had undergone five
sessions of Q-switched NdYAG laser therapy followed by transdermal delivery of
vitamin C via sonophoresis were selected after a retrospective review of case
records. The objective and subjective clinical outcomes and the side effects,
including erythema, scaling, pruritus, dryness and post-inflammatory
hyperpigmentation were evaluated. RESULTS: In both objective or subjective
outcomes, 91.3% (21/23) of the patients showed an excellent or better outcome,
while 8.7% (2/23) showed no change. A majority of the patients (73.9%, 17/23)
experienced no post-inflammatory hyperpigmentation or had slight post
inflammatory hyperpigmentation which quickly resolved within 1 week. Only one
(4.3%) patient had extreme post-inflammatory hyperpigmentation which lasted for
over a month. LIMITATIONS: This was a retrospective study without a control
group; a comparative study with a control group (patients treated with the laser
alone, without vitamin C sonopheresis) is needed to determine the difference in
the outcome. CONCLUSION: The use of vitamin C sonophoresis along with NdYAG laser
may reduce the incidence of adverse effects in Asian patients. Patients
experienced obvious improvement in hyperpigmentation and had lower chances of
experiencing extreme or severe post-inflammatory hyperpigmentation.
PMID- 27212285
TI - Primary subcutaneous hydatid cyst of the leg: An unusual location and review of
the literature.
PMID- 27212286
TI - Vitiligo-like lesions following imiquimod 5% application for condyloma acuminata:
An additional case.
PMID- 27212378
TI - Identification of novel KIF11 mutations in patients with familial exudative
vitreoretinopathy and a phenotypic analysis.
AB - KIF11 gene mutations cause a rare autosomal dominant inheritable disease called
microcephaly with or without chorioretinopathy, lymphedema, or mental retardation
(MCLMR). Recently, such mutations were also found to be associated with familial
exudative vitreoretinopathy (FEVR). Here, we report 7 novel KIF11 mutations
identified by targeted gene capture in a cohort of 142 probands with FEVR who
were diagnosed in our clinic between March 2015 and November 2015. These
mutations were: p.L171V, c.790-2A>C, p.Q525*, p.Q842*, p.S936*, p.L983fs and
p.R1025G. Phenotypic analysis revealed that all of the affected probands had
advanced FEVR (stage 4 or above). Three had microcephaly, and one had
chorioretinopathy, which indicated a phenotypic overlap with MCLMR. Two mutations
were also found in the families of the affected probands. One parent with a
p.R1025G mutation had an avascular peripheral retina and abnormal looping
vessels. However, one parent with p.L983fs had normal retina, which indicated
incomplete penetration of the genotype. Our results further confirmed that KIF11
is causative of FEVR in an autosomal dominant manner. We also suggest the
examination of MCLMR-like features, such as microcephaly, chorioretinopathy, for
patients with FEVR and wide-field fundus photography for patients with MCLMR in
future practice.
PMID- 27212380
TI - Non-Transgenic Mouse Models of Kidney Disease.
AB - Animal models are essential tools to understand the mechanisms underlying the
development and progression of renal disease and to study potential therapeutic
approaches. Recently, interventional models suitable to induce acute and chronic
kidney disease in the mouse have become a focus of interest due to the wide
availability of genetically engineered mouse lines. These models differ by their
damaging mechanism (cell toxicity, immune mechanisms, surgical renal mass
reduction, ischemia, hypertension, ureter obstruction etc.), functional and
histomorphological phenotype and disease evolution. The susceptibility to a
damaging mechanism often depends on strain and gender. The C57BL/6 strain, the
most commonly used genetic background of transgenic mice, appears to be
relatively resistant against developing glomerulosclerosis, proteinuria and
hypertension. This review serves to provide a comprehensive overview of
interventional mouse models of acute and chronic kidney disease.
PMID- 27212381
TI - Saline-water bioleaching of chalcopyrite with thermophilic, iron(II)- and sulfur
oxidizing microorganisms.
AB - The application of thermoacidophiles for chalcopyrite (CuFeS2) bioleaching in
hot, acidic, saline solution was investigated as a possible process route for
rapid Cu extraction. The study comprised a discussion of protective mechanisms
employed for the survival and/or adaptation of thermoacidophiles to osmotic
stress, a compilation of chloride tolerances for three genera of
thermoacidophiles applied in bioleaching and an experimental study of the
activities of three species in a saline bioleaching system. The data showed that
the oxidation rates of iron(II) and reduced inorganic sulfur compounds
(tetrathionate) were reduced in the presence of chloride levels well below
chloride concentrations in seawater, limiting the applicability of these
microorganisms in the bioleaching of CuFeS2 in saline water.
PMID- 27212379
TI - Mismatching Among Guidelines, Providers, and Parents on Controller Medication Use
in Children with Asthma.
AB - BACKGROUND: Underuse of controller medicines among children with asthma remains
widespread despite national guidelines. OBJECTIVES: To (1) assess provider
prescribing patterns for asthma controller medications; (2) assess how frequently
parents' reports of their child's asthma controller medicine use were mismatched
with their provider's recommendations; and (3) evaluate parent attitudes and
demographic characteristics associated with these mismatches. METHODS: In this
cross-sectional study, we conducted linked surveys of parents and providers of
children with probable persistent asthma in a Medicaid program and 4 commercial
health plans in 2011. Probable persistent asthma was defined as a diagnosis of
asthma and 1 or more controller medication dispensing. RESULTS: This study
included 740 children (mean age, 8.6 years). Providers for 50% of the children
reported prescribing controller medications for daily year-round use, 41% for
daily use during active asthma months, and 9% for intermittent use for relief.
Among parents, 72% knew which class of controller medication the provider
prescribed and 49% knew the administration frequency and the medication class.
Parents were less likely to report the same controller medication type as the
provider, irrespective of dose and frequency, if they were Latino (odds ratio
[OR], 0.23; CI, 0.057-0.90), had a household smoker (OR, 2.87; CI, 0.42-19.6), or
believed the controller medicine was not helping (OR, 0.15; CI, 0.048-0.45).
CONCLUSIONS: Mismatches between parent reports and providers intentions regarding
how the child was supposed to use inhaled steroids occurred for half of the
children. Efforts should focus on ways to reduce mismatches between parent and
provider intentions regarding controller medication use.
PMID- 27212382
TI - Pimecrolimus increases the expression of interferon-inducible genes that modulate
human coronary artery cells proliferation.
AB - The pharmacodynamics of the loaded compounds defines clinical failure or success
of a drug-eluting device. Various limus derivatives have entered clinics due to
the observed positive outcome after stent implantation, which is explained by
their antiproliferative activity resulting from inhibition of the cytosolic
immunophilin FK506-binding protein 12. Although pimecrolimus also binds to this
protein, pimecrolimus-eluting stents failed in clinics. However, despite its
impact on T lymphocytes little is known about the pharmacodynamics of
pimecrolimus in cultured human coronary artery cells. We were able to show that
pimecrolimus exerts antiproliferative activity in human smooth muscle and
endothelial cells. Furthermore in those cells pimecrolimus induced transcription
of interferon-inducible genes which in part are known to modulate cell
proliferation. Modulation of gene expression may be part of an interaction
between calcineurin, the downstream target of the pimecrolimus/FK506-binding
protein 12-complex, and the toll-like receptor 4. In accordance are our findings
showing that silencing of toll-like receptor 4 by siRNA in A549 a lung carcinoma
cell line reduced the activation of interferon-inducible genes upon pimecrolimus
treatment in those cells. Based on our findings we hypothesize that calcineurin
inhibition may induce the toll-like receptor 4 mediated activation of type I
interferon signaling finally inducing the observed effect in endothelial and
smooth muscle cells. The crosstalk of interferon and toll-like receptor signaling
may be a molecular mechanism that contributed to the failure of pimecrolimus
eluting stents in humans.
PMID- 27212383
TI - Vitamin K2 regression aortic calcification induced by warfarin via Gas6/Axl
survival pathway in rats.
AB - The aim of this study was to investigate the effect of vitamin K2 on aortic
calcification induced by warfarin via Gas6/Axl survival pathway in rats. A
calcification model was established by administering 3mg/g warfarin to rats. Rats
were divided into 9 groups: control group (0W, 4W, 6W and 12W groups), 4W
calcification group, 6W calcification group, 12W calcification group, 6W
calcification+6W normal group and 6W calcification+6W vitamin K2 group. Alizarin
red S staining measured aortic calcium depositions; alkaline phosphatase activity
in serum was measured by a kit; apoptosis was evaluated by TUNEL assay; protein
expression levels of Gas6, Axl, phosphorylated Akt (p-Akt), and Bcl-2 were
determined by western blotting. The calcium content, calcium depositions, ALP
activity and apoptosis were significantly higher in the calcification groups than
control group. Gas6, Axl, p-Akt and Bcl-2 expression was lower in the
calcification group than control group. 100MUg/g vitamin K2 treatment decreased
calcium depositions, ALP activity and apoptosis significantly, but increased
Gas6, Axl, p-Akt and Bcl-2 expression. 100MUg/g vitamin K2 reversed 44%
calcification. Pearson correlation analysis showed a positive correlation between
formation calcification and apoptosis (R(2)=0.8853, P<0.0001). In conclusion, we
established a warfarin-induced calcification model and showed vitamin K2 can
inhibit warfarin-induced aortic calcification and apoptosis. The regression of
aortic calcification by vitamin K2 involved the Gas6/Axl axis. This data may
provide a theoretical basis for future clinical treatments for aortic
calcification.
PMID- 27212385
TI - Auxin Is Required for Valve Margin Patterning in Arabidopsis After All.
PMID- 27212384
TI - Efficient selective breeding of live oil-rich Euglena gracilis with fluorescence
activated cell sorting.
AB - Euglena gracilis, a microalgal species of unicellular flagellate protists, has
attracted much attention in both the industrial and academic sectors due to
recent advances in the mass cultivation of E. gracilis that have enabled the cost
effective production of nutritional food and cosmetic commodities. In addition,
it is known to produce paramylon (beta-1,3-glucan in a crystalline form) as
reserve polysaccharide and convert it to wax ester in hypoxic and anaerobic
conditions-a promising feedstock for biodiesel and aviation biofuel. However,
there remain a number of technical challenges to be solved before it can be
deployed in the competitive fuel market. Here we present a method for efficient
selective breeding of live oil-rich E. gracilis with fluorescence-activated cell
sorting (FACS). Specifically, the selective breeding method is a repetitive
procedure for one-week heterotrophic cultivation, staining intracellular lipids
with BODIPY(505/515), and FACS-based isolation of top 0.5% lipid-rich E. gracilis
cells with high viability, after inducing mutation with Fe-ion irradiation to the
wild type (WT). Consequently, we acquire a live, stable, lipid-rich E. gracilis
mutant strain, named B1ZFeL, with 40% more lipid content on average than the WT.
Our method paves the way for rapid, cost-effective, energy-efficient production
of biofuel.
PMID- 27212386
TI - Middle Cortex Formation in the Root: An Emerging Picture of Integrated Regulatory
Mechanisms.
PMID- 27212387
TI - Nitrate Transport, Sensing, and Responses in Plants.
AB - Nitrogen (N) is an essential macronutrient that affects plant growth and
development. N is an important component of chlorophyll, amino acids, nucleic
acids, and secondary metabolites. Nitrate is one of the most abundant N sources
in the soil. Because nitrate and other N nutrients are often limiting, plants
have developed sophisticated mechanisms to ensure adequate supply of nutrients in
a variable environment. Nitrate is absorbed in the root and mobilized to other
organs by nitrate transporters. Nitrate sensing activates signaling pathways that
impinge upon molecular, metabolic, physiological, and developmental responses
locally and at the whole plant level. With the advent of genomics technologies
and genetic tools, important advances in our understanding of nitrate and other N
nutrient responses have been achieved in the past decade. Furthermore, techniques
that take advantage of natural polymorphisms present in divergent individuals
from a single species have been essential in uncovering new components. However,
there are still gaps in our understanding of how nitrate signaling affects
biological processes in plants. Moreover, we still lack an integrated view of how
all the regulatory factors identified interact or crosstalk to orchestrate the
myriad N responses plants typically exhibit. In this review, we provide an
updated overview of mechanisms by which nitrate is sensed and transported
throughout the plant. We discuss signaling components and how nitrate sensing
crosstalks with hormonal pathways for developmental responses locally and
globally in the plant. Understanding how nitrate impacts on plant metabolism,
physiology, and growth and development in plants is key to improving crops for
sustainable agriculture.
PMID- 27212389
TI - A Single Transcript CRISPR-Cas9 System for Efficient Genome Editing in Plants.
PMID- 27212388
TI - Plant Ionomics: From Elemental Profiling to Environmental Adaptation.
AB - Ionomics is a high-throughput elemental profiling approach to study the molecular
mechanistic basis underlying mineral nutrient and trace element composition (also
known as the ionome) of living organisms. Since the concept of ionomics was first
introduced more than 10 years ago, significant progress has been made in the
identification of genes and gene networks that control the ionome. In this
update, we summarize the progress made in using the ionomics approach over the
last decade, including the identification of genes by forward genetics and the
study of natural ionomic variation. We further discuss the potential application
of ionomics to the investigation of the ecological functions of ionomic alleles
in adaptation to the environment.
PMID- 27212391
TI - Microwave-aided skin drug penetration and retention of 5-fluorouracil-loaded
ethosomes.
AB - OBJECTIVES: Skin drug retention is required in local treatment of skin cancer.
This study investigated the interplay effects of ethosomes and microwave in
transdermal drug delivery. Skin pre-treatment by microwave and applied with
liquified medicine is deemed to 'cement' the skin thereby raising skin drug
deposition. METHODS: 5-fluorouracil-loaded ethosomes were prepared and subjected
to size, zeta potential, morphology, drug content, drug release and skin
permeation tests. The molecular characteristics of untreated, microwave and/or
ethosome-treated skins were examined by Fourier transform infrared and raman
spectroscopy, thermal and electron microscopy techniques. RESULTS: The skin drug
retention was promoted using larger ethosomes with negative zeta potentials that
repelled anionic lipids of skin and hindered vesicle permeation into deep layers.
These ethosomes had low ethanol content. They were less able to fluidize the
lipid and defluidize the protein domains at epidermis to enlarge aqueous pores
for drug permeation. Pre-treatment of skin by 2450 MHz microwave for 2.5 min
further increased skin drug penetration and retention of low ethanol ethosomes
and provided lower drug permeation than cases treated for 1.15 min and 5 min. A
2.5 min treatment might be accompanied by specific dermal protein fluidization
via C=O moiety which translated to macromolecular swelling, narrowing of
intercellular spaces at lower skin layers, increased drug retention and reduced
drug permeation. CONCLUSION: Ethosomes and microwave synergized to promote skin
drug retention.
PMID- 27212390
TI - Hetero-site-specific X-ray pump-probe spectroscopy for femtosecond intramolecular
dynamics.
AB - New capabilities at X-ray free-electron laser facilities allow the generation of
two-colour femtosecond X-ray pulses, opening the possibility of performing
ultrafast studies of X-ray-induced phenomena. Particularly, the experimental
realization of hetero-site-specific X-ray-pump/X-ray-probe spectroscopy is of
special interest, in which an X-ray pump pulse is absorbed at one site within a
molecule and an X-ray probe pulse follows the X-ray-induced dynamics at another
site within the same molecule. Here we show experimental evidence of a hetero
site pump-probe signal. By using two-colour 10-fs X-ray pulses, we are able to
observe the femtosecond time dependence for the formation of F ions during the
fragmentation of XeF2 molecules following X-ray absorption at the Xe site.
PMID- 27212392
TI - Coalescence of electrically charged liquid marbles.
AB - In this work, we investigated the coalescence of liquid water marbles driven by a
DC electric field. We have found that two contacting liquid marbles can be forced
to coalesce when they are charged by a sufficiently high voltage. The threshold
voltage leading to the electro-coalescence sensitively depends on the stabilizing
particles as well as the surface tension of the aqueous phase. By evaluating the
electric stress and surface tension effect, we attribute such coalescence to the
formation of a connecting bridge driven by the electric stress. This liquid
bridge subsequently grows and leads to the merging of the marbles. Our
interpretation is confirmed by the scaling relation between the electric stress
and the restoring capillary pressure. In addition, multiple marbles in a chain
can be driven to coalesce by a sufficiently high threshold voltage that increases
linearly with the number of the marbles. We have further proposed a simple model
to predict the relationship between the threshold voltage and the number of
liquid marbles, which agrees well with the experimental results. The concept of
electro-coalescence of liquid marbles can be potentially useful in their use as
containers for chemical and biomedical reactions involving multiple reagents.
PMID- 27212393
TI - Hospice and palliative social workers' experiences with clients at risk of
suicide.
AB - OBJECTIVE: We sought to determine the frequency with which hospice and palliative
social workers encounter patients, family caregivers, and other clients at risk
of suicide, and to discover the extent to which hospice and palliative social
workers feel prepared to address issues related to suicide in their professional
practice. METHOD: We conducted a cross-sectional survey of hospice and palliative
social workers, recruiting a convenience sample of volunteer respondents through
advertisements at professional conferences and listservs, and via social media
accounts associated with national organizations, state hospice and palliative
care associations, and individual healthcare professionals. RESULTS: Most
respondents reported having worked with patients, family caregivers, or other
clients who had exhibited warning signs of suicide during the previous year.
Fewer respondents indicated that they had worked with patients and family members
who had attempted or died by suicide. While the majority of respondents believed
they possessed sufficient knowledge and skills to intervene effectively with
individuals at risk of suicide, they indicated that additional education on this
topic would be valuable for their professional practice. SIGNIFICANCE OF RESULTS:
These study results suggest that suicide-related competencies are important in
the practice of hospice and palliative social work. Future education and training
efforts should include skill development in addition to knowledge building.
PMID- 27212395
TI - High temperature (35)Cl nuclear magnetic resonance study of the LiCl-KCl system
and the effect of CeCl3 dissolution.
AB - This paper examines the dynamics of the LiCl-KCl system over a range of
temperatures in order to understand the local structure surrounding chlorine,
which is the common ion in these systems, during molten salt pyro-processing.
Chlorine-35 nuclear magnetic resonance (NMR) is sensitive to the local
environments of the resonant nuclei and their motion on a diffusive timescale.
Thus, it is a good probe of the atomic scale processes controlling the
viscosities, diffusivities and conductivities of these molten salts. The average
isotropic chemical shifts (((35)Cl)delta) and spin-lattice relaxation times (T1)
of (35)Cl in (Li,K)Cl salt mixtures have been obtained over a compositional range
of 0-100 mol% KCl with an interval of 10 mol% using high temperature nuclear
magnetic resonance (NMR) spectroscopy from room temperature up to 890 degrees C.
The ((35)Cl)delta in the two end member salts are consistent with the cation
anion radius ratio as previously measured on the solid halides and the average
radius ratio of cation to anion, can be used to explain the variation of
((35)Cl)delta with composition. The quadrupolar interaction is found to be
responsible for the spin-lattice relaxation of the (35)Cl, and the activation
energies for T1 relaxation have been obtained for all compositions. The measured
T1 ((35)Cl) activation energies do not vary linearly with composition and peak at
50% KCl, which also coincides with the Chemla point for this system. They also
are in good agreement with the values from equivalent conductivity measurements.
To investigate the response of the system to solutes, 8 wt% of CeCl3 was added to
the pure LiCl as a surrogate actinide. The shift induced was 120 ppm and the
activation energy for the T1 ((35)Cl) increased by a factor of four. This is a
promising preliminary result for probing the effect of actinide dissolution on
the dynamics of these pyro-processing salts.
PMID- 27212394
TI - Anthropometric Computed Tomography Reconstruction Identifies Risk Factors for
Cortical Perforation in Revision Total Hip Arthroplasty.
AB - BACKGROUND: The incidence of revision hip arthroplasty is increasing with nearly
100,000 annual procedures expected in the near future. Many surgeons use straight
modular tapered stems in revisions; however, complications of periprosthetic
fracture and cortical perforation occur, resulting in poor outcomes. Our
objective was to identify patient demographics and femoral characteristics that
predispose patients to cortical perforation when using the straight modular
stems. METHODS: We used a computed tomography database and modeling software to
identify patient demographics and morphologic femoral characteristics that
predispose patients to cortical perforation during revision hip arthroplasty.
Overall, 561 femurs from patients of various backgrounds were used, and
statistical analysis was performed via the 2-sample t test. RESULTS: Decreased
patient height (mean 163.0 vs 168.8 cm), radius of curvature (818 vs 939 mm),
anterior-posterior (8.5 vs 13.8 mm) and medial-lateral (7.9 vs 11.3 mm) width of
the isthmus, and distance of the isthmus from the greater trochanter (179 vs 186
mm) were all statistically significant risk factors for cortical perforation (P <
.05). CONCLUSION: This study identifies several patient-specific risk factors for
cortical perforation during revision hip arthroplasty using straight modular
tapered stems and highlights the importance of preoperative planning especially
in patients with shorter stature, proximal location of the femoral isthmus,
narrow femoral canal, and smaller radius of curvature. Also, when using a mid
length modular tapered stem without an extended trochanteric osteotomy,
consideration should be given to using a kinked stem to avoid anterior cortical
perforation.
PMID- 27212396
TI - Transferring synergies from neuroscience to robotics: Comment on "Hand synergies:
Integration of robotics and neuroscience for understanding the control of
biological and artificial hands" by M. Santello et al.
PMID- 27212397
TI - Coalescence Dynamics of PEDOT:PSS Droplets Impacting at Offset on Substrates for
Inkjet Printing.
AB - The dynamics of coalescence and consequent spreading of conducting polymer
droplets on a solid substrate impacting at an offset are crucial in understanding
the stability of inkjet printed patterns, which find application in organic
flexible electronic devices. Poly(3,4
ethylenedioxythiophene):poly(styrenesulfonate) ( PEDOT: PSS) dispersion in water
is a widely used commercial conducting polymer for the fabrication of electron
devices. The effects of droplet spacing, impact velocity, substrate
hydrophilicity, polymer concentration, and charges on the coalescence of two
sessile droplets have been experimentally investigated, and the characteristics
of dynamic spreading during the coalescence process are determined through image
processing. The equilibrium spreading length of the coalesced droplets decreases
with concentration and spacing of the droplets, revealing the necessity of
optimum fluid properties (viscosity and surface tension) for the stability of the
desired pattern. The droplet's impact energy governs the maximum extent of
spreading and receding dynamics, as the velocity gradients developed in polymer
droplets during coalescence are a function of the inertia of the fluid elements.
Hydrophilicity affects the maximum spreading extent but it has no influence on
the equilibrium droplet diameter. The spreading length dynamics of charge
neutralized PEDOT: PSS is found similar to the charged droplets, which show that
the charged nature of the polymer does not affect the coalescence behavior.
Furthermore, different spreading regimes are identified and the governing forces
in each regime are described using a semianalytical formulation derived for the
coalescence of two droplets. The model has been found to accurately provide
insight into the various mechanisms that play a role during the complex spreading
event.
PMID- 27212398
TI - Targeting glutamate to treat schizophrenia: lessons from recent clinical studies.
PMID- 27212399
TI - Vasoactive Intestinal Peptide modulates trophoblast-derived cell line function
and interaction with phagocytic cells through autocrine pathways.
AB - Trophoblast cells migrate and invade the decidual stroma in a tightly regulated
process to maintain immune homeostasis at the maternal-placental interface during
the first weeks of pregnancy. Locally synthesized factors modulate trophoblast
cell function and their interaction with maternal leukocytes to promote the
silent clearance of apoptotic cells. The vasoactive intestinal peptide (VIP) is a
pleiotropic polypeptide with trophic and anti-inflammatory effects in murine
pregnancy models. We explored the effect of VIP on two human first trimester
trophoblast cell lines, particularly on their migration, invasiveness and
interaction with phagocytic cells, and the signalling and regulatory pathways
involved. We found that VIP enhanced trophoblast cell migration and invasion
through the activation of high affinity VPAC receptors and PKA-CRE signalling
pathways. VIP knocked-down trophoblast cells showed reduced migration in basal
and leukemic inhibitor factor (LIF)-elicited conditions. In parallel, VIP
silenced trophoblast cells failed to induce the phagocytosis of apoptotic bodies
and the expression of immunosuppressant markers by human monocytes. Our results
suggest that VIP-mediated autocrine pathways regulate trophoblast cell function
and contribute to immune homeostasis maintenance at placentation and may provide
new clues for therapeutic intervention in pregnancies complicated by defective
deep placentation.
PMID- 27212400
TI - Genetic Basis for Red Coloration in Birds.
AB - The yellow and red feather pigmentation of many bird species [1] plays pivotal
roles in social signaling and mate choice [2, 3]. To produce red pigments, birds
ingest yellow carotenoids and endogenously convert them into red ketocarotenoids
via an oxidation reaction catalyzed by a previously unknown ketolase [4-6]. We
investigated the genetic basis for red coloration in birds using whole-genome
sequencing of red siskins (Spinus cucullata), common canaries (Serinus canaria),
and "red factor" canaries, which are the hybrid product of crossing red siskins
with common canaries [7]. We identified two genomic regions introgressed from red
siskins into red factor canaries that are required for red coloration. One of
these regions contains a gene encoding a cytochrome P450 enzyme, CYP2J19.
Transcriptome analysis demonstrates that CYP2J19 is significantly upregulated in
the skin and liver of red factor canaries, strongly implicating CYP2J19 as the
ketolase that mediates red coloration in birds. Interestingly, a second
introgressed region required for red feathers resides within the epidermal
differentiation complex, a cluster of genes involved in development of the
integument. Lastly, we present evidence that CYP2J19 is involved in
ketocarotenoid formation in the retina. The discovery of the carotenoid ketolase
has important implications for understanding sensory function and signaling
mediated by carotenoid pigmentation.
PMID- 27212402
TI - Red Carotenoid Coloration in the Zebra Finch Is Controlled by a Cytochrome P450
Gene Cluster.
AB - Bright-red colors in vertebrates are commonly involved in sexual, social, and
interspecific signaling [1-8] and are largely produced by ketocarotenoid
pigments. In land birds, ketocarotenoids such as astaxanthin are usually
metabolically derived via ketolation of dietary yellow carotenoids [9, 10].
However, the molecular basis of this gene-environment mechanism has remained
obscure. Here we use the yellowbeak mutation in the zebra finch (Taeniopygia
guttata) to investigate the genetic basis of red coloration. Wild-type
ketocarotenoids were absent in the beak and tarsus of yellowbeak birds. The
yellowbeak mutation mapped to chromosome 8, close to a cluster of cytochrome P450
loci (CYP2J2-like) that are candidates for carotenoid ketolases. The wild-type
zebra finch genome was found to have three intact genes in this cluster:
CYP2J19A, CYP2J19B, and CYP2J40. In yellowbeak, there are multiple mutations:
loss of a complete CYP2J19 gene, a modified remaining CYP2J19 gene (CYP2J19(yb)),
and a non-synonymous SNP in CYP2J40. In wild-type birds, CYP2J19 loci are
expressed in ketocarotenoid-containing tissues: CYP2J19A only in the retina and
CYP2J19B in the beak and tarsus and to a variable extent in the retina. In
contrast, expression of CYP2J19(yb) is barely detectable in the beak of
yellowbeak birds. CYP2J40 has broad tissue expression and shows no differences
between wild-type and yellowbeak. Our results indicate that CYP2J19 genes are
strong candidates for the carotenoid ketolase and imply that ketolation occurs in
the integument in zebra finches. Since cytochrome P450 enzymes include key
detoxification enzymes, our results raise the intriguing possibility that red
coloration may be an honest signal of detoxification ability.
PMID- 27212401
TI - Regulation of Meristem Morphogenesis by Cell Wall Synthases in Arabidopsis.
AB - The cell walls of the shoot apical meristem (SAM), containing the stem cell niche
that gives rise to the above-ground tissues, are crucially involved in regulating
differentiation. It is currently unknown how these walls are built and refined or
their role, if any, in influencing meristem developmental dynamics. We have
combined polysaccharide linkage analysis, immuno-labeling, and transcriptome
profiling of the SAM to provide a spatiotemporal plan of the walls of this
dynamic structure. We find that meristematic cells express only a core subset of
152 genes encoding cell wall glycosyltransferases (GTs). Systemic localization of
all these GT mRNAs by in situ hybridization reveals members with either
enrichment in or specificity to apical subdomains such as emerging flower
primordia, and a large class with high expression in dividing cells. The highly
localized and coordinated expression of GTs in the SAM suggests distinct wall
properties of meristematic cells and specific differences between newly forming
walls and their mature descendants. Functional analysis demonstrates that a
subset of CSLD genes is essential for proper meristem maintenance, confirming the
key role of walls in developmental pathways.
PMID- 27212403
TI - The Oldest Actinopterygian Highlights the Cryptic Early History of the
Hyperdiverse Ray-Finned Fishes.
AB - Osteichthyans comprise two divisions, each containing over 32,000 living species
[1]: Sarcopterygii (lobe-finned fishes and tetrapods) and Actinopterygii (ray
finned fishes). Recent discoveries from China highlight the morphological
disparity of early sarcopterygians and extend their origin into the late Silurian
[2-4]. By contrast, the oldest unambiguous actinopterygians are roughly 30
million years younger, leaving a long temporal gap populated by fragments and
rare body fossils of controversial phylogenetic placement [5-10]. Here we
reinvestigate the enigmatic osteichthyan Meemannia from the Early Devonian (~415
million years ago) of China, previously identified as an exceptionally primitive
lobe-finned fish [3, 7, 11, 12]. Meemannia combines "cosmine"-like tissues taken
as evidence of sarcopterygian affinity with actinopterygian-like skull roof and
braincase geometry, including endoskeletal enclosure of the spiracle and a
lateral cranial canal. We report comparable histological structures in undoubted
ray-finned fishes and conclude that they are general osteichthyan features.
Phylogenetic analysis places Meemannia as an early-diverging ray-finned fish,
resolving it as the sister lineage of Cheirolepis [13] plus all younger
actinopterygians. This brings the first appearance of ray-fins more in line with
that of lobe-fins and fills a conspicuous faunal gap in the otherwise diverse
late Silurian-earliest Devonian vertebrate faunas of the South China Block [4].
PMID- 27212404
TI - Amino Acid Change in an Orchid Desaturase Enables Mimicry of the Pollinator's Sex
Pheromone.
AB - Mimicry illustrates the power of selection to produce phenotypic convergence in
biology [1]. A striking example is the imitation of female insects by plants that
are pollinated by sexual deception of males of the same insect species [2-4].
This involves mimicry of visual, tactile, and chemical signals of females [2-7],
especially their sex pheromones [8-11]. The Mediterranean orchid Ophrys exaltata
employs chemical mimicry of cuticular hydrocarbons, particularly the 7-alkenes,
in an insect sex pheromone to attract and elicit mating behavior in its
pollinators, males of the cellophane bee Colletes cunicularius [11-13]. A
difference in alkene double-bond positions is responsible for reproductive
isolation between O. exaltata and closely related species, such as O. sphegodes
[13-16]. We show that these 7-alkenes are likely determined by the action of the
stearoyl-acyl-carrier-protein desaturase (SAD) homolog SAD5. After gene
duplication, changes in subcellular localization relative to the ancestral
housekeeping desaturase may have allowed proto-SAD5's reaction products to
undergo further biosynthesis to both 7- and 9-alkenes. Such ancestral
coproduction of two alkene classes may have led to pollinator-mediated
deleterious pleiotropy. Despite possible evolutionary intermediates with reduced
activity, amino acid changes at the bottom of the substrate-binding cavity have
conferred enzyme specificity for 7-alkene biosynthesis by preventing the binding
of longer-chained fatty acid (FA) precursors by the enzyme. This change in
desaturase function enabled the orchid to perfect its chemical mimicry of
pollinator sex pheromones by escape from deleterious pleiotropy, supporting a
role of pleiotropy in determining the possible trajectories of adaptive
evolution.
PMID- 27212405
TI - Early Morphological Specialization for Insect-Spider Associations in Mesozoic
Lacewings.
AB - Insects exhibit a wide diversity of anatomical specializations in their adult and
immature stages associated with particular aspects of their biology. The order
Neuroptera (lacewings, antlions, and their relatives) are a moderately diverse
lineage of principally predatory animals, at least in their immature stages, as
all have a modified piercing-sucking mandible-maxillary complex that allows them
to drain fluids from their prey. As such, the larvae of various groups have
evolved unique anatomical and behavioral specializations for approaching and
subduing their prey, particularly the green lacewings (Chrysopidae), where
immatures are also adept at camouflage [1-4]. Here we report the discovery of a
unique mode of life among mid-Cretaceous mesochrysopids, an early stem group to
modern green lacewings [5-7] exhibiting a combination of morphological
modifications in both adults and larvae unknown among living and fossil
Neuroptera, even across winged insects. The new mesochrysopids exhibit a uniquely
prolonged thorax, elongate legs, and dramatically reduced hind wings in adults,
and larvae have extremely elongate, slender legs with pectinate pretarsal claws
and lacking trumpet-shaped empodia. The peculiarities of the larvae include
features principally found in spider-associated insect groups, implying that
these lacewings were early specialists on web-spinning spiders, either as active
predators or kleptoparasites. This reveals a dramatic and ancient degree of
ecological refinement in a major lineage of insect predators, for a food resource
otherwise not utilized by most lacewings.
PMID- 27212406
TI - Toll Genes Have an Ancestral Role in Axis Elongation.
AB - One of the key morphogenetic processes used during development is the controlled
intercalation of cells between their neighbors. This process has been co-opted
into a range of developmental events, and it also underlies an event that occurs
in each major group of bilaterians: elongation of the embryo along the anterior
posterior axis [1]. In Drosophila, a novel component of this process was recently
discovered by Pare et al., who showed that three Toll genes function together to
drive cell intercalation during germband extension [2]. This finding raises the
question of whether this role of Toll genes is an evolutionary novelty of flies
or a general mechanism of embryonic morphogenesis. Here we show that the Toll
gene function in axis elongation is, in fact, widely conserved among arthropods.
First, we functionally demonstrate that two Toll genes are required for cell
intercalation in the beetle Tribolium castaneum. We then show that these genes
belong to a previously undescribed Toll subfamily and that members of this
subfamily exhibit striped expression (as seen in Tribolium and previously
reported in Drosophila [3-5]) in embryos of six other arthropod species spanning
the entire phylum. Last, we show that two of these Toll genes are required for
normal morphogenesis during anterior-posterior embryo elongation in the spider
Parasteatoda tepidariorum, a member of the most basally branching arthropod
lineage. From our findings, we hypothesize that Toll genes had a morphogenetic
function in embryo elongation in the last common ancestor of all arthropods,
which existed over 550 million years ago.
PMID- 27212407
TI - Selection Maintains Apparently Degenerate Metabolic Pathways due to Tradeoffs in
Using Methylamine for Carbon versus Nitrogen.
AB - Microorganisms often encode multiple non-orthologous metabolic modules that
catalyze the same reaction. However, little experimental evidence actually
demonstrates a selective basis for metabolic degeneracy. Many methylotrophs
microorganisms that grow on reduced single-carbon compounds-like Methylobacterium
extorquens AM1 encode two routes for methylamine oxidation: the periplasmic
methylamine dehydrogenase (MaDH) and the cytoplasmic N-methylglutamate (NMG)
pathway. In Methylobacterium extorquens AM1, MaDH is essential for methylamine
growth, but the NMG pathway has no known physiological role. Here, we use
experimental evolution of two isolates lacking (or incapable of using) MaDH to
uncover the physiological challenges that need to be overcome in order to use the
NMG pathway for growth on methylamine as a carbon and energy source.
Physiological characterization of the evolved isolates revealed regulatory
rewiring to increase expression of the NMG pathway and novel mechanisms to
mitigate cytoplasmic ammonia buildup. These adaptations led us to infer and
validate environmental conditions under which the NMG pathway is advantageous
compared to MaDH. The highly expressed MaDH enables rapid growth on high
concentrations of methylamine as the primary carbon and energy substrate, whereas
the energetically expensive NMG pathway plays a pivotal role during growth with
methylamine as the sole nitrogen source, which we demonstrate is especially true
under limiting concentrations (<1 mM). Tradeoffs between cellular localization
and ammonium toxicity lead to selection for this apparent degeneracy as it is
beneficial to facultative methylotrophs that have to switch between using
methylamine as a carbon and energy source or just a nitrogen source.
PMID- 27212409
TI - Letter Regarding "Biomechanical Comparison of Volar Fixed-Angle Locking Plates
for AO C3 Distal Radius Fractures: Titanium Versus Stainless Steel With
Compression".
PMID- 27212408
TI - Complex Interplay of Physiology and Selection in the Emergence of Antibiotic
Resistance.
AB - Emergence of antibiotic resistance, an evolutionary process of major importance
for human health [1], often occurs under changing levels of antibiotics.
Selective sweeps, in which resistant cells become dominant in the population, are
a critical step in this process [2]. While resistance emergence has been studied
in laboratory experiments [3-8], the full progression of selective sweeps under
fluctuating stress, from stochastic events in single cells to fixation in
populations, has not been characterized. Here, we study fluctuating selection
using Escherichia coli populations engineered with a stochastic switch
controlling tetracycline resistance. Using microfluidics and live-cell imaging,
we treat multiple E. coli populations with the same total amount of tetracycline
but administered in different temporal patterns. We find that populations exposed
to either short or long antibiotic pulses are likely to develop resistance
through selective sweeps, whereas intermediate pulses allow higher growth rates
but suppress selective sweeps. On the basis of single-cell measurements and a
dynamic growth model, we identify the major determinants of population growth and
show that both physiological memory and environmental durations can strongly
modulate the emergence of resistance. Our detailed quantification in a model
synthetic system provides key lessons on the interaction between single-cell
physiology and selection that should inform the design of treatment regimens [9
12] and the analysis of phenotypically diverse populations adapting under
fluctuating selection [13-17].
PMID- 27212410
TI - Partial Tears of the Distal Biceps Brachii Tendon: A Systematic Review of
Surgical Outcomes.
AB - PURPOSE: To systematically review the literature regarding surgical outcomes for
treatment of partial tears of the distal biceps brachii tendon. METHODS: This
study was conducted in accordance with the Preferred Reporting Items for
Systematic Review and Meta-Analysis guidelines. A systematic review of the
literature regarding treatment of partial tears of the distal biceps tendon was
conducted using PubMed, Embase, and Cochrane. Inclusion criteria consisted of
studies in the English language on the treatment of partial distal biceps tendon
tears. Exclusion criteria consisted of (1) studies without outcome data, (2)
studies that did not specify the degree of distal biceps tendon tear (ie,
complete rupture vs partial tear), and (3) studies without partial tear subgroup
data. Two investigators independently reviewed the abstracts from all identified
articles. RESULTS: Only 5 patients who underwent successful nonsurgical treatment
were identified; all were treated with different algorithms, and because of the
small number, outcomes for nonsurgical treatment are not included in this review.
Therefore, 19 studies involving 86 partial tears that underwent surgical
treatment are reported; at least 65 of these received a trial of nonsurgical
treatment before surgery. Surgery resulted in 94% satisfactory clinical outcomes.
Of the 16 studies (n = 83) that specified the presence or absence of surgical
complications, lateral antebrachial cutaneous nerve paresthesia (17%), posterior
interosseous nerve palsy (6%), elbow discomfort (2%), surgical revision (2%), and
asymptomatic heterotopic ossification (1%) were reported. CONCLUSIONS: Surgical
treatment including tendon tear completion and anatomic repair to the radial
tuberosity can yield satisfactory results and appears to provide predictable
outcomes. Further research is necessary to better define the optimal regimen and
duration of nonsurgical treatment, as well as the indications for surgery. TYPE
OF STUDY/LEVEL OF EVIDENCE: Therapeutic IV.
PMID- 27212411
TI - A Simple Phalangeal External Fixator Using Kirschner Wires and Locking Balls: No
Need for Cement or Rubber Bands.
AB - The currently available phalangeal external fixators are either commercial and
not universally available or made of Kirschner wires and a linkage mechanism such
as rubber bands and cement. They are difficult to assemble and difficult to
adjust after application. This paper presents an external fixator made of
Kirschner wires and locking balls (better known by their commercial name: Jurgan
Balls) that is easily assembled on demand in the operating room. The fixator can
be applied statically as well as dynamically allowing motion across the
interphalangeal joint. I have applied this fixator in a variety of fracture
patterns in a total of 14 patients: 11 phalangeal fractures and 3 metacarpal
fractures. The fixator was solid and maintained reduction in all phalangeal
fractures. Two thumb metacarpal fractures were adequately fixed. One fourth
metacarpal fracture failed fixation during surgery and required a different
method of fixation. There was no loss or reduction of any of the fractures in the
postoperative period. There were no nonunions and no need for second-stage
surgeries. All fixators were removed in the clinic without anesthesia. The
described external fixator is made of components that are readily available in
most operating rooms. It does not require the use of cement or rubber bands. It
allows adjustment during surgery and maintains the reduction throughout the
postoperative period. It may be a useful tool for open and/or highly comminuted
fractures and fracture subluxations of the proximal and middle phalanges as well
as the thumb metacarpal.
PMID- 27212412
TI - Scaphoid Plate Fixation and Volar Carpal Artery Vascularized Bone Graft for
Recalcitrant Scaphoid Nonunions.
AB - PURPOSE: We sought to evaluate the clinical and radiographic outcomes after
treatment of symptomatic, recalcitrant scaphoid nonunions using a novel
combination of volar scaphoid buttress plating with a pedicled vascularized bone
graft. METHODS: We retrospectively followed 9 patients with recalcitrant scaphoid
waist nonunions, characterized by failed prior surgery, long duration of
nonunion, avascular necrosis of the proximal pole, or considerable bone loss at
the nonunion site. We treated these persistent nonunions through a single volar
incision with a pedicled vascularized bone graft, based on the volar carpal
artery, and a 1.5-mm precontoured, scaphoid-specific, volar buttress plate.
Postoperatively, we assessed objective and subjective outcomes as well as
radiographs and computed tomography scans. RESULTS: The median duration of
nonunion was 15 months, ranging from 6 to 96 months. Postoperative follow-up
ranged from 11 to 19 months. Computed tomography scans demonstrated union in 8 of
9 cases. Complications included 1 minor hematoma that spontaneously resolved. One
scaphoid failed to unite, requiring revision surgery. Three patients experienced
problems with the plate. One plate was removed from a patient who noted
persistent clicking, and 2 plates have caused symptomatic clicking, likely
requiring future removal. Eight of nine patients reported satisfaction with the
procedure, with QuickDash scores averaging 8.2. CONCLUSIONS: We present a series
of recalcitrant scaphoid nonunions treated with a novel technique of volar
buttress plating and vascularized bone graft. In this series, we found a high
rate of union, with consistent radiographic improvement and symptomatic relief.
This procedure can be performed using a single incision and with minimal donor
site morbidity. Volar plating of a scaphoid nonunion comes with the risk of
articular prominence, but offers a new alternative to headless screw fixation.
Our early results from this series are promising and support this protocol as a
viable alternative for challenging nonunions. TYPE OF STUDY/LEVEL OF EVIDENCE:
Therapeutic IV.
PMID- 27212413
TI - Reliability of the Soong Classification for Volar Plate Position.
AB - PURPOSE: The purpose of the present study was to assess the inter- and
intraobserver reliability of the Soong classification system for volar plate
position. Our hypothesis was that the Soong grade would be found to be reliable.
METHODS: Four physicians (K.F.L., M.R., J.L.M., P.K.B.) were asked to classify
lateral wrist radiographs of volar plated distal radius fractures based on the
Soong classification on 3 separate occasions. Forty-six distal radius fractures
fixed by volar plates were selected from a surgical image database at our
institution. Radiographs were selected to ensure that each Soong grade was
adequately represented. The physician observers in the current study were blinded
to the previously assigned grade and to clinical information regarding the
patient. RESULTS: Based upon the average grade of all 4 observers, 17 plates were
Soong grade 0, 20 plates were Soong grade 1, and 9 plates were Soong grade 2. The
intraobserver reliability among the 4 observers was 0.94 (95% confidence interval
[CI], 0.96-0.89), 0.93 (95% CI, 0.96-0.90), 0. 91 (95% CI, 0.94-0.86), and 0.80
(95% CI, 0.87-0.71). The interobserver reliability was 0.78 (95% CI, 0.85-0.69).
CONCLUSIONS: We found the Soong classification to be a reliable tool in assessing
the degree of plate prominence. We continue to use the Soong classification
system in describing volar plate position. CLINICAL RELEVANCE: The use of the
Soong classification for determining volar plate prominence is reliable.
PMID- 27212414
TI - Upregulation of duck interleukin-17A during Riemerella anatipestifer infection.
AB - Although IL-17 cytokines play critical roles in host defense immunity,
dysregulated expression of these cytokines is associated with inflammation and
autoimmune diseases. Riemerella anatipestifer is the most important infectious
bacterium in the duck industry. Interestingly, not all avian species are equally
susceptible to R. anatipestifer infection. This paper reports the first
description of mortality rate, bacterial burden, and expression profiles of
immune-related genes between ducks and chickens infected with R. anatipestifer.
Ducks exhibited increased susceptibility to R. anatipestifer infection compared
to chickens, as determined by mortality rate and bacterial burden. Comparative
expression analyses of immune-related genes in R. anatipestifer-infected tissues
obtained from both species revealed that TLR3, TLR7, IL-2, IL-4, and IFN-gamma
transcript levels were higher in chickens, whereas TLR4 and IL-17A transcript
levels were higher in ducks. Marked increases in expression of IL-17A and IL-6,
but not TGF-beta, were associated with Th17 cell differentiation in duck splenic
lymphocytes, but not in chicken splenic lymphocytes, stimulated with R.
anatipestifer. Moreover, upregulation of IL-1beta, IL-6, and IL-17A mRNA
expressions, but not TGF-beta, was confirmed in the liver and spleen of ducks
infected with R. anatipestifer, indicating that IL-17A is strongly associated
with Riemerella infection in ducks.
PMID- 27212415
TI - Metabarcoding improves detection of eukaryotes from early biofouling communities:
implications for pest monitoring and pathway management.
AB - In this experimental study the patterns in early marine biofouling communities
and possible implications for surveillance and environmental management were
explored using metabarcoding, viz. 18S ribosomal RNA gene barcoding in
combination with high-throughput sequencing. The community structure of
eukaryotic assemblages and the patterns of initial succession were assessed from
settlement plates deployed in a busy port for one, five and 15 days. The
metabarcoding results were verified with traditional morphological identification
of taxa from selected experimental plates. Metabarcoding analysis identified >
400 taxa at a comparatively low taxonomic level and morphological analysis
resulted in the detection of 25 taxa at varying levels of resolution. Despite the
differences in resolution, data from both methods were consistent at high
taxonomic levels and similar patterns in community shifts were observed. A high
percentage of sequences belonging to genera known to contain non-indigenous
species (NIS) were detected after exposure for only one day.
PMID- 27212417
TI - Forceful Backbending Stereotypies Revealing MEF2C Haploinsufficiency.
PMID- 27212418
TI - Cafe-au-lait Macules and Neurofibromatosis Type 1: A Review of the Literature.
AB - BACKGROUND: The first sign of neurofibromatosis type 1 (NF1) in a child is often
the presence of multiple cafe-au-lait macules. Although previous studies reported
that almost individuals with multiple cafe-au-lait macules will eventually
develop NF1 based on clinical criteria, recent studies and clinical observations
suggest that a significant percentage of them do not have NF1. METHODS: We
conducted the first systematic review of the literature on the prevalence of
definitive NF1 among patients referred for isolated cafe-au-lait macules,
searching more precisely for the proportion of those patients who do not have
NF1. Because we now know that the presence of cafe-au-lait macules and freckling
might not distinguish between NF1 and other conditions such as Legius syndrome,
definitive NF1 was defined as the presence of cafe-au-lait macules with or
without freckling plus one of the following: Lisch nodules, neurofibroma,
plexiform neurofibroma, bone dysplasia, optic pathway glioma, or familial history
of NF1. RESULTS: Six articles reported sufficient data to meet our inclusion
criteria. Grouping all studies together, we found that 19.5% to 57.1% of all
patients with isolated cafe-au-lait macules did not have a diagnosis of NF1 after
follow-up or genetic testing. CONCLUSION: A significant portion of the patients
presenting with isolated cafe-au-lait macules at initial consultation might not
have NF1. Genetic testing could help guide the follow-up of those patients, but
further evidence is required to make recommendations.
PMID- 27212416
TI - Calcineurin proteolysis in astrocytes: Implications for impaired synaptic
function.
AB - Mounting evidence suggests that astrocyte activation, found in most forms of
neural injury and disease, is linked to the hyperactivation of the protein
phosphatase calcineurin. In many tissues and cell types, calcineurin
hyperactivity is the direct result of limited proteolysis. However, little is
known about the proteolytic status of calcineurin in activated astrocytes. Here,
we developed a polyclonal antibody to a high activity calcineurin proteolytic
fragment in the 45-48kDa range (DeltaCN) for use in immunohistochemical
applications. When applied to postmortem human brain sections, the DeltaCN
antibody intensely labeled cell clusters in close juxtaposition to amyloid
deposits and microinfarcts. Many of these cells exhibited clear activated
astrocyte morphology. The expression of DeltaCN in astrocytes near areas of
pathology was further confirmed using confocal microscopy. Multiple NeuN-positive
cells, particularly those within microinfarct core regions, also labeled
positively for DeltaCN. This observation suggests that calcineurin proteolysis
can also occur within damaged or dying neurons, as reported in other studies.
When a similar DeltaCN fragment was selectively expressed in hippocampal
astrocytes of intact rats (using adeno-associated virus), we observed a
significant reduction in the strength of CA3-CA1 excitatory synapses, indicating
that the hyperactivation of astrocytic calcineurin is sufficient for disrupting
synaptic function. Together, these results suggest that proteolytic activation of
calcineurin in activated astrocytes may be a central mechanism for driving and/or
exacerbating neural dysfunction during neurodegenerative disease and injury.
PMID- 27212419
TI - Dramatic Response After Lamotrigine in a Patient With Epileptic Encephalopathy
and a De NovoCACNA1A Variant.
AB - BACKGROUND: Channelopathies are a group of monogenic disorders that affect a
single ion channel and can result in neurological disease. While a rare cause of
epilepsy, channelopathies offer unique insight to the molecular basis of epilepsy
and treatment opportunities. Calcium homeostasis is tightly regulated by a series
of interacting subunits. CACNA1A encodes the principal pore-forming subunit of
the voltage-gated P/Q-type calcium channel, alpha1. Patients with epileptic
encephalopathy due to pathogenic variants in CACNA1A have been previously
described and are challenging to treat. PATIENT DESCRIPTION: We describe a child
with epileptic encephalopathy, ataxia, cognitive impairment, and significant
social-behavioral abnormalities due to a de novo pathogenic variant, p.S1373L in
the CACNA1A gene. After failing zonisamide and divalproex sodium, she had a
dramatic response to lamotrigine with a precipitous decrease in seizure frequency
and severity. This improvement has persisted over one year. CONCLUSION: While
classically thought to act at sodium channels, lamotrigine also modulates the
activity of the P/Q-type calcium channel, making it a candidate for precision
therapy for patients with epileptic encephalopathy due to CACNA1A pathogenic
variants. The rarity and clinical heterogeneity of epilepsy due to variants in
CACNA1A presents challenges to clinical diagnosis. However, genetic analysis for
patients with epilepsy continues to expand; additional patients are likely to be
identified molecularly. Lamotrigine should be considered as a first-line
treatment in patients with epileptic encephalopathy due to pathogenic variants in
CACNA1A.
PMID- 27212420
TI - Effect of Serotonin 1A Agonists and Selective Serotonin Reuptake Inhibitors on
Behavioral and Nighttime Respiratory Symptoms in Rett Syndrome.
AB - BACKGROUND: Rett syndrome is characterized by psychomotor regression during early
childhood, autistic-like behaviors, and aberrant breathing patterns. Dysfunction
of the serotonergic system has been postulated to play a role in the
pathophysiology of these symptoms. PATIENT DESCRIPTION: We present an 11-year-old
girl with Rett syndrome who exhibited marked respiratory symptoms, including
frequent apneic events during sleep. She had been treated for these respiratory
symptoms using noninvasive positive pressure ventilation since age six years.
Treatment with serotonin 1A receptor agonist was initiated at age eight years,
whereas treatment using a selective serotonin reuptake inhibitor began at age
nine years. Noninvasive positive pressure ventilation therapy was effective in
reducing symptoms of sleep apnea, and administration of serotonergic agents
resulted in amelioration of sleep apneic events even in the absence of
noninvasive positive pressure ventilation. In addition, improvements in hand
stereotypy and social skills were observed after initiation of serotonin-based
therapy. DISCUSSION: The respiratory difficulties our patient experienced during
non-rapid eye movement (REM) sleep are characteristic of post-sigh central apnea.
Exaggerated activity of expiratory neurons during such apneic events has been
observed in mouse models of Rett syndrome. We suggest that prescribed
serotonergic agents might serve to inhibit such activity, attenuating the
imbalance between inspiratory and expiratory neurons. These agents might also be
useful in the treatment of autistic-like behaviors caused by impaired
serotonergic transmission in the brain.
PMID- 27212421
TI - Axillary radiotherapy: an alternative treatment option for adjuvant axillary
management of breast cancer.
AB - Axillary lymph node dissection is standard management of axilla in invasive
breast cancer. Radiotherapy also is important in local treatment. It is
controversial as to whether axillary radiotherapy can displace axillary lymph
node dissection. We performed a meta-analysis comparing axillary radiotherapy
with axillary dissection. No significant difference was observed for disease free
survival and overall survival between the radiation group and the dissection
group. There was also no significant difference in either the axillary recurrence
or the local recurrence between the two groups. But the axillary relapse rate in
the radiation group was higher than in the surgery group at five-year follow-up
while the local recurrence rate in the surgery group was higher than in the
radiation group. A subgroup analysis showed that the difference in the axillary
recurrence rate (RR = 0.20, P = 0.01) and local recurrence rate (RR = 4.7, P =
0.01) mainly appeared in the clinical node-positive subgroup. The edema rate in
the surgery group was higher than in the radiation group (RR = 2.08, 95%: 1.71
2.54, P < 0.0001). We concluded that radiotherapy may be an alternative treatment
option for adjuvant management of the axilla in selected sub-groups of patients.
PMID- 27212422
TI - Stevens-Johnson syndrome-like erosive dermatitis possibly related to afatinib.
PMID- 27212423
TI - Delivery and postpartum practices among new mothers in Laputta, Myanmar:
intersecting traditional and modern practices and beliefs.
AB - Myanmar is witnessing increased access to modern maternity care, along with
shifting norms and practices. Past research has documented low rates of facility
based deliveries in the country, along with adverse maternal and child health
outcomes. Research has also documented diverse traditional practices in the
postpartum period, related to maternity care and maternal food intake. Through 34
qualitative interviews with women who recently gave birth and their mothers-in
law in one township in Myanmar (Laputta), we explore factors influencing decision
making around postpartum care and the practices that women engage in. We find
that women use both modern and traditional providers because different types of
providers play particular roles in the delivery and postpartum period. Despite
knowledge of about healthy foods to eat postpartum, many women restrict the
intake of certain foods, and mothers-in-laws' beliefs in these practices are
particularly strong. Findings suggest that women and their families are balancing
two different sets of practices and beliefs, which at times come in conflict.
Educational campaigns and programmes should address both modern and traditional
beliefs and practices to help women be better able to access safe care and
improve their own and their children's health.
PMID- 27212424
TI - Attitudes Towards and Experience of the Use of Triage Tags in Major Incidents: A
Mixed Method Study.
AB - Introduction Disaster triage is the allocation of limited medical resources in
order to optimize patient outcome. There are several studies showing the poor use
of triage tagging, but there are few studies that have investigated the reasons
behind this. The aim of this study was to explore ambulance personnel attitude
towards, and experiences of, practicing triage tagging during day-to-day
management of trauma patients, as well as in major incidents (MIs). METHODS: A
mixed method design was used. The first part of the study was in the form of a
web-survey of attitudes answered by ambulance personnel. The question explored
was: Is it likely that systems that are not used in everyday practice will be
used during MIs? Two identical web-based surveys were conducted, before and after
implementing a new strategy for triage tagging. This strategy consisted of a time
limited triage routine where ambulance services assigned triage category and
applied triage tags in day-to-day trauma incidents in order to improve field
triage. The second part comprised three focus group interviews (FGIs) in order to
provide a deeper insight into the attitudes towards, and experience of, the use
of triage tags. Data were analyzed using qualitative content analysis. RESULTS:
The overall finding was the need for daily routine when failure in practice.
Analysis of the web-survey revealed three changes: ambulance personnel were more
prone to use tags in minor accidents, the sort scoring system was considered to
be more valuable, but it also was more time consuming after the intervention. In
the analysis of FGIs, four categories emerged that describe the construction of
the overall category: perceived usability, daily routine, documentation, and need
for organizational strategies. CONCLUSION: Triage is part of the foundation of
ambulance skills, but even so, ambulance personnel seldom use this in routine
practice. They fully understand the benefit of accurate triage decisions, and
also that the use of a triage algorithm and color coded tags is intended to make
it easier and more secure to perform triage. However, despite the knowledge and
understanding of these benefits, sparse incidents and infrequent exercises lead
to ambulance personnel's uncertainty concerning the use of triage tagging during
a MI and will therefore, most likely, avoid using them. Radestad M , Lennquist
Montan K , Ruter A , Castren M , Svensson L , Gryth D , Fossum B . Attitudes
towards and experience of the use of triage tags in major incidents: a mixed
method study. Prehosp Disaster Med. 2016;31(4):376-385.
PMID- 27212425
TI - Gold nanorod-incorporated gelatin-based conductive hydrogels for engineering
cardiac tissue constructs.
AB - The development of advanced biomaterials is a crucial step to enhance the
efficacy of tissue engineering strategies for treatment of myocardial infarction.
Specific characteristics of biomaterials including electrical conductivity,
mechanical robustness and structural integrity need to be further enhanced to
promote the functionalities of cardiac cells. In this work, we fabricated UV
crosslinkable gold nanorod (GNR)-incorporated gelatin methacrylate (GelMA) hybrid
hydrogels with enhanced material and biological properties for cardiac tissue
engineering. Embedded GNRs promoted electrical conductivity and mechanical
stiffness of the hydrogel matrix. Cardiomyocytes seeded on GelMA-GNR hybrid
hydrogels exhibited excellent cell retention, viability, and metabolic activity.
The increased cell adhesion resulted in abundance of locally organized F-actin
fibers, leading to the formation of an integrated tissue layer on the GNR
embedded hydrogels. Immunostained images of integrin beta-1 confirmed improved
cell-matrix interaction on the hybrid hydrogels. Notably, homogeneous
distribution of cardiac specific markers (sarcomeric alpha-actinin and connexin
43), were observed on GelMA-GNR hydrogels as a function of GNRs concentration.
Furthermore, the GelMA-GNR hybrids supported synchronous tissue-level beating of
cardiomyocytes. Similar observations were also noted by, calcium transient assay
that demonstrated the rhythmic contraction of the cardiomyocytes on GelMA-GNR
hydrogels as compared to pure GelMA. Thus, the findings of this study clearly
demonstrated that functional cardiac patches with superior electrical and
mechanical properties can be developed using nanoengineered GelMA-GNR hybrid
hydrogels. STATEMENT OF SIGNIFICANCE: In this work, we developed gold nanorod
(GNR) incorporated gelatin-based hydrogels with suitable electrical conductivity
and mechanical stiffness for engineering functional cardiac tissue constructs
(e.g. cardiac patches). The synthesized conductive hybrid hydrogels properly
accommodated cardiac cells and subsequently resulted in excellent cell retention,
spreading, homogeneous distribution of cardiac specific markers, cell-cell
coupling as well as robust synchronized (tissue-level) beating behavior.
PMID- 27212426
TI - Assessing nursing quality in paediatric intensive care units: a cross-sectional
study in China.
AB - BACKGROUND: Nursing-sensitive indicators are considered effective tools for
improving the quality of care in hospitals. However, these have not been used in
paediatric intensive care units (PICUs) in China. AIM: To develop nursing
sensitive indicators for PICUs and to assess the quality of nursing in PICUs in
China based on the nursing-sensitive indicators. DESIGN: Multi-centre, cross
sectional study. METHODS: Structure, process and outcome indicators were
developed and measured from 1 January to 31 March 2014 in seven PICUs in China.
RESULTS: The structure indicators showed that one nurse cared for an average of
2.8 patients in a PICU, and 44% of nurses had a bachelor's degree. The process
indicators revealed that hand-washing compliance varied across PICUs, whereas
pain management and physical restraint have not been adequately addressed in
China. The outcome indicators revealed that the incidence rates of ventilator
associated pneumonia and central-line-associated blood stream infections were
2.96 and 0.7, respectively, per 1000 device days. Patients were intubated for a
total of 4392 mechanical ventilator days, and 32 patients (7.290/00) had an
unplanned extubation. Nurses were moderately satisfied in their jobs (3.1 +/-
0.3), and parents reported that nurses provide high quality of care. CONCLUSIONS:
This study developed and used nursing-sensitive indicators to assess the quality
of nursing in PICUs in China, which provided a reference for national and
international comparisons of nursing quality in PICUs. Nursing staffing levels
and education should be improved. Pain management and physical restraints should
be regulated in China's PICUs. Nurse managers need to explore staff attitudes
towards implementation of family-centred care. The development of a national
database of nursing quality indicators can contribute to quality and safety
improvement. RELEVANCE TO CLINICAL PRACTICE: This study developed a set of
nursing-sensitive indicators, and these indicators were used to assess and
improve the quality of nursing in PICUs.
PMID- 27212427
TI - Assessment of economic vulnerability to infectious disease crises.
AB - Infectious disease crises have substantial economic impact. Yet mainstream
macroeconomic forecasting rarely takes account of the risk of potential
pandemics. This oversight contributes to persistent underestimation of infectious
disease risk and consequent underinvestment in preparedness and response to
infectious disease crises. One reason why economists fail to include economic
vulnerability to infectious disease threats in their assessments is the absence
of readily available and digestible input data to inform such analysis. In this
Viewpoint we suggest an approach by which the global health community can help to
generate such inputs, and a framework to use these inputs to assess the economic
vulnerability to infectious disease crises of individual countries and regions.
We argue that incorporation of these risks in influential macroeconomic analyses
such as the reports from the International Monetary Fund's Article IV
consultations, rating agencies and risk consultancies would simultaneously
improve the quality of economic risk forecasting and reinforce individual
government and donor incentives to mitigate infectious disease risks.
PMID- 27212428
TI - Polio vaccination: preparing for a change of routine.
PMID- 27212430
TI - Intravascular tumour embolism from chondrosarcoma.
PMID- 27212431
TI - Digestive neuroendocrine neoplasms: A 2016 overview.
AB - Digestive neuroendocrine neoplasms (DNENs) have an incidence of 2.39 per 100,000
inhabitants per year, and a prevalence of 35 cases per 100,000; the gap between
these rates is to be referred to the relatively long survival that characterizes
the majority of these tumors, which can be thus considered as chronic oncological
diseases. Up to 80% of patients are stage IV since the first diagnosis,
presenting a 5-yr overall survival rate of 35%-55% and a twice higher mortality
than limited disease. DNENs express somatostatin receptors in more than 80% of
cases, detected through immunohistochemistry or functional imaging tests (FITs).
This feature identifies patients who may benefit from "cold" somatostatin analogs
(SSAs) or peptide receptors radionuclide therapy, although SSAs are sometimes
used also with a negative uptake at FITs. The therapeutic options have been
recently increased after the identification of molecular pathways involved in
DNENs pathogenesis, and the subsequent use of targeted therapies (i.e.,
Everolimus and Sunitinib) for these neoplasms. This review offers an overview
about pancreatic and small bowel NENs, critically underlining the issues that
still need to be clarified and the future perspectives to be investigated.
PMID- 27212429
TI - Humoral and intestinal immunity induced by new schedules of bivalent oral
poliovirus vaccine and one or two doses of inactivated poliovirus vaccine in
Latin American infants: an open-label randomised controlled trial.
AB - BACKGROUND: Replacement of the trivalent oral poliovirus vaccine (tOPV) with
bivalent types 1 and 3 oral poliovirus vaccine (bOPV) and global introduction of
inactivated poliovirus vaccine (IPV) are major steps in the polio endgame
strategy. In this study, we assessed humoral and intestinal immunity in Latin
American infants after three doses of bOPV combined with zero, one, or two doses
of IPV. METHODS: This open-label randomised controlled multicentre trial was part
of a larger study. 6-week-old full-term infants due for their first polio
vaccinations, who were healthy on physical examination, with no obvious medical
conditions and no known chronic medical disorders, were enrolled from four
investigational sites in Colombia, Dominican Republic, Guatemala, and Panama. The
infants were randomly assigned by permuted block randomisation (through the use
of a computer-generated list, block size 36) to nine groups, of which five will
be discussed in this report. These five groups were randomly assigned 1:1:1:1 to
four permutations of schedule: groups 1 and 2 (control groups) received bOPV at
6, 10, and 14 weeks; group 3 (also a control group, which did not count as a
permutation) received tOPV at 6, 10, and 14 weeks; group 4 received bOPV plus one
dose of IPV at 14 weeks; and group 5 received bOPV plus two doses of IPV at 14
and 36 weeks. Infants in all groups were challenged with monovalent type 2
vaccine (mOPV2) at 18 weeks (groups 1, 3, and 4) or 40 weeks (groups 2 and 5).
The primary objective was to assess the superiority of bOPV-IPV schedules over
bOPV alone, as assessed by the primary endpoints of humoral immunity
(neutralising antibodies-ie, seroconversion) to all three serotypes and
intestinal immunity (faecal viral shedding post-challenge) to serotype 2,
analysed in the per-protocol population. Serious and medically important adverse
events were monitored for up to 6 months after the study vaccination. This study
is registered with ClinicalTrials.gov, number NCT01831050, and has been
completed. FINDINGS: Between May 20, 2013, and Aug 15, 2013, 940 eligible infants
were enrolled and randomly assigned to the five treatment groups (210 to group 1,
210 to group 2, 100 to group 3, 210 to group 4, and 210 to group 5). One infant
in group 1 was not vaccinated because their parents withdrew consent after
enrolment and randomisation, so 939 infants actually received the vaccinations.
Three doses of bOPV or tOPV elicited type 1 and 3 seroconversion rates of at
least 97.7%. Type 2 seroconversion occurred in 19 of 198 infants (9.6%, 95% CI
6.2-14.5) in the bOPV-only groups, 86 of 88 (97.7%, 92.1-99.4) in the tOPV-only
group (p<0.0001 vs bOPV-only), and 156 of 194 (80.4%, 74.3-85.4) infants in the
bOPV-one dose of IPV group (p<0.0001 vs bOPV-only). A further 20 of 193 (10%)
infants in the latter group seroconverted 1 week after mOPV2 challenge, resulting
in around 98% of infants being seropositive against type 2. After a bOPV-two IPV
schedule, all 193 infants (100%, 98.0-100; p<0.0001 vs bOPV-only) seroconverted
to type 2. IPV induced small but significant decreases in a composite serotype 2
viral shedding index after mOPV2 challenge. 21 serious adverse events were
reported in 20 patients during the study, including two that were judged to be
possibly related to the vaccines. Most of the serious adverse events (18 [86%] of
21) and 24 (80%) of the 30 important medical events reported were infections and
infestations. No deaths occurred during the study. INTERPRETATION: bOPV provided
humoral protection similar to tOPV against polio serotypes 1 and 3. After one or
two IPV doses in addition to bOPV, 80% and 100% of infants seroconverted,
respectively, and the vaccination induced a degree of intestinal immunity against
type 2 poliovirus. FUNDING: Bill & Melinda Gates Foundation.
PMID- 27212432
TI - Losing Complexity: The Role of Simplification in Macroevolution.
AB - Macroevolutionary patterns can be produced by combinations of diverse and even
oppositional dynamics. A growing body of data indicates that secondary
simplifications of molecular and cellular structures are common. Some major
diversifications in eukaryotes have occurred because of loss and minimalisation;
numerous episodes in prokaryote evolution have likewise been driven by the
reduction of structure. After examining a range of examples of secondary
simplification and its consequences across the tree of life, we address how
macroevolutionary explanations might incorporate simplification as well as
complexification, and adaptive as well as nonadaptive dynamics.
PMID- 27212433
TI - The influence of fluoride ions on the equilibrium between titanium ions and
titanium metal in fused alkali chloride melts.
AB - KF is employed as a source of fluoride ions added to the melt to disclose the
influence of fluoride on the disproportionation reactions of titanium ions,
3Ti(2+) = 2Ti(3+) + Ti, and 4Ti(3+) = 3Ti(4+) + Ti. The results reveal that the
equilibrium transferred to the right direction for the first reaction and the
apparent equilibrium constant increased sharply, mainly because of the formation
of coordination compounds: TiFi(3-i). The accurate values of the equilibrium
constants referring to the formation reactions of Ti(3+) + iF(-) = TiFi(3-i) (i =
1-6) in NaCl-KCl melt at 1023 K were evaluated with a best fit least squares
method. It is also revealed that the stable states of the coordination compounds
are TiF(2+), TiF2(+), TiF4(-) and TiF6(3-). Moreover, the Gibbs free energies for
complex formation were estimated. Ti(2+) was undetectable when the concentration
of fluoride ion was high enough. The equilibrium constant for the formation
reaction, Ti(4-) + 6F(-) = TiF6(2-), was evaluated. The equilibrium constant,
Kc2, for the disproportionation reaction 4Ti(3+) = 3Ti(4+) + Ti, in chloride melt
was determined as 0.015.
PMID- 27212434
TI - Robot Assisted Radical Prostatectomy in Kidney Transplant Recipients. Our
Clinical Experience and a Systematic Review.
AB - INTRODUCTION: Radical prostatectomy is a more skillful procedure in kidney graft
recipients. Robotic surgery can provide a useful minimally invasive tool.
OBJECTIVES: The study aims to evaluate the robotic-assisted laparoscopic
prostatectomy (RALP) in kidney transplant recipients, describing
intra/postoperative complications, renal and oncological outcomes. METHODS: This
is a retrospective study conducted in a single institution of 84 RALP. Four of
them were kidney transplant recipients. Side of the renal graft, clinical stage,
intra/postoperative events, operating time, creatinine levels before/after
intervention and oncologic follow-up were recorded. A systematic review of the
literature was performed. RESULTS: Aged 61.25 +/- 7.76 years, interval between
renal transplantation and RALP: 10 +/- 3.16 years. Mean prostate specific
antigen: 7.1 +/- 2.8 ng/ml, 2 patients were cT1c and 2 cT2a. Preoperative
biopsies: Gleason score 3 + 3 in 3 patients, 3 + 4 in 1 patient. Charlson
comorbidity index mean was 4.82 +/- 0.82. No intra/postoperative events were
reported. Mean operating time: 196 +/- 20.8 min. Positive surgical margins: 2/4
patients. Pathological analysis: Gleason 3 + 4 in 2 patients and Gleason 3 + 3 in
the others 2. A patient developed a bladder neck sclerosis. No differences
between pre/postoperative creatinine. Three patients are free of biochemical
recurrence and 1 patient required adjuvant radiotherapy. CONCLUSION: RALP in
renal transplant recipients is a safe and feasible technique for localized
prostate cancer. No difference in oncological outcomes and no impairments on
renal function were found.
PMID- 27212435
TI - Comparative Analysis of VOCs in Exhaled Breath of Amyotrophic Lateral Sclerosis
and Cervical Spondylotic Myelopathy Patients.
AB - Amyotrophic lateral sclerosis (ALS) is an incurable neurological degenerative
disease. It can cause irreversible neurological damage to motor neurons; typical
symptoms include muscle weakness and atrophy, bulbar paralysis and pyramidal
tract signs. The ALS-mimicking disease cervical spondylotic myelopathy (CSM)
presents similar symptoms, but analysis of breath volatile organic compounds
(VOCs) can potentially be used to distinguish ALS from CSM. In this study, breath
samples were collected from 28 ALS and 13 CSM patients. Subsequently, gas
chromatography/mass spectrometry (GCMS) was used to analyze breath VOCs.
Principal component analysis (PCA) and orthogonal partial least-squares
discriminant analysis (OPLSDA) were the statistical methods used to process the
final data. We identified 4 compounds with significantly decreased levels in ALS
patients compared with CSM controls: (1) carbamic acid, monoammonium salt; (2) 1
alanine ethylamide, (S)-; (3) guanidine, N,N-dimethyl-; and (4) phosphonic acid,
(p-hydroxyphenyl)-. Currently, the metabolic origin of the VOCs remains unclear;
however, several pathways might explain the decreasing trends observed. The
results of this study demonstrate that there are specific VOC profiles associated
with ALS and CSM patients that can be used to differentiate between the two. In
addition, these metabolites could contribute to a better understanding of the
underlying pathophysiological mechanisms of ALS.
PMID- 27212436
TI - Memory CD8(+) T Cells Require Increased Concentrations of Acetate Induced by
Stress for Optimal Function.
AB - How systemic metabolic alterations during acute infections impact immune cell
function remains poorly understood. We found that acetate accumulates in the
serum within hours of systemic bacterial infections and that these increased
acetate concentrations are required for optimal memory CD8(+) T cell function in
vitro and in vivo. Mechanistically, upon uptake by memory CD8(+) T cells, stress
levels of acetate expanded the cellular acetyl-coenzyme A pool via ATP citrate
lyase and promoted acetylation of the enzyme GAPDH. This context-dependent post
translational modification enhanced GAPDH activity, catalyzing glycolysis and
thus boosting rapid memory CD8(+) T cell responses. Accordingly, in a murine
Listeria monocytogenes model, transfer of acetate-augmented memory CD8(+) T cells
exerted superior immune control compared to control cells. Our results
demonstrate that increased systemic acetate concentrations are functionally
integrated by CD8(+) T cells and translate into increased glycolytic and
functional capacity. The immune system thus directly relates systemic metabolism
with immune alertness.
PMID- 27212438
TI - [Anatomical study of pelvic colon].
AB - OBJECTIVES: Identifying the different kinds of anatomical sigmoid colon in our
environment and determine what exposes the most to the occurrence of pelvic colon
volvulus. MATERIALS AND METHODS: This is a transverse prospective study from 1
January 2007 to 31 December 2012 on a series of 63 patients (33 men and 30 women)
who underwent laparotomy for non-colonic pathologies. For all patients, the
following parameters were recorded: C1: total length of the pelvic colon; C2: the
length of the root of the meso-sigmoid; C3: the height of the meso-sigmoid; C4:
maximum width of the meso-sigmoid. RESULTS: C1 through the entire series was
61,3cm. C2 average was 5.5cm. C3 height and maximum width C4 were on average
14,6cm and 7.6cm, respectively. Comparison of parameters in men and women showed
no significant difference. CONCLUSION: This study allows us to know the different
types of pelvic colons among the population of our operated patients. The
measurements performed on the pelvic colon of patients presenting volvulus will
help to attribute objectively the true authorship of this surgical emergency to
an anatomical type of pelvic colon.
PMID- 27212437
TI - [Electromyographic assessment of the temporalis muscle prior to a lengthening
myoplasty in patients with Moebius syndrome].
AB - BACKGROUND/OBJECTIVES: Temporalis muscle lengthening myoplasty improves
tightening of the lips and rehabilitates smile for patients with congenital
facial palsies. Because Moebius syndrome is heterogeneous, a careful evaluation
is mandatory before deciding to perform myoplasty. This series shows the role of
electromyography for investigating temporalis muscle and trigeminal nerve motor
functions. METHODS: We conducted a retrospective study of 18 patients with no
upward movements of the labial commissure and absent or unsightly smile.
Electromyography was used to study the temporalis muscle bilaterally. Analysis
focused on the recruitment pattern of voluntary contraction and electrical
silence or activity at rest. Traces were classified as normal, neurogenic, or low
amplitude. Functional outcomes of myoplasty were evaluated by measuring the
upward movement of the commissure (mm), and qualified as high (>=10), medium
(>5), or little (<=5). RESULTS: Surgery was cancelled for 5 patients with
abnormal electromyographic signs, neurogenic (2) or low-amplitude (3). Myoplasty
was performed in 7 patients (age: 8-17 years), unilaterally (3) or bilaterally
(4). Preoperative electromyogram was normal (3), or showed moderate neurogenic
(2) or low-amplitude (2) changes. Follow-up period after surgery was from 2 to 12
years; functional outcomes were high (5), medium (1), or little (1). CONCLUSION:
Electromyographic study of the temporalis can detect muscle denervation or
atrophy, or dyspraxia, and guide decision to encourage or discourage performing
myoplasty, or enhance rehabilitation programme and make the patient aware of
possibly modest outcome.
PMID- 27212439
TI - Corrigendum: Length Distribution of Ancestral Tracks under a General Admixture
Model and Its Applications in Population History Inference.
PMID- 27212440
TI - Radiotherapy versus Prostatectomy: a Question of Survival or Survivorship?
Addressing Ongoing Questions and Controversies in the Management of Localised
Prostate Cancer in the UK.
PMID- 27212441
TI - Respiratory sinus arrhythmia as a predictor of self-injurious thoughts and
behaviors among adolescents.
AB - Research suggests that self-injurious thoughts and behaviors (SITBs) may function
as maladaptive emotion regulation strategies. One psychophysiological index of
emotion regulatory capacity is respiratory sinus arrhythmia (RSA). The temporal
course of RSA responsivity to a stressor may be characterized by basal RSA, RSA
reactivity to stressor, and RSA recovery post-stressor. RSA has been linked to
both internalizing and externalizing symptoms in adolescents, but little is known
about the relation between RSA and SITBs. Initial research has shown a cross
sectional relation between lower basal RSA and greater RSA reactivity to a sad
mood induction and self-injury. To date no prospective research on the relation
between RSA and SITBs exists. The current study aims to investigate the
prospective relation between RSA and SITBs in a community sample of 108
adolescents (Mage=12.82, SDage=0.82, 53.70% female). At the initial laboratory
visit (T1), participants completed an unsolvable anagram stressor task, during
which RSA (basal, reactivity, and recovery) was measured. SITBs were assessed at
T1 and at the 6-month follow-up (T2). Results indicated basal RSA and RSA
reactivity did not significantly predict engagement in SITBs between T1 and T2.
Poorer RSA recovery from the stressor task at T1 did significantly predict
engagement in SITBs between T1 and T2, over and above depressive symptoms and
lifetime history of SITBs. This suggests that adolescents with poor ability to
regulate physiologically following a stressor may turn to maladaptive emotion
regulation strategies like SITBs.
PMID- 27212442
TI - Arsenic trioxide plus PX-478 achieves effective treatment in pancreatic ductal
adenocarcinoma.
AB - Arsenic trioxide (ATO) has been selected as a promising treatment not only in
leukemia but also in solid tumors. Previous studies showed that the cytotoxicity
of ATO mainly depends on the induction of reactive oxygen species. However, ATO
has only achieved a modest effect in pancreatic ductal adenocarcinoma, suggesting
that the existing radical scavenging proteins, such as hypoxia inducible factor
1, attenuate the effect. The goal of this study is to investigate the effect of
combination treatment of ATO plus PX-478 (hypoxia-inducible factor-1 inhibitor)
and its underlying mechanism. Here, we showed that PX-478 robustly strengthened
the anti-growth and pro-apoptosis effect of ATO on Panc-1 and BxPC-3 pancreatic
cancer cells in vitro. Meanwhile, in vivo mouse xenograft models also showed the
synergistic effect of ATO plus PX-478 compared with any single agent. Further
studies showed that the anti-tumor effect of ATO plus PX-478 was derived from the
reactive oxygen species-induced apoptosis. We next confirmed that Hypoxia
inducible factor-1 cleared reactive oxygen species by its downstream target,
forkhead box O transcription factors, and this effect may justify the strategy of
ATO plus PX-478 in the treatment of pancreatic cancer.
PMID- 27212443
TI - The glucagon like peptide 1 analogue, exendin-4, attenuates oxidative stress
induced retinal cell death in early diabetic rats through promoting Sirt1 and
Sirt3 expression.
AB - This study was aimed to further investigate the possible mechanisms by which the
glucagon like peptide 1 analogue, exendin-4 (EX4), protects rat retinal cells at
the early stage of diabetes. EX4 was injected intravitreally into normal and
early-stage streptozotocin-diabetic rats. Cell death, reactive oxygen species
(ROS), and electroretinogram (ERG) were measured. Sirtuin (Sirt) mRNA and protein
were analyzed. In retinas of diabetic rats 1 month after diabetes onset, cell
death and ROS level increased significantly, and the b-wave amplitudes and OPs
were significantly reduced. Four days after intravitreal EX4 treatment, retinal
cell death and ROS level in retinas reduced significantly, and visual function
was recovered. In the retinas of early-stage diabetic rats, the expressions of
Sirt1 and Sirt3 were also found to be significantly decreased, and both were back
to normal levels after intravitreal injection of EX4. In R28 cells, hydrogen
peroxide (H2O2) treatment increased ROS and cell death and decreased Sirt1 and
Sirt3. With the addition of EX4 into the culture system, the expressions of Sirt1
and Sirt3 were increased, and the H2O2-induced ROS and cell death were
significantly reduced. These results confirm a mechanism for EX4 to protect
retinal cells from diabetic damage and oxidative injury. EX4 reduces retinal cell
death and ROS generation by upregulating Sirt1 and Sirt3 expressions in the
retina of early-stage diabetic rats as well as in H2O2-treated R28 cells.
PMID- 27212444
TI - The effects of MEK1/2 inhibition on cigarette smoke exposure-induced ET receptor
upregulation in rat cerebral arteries.
AB - Cigarette smoking, a major stroke risk factor, upregulates endothelin receptors
in cerebral arteries. The present study examined the effects of MEK1/2 pathway
inhibition on cigarette smoke exposure-induced ET receptor upregulation. Rats
were exposed to the secondhand smoke (SHS) for 8weeks followed by intraperitoneal
injection of MEK1/2 inhibitor, U0126 for another 4weeks. The urine cotinine
levels were assessed with high-performance liquid chromatography. Contractile
responses of isolated cerebral arteries were recorded by a sensitive wire
myograph. The mRNA and protein expression levels of receptor and MEK/ERK1/2
pathway molecules were examined by real-time PCR and Western blotting,
respectively. Cerebral artery receptor localization was determined with
immunohistochemistry. The results showed the urine cotinine levels from SHS
exposure group were significantly higher than those from the fresh group. In
addition, the MEK1/2 inhibitor, U0126 significantly reduced SHS exposure
increased ETA receptor mRNA and protein levels as well as contractile responses
mediated by ETA receptors. The immunoreactivity of increased ETA receptor
expression was primarily cytoplasmic in smooth muscle cells. In contrast, ETB
receptor was noted in endothelial cells. However, the SHS-induced decrease in
endothelium-dependent relaxation was unchanged after U0126 treatment.
Furthermore, SHS increased the phosphorylation of MEK1/2 and ERK1/2 protein in
cerebral arteries. By using U0126 could inhibit the phosphorylated ERK1/2 protein
but not MEK1/2. Taken together, our data show that treatment with MEK1/2 pathway
inhibitor offsets SHS exposure-induced ETA receptor upregulation in rat cerebral
arteries.
PMID- 27212446
TI - Epigenetic silencing of miR-218 by the lncRNA CCAT1, acting via BMI1, promotes an
altered cell cycle transition in the malignant transformation of HBE cells
induced by cigarette smoke extract.
AB - Cigarette smoking is the strongest risk factor for the development of lung
cancer, the leading cause of cancer-related deaths. However, the molecular
mechanisms leading to lung cancer are largely unknown. A long-noncoding RNA
(lncRNA), CCAT1, regarded as cancer-associated, has been investigated
extensively. Moreover, the molecular mechanisms of lncRNAs in regulation of
microRNAs (miRNAs) induced by cigarette smoke remain unclear. In the present
investigation, cigarette smoke extract (CSE) caused an altered cell cycle and
increased CCAT1 levels and decreased miR-218 levels in human bronchial epithelial
(HBE) cells. Depletion of CCAT1 attenuated the CSE-induced decreases of miR-218
levels, suggesting that miR-218 is negatively regulated by CCAT1 in HBE cells
exposed to CSE. The CSE-induced increases of BMI1 levels and blocked by CCAT1
siRNA were attenuated by an miR-218 inhibitor. Moreover, in CSE-transformed HBE
cells, the CSE-induced cell cycle changes and elevated neoplastic capacity were
reversed by CCAT1 siRNA or BMI1 siRNA. This epigenetic silencing of miR-218 by
CCAT1 induces an altered cell cycle transition through BMI1 and provides a new
mechanism for CSE-induced lung carcinogenesis.
PMID- 27212448
TI - Unexpected Interrupted Inferior Vena Cava Diagnosed During Failed Transcatheter
Left Atrial Appendage Closure.
PMID- 27212447
TI - Effects of Mitral Annulus Remodeling Following MitraClip Procedure on Reduction
of Functional Mitral Regurgitation.
AB - INTRODUCTION AND OBJECTIVES: The percutaneous mitral valve repair procedure
(MitraClip) appears to reduce mitral annulus diameter in patients with functional
mitral regurgitation, but the relationship between this and regurgitation
severity has not been demonstrated. The aim of this study was to determine the
effect of mitral annulus remodeling on the reduction of mitral regurgitation in
patients with functional etiology. METHODS: The study included all patients with
functional mitral regurgitation treated with MitraClip at our hospital until
January 2015. Echocardiogram (iE33 model, Philips) was performed in all patients
immediately after device positioning. Changes in the mitral annulus correlated
with mitral regurgitation severity, as assessed using the effective regurgitant
orifice area. RESULTS: The study included 23 patients (age, 65+/-14 years; 74%
men; left ventricular ejection fraction, 31%+/-13%; systolic pulmonary artery
pressure, 47+/-10 mmHg). After the procedure, the regurgitant orifice area
decreased by 0.30 cm2+/-0.04 cm2 (P<.0005), from a baseline of 0.49 cm2+/-0.09
cm2. Anteroposterior diameter decreased by 3.14 mm+/-1.01 mm (P<.0005) from a
baseline of 28.27 mm+/-4.9 mm, with no changes in the intercommissural diameter
(0.50 mm+/-0.91 mm vs 40.68 mm+/-4.7 mm; P=.26). A significant association was
seen between anteroposterior diameter reduction and regurgitant orifice area
reduction (r=.49; P=.020). CONCLUSIONS: In patients with functional mitral
regurgitation, the MitraClip device produces an immediate reduction in the
anteroposterior diameter. This remodeling may be related to the reduction in
mitral regurgitation.
PMID- 27212449
TI - Pulcinella's secret. Further hypotheses on the etiology of the double hump in an
Italian 16th-century mask.
PMID- 27212445
TI - Mustard vesicant-induced lung injury: Advances in therapy.
AB - Most mortality and morbidity following exposure to vesicants such as sulfur
mustard is due to pulmonary toxicity. Acute injury is characterized by epithelial
detachment and necrosis in the pharynx, trachea and bronchioles, while long-term
consequences include fibrosis and, in some instances, cancer. Current therapies
to treat mustard poisoning are primarily palliative and do not target underlying
pathophysiologic mechanisms. New knowledge about vesicant-induced pulmonary
disease pathogenesis has led to the identification of potentially efficacious
strategies to reduce injury by targeting inflammatory cells and mediators
including reactive oxygen and nitrogen species, proteases and
proinflammatory/cytotoxic cytokines. Therapeutics under investigation include
corticosteroids, N-acetyl cysteine, which has both mucolytic and antioxidant
properties, inducible nitric oxide synthase inhibitors, liposomes containing
superoxide dismutase, catalase, and/or tocopherols, protease inhibitors, and
cytokine antagonists such as anti-tumor necrosis factor (TNF)-alpha antibody and
pentoxifylline. Antifibrotic and fibrinolytic treatments may also prove
beneficial in ameliorating airway obstruction and lung remodeling. More
speculative approaches include inhibitors of transient receptor potential
channels, which regulate pulmonary epithelial cell membrane permeability, non
coding RNAs and mesenchymal stem cells. As mustards represent high priority
chemical threat agents, identification of effective therapeutics for mitigating
toxicity is highly significant.
PMID- 27212450
TI - [Stevens-Johnson syndrome and toxic epidermal necrolysis (SJSTEN) related to
insecticide: Second case in the literature and potential implications].
AB - INTRODUCTION: Stevens-Johnson syndrome and toxic epidermal necrolysis (SJSTEN) is
a rare acute drug reaction characterized by the brutal destruction of the
superficial layer of the skin and mucosa. SJSTEN is favoured by some drugs (90 %
of cases) and genetic factors. It occurs at any age in both sexes. The
pathophysiology is not completely understood. To our knowledge, only one case
linked to an insecticide has been described. We present the second case involving
a combination of lambdacyhalothrin and thiamethoxam. OBSERVATION: A 34-year-old
farmer was admitted in emergency for a severe allergic reaction occurring few
days after the use of an insecticide to treat his field with no particular
precaution. The disease progression was swift: deterioration of general
condition, generalized itching, blisters, bubbles, hyperthermia, tachycardia,
significant oral pain and oral lesions and dysphagia. Hands, feet were concerned
and external genitalia was responsible for burning urination. Oral lesions have
rapidly evolved from edema to infected lesions. The diagnosis of SJSTEN was
confirmed by histopathology. After complete assessment and adequate treatment,
the patient was discharged after 17 days of hospitalization. The etiological
research concluded to a probable poisoning by lambdacyhalothrin and thiamethoxam.
DISCUSSION: This is the second published case of a SJSTEN linked to an
insecticide combining lambdacyhalothrin and thiamethoxam. Manufacturers, users,
regulators and physicians should take these data into account.
PMID- 27212451
TI - A systematic review of the risk factors associated with the onset and progression
of primary brain tumours.
AB - The overall aim of this systematic review was to identify risk factors for onset
and natural progression, which were shown to increase, decrease, or have a null
association with risk of primary brain tumour. For onset, the project was
separated into two phases. The first phase consisted of a systematic search of
existing systematic reviews and meta-analyses. Moderate to high methodological
quality reviews were incorporated and summarized with relevant observational
studies published since 2010, identified from a systematic search performed in
phase 2. For natural progression, only the first phase was conducted. Standard
systematic review methodology was utilized. Based on this review, various genetic
variants, pesticide exposures, occupational farming/hairdressing, cured meat
consumption and personal hair dye use appear to be associated with increased risk
of onset amongst adults. The specific EGF polymorphsm 61-A allele within
Caucasian populations and having a history of allergy was associated with a
decreased risk. For progression, M1B-1 antigen was shown to increase the risk.
High birth weight, pesticide exposure (childhood exposure, and parental
occupational exposure) and maternal consumption of cured meat during pregnancy
may also increase the risk of onset of childhood brain tumours. Conversely,
maternal intake of pre-natal supplements (folic acid) appeared to decrease risk.
Children with neurofibromatosis 2 were considered to have worse overall and
relapse free survival compared to neurofibromatosis 1, as were those children who
had grade III tumours compared to lesser grades.
PMID- 27212453
TI - A surfactant-based wound dressing can reduce bacterial biofilms in a porcine skin
explant model.
AB - Bacterial biofilms have been found in many, if not all, chronic wounds. Their
excessive extracellular matrix secretion and the metabolic changes that they
undergo render them highly tolerant of many antibiotic and antimicrobial
treatments. Physical removal and/or disruption are a common approach to treating
wounds suspected of having bacterial biofilms. While many of these techniques use
mechanical energy as the primary means of removal, we have begun to investigate
if surfactants could facilitate the removal of bacterial biofilms, or if they
might sensitise the biofilms to antimicrobial interventions. We tested a new
surfactant-based wound gel on an ex vivo porcine skin explant model infected with
a functionally tolerant 3-day biofilm. The wounds were dressed with a surfactant
based gel directly on the wound or with moistened gauze. The wounds were then
wiped daily with moistened gauze, and the gel or gauze was re-applied. Each day,
an explant from each group was harvested and tested for total viable bacteria
counts and viable biofilm-protected bacteria counts. The results show that daily
wiping with moistened gauze led to an initial decrease of bacteria, but by day 3,
the biofilm had been fully re-established to the same level prior to the
beginning of treatment. For the surfactant-based treatment, there was no
detectable functional biofilm after the first treatment. The gauze control, which
was also subjected to daily wiping, still contained functional biofilms,
indicating that this result was not due to wiping alone. The total bacteria in
the surfactant-treated explants steadily decreased through day 3, when there were
no detectable bacteria, while the wiping-only control bacteria counts remained
steady. The use of a moist gauze to wipe the visually apparent slime off of a
wound appears to be insufficient to reduce biofilm over a 3-day period. Daily
application of the surfactant gel dressing and wiping reduced the biofilm to
undetectable levels within 3 days in a skin explant model. A 3-day regimen of
dressing the wound model with a surfactant gel followed by gentle removal of the
gel by wiping with a moistened gauze appears to be a simple and adequate approach
to removing a bacterial biofilm infection in an ex vivo model. Additional
clinical evidence is needed to determine if this promising approach can perform
the same in clinically infected chronic wounds.
PMID- 27212454
TI - Quantifying the Test-Retest Reliability of Magnetoencephalography Resting-State
Functional Connectivity.
AB - The coordinated activity of the resting-state brain can be evaluated with
magnetoencephalography (MEG) for distinct brain rhythms by performing source
reconstruction to estimate the activities of target brain regions and employing
one of the many existent functional connectivity (FC) algorithms. Although this
procedure has been applied in a great amount of studies both with healthy and
pathological populations, the reliability of such FC estimates is unknown, and
this impairs the use of resting-state MEG FC at the individual level. In this
study, the test-retest reliability of MEG resting FC was evaluated by exploring
both within- and between-subject variability in FC in 16 healthy subjects who
underwent three resting-state MEG scans. FC was computed after beamforming source
reconstruction with four popular FC metrics: phase-locking value (PLV), phase lag
index (PLI), direct envelope correlation (d-ecor), and envelope correlation with
leakage correction (lc-ecor). Then, test-restest reliability and within- and
between-subject agreement were evaluated with the intraclass correlation
coefficient (ICC) and Kendall's W, respectively. Reliability was found to depend
on the FC metric, the frequency band, and the specific link. As a general trend,
greater test-retest reliability was found for PLV in theta to gamma, and for lc
ecor and d-ecor in beta. Further inspection of the ICC distribution revealed that
volume conduction effects could be contributing to high ICC in PLV and d-ecor. In
addition, stronger links were found to be more reliable. Overall, this encourages
the further use of resting-state MEG FC for individual-level studies, especially
with PLV or envelope correlation metrics.
PMID- 27212455
TI - Electron Microscopic Comparison of Radial Artery Grafts in Non-Diabetic and
Diabetic Coronary Bypass Patients.
AB - OBJECTIVE: We compared electron microscopic histologic changes of the radial
artery grafts in non-diabetic and diabetic patients. METHODS: Thirty-six patients
were divided into three groups according to their diabetic status (Group I had no
diabetes mellitus [DM], Group II had type two DM and HbA1c levels were <7.5%, and
Group III had type 2 DM but HbA1c levels were >7.5%). Distal parts of radial
artery grafts were evaluated with scanning electron microscopy in a blind fashion
by two histologists. Electron microscopic scores were compared among the groups.
RESULTS: Radial artery electron microscopic scores were significantly different
between group 1, 2 and 1, 3 and 2, 3 (p = 0.028, p < 0.001, and p < 0.001). In
linear regression analysis, duration of DM (p = 0.027) and fasting plasma glucose
(p = 0.001) were found as independent risk factors for histologic changes of
radial artery grafts. CONCLUSION: Duration of DM and poor glycemic control were
found to be associated with radial artery electron microscopic changes. doi:
10.1111/jocs.12761 (J Card Surg 2016;31:410-415).
PMID- 27212456
TI - Corrigendum: Genetic affinities of the Jewish populations of India.
PMID- 27212457
TI - The present and the future of the RAS pathway: From function and genomics to
inhibition.
AB - This meeting report summarizes the highlights of the II International Frontiers
in Oncology meeting "The present and future of the RAS pathway: from function and
genomics to inhibition" (RAS Frontiers) organized by the Center for Applied
Medical Research (CIMA; Pamplona, SPAIN), the Clinic of the University of Navarra
(CUN; Pamplona, SPAIN) and the Stanford Cancer Institute (SCI; Stanford
University, CA, USA) in Pamplona (October 5-7, 2015). The RAS Frontiers meeting
gathered together scientists from all over the world and featured the latest
advances in the study of the RAS pathway covering aspects from basic research to
translational and clinical investigation. Among the topics presented were novel
mouse models that recapitulate human carcinogenesis and serve as preclinical
platforms for drug testing, cutting-edge approaches for the identification of
novel vulnerabilities and regulators of the RAS pathway, as well as current
inhibitory strategies for the treatment of human RAS-driven cancers.
PMID- 27212452
TI - Developing and applying the adverse outcome pathway concept for understanding and
predicting neurotoxicity.
AB - The Adverse Outcome Pathway (AOP) concept has recently been proposed to support a
paradigm shift in regulatory toxicology testing and risk assessment. This concept
is similar to the Mode of Action (MOA), in that it describes a sequence of
measurable key events triggered by a molecular initiating event in which a
stressor interacts with a biological target. The resulting cascade of key events
includes molecular, cellular, structural and functional changes in biological
systems, resulting in a measurable adverse outcome. Thereby, an AOP ideally
provides information relevant to chemical structure-activity relationships as a
basis for predicting effects of structurally similar compounds. AOPs could
potentially also form the basis for qualitative and quantitative predictive
modeling of the human adverse outcome resulting from molecular initiating or
other key events for which higher-throughput testing methods are available or can
be developed. A variety of cellular and molecular processes are known to be
critical for normal function of the central (CNS) and peripheral nervous systems
(PNS). Because of the biological and functional complexity of the CNS and PNS, it
has been challenging to establish causative links and quantitative relationships
between key events that comprise the pathways leading from chemical exposure to
an adverse outcome in the nervous system. Following introduction of the
principles of MOA and AOPs, examples of potential or putative adverse outcome
pathways specific for developmental or adult neurotoxicity are summarized and
aspects of their assessment considered. Their possible application in developing
mechanistically informed Integrated Approaches to Testing and Assessment (IATA)
is also discussed.
PMID- 27212458
TI - COPD-associated pulmonary hypertension: clinical implications and current methods
for treatment.
AB - INTRODUCTION: Chronic obstructive pulmonary disease is the fourth leading cause
of death worldwide, one serious complication being pulmonary hypertension, which
occurs in up to 30% of patients and increases mortality drastically. Difficulties
in diagnosis and the unclear beneficial effects of PH-specific therapy have
hitherto resulted in the absence of approved therapies. Consequently, PH and
right heart failure in COPD are still currently treated according to symptoms and
not underlying cause Areas covered: This review focuses on the current knowledge
of its pathogenesis, clinical picture, diagnosis as well as methods for treatment
Expert commentary: Since PH-COPD is an orphan disease with grievous consequences,
and diagnosis as well as the right choice of possible treatment is crucial,
referral to an expert center in cases of suspicion is necessary. Hitherto there
is no officially approved treatment available even though several studies have
shown notable improvement in selected individuals, making diagnostics, prognostic
markers, and the search for therapeutic agents key issues of interest in this
field.
PMID- 27212460
TI - Corrigendum: The genome sequence of the outbreeding globe artichoke constructed
de novo incorporating a phase-aware low-pass sequencing strategy of F1 progeny.
PMID- 27212459
TI - Antimicrobial activity of plumbagin, a naturally occurring naphthoquinone from
Plumbago rosea, against Staphylococcus aureus and Candida albicans.
AB - Candida albicans and Staphylococcus aureus are opportunistic pathogens. Despite
causing a number of independent infections, both pathogens can co-infect to cause
urinary tract infections, skin infections, biofilm associated infections, sepsis
and pneumonia. Infections of these two pathogens especially their biofilm
associated infections are often difficult to treat using currently available anti
bacterial and anti-fungal agents. In order to identify a common anti-microbial
agent which could confer a broad range of protection against their infections, we
screened several phytochemicals and identified plumbagin (5-hydroxy-2-methyl-1,4
naphthoquinone), a phytochemical from Plumbago species as a potent antimicrobial
agent against S. aureus and C. albicans, with a minimum inhibitory concentration
of 5MUg/ml. Antimicrobial activity of plumbagin was validated using an ex-vivo
porcine skin model. For better understanding of the antimicrobial activity of
plumbagin, a Drosophila melanogaster infection model was used, where D.
melanogaster was infected using S. aureus and C. albicans, or with both
organisms. The fly's survival rate was dramatically increased when infected flies
were treated using plumbagin. Further, plumbagin was effective in preventing and
dispersing catheter associated biofilms formed by these pathogens. The overall
results of this work provides evidence that plumbagin, possesses an excellent
antimicrobial activity which should be explored further for the treatment of S.
aureus and C. albicans infections.
PMID- 27212462
TI - Resistance patterns of diversified phylogroups of Escherichia coli associated
with mothers having history of preterm births in Pakistan.
AB - OBJECTIVE: Urinary tract infections (UTIs) are caused by extraintestinal
pathogenic Escherichia coli (ExPEC), and are one of the key predictors of preterm
births. In the light of this fact, present study was conducted to determine the
predominant Escherichia coli (E. coli) phylotypes and their associated antibiotic
susceptibility patterns, isolated from pregnant mothers with the history of
preterm births. METHODS: Forty seven E. coli strains were isolated out of a total
of 80 urine samples of pregnant women. The isolates were phylotyped and further
screened for the presence of Clonal group A. Moreover, Antimicrobial
susceptibility testing and screening for Extended Spectrum Beta Lactamase (ESBL)
producing strains were also performed. RESULTS: Among the 47 isolates, phylogroup
B2 was found to be highly prevalent (45%), followed by group D (23%), B1
(10.64%), A (6.38%), E (6.38%), cryptic clade I (4.25%) and F (2.13%). Two
isolates belonged to CgA and 41 (87.23%) isolates were found to be multidrug
resistant. Out of nine antibiotics tested in the study, the isolates displayed
high resistance to Ampicillin (82.6%), Sulphamethoxazole (65.22%), Nalidixic acid
(60.87%), Sulphamethoxazole-Trimethoprim, Doxycycline and Erythromycin (56.52%
each). In total, 8 (17.02%) of the isolates were found to be ESBL positive.
CONCLUSIONS: The prevalence of infections caused by virulent and highly drug
resistant E. coli isolates constitute a risk of developing preterm birth
complications in pregnant women and requires the selection of appropriate
antibiotics for the treatment of infections caused during pregnancy.
PMID- 27212461
TI - Th1 versus Th2 T cell polarization by whole-cell and acellular childhood
pertussis vaccines persists upon re-immunization in adolescence and adulthood.
AB - The recent increase in cases of whooping cough among teenagers in the US suggests
that the acellular Bordetella pertussis vaccine (aP) that became standard in the
mid 1990s might be relatively less effective than the whole-bacteria formulation
(wP) previously used since the 1950s. To understand this effect, we compared
antibody and T cell responses to a booster immunization in subjects who received
either the wP or aP vaccine as their initial priming dose in childhood. Antibody
responses in wP- and aP-primed donors were similar. Magnitude of T cell responses
was higher in aP-primed individuals. Epitope mapping revealed the T cell
immunodominance patterns were similar for both vaccines. Further comparison of
the ratios of IFNgamma and IL-5 revealed that IFNgamma strongly dominates the T
cell response in wP-primed donors, while IL-5 is dominant in aP primed
individuals. Surprisingly, this differential pattern is maintained after booster
vaccination, at times from eighteen years to several decades after the original
aP/wP priming. These findings suggest that childhood aP versus wP vaccination
induces functionally different T cell responses to pertussis that become fixed
and are unchanged even upon boosting.
PMID- 27212463
TI - High prevalence of Babesia microti 'Munich' type in small mammals from an Ixodes
persulcatus/Ixodes trianguliceps sympatric area in the Omsk region, Russia.
AB - Babesia microti is a genetically diverse group of protozoan parasites whose life
cycle is associated with both small mammals and Ixodes spp. ticks. In this study,
the prevalence of different B. microti genetic groups in ticks and small rodents
in an area with Ixodes persulcatus and Ixodes trianguliceps occurring in sympatry
was examined. A total of 541 small mammals were captured during eight sampling
periods between 2013 and 2015 at a site in the Omsk region of Russia and tested
for the presence of B. microti using nested PCR with subsequent sequencing of
positive samples. B. microti DNA was found in 31.6 % of examined samples, and
prevalence rates ranged from 5.3 to 61.6 % in different sampling periods. The
sequenced B. microti samples belonged to two genetic groups: enzootic B. microti
'Munich' type and zoonotic B. microti 'US' type. B. microti 'Munich' type was
more common across all sampling periods, with greater than 80 % prevalence in
infected animals. Despite the high B. microti 'Munich'-type prevalence in voles,
B. microti was not found in any of 394 adult I. persulcatus ticks collected by
flagging or in the 84 I. persulcatus or 20 I. trianguliceps ticks taken from
voles and molted under laboratory conditions. It was demonstrated that B. microti
'Munich'-type DNA can be detected in the blood of naturally infected voles for at
least 20 weeks after capture. Thus, the high prevalence of B. microti 'Munich'
type in small mammals may be explained by the prolonged persistence of B. microti
in the blood of wild voles.
PMID- 27212465
TI - The male beard hair and facial skin - challenges for shaving.
AB - The challenge of shaving is to cut the beard hair as closely as possible to the
skin without unwanted effects on the skin. To achieve this requires the
understanding of beard hair and male facial skin biology as both, the beard hair
and the male facial skin, contribute to the difficulties in obtaining an
effective shave without shaving-induced skin irritation. Little information is
available on the biology of beard hairs and beard hair follicles. We know that,
in beard hairs, the density, thickness, stiffness, as well as the rates of
elliptical shape and low emerging angle, are high and highly heterogeneous. All
of this makes it challenging to cut it, and shaving techniques commonly employed
to overcome these challenges include shaving with increased pressure and multiple
stroke shaving, which increase the probability and extent of shaving-induced skin
irritation. Several features of male facial skin pose problems to a perfect
shave. The male facial skin is heterogeneous in morphology and roughness, and
male skin has a tendency to heal slower and to develop hyperinflammatory
pigmentation. In addition, many males exhibit sensitive skin, with the face most
often affected. Finally, the hair follicle is a sensory organ, and the
perifollicular skin is highly responsive to external signals including mechanical
and thermal stimulation. Perifollicular skin is rich in vasculature, innervation
and cells of the innate and adaptive immune system. This makes perifollicular
skin a highly responsive and inflammatory system, especially in individuals with
sensitive skin. Activation of this system, by shaving, can result in shaving
induced skin irritation. Techniques commonly employed to avoid shaving-induced
skin irritation include shaving with less pressure, pre- and post-shave skin
treatment and to stop shaving altogether. Recent advances in shaving technology
have addressed some but not all of these issues. A better understanding of beard
hairs, beard hair follicles and male facial skin is needed to develop novel and
better approaches to overcome the challenge of shaving. This article covers what
is known about the physical properties of beard hairs and skin and why those
present a challenge for blade and electric shaving, respectively.
PMID- 27212466
TI - Innovative approaches to avoid electric shaving-induced skin irritation.
AB - Globally, millions of men regularly remove their facial hair using an electric
shaver. Over the course of several decades, the concept of an electric shaver has
evolved from a relatively simple hand-powered apparatus to a technologically
advanced device designed to provide the user with an optimum shaving experience.
This requires a careful balance between robust removal of hair and skin comfort.
By incorporating advanced scientific measurement and imaging technology into
clinical testing, insights are being gained into skin comfort issues associated
with hair removal practices. This study describes new research insights that have
guided the development of electric shaving technology. These innovative features
are designed to maximize hair removal and minimize the impact on skin health,
thus enabling new levels of shaving efficacy and comfort to be attained.
PMID- 27212464
TI - Species diversity of ectoparasitic chigger mites (Acari: Prostigmata) on small
mammals in Yunnan Province, China.
AB - Chigger mites are a large group of arthropods and the larvae of mites are
ectoparasites. Some species of ectoparasitic mites (larvae) can be the
transmitting vectors of tsutsugamushi disease (scrub typhus). Yunnan Province is
located in the southwest of China with complicated topographic landform and high
biodiversity, where there are five zoogeographical subregions. Rodents and some
other small mammals were trapped and examined for ectoparasitic chigger mites in
29 investigation sites in Yunnan during 2001-2013. From 13,760 individuals and 76
species of small mammal hosts, we collected 274 species of mites, which were
identified as comprising 26 genera in two families. The species diversity of
chigger mites (274 species) in the present study were not only much higher than
that from other provinces of China but also largely exceeded that recorded from
other regions and countries in the world. Of the five zoogeographical subregions,
both the species diversity and Shannon-Weiner's diversity of mites were the
highest in subregion II (southern subregion of Hengduan Mountains) with middle
altitudes and middle latitude. Both the species diversity of mites and Shannon
Wiener diversity index showed a parabolic tendency from the low altitude (<500 m)
to the high altitude (>3500 m) along the vertical gradients with the peak
occurring in the middle-altitude regions (2000-2500 m). Of four dominant hosts,
the species richness of mites was highest on Eothenomys miletus (S = 165) and
Shannon-Wiener diversity index was highest on Rattus norvegicus (H = 3.13). Along
latitude gradients, species richness of chigger mites increased first and then
decreased, peaking at 25 degrees to 26 degrees N with 193 mite species. The
geographical location, complex topography, and landscape with diverse small
mammal hosts in Yunnan Province have contributed to the extremely high species
diversity of mites in the province. The large sampling size of small mammal hosts
in a wide geographical scope within a long time span also made it possible to
have collected so many species of chigger mites.
PMID- 27212467
TI - The biomechanics of blade shaving.
AB - The shaving challenge represents a technical contradiction, whereby many of the
requirements to improve hair removal efficacy can also result in increased damage
to the surrounding skin. Poor-quality shaving can cause a significant
inflammatory response of the skin, which may consequently lead to skin irritation
and soreness. This study aims to explore and quantify the forces that act upon
the skin and hair during the shave and suggests that careful management of these
forces is essential in optimizing the shaving process. Various razor features are
discussed and their impact on the resulting biomechanical forces is considered.
Recent data are included comparing a basic low-tier disposable razor with a more
technologically advanced premium system razor and show significant differences in
both subjective shave attribute scores and resulting blood flux in the skin.
PMID- 27212468
TI - Pseudofolliculitis barbae: understanding the condition and the role of facial
grooming.
AB - Pseudofolliculitis barbae (PFB) is an inflammatory condition of the beard area,
with a high prevalence in men of subequatorial African ancestry and, to a much
lesser extent, Indo-Europeans. But it can affect both men and women of all
ethnicities. Invariably reported as being associated with shaving, recent
evidence suggests a strong genetic component in patients with persistent PFB.
There is a lack of robust clinical evidence to support recommendations to avoid
or curtail shaving or to shave with a single-blade razor. There is recent
clinical evidence that PFB is not exacerbated by daily shaving with a multiblade
razor as part of a regimen. Further, there is preliminary evidence that a daily
shaving regimen, which includes pre-shave hydration and post-shave
moisturization, may be beneficial. To develop evidence-based initial management
strategies for PFB, there is a requirement for more randomized blinded clinical
trials comparing the use of multi- and single-edge razors, different shaving
techniques, shaving frequencies and pre- and post-shaving cosmetic products.
PMID- 27212470
TI - Fabrication of mixed phase TiO2 heterojunction nanorods and their enhanced
photoactivities.
AB - Substantial efforts have been made in recent times in solving the major limiting
factors affecting the efficiency of a photocatalyst. The fabrication of efficient
junction architectures is one of the viable approaches to resolve this setback.
We have developed a facile and systematic approach for the synthesis of anatase
TiO2 () nanoparticles and 1-D anatase and rutile TiO2 () heterojunction nanorods
to enhance the interfacial contact area by adjusting the titanium(iv) butoxide
(TBOT) to titanium chloride (TiCl4) volume ratio. Their narrower band gap,
increasing surface area and anatase phase composition engineered by adjusting the
relative concentrations of titanium butoxide (TBOT) and titanium chloride (TiCl4)
(TBOT/TiCl4, 1 : 0, 1 : 0.25, 1 : 1 and 1 : 4 v/v for , , and respectively) are
also addressed. The materials showed impressive photocatalytic activity for H2
evolution from water/methanol and the photodegradation of organic pollutants like
rhodamine B (RhB) and methylene blue (MB) dyes. showed superior activity (16.4
mmol g(-1) h(-1)) with an apparent quantum efficiency (AQE) of 7.7% together with
its long-term stability. This is attributed to the synergistic effect observed in
the mixed phase nanorod heterojunction photocatalyst. Methyl viologen (MV(2+))
has been used as a probe to elucidate the photocatalytic activities and highlight
the heterojunction driven separation of photo-excited charge carriers for
enhanced hydrogen production.
PMID- 27212469
TI - Cryopreserved Mesenchymal Stromal Cells Maintain Potency in a Retinal
Ischemia/Reperfusion Injury Model: Toward an off-the-shelf Therapy.
AB - The ability to use mesenchymal stromal cells (MSC) directly out of cryostorage
would significantly reduce the logistics of MSC therapy by allowing on-site
cryostorage of therapeutic doses of MSC at hospitals and clinics. Such a paradigm
would be especially advantageous for the treatment of acute conditions such as
stroke and myocardial infarction, which are likely to require treatment within
hours after ischemic onset. Recently, several reports have emerged that suggest
MSC viability and potency are damaged by cryopreservation. Herein we examine the
effect of cryopreservation on human MSC viability, immunomodulatory potency,
growth factor secretion, and performance in an ischemia/reperfusion injury model.
Using modifications of established cryopreservation methods we developed MSC that
retain >95% viability upon thawing, remain responsive to inflammatory signals,
and are able to suppress activated human peripheral blood mononuclear cells. Most
importantly, when injected into the eyes of mice 3 hours after the onset of
ischemia and 2 hours after the onset of reperfusion, cryopreserved performed as
well as fresh MSC to rescue retinal ganglion cells. Thus, our data suggests when
viability is maintained throughout the cryopreservation process, MSC retain their
therapeutic potency in both in vitro potency assays and an in vivo
ischemia/reperfusion model.
PMID- 27212471
TI - Ancient Human Migration after Out-of-Africa.
AB - The serial founder model of modern human origins predicts that the phylogeny of
ancestries exhibits bifurcating, tree-like behavior. Here, we tested this
prediction using three methods designed to investigate gene flow in autosome-wide
genotype data from 3,528 unrelated individuals from 163 global samples.
Specifically, we investigated whether Cushitic ancestry has an East African or
Middle Eastern origin. We found evidence for non-tree-like behavior in the form
of four migration events. First, we found that Cushitic ancestry is a mixture of
ancestries closely related to Arabian ancestry and Nilo-Saharan or Omotic
ancestry. We found evidence for additional migration events in the histories of:
1) Indian and Arabian ancestries, 2) Kalash ancestry, and 3) Native American and
Northern European ancestries. These findings, based on analysis of ancestry of
present-day humans, reveal migration in the distant past and provide new insights
into human history.
PMID- 27212472
TI - Effect of polymer type and drug dose on the in vitro and in vivo behavior of
amorphous solid dispersions.
AB - This study investigated the non-sink in vitro dissolution behavior and in vivo
performance in rats of celecoxib (CCX) amorphous solid dispersions with polyvinyl
acetate (PVA), polyvinylpyrrolidone (PVP) and hydroxypropyl methylcellulose
(HPMC) at different drug doses. Both in vitro and in vivo, the amorphous solid
dispersions with the hydrophilic polymers PVP and HPMC led to higher areas under
both, the in vitro dissolution and the plasma concentration-time curves (AUC)
compared to crystalline and amorphous CCX for all doses. In contrast, the
amorphous solid dispersion with the hydrophobic polymer PVA showed a lower AUC
both in vitro and in vivo than crystalline CCX. For crystalline CCX and CCX:PVA,
the in vitro AUC was limited by the low solubility of the drug and the slow
release of the drug from the hydrophobic polymer, respectively. For the
supersaturating formulations, amorphous CCX, CCX:PVP and CCX:HPMC, the in vitro
performance was mainly dependent on the dissolution rate and
precipitation/crystallization inhibition of the polymer. As expected, the
crystallization tendency increased with increasing dose, and therefore the in
vitro AUCs did not increase proportionally with dose. Even though the in vivo AUC
for all formulations increased with increasing dose, the relative bioavailability
decreased significantly, indicating that the supersaturating formulations also
crystallized in vivo and that the absorption of CCX was solubility-limited. These
findings underline the importance of evaluating relevant in vitro doses, in order
to rationally assess the performance of amorphous solid dispersions and avoid
confusion in early in vivo studies.
PMID- 27212473
TI - Ichthyosis hystrix Lambert type and Curth-Macklin type are a single entity with
affected (KRT1 mutation) or unaffected (KRT10 mutation) palms and soles?
PMID- 27212474
TI - A Prospective Comparison of Younger and Older Patients' Preferences for Adjuvant
Chemotherapy and Hormonal Therapy in Early Breast Cancer.
AB - BACKGROUND: It is unknown what minimal benefit in disease-free survival older
patients with breast cancer require from adjuvant systemic therapy, and if this
differs from that required by younger patients. We prospectively examined
patients' preferences for adjuvant chemotherapy (aCT) and adjuvant hormonal
therapy (aHT), factors related to minimally-required benefit, and patients' self
reported motivations. PATIENTS AND METHODS: Fifty-two younger (40-64 years) and
29 older (>= 65 years) women with a first primary, invasive tumor were
interviewed post-surgery, prior to receiving aCT/aHT recommendation. RESULTS: The
proportions of younger versus older participants who would accept, refuse, or
were undecided about therapy were 92% versus 62%, 4% versus 24%, and 4% versus
14% for aCT, and 92% versus 59%, 8% versus 17%, and 0% versus 24% for aHT. The
proportion of older participants who would refuse rather than accept aCT was
larger than that of younger participants (P = .005). No significant difference
was found for aHT (P = .12). Younger and older participants' minimally-required
benefit, in terms of additional 10-year disease-free survival, to accept aCT
(median, 5% vs. 4%; P = .13) or aHT (median, 10% vs. 8%; P = .15) did not differ.
Being single/divorced/widowed (odds ratio [OR], 0.16; P = .005), presence of
geriatric condition (inability to perform daily activities, incontinence, severe
sensory impairment, depression, polypharmacy, difficulties with walking; OR,
0.27; P = .047), and having a preference to make the treatment decision either
alone or after considering the clinician's opinion (active role; OR, 0.15; P =
.012) were independently related to requiring larger benefits from aCT. The most
frequent motivations for/against therapy included the wish to survive/avoid
recurrence, clinician's recommendation, side effects, and treatment duration
(only aHT). CONCLUSION: Whereas older participants were less willing to accept
aCT than younger participants, no significant difference was found for aHT.
However, a majority of older participants would still accept both therapies.
Adjuvant systemic therapy should be discussed with eligible patients regardless
of age.
PMID- 27212475
TI - Relative rate and location of intra-host HIV evolution to evade cellular immunity
are predictable.
AB - Human immunodeficiency virus (HIV) evolves within infected persons to escape
being destroyed by the host immune system, thereby preventing effective immune
control of infection. Here, we combine methods from evolutionary dynamics and
statistical physics to simulate in vivo HIV sequence evolution, predicting the
relative rate of escape and the location of escape mutations in response to T
cell-mediated immune pressure in a cohort of 17 persons with acute HIV infection.
Predicted and clinically observed times to escape immune responses agree well,
and we show that the mutational pathways to escape depend on the viral sequence
background due to epistatic interactions. The ability to predict escape pathways
and the duration over which control is maintained by specific immune responses
open the door to rational design of immunotherapeutic strategies that might
enable long-term control of HIV infection. Our approach enables intra-host
evolution of a human pathogen to be predicted in a probabilistic framework.
PMID- 27212477
TI - Dry powder inhalable formulations for anti-tubercular therapy.
AB - Tuberculosis (TB) is an intracellular infectious disease caused by the airborne
bacterium, Mycobacterium tuberculosis. Despite considerable research efforts, the
treatment of TB continues to be a great challenge in part due to the requirement
of prolonged therapy with multiple high-dose drugs and associated side effects.
The delivery of pharmacological agents directly to the respiratory system,
following the natural route of infection, represents a logical therapeutic
approach for treatment or vaccination against TB. Pulmonary delivery is non
invasive, avoids first-pass metabolism in the liver and enables targeting of
therapeutic agents to the infection site. Inhaled delivery also potentially
reduces the dose requirement and the accompanying side effects. Dry powder is a
stable formulation of drug that can be stored without refrigeration compared to
liquids and suspensions. The dry powder inhalers are easy to use and suitable for
high-dose formulations. This review focuses on the current innovations of
inhalable dry powder formulations of drug and vaccine delivery for TB, including
the powder production method, preclinical and clinical evaluations of inhaled dry
powder over the last decade. Finally, the risks associated with pulmonary therapy
are addressed. A novel dry powder formulation with high percentages of respirable
particles coupled with a cost effective inhaler device is an appealing platform
for TB drug delivery.
PMID- 27212476
TI - A genetically-engineered von Willebrand disease type 2B mouse model displays
defects in hemostasis and inflammation.
AB - von Willebrand disease (VWD)-type 2B is characterized by gain-of-function
mutations in the von Willebrand factor (VWF) A1-domain, leading to increased
affinity for its platelet-receptor, glycoprotein Ibalpha. We engineered the first
knock-in (KI) murine model for VWD-type 2B by introducing the p.V1316M mutation
in murine VWF. Homozygous KI-mice replicated human VWD-type 2B with
macrothrombocytopenia (platelet counts reduced by 55%, platelet volume increased
by 44%), circulating platelet-aggregates and a severe bleeding tendency. Also,
vessel occlusion was deficient in the FeCl3-induced thrombosis model. Platelet
aggregation induced by thrombin or collagen was defective for KI-mice at all
doses. KI-mice manifested a loss of high molecular weight multimers and increased
multimer degradation. In a model of VWF-string formation, the number of
platelets/string and string-lifetime were surprisingly enhanced in KI-mice,
suggesting that proteolysis of VWF/p.V1316M is differentially regulated in the
circulation versus the endothelial surface. Furthermore, we observed increased
leukocyte recruitment during an inflammatory response induced by the reverse
passive Arthus reaction. This points to an active role of VWF/p.V1316M in the
exfiltration of leukocytes under inflammatory conditions. In conclusion, our
genetically-engineered VWD-type 2B mice represent an original model to study the
consequences of spontaneous VWF-platelet interactions and the physiopathology of
this human disease.
PMID- 27212562
TI - Nursing Home Self-assessment of Implementation of Emergency Preparedness
Standards.
AB - Introduction Disasters often overwhelm a community's capacity to respond and
recover, creating a gap between the needs of the community and the resources
available to provide services. In the wake of multiple disasters affecting
nursing homes in the last decade, increased focus has shifted to this vital
component of the health care system. However, the long-term care sector has often
fallen through the cracks in both planning and response. Problem Two recent
reports (2006 and 2012) published by the US Department of Health and Human
Services (DHHS), Office of Inspector General (OIG), elucidate the need for
improvements in nursing homes' comprehensive emergency preparedness and response.
The Center for Medicare and Medicaid Services (CMS) has developed an emergency
preparedness checklist as a guidance tool and proposed emergency preparedness
regulations. The purpose of this study was to evaluate the progress made in
nursing home preparedness by determining the level of completion of the 70 tasks
noted on the checklist. The study objectives were to: (1) determine the
preparedness levels of nursing homes in North and South Carolina (USA), and (2)
compare these findings with the 2012 OIG's report on nursing home preparedness to
identify current gaps. METHODS: A survey developed from the checklist of items
was emailed to 418 North Carolina and 193 South Carolina nursing home
administrators during 2014. One hundred seventeen were returned/"bounced back" as
not received. Follow-up emails and phone calls were made to encourage
participation. Sixty-three completed surveys and 32 partial surveys were
received. Responses were compared to data obtained in a 2010 study to determine
progress. RESULTS: Progress had been made in many of the overall planning and
sheltering-in-place tasks, such as having contact information of local emergency
managers as well as specifications for availability of potable water. Yet, gaps
still persisted, especially in evacuation standards, interfacing with emergency
management officials, establishing back-up evacuation sites and evacuation
routes, identification of resident care items, and obtaining copies of state and
local emergency planning regulations. CONCLUSION: Nursing homes have made
progress in preparedness tasks, however, gaps persist. Compliance may prove
challenging for some nursing homes, but closer integration with emergency
management officials certainly is a step in the right direction. Further research
that guides evacuation or shelter-in-place decision making is needed in light of
persistent challenges in completing these tasks. Lane SJ , McGrady E . Nursing
home self-assessment of implementation of emergency preparedness standards.
Prehosp Disaster Med. 2016;31(4):422-431.
PMID- 27212565
TI - The Asymmetric Piers Hydrosilylation.
AB - An axially chiral, cyclic borane decorated with just one C6F5 group at the boron
atom promotes the highly enantioselective hydrosilylation of acetophenone
derivatives without assistance of an additional Lewis base (up to 99% ee). The
reaction is an unprecedented asymmetric variant of Piers' B(C6F5)3-catalyzed
carbonyl hydrosilylation. The steric congestion imparted by the 3,3'
disubstituted binaphthyl backbone of the borane catalyst as well as the use of
reactive trihydrosilanes as reducing agents are keys to success.
PMID- 27212563
TI - Predictors of survival in restrictive chronic lung allograft dysfunction after
lung transplantation.
AB - BACKGROUND: Chronic lung allograft dysfunction (CLAD) is the main factor limiting
long-term survival after lung transplantation. Besides bronchiolitis obliterans
syndrome, a restrictive phenotype of CLAD (rCLAD) exists, which is associated
with poor prognosis after diagnosis. However, survival determinants for rCLAD
remain to be elucidated. Our aim in this study was to establish parameters
predicting survival in patients with rCLAD. METHODS: All patients diagnosed with
rCLAD in 2 lung transplant centers were assessed in a retrospective manner.
Various clinical parameters [demography, pulmonary function, bronchoalveolar
lavage (BAL), histopathology, radiology and blood differentials] at rCLAD
diagnosis were correlated with graft survival using unadjusted and adjusted
analysis. RESULTS: A total of 53 patients with rCLAD were included with a median
graft survival after diagnosis of 1.1 years. Univariate analysis demonstrated
that lower-lobe-dominant or diffuse infiltrates on chest computed tomography,
presence of an identifiable trigger before rCLAD onset, lymphocytic
bronchiolitis, increased BAL neutrophilia, increased BAL eosinophilia and
increased blood eosinophils were associated with inferior graft survival after
rCLAD diagnosis. Multivariate analysis confirmed the association of location of
infiltrates and blood eosinophilia on graft survival. CONCLUSION: In this study
we have identified parameters associated with graft survival after rCLAD
diagnosis that may be useful to predict prognosis.
PMID- 27212564
TI - Evaluation of nutrition models to estimate performance of young dairy calves: a
meta-analytical study under tropical conditions.
AB - Mathematical models are important tools to estimate nutritional requirements and
animal growth. Very few calf models generated from other countries with different
feeding programs, environment and production systems have been evaluated. The
objective of this paper is to evaluate two calf models: (i) the National Research
Council (NRC) in 2001 and (ii) the updates published by Van Amburgh and Drackley
in 2005 and inputted into Agricultural Modeling and Training Systems (AMTS,
version 3.5.8). Data from 16 previous studies involving 51 diets for dairy calves
under tropical conditions (n=485 calves, initial BW 37.5+/-4.35 kg and weaning
weight of 62.0+/-10.16 kg) were used. The calves were fed with whole milk, milk
replacer or fermented colostrum, plus starter (20.9+/-1.78% of CP). The accuracy
of the average daily gain (ADG) prediction was evaluated by mean bias, mean
square prediction error (MSPE), concordance correlation coefficient, bias
correction factor (Cb), and regression between the observed and predicted values.
The ADG observed from birth to weaning was 0.452+/-0.121 kg/day. Calves fed with
whole milk had greater ADG compared with calves fed milk replacer (0.477 v. 0.379
kg/day) during the milk-feeding period. When all data were pooled (n=51 diets),
predictions had a mean bias of -0.019 and 0.068 kg/day for energy-allowable gain
using NRC and AMTS models, respectively. The regression equation between observed
and predicted values obtained from energy of diets showed an intercept different
from zero (P0.05) indicate that the AMTS growth model resulted in accurate
predictions for calves fed with milk replacer. However, within these latter two
approaches, the goodness of fit (R 2) was low, representing low precision. The
weight gain estimated by the energy available from the diet was overestimated by
19 g/day when calculated by the NRC and underestimated by 68 g/day when
calculated by AMTS. The reasons for this discrepancy need to be understood, for
only then new models could be developed and parameterized to estimate animal
performance in tropical conditions more accurately and precisely.
PMID- 27212566
TI - Sjogren-Larsson Syndrome: A Neuro-Ichthyotic Disorder With Unique Magnetic
Resonance Features.
PMID- 27212567
TI - A Prospective Case Study of the Safety and Efficacy of Lysine-Restricted Diet and
Arginine Supplementation Therapy in a Patient With Pyridoxine-Dependent Epilepsy
Caused by Mutations in ALDH7A1.
AB - BACKGROUND: Pyridoxine-dependent epilepsy (PDE) is caused by mutations in ALDH7A1
(PDE-ALDH7A1), which encodes alpha-aminoadipic semialdehyde dehydrogenase in the
lysine catabolic pathway, resulting in accumulation of alpha-aminoadipic-acid
semialdehyde. PATIENT DESCRIPTION AND RESULTS: We present a three-year treatment
outcome of a child with PDE-ALDH7A1 on pyridoxine (started at age three weeks of
age), lysine-restricted diet (started at age seven months), and arginine
supplementation therapy (started at age 26 months). He had a markedly elevated
urinary alpha-aminoadipic-acid-semialdehyde (39.6 mmol/mol of creatinine;
reference range = 0 to 2) and compound heterozygous mutations in ALDH7A1
(c.446C>A and c.919C>T). He has been seizure free since the age three weeks. He
achieved normal cognitive function at age 3.5 years. He exhibited gross motor
delay after the age 13 months. Tryptophan supplementation was added for the mild
cerebral serotonin deficiency at the thirteenth month of therapy. Arginine
supplementation was added to achieve further decrease in the cerebrospinal fluid
alpha-aminoadipic-acid-semialdehyde levels at the 26th month of therapy. His
cerebrospinal fluid alpha-aminoadipic-acid-semialdehyde levels were markedly
decreased on this combined therapy. CONCLUSIONS: This treatment was well
tolerated. Mild cerebral serotonin deficiency was the only biochemical effect
with no clinical features. Despite excellent compliance and strict treatment
regimen, cerebrospinal fluid alpha-aminoadipic-acid-semialdehyde levels did not
normalize.
PMID- 27212568
TI - Somatosensory Evoked Potentials of Inferior Alveolar Nerve: confirmation of a
possible non-invasive neurophysiological approach.
AB - INTRODUCTION: The use of inferior alveolar nerve (IAN) somatosensory evoked
potentials (SEPs) may represent a non-invasive method to evaluate the sensory
nerve function in the maxillofacial region. The aim of this work is to confirm
the feasibility of a technique, previously reported in the literature, and the
data previously obtained. MATERIALS AND METHODS: SEPs were obtained following
electrical stimulation (square wave pulses 0.2 millisecond [ms] in duration, 4 to
6.5 mA, 0.7/second repetition rate, 200 averages) of the gum at the mental
foramen level, in the IAN region, via a new designed type of intraoral surface
electrodes and recorded from the contralateral central scalp sites. RESULTS: We
recognized waveforms of sufficient quality and consistently recorded a "W"-shaped
response. Peak latencies of waves were at 14, 20, 27, 34 and 43 ms respectively.
One side of the lower lip can be compared with the contralateral side.
CONCLUSIONS: IAN SEPs, obtained with the present technique, may represent an
objective, non-invasive, and reliable way of testing sensory nerve function in
the maxillofacial region.
PMID- 27212569
TI - Test of Sex Estimation Equations on Carpal Bones in a Northeastern Thai
Population.
AB - BACKGROUND: Sex assessment is an essential step in person identification, both in
forensic and anthropological contexts. Many parts of skeletal remains such as
skull, pelvis and long bones have been proven to be useful in determining sex.
However, literature has shown that short bones such as carpal bones are also
sexually dimorphic. In the last few years, there was an unpublished study using
lunate, scaphoid and hamate from bone collection in Northern Thailand to create 6
discriminant equations to assess sex. The objective of this study was to
investigate the application of those equations in the sample from other parts of
Thailand. MATERIALS AND METHODS: A sample of 50 individuals (25 males and 25
females), kindly supplied by Department of Anatomy, Khonkaen University, Khon
kaen, Thailand, was examined. The age of the individuals ranged from 48-87 years
old for males and 38-87 years old for females. RESULTS: The classification
accuracies ranged from 82%-98% with right hamate yielding the highest accuracy.
CONCLUSIONS: These results proved the applicability of those 6 discriminant
equations in a population from Northeastern Thailand. Further studies should
include population from other parts of Thailand.
PMID- 27212570
TI - New Method of Age Estimation from Maxillary Sutures Closure in a Thai Population.
AB - Age estimation is one of the major components of forensic identification. Cranial
suture closure has long been used as indicator for age estimation. Maxillary
sutures have been less studied for estimation of age at death because they vary
in their timing of closure with age. The purpose of this study was to estimate
age by examining 190 Thai skulls with age ranging between 15-93 years from
Forensic Osteology Research Center, Faculty of Medicine, and Chiang Mai
University. Four parts of maxillary suture (incisive, anterior, transverse, and
posterior sutures) were investigated the suture obliteration of each suture by
computerizing from photograph. The suture were measured by pixel counting.The
prediction model which based on the support vector machine (SVM) for regression
or support vector regression (SVR) was utilized for data analysis. The results
showed high correlation (R2 = 0.9086) between the predicted age and actual age.
Plot between actual age group and predicted age in seven groups also revealed
high correlation (R2 = 0.9434). These can be implied that we are able to use this
SVR model to predict age at death using maxillary suture information.The
interesting issue is to further apply this model in more cases to ensure the
generalization of the finding. This study is the first attempt to estimate age at
death using a new method based on novel analysis which considers a characteristic
of relationship between maxillary suture closures with age that are not in linear
form. The present study may contribute as a basis knowledge and method for
further study of age estimation in archaeological and forensic anthropological
contexts, especially when only skull or base of skull are found.
PMID- 27212571
TI - Is an opt-out organ donation system desirable? Comments on a new law.
AB - A law that introduces an opt-out system for organ donation has recently come into
force in Wales. The debate surrounding the effectiveness and ethical
acceptability of an opt-out system continues, though the available data have
still not provided definitive proof that an opt-out system is more efficient than
an opt-in system. Systems based on implied consent but with specific safeguards
would appear more suitable and more respectful of ethical principles than those
based on a more aggressive form of presumed consent.
PMID- 27212572
TI - Successful endovascular treatment of a 13 cm abdominal aortic aneurysm. Case
report.
AB - There is increasing evidence in the literature that endovascular aneurysm repair
is the first-line approach for most of abdominal aortic aneurysms (AAAs).
Furthermore aortouniiliac stent graft placement is, in high risk patients or
during emergency setting, a safe procedure over the mid- and long-term period and
compares well with the results of bifurcated stent grafts. We present a case of a
66 -year-old gentleman, with pneumothorax after therapeutic thoracentesis and a
giant AAA, successfully treated with an aortomonoiliac stent grafting and femoro
femoral crossover bypass.
PMID- 27212573
TI - [Risk of nasopharyngeal cancer, Leukemia and other tumors in a cohort of
employees and students potentially exposed to (FA) formaldehyde in University
laboratories].
AB - FA was recently classified as carcinogen of second class (category 1B). A
retrospective cohort study was conducted for the evaluation of the association
between exposure to FA and cancer in professionally potentially exposed in a
University setting. The cohort was composed of 140 exposed to FA and 364 not
exposed in the period 1999-2015. The results showed no cancers of naso-pharynx
and leukemias or lymphomas both among exposed and not exposed. Moreover, the
exposure to FA is not significantly associated to an increase of other types of
tumors.
PMID- 27212574
TI - [Evaluation of liver function between indoor and outdoor workers: preliminary
results].
AB - INTRODUCTION: The aim of our study is to compare liver damage in "outdoor"
environment technicians, a category occupationally exposed, and in "indoor"
workers. MATERIALS AND METHODS: We studied 142 male technicians of the
environment exposed to urban pollution and 142 male "indoor" workers not exposed.
We compared mean and standard deviation of the following liver parameters:
glutamic oxaloacetic transaminase (GOT), glutamic pyruvic transaminase (GPT),
gamma-glutamyl-traspeptidasi (gammaGT) and alkaline phosphatase (PHA), total
bilirubin (TB) and direct (DB). We made the two groups comparable for age, length
of service, BMI, alcohol consumption and smoking habits, and excluded the workers
who presented confounding factors. RESULTS: We found statistically significant
differences about the levels of gammaGT, PHA, GPT and albumin between the
"outdoor" workers exposed and the "indoor" control group. In the outdoor group we
observed statistically significant values, GPT (51.8 +/- 30.6 I.U./l vs. 30 +/-
22.3 I.U./l; p = 0.000), gamma-GT (42.2 +/- 29.4 I.U./l vs. 22.4 +/- 20.7 I.U./l;
p = 0.000) and PHA (75.7 +/- 20.6 I.U./l vs. 59.1 +/- 19.6 I.U./l; p= 0.000)
compared to the unexposed group. No statistically significant difference emerged
between the averages for the values of GOT (25.3 +/- 20.7 I.U./l vs. 26 +/- 17.7
I.U./l; p = 0.736) in two groups. CONCLUSIONS: It clearly emerges that the
contaminants may alter the values of liver tests after prolonged exposure.
PMID- 27212575
TI - Manual reduction in acute haemorrhoids.
AB - AIM: In prolapsed internal hemorrhoids exposed outside the anus, manually
reducing the prolapse with 48 hours of commencement of anal pain, decreased the
progression of thrombosis. The aim of our study was to evaluate the effects of
manual reduction of the inflamed piles hemorrhoids. MATERIALS OF STUDY: Eleven
patients, 7 males and 4 pregnant females (in early post partum) with an average
age of 34 years +/- 8 (range 23- 52) were enrolled with anal pain cause by
haemorrhoidal congestion, but prior to full blown thrombosis. Patients underwent
a manual reduction of the external prolapsed haemorrhoidal plexus. In the 48
hours following the procedure, patients were instructed on how to insert any
prolapsed hemorrhoid (piles) themselves. Pain intensity was measured using the
visual analog scale (VAS) at time of consultation and then 10 days after the
reduction. RESULTS: At day 10 following treatment we observed a statistically
significant reduction in anal swelling (11 vs 1, n degrees pzt; p = 0.001), anal
pain (11 vs 2, n degrees pzt; p = 0.001) and VAS score (8.6 +/- 0.7 vs 0.4 +/-
1.2; p = 0.001). Two patients (18%) underwent surgical haemorrhoidectomy sec.
Milligan Morgan and 1 patient (9%) underwent excision of thrombosed external
hemorrhoids. 73% of patients did not require surgery. CONCLUSIONS: Manual
reduction of the prolapsed piles outside the anus decreased pain immediately and
it also allows postponement of surgery or any other treatment.
PMID- 27212577
TI - [Pathophysiology of aggressive behavior: evaluation and management of
pathological aggression].
AB - This work aims to define the aggression in all its forms, with notes on
management and rapid tranquilization. The pathological aggression is described as
a non-homogeneous phenomenon, it is variable in according to social,
psychological and biological agents. The distinction of violence between
affective aggression and predatory aggression can be functional to the prediction
of outcome of any treatment. In general, a pattern of predatory violence tend to
match with patients unresponsive and not compliant to treatment, a low
probability to predict future violence and, therefore, a difficulty in managing
risk. The affective aggressor, however, shows increased probability of treatment
response, with more predictability of violent actions in reaction to situations
perceived as threatening and, therefore, greater management of future violence
risk. Those who act affective violence tend to show a wide range of emotional and
cognitive problems, while those who act with predatory patterns show greater
inclination to aggression and antisocial behavior. Aggression that occurs in
psychiatry mostly appears to be affective, therefore susceptible to modulation
through treatments.
PMID- 27212576
TI - Challenging differential diagnosis between lipoma and well-differentiated
liposarcoma in the retroperitoneum. A case report.
AB - Liposarcomas are the most common type of sarcomas arising in the retroperitoneum.
Retroperitoneal lipomas are extremely rare. They should be distinguished from
well-differentiated liposarcomas in order to provide the appropriate treatment. A
53-years-old male was admitted with the presence of a palpable mass in the upper
abdomen. Contrast enhanced computerized tomography (CT) of the abdomen and pelvis
showed a 20x12 cm mass arising in the retroperitoneum and inglobing the celiac
and superior mesenteric trunks. Because of unresectability an open surgical
biopsy was performed. Histological examination showed the presence of adipose
tissue with diffuse liponecrosis without definitive features of neoplasia. At six
month follow-up a CT documented an increase of the tumor to 22x13 cm. The mass
was stable at the CT, performed at 1-year follow-up. Given the impossibility to
distinguish well-differentiated liposarcomas from lipomas and the in the present
case of unresectable retroperitoneal mass, an observational follow-up was a
justified.
PMID- 27212578
TI - Local Biologies, Leaky Things, and the Chemical Infrastructure of Global Health.
AB - This article examines how two chemical substances are woven into the
infrastructure of global health as well as into the social lives of health
workers in urban Nicaragua. One chemical is temephos, an organophosphate used to
control mosquitoes. The other is chlorine-based products, which are used to
disinfect surfaces and water. While global health projects tend to treat these
substances as stable objects, there are three ways in which they might be
understood as leaky things, implicated in fluid social interactions. First,
global health chemicals are tracked through rigid accounting, but because of
numerical leakages, they become vehicles for fashioning new forms of concern.
Second, chemicals leak structurally: They can be dissolved and reproduced at a
molecular level, although that dissolution is never absolute, and that
reproduction is not everywhere the same. Third, chemicals leak in a sensory
fashion. Sensory interactions with chemicals produce an entanglement of knowledge
about bodies and environments.
PMID- 27212579
TI - Safety of gefitinib in non-small cell lung cancer treatment.
AB - INTRODUCTION: The development of EGFR TKI and the subsequent identification of
activating EGFR mutations have dramatically changed how NSCLC is treated. With
its recent approval by the US Food and Drug Administration, gefitinib adds to the
list of recommended first-line treatments for lung cancer harboring EGFR
mutations, which hitherto includes erlotinib and afatinib. AREAS COVERED: This
review summarizes the pharmacological property, clinical efficacy, and safety of
gefitinib in major clinical trials and post-marketing studies. EXPERT OPINION:
Gefitinib is a well-tolerated treatment for advanced NSCLC. The most common
adverse events are skin reaction and diarrhea, both of which are generally mild,
noncumulative, and manageable. Other side effects such as interstitial lung
disease and liver toxicity are less common but can be serious. Which EGFR TKI is
the preferred first-line treatment is a matter of debate. Gefitinib and erlotinib
have comparable efficacy, whereas afatinib may exert superior clinical activity
over gefitinib. In terms of the most common toxicities of skin reaction and
diarrhea, gefitinib may be the most tolerable of the three. Hence, despite being
the earliest EGFR TKI developed, gefitinib continues to be one of the first-line
treatments for advanced EGFR-mutated NSCLC, especially when skin and
gastrointestinal toxicity is a concern.
PMID- 27212580
TI - Queer periods: attitudes toward and experiences with menstruation in the
masculine of centre and transgender community.
AB - Menstruation has long been viewed as an important aspect of women's health.
However, scholars and healthcare providers have only recently begun to recognise
that transgender men and people with masculine gender identities also menstruate,
thus little is known about their attitudes toward and experiences with
menstruation. A sample of masculine of centre and transgender individuals with a
mean age of 30 years was recruited online to complete measures of attitudes
toward menstruation and menstrual suppression and to answer exploratory questions
about their experiences managing menstruation. Participants reported mixed
attitudes toward menstruation, but generally positive attitudes toward menstrual
suppression. Many participants said that they try to avoid public restrooms
during menstruation because of practical and psychological concerns. Implications
of our findings for the transgender health are discussed.
PMID- 27212581
TI - Droplet microfluidics for microbiology: techniques, applications and challenges.
AB - Droplet microfluidics has rapidly emerged as one of the key technologies opening
up new experimental possibilities in microbiology. The ability to generate,
manipulate and monitor droplets carrying single cells or small populations of
bacteria in a highly parallel and high throughput manner creates new approaches
for solving problems in diagnostics and for research on bacterial evolution. This
review presents applications of droplet microfluidics in various fields of
microbiology: i) detection and identification of pathogens, ii) antibiotic
susceptibility testing, iii) studies of microbial physiology and iv)
biotechnological selection and improvement of strains. We also list the
challenges in the dynamically developing field and new potential uses of droplets
in microbiology.
PMID- 27212582
TI - Functional mitral regurgitation at discharge and outcomes in patients
hospitalized for acute decompensated heart failure with a preserved or reduced
ejection fraction.
AB - AIMS: The relationship between functional mitral regurgitation (FMR), left
ventricular ejection fraction (EF), and outcomes is unclear in acute
decompensated heart failure (HF) patients. The aim of this study was to evaluate
the relationship between FMR and post-discharge outcomes in HF patients with a
preserved or reduced EF. METHODS AND RESULTS: Of the 4842 patients enrolled in
the Acute Decompensated Heart Failure Syndromes (ATTEND) registry, 3357 patients
were evaluated to assess the association among FMR (none, mild, or
moderate/severe) at discharge, a preserved or reduced EF, and the primary
endpoint (all-cause mortality and readmission for HF). The median follow-up
period after discharge was 530 (387-800) days. According to multivariate
analysis, patients with either mild FMR or moderate/severe FMR from the preserved
EF group had a significantly higher risk of reaching the endpoint than patients
without FMR [hazard ratio (HR) 1.40; 95% confidence interval (CI) 1.14-1.72; P =
0.001 and HR 1.40; 95% CI 1.09-1.81; P = 0.009, respectively]. In the reduced EF
group, patients with moderate or severe FMR had a significantly higher risk
relative to patients without FMR (HR 1.41; 95% CI 1.07-1.86; P = 0.015), but
there was no significant association of mild FMR with the risk of reaching the
endpoint (HR 1.09; 95% CI 0.84-1.42; P = 0.510). CONCLUSION: Our findings
demonstrate that even mild FMR is associated with an increased risk of adverse
outcomes in HF patients with a preserved EF, while moderate or severe FMR (but
not mild FMR) is associated with adverse outcomes in HF patients with a reduced
EF.
PMID- 27212583
TI - Piezoelectric Templates - New Views on Biomineralization and Biomimetics.
AB - Biomineralization in general is based on electrostatic interactions and molecular
recognition of organic and inorganic phases. These principles of
biomineralization have also been utilized and transferred to bio-inspired
synthesis of functional materials during the past decades. Proteins involved in
both, biomineralization and bio-inspired processes, are often piezoelectric due
to their dipolar character hinting to the impact of a template's piezoelectricity
on mineralization processes. However, the piezoelectric contribution on the
mineralization process and especially the interaction of organic and inorganic
phases is hardly considered so far. We herein report the successful use of the
intrinsic piezoelectric properties of tobacco mosaic virus (TMV) to synthesize
piezoelectric ZnO. Such films show a two-fold increase of the piezoelectric
coefficient up to 7.2 pm V(-1) compared to films synthesized on non-piezoelectric
templates. By utilizing the intrinsic piezoelectricity of a biotemplate, we thus
established a novel synthesis pathway towards functional materials, which sheds
light on the whole field of biomimetics. The obtained results are of even broader
and general interest since they are providing a new, more comprehensive insight
into the mechanisms involved into biomineralization in living nature.
PMID- 27212585
TI - Erratum: Geo-Demography of HIV/AIDS in Japan from 1985 to 2011: Incidence and
Transmission Mode under Influence of Population Size/Density.
AB - Volume 69, no. 2, p. 97-108, 2016. Page 107, Figure 11 should appear as shown
below.
PMID- 27212584
TI - Intranasal Inactivated Influenza Vaccines: a Reasonable Approach to Improve the
Efficacy of Influenza Vaccine?
AB - Influenza is a contagious, acute respiratory disease caused by the influenza
virus. The mucosal lining in the host respiratory tract is not only the site of
virus infection, but also the site of defense; it is at this site that the host
immune response targets the virus and protects against reinfection. One of the
most effective methods to prevent influenza is to induce specific antibody (Ab)
responses in the respiratory tract by vaccination. Two types of influenza
vaccines, intranasal live attenuated influenza virus (LAIV) vaccines and
parenteral (injectable) inactivated vaccines, are currently used worldwide. These
vaccines are approved by the European Medicines Agency (EMA) and the US Food and
Drug Administration. Live attenuated vaccines induce both secretory IgA (S-IgA)
and serum IgG antibodies (Abs), whereas parenteral vaccines induce only serum IgG
Abs. However, intranasal administration of inactivated vaccines together with an
appropriate adjuvant induces both S-IgA and IgG Abs. Several preclinical studies
on adjuvant-combined, nasal-inactivated vaccines revealed that nasal S-IgA Abs, a
major immune component in the upper respiratory tract, reacted with homologous
virus hemagglutinin (HA) and were highly cross-reactive with viral HA variants,
resulting in protection and cross-protection against infection by both homologous
and variant viruses, respectively. Serum-derived IgG Abs, which are present
mainly in the lower respiratory tract, are less cross-reactive and cross
protective. In addition, our own clinical trials have shown that nasal
inactivated whole virus vaccines, including a built-in adjuvant (single-stranded
RNA), induced serum hemagglutination inhibition (HI) Ab titers that fulfilled the
EMA criteria for vaccine efficacy. The nasal-inactivated whole virus vaccines
also induced high levels of nasal HI and neutralizing Ab titers, although we have
not yet evaluated the nasal HI titers due to the lack of official criteria to
establish efficacy based on this parameter. Data suggest that adjuvant-combined
nasal-inactivated vaccines have advantages over the current injectable vaccine
because the former induce both S-IgA and serum IgG Abs. In addition, nasal
inactivated vaccines seem to be superior to the LAIV vaccines, because non
infectious preparations could be used in high-risk groups. Thus, the development
of intranasal inactivated vaccines is recommended, because such vaccines are
expected to improve the efficacy of influenza vaccines.
PMID- 27212586
TI - High critical field NbC superconductor on carbon spheres.
AB - Niobium carbide (NbC) nanoparticles embedded on the surface of carbon spheres
(CS) were synthesized at 1350 degrees C by the carbothermal reduction of niobium
oxide precursor in flowing argon (Nbc@CS). The morphology, crystal structure, and
magnetic properties of the hybrid nanocomposite were investigated by means of
electron microscopy, X-ray diffraction and a superconducting quantum interference
device. It was found that the NbC@CS nanocomposites exhibit type-II
superconductivity with a critical temperature (Tc) of 8-12 K, typical for
stoichiometric NbC. The superconducting hysteresis loop reveals several
interesting traits, including strong vortex pinning, the presence of asymmetry
and a high penetration field. Moreover, the sample shows much improved
irreversible (Hirr), lower (Hc1) and upper (Hc2) critical fields. The coherence
length (xi), penetration depth (lambda), and Ginzburg-Landau (kappa) parameters
for the sample were estimated to be 9.78 nm, 33 nm and 3.39, respectively.
PMID- 27212587
TI - Foreign body ingestion as a cause of a common carotid artery pseudoaneurysm.
PMID- 27212588
TI - Conjuring Deceptions: Fooling the Eye or Fooling the Mind?
AB - Currently, we see the contours of a new research program emerging, where
cognitive scientists study what magicians do and why it works. This research
program may aid us in formulating interesting questions about central aspects of
human experience and in gaining new perspectives on the relation between
perception and cognition.
PMID- 27212589
TI - Information integration without awareness: (Trends in Cognitive Sciences 18, 488
496; September, 2014).
PMID- 27212590
TI - Parathyroid carcinoma and atypical parathyroid neoplasms in MEN1 patients; A
clinico-pathologic challenge. The MD Anderson case series and review of the
literature.
AB - BACKGROUND: Multiple endocrine neoplasia type 1 (MEN1) is a genetic disorder
characterized by usually benign tumors of the parathyroid glands, pancreatic
islet cells, and anterior pituitary. Hyperparathyroidism (HPT) occurs in 90% of
MEN1 patients. In rare cases, it is associated with parathyroid carcinoma (PC) or
atypical parathyroid neoplasm (APN). We present a cohort of 3 such patients.
METHODS: We performed a retrospective review of our institution's MEN1 database
to identify patients who underwent operations for HPT and had a histopathologic
diagnosis of PC or APN. Clinical features, genetics, and outcomes were
summarized. RESULTS: Of 291 MEN1 patients, 242 had HPT (83.2%). Two of the 242
patients (0.8%) had a histopathologic diagnosis of PC, and 1 (0.4%) had a
diagnosis of APN. The patients with PC were male, ages 62 and 56 years at the
time of surgery; the patient with APN was female, age 32 years. All patients also
had a pancreatic endocrine tumor. The observed genetic mutations in the PC
patients were c.703G > A (p.E235K) in exon 4 and c.1378C > T (p.R460X) in exon
10. All 3 patients had recurrence of hypercalcemia, and 2 patients underwent
reoperation; pathologic analysis revealed the presence of a hyperplastic gland,
not tumor recurrence. No cases had distant metastasis. CONCLUSIONS: This is the
first report of APN in an MEN1 patient. Although rare, the presence of PC or APN
in MEN1 is noteworthy because it affects the management if hypercalcemia recurs,
possibly requiring an open approach rather than the minimally invasive techniques
used in the reoperative setting for benign disease.
PMID- 27212591
TI - New understandings of post injury coagulation and resuscitation.
AB - Coagulopathy following injury is common and it predicts poor outcomes and
increased mortality. For many decades, coagulopathy in trauma was considered as
an iatrogenic phenomenon, and clinical practice focused on a resuscitation
strategy using large volume crystalloid and packed red blood cells. The discovery
of Acute Traumatic Coagulopathy as a distinct pathophysiologic state coupled with
a transition towards balanced product resuscitation has fundamentally changed the
paradigm of trauma care and represents one of the most active areas of current
research in the field of trauma. In this review, we examine the development and
current understanding of the mechanisms, implicated mediators, and physiology of
Acute Traumatic Coagulopathy, with an emphasis on the role of the activated
Protein C pathway. We will also review the state of resuscitation practice
including the evidence for balanced product administration and the previously
under-appreciated importance of platelet count and function. Importantly, we
highlight ongoing knowledge deficits in traumatic coagulopathy and resuscitation
as directions for future investigation in order to facilitate further insight
into these rapidly evolving fields.
PMID- 27212592
TI - Adductor canal block versus continuous femoral nerve block in primary total knee
arthroplasty: A meta-analysis.
AB - OBJECTIVE: Continuous femoral nerve block (CFNB) is considered the preferred
analgesia after TKA. However, it may weaken quadriceps muscle strength,
subsequently increasing the risk of falling. Adductor canal block (ACB) is a new
sensory block technique that effectively relieves postoperative pain while
preserving quadriceps strength. Thias meta-analysis was conducted to determine
whether ACB of CFNB provides better pain relief and functional recovery after
TKA. METHOD: The PubMed, Embase, Web of Science and Cochrane Library databases
were comprehensively searched. Seven studies comparing ACB with CFNB in patients
with TKA were enrolled in our meta-analysis. Review Manager 5.3 for Windows was
used to analyse the extracted data. RESULTS: Compared with the CFNB group, the
ACB exhibited a significant decrease in visual analogue scale (VAS) score at rest
within 24 h (p < 0.00001), as well as significant decreases in the length of
hospital stay (P < 0.0001) and post-operative nausea (P = 0.03). ACB patients
also exhibited greater improvements in ambulation ability (P = 0.01) and
ambulation distance (P < 0.00001). There were no significant differences in the
VAS score at rest 8 and 48 h following surgery, nor in the ambulation VAS score
and opioid consumption two days following surgery. CONCLUSIONS: Compared with
CFNB, ACB provides equally effective analgesia after TKA. ACB results in fast
pain relief and early ambulation while decreasing post-operative nausea. ACB thus
has the potential to replace CFNB as the gold standard for pain management in TKA
patients.
PMID- 27212593
TI - Rates, trends, and short-term outcomes of colorectal resections for
endometriosis: An ACS-NSQIP review.
AB - BACKGROUND: There is a paucity of literature regarding rates, trends, and
outcomes of women with endometriosis undergoing elective colorectal resections in
the United States. It was been suggested that endometriosis requiring colorectal
resection is well suited for minimally invasive surgery. MATERIALS AND METHODS:
The American College of Surgeons National Surgical Quality Improvement Project
(ACS-NSQIP) database was searched from 2005 to 2014 for all elective colorectal
resections performed for endometriosis. The proportion of resections was compared
over time using a Cochran-Armitage test for trend. Univariate comparisons were
made between patients with laparoscopic vs open resections. RESULTS: A total of
101,686 women underwent elective colorectal resections, of which 268 (0.26%) were
performed for endometriosis. The proportion of endometriosis as the indication
for resection increased over time (R(2) = 0.77). Mean age was 42.9 +/- 8.4 years
with 72.8% non-Hispanic white. Median body mass index was 25.8 [interquartile
range: 23.0, 31.5]. Overall, patients were healthy (82.8% American Society of
Anesthesiologists classification I or II). Median length of stay was 4 [3, 6]
days and 14.2% suffered any complication, with 9.0% having a minor complication
and 7.1% having a major complication. There were no 30-day mortalities. The
majority of cases (97.4%) were performed by a general/colorectal surgeon and the
remainder by gynecologists. Forty-five (16.8%) underwent concurrent hysterectomy.
Comparing open (n = 124) to laparoscopic approaches (n = 144) revealed no
differences other than a longer length of stay (5 vs 4 days, p < 0.001) and
operative time (180.5 vs 138.5 min, p = 0.02) in the open group. CONCLUSIONS:
Endometriosis is a rare but increasing indication for elective colorectal
resection at participating hospitals. The short-term outcomes after colorectal
resection in this young and healthy population are acceptable and our data
suggests that minimally invasive surgery should be considered in these patients.
PMID- 27212595
TI - Regulation of inflammatory responses by the autonomic nervous system.
AB - It has long been suggested that various aspects of the immune system is affected
by the activity of the nervous system. However, how the inputs from the nervous
system are converted into the outputs from the immune system had been largely
unclear. Studies in the last decade revealed the cellular and molecular basis by
which inputs from the autonomic nervous system control the development and
functions of immune cells. The principal autonomic neurotransmitters,
acetylcholine and noradrenaline, are involved in immune regulation in the context
of inflammation through various molecular pathways. Circadian rhythm in the
activity of the autonomic nervous system produces fluctuations of immune
functions during a day. This review integrates the current knowledge about the
autonomic regulation of inflammatory responses and provide therapeutic
implications for inflammatory diseases.
PMID- 27212594
TI - The molecular mechanisms contributing to the pathophysiology of systemic
inflammatory response after acute aortic dissection.
AB - Type B acute aortic dissection (AAD) spares the ascending aorta and is optimally
managed by medical therapy in the absence of complications. However, patients
with enhanced inflammation sometimes present with aortic enlargement, thereby
facing undesirable outcomes. Thus, a better understanding of the molecular and
cellular mechanisms involved in AAD-associated inflammatory processes and the
requirement for a novel therapeutic approach for patients with type B AAD are
unmet clinical needs. This study showed that dissection per se induced neutrophil
chemoattractant chemokine expression in the aortic tunica adventitia, possibly by
mechanical injury and stretching followed by pseudolumen formation. Subsequent
systemic changes in chemokine-dependent signaling caused neutrophilia and massive
neutrophil accumulation in the dissected aorta, thereby leading to aortic
enlargement and rupture via interleukin-6 production. Importantly, temporal and
spatial dynamics of inflammatory cytokine and chemokine elevation, as well as
leukocyte recruitment, were consistent between rodents and humans. Our study
provides a new mechanistic insight into neutrophil-mediated adventitial
inflammation after AAD and implicates CXCR2- or interleukin-6 neutralization as
novel therapeutic strategies to prevent large-artery complications, including
aneurysm formation and rupture, in patients with type B AAD.
PMID- 27212596
TI - Pathological mechanism of secondary-progressive multiples sclerosis and its
animal model.
AB - Development of acute experimental autoimmune encephalomyelitis (EAE) depends on
Th17 cells expressing the nuclear factor NR4A2, which we have previously reported
to be upregulated in peripheral blood T cells from patients of multiple sclerosis
(MS). EAE induced in mice lacking NR4A2 in T cells showed a great reduction in
Th17-mediated acute symptoms, whereas a late-onset disease independent of NR4A2
was still inducible. We identified cytotoxic T-cell-like CD4+ T cells expressing
the T-box transcription factor Eomesodermin (Eomes) as a pathogenic component for
the development of the late-onset disease. Furthermore, T cell-specific deletion
of the Eomes gene or Eomes-specific RNA interference in vivo remarkably
ameliorated the late-onset EAE. Intriguingly, similar Eomes-expressing CD4+ T
cells are increased in the peripheral blood and cerebrospinal fluid only from
patients with secondary-progressive MS accompanied by neurodegenerative symptoms,
but not in relapsing-remitting MS. Mechanistic analysis revealed that granzyme B
was secreted by Eomes-expressing CD4+ T cells and the activation of protease
activated receptor-1 by granzyme B is involved in the neuroinflammation observed
in the late-onset EAE.
PMID- 27212597
TI - Pathogenic Th cell subsets in chronic inflammatory diseases.
AB - CD4(+) T cells play central roles to appropriate protection against pathogens.
While, they can also be pathogenic driving inflammatory diseases. Besides the
classical model of differentiation of T helper 1 (Th1) and Th2 cells, various
CD4(+) T cell subsets, including Th17, Th9, T follicular helper (Tfh) and T
regulatory (Treg) cells, have been recognized recently. In this review, we will
focus on how these various CD4(+) T cell subsets contribute to the pathogenesis
of immune-mediated inflammatory diseases. We will also discuss various unique
subpopulations of T helper cells that have been identified. Recent advancement of
the basic immunological research revealed that T helper cells are plastic than we
imagined. So, we will focus on the molecular mechanisms underlying the generation
of the plasticity and heterogeneity of T helper cell subsets. These latest
finding regarding T helper cell subsets has pushed us to reconsider the etiology
of immune-mediated inflammatory diseases beyond the model based on the
conventional Th1/Th2 balance. Toward this end, we put forward another model, "the
pathogenic Th population disease induction model", as a possible mechanism for
the induction and/or persistence of immune-mediated inflammatory diseases.
PMID- 27212598
TI - Dynamic analysis of immune inflammation and bone destruction by intravital
imaging.
AB - Rapid development of fluorescent imaging techniques enables us to understand
cellular dynamics in vivo. We have originally established an advanced imaging
system for visualizing living bone tissues with intravital two-photon microscopy.
By means of the system, we have recently succeeded in visualization of the in
vivo behavior of living mature osteoclasts on the bone surface, and identified
different functional subsets of osteoclasts in terms of their motility and
function, i.e., 'static - bone resorptive' and 'moving - non resorptive'.
Pathological conditions changed the composition of these populations as well as
the total number of mature osteoclasts. We also found that RANKL-bearing Th17
cells could control bone resorption of mature osteoclasts, demonstrating novel
actions of Th17. Furthermore, we have also developed the imaging system to
visualize bone destruction by osteoclasts in arthritic joints using intravital
two-photon microscopy. In this review, we summarize the latest data of intravital
imaging of osteoclast dynamics, and also discuss its further application.
PMID- 27212599
TI - Fever of unknown origin in the outpatient setting: A retrospective analysis of 30
cases of familial Mediterranean fever.
AB - BACKGROUND: In Japan, familial Mediterranean fever (FMF) is a rare cause of fever
of unknown origin (FUO). However, we experienced an extraordinary number of FMF
cases over 3 years. This suggests that many patients with FMF remain misdiagnosed
in Japan. This study examines the clinical picture of FMF to assist Japanese
clinicians in daily practice dealing with FUO. PATIENTS AND METHODS: Three years
of medical records were reviewed, and 38 patients with FMF or suspected FMF were
collected from our patient database. We applied the Tel-Hashomer criteria to
those patients. RESULTS: Of the 38 patients, 30 were classified as having FMF in
this investigation. The mean patient age was 27.8 years. MEFV gene mutations were
detected in 14 patients. Three cases were colchicine-resistant. CONCLUSION:
Clinicians should recognize the pattern of short, spontaneously resolving attacks
of fever with fever-free intervals, especially when they see patients with
recurrent FUO in the outpatient setting.
PMID- 27212600
TI - Reliability and clinical utility of Enzyme-linked immunosorbent assay for
detection of anti-aminoacyl-tRNA synthetase antibody.
AB - Anti-aminoacyl-tRNA synthetase (ARS) antibody is one of the myositis-specific
autoantibodies to make a diagnosis of polymyositis (PM) and dermatomyositis (DM).
Recently a new enzyme-linked immunosorbent assay (ELISA) kit of concurrently
detected anti-ARS antibodies (anti-Jo-1, anti-PL-7, anti-PL-12, anti-EJ and anti
KS) have become to measure in the clinical setting. To evaluate the reliability
of this ELISA kit, we measured anti-ARS antibodies in 75 PM and DM patients using
by this ELISA assay and compared them with the results by RNA immunoprecipitation
assay. Between the measurements of anti-PL-7, anti-PL-12, anti-EJ and anti-KS
autoantibodies by ELISA assay and RNA-IP assay, the concordance rate of
reproducibility is 95.1% and the positive agreement rate is 90.9% and negative
agreement rate is 96.0% and kappa statistic is 0.841. Between the measurements of
existing anti-Jo-1 antibody ELISA kit and anti-ARS antibody ELISA kit, the
concordance rate of reproducibility is 96.9%, the positive agreement rate is
100%, negative agreement rate is 96.1% and kappa statistic is 0.909. The lung
involvement in patients with PM and DM patients are positive of anti-ARS
antibodies and anti-melanoma differentiation associated gene5 (MDA5) antibody at
a rate around 70%. Then most life-threatening ILD with anti-MDA5 positive
clinically amyopathic dermatomyositis patients could be highly guessed when anti
ARS antibodies are negative.
PMID- 27212601
TI - A case of systemic lupus erythematosus (SLE) following Human papillomavirus (HPV)
vaccination.
AB - A 15-year-old young woman received the Human papillomavirus (HPV) vaccines.
Following the second HPV vaccination, intermittent fever, myalgia, arthritis and
malar rash developed, and she was admitted to our hospital. Laboratory studies
showed positive results for antinuclear antibody, anti-dsDNA antibody and anti-Sm
antibody. Systemic lupus erythematosus (SLE) was diagnosed according to the
Systemic Lupus International Collaborative Clinics 2012. Magnetic resonance
imaging showed abnormal hyperintense areas in the fascia, and en bloc biopsy
showed fasciitis. Treatment with prednisolone resulted in an amelioration of the
symptoms. Reportedly, SLE developed after HPV vaccinations in some patients. Most
such patients have a past or family history of autoimmune disease and presented
SLE symptoms after the second vaccination. We describe herein a patient in whom
SLE developed in association with HPV vaccination.
PMID- 27212602
TI - Anti-EJ antibody positive interstitial lung disease with skin changes at the
fingertips.
AB - Antisynthetase syndrome is characterized by the presence of anti-aminoacyl-tRNA
synthetase antibodies and characteristic clinical features. We report an anti-EJ
antibody-positive case presenting an ILD with slight hyperkeratotic skin changes
on the fingertips that appeared simultaneously with respiratory symptoms. We
suspected those skin changes of a disease manifestation of antisynthetase
syndrome, and thus investigated anti-synthetase antibodies. This case implies
that broader spectrum of the patients should fall in antisynthetase syndrome even
though the present diagnostic criteria call for mechanic's hand as a skin
manifestation. Careful examination of the finger skin and antibody testing should
lead to a proper understanding of the pathological processes.
PMID- 27212604
TI - A new class of large band gap quantum spin hall insulators: 2D fluorinated group
IV binary compounds.
AB - We predict a new class of large band gap quantum spin Hall insulators, the
fluorinated PbX (X = C, Si, Ge and Sn) compounds, that are mechanically stable
two-dimensional materials. Based on first principles calculations we find that,
while the PbX systems are not topological insulators, all fluorinated PbX (PbXF2)
compounds are 2D topological insulators. The quantum spin Hall insulating phase
was confirmed by the explicitly calculation of the Z2 invariant. In addition we
performed a thorough investigation of the role played by the (i) fluorine
saturation, (ii) crystal field, and (iii) spin-orbital coupling in PbXF2. By
considering nanoribbon structures, we verify the appearance of a pair of
topologically protected Dirac-like edge states connecting the conduction and
valence bands. The insulating phase which is a result of the spin orbit
interaction, reveals that this new class of two dimensional materials present
exceptional nontrivial band gaps, reaching values up to 0.99 eV at the Gamma
point, and an indirect band gap of 0.77 eV. The topological phase is arisen
without any external field, making this system promising for nanoscale
applications, using topological properties.
PMID- 27212605
TI - Expressing the sweet potato orange gene in transgenic potato improves drought
tolerance and marketable tuber production.
AB - Potato (Solanum tuberosum L.) is generally considered to be sensitive to drought
stress. Even short periods of water shortage can result in reduced tuber
production and quality. We previously reported that transgenic potato plants
expressing the sweet potato orange gene (IbOr) under the control of the stress
inducible SWPA2 promoter (referred to as SOR plants) showed increased tolerance
to methyl viologen-mediated oxidative stress and high salinity, along with
increased carotenoid contents. In this study, in an effort to improve the
productivity and environmental stress tolerance of potato, we subjected
transgenic potato plants expressing IbOr to water-deficient conditions in the
greenhouse. The SOR plants exhibited increased tolerance to drought stress under
greenhouse conditions. IbOr expression was associated with slightly negative
phenotypes, including reduced tuber production. Controlling IbOr expression
imparted the same degree of drought tolerance while ameliorating these negative
phenotypic effects, leading to levels of tuber production similar to or better
than those of wild-type plants under drought stress conditions. In particular,
under drought stress, drought tolerance and the production of marketable tubers
(over 80g) were improved in transgenic plants compared with non-transgenic
plants. These results suggest that expressing the IbOr transgene can lead to
significant gains in drought tolerance and tuber production in potato, thereby
improving these agronomically important traits.
PMID- 27212606
TI - Why Isn't There More High-fidelity Simulation Training in Diagnostic Radiology?
Results of a Survey of Academic Radiologists.
AB - RATIONALE AND OBJECTIVES: Despite its increasing use in training other medical
specialties, high-fidelity simulation to prepare diagnostic radiology residents
for call remains an underused educational resource. To attempt to characterize
the barriers toward adoption of this technology, we conducted a survey of
academic radiologists and radiology trainees. MATERIALS AND METHODS: An
Institutional Review Board-approved survey was distributed to the Association of
University Radiologists members via e-mail. Survey results were collected
electronically, tabulated, and analyzed. RESULTS: A total of 68 survey responses
representing 51 programs were received from program directors, department chairs,
chief residents, and program administrators. The most common form of educational
activity for resident call preparation was lectures. Faculty supervised "baby
call" was also widely reported. Actual simulated call environments were quite
rare with only three programs reporting this type of educational activity.
Barriers to the use of simulation include lack of faculty time, lack of faculty
expertise, and lack of perceived need. CONCLUSIONS: High-fidelity simulation can
be used to mimic the high-stress, high-stakes independent call environment that
the typical radiology resident encounters during the second year of training, and
can provide objective data for program directors to assess the Accreditation
Council of Graduate Medical Education milestones. We predict that this technology
will begin to supplement traditional diagnostic radiology teaching methods and to
improve patient care and safety in the next decade.
PMID- 27212607
TI - Ex Vivo Renal Stone Characterization with Single-Source Dual-Energy Computed
Tomography: A Multiparametric Approach.
AB - RATIONALE AND OBJECTIVES: We aimed to investigate a multiparametric approach
using single-source dual-energy computed tomography (ssDECT) for the
characterization of renal stones. MATERIALS AND METHODS: ssDECT scans were
performed at 80 and 140 kVp on 32 ex vivo kidney stones of 3-10 mm in a phantom.
True composition was determined by infrared spectroscopy to be uric acid (UA; n =
14), struvite (n = 7), cystine (n = 7), or calcium oxalate monohydrate (n = 4).
Measurements were obtained for up to 52 variables, including mean density at 11
monochromatic keV levels, effective Z, and multiple material basis pairs. The
data were analyzed with five multiparametric algorithms. After omitting 8 stones
smaller than 5 mm, the remaining 24-stone dataset was similarly analyzed. Both
stone datasets were also analyzed with a subset of 14 commonly used variables in
the same fashion. RESULTS: For the 32-stone dataset, the best method for
distinguishing UA from non-UA stones was 97% accurate, and for distinguishing the
non-UA subtypes was 72% accurate. For the 24-stone dataset, the best method for
distinguishing UA from non-UA stones was 100% accurate, and for distinguishing
the non-UA subtypes was 75% accurate. CONCLUSION: Multiparametric ssDECT methods
can distinguish UA from non-UA stones of 5 mm or larger with 100% accuracy. The
best model to distinguish the non-UA renal stone subtypes was 75% accurate.
Further refinement of this multiparametric approach may increase the diagnostic
accuracy of separating non-UA subtypes and assist in the development of a
clinical paradigm for in vivo use.
PMID- 27212608
TI - Enrichment and identification of biosurfactant-producing oil field microbiota
utilizing electron acceptors other than oxygen and nitrate.
AB - Microorganisms indigenous to an oil reservoir were grown in media containing
either sucrose or proteins in four steel vessels under anoxic conditions at 30
degrees C and 8.3MPa for 30days, to enrich biosurfactant producers. Fermentation
of substrate was possible in the protein-containing medium and either
fermentation or respiration through reduction of sulfate occurred in the sucrose
containing medium. Growth of microorganisms led to 3.4-5.4-fold surface tension
reduction indicating production of biosurfactants in amounts sufficient for
enhancement of gas-driven oil recovery. Analysis of sequenced cpn60 amplicons
showed that Pseudomonas sp. highly similar to biosurfactant producing P.
fluorescens and to Pseudomonas sp. strain TKP predominated, and a bacterium
highly similar to biosurfactant producing Bacillus mojavensis was present in
vessels. Analysis of 16S rDNA amplicons allowed only genus-level identification
of these bacteria. Thus, cpn60-amplicon analysis was a more relevant tool for
identification of putative biosurfactant producers than 16S rDNA-amplicon
analysis.
PMID- 27212609
TI - [The role of oxidative stress in placental-related diseases of pregnancy].
AB - In normal pregnancies, the earliest stages of development take place in a low
oxygen (O2) environment. This physiological hypoxia of the early gestational sac
protects the developing fetus against the deleterious and teratogenic effects of
O2free radicals. Oxidative stress is manifested at the maternal-fetal interface
from early pregnancy onwards. In early pregnancy, a well-controlled oxidative
stress plays a role in modulating placental development, functions and
remodelling. Focal trophoblastic oxidative damage and progressive villous
degeneration trigger the formation of the fetal membranes, which is an essential
developmental step enabling vaginal delivery. Our data have demonstrated that the
first trimester placenta in humans is histiotrophic and not haemochorial. The
development and maintenance of a physiological O2 gradient between the uterine
and fetal circulations is also essential for placental functions, such as
transport and hormonal synthesis. Pathological oxidative stress arises when the
production of reactive O2 species overwhelms the intrinsic anti-oxidant defences
causing indiscriminate damage to biological molecules, leading to loss of
function and cell death. We here review the role of oxidative stress in the
pathophysiology of miscarriage, pre-eclampsia and fetal growth restriction.
PMID- 27212603
TI - The entangled ER-mitochondrial axis as a potential therapeutic strategy in
neurodegeneration: A tangled duo unchained.
AB - Endoplasmic reticulum (ER) and mitochondrial function have both been shown to be
critical events in neurodegenerative diseases. The ER mediates protein folding,
maturation, sorting as well acts as calcium storage. The unfolded protein
response (UPR) is a stress response of the ER that is activated by the
accumulation of misfolded proteins within the ER lumen. Although the molecular
mechanisms underlying ER stress-induced apoptosis are not completely understood,
increasing evidence suggests that ER and mitochondria cooperate to signal cell
death. Similarly, calcium-mediated mitochondrial function and dynamics not only
contribute to ATP generation and calcium buffering but are also a linchpin in
mediating cell fate. Mitochondria and ER form structural and functional networks
(mitochondria-associated ER membranes [MAMs]) essential to maintaining cellular
homeostasis and determining cell fate under various pathophysiological
conditions. Regulated Ca(2+) transfer from the ER to the mitochondria is
important in maintaining control of pro-survival/pro-death pathways. In this
review, we summarize the latest therapeutic strategies that target these
essential organelles in the context of neurodegenerative diseases.
PMID- 27212611
TI - [Predictive and prognostic factors of preeclampsia: Interest of PlGF and sFLT-1].
AB - Preeclampsia is characterized by the association of hypertension and a de novo
proteinuria in the second half of pregnancy. Currently, obstetrical teams do not
have any tool to detect during the first trimester of pregnancy, in low risk
population, the patients likely to develop early and severe preeclampsia. On the
other hand, there is no diagnostic/prognostic tool in case of strong suspicion of
preeclampsia. The Placental Growth Factor (PIGF) and soluble receptor of the
Vascular Endothelial Growth Factor (sFlt-1) are respectively two molecules pro-
and anti-angiogenic released mainly by the placenta during pregnancy. Numerous
experimental and clinical results suggest that an imbalance of pro/anti
angiogenic factors is involved in the pathophysiology of preeclampsia. We
selected and analyzed the main studies that have evaluated the predictive,
diagnostic and prognostic value of these two biomarkers for preeclampsia.
PMID- 27212612
TI - [What's the optimal time of cesarean section antibiotic prophylaxis, before skin
incision or after umbilical cord clamping? A prospective randomized study].
AB - OBJECTIVES: To compare the effect of antibiotics prophylaxis within 30 mins
before skin incision (A) and after umbilical cord clamping (C) on the incidence
of postoperative infections in patients undergoing elective caesarean section at
Farhat Hached university teaching hospital. MATERIALS AND METHODS: We conducted a
randomised clinical trial evaluating 279 patients undergoing elective caesarean
section. Patients were randomly assigned a group number that allocated them to
either arm of the study. They received the same prophylactic antibiotic
(cefazol(r) 2g) according to their allotment. They were followed up to detect
infection up to 30 days postoperatively. The primary outcome was postoperative
infection. The data collected were analysed with SPSS version 18.0 using
univariate and bivariate analysis. RESULTS: The risk of overall postoperative
infection was not significantly lower when prophylaxis was given before skin
incision (4.37 % (A) vs 9.85 % (C); P=0.07; OR=0.42 [0.15-1.12]). We also found
wound infections to be significantly reduced in the pre-incision group (2.2 % [A]
vs 8.45 % [C]; P=0.03; OR=0.24 [0.06-0.88]). However, there was no difference in
the endometritis infectious. On the other hand, there was no negative impact on
the neonatal features. CONCLUSIONS: Giving prophylactic antibiotics before skin
incision reduces risk of postoperative infection, in particular of wound
infections.
PMID- 27212614
TI - The association between patient safety culture and burnout and sense of
coherence: A cross-sectional study in restructured and not restructured intensive
care units.
AB - OBJECTIVES: To study the associations between registered nurses' (RNs) perception
of the patient safety culture (safety culture) and burnout and sense of
coherence, and to compare the burnout and sense of coherence in restructured and
not restructured intensive care units (ICUs). DESIGN: Cross-sectional study.
PARTICIPANTS/SETTING: RNs employed at seven ICUs in six hospitals at a Norwegian
Hospital Trust. One to four years before the study, three hospitals merged their
general and medical ICUs into one general mixed ICU. METHOD: The safety culture,
burnout and sense of coherence were measured with the questionnaires Hospital
Survey on Patient Safety Culture, Bergen Burnout Indicator and Sense of
Coherence. Participant characteristics and working in restructured and not
restructured ICUs were registered. RESULTS: In total, 143/289(49.5%) RNs
participated. A positive safety culture was statistically significantly
associated with a low score for burnout and a strong sense of coherence. No
statistically significant differences were found in burnout and sense of
coherence between RNs in the restructured and not restructured ICUs. CONCLUSIONS:
In this study, a positive safety culture was associated with absence of burnout
and high ability to cope with stressful situations. Burnout and sense of
coherence were independent of the restructuring process.
PMID- 27212613
TI - [Rhabdomyosarcoma of adult genital tract: A short review].
AB - Rhabdomyosarcoma, most common soft tissue tumor in children, represent 8% of
solid tumors in children. Conversely, in adults, this histology is very rare and
no consensual recommendation is supported. If gynecological localization is one
of the most frequent in children, it is a minority in adults. The management of
this type of tumor is based on treatment multimodality combining surgery,
chemotherapy, radiotherapy and brachytherapy. This pathological separate entity
differs from other sarcomas by its greater sensitivity to chemotherapy and
radiotherapy. The aim of this study is to conduct a general review of diagnostic
and treatment of genital tract rhabdomyosarcoma in adults, and to report
pathological characteristics of this type of tumor.
PMID- 27212616
TI - Obstetric Anaesthesia 2016: Manchester.
PMID- 27212615
TI - Evaluation of Drug Concentrations Delivered by Microiontophoresis.
AB - Microiontophoresis uses an electric current to eject a drug solution from a glass
capillary and is often utilized for targeted delivery in neurochemical
investigations. The amount of drug ejected, and its effective concentration at
the tip, has historically been difficult to determine, which has precluded its
use in quantitative studies. To address this, a method called controlled
iontophoresis was developed which employs a carbon-fiber microelectrode
incorporated into a multibarreled iontophoretic probe to detect the ejection of
electroactive species. Here, we evaluate the accuracy of this method. To do this,
we eject different concentrations of quinpirole, a D2 receptor agonist, into a
brain slice containing the dorsal striatum, a brain region with a high density of
dopamine terminals. Local electrical stimulation was used to evoke dopamine
release, and inhibitory actions of quinpirole on this release were examined. The
amount of drug ejected was estimated by detection of a coejected electrochemical
marker. Dose response curves generated in this manner were compared to curves
generated by conventional perfusion of quinpirole through the slice. We find
several experimental conditions must be optimized for accurate results. First,
selection of a marker with an identical charge was necessary to mimic the
ejection of the cationic agonist. Next, evoked responses were more precise
following longer periods between the end of the ejection and stimulation. Lastly,
the accuracy of concentration evaluations was improved by longer ejections.
Incorporation of these factors into existing protocols allows for greater
certainty of concentrations delivered by controlled iontophoresis.
PMID- 27212618
TI - The economic burden of severe hypoglycemia: Two sides of the same coin. Comment
on G. Veronese and Coll. Costs associated with emergency care and hospitalization
for severe hypoglycemia.
PMID- 27212619
TI - Sulforaphane reduces advanced glycation end products (AGEs)-induced inflammation
in endothelial cells and rat aorta.
AB - BACKGROUND AND AIMS: Advanced glycation end products (AGEs)-receptor RAGE
interaction evokes oxidative stress and inflammatory reactions, thereby being
involved in endothelial cell (EC) damage in diabetes. Sulforaphane is generated
from glucoraphanin, a naturally occurring isothiocyanate found in widely consumed
cruciferous vegetables, by myrosinase. Sulforaphane has been reported to protect
against oxidative stress-mediated cell and tissue injury. However, effects of
sulforaphane on AGEs-induced vascular damage remain unclear. METHODS AND RESULTS:
In this study, we investigated whether and how sulforaphane could inhibit
inflammation in AGEs-exposed human umbilical vein ECs (HUVECs) and AGEs-injected
rat aorta. Sulforaphane treatment for 4 or 24 h dose-dependently inhibited the
AGEs-induced increase in RAGE, monocyte chemoattractant protein-1 (MCP-1),
intercellular adhesion molecule-1 (ICAM-1), and vascular cell adhesion molecular
1 (VCAM-1) gene expression in HUVECs. AGEs significantly stimulated MCP-1
production by, and THP-1 cell adhesion to, HUVECs, both of which were prevented
by 1.6 MUM sulforaphane. Sulforaphane significantly suppressed oxidative stress
generation and NADPH oxidase activation evoked by AGEs in HUVECs. Furthermore,
aortic RAGE, ICAM-1 and VCAM-1 expression in AGEs-injected rats were increased,
which were suppressed by simultaneous infusion of sulforaphane. CONCLUSION: The
present study demonstrated for the first time that sulforaphane could inhibit
inflammation in AGEs-exposed HUVECs and AGEs-infused rat aorta partly by
suppressing RAGE expression through its anti-oxidative properties. Inhibition of
the AGEs-RAGE axis by sulforaphane might be a novel therapeutic target for
vascular injury in diabetes.
PMID- 27212617
TI - Association of early protein intake and pre-peritoneal fat at five years of age:
Follow-up of a randomized clinical trial.
AB - BACKGROUND AND AIMS: The double-blind randomized European Childhood Obesity
Project (CHOP) demonstrated that reduced protein content in infant formula leads
to a lower body mass index (BMI) up to six years of age. Here we aimed at
assessing pre-peritoneal fat, a marker of visceral fat, in children participating
in the CHOP trial. METHODS AND RESULTS: Healthy term formula-fed infants in five
European countries were randomized either to higher (n = 550) or lower (n = 540)
protein formulas in the first year of life. Infants who were exclusively
breastfed for at least three months (n = 588) were enrolled as an observational
(non randomized) group. At age 5 years, subcutaneous fat (SC) and pre-peritoneal
fat (PP) were measured by ultrasound in a subgroup of 275 children. The PP fat
layer was thicker in the higher compared to the lower protein group (adjusted
estimated difference: 0.058 cm, 95%CI 0.002; 0.115; p = 0.043), while SC fat was
not different. Girls showed a thicker SC fat layer than boys. CONCLUSIONS: Higher
protein intake in formula-fed infants appears to enhance pre-peritoneal fat
tissue accumulation at the age of 5 years, but not of subcutaneous fat, which may
trigger adverse metabolic and health consequences.
PMID- 27212620
TI - Serum beta-cryptoxanthin and beta-carotene derived from Satsuma mandarin and
brachial-ankle pulse wave velocity: The Mikkabi cohort study.
AB - BACKGROUND AND AIMS: Findings of observational studies suggest cardioprotective
effects of antioxidant vitamins and carotenoids. However, recent meta-analyses
failed to show the beneficial effects of supplemental intake of antioxidants on
cardiovascular disease (CVD). We aimed to assess the association between CVD risk
and beta-cryptoxanthin in Japan, where Satsuma mandarin, a major source of beta
cryptoxanthin, is widely consumed. METHODS AND RESULTS: This was part of the
Mikkabi cohort study. Surveys were conducted at baseline, in 2003 and 2005, and
on follow-up in 2006, 2009, and 2013. We examined brachial-ankle pulse wave
velocity (baPWV) with a high cut-off value set at 18.3 m s(-1). Hazard ratios
(HR) and 95% confidence intervals for high baPWV were estimated using a Cox
proportional hazards model with adjustment for potential confounders. A total of
635 participants with baPWV of less than 18.3 m s(-1) at baseline were included
in the analysis. During the follow-up period of 57,921 person-months, 99 subjects
developed high baPWV. After multivariate adjustment, the HR for high baPWV in the
highest tertile compared with the lowest tertile was significantly low for beta
cryptoxanthin, beta-carotene, and total carotenoids. Serum concentrations of beta
cryptoxanthin and beta-carotene were higher in people who ate Satsuma mandarin
frequently. Compared with <1/d intake of Satsuma mandarin, 3-4/d was associated
with a low risk of high PWV. CONCLUSION: This study indicated that beta
cryptoxanthin and beta-carotene derived from Satsuma mandarin are candidate
micronutrients for preventing arteriosclerosis development. Further longitudinal
and interventional studies will be required to validate the effect on CVD.
PMID- 27212621
TI - Interaction between GPR120 p.R270H loss-of-function variant and dietary fat
intake on incident type 2 diabetes risk in the D.E.S.I.R. study.
AB - BACKGROUND AND AIMS: GPR120 (encoded by FFAR4) is a lipid sensor that plays an
important role in the control of energy balance. GPR120 is activated by long
chain fatty acids (FAs) including omega-3 FAs. In humans, the loss of function
p.R270H variant of the gene FFAR4 has been associated with a lower protein
activity, an increased risk of obesity and higher fasting plasma glucose levels.
The aim of this study was to investigate whether p.R270H interacts with dietary
fat intake to modulate the risk of type 2 diabetes (T2D, 198 incident; 368
prevalent cases) and overweight (787 incident and 2891 prevalent cases) in the
prospective D.E.S.I.R. study (n = 5,212, 9 years follow-up). METHODS AND RESULTS:
The association of p.R270H with dietary fat and total calories was assessed by
linear mixed models. The interaction between p.R270H and dietary fat on T2D and
overweight was assessed by logistic regression analysis. The p.R270H variant had
a minor allele frequency of 1.45% and was not significantly associated with total
calories intake, fat intake or the total calories derived from fat (%). However,
there was a significant interaction between p.R270H and dietary fat modulating
the incidence of T2D (Pinteraction = 0.02) where the H-carriers had a higher risk
of T2D than RR homozygotes in the low fat intake category only. The interaction
between p.R270H and fat intake modulating the incidence and prevalence of
overweight was not significant. CONCLUSION: The p.R270H variant of GPR120
modulates the risk of T2D in interaction with dietary fat intake in the
D.E.S.I.R.
PMID- 27212623
TI - Interfering with the CCL2-glycosaminoglycan axis as a potential approach to
modulate neuroinflammation.
AB - Multiple Sclerosis, a chronic inflammatory demyelinating disease of the central
nervous system, involves an increased expression of monocyte chemotactic protein
1 MCP1-/CCL2. For exerting its chemotactic effects, chemokine binding to
glycosaminoglycans (GAGs) is required and therefore this interaction represents a
potential target for therapeutic intervention. We have designed an anti
inflammatory decoy variant, Met-CCL2 (Y13A S21K Q23R), embodying increased
affinity for GAGs as well as knocked-out GPCR activation properties. This non
signalling dominant-negative mutant is shown here to be able to displace wild
type CCL2 from GAGs by which it is supposed to interfere with the chemokine
related inflammatory response. In vivo, the anti-inflammatory properties were
successfully demonstrated in a murine model of zymosan-induced peritonitis as
well as in an experimental autoimmune encephalomyelitis, a model relevant for
multiple sclerosis, where the compound lead to significantly reduced clinical
scores due to reduction of cellular infiltrates and demyelination in spinal cord
and cerebellum. These findings indicate a promising potential for future
therapeutic development.
PMID- 27212624
TI - How the brain detects invariance and inhibits variance during category induction.
AB - A stepwise category-learning task was designed to examine brain activation
associated with invariance detection and variance inhibition during category
induction (CI). Three stimuli were displayed sequentially and participants were
asked to learn the target category based on the invariant feature among stimuli.
The processes of invariance detection and variance inhibition were necessary
during certain events; however, these processes were not required for other
events. Functional magnetic resonance imaging (fMRI) results indicated that the
processes of detecting invariant features and inhibiting variant features were
associated with significant activation in the left prefrontal cortex, including
the left superior frontal gyrus, middle frontal gyrus, and mid-ventrolateral
prefrontal cortex, as well as other regions (e.g., bilateral parietal cortex and
putamen). These findings confirmed the important role of the fronto-parietal
network and striatum in the invariance detection of category learning.
PMID- 27212622
TI - Sex differences in food choices, adherence to dietary recommendations and plasma
lipid profile in type 2 diabetes - The TOSCA.IT study.
AB - BACKGROUND AND AIMS: Diabetic women have a more adverse plasma lipid profile than
men. Sex differences in dietary habits may play a role, but are little
investigated. The study evaluates the quality of diet, adherence to the
nutritional recommendations of the Diabetes and Nutrition Study Group and their
relation with plasma lipid in men and women with diabetes. METHODS AND RESULTS:
We studied 2573 people, aged 50-75, enrolled in the TOSCA.IT study
(clinicaltrials.gov; NCT00700856). Plasma lipids were measured centrally. Diet
was assessed with a semi-quantitative food frequency questionnaire. Women had a
more adverse plasma lipid profile than men. Women consumed significantly more
legumes, vegetables, fruits, eggs, milk, vegetable oils, and added sugar, whereas
men consumed more starchy foods, soft drinks and alcoholic beverages. This stands
for a higher proportion (%) of energy intake from saturated fat and added sugar
(12.0 +/- 2.4 vs 11.5 +/- 2.5 and 3.4 +/- 3.2 vs 2.3 +/- 3.2, P < 0.04), and a
higher intake of fiber (11.2 +/- 2.8 vs 10.4 +/- 2.6 g/1000 Kcal/day) in women.
Adherence to the recommendations for saturated fat and fiber consumption was
associated with significantly lower LDL-cholesterol regardless of sex. Adherence
to the recommendations for added sugars was associated with significantly lower
triglycerides and higher HDL-cholesterol in men and women. CONCLUSIONS: Men and
women with diabetes show significant differences in adherence to nutritional
recommendations, but sex differences in plasma lipid profile are unlikely to be
explained by nutritional factors. Adherence to the nutritional recommendations is
associated with a better plasma lipid profile regardless of sex, thus reinforcing
the importance of substituting saturated for unsaturated fat sources, increasing
fiber and reducing added sugar intake.
PMID- 27212625
TI - Direct regulation of LAMP1 by tumor-suppressive microRNA-320a in prostate cancer.
AB - Advanced prostate cancer (PCa) metastasizes to bone and lymph nodes, and
currently available treatments cannot prevent the progression and metastasis of
the disease. Therefore, an improved understanding of the molecular mechanisms of
the progression and metastasis of advanced PCa using current genomic approaches
is needed. Our miRNA expression signature in castration-resistant prostate cancer
(CRPC) revealed that microRNA-320a (miR-320a) was significantly reduced in cancer
tissues, suggesting that miR-320a may be a promising anticancer miRNA. The aim of
this study was to investigate the functional roles of miR-320a in naive PCa and
CRPC cells and to identify miR-320a-regulated genes involved in PCa metastasis.
The expression levels of miR-320a were significantly reduced in naive PCa, CRPC
specimens, and PCa cell lines. Restoration of mature miR-320a in PCa cell lines
showed that miR-320a significantly inhibited cancer cell migration and invasion.
Moreover, we found that lysosomal-associated membrane protein 1 (LAMP1) was a
direct target of miR-320a in PCa cells. Silencing of LAMP1 using siRNA
significantly inhibited cell proliferation, migration, and invasion in PCa cells.
Overexpression of LAMP1 was observed in PCa and CRPC clinical specimens.
Moreover, downstream pathways were identified using si-LAMP1-transfected cells.
The discovery of tumor-suppressive miR-320a-mediated pathways may provide
important insights into the potential mechanisms of PCa metastasis.
PMID- 27212626
TI - Successful in vivo MRI tracking of MSCs labeled with Gadoteridol in a Spinal Cord
Injury experimental model.
AB - In this study, murine Mesenchymal Stem Cells (MSCs) labeled with the clinically
approved MRI agent Gadoteridol through a procedure based on the hypo-osmotic
shock were successfully tracked in vivo in a murine model of Spinal Cord Injury
(SCI). With respect to iso-osmotic incubations, the hypo-osmotic labeling
significantly increased the Gd(3+) cellular uptake, and enhanced both the
longitudinal relaxivity (r1) of the intracellular Gadoteridol and the Signal to
Noise Ratio (SNR) measured on cell pellets, without altering the biological and
functional profile of cells. A substantial T1 Contrast Enhancement after local
transplantation of 3.0*10(5) labeled cells in SCI mice enabled to follow their
migratory dynamics in vivo for about 10days, and treated animals recovered from
the motor impairment caused by the injury, indicating unaltered therapeutic
efficacy. Finally, analytical and histological data corroborated the imaging
results, highlighting the opportunity to perform a precise and reliable
monitoring of the cell-based therapy.
PMID- 27212627
TI - Anti-parasitic effect on Toxoplasma gondii induced by BnSP-7, a Lys49
phospholipase A2 homologue from Bothrops pauloensis venom.
AB - Toxoplasmosis affects a third of the global population and presents high
incidence in tropical areas. Its great relevance in public health has led to a
search for new therapeutic approaches. Herein, we report the antiparasitic
effects of BnSP-7 toxin, a Lys49 phospholipase A2 (PLA2) homologue from Bothrops
pauloensis snake venom, on Toxoplasma gondii. In an MTT assay, BnSP-7 presented
significant cytotoxicity against host HeLa cells at higher doses (200 MUg/mL to
50 MUg/mL), whereas lower doses (25 MUg/mL to 1.56 MUg/mL) produced low
cytotoxicity. Furthermore, the toxin showed no effect on T. gondii tachyzoite
viability when evaluated by trypan blue exclusion, but decreased both adhesion
and parasite proliferation when tachyzoites were treated before infection. We
also measured cytokines in supernatants collected from HeLa cells infected with
T. gondii tachyzoites previously treated with RPMI or BnSP-7, which revealed
enhancement of only MIF and IL-6 cytokines levels in supernatants of HeLa cells
after BnSP-7 treatment. Our results showed that the BnSP-7 PLA2 exerts an anti
Toxoplasma effect at a lower dose than that required to induce cytotoxicity in
HeLa cells, and also modulates the immune response of host cells. In this sense,
the anti-parasitic effect of BnSP-7 PLA2 demonstrated in the present study opens
perspectives for use of this toxin as a tool for future studies on toxoplasmosis.
PMID- 27212628
TI - Broadening the neutralizing capacity of a family of antibody fragments against
different toxins from Mexican scorpions.
AB - New approaches aimed at neutralizing the primary toxic components present in
scorpion venoms, represent a promising alternative to the use of antivenoms of
equine origin in humans. New potential therapeutics developed by these approaches
correspond to neutralizing antibody fragments obtained by selection and
maturation processes from libraries of human origin. The high sequence identity
shared among scorpion toxins is associated with an important level of cross
reactivity exhibited by these antibody fragments. We have exploited the cross
reactivity showed by single chain variable antibody fragments (scFvs) of human
origin to re-direct the neutralizing capacity toward various other scorpion
toxins. As expected, during these evolving processes several variants derived
from a parental scFv exhibited the capacity to simultaneously recognize and
neutralize different toxins from Centruroides scorpion venoms. A sequence
analyses of the cross reacting scFvs revealed that specific mutations are
responsible for broadening their neutralizing capacity. In this work, we
generated a set of new scFvs that resulted from the combinatorial insertion of
these point mutations. These scFvs are potential candidates to be part of a novel
recombinant antivenom of human origin that could confer protection against
scorpion stings. A remarkable property of one of these new scFvs (ER-5) is its
capacity to neutralize at least three different toxins and its complementary
capacity to neutralize the whole venom from Centruroides suffusus in combination
with a second scFv (LR), which binds to a different epitope shared by
Centruroides scorpion toxins.
PMID- 27212629
TI - Development and validation of a high-throughput online solid phase extraction -
Liquid chromatography - Tandem mass spectrometry method for the detection of
tetrodotoxin in human urine.
AB - Tetrodotoxin (TTX) is an extremely potent paralytic toxin responsible for yearly
illness and death around the world. A clinical measurement is necessary to
confirm exposure because symptoms of TTX intoxication cannot be distinguished
from other paralytic toxins. Our group has developed an online solid phase
extraction hydrophilic interaction liquid chromatography (HILIC) method for the
analysis of TTX in human urine with tandem mass spectrometry. The reportable
range for the method was 2.80 - 249 ng/mL in urine with precision and accuracy
within 15% as determined for all quality control samples. No isotopically-labeled
internal standard is available for TTX; thus a surrogate internal standard,
voglibose, was investigated to compensate for matrix effects and ionization
suppression. However, upon evaluation, voglibose was ineffective for this
purpose. This new online method rapidly identifies TTX, facilitating the work of
public health authorities and providing support to monitoring programs worldwide.
PMID- 27212630
TI - The voltage-gated sodium ion channel inhibitory activities of a new tetrodotoxin
analogue, 4,4a-anhydrotetrodotoxin, and three other analogues evaluated by
colorimetric cell-based assay.
AB - The voltage-gated sodium ion channel inhibitory activities of four tetrodotoxin
analogues were evaluated for their ability to reduce the cytotoxicity of ouabain
and veratridine in mouse neuroblastoma Neuro-2a cells. EC50 of the novel
analogue, 4,4a-anhydrotetrodotoxin purified from pufferfish, was 750 fold larger
than that of tetrodotoxin, supporting the implication of 4-OH in activity. The
high activity of 11-oxotetrodotoxin was confirmed. Modification of C-6 of 11
nortetrodotoxin-6,6-diol to form an oxime derivative decreased the activity to
1/22.
PMID- 27212631
TI - Supporting research leadership in Africa.
PMID- 27212632
TI - Supporting research leadership in Africa.
PMID- 27212634
TI - Severe Systolic Anterior Motion After Aortic Valve Replacement and Cox MAZE
Surgery.
AB - Surgical aortic valve replacement may be complicated by severe dynamic left
ventricular outflow tract obstruction and cardiogenic shock in the postoperative
period. We present a patient who developed severe anterior motion following
aortic valve and Cox MAZE surgery which necessitated a mitral valve replacement.
doi: 10.1111/jocs.12763 (J Card Surg 2016;31:429-431).
PMID- 27212635
TI - Pharmacokinetic Modeling to Simulate the Concentration-Time Profiles After Dermal
Application of Rivastigmine Patch.
AB - Rivastigmine is an inhibitor of acetylcholinesterases and butyrylcholinesterases
for symptomatic treatment of Alzheimer disease and is available as oral and
transdermal patch formulations. A dermal absorption pharmacokinetic (PK) model
was developed to simulate the plasma concentration-time profile of rivastigmine
to answer questions relative to the efficacy and safety risks after misuse of the
patch (e.g., longer application than 24 h, multiple patches applied at the same
time, and so forth). The model comprised 2 compartments which was a combination
of mechanistic dermal absorption model and a basic 1-compartment model. The
initial values for the model were determined based on the physicochemical
characteristics of rivastigmine and PK parameters after intravenous
administration. The model was fitted to the clinical PK profiles after single
application of rivastigmine patch to obtain model parameters. The final model was
validated by confirming that the simulated concentration-time curves and PK
parameters (Cmax and area under the drug plasma concentration-time curve)
conformed to the observed values and then was used to simulate the PK profiles of
rivastigmine. This work demonstrated that the mechanistic dermal PK model fitted
the clinical data well and was able to simulate the PK profile after patch
misuse.
PMID- 27212633
TI - Respiratory Syncytial Virus whole-genome sequencing identifies convergent
evolution of sequence duplication in the C-terminus of the G gene.
AB - Respiratory Syncytial Virus (RSV) is responsible for considerable morbidity and
mortality worldwide and is the most important respiratory viral pathogen in
infants. Extensive sequence variability within and between RSV group A and B
viruses and the ability of multiple clades and sub-clades of RSV to co-circulate
are likely mechanisms contributing to the evasion of herd immunity. Surveillance
and large-scale whole-genome sequencing of RSV is currently limited but would
help identify its evolutionary dynamics and sites of selective immune evasion. In
this study, we performed complete-genome next-generation sequencing of 92 RSV
isolates from infants in central Tennessee during the 2012-2014 RSV seasons. We
identified multiple co-circulating clades of RSV from both the A and B groups.
Each clade is defined by signature N- and O-linked glycosylation patterns.
Analyses of specific RSV genes revealed high rates of positive selection in the
attachment (G) gene. We identified RSV-A viruses in circulation with and without
a recently reported 72-nucleotide G gene sequence duplication. Furthermore, we
show evidence of convergent evolution of G gene sequence duplication and fixation
over time, which suggests a potential fitness advantage of RSV with the G
sequence duplication.
PMID- 27212636
TI - Cytochrome P450 Activity in Ex Vivo Cornea Models and a Human Cornea Construct.
AB - The pharmacokinetic behaviors of novel ophthalmic drugs are often preliminarily
investigated in preclinical studies using ex vivo animal cornea or corneal cell
culture models. During transcorneal passage, topically applied drugs may be
affected by drug metabolizing enzymes. The knowledge regarding the functional
expression of metabolic enzymes in corneal tissue is marginal; thus, the aim of
this study was to investigate cytochrome P450 activity in an organotypic three
dimensional human cornea construct and to compare it with porcine and rabbit
corneas, which are commonly used ex vivo cornea models. The total cytochrome P450
activity was determined by measuring the transformation of 7-ethoxycoumarin.
Furthermore, the expression of the cytochrome P450 enzyme 2D6 (CYP2D6) was
investigated at the protein level using immunohistochemistry and western
blotting. CYP2D6 activity measurements were performed using a d-luciferin-based
assay. In summary, similar levels of the total cytochrome P450 activity were
identified in all 3 cornea models. The protein expression of CYP2D6 was confirmed
in the human cornea construct and porcine cornea, whereas the signals in the
rabbit cornea were weak. The analysis of the CYP2D6 activity indicated similar
values for the human cornea construct and porcine cornea; however, a distinctly
lower activity was observed in the rabbit cornea.
PMID- 27212639
TI - Temperature-dependent effect of percolation and Brownian motion on the thermal
conductivity of TiO2-ethanol nanofluids.
AB - Ethanol-based nanofluids have attracted much attention due to the enhancement in
heat transfer and their potential applications in nanofluid-type fuels and
thermal storage. Most research has been conducted on ethanol-based nanofluids
containing various nanoparticles in low mass fraction; however, to-date such
studies based on high weight fraction of nanoparticles are limited due to the
poor stability problem. In addition, very little existing work has considered the
inevitable water content in ethanol for the change of thermal conductivity. In
this paper, the highly stable and well-dispersed TiO2-ethanol nanofluids of high
weight fraction of up to 3 wt% can be fabricated by stirred bead milling, which
enables the studies of thermal conductivity of TiO2-ethanol nanofluids over a
wide range of operating temperatures. Our results provide evidence that the
enhanced thermal conductivity is mainly contributed by the percolation network of
nanoparticles at low temperatures, while it is in combination with both Brownian
motion and local percolation of nanoparticle clustering at high temperatures.
PMID- 27212637
TI - Genetic variant in CXCL13 gene is associated with susceptibility to intrauterine
infection of hepatitis B virus.
AB - Intrauterine infection of hepatitis B virus (HBV), which accounts for the
majority of mother-to-child transmission, is one of the main reasons for the
failure of combined immunoprophylaxis against the transmission. Recent studies
have identified that genetic background might influence the susceptibility to
intrauterine infection of HBV. We conducted this study to investigate the
associations between 10 genetic variants in 9 genes (SLC10A1, HLA-DP, HLA-C,
CXCR5, CXCL13, TLR3, TLR4, TLR9 and UBE2L3) of mothers and their neonates and HBV
intrauterine infection. A significantly decreased risk of HBV intrauterine
transmission were found among mothers who carried the rs355687 CT genotypes in
CXCL13 gene compared to those with CC genotypes (OR = 0.25, 95% CI, 0.08-0.82, P
= 0.022); and a marginally significantly decreased risk was also observed under
the dominant model (OR = 0.34, 95% CI, 0.11-1.01, P = 0.052). Besides, neonatal
rs3130542 in HLA-C gene was found to be marginally significantly associated with
decreased risk of HBV intrauterine infection under the additive model (OR = 0.55,
95% CI, 0.29-1.04, P = 0.064). However, we found no evidence of associations
between the remaining 8 SNPs and risk of HBV intrauterine infection among mothers
and their neonates. In conclusion, this study suggested that genetic variant in
CXCL13 gene was associated with susceptibility to intrauterine infection of HBV.
PMID- 27212638
TI - PLPP/CIN regulates bidirectional synaptic plasticity via GluN2A interaction with
postsynaptic proteins.
AB - Dendritic spines are dynamic structures whose efficacies and morphologies are
modulated by activity-dependent synaptic plasticity. The actin cytoskeleton plays
an important role in stabilization and structural modification of spines.
However, the regulatory mechanism by which it alters the plasticity threshold
remains elusive. Here, we demonstrate the role of pyridoxal-5'-phosphate
phosphatase/chronophin (PLPP/CIN), one of the cofilin-mediated F-actin
regulators, in modulating synaptic plasticity in vivo. PLPP/CIN transgenic (Tg)
mice had immature spines with small heads, while PLPP/CIN knockout (KO) mice had
gigantic spines. Furthermore, PLPP/CIN Tg mice exhibited enhanced synaptic
plasticity, but KO mice showed abnormal synaptic plasticity. The PLPP/CIN-induced
alterations in synaptic plasticity were consistent with the acquisition and the
recall capacity of spatial learning. PLPP/CIN also enhanced N-methyl-D-aspartate
receptor (GluN) functionality by regulating the coupling of GluN2A with
interacting proteins, particularly postsynaptic density-95 (PSD95). Therefore,
these results suggest that PLPP/CIN may be an important factor for regulating the
plasticity threshold.
PMID- 27212640
TI - [Experience in the surgical treatment of paranasal sinus mucoceles in a
university hospital].
AB - BACKGROUND: Mucoceles are benign cystic lesions of the paranasal sinuses.
Endoscopic marsupialisation is considered the first choice of treatment, due to
its low morbidity and recurrence rates. OBJECTIVE: To establish the number of
patients with recurrence, who were diagnosed clinically or by computed
tomography, and who were submitted to surgery in the Ear, Nose and Throat Unit in
a tertiary university hospital. MATERIAL AND METHODS: A clinical, cross
sectional, descriptive, observational and retrospective study was conducted on
patients with a mucocele diagnosis operated on in the period from January 2006 to
December 2013. A descriptive statistical analysis was performed to obtain the
frequencies, ratios and proportions. Measures of central tendency and dispersion
were obtained. The recurrence rates of each surgical technique were compared
using the Chi-squared test. RESULTS: Of the 59 patients included in the study, 39
were female and 20 were men. The most common location was in the maxillary sinus
(22 patients) followed by frontoethmoidal (20 patients). There was a recurrence
of 9% in those submitted to a surgical procedure. The endoscopic approach was
used in 51 patients, 8 cases were combined (open plus endoscopic), and there was
no open approach. There was a recurrence in 7 of 51 of patients with endoscopic
surgery, and one out of 8 patients had a recurrence with a combined technique.
CONCLUSIONS: No statistically significant relationship was found between the type
of surgery and recurrence, or between the presence or absence of a predisposing
factor and recurrence.
PMID- 27212641
TI - The equilibrium molecular structures of 2-deoxyribose and fructose by the
semiexperimental mixed estimation method and coupled-cluster computations.
AB - Fructose and deoxyribose (24 and 19 atoms, respectively) are too large for
determining accurate equilibrium structures, either by high-level ab initio
methods or by experiments alone. We show in this work that the semiexperimental
(SE) mixed estimation (ME) method offers a valuable alternative for equilibrium
structure determinations in moderate-sized molecules such as these
monosaccharides or other biochemical building blocks. The SE/ME method proceeds
by fitting experimental rotational data for a number of isotopologues, which have
been corrected with theoretical vibration-rotation interaction parameters
(alphai), and predicate observations for the structure. The derived SE constants
are later supplemented by carefully chosen structural parameters from medium
level ab initio calculations, including those for hydrogen atoms. The combined
data are then used in a weighted least-squares fit to determine an equilibrium
structure (r). We applied the ME method here to fructose and 2-deoxyribose and
checked the accuracy of the calculations for 2-deoxyribose against the high level
ab initio r structure fully optimized at the CCSD(T) level. We show that the ME
method allows determining a complete and reliable equilibrium structure for
relatively large molecules, even when experimental rotational information
includes a limited number of isotopologues. With a moderate computational cost
the ME method could be applied to larger molecules, thereby improving the
structural evidence for subtle orbital interactions such as the anomeric effect.
PMID- 27212643
TI - Molecular cloning, characterization, tissue distribution and mRNA expression
changes during the hibernation and reproductive periods of estrogen receptor
alpha (ESR1) in Chinese alligator, Alligator sinensis.
AB - Chinese alligator, Alligator sinensis, is a critically endangered reptile species
unique to China. Little is known about the mechanism of growth- and reproduction
related hormones gene expression in Chinese alligator. Estrogens play important
roles in regulating multiple reproduction- and non-reproduction-related functions
by binding to their corresponding receptors. Here, the full-length cDNA of
estrogen receptor alpha (ERalpha/ESR1) was cloned and sequenced from Chinese
alligator for the first time, which comprises 1764bp nucleotides and encodes a
predicted protein of 587 amino acids. Phylogenetic analysis of ESR1 showed that
crocodilians and turtles were the sister-group of birds. The results of real-time
quantitative PCR indicated that the ESR1 mRNA was widely expressed in the brain
and peripheral tissues. In the brain and pituitary gland, ESR1 was most highly
transcribed in the cerebellum. But in other peripheral tissues, ESR1 mRNA
expression level was the highest in the ovary. Compared with hibernation period,
ESR1 mRNA expression levels were increased significantly in the reproductive
period (P<0.05) in cerebellum, pituitary gland, liver, spleen, lung, kidney and
ovary, while no significant change in other examined tissues (P>0.05). The ESR1
mRNA expression levels changes during the two periods of different tissues
suggested that ESR1 might play an important role in mediation of estrogenic
multiple reproductive effects in Chinese alligator. Furthermore, it was the first
time to quantify ESR1 mRNA level in the brain of crocodilians, and the
distribution and expression of ESR1 mRNA in the midbrain, cerebellum and medulla
oblongata was also reported for the first time in reptiles.
PMID- 27212642
TI - Depression in individuals with chronic obstructive pulmonary disease and their
informal caregivers.
AB - OBJECTIVE: Individuals with chronic obstructive pulmonary disease (COPD) and
their caregivers are at high risk for developing depression. Depression can
adversely affect the quality of life of patients and caregivers; however, studies
in COPD have largely examined predictors of patient and caregiver depression in
isolation. This dyadic study examined individual-level predictors of patient and
caregiver depression in COPD (i.e. actor effects) as well as how dyad members
effect each other's depression (i.e. partner effects). METHODS: Survey data were
collected from 89 patient-caregiver dyads that were enrolled in a multi-site
cohort study. RESULTS: Participants were predominantly women (61% of patients and
76% of caregivers) and racial/ethnic minorities (65% of patients and 63% of
caregivers). Based on PHQ9 cutoffs, 30% of patients and 20% of caregivers had
mild depression; 30% of patients and 8% of caregivers had moderate to severe
depression. Multilevel models with the dyad as the unit of analysis showed that
less frequent patient self-management, higher levels of caregiver burden, and
being in poorer health were associated with higher levels of depression for both
dyad members. Higher levels of depression in a partner were also associated with
higher levels of depression for women, regardless of whether women were patients
or caregivers. CONCLUSION: Findings suggest that similar factors predict patient
and caregiver depression in COPD and that women are at increased risk for
developing depression when their partners are depressed. Dyadic psychosocial
interventions that target patients and their caregivers may thus be beneficial in
terms of addressing depression in this this vulnerable population.
PMID- 27212644
TI - Degrees of Freedom: Your Future in Biomedical Research.
PMID- 27212645
TI - Type VII Collagen Replacement Therapy in Recessive Dystrophic Epidermolysis
Bullosa-How Much, How Often?
AB - Recessive dystrophic epidermolysis bullosa is a devastating blistering disease
caused by mutations in the COL7A1 gene, which encodes type VII collagen, the
major component of anchoring fibrils. The anchoring fibrils in patients with
recessive dystrophic epidermolysis bullosa can be morphologically altered,
reduced in number, or absent entirely. There is no specific treatment for this
disease, but recent advances in gene, protein replacement, or cell-based
therapies, with the purpose of delivering functional type VII collagen to the
skin, have shown encouraging results in both preclinical and clinical settings.
One critical issue is the stability of type VII collagen in anchoring fibrils,
which will ultimately determine the dose and frequency of administration of the
missing protein. Kuhl et al. attempted to determine the half-life of type VII
collagen in the skin, tongue, and esophagus of genetically altered mice that
express type VII collagen constitutively, but with its expression abrogated by
genetic manipulation. Their results revealed a half-life much shorter than
previously anticipated, some 30 days. These findings have implications for
strategies to be used for protein replacement therapy, and they also suggest that
the basement membrane components at the dermal-epidermal junction are subject to
ongoing remodeling and turnover.
PMID- 27212646
TI - Low-Dose Isotretinoin: An Option for Difficult-to-Treat Papulopustular Rosacea.
AB - Rosacea is a chronic disease with a profound impact on quality of life. Although
there are a range of treatments for its many manifestations, some cases are
difficult to treat. Sbidian et al. show in this double-blind, randomized, placebo
controlled trial that low-dose isotretinoin can be effective in treating
difficult-to-treat and frequently relapsing papulopustular rosacea.
PMID- 27212647
TI - What Lies Beneath: Wnt/beta-Catenin Signaling and Cell Fate in the Lower Dermis.
AB - Dermal cell populations are markedly heterogeneous, and they have the capacity to
differentiate into dynamic and complex dermal cell compartments. However, the
regulatory processes that govern the establishment of each dermal subset remain
unknown. Mastrogiannaki et al. provide evidence of Wnt/beta-catenin signaling
controlling adipogenic differentiation in the developing reticular dermis. They
also show that overexpression of localized Wnt converts dermal adipose cells into
a distinct fibroblast subtype, which leads to fibrosis and disrupted hair
follicle cycling. These findings highlight the multifaceted roles of Wnt
signaling in the normal development and pathology of skin, including the
establishment of dermal identity. Further understanding of Wnt involvement and
uncovering the roles of specific Wnt ligands could be useful for discovering new
therapeutic targets in treating fibrosis-related disorders.
PMID- 27212648
TI - Canine and Human Atopic Dermatitis: Two Faces of the Same Host-Microbe
Interaction.
AB - Host-microbe interaction has been suggested to play a critical role in the
pathogenesis of atopic dermatitis. The dog has been shown to be the best model to
study both pathogenesis and microbiome modifications in atopic dermatitis.
Bradley et al. show a significant correlation between microbiome diversity,
clinical signs, and skin barrier function in atopic dogs before, during, and
after antimicrobial therapy.
PMID- 27212650
TI - Erratum.
PMID- 27212649
TI - Erratum.
PMID- 27212651
TI - Erratum.
PMID- 27212652
TI - Research Techniques Made Simple: Monitoring of T-Cell Subsets using the ELISPOT
Assay.
AB - The enzyme-linked immunospot (ELISPOT) assay allows characterization of single
cell immune responses through detection of secreted analytes. Although ELISPOT
analysis shares similarities with ELISA, it has some essential differences. In
general, the ELISPOT assay uses antibodies to capture and detect analytes of
interest released by activated immune cells. Released analytes form specific
antibody-antigen complexes and are visualized as spots of enzyme-substrate
precipitates. These spots indicate both how many cells secrete the respective
analyte and how much analyte is produced per individual cell. Initially developed
for the detection of antibody-secreting cells, ELISPOT assays are now frequently
performed both in the context of clinical diagnostics and in research on T-cell
responses, in particular antigen-specific T-cell subpopulations, as related to
allergy, cancer, infections, or autoimmune diseases. The one spot-one cell
principle allows sensitive detection of specific and rare immune cell subsets.
Here we present general principles, applications, and recent modifications of the
ELISPOT technique.
PMID- 27212655
TI - Accumulation of apoptosis-insensitive human bone marrow-mesenchymal stromal cells
after long-term expansion.
AB - Cells undergo replicative senescence during in vitro expansion, which is induced
by the accumulation of cellular damage caused by excessive reactive oxygen
species. In this study, we investigated whether long-term-cultured human bone
marrow mesenchymal stromal cells (MSCs) are insensitive to apoptotic stimulation.
To examine this, we established replicative senescent cells from long-term
cultures of human bone marrow MSCs. Senescent cells were identified based on
declining population doublings, increased expression of senescence markers p16
and p53 and increased senescence-associated beta-gal activity. In cell viability
assays, replicative senescent MSCs in late passages (i.e. 15-19 passages)
resisted damage induced by oxidative stress more than those in early passages did
(i.e. 7-10 passages). This resistance occurred via caspase-9 and caspase-3 rather
than via caspase-8. The senescent cells are gradually accumulated during long
term expansion. The oxidative stress-sensitive proteins ataxia-telangiectasia
mutated and p53 were phosphorylated, and the expression of apoptosis molecules
Bax increased, and Bcl-2 decreased in early passage MSCs; however, the expression
of the apoptotic molecules did less change in response to apoptotic stimulation
in late-passage MSCs, suggesting that the intrinsic apoptotic signalling pathway
was not induced by oxidative stress in long-term-cultured MSCs. Based on these
results, we propose that some replicative senescent cells may avoid apoptosis
signalling via impairment of signalling molecules and accumulation during long
term expansion. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27212656
TI - A cross-national analysis of the effects of methadone maintenance and needle and
syringe program implementation on incidence rates of HIV in Europe from 1995 to
2011.
AB - Although many studies have found an association between harm reduction
interventions and reductions in incidence rates of Human Immunodeficiency Virus
(HIV) infection, scant research explores the effects of harm reduction cross
nationally. This study used a year- and country-level fixed effects model to
estimate the potential effects of needle-and-syringe programs (NSPs) and
methadone maintenance therapy (MMT) on incidence rates of HIV in the general
population and among people who inject drugs (PWID), in a sample of 28 European
nations. After adjusting for Gross Domestic Product (GDP) and total expenditures
on healthcare, we identified significant associations between years of MMT and
NSP implementation and lower incidence rates of HIV among PWID and the general
population. In addition to years of implementation of NSP and MMT, the greater
proportion of GDP spent on healthcare was associated with a decrease in logged
incidence rates of HIV. The findings of this study suggest that MMT and NSP may
reduce incidence rates of HIV among PWID cross-nationally. The current study
opens a new avenue of exploration, which allows for a focus on countrywide
policies and economic drivers of the epidemic. Moreover, it highlights the
immense importance of the adoption of harm reduction programs as empirically
based health policy as well as the direct benefits that are accrued from public
spending on healthcare on incidence rates of HIV within the general population
and among subpopulations of PWID.
PMID- 27212657
TI - The microbiomes and metagenomes of forest biochars.
AB - Biochar particles have been hypothesized to provide unique microhabitats for a
portion of the soil microbial community, but few studies have systematically
compared biochar communities to bulk soil communities. Here, we used a
combination of sequencing techniques to assess the taxonomic and functional
characteristics of microbial communities in four-year-old biochar particles and
in adjacent soils across three forest environments. Though effects varied between
sites, the microbial community living in and around the biochar particles had
significantly lower prokaryotic diversity and higher eukaryotic diversity than
the surrounding soil. In particular, the biochar bacterial community had
proportionally lower abundance of Acidobacteria, Planctomycetes, and beta
Proteobacteria taxa, compared to the soil, while the eukaryotic biochar community
had an 11% higher contribution of protists belonging to the Aveolata superphylum.
Additionally, we were unable to detect a consistent biochar effect on the genetic
functional potential of these microbial communities for the subset of the genetic
data for which we were able to assign functions through MG-RAST. Overall, these
results show that while biochar particles did select for a unique subset of the
biota found in adjacent soils, effects on the microbial genetic functional
potential appeared to be specific to contrasting forest soil environments.
PMID- 27212658
TI - Exposure and risk assessment of the Czech population to chlorinated pesticides
and polychlorinated biphenyls using archived serum samples from the period 1970
to 1990.
AB - The serum samples from the years 1970-1990 archived at the temperature of -20
degrees C in the biobank primarily intended for serological survey performed in
the CR since 1960 were pooled and analyzed for DDT, its metabolites, HCB, HCHs,
and indicator PCB congeners using up-to-date GC/MS/MS methods to retrospectively
assess health risks according to current health guidelines. Samples were pooled
based on the decade of sampling, age, gender, and three geographical areas; in
adults, one pooled samples consisted of ten and in children of twenty individual
samples. Altogether 233 pooled samples were analyzed. For all organochlorine
pesticides (OCPs), significant downward trends were observed in the period 1970
1990 (p<0.001). The levels of HCB exceeded the Biomonitoring Equivalent (BE)
value. The hazard quotient (HQ) in Prague and Ostrava during the 1970s and 1980s
was about 40 and in the 1990s it had dropped to about five. In Uherske Hradiste,
the HQ in 1975 was one order of magnitude higher (about 170), and had decreased
to approximately 12 by 1987. For both HCB and the DDT sum, the BE-related
carcinogenic risk of actual concentrations in the past exceeded significantly the
individually accepted cancer risk level of 10(-4). The levels of the main PCB
congeners in the 1970s through 1990s revealed an upward time trend in all
analyzed strata. The highest concentrations were found in the serum of residents
from the hot-spot area Uherske Hradiste. Critical PCB sum concentration levels
(700ng/g lipid for vulnerable population groups and 1800ng/g lipid for other
population groups) were substantially exceeded with an increasing time trend. PCB
sum had exceeded HBM II values of 7MUg/L of serum since 1980 in all age strata.
In conclusion, the body burden of the Czech general population relative to
persistent organic pollutants (POPs) in the period 1970 through 1990
significantly exceeded currently existing health based limit values. The past
exposure might adversely affect the health status of the Czech population.
PMID- 27212659
TI - Intracellular Action of a Secreted Peptide Required for Fungal Virulence.
AB - Quorum sensing (QS) is a bacterial communication mechanism in which secreted
signaling molecules impact population function and gene expression. QS-like
phenomena have been reported in eukaryotes with largely unknown contributing
molecules, functions, and mechanisms. We identify Qsp1, a secreted peptide, as a
central signaling molecule that regulates virulence in the fungal pathogen
Cryptococcus neoformans. QSP1 is a direct target of three transcription factors
required for virulence, and qsp1Delta mutants exhibit attenuated infection,
slowed tissue accumulation, and greater control by primary macrophages. Qsp1
mediates autoregulatory signaling that modulates secreted protease activity and
promotes cell wall function at high cell densities. Peptide production requires
release from a secreted precursor, proQsp1, by a cell-associated protease, Pqp1.
Qsp1 sensing requires an oligopeptide transporter, Opt1, and remarkably,
cytoplasmic expression of mature Qsp1 complements multiple phenotypes of
qsp1Delta. Thus, C. neoformans produces an autoregulatory peptide that matures
extracellularly but functions intracellularly to regulate virulence.
PMID- 27212660
TI - Zika Virus Targets Human STAT2 to Inhibit Type I Interferon Signaling.
AB - The ongoing epidemic of Zika virus (ZIKV) illustrates the importance of
flaviviruses as emerging human pathogens. All vector-borne flaviviruses studied
thus far have to overcome type I interferon (IFN) to replicate and cause disease
in vertebrates. The mechanism(s) by which ZIKV antagonizes IFN signaling is
unknown. Here, we report that the nonstructural protein NS5 of ZIKV and other
flaviviruses examined could suppress IFN signaling, but through different
mechanisms. ZIKV NS5 expression resulted in proteasomal degradation of the IFN
regulated transcriptional activator STAT2 from humans, but not mice, which may
explain the requirement for IFN deficiency to observe ZIKV-induced disease in
mice. The mechanism of ZIKV NS5 resembles dengue virus (DENV) NS5 and not its
closer relative, Spondweni virus (SPOV). However, unlike DENV, ZIKV did not
require the E3 ubiquitin ligase UBR4 to induce STAT2 degradation. Hence,
flavivirus NS5 proteins exhibit a remarkable functional convergence in IFN
antagonism, albeit by virus-specific mechanisms.
PMID- 27212661
TI - Identifying the Target Cells and Mechanisms of Merkel Cell Polyomavirus
Infection.
AB - Infection with Merkel cell polyomavirus (MCPyV) can lead to Merkel cell carcinoma
(MCC), a lethal form of skin cancer. However, the skin cell type productively
infected by MCPyV remains a central question. We combined cell culture and ex
vivo approaches to identify human dermal fibroblasts as natural host cells that
support productive MCPyV infection. Based on this, we established a cell culture
model for MCPyV infection, which will facilitate investigation of the oncogenic
mechanisms for this DNA virus. Using this model, we discovered that induction of
matrix metalloproteinase (MMP) genes by the WNT/beta-catenin signaling pathway
and other growth factors stimulates MCPyV infection. This suggests that MCC risk
factors such as UV radiation and aging, which are known to stimulate WNT
signaling and MMP expression, may promote viral infection and thus drive MCC. Our
study also introduces the FDA-approved MEK antagonist trametinib as an effective
inhibitor for controlling MCPyV infection.
PMID- 27212663
TI - Association between depression severity and cardiac autonomic modulation.
AB - OBJECTIVE: Changes in autonomic modulation are found in depressive patients. QT
dispersion is a convenient measure of cardiac autonomic modulation (CAM). As both
QT dispersion and depression are related to changes in CAM, this study aimed to
examine if there was an association between depression severity and QT
dispersion. METHODS: The selected sample (n=60) derived from 236 women who were
recruited via a campaign for breast cancer prevention. The women selected to
participate were all non-smokers and were not taking any drug that could
interfere with the results. After applying inclusion and exclusion criteria, 60
women were selected. QT dispersion (QTd) and rate-corrected QTd (QTcD) were
calculated in 37 physically and mentally healthy women and 23 nontreated
depressive women. Univariate ANOVA(s) were used to test group differences. The 17
item Hamilton Depression Rating Scale (HDRS17) was scored to measure depression
severity. The relationship between depression severity and cardiac autonomic
modulation was analyzed by the best curve that fit the raw data of the HDRS17
scores and the QT dispersion variables. RESULTS: The QTd and QTcD were
significantly smaller in non-depressed in relation to the depressed women. The
best curve that fit the raw data of depression severity (HDRS17) and the two
measurements of cardiac autonomic modulation (QTd and QTcD) was a cubic equation
for both QTd and QTcD. An increase in QTd and QTcD were observed until the HDRS17
score reached 20 points. CONCLUSION: There is a significant positive relationship
between depression severity and cardiac autonomic modulation in mild and moderate
depression.
PMID- 27212662
TI - Subjective well-being and cardiometabolic health: An 8-11year study of midlife
adults.
AB - OBJECTIVE: Individuals who are satisfied and experience frequent positive
emotions tend to have reduced risk for coronary heart disease (CHD). However,
conflicting evidence exists and little research has investigated whether well
being is associated with early-warning indicators of biological risk that precede
CHD. We investigated whether life satisfaction and positive emotions
longitudinally predicted reduced risk of incident cardiometabolic conditions and
healthier cardiometabolic risk scores, which may provide insight into underlying
mechanisms and novel prevention targets. METHODS: Initially healthy men and women
(N=754-854) reported their baseline life satisfaction and positive emotions.
During follow-up, presence of manifest cardiometabolic conditions was assessed
and a separate cardiometabolic risk score was constructed from eight biomarkers.
Poisson and linear regression analyses tested whether life satisfaction and
positive emotions were associated with reduced incident disease risk and lower
cardiometabolic risk scores 8-11years later. RESULTS: Life satisfaction and
positive emotions were each prospectively associated with reduced risk of
manifest conditions, controlling for demographics and family history of CHD.
Associations were attenuated for positive emotions after adjusting for depressive
symptoms and for life satisfaction after adjusting for health behaviors. Life
satisfaction was associated with lower cardiometabolic risk scores until adding
health behaviors, but positive emotions were not (regardless of the included
covariates). CONCLUSION: Well-being, particularly life satisfaction, is
associated with reduced risk for incident cardiometabolic conditions in minimally
adjusted models. However, accounting for underlying behavioral pathways
attenuates the association. Low levels of life satisfaction (but not positive
emotions) may also provide early warning of cardiometabolic risk prior to disease
development.
PMID- 27212664
TI - History of major depression as a barrier to health behavior changes after a
chronic disease diagnosis.
AB - OBJECTIVE: To examine whether changes in smoking, drinking, and physical activity
after a chronic disease diagnosis differ between middle-aged and older adults
with and without a history of major depression. METHODS: Individual-level data
came from 1996 to 2010 waves of the U.S. Health and Retirement Study. Chronic
disease diagnosis was ascertained from self-reports of physician diagnosed
diseases. Major depression was assessed by the short-form Composite International
Diagnostic Interview. Mixed-effects logistic regressions were performed to
estimate the potential moderating effect of a history of major depression.
RESULTS: Baseline major depression was associated with a more than 3-fold
increase (OR=4.48, 95% CI=2.27-8.86) in the odds of smoking and 37% decrease
(OR=0.63, 95% CI=0.52-0.75) in the odds of staying physically active, but not
with odds of excessive drinking. After a chronic disease diagnosis, the odds of
smoking was reduced by 75% (OR=0.25, 95% CI=0.20-0.32), the odds of excessive
drinking was reduced by 47% (OR=0.53, 95% CI=0.47-0.61), and the odds of staying
physically active was reduced by 30% (OR=0.70, 95% CI=0.63-0.78). There was a
significant interaction effect for smoking such that the decline in the odds of
smoking was smaller among adults with a history of major depression. CONCLUSION:
Chronic disease diagnosis may be an important teachable moment for health
behavior change, but the behavior changing effect may be smaller for those with a
history of major depression especially when it comes to smoking.
PMID- 27212666
TI - Evaluating the Montreal Cognitive Assessment (MoCA) and its subtests for DSM-5
mild neurocognitive disorders (NCD): Does age have an effect on the screening
accuracy?
PMID- 27212665
TI - Black-white disparities in the association between posttraumatic stress disorder
and chronic illness.
AB - OBJECTIVE: Non-Latino blacks experience a higher proportion of chronic illness
and associated disabilities than non-Latino whites. Posttraumatic stress disorder
(PTSD) is associated with a greater risk of chronic illness, although few studies
have investigated whether the interaction of PTSD with racial disparities may
lead to a greater risk of chronic illness among blacks with PTSD than among
whites with PTSD. METHODS: We evaluated data from the population-based National
Survey of American Life and the National Comorbidity Survey Replication to
investigate the association between race, lifetime PTSD and self-reported chronic
illness. Weighted linear and Poisson regression models assessed differences in
the magnitude of association between PTSD and chronic illness by race on both the
additive and multiplicative scales. RESULTS: The magnitude of the association
between lifetime PTSD and diabetes was greater among blacks (RD 0.07, 95% CI
0.02, 0.11; RR 1.9, 95% CI 1.4, 2.5) than whites (RD 0.004, 95% CI -0.02, 0.03;
RR 1.2, 95% CI 0.7, 1.9) on the additive (p=0.017) scale. The magnitude of the
association between lifetime PTSD and heart disease was greater among blacks (RD
0.09, 95% CI 0.05, 0.13) than whites (RD 0.04, 95% CI 0.01, 0.07) on the additive
scale at a level approaching significance (p=0.051). CONCLUSION: A lifetime
history of PTSD was associated with a significantly greater risk of diabetes
among blacks as compared to whites. These findings suggest that continuous
exposure to racial inequalities may be associated with a greater risk of PTSD
related health sequela.
PMID- 27212667
TI - Subjective wellbeing and longevity: Findings from a 22-year cohort study.
AB - OBJECTIVE: The health implications of positive affect (PA) are still a matter of
debate. The present study examined the longitudinal relationships between
subjective wellbeing (SWB) components (i.e., Life satisfaction, PA and negative
affect (NA)) and all-cause mortality in older adults. METHODS: Discrete-time
survival analysis within the structural equation modeling framework was applied
to data from the PAQUID Cohort (n=3777, baseline age 62-101years) including ten
time periods spanning 22years. Time-invariant (age, gender, baseline life
satisfaction, diabetes mellitus and hypercholesterolemia status) and lagged time
varying (PA, NA, dementia, functional status and self-rated health) predictors
were included sequentially in the analyses. RESULTS: When included together in
the model, only PA among the SWB components showed a significant association with
longevity, which persisted (OR=.962, 95% CI=.938, .986) even after adjustment for
the interaction between PA and NA, and after additional adjustment for prior
medical conditions, functional status and self-rated health. CONCLUSIONS: In
congruence with positive psychology, PA proved to be an independent protective
factor regardless of variations in NA, which did not seem to be a mortality risk
factor.
PMID- 27212668
TI - Covariates of depression and high utilizers of healthcare: Impact on resource use
and costs.
AB - OBJECTIVE: To characterize healthcare costs, resource use, and treatment patterns
of survey respondents with a history of depression who are high utilizers (HUds)
of healthcare and to identify factors associated with high utilization. METHODS:
Adults with two or more depression diagnoses identified from the HealthCore
Integrated Research Database were invited to participate in the CODE study, which
links survey data with 12-month retrospective claims data. Patient surveys
provided data on demographics, general health, and symptoms and/or comorbidities
associated with depression. Similar clinical conditions also were identified from
the medical claims. Factors associated with high utilization were identified
using logistic regression models. RESULTS: Of 3132 survey respondents, 1921 were
included, 193 of whom were HUds (defined as those who incurred the top 10% of
total all-cause costs in the preceding 12months). Mean total annual healthcare
costs were eightfold greater for HUds than for non-HUds ($US56,145 vs. $US6,954;
p<.0001). HUds incurred more inpatient encounters (p<.0001) and emergency
department (p=.01) and physician office visits (p<.0001). Similar findings were
observed for mental healthcare costs/resource use. HUds were prescribed twice as
many medications (total mean: 16.86 vs. 8.32; psychotropic mean: 4.11 vs. 2.61;
both p<.0001). HUds reported higher levels of depression severity, fatigue, sleep
difficulties, pain, high alcohol consumption, and anxiety. Predictors of becoming
a HUd included substance use, obesity, cardiovascular disease, comorbidity
severity, psychiatric conditions other than depression, and pain. CONCLUSION:
Focusing on pain, substance use, and psychiatric conditions beyond depression may
be effective approaches to reducing high costs in patients with depression.
PMID- 27212669
TI - Mindfulness facets as differential mediators of short and long-term effects of
Mindfulness-Based Cognitive Therapy in diabetes outpatients: Findings from the
DiaMind randomized trial.
AB - BACKGROUND: There is increasing evidence that mindfulness-based interventions
reduce psychological distress in various medical populations. However, it has
hardly been studied if these effects are mediated by an increase in mindfulness.
The aim of this study was to examine mediating effects of various mindfulness
facets on effects of a Mindfulness Based Cognitive Therapy (MBCT) on perceived
stress and mood. METHODS: Outpatients with diabetes types 1 and 2 and low levels
of emotional wellbeing were randomized into a group receiving MBCT (n=70) or a
waiting-list control group (n=69). Primary outcomes were mood and perceived
stress. Before, after and at follow-up (6months post intervention) relevant
questionnaires were completed. RESULTS: Mediation analysis using bootstrap
resampling indicated that increases in total mindfulness and the facets observing
and nonreactivity mediated the effects of the intervention on depressed and angry
mood, anxiety (only observing), and perceived stress (only nonreactivity) from
pre- to post-intervention. In contrast, from post-intervention to follow-up,
besides total mindfulness the facets of acting with awareness and nonjudging
mediated the effects on depressed, anxious, and angry mood, while only nonjudging
mediated the effects on perceived stress. DISCUSSION: The findings indicate that
increases in levels of mindfulness mediate the effects of the Mindfulness-Based
Cognitive Therapy in patients with diabetes. It is notable that different facets
may be important for immediate change versus long-term outcome. These findings
might be relevant for post-intervention care.
PMID- 27212670
TI - Corneo-scleral limbus demarcation from 3D height data.
AB - PURPOSE: To accurately and precisely demarcate the transition points in the
anterior limbal surface from 3D anterior eye height data. METHODS: Data of
anterior eye surface was acquired using a corneo-scleral profilometer. Two
methods for automatic limbal radius estimation were proposed. One is based on the
residual error between the original data and low order fit data while the other
method takes a step further, calculating the cumulative root mean square (RMS) of
the residual error. Data of a simulated example, collected from an artificial bi
sphere test surface and four real eyes is used to validate the methodology.
RESULTS: Both methods of limbal radius estimation were found to be equivalent in
a low noise regime while the residual RMS method performs better in higher noise
regimes. The relative error for the proposed methods was below 0.05% and below
1.1% for the simulated test surface and the artificial bi-sphere, respectively.
For the four considered subjects, the variability of the methods was less than
10MUm. There was no statistically significant difference between methods nor
between method and subject but there was statistically significant difference
between the subjects (two-way ANOVA, p<0.001). Asymmetry in limbal shape between
nasal and temporal side was observed. CONCLUSIONS: Determining the anterior
limbus position in a non-invasive, automatic, accurate and precise manner is
possible using 3D anterior eye height data. The topographical information of
limbus does not necessarily coincide with the maximum rate of change in colour
from the iris to sclera observable with en-face imaging.
PMID- 27212671
TI - Liver Transplantation After Neoadjuvant Sorafenib Therapy: Preliminary Experience
and Literature Review.
AB - OBJECTIVES: Neoadjuvant therapies before liver transplantation are a common
practice in the management of hepatocellular carcinoma, either in the setting of
down staging or as a bridge strategy but sorafenib has been little evaluated.
MATERIALS AND METHODS: Between 2011 and 2013, 212 LT were performed and we
retrospectively reviewed the data on patients who had previously received
sorafenib. RESULTS: Five patients were included. The daily sorafenib dose was 400
mg for a mean duration of 17 months before liver transplantation, and was found
to be safe (1 severe asthenia). Three patients received sorafenib as bridge
therapy after achieving stable tumor disease within the Milan criteria through
transarterial chemoembolization or hepatectomy. None patient displayed any living
hepatocellular carcinoma tissue after histological examination. The two remaining
patients were treated with sorafenib for palliative purposes, and became eligible
for transplant after down staging. No tumor recurrence was observed during the 27
month mean follow-up, whereas 2 patients died (multiorgan dysfunction and
cerebral hemorrhage). Post-liver transplantation morbidity attributable to
sorafenib was mild and secondary to scarring issues: biliary stenosis (n = 2) and
evisceration (n = 1). CONCLUSIONS: These few case reports suggest the potential
interest and feasibility of controlled studies to assess the efficacy and safety
of sorafenib in neoadjuvant setting for hepatocellular carcinoma.
PMID- 27212672
TI - Analysis of nutrition judgments using the Nutrition Facts Panel.
AB - Consumers' judgments and choices of the nutritional value of food products
(cereals and snacks) were studied as a function of using information in the
Nutrition Facts Panel (NFP, National Labeling and Education Act, 1990).
Brunswik's lens model (Brunswik, 1955; Cooksey, 1996; Hammond, 1955; Stewart,
1988) served as the theoretical and analytical tool for examining the judgment
process. Lens model analysis was further enriched with the criticality of
predictors' technique developed by Azen, Budescu, & Reiser (2001). Judgment
accuracy was defined as correspondence between consumers' judgments and the
nutritional quality index, NuVal((r)), obtained from an expert system. The study
also examined several individual level variables (e.g., age, gender, BMI,
educational level, health status, health beliefs, etc.) as predictors of lens
model indices that measure judgment consistency, judgment accuracy, and knowledge
of the environment. Results showed varying levels of consistency and accuracy
depending on the food product, but generally the median values of the lens model
statistics were moderate. Judgment consistency was higher for more educated
individuals; judgment accuracy was predicted from a combination of person level
characteristics, and individuals who reported having regular meals had models
that were in greater agreement with the expert's model. CONCLUSIONS: Lens model
methodology is a useful tool for understanding how individuals perceive the
nutrition in foods based on the NFP label. Lens model judgment indices were
generally low, highlighting that the benefits of the complex NFP label may be
more modest than what has been previously assumed.
PMID- 27212674
TI - Survey on genetic counseling and health management for symptomatic and
asymptomatic female dystrophinopathy carriers in Japan today.
AB - To clarify the current status of genetic counseling and health monitoring for
symptomatic and asymptomatic female carriers of dystrophinopathy (Duchenne
muscular dystrophy (DMD) and Becker muscular dystrophy (BMD)), we sent out
questionnaires to 104 member institutions of The Japan's National Liaison Council
for Clinical Sections of Medical Genetics, and responses were received from 51
institutions. Between April 2013 and March 2014, 57 carriers at 21 institutions
received genetic counseling, and 37 carriers at 15 institutions underwent genetic
screening for DMD/BMD mutations. At the 23 institutions that gave genetic
counseling, 20 (87%) informed carriers of possible health problems, 14 (61%)
informed carriers of cardiomyopathy and heart failure, and 14 (61%) advised
carriers about regular medical checkups. Evidence based on accurate and up-to
date epidemiological studies of female carriers is needed and should be widely
shared with the families, medical providers, and society.
PMID- 27212673
TI - The effect of low parental warmth and low monitoring on disordered eating in mid
adolescence: Findings from the Australian Temperament Project.
AB - OBJECTIVE: To investigate the interactions between low parental warmth and
monitoring at age 13-14 years and disordered eating attitudes and behaviours at
age 15-16 years. METHOD: Data on 1300 (667 females) adolescents and their parents
were drawn from The Australian Temperament Project (ATP), a 30 year (15 wave)
population based longitudinal study of social-emotional development. Parent
participants completed surveys on parenting practices in late childhood, and
adolescent participants reported disordered eating using the drive for thinness
and bulimia subscales of the Eating Disorder Inventory (EDI) and an additional
body dissatisfaction scale. Interaction was examined on the additive scale by
estimating super-additive risk; i.e., risk in excess of the sum of individual
risks. RESULTS: For boys, neither parental warmth or monitoring, nor their
interaction, was related to disordered eating. For girls, low parental warmth
(alone) was associated with bulimic behaviours. In contrast, exposure to both low
monitoring and warmth was associated with ~31/2-fold, ~4-fold and ~5-fold
increases in the odds of reporting body dissatisfaction, drive for thinness and
bulimia, respectively. For body dissatisfaction and drive for thinness, risk
associated with joint exposure exceeded the sum of individual risks, suggesting
an additive interaction between parenting styles. CONCLUSION: Further investment
in family-level interventions that focus on promoting parental monitoring
behaviour and a warm parent-child relationship remain important strategies for
preventing a range of disordered eating behaviours in adolescents.
PMID- 27212675
TI - A case considered gluten ataxia with anti-TG6 IgA antibodies.
AB - An 81-year-old woman presented with a chief complaint of gait disturbance. Brain
magnetic resonance imaging (MRI) showed mild cerebellar atrophy and cerebral
blood flow scintigraphy revealed reduced blood flow in the cerebellum. The
patient was diagnosed with cortical cerebellar atrophy, and was given taltirelin
hydrate, but symptoms slowly progressed. Thirteen years after onset, a positive
result for anti-transglutaminase 6 (TG6) IgA antibodies was identified, and
gluten ataxia was diagnosed. Despite steroid therapy and gluten-free diet
therapy, no improvements were seen, and independent walking became difficult for
the patient. High-dose intravenous immunoglobulin therapy resulted in
improvements in the Posture and Gait subscore of the International Cooperative
Ataxia Rating Scale (ICARS) from 15 to 11 points, and the patient regained the
ability to walk independently. Gluten ataxia are rarely reported in Japan and
anti-TG6 antibodies were considered useful for its diagnosis.
PMID- 27212676
TI - Dementia with Lewy bodies presenting marked tongue protrusion and bite due to
lingual dystonia: A case report.
AB - We report the patient of a 53-year-old woman who developed subacute-onset marked
tonge protrusion and bite. She was diagnosed as dementia with Lewy bodies (DLB)
from the clinical features including progressive cognitive decline, visual
hallucinations, parkinsonism, and severe insomnia and depression, and the
radiological finding of low dopamine transported uptake in basal ganglia by Dat
SCAN and low blood circulation in occipital lobe of cerebrum. The patient
received 600 mg doses of levodopa for over a year, followed by rotigotine and
ropinirole with a rapid increase of dosage. It is believed that these treatments
stimulated and sensitized dopamine D1 receptors, thereby inducing lingual
dystonia. Furthermore, the patient demonstrated dyspnea and attacks of apnea
caused by the closure of bilateral vocal cords due to laryngeal dyskinesia. After
initiation of the neuroleptic, olanzapine, for a short duration, the high dose of
levodopa overlapped with neuroleptic sensitivity, suggesting DOPA-induced
dystonia and dyskinesia. This interaction can sometimes lead to lethal adverse
events, and must be considered very important when treating patients with DLB.
PMID- 27212677
TI - [(123)I]-Ioflupane SPECT in combination with MIBG myocardial scintigraphy in
Parkinson's disease: a case series study.
AB - Metaiodobenzylguanidine (MIBG) myocardial scintigraphy is widely accepted as a
beneficial tool for differentiating Parkinson's disease (PD) from other Parkinson
related disorders (PRD). In Japan, dopamine transporter (DAT) imaging, which can
evaluate presynaptic degeneration of dopamine neurons, has been applied in
clinics since 2014. The present study investigated the utility of [(123)I]
Ioflupane single photon emission computed tomography (SPECT) combined with MIBG
myocardial scintigraphy for the diagnosis of PD. We performed [(123)I]-Ioflupane
SPECT and MIBG myocardial scintigraphy in 63 PD patients, 8 PRD patients and 1
essential tremor patient, and obtained the specific binding ratio (SBR [cut-off:
4.5]) and the heart-to-mediastinum ratio (H/M [cut-off: 2.2]). In 70% of the PD
patients, both parameters were significantly reduced. In 22% of the PD patients,
the SBR was smaller than 4.5 with normal H/M, and H/M was less than 2.2 with
normal SBR in 5% of all subjects. Either the SBR or H/M was significantly reduced
in 97% of the study population. The SBR showed low disease specificity to PD
(11%), and the SBR and H/M negatively correlated with disease duration. These
findings indicate that [(123)I]-Ioflupane SPECT combined with MIBG myocardial
scintigraphy can improve the detection rate of PD. However, careful
interpretation of these results is required because [(123)I]-Ioflupane SPECT
poorly differentiates PD from PRD. Progression of PD may reflect the gradual
reduction of isotope accumulation, hence, both [(123)I]-Ioflupane SPECT and MIBG
myocardial scintigraphy should be tested repeatedly even in clinically suspected
PD cases showing negative results.
PMID- 27212678
TI - Familial amyloid polyneuropathy involving a homozygous Val30Met mutation in the
amyloidogenic transthyretin gene presenting with superficial siderosis: a case
report.
AB - A 76-year-old woman was admitted to our hospital because of transthyretin-related
familial amyloid polyneuropathy (TTR-FAP). She had developed bilateral vitreous
opacity at the age of 58 and paroxysmal atrial fibrillation at the age of 62. She
suffered gait disturbance and dysesthesia of the limbs at the age of 68 and was
diagnosed with FAP involving a homozygous Val30Met mutation in the amyloidogenic
transthyretin (ATTR) gene after a genetic test. Her parents were cousins, and her
aunt's medical history included pacemaker implantation and polyneuropathy. At the
age of 74, the patient developed gait disturbance and dysesthesia of her
extremities. A neurological examination revealed visual loss, hearing impairment,
distal muscle weakness, dysesthesia, and decreased sensation in all modalities in
her extremities. She could neither walk nor remain standing without support.
Brain magnetic resonance imaging (MRI) revealed a low intensity lesion on the
surface of the cerebellum on T2*-weighted images and susceptibility-weighted
images. A low intensity pattern that was indicative of the classical type of
superficial siderosis was detected. At the age of 76, when she was admitted to
our hospital because of the deterioration of her gait disturbance and
dysesthesia, brain MRI showed that the patient's cerebellar atrophy and
hemosiderin deposition had worsened. Some reports suggest that FAP patients that
are homozygous for the ATTR Val30Met mutation are more likely to develop central
nervous involvement than those that are heterozygous for the mutation.
Superficial siderosis may be responsible for the central nervous involvement.
PMID- 27212679
TI - On-Tissue Phospholipase C Digestion for Enhanced MALDI-MS Imaging of Neutral
Glycosphingolipids.
AB - Matrix-assisted laser desorption/ionization mass spectrometry imaging (MALDI-MSI)
can be used to simultaneously visualize the lateral distribution of different
lipid classes in tissue sections, but the applicability of the method to real
life samples is often limited by ion suppression effects. In particular, the
presence of abundant phosphatidylcholines (PCs) can reduce the ion yields for all
other lipid species in positive ion mode measurements. Here, we used on-tissue
treatment with buffer-free phospholipase C (PLC) to near-quantitatively degrade
PCs in fresh-frozen tissue sections. The ion signal intensities of mono-, di-,
and oligohexosylceramides were enhanced by up to 10-fold. In addition,
visualization of Shiga toxin receptor globotriaosylceramide (Gb3Cer) in the
kidneys of wild-type and alpha-galactosidase A-knockout (Fabry) mice was possible
at about ten micrometer resolution. Importantly, the PLC treatment did not
decrease the high lateral resolution of the MS imaging analysis.
PMID- 27212680
TI - Retention Mechanisms of Citric Acid in Ternary Kaolinite-Fe(III)-Citrate Acid
Systems Using Fe K-edge EXAFS and L3,2-edge XANES Spectroscopy.
AB - Organic carbon (OC) stability in tropical soils is strongly interlinked with
multivalent cation interaction and mineral association. Low molecular weight
organic acids (LMWOAs) represent the readily biodegradable OC. Therefore,
investigating retention mechanisms of LMWOAs in mineral-cation-LMWOAs systems is
critical to understanding soil C cycling. Given the general acidic conditions and
dominance of kaolinite in tropical soils, we investigated the retention
mechanisms of citric acid (CA) in kaolinite-Fe(III)-CA systems with various Fe/CA
molar ratios at pH ~3.5 using Fe K-edge EXAFS and L3,2-edge XANES techniques.
With Fe/CA molar ratios >2, the formed ferrihydrite mainly contributed to CA
retention through adsorption and/or coprecipitation. With Fe/CA molar ratios from
2 to 0.5, ternary complexation of CA to kaolinite via a five-coordinated Fe(III)
bridge retained higher CA than ferrihydrite-induced adsorption and/or
coprecipitation. With Fe/CA molar ratios <=0.5, kaolinite-Fe(III)-citrate
complexation preferentially occurred, but less CA was retained than via outer
sphere kaolinite-CA complexation. This study highlighted the significant impact
of varied Fe/CA molar ratios on CA retention mechanisms in kaolinite-Fe(III)-CA
systems under acidic conditions, and clearly showed the important contribution of
Fe-bridged ternary complexation on CA retention. These findings will enhance our
understanding of the dynamics of CA and other LMWOAs in tropical soils.
PMID- 27212681
TI - The Autographa californica multiple nucleopolyhedrovirus ac110 gene encodes a new
per os infectivity factor.
AB - The Autographa californica multiple nucleopolyhedrovirus (AcMNPV) ac110 gene is
especially conserved in lepidopteran-specific baculoviruses and is
uncharacterized. To investigate the role of ac110 in the baculovirus life cycle,
an ac110-knockout (vAc110KO) and a repair (vAc110:HA) viruses were constructed in
this study. Budded virion production and occlusion body formation were unaffected
in vAc110KO-transfected or infected Sf9 cells. Intrahemocoelic injection of
budded virions of vAc110KO killed Trichoplusia ni larvae as efficiently as the
repair or the wild-type viruses. However, per os inoculation of occlusion bodies
of vAc110KO failed to establish infection in T. ni larvae, while the repair virus
was as efficient as the wild-type virus. Treatment with calcofluor white, a
reagent that damages the peritrophic membrane, did not rescue the oral
infectivity of vAc110KO. These results suggested that Ac110 is a new per os
infectivity factor that may play a role after occlusion-derived virions pass
through the peritrophic membrane during oral infection.
PMID- 27212682
TI - Immune evasion of porcine enteric coronaviruses and viral modulation of antiviral
innate signaling.
AB - Porcine epidemic diarrhea virus (PEDV) and porcine deltacoronavirus (PDCoV) are
emerged and reemerging viruses in pigs, and together with transmissible
gastroenteritis virus (TGEV), pose significant economic concerns to the swine
industry. These viruses infect epithelial cells of the small intestine and cause
watery diarrhea, dehydration, and a high mortality in neonatal piglets. Type I
interferons (IFN-alpha/beta) are major antiviral cytokines forming host innate
immunity, and in turn, these enteric coronaviruses have evolved to modulate the
host innate immune signaling during infection. Accumulating evidence however
suggests that IFN induction and signaling in the intestinal epithelial cells
differ from other epithelial cells, largely due to distinct features of the gut
epithelial mucosal surface and commensal microflora, and it appears that type III
interferon (IFN-lambda) plays a key role to maintain the antiviral state in the
gut. This review describes the recent understanding on the immune evasion
strategies of porcine enteric coronaviruses and the role of different types of
IFNs for intestinal antiviral innate immunity.
PMID- 27212683
TI - Autographa californica multiple nucleopolyhedrovirus gene ac81 is required for
nucleocapsid envelopment.
AB - Autographa californica multiple nucleopolyhedrovirus (AcMNPV) is a highly
pathogenic Baculoviridae that targets insects, whose core gene, ac81, has an
unknown function. To determine the role of ac81 in the life cycle of AcMNPV, an
ac81-knockout (Ac-81KO-GP) was constructed through homologous recombination in
Escherichia coli. We determined that no budded virions were produced in Ac-81KO
GP-transfected Sf9 cells, while there was no effect on viral DNA replication.
Electron microscopy (EM) analysis revealed that occlusion-derived virions (ODVs)
envelopment and the subsequent embedding of virions into occlusion bodies (OBs)
were aborted due to ac81 deletion. Interestingly, confocal microscopy and
immunofluorescence analysis revealed that Ac81 was predominantly localized to the
ring zone of nuclei during the late phase of infection. In addition, Ac81 was
localized to the mature and premature ODVs in virus-infected cells within the
ring zone as revealed by immuno-electron microscopy (IEM) analysis. Furthermore,
we determined that Ac81 contained a functional hydrophobic transmembrane (TM)
domain, whose deletion resulted in a phenotype similar to that of Ac-81KO-GP.
These results suggest that Ac81 might be a TM protein that played an important
role in nucleocapsid envelopment.
PMID- 27212684
TI - Roles of three amino acids of capsid proteins in mink enteritis parvovirus
replication.
AB - Virulent mink enteritis parvovirus (MEV) strain MEV-LHV replicated to higher
titers in feline F81 cells than attenuated strain MEV-L. Phylogenetic and
sequence analyses of the VP2 gene of MEV-LHV, MEV-L and other strains in GenBank
revealed two evolutionary branches separating virulent and attenuated strains.
Three residues, 101, 232 and 411, differed between virulent and attenuated
strains but were conserved within the two branches. Site-directed mutagenesis of
the VP2 gene of infectious plasmids of attenuated strain MEV-L respectively
replacing residues 101 Ile and 411 Ala with Thr and Glu of virulent strains (MEV
L I101T and MEV-L A411E) increased replication efficiency but still to lower
levels than MEV-LHV. However, viruses with mutation of residue 232 (MEV-L I232V
and MEV-L I101T/I232V/A411E) decreased viral transcription and replication
levels. The three VP2 residues 101, 232 and 411, located on or near the capsid
surface, played different roles in the infection processes of MEV.
PMID- 27212686
TI - Lactogenic immunity and vaccines for porcine epidemic diarrhea virus (PEDV):
Historical and current concepts.
AB - Morbidity, mortality, and loss of productivity from enteric diseases in neonatal
piglets cost swine producers millions of dollars annually. In 2013-2014, the
porcine epidemic diarrhea virus (PEDV) outbreak led to $900 million to $1.8
billion in annual losses to US swine producers. Passive lactogenic immunity
remains the most promising and effective way to protect neonatal suckling piglets
from enteric diseases like PEDV. Protecting suckling piglets through lactogenic
immunity is dependent on trafficking of pathogen-specific IgA plasmablasts to the
mammary gland and accumulation of secretory IgA (sIgA) antibodies in milk,
defined as the gut-mammary-sIgA axis. Due to an impermeable placenta, piglets are
born agammaglobulinic, and are highly susceptible to a plethora of infectious
agents. They rely solely on colostrum and milk antibodies for maternal lactogenic
immunity. Previous advances in the development of live and attenuated vaccines
for another devastating diarrheal virus of pigs, transmissible gastroenteritis
virus (TGEV), provide insights into the mechanisms of maternal immunity and
piglet protection. In this chapter, we will review previous research on TGEV
induced lactogenic immunity to provide a historical perspective on current
efforts for PEDV control and vaccines in the swine industry. Identifying factors
that influence lactogenic immunity and the gut-mammary-sIgA axis may lead to
improved vaccine regimens for PEDV and other enteric pathogens in gestating swine
and improved overall herd immunity, swine health and industry productivity.
PMID- 27212685
TI - Deciphering the biology of porcine epidemic diarrhea virus in the era of reverse
genetics.
AB - Emergence of the porcine epidemic diarrhea virus (PEDV) as a global threat to the
swine industry underlies the urgent need for deeper understanding of this virus.
To date, we have yet to identify functions for all the major gene products, much
less grasp their implications for the viral life cycle and pathogenic mechanisms.
A major reason is the lack of genetic tools for studying PEDV. In this review, we
discuss the reverse genetics approaches that have been successfully used to
engineer infectious clones of PEDV as well as other potential and complementary
methods that have yet to be applied to PEDV. The importance of proper cell
culture for successful PEDV propagation and maintenance of disease phenotype are
addressed in our survey of permissive cell lines. We also highlight areas of
particular relevance to PEDV pathogenesis and disease that have benefited from
reverse genetics studies and pressing questions that await resolution by such
studies. In particular, we examine the spike protein as a determinant of viral
tropism, entry and virulence, ORF3 and its association with cell culture
adaptation, and the nucleocapsid protein and its potential role in modulating
PEDV pathogenicity. Finally, we conclude with an exploration of how reverse
genetics can help mitigate the global impact of PEDV by addressing the challenges
of vaccine development.
PMID- 27212687
TI - Complete polarization characterization of single plasmonic nanoparticle enabled
by a novel Dark-field Mueller matrix spectroscopy system.
AB - Information on the polarization properties of scattered light from plasmonic
systems are of paramount importance due to fundamental interest and potential
applications. However, such studies are severely compromised due to the
experimental difficulties in recording full polarization response of plasmonic
nanostructures. Here, we report on a novel Mueller matrix spectroscopic system
capable of acquiring complete polarization information from single isolated
plasmonic nanoparticle/nanostructure. The outstanding issues pertaining to
reliable measurements of full 4 * 4 spectroscopic scattering Mueller matrices
from single nanoparticle/nanostructures are overcome by integrating an efficient
Mueller matrix measurement scheme and a robust eigenvalue calibration method with
a dark-field microscopic spectroscopy arrangement. Feasibility of quantitative
Mueller matrix polarimetry and its potential utility is illustrated on a simple
plasmonic system, that of gold nanorods. The demonstrated ability to record full
polarization information over a broad wavelength range and to quantify the
intrinsic plasmon polarimetry characteristics via Mueller matrix inverse analysis
should lead to a novel route towards quantitative understanding,
analysis/interpretation of a number of intricate plasmonic effects and may also
prove useful towards development of polarization-controlled novel sensing
schemes.
PMID- 27212688
TI - Intermediate Moral Respect and Proportionality Reasoning.
AB - In a recent article in this journal Jonathan Pugh critiques the idea of
intermediate 'moral respect' which some say is owed to embryos. This concept is
inherent within the 'principle of proportionality', the principle that
destructive research on embryos is permissable only if the research serves an
important purpose. Pugh poses two specific questions to proponents of the idea of
intermediate moral respect. This article argues that while the questions posed by
Pugh are certainly pertinent to the debate, the hypothetical responses he
suggests to these questions do not quite get to the core of what is troublesome
about the concept. The article suggests alternative responses to Pugh's questions
in order to focus attention on more fundamental problems facing the idea of
intermediate moral respect, while also pointing to how the intermediate moral
respect proponent might best develop these responses. It goes on to argue that
these hypothetical responses fail to answer convincingly the questions posed.
More specifically, this article challenges two possible justifications for the
distinct idea of intermediate moral respect, namely the argument from
potentiality (the argument raised by Pugh) and an argument from the
proportionality of fundamental moral status (not considered by Pugh). The article
also raises a dilemma inherent in the application of the principle of
proportionality to cases involving beings to which intermediate moral respect is
owed even where it is allowed, ex hypothesi, that both the category of
intermediate moral respect and the general proportionality reasoning underpinning
the principle of proportionality are basically cogent. This article thus develops
and adds to the challenge laid down by Pugh to proponents of the idea of
intermediate moral respect.
PMID- 27212689
TI - New generation non-stationary portable neutron generators for biophysical
applications of Neutron Activation Analysis.
AB - BACKGROUND: Neutron sources are increasingly employed in a wide range of research
fields. For some specific purposes an alternative to existing large-scale neutron
scattering facilities, can be offered by the new generation of portable neutron
devices. SCOPE OF REVIEW: This review reports an overview for such recently
available neutron generators mainly addressed to biophysics applications with
specific reference to portable non-stationary neutron generators applied in
Neutron Activation Analysis (NAA). MAJOR CONCLUSIONS: The review reports a
description of a typical portable neutron generator set-up addressed to
biophysics applications. GENERAL SIGNIFICANCE: New generation portable neutron
devices, for some specific applications, can constitute an alternative to
existing large-scale neutron scattering facilities. Deuterium-Deuterium pulsed
neutron sources able to generate 2.5MeV neutrons, with a neutron yield of
1.0*106n/s, a pulse rate of 250Hz to 20kHz and a duty factor varying from 5% to
100%, when combined with solid-state photon detectors, show that this kind of
compact devices allow rapid and user-friendly elemental analysis. "This article
is part of a Special Issue entitled "Science for Life" Guest Editor: Dr. Austen
Angell, Dr. Salvatore Magazu and Dr. Federica Migliardo".
PMID- 27212691
TI - Metabolic engineering of Cupriavidus necator for heterotrophic and autotrophic
alka(e)ne production.
AB - Alkanes of defined carbon chain lengths can serve as alternatives to petroleum
based fuels. Recently, microbial pathways of alkane biosynthesis have been
identified and enabled the production of alkanes in non-native producing
microorganisms using metabolic engineering strategies. The chemoautotrophic
bacterium Cupriavidus necator has great potential for producing chemicals from
CO2: it is known to have one of the highest growth rate among natural autotrophic
bacteria and under nutrient imbalance it directs most of its carbon flux to the
synthesis of the acetyl-CoA derived polymer, polyhydroxybutyrate (PHB), (up to
80% of intracellular content). Alkane synthesis pathway from Synechococcus
elongatus (2 genes coding an acyl-ACP reductase and an aldehyde deformylating
oxygenase) was heterologously expressed in a C. necator mutant strain deficient
in the PHB synthesis pathway. Under heterotrophic condition on fructose we showed
that under nitrogen limitation, in presence of an organic phase (decane), the
strain produced up to 670mg/L total hydrocarbons containing 435mg/l of alkanes
consisting of 286mg/l of pentadecane, 131mg/l of heptadecene, 18mg/l of
heptadecane, and 236mg/l of hexadecanal. We report here the highest level of
alka(e)nes production by an engineered C. necator to date. We also demonstrated
the first reported alka(e)nes production by a non-native alkane producer from CO2
as the sole carbon source.
PMID- 27212690
TI - Is there a relationship between solubility and resorbability of different calcium
phosphate phases in vitro?
AB - BACKGROUND: Does chemistry govern biology or it is the other way around - that is
a broad connotation of the question that this study attempted to answer. METHOD:
Comparison was made between the solubility and osteoclastic resorbability of four
fundamentally different monophasic calcium phosphate (CP) powders with
monodisperse particle size distributions: alkaline hydroxyapatite (HAP), acidic
monetite (DCP), beta-calcium pyrophosphate (CPP), and amorphous CP (ACP). Results
With the exception of CPP, the difference in solubility between different CP
phases became neither mitigated nor reversed, but augmented in the resorptive
osteoclastic milieu. Thus, DCP, a phase with the highest solubility, was also
resorbed more intensely than any other CP phase, whereas HAP, a phase with the
lowest solubility, was resorbed least. CPP becomes retained inside the cells for
the longest period of time, indicating hindered digestion of only this particular
type of CP. Osteoclastogenesis was mildly hindered in the presence of HAP, ACP
and DCP, but not in the presence of CPP. The most viable CP powder with respect
to the mitochondrial succinic dehydrogenase activity was the one present in
natural biological bone tissues: HAP. CONCLUSION: Chemistry in this case does
have a direct effect on biology. Biology neither overrides nor reverses the
chemical propensities of inorganics with which it interacts, but rather augments
and takes a direct advantage of them. SIGNIFICANCE: These findings set the
fundamental basis for designing the chemical makeup of CP and other biosoluble
components of tissue engineering constructs for their most optimal resorption and
tissue regeneration response.
PMID- 27212692
TI - Characterization of physiological responses to 22 gene knockouts in Escherichia
coli central carbon metabolism.
AB - Understanding the impact of gene knockouts on cellular physiology, and metabolism
in particular, is centrally important to quantitative systems biology and
metabolic engineering. Here, we present a comprehensive physiological
characterization of wild-type Escherichia coli and 22 knockouts of enzymes in the
upper part of central carbon metabolism, including the PTS system, glycolysis,
pentose phosphate pathway and Entner-Doudoroff pathway. Our results reveal
significant metabolic changes that are affected by specific gene knockouts.
Analysis of collective trends and correlations in the data using principal
component analysis (PCA) provide new, and sometimes surprising, insights into E.
coli physiology. Additionally, by comparing the data-to-model predictions from
constraint-based approaches such as FBA, MOMA and RELATCH we demonstrate the
important role of less well-understood kinetic and regulatory effects in central
carbon metabolism.
PMID- 27212693
TI - Is a one-year follow-up an efficient method for better management of MRI BI
RADS((r)) 3 lesions?
AB - OBJECTIVES: To evaluate the necessity of a prolonged follow-up after one year for
lesions incidentally diagnosed as Breast Imaging Reporting and Data System (BI
RADS)((r)) 3 on magnetic resonance imaging (MRI). METHODS: Institutional review
board approved this study (CRC_june 2014_ project 11). We retrospectively
analysed 218 consecutive MRI BI-RADS((r)) 3 lesions in 110 women followed-up at
6, 12, 18 and/or 24 months from 2011 through to 2015. We excluded MRI scans of
BRCA mutation carriers, in staging before treatment and in patients undergoing
therapy for breast cancer. Second-look ultrasound and mammography were normal. We
analysed 43 masses, 46 foci and 129 non-mass enhancements. In the follow-up, the
image was scored as BI-RADS((r)) 2 if it was completely resolved, BI-RADS((r)) 3
if it was stable, and BI-RADS((r)) 4 if a suspicious change occurred. RESULTS:
Forty-four lesions (20%) completely resolved during the follow-up. Six were
scored as BI-RADS((r)) 4: five at 6 months (benign) and one at 12 months (8 mm
invasive ductal carcinoma). One hundred and sixty eight stable lesions were
assigned BI-RADS((r)) 2 at 18 or 24 months. The predictive positive value of
cancer for BI-RADS((r)) 3 lesions is 0.5% (95% CI [0.08-2.55]) in our study.
CONCLUSIONS: Our results suggest that a one year follow-up instead of two years
is sufficient for BI-RADS 3 lesions, in case of using rigorous inclusion
criteria. Given the very low PPV of the BI-RADS((r)) 3 lesions incidentally found
on MRI, further studies with very large inclusions are necessary to establish new
guidelines for MRI follow-up.
PMID- 27212694
TI - Invasive ductal breast carcinoma with predominant intraductal component:
Clinicopathological features and prognosis.
AB - PURPOSE: Invasive ductal carcinoma with predominant intraductal component
(IDCPIC) represents almost 5% of breast cancers. Nevertheless few data exist
concerning their characteristics and prognostic behaviour. Our objective was to
describe IDCPIC's clinicopathological and prognostic features and compare them to
that of invasive ductal carcinoma without predominant intraductal component
(IDC). METHODS: Retrospective single centre study including all the localized
invasive ductal carcinoma listed in our institutional database. Clinical,
radiological and pathological criteria were collected as well as disease-free
survival (DFS) data. RESULTS: From 1995 to 2008, 4109 invasive ductal breast
cancers treated were included. Out of them 192 (4.7%) were IDCPIC. Most of IDCPIC
(63%) were discovered by radiological screening whereas IDC suspicion was more
often clinical (82.7% vs 49.5%, p < 0.001). Pathological lymph node involvement
was less frequent in IDCPIC (35.8 vs 44.3%, p = 0.04). Invasive tumour median
size was 2-fold smaller in IDCPIC (10 mm vs 20 mm, p<0.001). Hormone receptors
expression was similar between both groups whereas HER2 overexpression was more
frequent in IDCPIC (32% vs 14.3%, p<0.001). Mastectomy was more frequently
performed for IDCPIC (67.7% vs 30.3%, p < 0.001) whereas chemotherapy and
radiation therapy were less frequent (55.5% vs 68%, and 82.8% vs 95.5%,
respectively, p < 0.001 for both). After matching for discriminant
clinicopathological features (tumour size, lymph node involvement, vascular
invasion, HER2), DFS was similar in both groups (5-year DFS of 87.4% vs 84.4%, p
= 0.47). CONCLUSION: IDCPIC and other IDC with invasive components showing
similar clinicopathological features display a similar prognosis.
PMID- 27212695
TI - Ductal carcinoma in situ diagnosed by breast needle biopsy: Predictors of
invasion in the excision specimen.
AB - BACKGROUND: A substantial proportion of women with a pre-operative diagnosis of
pure ductal carcinoma in situ (DCIS) has a final diagnosis of invasive breast
cancer (IBC) after surgical excision and, consequently, a potential indication
for lymph node staging. The aim of our study was to identify novel predictors of
invasion in patients with a needle-biopsy diagnosis of DCIS that would help us to
select patients that may benefit from a sentinel node biopsy (SNB). PATIENTS AND
METHODS: We included 153 patients with a needle-biopsy diagnosis of DCIS between
2000 and 2014, which was followed by surgical excision. Several pre-operative
clinical, radiological and pathological features were assessed and correlated
with the presence of invasion in the excision specimen. Features that were
significantly associated with upstaging in the univariable analysis were combined
to calculate upstaging risks. RESULTS: Overall, 22% (34/155) of the patients were
upstaged to IBC. The following risk factors were significantly associated with
upstaging: palpability, age <=40 years, mammographic mass lesion, moderate to
severe periductal inflammation and periductal loss of decorin expression. The
upstaging-risk correlated with the number of risk factors present: e.g. 9% for
patients without risk factors, 29% for patients with 1 risk factor, 37% for
patients with 2 risk factors and 54% for patients with >=3 risk factors.
CONCLUSION: The identified risk factors may be helpful to predict the upstaging
risk for patients with a needle-biopsy diagnosis of pure DCIS, which facilitates
the performance of a selective SNB for high-risk patients and avoid this
procedure in low-risk patients.
PMID- 27212696
TI - Does a dedicated program for young breast cancer patients affect the likelihood
of fertility preservation discussion and referral?
AB - PURPOSE: To assess whether a dedicated program for young breast cancer patients,
including a nurse navigator, improves the frequency of: a) fertility discussion
documentation and b) fertility preservation (FP) referrals. METHODS: A
retrospective chart review and prospective survey were undertaken of breast
cancer patients diagnosed at age 40 or younger between 2011 and 2013 who received
adjuvant or neo-adjuvant chemotherapy at two academic cancer centers in Toronto,
Canada. The Odette Cancer Centre (OCC) has a dedicated program for young breast
cancer patients while Princess Margaret Cancer Centre (PM) does not. Patient
demographics, tumor pathology, treatment and fertility discussion documentation
prior to systemic chemotherapy administration were extracted from patient
records. Prospective surveys were administered to the same cohort to corroborate
data collected. RESULTS: Eighty-one patient charts were reviewed at both OCC and
PM. Forty-seven and 49 at OCC and PM returned surveys for a response rate of 58%
and 60% respectively. Chart reviews demonstrated no difference in the frequency
of fertility discussion documentation (78% versus 75% for OCC and PM, p = 0.71);
however, surveys demonstrated higher rates of recall of fertility discussion at
OCC (96% versus 80%, p = 0.02). A greater proportion of women were offered FP
referrals at OCC, as observed in chart reviews (56% versus 41%, p = 0.09), and
surveys (73% versus 51%, p = 0.04). Time to initiation of chemotherapy did not
differ between women who underwent FP and those who did not. CONCLUSION: A
dedicated program for young breast cancer patients is associated with a higher
frequency of FP referrals without delaying systemic therapy.
PMID- 27212697
TI - Impact of chemotherapy followed by aromatase inhibitors on bone health of women
with ER-positive early breast cancer in real world clinical settings in Greece:
Results of the POCHARBI trial conducted by the Hellenic Society of Breast
Surgeons.
AB - INTRODUCTION: The aim of this observational study was to assess the combined
impact of chemotherapy (CT) and aromatase inhibitors (AI) therapy on bone mineral
density (BMD) in postmenopausal women with estrogen receptor (ER)-positive early
breast cancer. METHODS: Patients were treated with a third generation AI, either
as adjuvant therapy (HT cohort, n = 166) or as subsequent endocrine therapy after
initial treatment with chemotherapy (CT cohort, n = 124), and were followed up
for a 12-month period. BMD was evaluated at lumbar spine (LS) and total hip (HP)
before CT, before AI therapy and after 12 months of AI therapy. The primary study
objective was changes in LS BMD between pre CT treatment and post 12 months AI
therapy in the CT cohort. RESULTS: There were no statistically significant
changes in LS BMD, either within CT or HT cohort. In the CT cohort, the mean LS
BMD change was -0.72% (95% CI: -2.97%, +1.53%, p = 0.5526) between CT start and
month 12 of AI therapy, while it was -0.19% (95% CI: -2.12%, +1.74%, p = 0.8309)
and -0.59% (95% CI: -3.18%, +2.00%, p = 0.4759) between CT start and AI start and
AI start and month 12 of AI therapy respectively. The mean change in LS BMD in
the HT cohort (i.e. after 12 months of AI treatment) was +1.51% (95% CI: -0.96%,
+3.98%, p = 0.7420). CONCLUSIONS: The results of this study indicate that, under
routine clinical practice, most postmenopausal patients who receive CT before AI
therapy do not experience debilitating BMD consequences during the first year of
AI treatment. TRIAL REGISTRATION: ClinicalTrials.gov Identifier NCT01298362.
PMID- 27212698
TI - Prognostic value of FOXA1 in breast cancer: A systematic review and meta
analysis.
AB - BACKGROUND: Despite some published papers analyzing the prognostic role of
forkhead-box A1 (FOXA1) in breast cancer, it has not yet been considered as an
established prognostic factor in clinical practice. The present meta-analysis
evaluated the prognostic value of FOXA1 in breast cancer. METHODS: PubMed, Web of
Science and Embase databases were searched for relevant published literature that
evaluated the correlation between FOXA1 and breast cancer. Either a fixed or
random effect model was applied to estimate the pooled hazard ratio (HR) for
FOXA1 prognosis in breast cancer. RESULT: A total of nine articles comprising
6386 breast cancer patients met the inclusion criteria. Among these nine studies,
five studies and four studies investigated the prognostic association with
disease-free survival (DFS), and overall survival (OS), respectively. Meta
analysis results suggested that high FOXA1 expression was positively associated
with DFS (pooled HR: 0.43, 95% CI: 0.23-0.81; P < 0.05) and OS (pooled HR: 0.39,
95% CI: 0.26-0.60; P < 0.05) in breast cancer patients. No publication bias was
discovered by Begg's test in this meta-analysis. CONCLUSION: The results from
this meta-analysis indicated that elevated FOXA1 expression level was associated
with better outcome in breast cancer.
PMID- 27212699
TI - Molecular based subtyping of feline mammary carcinomas and clinicopathological
characterization.
AB - Molecular classification of feline mammary carcinomas (FMC) from which specific
behavioral patterns may be estimated has potential applications in veterinary
clinical practice and in comparative oncology. In this perspective, the main goal
of this study was to characterize both the clinical and the pathological features
of the different molecular phenotypes found in a population of FMC (n = 102),
using the broadly accepted IHC-based classification established by St. Gallen
International Expert Consensus panel. The luminal B/HER2-negative subtype was the
most common (29.4%, 30/102) followed by luminal B/HER2-positive subtype (19.6%,
20/102), triple negative basal-like (16.7%, 17/102), luminal A (14.7%, 15/102),
triple negative normal-like (12.7%, 13/102) and finally, HER2-positive subtype
(6.9%, 7/102). Luminal A subtype was significantly associated with smaller tumors
(p = 0.024) and with well differentiated ones (p < 0.001), contrasting with the
triple negative basal-like subtype, that was associated with larger and poorly
differentiated tumors (p < 0.001), and with the presence of necrotic areas in the
tumoral lesion (p = 0.003). In the survival analysis, cats with Luminal A subtype
presented the highest survival time (mean OS = 943.6 days) and animals with
triple negative basal-like subtype exhibited the lowest survival time (OS mean =
368.9 days). Moreover, two thirds (64%, 32/50) of the queens with multiple
primary tumors showed different molecular subtypes in each carcinoma, revealing
that all independent lesions should be analyzed in order to improve the clinical
management of animals. Finally, the similarities between the subtypes of feline
mammary tumors and human breast cancer, reveal that feline can be a valuable
model for comparative studies.
PMID- 27212700
TI - Systematic review of 3D mammography for breast cancer screening.
AB - This review investigated the relative performance of digital breast tomosynthesis
(DBT) (alone or with full field digital mammography (FFDM) or synthetic digital
mammography) compared with FFDM alone for detecting breast cancer lesions in
asymptomatic women. A systematic review was carried out according to systematic
reviewing principles provided in the Cochrane Handbook for Systematic Reviews of
Diagnostic Test Accuracy. A protocol was developed a priori. The review was
registered with PROSPERO (number CRD42014013949). Searches were undertaken in
October 2014. Following selection, five studies were eligible. Higher cancer
detection rates were observed when comparing DBT + FFDM with FFDM in two European
studies: the summary difference per 1000 screens was 2.43 (95% CI: 1.8 to 3.1).
Both European studies found lower false positive rates for individual readers.
One found a lower recall rate based on conditional recall. The second study was
not designed to compare post-arbitration recall rates between FFDM and DBT +
FFDM. One European study presented data on interval cancer rates; sensitivity and
specificity for DBT + FFDM were both higher compared to FFDM. One large
multicentre US study showed a higher cancer detection rate for DBT + FFDM, while
two smaller US studies did not find statistically significant differences.
Reductions in recall and false positive rates were observed in the US studies in
favour of DBT + FFDM. In comparison to FFDM, DBT, as an adjunct to FFDM, has a
higher cancer detection rate, increasing the effectiveness of breast cancer
screening. Additional benefits of DBT may also include reduced recalls and,
consequently, reduced costs and distress caused to women who would have been
recalled.
PMID- 27212701
TI - Acute Kidney Injury After Transcatheter Aortic Valve Replacement.
AB - BACKGROUND: Acute kidney injury (AKI) during transcatheter aortic valve
replacement (TAVR) increases morbidity and mortality. In this study, we
investigated the incidence and risk factors for AKI in patients undergoing TAVR.
METHODS: Two hundred ninety consecutive patients underwent TAVR. Valve Academic
Research Consortium (VARC)-I criteria for AKI diagnosis at 72 hours, and VARC-II
criteria at seven days were employed. RESULTS: Overall AKI incidence was 24.62%
(65/264): 50 patients at 72 hours and 15 patients at seven days. Multivariate
logistic regression determined transapical (TA) approach (OR: 4.46 [1.37-7.63]),
preprocedural glomerular filtration rate less than 45 mL/min (OR: 3.47 [1.35
14.70]), and blood transfusion (OR: 3.34 [1.58-11.09]) as independent predictors
for AKI at 72 hours; and prior coronary artery bypass grafting (OR: 3.02 [1.007
9.09]) and peripheral artery disease (PAD) (OR: 3.53 [1.06-11.62]) for AKI at
seven days. In-hospital and 30-day mortality was higher in AKI patients. Non-AKI
patients' survival was 93% at six months, 89% at 12 months, and 86% at 24 months,
whereas survival in AKI at 72 hours was 66% at 6, 12, and 24 months (HR AKI vs.
non-AKI: 3.9 [CI: 2.0-7.6]), and survival in AKI at seven days was 64% at 6, 12,
and 24 months, HR: 3.13 (CI: 1.42-6.92). For the 12 dialysis patients survival
was 82% at 6, 12, and 24 months. CONCLUSIONS: AKI after TAVR is associated with
worse outcomes. Blood transfusion should be administered restrictively in TAVR.
Patients with CKD, PAD, prior CABG, and TA approach require close surveillance as
they are at risk for AKI through seven days after TAVR. doi: 10.1111/jocs.12768
(J Card Surg 2016;31:416-422).
PMID- 27212702
TI - A Colorectal Tumor Organoid Library Demonstrates Progressive Loss of Niche Factor
Requirements during Tumorigenesis.
AB - Colorectal tumor is a heterogeneous disease, with varying clinical presentation
and prognosis in patients. To establish a platform encompassing this diversity,
we generated 55 colorectal tumor organoid lines from a range of histological
subtypes and clinical stages, including rare subtypes. Each line was defined by
gene expression signatures and optimized for organoid culture according to niche
factor requirements. In vitro and in xenografts, the organoids reproduced the
histopathological grade and differentiation capacity of their parental tumors.
Notably, we found that niche-independent growth is predominantly associated with
the adenoma-carcinoma transition reflecting accumulation of multiple mutations.
For matched pairs of primary and metastatic organoids, which had similar genetic
profiles and niche factor requirements, the metastasis-derived organoids
exhibited higher metastatic capacity. These observations underscore the
importance of genotype-phenotype analyses at a single-patient level and the value
of our resource to provide insights into colorectal tumorigenesis and patient
centered therapeutic development.
PMID- 27212703
TI - Genetic Drift Can Compromise Mitochondrial Replacement by Nuclear Transfer in
Human Oocytes.
AB - Replacement of mitochondria through nuclear transfer between oocytes of two
different women has emerged recently as a strategy for preventing inheritance of
mtDNA diseases. Although experiments in human oocytes have shown effective
replacement, the consequences of small amounts of mtDNA carryover have not been
studied sufficiently. Using human mitochondrial replacement stem cell lines, we
show that, even though the low levels of heteroplasmy introduced into human
oocytes by mitochondrial carryover during nuclear transfer often vanish, they can
sometimes instead result in mtDNA genotypic drift and reversion to the original
genotype. Comparison of cells with identical oocyte-derived nuclear DNA but
different mtDNA shows that either mtDNA genotype is compatible with the nucleus
and that drift is independent of mitochondrial function. Thus, although
functional replacement of the mitochondrial genome is possible, even low levels
of heteroplasmy can affect the stability of the mtDNA genotype and compromise the
efficacy of mitochondrial replacement.
PMID- 27212705
TI - Structural and surface coverage effects on CO oxidation reaction over carbon
supported Pt nanoparticles studied by quadrupole mass spectrometry and diffuse
reflectance FTIR spectroscopy.
AB - The CO oxidation reaction on carbon-supported Pt nanoparticles (average size of
2.8 to 7.7 nm) was studied under flowing conditions at atmospheric pressure and
temperatures between 300 and 353 K by coupling quadrupole mass spectrometry (QMS)
and diffuse reflectance infrared Fourier transform spectroscopy (DRIFTS). The Pt
loading was varied between 20 and 60 wt%. Gases diluted in He (0.5 mol%) were
used together with Ar as a tracer. Reactions with CO and O2 introduced separately
onto the samples were studied by QMS, applying successive step changes of the
reaction mixtures. Variations in the rate of the reactions were observed and
correlated with changes of the calculated coverage of the Pt surface by CO and/or
O adspecies at varying steps of the experiment. The transient reaction of CO(g)
with adsorbed O (Oad) was fast and mass transport-limited while that of O2(g)
with adsorbed CO (COad) was sluggish. Following the same experimental procedures,
FTIR spectra of adsorbed CO after varying steps were recorded, confirming the
variations of COad and Oad as determined by QMS and indicating changes in the CO
distribution over varying types of Pt surface sites. The influence of the adlayer
composition (co-adsorption of COad and Oad), the particle size/structure and some
possible surface reconstruction effects on the CO oxidation rate were evidenced
and discussed. The structure of the Pt nanoparticles supported on carbon appears
as an important factor for the efficiency of the so-called O2 bleeding as a CO
mitigation strategy in polymer electrolyte membrane fuel cells.
PMID- 27212704
TI - Leptin/leptinR-kisspeptin/kiss1r-GnRH pathway reacting to regulate puberty onset
during negative energy balance.
AB - AIMS: Reproductive endocrine disorder induced by negative energy balance is very
common in recent years. But underlying mechanism of reproductive disorders still
remains elusive. It is reported that leptin/leptinR-kisspeptin/kiss1r system
plays a critical role in reproductive field. Therefore, this study was to
determine the role of leptin/leptinR in the expression of kisspeptin/kiss1r
during negative energy balance and effect of leptin/leptinR-kisspeptin/kiss1r on
puberty onset. MATERIALS AND METHODS: Wistar female rats aged 28days were divided
into four groups. The saline and leptin treatment groups were treated through
intracerebroventricular injection while 72h-fasting. Body weight and serum
hormone levels were recorded. The levels of mRNA and protein of kisspeptin,
kiss1r, GnRH and leptinR were measured by QT-PCR, Immunofluorescence and Western
blot, respectively. KEY FINDINGS: Kisspeptin, kiss1r and GnRH expression was
detected in the cytoplasm but leptinR in the nucleus. After fasting, the results
show a significant decrease in body weight, serum levels of leptin, LH and FSH
concentrations and the expression of kisspeptin/kiss1r, GnRH mRNA and
reproductive endocrine peptides. Leptin administration had no effects on body
weight but it was found that the serum levels of leptin, LH and FSH were
increased. Leptin shows slight effects on inhibiting the suppression of fasting.
The leptinR expression of ARC increased after fasting and was restricted by
leptin injection. SIGNIFICANCE: Leptin/leptinR-kisspeptin/kiss1r-GnRH pathway is
responsible for the regulation of neuroendocrine hormones due to its effect on
the protein or mRNA expression. Subsequently, it affects the reproductive
function, especially could delay puberty onset.
PMID- 27212706
TI - Factors influencing passive surveillance for T. b. rhodesiense human african
trypanosomiasis in Uganda.
AB - INTRODUCTION: Sleeping sickness or Human African Trypanosomiasis (HAT) is a
neglected tropical disease of public health importance across much of Sub-Saharan
Africa. In Uganda, chronic T. b. gambiense HAT (gHAT) and acute T. b. rhodesiense
HAT (rHAT) occur in two large but discrete geographical foci. Both forms are
difficult to diagnose, expensive to treat and ultimately fatal in the absence of
treatment. The area affected by zoonotic rHAT has been steadily expanding,
placing a high burden on local health systems. HAT is a disease of neglected
populations and is notorious for being under-reported. Here we examine the
factors that influence passive rHAT surveillance within the district health
system in four Ugandan districts into which the disease had recently been
introduced, focusing on staff knowledge, infrastructure and data management.
METHODS: A mixed methods study was undertaken between 2011 and 2013 in Dokolo,
Kaberamaido, Soroti and Serere districts to explore health facility capacity and
clinical service provision, diagnostic capacity, HAT knowledge and case
reporting. Structured interviews were undertaken with 86 medical personnel,
including clinicians, nurses, midwives and technicians across 65 HC-II and HC-III
medical facilities, where the health infrastructure was also directly observed.
Eleven semi-structured interviews were undertaken with medical staff in each of
the three designated HAT treatment facilities (Dokolo, Lwala and Serere HC-IV) in
the area. HAT treatment centre case records, collected between 2009 and 2012,
were analyzed. RESULTS: Most medical staff in HC-II and HC-III facilities had
been made aware of HAT from radio broadcasts, newspapers and by word of mouth,
suggestive of a lack of formal training. Key knowledge as regards the causative
agent, clinical signs and that HAT drugs are provided free of charge was lower
amongst HC-II than HC-III staff. Many respondents did not know whether HAT was
endemic in their district. In rHAT specialist treatment centres, staff were
knowledgeable of HAT and were confident in their ability to diagnose and manage
cases. Between 2009-2012, 342 people were diagnosed in the area, 54% in the late
stage of the disease. Over the period of this study the proportion of rHAT cases
identified in early stage fell and by 2012 the majority of cases identified were
diagnosed in the late stage. CONCLUSION: This study illustrates the critical role
of the district health system in HAT management. The increasing proportion of
cases identified at a late stage in this study indicates a major gap in lower
tier levels in patient referral, diagnosis and reporting that urgently needs to
be addressed. Integrating HAT diagnosis into national primary healthcare programs
and providing training to medical workers at all levels is central to the new
2030 WHO HAT elimination goal. Given the zoonotic nature of rHAT, joined up
active surveillance in human and animal populations in Uganda is also needed. The
role of the Coordinating Office for Control of Trypanosomiasis in Uganda in
implementing a One Health approach will be key to sustainable management of
zoonotic HAT.
PMID- 27212707
TI - Evaluation of Leishmania (Leishmania) infantum excreted-secreted antigens for
detection of canine leishmaniasis.
AB - The efficacy of tests with L. (L.) infantum excreted-secreted antigens (ESA) to
detect canine leishmaniasis (CanL) was evaluated using immunoblotting (ESA-blot),
ELISA (ESA-ELISA) and ELISA with alkaline extract from promastigotes (PAE). Of
one hundred fifty-five domestic dogs tested, 100 were suspected of CanL, 23 had
other diseases and 32 were healthy. Sera from the dogs suspected of CanL were
tested by immunohistochemistry (IHC), and 54% were confirmed to be infected by L.
(L.) infantum (38 symptomatic and 16 asymptomatic). Of these, 100% were positive
by ESA-blot, ESA-ELISA and PAE-ELISA. In the ESA-blot their sera recognized
polypeptides in the 26.5-31.5kDa region. Of the 46% of dogs with negative IHC, 44
53% tested positive in all three tests irrespective of clinical status. The
twenty-three dogs with other diseases were negative by ESA-blot, but sera from 9%
and 26% of them reacted with ESA-ELISA and PAE-ELISA, respectively. The 32
healthy dogs were negative in all the tests. ESA-blot showed good correlation
with IHC in the detection of CanL and a high specificity index.
PMID- 27212708
TI - A Selective Imidazoline-2-thione-Bearing Two-Photon Fluorescent Probe for
Hypochlorous Acid in Mitochondria.
AB - Hypochlorite (OCl(-)) plays a key role in the immune system and is involved in
various diseases. Accordingly, direct detection of endogenous OCl(-) at the
subcellular level is important for understanding inflammation and cellular
apoptosis. In the current study, a two-photon fluorescent off/on probe (PNIS)
bearing imidazoline-2-thione as an OCl(-) recognition unit and triphenylphosphine
(TPP) as a mitochondrial-targeting group was synthesized and examined for its
ability to image mitochondrial OCl(-) in situ. This probe, based on the specific
reaction between imidazoline-2-thione and OCl(-), displayed a selective
fluorescent off/on response to OCl(-) with the various reactive oxygen species in
a physiological medium. PNIS was successfully applied to image of endogenously
produced mitochondrial OCl(-) in live RAW 264.7 cells via two-photon microscopy.
PMID- 27212709
TI - Adversaries at the Bedside: Advance Care Plans and Future Welfare.
AB - Advance care planning refers to the process of determining how one wants to be
cared for in the event that one is no longer competent to make one's own medical
decisions. Some have argued that advance care plans often fail to be normatively
binding on caretakers because those plans do not reflect the interests of
patients once they enter an incompetent state. In this article, we argue that
when the core medical ethical principles of respect for patient autonomy, honest
and adequate disclosure of information, institutional transparency, and concern
for patient welfare are upheld, a policy that would allow for the disregard of
advance care plans is self-defeating. This is because when the four principles
are upheld, a patient's willingness to undergo treatment depends critically on
the willingness of her caretakers to honor the wishes she has outlined in her
advance care plan. A patient who fears that her caretakers will not honor her
wishes may choose to avoid medical care so as to limit the influence of her
caretakers in the future, which may lead to worse medical outcomes than if she
had undergone care. In order to avoid worse medical outcomes and uphold the four
core principles, caregivers who are concerned about the future welfare of their
patients should focus on improving advance care planning and commit to honoring
their patients' advance care plans.
PMID- 27212710
TI - Comparison of SemiQuantitative Cotinine Values Obtained by the DRI Immunoassay
and Values Obtained by a Liquid Chromatography-Tandem Mass Spectrometry-Based
Method: The DRI Immunoassay is Suitable for Screening Purposes Only Because
Semiquantitative Values May Be Unreliable.
AB - BACKGROUND: DRI cotinine assay is suitable only for screening for cotinine in
urine specimens. We studied the reliability of DRI cotinine semiquantitative
values by comparing them with the cotinine concentration obtained with a liquid
chromatography-tandem mass spectrometry (LC-MS/MS) method. METHODS:
Semiquantitative cotinine concentrations in 39 urine specimens obtained by the
DRI immunoassay were compared with cotinine concentrations obtained by LC-MS/MS.
RESULTS: The DRI cotinine assay consistently overestimated cotinine values
obtained by the LC/MS/MS method (y = 1.1529 x + 252.24, n = 39, R2 = 0.8899)
indicating that semiquantitative values obtained using the DRI assay may be
unreliable. However, no false-negative results were observed using the DRI assay.
CONCLUSION: DRI cotinine assay is suitable only for screening cotinine in urine
specimens.
PMID- 27212711
TI - Ages at a Crime Scene: Simultaneous Estimation of the Time since Deposition and
Age of Its Originator.
AB - Blood is a major contributor of evidence in investigations involving violent
crimes because of the unique composition of proteins and low molecular weight
compounds present in the circulatory system, which often serve as biomarkers in
clinical diagnostics. It was recently shown that biomarkers present in blood can
also identify characteristics of the originator, such as ethnicity and biological
sex. A biocatalytic assay for on-site forensic investigations was developed to
simultaneously identify the age range of the blood sample originator and the time
since deposition (TSD) of the blood spot. For these two characteristics to be
identified, the levels of alkaline phosphatase (ALP), a marker commonly used in
clinical diagnostics corresponding to old and young originators, were monitored
after deposition for up to 48 h to mimic a crime scene setting. ALP was chosen as
the biomarker due to its age-dependent nature. The biocatalytic assay was used to
determine the age range of the originator using human serum samples. By means of
statistical tools for evaluation and the physiological levels of ALP in healthy
people, the applicability of this assay in forensic science was shown for the
simultaneous determination of the age of the originator and the TSD of the blood
spot. The stability of ALP in serum allows for the differentiation between old
and young originators up to 2 days after the sample was left under mimicked crime
scene conditions.
PMID- 27212712
TI - VUV photo-processing of PAH cations: quantitative study on the ionization versus
fragmentation processes.
AB - Interstellar polycyclic aromatic hydrocarbons (PAHs) are strongly affected by the
absorption of vacuum ultraviolet (VUV) photons in the interstellar medium (ISM),
yet the branching ratio between ionization and fragmentation is poorly studied.
This is crucial for the stability and charge state of PAHs in the ISM in
different environments, affecting in turn the chemistry, the energy balance, and
the contribution of PAHs to the extinction and emission curves. We studied the
interaction of PAH cations with VUV photons in the 7 - 20 eV range from the
synchrotron SOLEIL beamline, DESIRS. We recorded by action spectroscopy the
relative intensities of photo-fragmentation and photo-ionization for a set of
eight PAH cations ranging in size from 14 to 24 carbon atoms, with different
structures. At photon energies below ~13.6 eV fragmentation dominates for the
smaller species, while for larger species ionization is immediately competitive
after the second ionization potential (IP). At higher photon energies, all
species behave similarly, the ionization yield gradually increases, leveling off
between 0.8 and 0.9 at ~18 eV. Among isomers, PAH structure appears to mainly
affect the fragmentation cross section, but not the ionization cross section. We
also measured the second IP for all species and the third IP for two of them, all
are in good agreement with theoretical ones confirming that PAH cations can be
further ionized in the diffuse ISM. Determining actual PAH dication abundances in
the ISM will require detailed modeling. Our measured photo-ionization yields for
several PAH cations provide a necessary ingredient for such models.
PMID- 27212713
TI - Mapping of QTL for Tolerance to Cereal Yellow Dwarf Virus in Two-rowed Spring
Barley.
AB - Cereal yellow dwarf virus (CYDV-RPV) causes a serious viral disease affecting
small grain crops around the world. In the United States, it frequently is
present in California where it causes significant yield losses, and when
infections start early in development, plant death. CYDV is transmitted by
aphids, and it has been a major impediment to developing malting barley in
California. To identify chromosome locations associated with tolerance/resistance
to CYDV, a segregating population of 184 recombinant inbred lines (RIL) from a
cross of the California adapted malting barley line Butta 12 with the CYDV
tolerant Madre Selva was used to construct a genetic map including 180
polymorphic markers mapping to 163 unique loci. Tolerance to CYDV was evaluated
in replicated experiments where plants were challenged by aphid mediated
inoculation with the isolate CYDV-RPV in a controlled environment. Quantitative
trait loci (QTL) analysis revealed the presence of two major QTL for CYDV
tolerance from Madre Selva on chromosomes 2H (Qcyd.MaBu-1) and 7H (Qcyd.MaBu-2),
and 4 minor QTL from Butta 12 on chromosomes 3H, 4H, and 2H. This paper discusses
the contribution of each QTL and their potential value to improve barley
tolerance to CYDV.
PMID- 27212714
TI - The Great Recession and Mother's Health.
AB - We use longitudinal data from the Fragile Families and Child Well-being Study to
investigate the impacts of the Great Recession on the health of mothers. We focus
on a wide range of physical and mental health outcomes, as well as health
behaviors. We find that increases in the unemployment rate decrease self-reported
health status and increase smoking and drug use. We also find evidence of
heterogeneous impacts. Disadvantaged mothers-African-American, Hispanic, less
educated, and unmarried-experience greater deterioration in their health than
advantaged mothers-those who are white, married, and college educated.
PMID- 27212715
TI - Central neuraxial blocks: Complacency begets complications.
PMID- 27212716
TI - Ergonomical aspects of anaesthetic practice.
AB - Anaesthesiologist's service begins as a general physician, goes on as an
investigator cum data analyser leading to the architectural planning of a
forthcoming surgical event, but only after articulately convincing the subject
along with his kith and kin. In the era of rapid developments in the field of
medicine which includes relevant developments in anaesthetic care, an adequate
work environment has to be provided to the anaesthesia team so that all
anaesthetic procedures can be carried out safely and efficiently and an optimal
workflow can be established in the operating room environment. Such ecological
state demands an updated knowledge and ergonomics to aid him. Unfortunately,
ergonomics is an area of anaesthesia that has received little attention and
should be addressed through more education and training for workplace well-ness.
Hence, an attempt is made to discuss few aspects on ergonomics for the interface
between anaesthesiologist-machine-patient systems regarded as human-machine
system.
PMID- 27212717
TI - A Randomized Clinical Trial Comparing the Standard Mcintosh Laryngoscope and the
C-Mac D blade Video laryngoscopeTM for Double Lumen Tube Insertion for One Lung
Ventilation in Onco surgical Patients.
AB - BACKGROUND AND AIMS: Several devices enabling double-lumen tube (DLT) placement
for thoracic surgeries are available, but there are no studies for D-blade video
laryngoscope-guided DLT insertion. We compared the CMac D-blade
videolaryngoscopeTM and the Macintosh laryngoscope for DLT endobronchial
intubation using parameters of time and attempts required for intubation, glottic
view, incidence of complications and haemodynamic changes. METHODS: Prospective,
parallel group, randomised controlled clinical trial where sixty American Society
of Anesthesiologists I and II patients aged 18-80 years scheduled for thoracic
surgeries entailing DLT placement were randomly allocated in two groups based on
the laryngoscopic device used for endobronchial intubation. Data were subjected
to statistical analysis SPSS (version 17), the paired and Student's t-test for
equality of means. Nominal categorical data between the groups were compared
using Chi-squared test or Fisher's exact test as appropriate. P ? 0.05 was
considered statistically significant. RESULTS: Time required for intubation was
comparable (37.41 +/- 18.80 s in Group-M and 32.27 +/- 11.13 s in Group-D).
Number of attempts and incidence of complications (trauma, DLT cuff rupture,
oesophageal intubation) was greater in the Macintosh group, except malpositioning
into the wrong bronchus (easily rectified fibre-optic bronchoscopically), which
was greater with the D-blade. Greater haemodynamic changes were observed during
Macintosh laryngoscopy. CONCLUSION: D-blade videolaryngoscopeTM is a useful
alternative to the standard Macintosh laryngoscope for routine DLT insertion.
PMID- 27212718
TI - Efficacy of intermittent sub-glottic suctioning in prevention of ventilator
associated pneumonia- A preliminary study of 100 patients.
AB - BACKGROUND AND AIMS: Oropharyngeal colonisation followed by aspiration of
contaminated secretions is the major cause for ventilator-associated pneumonia
(VAP). Pooled secretions present in the sub-glottic area above inflated
endotracheal tube cuff may be aspirated into the lower airways. It was
hypothesised that intermittent suctioning of sub-glottic secretions would prevent
VAP. METHODS: Group I (n = 50) patients were intubated with HiLo EvacTM
endotracheal (ET) tube with facility for sub-glottic suctioning, and Group II (n
= 50) patients were intubated with HiLo ContourTM ET tube without such facility.
In the Group I, sub-glottic suctioning was performed every 2 h. Incidence of VAP,
mean ventilator days, Intensive Care Unit (ICU) stay and mortality were compared.
Qualitative variables were reported as percentages and were compared by Chi
square test or unpaired two-tailed, Fisher's exact test, as appropriate, to
analyse the significance of difference between the two groups. RESULTS: The two
groups were similar with respect to demographic characteristics. VAP was seen in
6% of patients in Group I and 22% of patients in Group II (P = 0.021). Both early
and late-onset VAPs were significantly reduced in Group I. Both ventilator days
(8.0 vs. 6.45; P = 0.001) and ICU stay (8.33 vs. 6.33; P = 0.001) on the day of
onset of VAP were significantly more in the Group I. Total ventilator days were
significantly less (6.52 vs. 8.32; P = 0.006) with lower incidence of mortality
(36% vs. 48%; P = 0.224) in the Group I. CONCLUSION: Intermittent sub-glottic
suctioning reduces the incidence of VAP including late-onset VAP.
PMID- 27212719
TI - Videolaryngoscopes differ substantially in illumination of the oral cavity: A
manikin study.
AB - BACKGROUND AND AIMS: Insufficient illumination of the oral cavity during
endotracheal intubation may result in suboptimal conditions. Consequently,
suboptimal illumination and laryngoscopy may lead to potential unwanted trauma to
soft tissues of the pharyngeal mucosa. We investigated illumination of the oral
cavity by different videolaryngoscopes (VLS) in a manikin model. METHODS: We
measured light intensity from the mouth opening of a Laerdal intubation trainer
comparing different direct and indirect VLS at three occasions, resembling
optimal to less-than-optimal intubation conditions; at the photographer's dark
room, in an operating theatre and outdoors in bright sunlight. RESULTS:
Substantial differences in luminance were detected between VLS. The use of LED
light significantly improved light production. All VLS produced substantial
higher luminance values in a well-luminated environment compared to the dark
photographer's room. The experiments outside-in bright sunlight-were interfered
with by direct sunlight penetration through the synthetic material of the
manikin, making correct measurement of luminance in the oropharynx invalid.
CONCLUSION: Illumination of the oral cavity differs widely among direct and
indirect VLS. The clinician should be aware of the possibility of suboptimal
illumination of the oral cavity and the potential risk this poses for the
patient.
PMID- 27212720
TI - Acoustic puncture assist device versus loss of resistance technique for epidural
space identification.
AB - BACKGROUND AND AIMS: The conventional techniques of epidural space (EDS)
identification based on loss of resistance (LOR) have a higher chance of
complications, patchy analgesia and epidural failure, which can be minimised by
objective confirmation of space before catheter placement. Acoustic puncture
assist device (APAD) technique objectively confirms EDS, thus enhancing success,
with lesser complications. This study was planned with the objective to evaluate
the APAD technique and compare it to LOR technique for EDS identification and its
correlation with ultrasound guided EDS depth. METHODS: In this prospective study,
the lumbar vertebral spaces were scanned by the ultrasound for measuring depth of
the EDS and later correlated with procedural depth measured by either of the
technique (APAD or LOR). The data were subjected to descriptive statistics; the
concordance correlation coefficient and Bland-Altman analysis with 95% confidence
limits. RESULTS: Acoustic dip in pitch and descent in pressure tracing on EDS
localisation was observed among the patients of APAD group. Analysis of
concordance correlation between the ultrasonography (USG) depth and APAD or LOR
depth was significant (r >= 0.97 in both groups). Bland-Altman analysis revealed
a mean difference of 0.171cm in group APAD and 0.154 cm in group LOR. The 95%
limits of agreement for the difference between the two measurements were - 0.569
and 0.226 cm in APAD and - 0.530 to 0.222 cm in LOR group. CONCLUSION: We found
APAD to be a precise tool for objective localisation of the EDS, co-relating well
with the pre-procedural USG depth of EDS.
PMID- 27212721
TI - Learning and performance of endotracheal intubation by paramedical students:
Comparison of GlideScope((r)) and intubating laryngeal mask airway with direct
laryngoscopy in manikins.
AB - BACKGROUND AND AIMS: GlideScope video laryngoscope (GVL) and intubating laryngeal
mask airway (I-LMA) may be used to facilitate intubation and secure the airway in
patients with normal and abnormal airways. The aim of this study was to evaluate
whether (GVL) and (I-LMA) facilitate and improve the tracheal intubation success
rate and could be learned and performed easily by paramedic students when
compared with Macintosh direct laryngoscopy (DL). METHODS: This study was a
prospective, randomised crossover trial that included 100 paramedic students.
Macintosh DL, I-LMA and GVL were tested in both normal and difficult airway
scenarios. Each participant was allowed up to three intubation attempts with each
device, in each scenario. The time required to perform tracheal intubation, the
success rate, number of intubation attempts and of optimisation manoeuvres and
the severity of dental trauma were recorded. Statistical analysis was performed
using Chi-square, one-way ANOVA, or Kruskal-Wallis test as appropriate, followed
by post hoc test. RESULTS: GVL and I-LMA required less time to successfully
perform tracheal intubation, showed a greater success rate of intubation, reduced
the number of intubation attempts and optimization manoeuvres required and
reduced the severity of dental trauma compared to Macintosh DL in both normal and
difficult airway scenarios. CONCLUSION: GVL and I-LMA provide better airway
management than Macintosh DL in both normal and difficult airway scenarios.
PMID- 27212722
TI - Air-Q intubating laryngeal airway: A study of the second generation supraglottic
airway device.
AB - BACKGROUND AND AIMS: Air-Q intubating laryngeal mask airway (ILA) is used as a
supraglottic airway device and as a conduit for endotracheal intubation. This
study aims to assess the efficacy of the Air-Q ILA regarding ease of insertion,
adequacy of ventilation, rate of successful intubation, haemodynamic response and
airway morbidity. METHODS: Sixty patients presenting for elective surgery at our
Medical College Hospital were selected. Following adequate premedication,
baseline vital parameters, pulse rate and blood pressure were recorded. Air-Q
size 3.5 for patients 50-70 kg and size 4.5 for 70-100 kg was selected. After
achieving adequate intubating conditions, Air-Q ILA was introduced. Confirming
adequate ventilation, appropriate sized endotracheal tube was advanced through
the Air-Q blindly to intubate the trachea. Placement of the endotracheal tube in
trachea was confirmed. RESULTS: Air-Q ILA was successfully inserted in 88.3% of
patients in first attempt and 11.7% patients in second attempt. Ventilation was
adequate in 100% of patients. Intubation was successful in 76.7% of patients with
Air-Q ILA. 23.3% of patients were intubated by direct laryngoscopy following
failure with two attempts using Air-Q ILA. Post-intubation the change in heart
rate was statistically significant (P < 0.0001). 10% of patients were noted to
have a sore throat and 5% of patients had mild airway trauma. CONCLUSION: Air-Q
ILA is a reliable device as a supraglottic airway ensuring adequate ventilation
as well as a conduit for endotracheal intubation. It benefits the patient by
avoiding the stress of direct laryngoscopy and is also superior alternative
device for use in a difficult airway.
PMID- 27212723
TI - Anaesthetic management of a patient with Pompe disease for kyphoscoliosis
correction.
AB - Pompe disease (PD) is a type II glycogen storage disease, characterised by
abnormal glycogen deposition, mainly in heart and skeletal muscles, leading to
progressive loss of muscle function. The infantile variety is associated with
severe hypertrophic cardiomyopathy and generally do not reach adulthood. The
juvenile variety presents with progressive muscle weakness and respiratory
failure. Anaesthetic management concerns in the patient reported here were mainly
due to respiratory failure, myopathy and sensitivity to muscle relaxants and
significant haemodynamic changes perioperatively. We successfully managed a 13
year-old girl with juvenile PD on respiratory support scheduled for thoracolumbar
kyphoscoliosis corrective surgery. Ketamine and dexmedetomidine were used for
induction of anaesthesia and maintenance. Muscle relaxants were diligently
avoided in this case.
PMID- 27212724
TI - Differentiating the headache of cerebral venous thrombosis from post-dural
puncture: A headache for anaesthesiologists.
AB - Cerebral venous thrombosis (CVT) is a rare complication of lumbar puncture.
Occasionally, the clinical picture of CVT may mimic post-dural puncture headache
(PDPH) resulting in delayed diagnosis. A case of PDPH progressing to CVT is
presented and the pathophysiology, diagnostic challenges and management options
discussed in this article.
PMID- 27212725
TI - Spinal epidural haematoma following removal of epidural catheter after an
elective intra-abdominal surgery.
PMID- 27212726
TI - Case of limb-girdle muscular dystrophy for total thyroidectomy: Anaesthetic
management.
PMID- 27212727
TI - Pneumocephalus following spinal anaesthesia for spine surgery.
PMID- 27212728
TI - Incremental epidural anaesthesia for emergency caesarean section in a patient
with ostium secundum atrial septal defect and severe pulmonary stenosis with
right to left shunt.
PMID- 27212729
TI - Meconium peritonitis: A rare neonatal surgical emergency.
PMID- 27212730
TI - Transient loss of voice during labour analgesia.
PMID- 27212731
TI - A novel bougie for exchange of double lumen tube.
PMID- 27212732
TI - The use of four-point transversus abdominis plane block for liver resection.
PMID- 27212733
TI - Rectal puncture during caudal anaesthesia.
PMID- 27212734
TI - Post-procedure adhesive arachnoiditis following obstetric spinal anaesthesia.
PMID- 27212735
TI - Anaesthestic management of sacrococcygeal teratoma in infants.
PMID- 27212736
TI - Application of the double paddle oscillator for quantifying environmental,
surface mass variation.
AB - Sub-monolayer sensitivity to controlled gas adsorption and desorption is
demonstrated using a double paddle oscillator (DPO) installed within an UHV
(ultra-high vacuum) environmental chamber equipped with in situ film deposition,
(multi)gas admission and temperature control. This effort is intended to
establish a robust framework for quantitatively comparing mass changes due to gas
loading and unloading on different materials systems selected or considered for
use as mass artifacts. Our apparatus is composed of a UHV chamber with gas
introduction and temperature control and in-situ materials deposition for future
materials testing enabling in situ preparation of virgin surfaces that can be
monitored during initial exposure to gasses of interest. These tools are designed
to allow us to comparatively evaluate how different materials gain or lose mass
due to precisely controlled environmental excursions, with a long term goal of
measuring changes in absolute mass. Herein, we provide a detailed experimental
description of the apparatus, an evaluation of the initial performance, and
demonstration measurements using nitrogen adsorption and desorption directly on
the DPO.
PMID- 27212737
TI - Undergraduate journal club as an intervention to improve student development in
applying the scientific process.
AB - Active learning improves student performance in STEM courses. Exposure to active
learning environments generally occurs through traditional laboratory courses and
independent research, both of which require access to resources that are limited
at many universities. A previously reported active learning-based undergraduate
journal club improved student achievement in communicating science. Here, we
expanded on this previous journal club to improve student performance in the
process of science. We developed and implemented a series of workshops and
seminars referred to as "CASL Club," an undergraduate journal club targeted at
improving student development in applying the scientific process. Students were
surveyed before and after CASL club about their confidence in accessing,
analyzing, and reporting scientific research. Post-CASL club, the students
reported increases in confidence in their abilities to access and present
scientific articles and write scientific abstracts. Additionally, the students
reported improved confidence and performance in their courses. Compared to the
previous journal club study, the majority of sampled journal club participants
were not exposed to primary literature as part of their general coursework. Our
results illustrate active-learning based undergraduate journal clubs as a way to
expose students to primary literature and improve students' ability to apply
scientific process in an active-learning environment at resource-limited
universities.
PMID- 27212738
TI - Generalizing Quantile Regression for Counting Processes with Applications to
Recurrent Events.
AB - In survival analysis, quantile regression has become a useful approach to account
for covariate effects on the distribution of an event time of interest. In this
paper, we discuss how quantile regression can be extended to model counting
processes, and thus lead to a broader regression framework for survival data. We
specifically investigate the proposed modeling of counting processes for
recurrent events data. We show that the new recurrent events model retains the
desirable features of quantile regression such as easy interpretation and good
model flexibility, while accommodating various observation schemes encountered in
observational studies. We develop a general theoretical and inferential framework
for the new counting process model, which unifies with an existing method for
censored quantile regression. As another useful contribution of this work, we
propose a sample-based covariance estimation procedure, which provides a useful
complement to the prevailing bootstrapping approach. We demonstrate the utility
of our proposals via simulation studies and an application to a dataset from the
US Cystic Fibrosis Foundation Patient Registry (CFFPR).
PMID- 27212739
TI - Stepwise Signal Extraction via Marginal Likelihood.
AB - This paper studies the estimation of stepwise signal. To determine the number and
locations of change-points of the stepwise signal, we formulate a maximum
marginal likelihood estimator, which can be computed with a quadratic cost using
dynamic programming. We carry out extensive investigation on the choice of the
prior distribution and study the asymptotic properties of the maximum marginal
likelihood estimator. We propose to treat each possible set of change-points
equally and adopt an empirical Bayes approach to specify the prior distribution
of segment parameters. Detailed simulation study is performed to compare the
effectiveness of this method with other existing methods. We demonstrate our
method on single-molecule enzyme reaction data and on DNA array CGH data. Our
study shows that this method is applicable to a wide range of models and offers
appealing results in practice.
PMID- 27212740
TI - Publish or perish but do not publish and perish.
PMID- 27212741
TI - Delayed recovery from anesthesia: A postgraduate educational review.
AB - Delayed awakening from anesthesia remains one of the biggest challenges that
involve an anesthesiologist. With the general use of fast-acting anesthetic
agents, patients usually awaken quickly in the postoperative period. The time to
emerge from anesthesia is affected by patient factors, anesthetic factors,
duration of surgery, and painful stimulation. The principal factors responsible
for delayed awakening following anesthesia are anesthetic agents and medications
used in the perioperative period. Nonpharmacological causes may have a serious
sequel, hence recognizing these organic conditions is important. Certain
underlying metabolic disorders such as hypoglycemia, severe hyperglycemia, and
electrolyte imbalance, especially hypernatremia, hypoxia, hypercapnia, central
anticholinergic syndrome, chronic hypertension, liver disease, hypoalbuminemia,
uremia, and severe hypothyroidism may also be responsible for delayed recovery
following anesthesia. Unexpected delayed emergence after general anesthesia may
also be due to intraoperative cerebral hypoxia, hemorrhage, embolism, or
thrombosis. Accurate diagnosis of the underlying cause is the key for the
institution of appropriate therapy, but primary management is to maintain airway,
breathing, and circulation. This comprehensive review discusses the risk factors,
causes, evaluation and management of delayed recovery based on our clinical
experience, and literature search on the internet, supported by the standard
textbooks of anesthesiology.
PMID- 27212743
TI - Regional anesthesia in difficult airway: The quest for a solution continues.
AB - Difficult airway, a scenario with potentially life threatening outcome, is
routinely encountered by an anesthesiologist leaving him with the dilemma of
whether to use regional anesthesia (RA) or general anesthesia. Our study aims to
look into this problem. The literature search was performed in the Google,
PubMed, and Medscape using key words "regional anesthesia, difficult airway,
pregnancy, ventilation, intubation, epidural anesthesia, nerve blocks." More than
38 free full articles and books published from the year 1987 to 2014 were
retrieved and studied. At first sight, RA may appear to offer an ideal solution
as it helps to avoid the problem of difficult airway. However, the possibility of
a total spinal block, failed or incomplete RA, local anesthetic toxicity or
unforeseen surgical complication may make it imperative that the airway is
secured. The correct decision can only be made by the anesthetist when all the
relevant clinical information is taken into account. It is also important to
ensure that before considering RA in a patient of difficult airway, an
anesthesiologist must have a preformulated strategy for intubation.
PMID- 27212742
TI - Is acupuncturing effective in controlling the gag reflex during dental
procedures? A review of literature.
AB - Traditional acupuncture has been introduced more than 2500 years ago which
provides an alternative and complementary option during clinical practices. Its
main mechanism is based on stimulating the nerves by altering the processes and
perception of pain transmitters. It facilitates releasing natural pain relievers
such as endorphins and serotonin. Its success for various dental procedures has
been proved earlier. However, its effects on controlling the gag reflex seem to
be overlooked. The gag reflex is recognized as a protective reaction for stopping
the entrance of any foreign bodies into the oropharynx. Pronounced gag reflexes
can have negative impacts on the quality of dental procedures. Many techniques
have been suggested for managing this reflex and acupuncturing is one of those
which seems to be overlooked recently. The aim of this paper is reviewing the
published high-quality researches about the efficacy of this technique for
eliminating the gag reflex during dental procedures.
PMID- 27212744
TI - Efficacy of ultrasound-guided mandibular block in predicting safer anesthetic
induction.
AB - BACKGROUND: Mandibular nerve block reverses the trismus caused by pain and muscle
spasm, thereby allowing for selection of a safer intubation technique. AIMS: As
ultrasonographic imaging has added newer dimensions to clinical anesthesia
practice, we utilized this tool in performing mandibular nerve block and
evaluated its efficacy in segregating trismus patients on etiological basis, to
predict safer anesthetic induction. SETTINGS AND DESIGN: Prospective, randomized
controlled, outcome assessor blinded trial. MATERIALS AND METHODS: Sixty-eight
patients with unilateral mandibular fracture, acute pain, and trismus were
randomized to receive mandibular nerve block by Vazirani-Akinosi approach (Group
V) or the ultrasound-guided (USG) technique (Group U) before the general
anesthetic induction for corrective surgery. Visual Analog Scale (VAS) score and
inter-incisor distance was measured at intervals. Primary outcome measure was
blocked failure (continued pain [VAS > 30] after the block procedure).
STATISTICAL ANALYSIS USED: Mann-Whitney U-test and Wilcoxon signed rank test.
RESULTS: There was a significant decrease in pain score following the block
procedure, except for six patients (block failure) in Group V. Inter-incisor
distance increased to near-maximal levels after the nerve blockade, except for
nine patients in Group V (including all block failures) and four in Group U
having continued limited mouth opening. General anesthetic induction increased
the inter-incisor gap in block failures (Group V) only. CONCLUSION: USG
mandibular block appears to relieve reversible trismus more reliably, thereby
allowing for a precise decision on a safer intubation technique.
PMID- 27212745
TI - A randomized control study comparing the pharyngolaryngeal morbidity of laryngeal
mask airway versus endotracheal tube.
AB - INTRODUCTION: Endotracheal tube (ETT) has been associated with various
pharyngolaryngeal morbidities (PLMs) following general anesthesia (GA). Laryngeal
mask airway (LMA), currently the most commonly used supraglottic airway device,
has several advantageous over the ETT but has been associated with varying
results of PLM. The aim of our study was to compare the PLM between them and to
know whether LMA is a better alternative. MATERIALS AND METHODS: One hundred and
seventy American Society of Anesthesiologists Grades 1 and 2 women scheduled for
elective mastectomy were included in the study, 85 each in either group, E Group
(intubated with ETT) and L Group (using LMA) on a random basis. All patients
received GA with controlled ventilation using a muscle relaxant. PLMs such as
hoarseness, pain on phonation, sore throat, and difficulty in swallowing were
documented by an interview done postoperatively. Peroperative parameters such as
intubation attempts, trauma during airway device insertion, and intraoperative
incidents were also analyzed. A sample size of 85 patients in each group was
calculated in order to achieve a study power of 0.8 and alpha level was taken as
0.05. Data were analyzed using SPSS version 16 using Chi-square test, Mann
Whitney U-test and Fisher's exact test were used as nonparametric tests. A two
tailed P< 0.05 was considered significant. RESULTS: Patients in E Group had
statistically significant increased incidence of a sore throat and voice
complaints whereas L Group showed a statistically significant increase of
swallowing problems. There was also a significant correlation between traumatic
insertion and sore throat, pain on swallowing in the L Group, which could be due
to direct trauma. CONCLUSIONS: ETT was associated with an increased incidence of
voice problems and sore throat whereas LMA had an increased incidence of
dysphagia and odynophagia. Use of LMA changes the pharyngolaryngeal profile to a
more acceptable one.
PMID- 27212746
TI - Effect of intrathecal hyperbaric bupivacaine with small dose clonidine versus
hyperbaric bupivacaine alone in lower abdominal surgeries: A comparative study.
AB - CONTEXT: The continued success of regional anesthetic techniques can be credited
due to improved local anesthetic drugs, with lower toxicities and longer duration
of action, but still many efforts are being done to increase the duration of the
block and postoperative analgesia. Therefore, use of adjuvant drugs for
anesthesia is intended to prolong analgesia and preventing deleterious clinical
effects of local anesthetics. AIMS: The present study is designed to evaluate
effects of intrathecal hyperbaric bupivacaine versus hyperbaric bupivacaine with
low dose clonidine on the onset and level of sensory and motor block, the
intensity of motor blockade, and time of analgesia required in the postoperative
period. SETTINGS AND DESIGN: Study area were our operation theater and
postanesthesia care unit of Department of Anesthesiology, this was a prospective,
randomized, placebo-controlled, and double-blind study for a period of 1 year
comprising of 60 patients. MATERIALS AND METHODS: Patients were randomly
allocated into two groups: Group 1 (S) (n = 30): Patients received intrathecal
hyperbaric bupivacaine 12.5 mg with normal saline 0.5 ml and Group 2 (C) (n =
30): Patients received intrathecal hyperbaric bupivacaine 12.5 mg with 1 MUg/kg
clonidine (approximated to 0.5 ml with normal saline). STATISTICAL ANALYSIS: Two
groups were compared by Student's t-test, Chi-square test; ANOVA and significance
of mean difference bet were done by Newman-Keuls test. RESULTS AND CONCLUSION:
Duration of analgesia was prolonged in Group C (363.07 +/- 166.30 min) patients
compared to Group S patients (226.95 +/- 119 min) and they also required less top
up analgesic in the postoperative period.
PMID- 27212747
TI - Comparative clinical study of gabapentin and pregabalin for postoperative
analgesia in laparoscopic cholecystectomy.
AB - BACKGROUND: Reduction in central sensitization by gabapentinoids that include
gabapentin and pregabalin may reduce acute postoperative pain. AIMS: The aim of
this study is to evaluate postoperative analgesic benefit and efficacy in
patients administered with oral gabapentin or pregabalin as premedication for
laparoscopic cholecystectomy under general anesthesia. SETTINGS AND DESIGN:
Randomized, prospective, and comparative study. MATERIALS AND METHODS: In this
study, recruited patients were randomly allocated in three groups. Groups A, B,
and C received 2 capsules of B complex, 3 capsules of 300 mg gabapentin each, and
2 capsules of 75 mg pregabalin, respectively, each in 30 patients of each group,
1 h before induction of anesthesia. Postoperative efficacy among these three
groups was compared with respect to increase in duration of analgesia, reduction
in postoperative pain scores, total postoperative requirements of analgesics and
side effects. STATISTICAL ANALYSIS: Mean and standard deviation were calculated.
Test of analysis between two groups was done by t-test and among three groups by
analysis of variance, and then P value was calculated. RESULTS: Pregabalin and
gabapentin group had lower visual analog scale (VAS) score (P < 0.05), prolonged
timing of first rescue analgesic (4.67 +/- 14.79 vs. 158 +/- 13.10 vs. 343.16 +/-
9.69) min, and less opioid consumption (169.87 +/- 20.32 vs. 116.13 +/- 14.08 vs.
64.67 +/- 16.69) mg compared to placebo group. Between the gabapentinoids,
pregabalin group had lower VAS score, prolonged timing of first rescue analgesic,
and less opioids consumption than the gabapentin group. CONCLUSION: It is
concluded in this study that pregabalin group had lower VAS score, prolonged
timing of first rescue analgesic, and less opioids consumption than the
gabapentin group. Both gabapentinoids had better postoperative analgesic profile
than placebo.
PMID- 27212748
TI - Influence of two anesthetic techniques on blood sugar level in head injury
patients: A comparative study.
AB - BACKGROUND: Head injury presents a major worldwide social, economic, and health
problem. Hyperglycemia is a significant indicator of the severity of injury and
predictor of outcome, which can easily be prevented. There has been a long
standing controversy regarding the use of inhalational or intravenous (i.v.)
anesthetic agents for surgery of head injury cases and impact of these agents on
blood sugar level. AIMS AND OBJECTIVES: The aim of this study is to find out
anesthetic drugs and technique having minimal or no effect on the blood sugar,
and Glasgow Coma Scale (GCS) of patients with a head injury by comparing two
types of anesthetic techniques in surgery of head injury patients. MATERIALS AND
METHODS: This was a prospective, randomized, and comparative study, conducted on
60 adult head injury patients. The patients were divided into two groups of 30
each. Group I patients received induction with sevoflurane and then had O2 + air
+ sevoflurane for maintenance with controlled ventilation. Group II patients
received induction with i.v. propofol and then had O2 + air + propofol for
maintenance with controlled ventilation. Injection fentanyl was used in both the
groups at the time of induction and in intermittent boluses in maintenance. In
observation, blood sugar level and mean arterial pressure were assessed at
different time periods perioperatively in both groups while GCS was analyzed pre-
and post-operatively. STATISTICAL ANALYSIS: Statistical analysis was performed by
Microsoft Excel 2010 using t-test for comparison between the two groups and Z
test for comparison of proportions. RESULTS AND CONCLUSION: Blood sugar level was
found significantly higher in patients of sevoflurane group at 30 min after
induction, at the end of surgery, and 1 h after the end of anesthesia than
propofol group patients. This increase of blood sugar level did not have any
significant alteration in the GCS profile of the patients in sevoflurane group as
compared to propofol group patients. Nausea and vomiting were found more in
sevoflurane group while hypotension and bradycardia were found more with propofol
group.
PMID- 27212749
TI - Respiratory and hemodynamic outcomes following exchange extubation with laryngeal
mask airway as compared to traditional awake extubation.
AB - BACKGROUND: Traditional awake extubation leads to respiratory complications and
hemodynamic response which are detrimental in neurosurgery, ENT surgery and
patients with comorbidities. AIMS: The primary objective was to compare the
respiratory complications and hemodynamic stress response between traditional
awake extubation of a endotracheal tube (ETT) and that following exchange
extubation of ETT by using a laryngeal mask airway (LMA). SETTINGS AND DESIGN:
This prospective randomized study was conducted in a Tertiary Care Centre in 60
American Society of Anesthesiologists I and II patients coming for general
surgery. MATERIALS AND METHODS: Patients were randomized by permuted blocks into
traditional awake extubation group and exchange extubation group. At the end of
surgery in traditional group, awake extubation of ETT was done. In exchange
group, 0.3 mg/kg propofol was administered, and the ETT was exchanged for a LMA.
Awake extubation of LMA was then performed. Respiratory complications such as
bucking, coughing, desaturation and the need for airway maneuvers and hemodynamic
response were noted in both groups. ANALYSIS TOOLS: Chi-square test, independent
sample t- and paired t-tests were used as applicable. RESULTS: Incidence of
respiratory complication was 93.3% in traditional extubation while it was only
36.7% in exchange extubation group (P < 0.001). Hemodynamic response measured
immediately at extubation in terms of heart rate, systolic blood pressure (BP),
diastolic BP, mean arterial pressure, and rate pressure product were all
significantly lesser in exchange group when compared to traditional extubation.
CONCLUSION: Exchange extubation with LMA decreases respiratory complications and
hemodynamic stress response when compared to traditional awake extubation.
PMID- 27212750
TI - Comparative study for better adjuvant with ropivacaine in epidural anesthesia.
AB - BACKGROUND: Better adjuvants for epidural analgesia are still evolving.
Dexmedetomidine that is alpha-2 agonist can be used as an adjuvant in epidural
analgesia and anesthesia. AIMS: The aim of this study was to compare the effect
of dexmedetomidine versus clonidine in combination with ropivacaine in epidural
anesthesia on intraoperative and postoperative analgesia, to find out the better
adjuvant for regional anesthesia. SETTINGS AND DESIGN: Randomized control trial.
MATERIALS AND METHODS: Sixty adult patients (18-60 years) with American Society
of Anesthesiologists (ASA) 1/ASA 2 grade and undergoing lower abdominal and lower
limbs surgeries were included and randomized into three groups of 20 patients
each. Group 1 - received ropivacaine with normal saline. Group 2 - received
ropivacaine with dexmedetomidine. Group 3 - received ropivacaine with clonidine.
STATISTICAL ANALYSIS: Mean and Standard deviation were calculated. All the data
were analyzed using analysis of variance and Chi-square test. The value of P<
0.05 was considered significant. RESULTS: All the three groups were comparable
with respect to age, sex, and ASA grade. There was statistically significant mean
time to reach T10 sensory block level (15.8, 5.7, 9.6 min in Groups 1, 2, and 3,
respectively). The maximum duration of analgesia was statistically higher in
Group 2 patients (383.7 vs. 365.3 and 280.5 min in Group 3 and Group 1,
respectively). The mean time to reach motor block was significantly shorter in
Group 2. Side effects were comparable in all groups with statistically
insignificant fall in mean arterial pressure and hypotension was noted with Group
2. CONCLUSION: We concluded that the patients receiving the addition of
dexmedetomidine to ropivacaine in epidural anesthesia had a faster onset and
longer duration of sensory and motor blockade. Dexmedetomidine in comparison to
clonidine had acceptable sedation and hemodynamic stability and minimal dose
requirement make very effective adjuvant in epidural anesthesia with comparable
side effects.
PMID- 27212751
TI - Perioperative communication practices of anesthesiologists: A need to introspect
and change.
AB - BACKGROUND: We conducted an audit of various communication practices during
perioperative care among anesthesiology resident (R), faculties (F), and private
practitioners (PP) in South India. We also documented the level of psycho-social
support given to the patient and patient relatives and communication with the
surgical colleagues during perioperative care. METHODOLOGY: A 15-point
questionnaire based on communication practices was distributed in three major
anesthesiology conferences requesting anesthesiologists to answer and drop the
forms in the drop box provided. Resident and consultant level anesthesiologists
of various Medical Schools in South India and private practitioners were involved
in the study. The answers were analyzed to find out the various communication
practices in perioperative care. RESULTS: The freelancing private practitioners
(PP) were more communicative to patient and relatives especially in complicated
cases (Q1 - 45.6%, Q2 - 97.8%, Q4 - 94.4%, Q8 - 98.9%, respectively) in
comparison with institutionalized practitioners. The choice of anesthesia and
discussion related to the advantages of one mode of anesthesia over other was the
most neglected part of communication (R - 14.2%, F - 17.6%, and PP - 5.6%). The
PP's (95.6%) are more concerned about intraoperative communication (R - 27.6%, F
39.2%). The discussion of postoperative pain management plan with the surgeon is
not given priority in the communication by postgraduates (7.9%) and teaching
faculties (8.8%). CONCLUSION: There is an urgent need to introspect and change
the communication practices. We strongly recommend that communications skills
should be introduced in anesthesiology resident curriculum.
PMID- 27212752
TI - Dexmedetomidine as an adjuvant to bupivacaine in caudal analgesia in children.
AB - CONTEXT: Postoperative pain management is becoming an integral part of anesthesia
care. Various techniques of pediatric pain relief have been designed among which
the most commonly practiced is caudal epidural block. Several adjuvants have been
used to prolong the duration of caudal analgesia such as clonidine, neostigmine,
ketamine, opioids, and ephedrine. We have designed the study using
dexmedetomidine as an adjuvant to assess analgesic efficacy, duration of
postoperative analgesia, hemodynamic stability, postoperative sedation, and any
adverse effects in children. AIMS: The aim is to study the effects of
dexmedetomidine as an adjuvant to bupivacaine in caudal analgesia in pediatric
patients posted for infraumbilical surgeries. SETTINGS AND DESIGN: This is a
randomized, double-blind study in which effect of dexmedetomidine is studied when
added to bupivacaine in the caudal epidural block. The observations are made
intraoperatively for hemodynamic stability and postoperatively for the duration
of analgesia. SUBJECTS AND METHODS: This study was conducted in 100 children of
American Society of Anesthesiologists physical status I and II, aged 2-10 years,
undergoing elective infraumbilical surgeries. They were divided into two groups
as follows: Group A: (0.25%) bupivacaine 1 ml/kg + normal saline (NS) 1 ml. Group
B: (0.25%) bupivacaine 1 ml/kg + 1 MUg/kg dexmedetomidine in 1 ml NS. As this
study was double-blind, patients were randomly assigned to receive either
(bupivacaine + saline) or (bupivacaine + dexmedetomidine) in each group. The
patients were observed for hemodynamic stability, respiratory depression, and
postoperative pain using face, legs, activity, cry, consolability (FLACC) pain
scale for 24 h postoperatively. STATISTICAL ANALYSIS USED: Unpaired Student's t
test. RESULTS: The mean duration of effective analgesia in Group A patients was
4.33 +/- 0.98 h versus 9.88 +/- 0.90 h in Group B patients. Likewise, the
difference in mean FLACC score of both the groups was also statistically
significant, 7.21 +/- 0.76 and 6.49 +/- 1.72 in Group A and Group B,
respectively. CONCLUSION: Dexmedetomidine as adjuvant to Bupivacaine increases
duration of caudal analgesia and improves hemodynamic stability without an
increase in adverse effects in children undergoing infraumbilical surgeries.
PMID- 27212753
TI - Comparison of the ease of tracheal intubation by postgraduate residents of
anesthesiology using AirtraqTM and Macintosh laryngoscopes: An observational
study.
AB - CONTEXT: AirtraqTM (Prodol Meditec, Vizcaya, Spain) is a recently developed
laryngoscope, which facilitates easy visualization of glottis through a matrix of
sequentially arranged lenses and mirrors. In this observatory study, we sought to
compare the ease of tracheal intubation with AirtraqTM and Macintosh laryngoscope
when performed by 2(nd) year postgraduate residents of Anesthesiology in NRI
Medical College, Mangalagiri. AIMS: To compare the ease of tracheal intubation by
AirtraqTM laryngoscope with that by Macintosh laryngoscope among the 2(nd) year
postgraduate residents of anesthesiology in terms of time taken for intubation
and the rise of rate-pressure product (RPP) with intubation. SETTINGS AND DESIGN:
Prospective randomized observational study. SUBJECTS AND METHODS: Eighty adult
and healthy patients with an easy airway, scheduled for general anesthesia were
allocated into two groups A, and M. Patients in Group A were intubated with
AirtraqTM laryngoscope and those in Group M were intubated with Macintosh
laryngoscope by the 2(nd) year postgraduate residents of anesthesiology. The time
taken for intubation, the RPPs at baseline, after induction of general
anesthesia, postintubation, at 3 and 5 min after intubation, the rise of RPP to
intubation and the occurrence of a sore throat were compared between the two
groups. STATISTICAL ANALYSIS USED: Descriptive and inferential statistical
methods were used to analyze the data. RESULTS: The mean time for intubation in
Macintosh group was 28.18 s and was 40.98 s in Airtraq group. The mean rise of
RPP to intubation was 4644.83 in Airtraq group and 2829.27 in Macintosh group.
The incidence of a sore throat was equal in both the groups. CONCLUSIONS: The
time for intubation and the sympathetic response to airway instrumentation were
more with AirtraqTM laryngoscope than with Macintosh laryngoscope.
PMID- 27212754
TI - Comparative study between nalbuphine and ondansetron in prevention of intrathecal
morphine-induced pruritus in women undergoing cesarean section.
AB - BACKGROUND: Intrathecal morphine provides effective postoperative analgesia, but
their use is associated with numerous side effects, including pruritus, nausea,
vomiting, urinary retention, and respiratory depression. Pruritus is the most
common side effect with a reported incidence of 58-85%. OBJECTIVES: This
prospective, randomized, and double-blinded study was performed for women
scheduled for cesarean delivery using spinal anesthesia to compare nalbuphine and
ondansetron in the prevention of intrathecal morphine-induced pruritus. PATIENTS
AND METHODS: Ninety women after spinal anesthesia with hyperbaric bupivacaine and
intrathecal morphine patients randomly divided into three groups. Women in
placebo group (P group) received 4 ml of normal saline intravenous (IV)
injection, nalbuphine group (N group) received 4 ml of a 4 mg nalbuphine IV
injection, and ondansetron 4 group (O group) received 4 ml of a 4 mg ondansetron
IV injection, immediately after delivery of the baby. Studied women observed in
postanesthesia care unit for 4 h. The primary outcome measures success of the
treatment, defined as a pruritus score 1 (no pruritus) or 2 (mild pruritus - no
treatment required) at 20 min after treatment. RESULTS: Although, three was no
significant difference between the three studied groups regarding; score 1
pruritus, while, score 2 pruritus (mild pruritus - no treatment requested) was
significantly high in N and O groups compared to placebo group. Pruritus score 1
(no pruritus) plus pruritus score 2 were significantly high in N and O groups
compared to placebo group (20 cases, 20 cases, 5 cases; respectively, P = 0.008).
In addition; score 3 pruritus (moderate - treatment requested) was significantly
less in N and O groups compared to placebo group. CONCLUSION: Nalbuphine and
ondansetron were found to be more effective than placebo for prevention of
intrathecal morphine-induced pruritus in women undergoing cesarean delivery and
nalbuphine is preferred than ondansetron because it is not excreted in the breast
milk.
PMID- 27212755
TI - Comparison of GlideScope video laryngoscope with Macintosh laryngoscope in adult
patients undergoing elective surgical procedures.
AB - BACKGROUND: GlideScope (GS) is a video laryngoscope that allows a real-time view
of the glottis and endotracheal intubation. It provides a better view of the
larynx without the need for alignment of the airway axes. AIM: This prospective
randomized comparative study is designed to compare the intubation time,
hemodynamic response, and complications associated with intubation using a GS or
Macintosh laryngoscope (ML) in adult subjects undergoing elective surgical
procedures. MATERIALS AND METHODS: Sixty American Society of Anesthesiologists
physical status 1-2 patients were included in this prospective randomized
comparative study. Patients were randomized to be intubated using either a GS or
an ML. The primary outcome measure was the intubation time. The secondary outcome
measures were the hemodynamic response to intubation and the incidence of mucosal
injury. STATISTICAL ANALYSIS: Mean and standard deviation were calculated for
different parameters under the study. The observed results were analyzed using
Student's t-test for quantitative data and Z-test of proportions. P<0.05 was
considered statistically significant. RESULTS: Intubation time was longer in GS
group (45.7033 +/- 11.649 s) as compared to ML (27.773 +/- 5.122 s) P< 0.0001
with 95% confidence interval (95% CI) -13.2794 to -22.5806. GS provided better
Cormack and Lehane laryngoscopic view (P = 0.0016 for grade 1 view) with 95% CI
0.1389 to -0.5951. GS group exhibited more laryngoscopic response than ML group
with more increase in blood pressure and heart rate, but the difference was not
statistically significant. More cases of mucosal trauma were documented in GS
group. CONCLUSION: Use of GS to facilitate intubation led to better glottic view
but took a longer time to achieve endotracheal intubation. GS was associated with
more hemodynamic response to intubation and mucosal injury in comparison with an
ML.
PMID- 27212756
TI - Postoperative analgesia in pediatric herniotomy - Comparison of caudal
bupivacaine to bupivacaine infiltration with diclofenac suppository.
AB - CONTEXT: Perioperative analgesia in paediatric herniotomies demand safe,
effective and less invasive strategies. Local infiltration with Bupivacaine,
rectal Diclofenac and caudal Bupivacaine are widely used for pain relief. AIMS:
To compare the analgesic effects of caudal epidural using 1 mlkg(-1) of 0.25%
Bupivacaine against a combination of local infiltration 0.25% Bupivacaine 0.5
mlkg(-1) with Diclofenac suppository 2 mgkg(-1) in the management of post
operative pain following paediatric inguinal herniotomy. SETTINGS AND DESIGN:
This is an observational study from a tertiary care teaching hospital. METHODS
AND MATERIAL: A total of 60 children for elective unilateral inguinal herniotomy
were assigned to two groups of 30 each. Patients who received caudal block with 1
mlkg(-1) of 0.25% Bupivacaine were allocated to Group A and who received
Diclofenac suppository 2 mgkg(-1) and infiltration with 0.25% Bupivacaine 0.5
mlkg(-1) were allocated to Group B. Post operative Pain was assessed using
Hannallah's modified objective pain scale. At score >=3 rescue analgesic oral
Paracetamol 15 mgkg(-1) was given. Pain was assessed at 0,15,30,45,60 minutes and
half hourly thereafter until 8 hours following surgery or until patient requires
rescue analgesic whichever happens first. STATISTICAL ANALYSIS USED: Employed
SPSS software. Data was analysed using sample t test and P-value was calculated.
RESULTS: The demographic profile was comparable between two groups. The mean
analgesic duration in group A and group B was 228.5 and 331.0 minutes
respectively and is found to be statistically significant (P < 0.05).
CONCLUSIONS: Diclofenac suppository with local infiltration is a less invasive
and effective alternative to caudal Bupivacaine for analgesia in paediatric
herniotomy.
PMID- 27212757
TI - The efficacy of combined regional nerve blocks in awake orotracheal fiberoptic
intubation.
AB - AIMS OF STUDY: To evaluate the efficacy, hemodynamic changes, and patient comfort
during awake fiberoptic intubation done under combined regional blocks. MATERIALS
AND METHODS: In the present observational study, 50 patients of American Society
of Anesthesiologists ( ASA) Grade I-II, Mallampati Grade I-IV were given nerve
blocks - bilateral glossopharyngeal nerve block, bilateral superior laryngeal
nerve block, and recurrent laryngeal nerve block before awake fiberoptic
intubation using 2% lidocaine. RESULTS: Procedure was associated with minimal
increases in hemodynamic parameters during the procedure and until 3 min after
it. Most of the intubations were being carried out within 3 min. Patient comfort
was satisfactory with 90% of patients having favorable grades. DISCUSSION: The
most common cause of mortality and serious morbidity due to anesthesia is from
airway problems. One-third of all anesthetic deaths are due to failure to
intubate and ventilate. Awake flexible fiberoptic intubation under local
anesthesia is now an accepted technique for managing such situations. In awake
patient's anatomy, muscle tone, airway protection, and ventilation are preserved,
but it is essential to sufficiently anesthetize the upper airway before the
performance of awake fiberoptic bronchoscope-guided intubation to ensure patient
comfort and cooperation for which in our study we used the nerve block technique.
CONCLUSION: A properly performed technique of awake fiberoptic intubation done
under combined regional nerve blocks provides good intubating conditions, patient
comfort and safety and results in minimal hemodynamic changes.
PMID- 27212758
TI - Gabapentin vs pregabalin as a premedication in lower limb orthopaedics surgery
under combined spinal epidural technique.
AB - BACKGROUND: Pregabalin and gabapentin are the gamma-aminobutyric acid analogs
used as a part of multimodal analgesic regimen. AIM: To compare the postoperative
analgesic benefits of gabapentin or pregabalin as a premedication for lower limb
orthopedic surgery under combined spinal-epidural techniques. SETTINGS AND
DESIGN: Randomized double-blind study. MATERIALS AND METHODS: A total of 90
patients were divided into three groups: G, P, C who received gabapentin 1200 mg,
pregabalin 300 mg, and placebo, respectively 1.5 h before surgery. All patients
received combined spinal-epidural block with 3 ml of 0.5% intrathecal
bupivacaine. Assessment of pain was made with visual analog scale (VAS).
Postoperative analgesia was provided with epidural top-ups with 2.5 ml of 0.5%
bupivacaine and fentanyl 25 MUg when VAS >3. Rescue analgesia in the form of
injection diclofenac (75 mg) intramuscularly was given if VAS >3 even after
epidural top-up. A total number of epidural top-ups, rescue analgesia, pain-free
interval postspinal anesthesia, and sedation score were noted. STATISTICAL
ANALYSIS: This was done using SPSS version 17. Mean and standard deviation were
calculated using Chi-square test and analysis of variance. RESULTS: The total
postoperative analgesic time was 7.23 h in Group G, 14.80 h in Group P, and 4.17
h in Group C. A total number of epidural top-ups were 2.43 in Group G, 0.77 in
Group P, and 4.43 in Group C. CONCLUSION: Pregabalin 300 mg and gabapentin 1200
mg significantly reduce the need of postoperative rescue analgesia, epidural top
ups, and increase the duration of postspinal anesthesia without altering
hemodynamics with sedation as a major side effect.
PMID- 27212759
TI - A study of effectiveness of fresh frozen plasma in organophosphorous compound
poisoning in reducing length of Intensive Care Unit stay and in reducing need for
tracheostomy.
AB - BACKGROUND: The main stay of treatment in organophophosphorous [OP] poisoning is
with atropine, oximes and supportive therapy. Despite the therapy, no improvement
in mortality and morbidity. Fresh frozen plasma [FFP] a source of serum
cholinesterase act as bio-scavenger to neutralise organophosphate toxins to
improve the patients out come. METHODS: The prospective study was conducted in 80
patients with acute OP poisoning. Patients with moderate to severe grade of OP
poisoning with serum cholinesterase level <1000 IU/L were included in the study.
Study group received atropine and oximes along with FFP given as 4 units first
day, 3units on 2(nd) day, 2 units on 3(rd) day. Control group was given atropine
and oximes only. Serum cholinesterase enzymes level, consumption of atropine per
day, number of days on ventilator, length of ICU stay, and need for tracheostomy
were assessed. RESULTS: There was a significant increase in the serum
cholinesterase levels after FFP infusion in the study group in comparison to the
control group. Mean duration of Intensive Care Unit [ICU] stay was 8.35+/-4.3 in
the study group and 12.45+/-4.13 in the control group. 06 patients in the control
group succumbed whereas there were no fatalities in the study group. CONCLUSION:
Daily reducing dose of FFP therapy for 3 consecutive days has beneficial effect
in acute OP poisoning by increasing serum cholinesterase enzymes in blood with
reduction in total dose of atropine consumption per day. It also reduces the ICU
stay with zero mortality in OP poisoning.
PMID- 27212760
TI - Single dose intravenous dexmedetomidine prolongs spinal anesthesia with
hyperbaric bupivacaine.
AB - BACKGROUND AND INTRODUCTION: Spinal block, a known technique to obtain
anaesthesia for infraumblical surgeries. Now physician have advantage of using
adjuvant to prolong the effect of intrathecal block, which can be given either
intravenously or intrathecally, dexmedetomidine is one of them. We studied effect
of intravenous dexmedetomidine for prolongation of duration of intrathecal block
of 0.5% bupivacaine block. OBJECTIVE: To evaluate the effect of intravenous
dexmedetomidine on sensory regression, hemodynamic profile, level of sedation and
postoperative analgesia. METHODOLOGY: 60 patients of ASA grade I and II posted
for elective infraumblical surgeries were included in the study and randomly
allocated into two groups. Group D recieved intrathecal 0.5% bupivacaine heavy,
followed by infusion of intravenous dexmedetomidine 0.5mic/kg over 10 min,
patients in group C received intrathecal 0.5% bupivacaine heavy 3ml followed by
infusion of same volume of normal saline as placebo. RESULTS: Two segment
regression of sensory block was achieved at 139.0 +/- 13.797 in group D whereas
in group C it was only 96.67 +/- 7.649min, the total duration of analgesia
achieved in both study groups was 234.67 +/- 7.649min and 164.17 +/- 6.170min
respectively in group D and group C. The time at which first analgesic was given
to the patients when VAS >3 achieved that is in group D at 234.67 +/- 7.649min
and in group C at 164.17 +/- 6.170min. Inj diclofenac sodium 75mg intramuscular
was used as rescue analgesic.
PMID- 27212761
TI - Effects of dexmedetomidine on perioperative monitoring parameters and recovery in
patients undergoing laparoscopic cholecystectomy.
AB - BACKGROUND: Dexmedetomidine, an alpha2 agonist, when used as an adjuvant in
general anesthesia attenuates stress response to various noxious stimuli,
maintains perioperative hemodynamic stability and provides sedation without
adversely affecting recovery in postoperative period. MATERIALS AND METHODS:
Sixty patients were randomly divided into two groups of 30 each. In Group A,
dexmedetomidine was given intravenously as loading dose of 1 MUg/kg over 10 min,
and normal saline was given in Group B patients. After induction with propofol,
in Group A, dexmedetomidine was given as infusion at a dose of 0.2-0.8 MUg/kg/h.
Sevoflurane was used as inhalation agent in both groups. Perioperative monitoring
parameters were recorded. Postoperative sedation and recovery were assessed.
STATISTICAL ANALYSIS USED: Demographic data were analyzed using Pearson's Chi
square test. Changes in the heart rate (HR), systolic blood pressure (BP) and
diastolic BP were analyzed using unpaired t-test and Mann-Whitney rank sum test
was used to calculate "P" value wherever (Shapiro-Wilk)/normality test gave
ambiguous results. RESULTS: Dexmedetomidine significantly attenuates stress
response at intubation with lesser increase in HR (86.00 +/- 5.16 vs. 102.97 +/-
7.07/min.), mean BP (95.78 +/- 5.35 vs. 110.18 +/- 5.35) as compared to the
control group (P < 0.05). After pneumoperitoneum, HR was 85.07 +/- 6.23 versus
107.10 +/- 4.98, mean BP was 98.98 +/- 10.16 versus 118.54 +/- 6.27 (P < 0.05).
Thus maintains intraoperative hemodynamic stability. Postoperatively, the test
group showed no statistically significant difference in the extubation time (7.00
+/- 0.58 vs. 6.74 +/- 0.73) and response to oral commands (8.78 +/- 0.72 vs. 8.66
+/- 0.73) (P > 0.05). CONCLUSION: Dexmedetomidine attenuates various stress
responses during surgery and maintains the hemodynamic stability when used as an
adjuvant in general anesthesia and dexmedetomidine does not delay recovery.
PMID- 27212762
TI - Sevoflurane in low-flow anesthesia using "equilibration point".
AB - CONTEXT: While giving low-flow anesthesia, it is a routine practice to give fixed
duration of initial high-flow. This study was conducted to show the use of
equilibration point as changeover point from initial high-flow to low-flow. AIMS:
It was to compare the use of equilibration point, hemodynamics, end-tidal agent
concentration, recovery time, and recovery score between isoflurane and
sevoflurane. SETTINGS AND DESIGN: It was a prospective randomized study conducted
on 100 patients who were admitted for elective surgery expected to be < 2 h
duration. MATERIALS AND METHODS: Patients were randomly assigned to one of the
two groups of 50 each. Group I received isoflurane and Group S sevoflurane as an
inhalational agent. STATISTICAL ANALYSIS: The observations obtained in both the
groups were recorded and compared. Analysis was done using unpaired t-test and
Chi-square test. RESULTS: Hemodynamic parameters were comparable in both the
groups. The mean equilibration times obtained for sevoflurane and isoflurane were
8.22 +/- 1.060 min and 17.24 +/- 10.2 min, respectively. The drift in end-tidal
agent concentration over time was less in sevoflurane group. Mean recovery time
was 7.92 +/- 1.56 min in the sevoflurane group and 12.89 +/- 3.45 min in the
isoflurane group (P = 0.001). There was no significant difference between
intraoperative and postoperative complications. CONCLUSION: Use of equilibration
time of the volatile anesthetic agent as a changeover point, from high-flow to
low-flow, can help us to use circle system with low-flow anesthesia in a more
efficient way, especially with newer anesthetics such as sevoflurane.
PMID- 27212763
TI - Evaluation of skin temperature over carotid artery for temperature monitoring in
comparison to nasopharyngeal temperature in adults under general anesthesia.
AB - BACKGROUND: Thermoregulation is markedly affected in patients undergoing surgical
procedures under anesthesia. Monitoring of temperature is very important during
such conditions. Skin temperature is one of the easy and noninvasive ways of
temperature monitoring. Common skin temperature monitoring sites are unreliable
and did not correlate to the core temperature measurement. AIM: To compare and
study the correlation of skin temperature over carotid artery in the neck to that
of simultaneously measured nasopharyngeal temperature in adult patients
undergoing surgical procedures under general anesthesia. SETTINGS AND DESIGN:
Prospective double-blinded study in a Tertiary Care Center. MATERIALS AND
METHODS: Ninety-seven consecutive American Society of Anesthesiologists I-II
patients of age 18-40 years posted for elective surgical procedures under general
anesthesia were included. Two temperature sites are monitored: The skin
temperature over the carotid artery in the neck with a skin temperature probe T
(skin-carotid) and the nasopharyngeal temperature T (naso) with another
nasopharyngeal probe. The temperature readings are taken at 0, 15, 30, 45, and 60
min after induction of general anesthesia. STATISTICAL ANALYSIS: Paired t-test,
Pearson correlation and Bland-Altman analysis for the rate of agreement. RESULTS:
The skin over the carotid artery in the neck showed statistically significant
lower values than simultaneously measured nasopharyngeal temperature. This
comparison is done with paired t-test at P< 0.05 significance. Bland-Altman plots
showed good agreement between the two sites of temperature measurement.
CONCLUSION: This study has shown that the skin temperature over the carotid
artery in the neck was strongly correlated to the nasopharyngeal temperature in
adult patients undergoing surgical procedures under general anesthesia.
PMID- 27212764
TI - Peroral endoscopic myotomy-initial experience with anesthetic management of 24
procedures and systematic review.
AB - BACKGROUND: Peroral endoscopic myotomy (POEM) is a novel method of treating
achalasia of the esophagus. Very little data are available to guide the
anesthesia providers caring for these patients. The anesthetic challenges are
primarily related to the risk of pulmonary aspiration. There is also a potential
risk of pneumomediastinum, pneumoperitoneum, subcutaneous, or submucosal
emphysema, as a result of carbon dioxide tracking into the soft tissues
surrounding the esophagus and lower esophageal sphincter. METHODS: In this
retrospective study, electronic charts of 24 patients who underwent POEM over 18
months were reviewed. Demographic data, fasting status, relevant aspiration
risks, anesthetic technique, and postoperative care measures were extracted.
RESULTS: Fasting times for both solids and liquids were variable. None of the
patients underwent preprocedural esophageal emptying. Standard induction and
intubation were performed in 16, rapid sequence induction (RSI) with cricoid
pressure in seven, and modified rapid sequence without application of cricoid
pressure in one of the patients. One of the patients aspirated at induction, and
the procedure was aborted. However, the procedure was performed successfully
after a few weeks, this time a RSI with cricoid pressure was chosen. CONCLUSION:
As there are no guidelines for the perioperative management of patients
presenting for POEM presently, certain recommendations can be made. Preprocedural
esophageal emptying should be considered in patients considered as high-risk,
although cultural factors might preclude such an approach. Induction and
intubation in a semi-reclining position might be useful. Although debatable, use
of RSI with cricoid pressure should be strongly considered.
PMID- 27212765
TI - Comparison of preoperative rectal paracetamol with paracetamol - diclofenac
combination for postoperative analgesia in pediatric surgeries under general
anesthesia.
AB - CONTEXT: Traditionally, pain in children is a topic that has received only
minimal attention. However, in the recent times, considerable progress has been
made in the field of neonatal and pediatric pharmacology. The concept of
preemptive analgesia is important in combating postoperative pain in children. In
this study, we sought to compare the effectiveness of preemptive analgesia
provided by paracetamol alone and by its combination with diclofenac when
administered per rectum. AIMS: To compare the efficacy of preoperative rectal
paracetamol with paracetamol - diclofenac combination for postoperative analgesia
in pediatric surgeries under general anesthesia. SETTINGS AND DESIGN: Prospective
randomized double-blind study. SUBJECTS AND METHODS: Sixty children scheduled for
various surgeries under general anesthesia were randomly allocated into two
Groups A and B, with 30 in each. Children in Group A received paracetamol
suppository 20 mg/kg and those in Group B received paracetamol 20 mg/kg +
diclofenac 2 mg/kg as suppository immediately after tracheal intubation. All the
children were assessed for 24 h from the time of extubation. The pain was
measured using numerical rating scale in children above 7 years and face-legs
activity-cry-consolability scale in children below 7 years. The time interval
between extubation and the administration of the first dose of rescue analgesic
was taken as the duration of postoperative analgesia. STATISTICAL ANALYSIS USED:
Descriptive and inferential statistical methods were used to analyze the data.
RESULTS: The duration of postoperative analgesia was significantly longer in
paracetamol + diclofenac group (21.13 +/- 2.68 h) as compared to paracetamol
alone group (10.18 +/- 2.39 h). CONCLUSIONS: The combination of paracetamol and
diclofenac administered per rectum preoperatively is more effective than
paracetamol alone in providing postoperative analgesia in children.
PMID- 27212766
TI - Real-time ultrasound-guided comparison of adductor canal block and psoas
compartment block combined with sciatic nerve block in laparoscopic knee
surgeries.
AB - BACKGROUND: Lumbar plexus block, combined with a sciatic nerve block, is an
effective locoregional anesthetic technique for analgesia and anesthesia of the
lower extremity. The aim of this study was to compare the clinical results
outcome of the adductor canal block versus the psoas compartment block combined
with sciatic nerve block using real time ultrasound guidance in patients
undergoing elective laparoscopic knee surgeries. PATIENTS AND METHODS: Ninety
patients who were undergoing elective laparoscopic knee surgeries were randomly
allocated to receive a sciatic nerve block in addition to lumbar plexus block
using either an adductor canal block (ACB) or a posterior psoas compartment
approach (PCB) using 25 ml of bupivacine 0.5% with adrenaline 1:400,000 injection
over 2-3 minutes while observing the distribution of the local anesthetic in real
time. Successful nerve block was defined as a complete loss of pinprick sensation
in the region that is supplied by the three nerves along with adequate motor
block, 30 minutes after injection. The degree of motor block was evaluated 30
minutes after the block procedure. The results of the present study showed that
the real time ultrasound guidance of PCB is more effective than ACB approach.
Although the sensory blockade of the femoral nerve achieved equally by both
techniques, the LFC and OBT nerves were faster and more effectively blocked with
PCB technique. Also PCB group showed significant complete sensory block without
need for general anesthesia, significant decrease in the post-operative VAS and
significant increase time of first analgesic requirement as compared to the ACB
group. RESULT AND CONCLUSION: The present study demonstrates that blockade of
lumber plexus by psoas compartment block is more effective in complete sensory
block without general anesthesia supplementation in addition to decrease post
operative analgesic requirement than adductor canal block.
PMID- 27212767
TI - Two syringe spinal anesthesia technique for cesarean section: A controlled
randomized study of a simple way to achieve more satisfactory block and less
hypotension.
AB - BACKGROUND: Multiple trials have been tried to prevent hypotension during spinal
anesthesia. However, the drug choice and mode of administration is still a matter
of debate. OBJECTIVES: To compare the outcome of spinal injection of hyperbaric
bupivacaine and fentanyl separately to standard injection of mixed fentanyl with
hyperbaric bupivacaine. SETTINGS AND DESIGN: A randomized, controlled clinical
trial. PATIENTS AND METHODS: One hundred twenty-four parturient scheduled for
elective cesarean section were randomly allocated into two groups, each 62
parturient: Group M received spinal anesthesia using 10 mg bupivacaine 0.5%
premixed with 25 MUg fentanyl in the same syringe and Group S received 25 MUg
fentanyl in one syringe and 10 mg bupivacaine 0.5% without barbotage in a second
syringe. RESULTS: Patients with intraoperative pain that was controllable without
the need for a shift to general anesthesia was significantly lower in Group S
(3.2%) than in Group M (16.1%). The frequency of hypotension was significantly
lower in Group S compared to Group M (P < 0.05). Time till the onset of sensory
block was nonsignificantly shorter with nonsignificantly higher mean level of
maximal sensory block in Group S compared to Group M (P > 0.05). There was no
significant difference in the time till occurrence of hypotension, duration of
hypotension, mean dose of ephedrine used for the treatment of hypotension and
frequency of patients developed itching between the groups (P > 0.05).
CONCLUSION: Separate intrathecal injection of fentanyl and hyperbaric bupivacaine
provided a significant improvement in the quality of sensory block and
significant reduction of the frequency of hypotension compared to injection of
mixed medications.
PMID- 27212768
TI - Role of butorphanol and ondansetron premedication in reducing postoperative
shivering after general and spinal anesthesia: A randomized comparative study
from North India.
AB - BACKGROUND: Postoperative shivering (PAS) is a common problem following general
and spinal anesthesia and may lead to multiple complications. This placebo
controlled, randomized study was performed to evaluate the efficacy of
Ondansetron and butorphanol premedication reduces shivering after general and
spinal anaesthesia. AIMS: The aim of this study to highlight the efficacy of
Butorphenol and ondosteron in controlling postoperative shivering. MATERIALS AND
METHODS: This clinical trial included 180 patients scheduled for elective general
surgery, E.N.T., Ophthamological operations, randomly divided to six groups.
Three groups in which General Anaesthesia was used i.e. Group 1-ondansetron 8 mg
intravenously(IV).Group 2 butorphanol 2 mg IV and Group 3 - saline 4 ml IV. And
three groups where spinal Anaesthesia was used i.e. Group 4-Ondosteron 8 mg IV,
Group 5 butorphanol 2 mg IV and Group 6 - saline 4 ml IV 3-5 minutes before
anaesthesia. Patients were observed in terms of vital signs, side effects and
shivering. SETTINGS AND DESIGN: The type of the study was double blind randomized
trial. STATISTICAL ANALYSIS USED: Statistical Package for Social Sciences version
13.0 statistical analysis software. RESULTS: Postoperative shivering was observed
in 15.5%, 22.2% and 60% in general anaesthesia groups I II and III respectively.
The reduction of core and dermal temperature during the anaesthesia and recovery,
changes in systolic and diastolic blood pressure and heart rate were similar in
all three groups (i.e. Group I,II,III). In spinal anaesthesia groups, PAS
occurred 10%, 13.3% and 43.3% in group IV, V, VI respectively. The reduction of
core temperature is similar in all three groups of spinal anaesthesia. But heart
rate and mean arterial pressure increase were significant in control saline group
in post operative recovery time. No complication seen in any of the six groups.
CONCLUSION: This study suggested that use of Butorphanol and Ondansteron both are
effective in reducing the incidence of PAS after general and spinal anaesthesia.
PMID- 27212769
TI - The effect of dexmedetomidine added to preemptive (2% lignocaine with adrenaline)
infiltration on intraoperative hemodynamics and postoperative pain after
ambulatory maxillofacial surgeries under general anesthesia.
AB - BACKGROUND: Lignocaine + adrenaline; a local anesthetic agent; frequently used
for perilesional infiltration, maintains the stable hemodynamics and decreases
the postoperative pain after maxillofacial surgery. alpha2 agonists have
peripheral analgesic effects. This prospective study was to evaluate the
effectiveness of perilesional dexmedetomidine administered preincisionally in
addition to conventional lignocaine adrenaline combinations for reconstructive
maxillofacial surgery in an ambulatory care setting. MATERIALS AND METHODS: 76,
American Society of Anesthesiologists I-II patients scheduled for unilateral
traumatic maxillofacial surgeries were randomly allocated into group DL (n = 38)
receiving 15 cc of 2% lignocaine + adrenaline (1:200,000) mixed with 1 MUg/kg
dexmedetomidine and group PL receiving 15 cc of 2% lignocaine + adrenaline with
normal saline (placebo) via local wound infiltration 5 min prior to skin
incision. Perioperative hemodynamics, time to first analgesic use, total
analgesic need, bleeding, and side effects were recorded for each patient.
RESULTS: Dosage of supplemental propofol; total perioperative, postoperative, and
postanesthesia care unit (PACU) fentanyl consumption was significantly lower (P =
0.0001, P= 0.0001, P= 0.0001, P= 0.004, respectively) in dexmedetomine treated
group than placebo. Rescue analgesic requirement was significantly earlier in
group PL than group DL. Group DL patients suffered from significantly less (P =
0.02) bleeding and surgeon's satisfaction score was also high in this group.
Discharge from PACU was significantly earlier in group DL. Intraoperative
hemodynamic parameters were significantly lower in group DL (P < 0.05) without
any appreciable side effects. CONCLUSION: Thus, prior dexmedetomidine local
infiltration at the site of maxillofacial trauma has significantly reduced
bleeding from wound site; perioperative fentanyl, propofol consumption, and
subsequently ensured earlier discharge from PACU, better surgeon's satisfaction
score with better hemodynamic control and lesser side effects.
PMID- 27212770
TI - Comparison of dexmedetomidine with fentanyl for maintenance of intraoperative
hemodynamics in hypertensive patients undergoing major surgery: A randomized
controlled trial.
AB - BACKGROUND: The objective of this study was to study and compare the effects of
intravenous dexmedetomidine and fentanyl on intraoperative hemodynamics, opioid
consumption, and recovery characteristics in hypertensive patients. METHODS:
Fifty-seven hypertensive patients undergoing major surgery were randomized into
two groups, Group D (dexmedetomidine, n = 29) and Group F (fentanyl, n = 28). The
patients received 1 MUg/kg of either dexmedetomidine or fentanyl, followed by 0.5
MUg/kg/h infusion of the same drug, followed by a standard induction protocol.
Heart rate (HR), mean arterial pressures (MAPs), end-tidal isoflurane
concentration, and use of additional fentanyl and vasopressors were recorded
throughout. RESULTS: Both dexmedetomidine and fentanyl caused significant fall in
HR and MAP after induction and dexmedetomidine significantly reduced the
induction dose of thiopentone (P = 0.026). After laryngoscopy and intubation,
patients in Group D experienced a fall in HR and a small rise in MAP (P = 0.094)
while those in Group F showed significant rise in HR (P = 0.01) and MAP (P =
0.004). The requirement of isoflurane and fentanyl boluses was significantly less
in Group D. The duration of postoperative analgesia was longer in Group D (P =
0.015) with significantly lower postoperative nausea and vomiting (PONV) (P <
0.001). CONCLUSION: Infusion of dexmedetomidine in hypertensive patients
controlled the sympathetic stress response better than fentanyl and provided
stable intraoperative hemodynamics. It reduced the dose of thiopentone,
requirement of isoflurane and fentanyl boluses. The postoperative analgesia was
prolonged, and incidence of PONV was less in patients who received
dexmedetomidine.
PMID- 27212771
TI - Intravenous analgesia with opioids versus femoral nerve block with 0.2%
ropivacaine as preemptive analgesic for fracture femur: A randomized comparative
study.
AB - BACKGROUND AND OBJECTIVE: Femoral fractures are extremely painful and pain
invariably worsens on any movement. Anesthesia for fracture femur surgery is
usually provided by spinal block. This study was undertaken to compare the
analgesic effects of femoral nerve block (FNB) using nerve stimulator with 0.2%
ropivacaine (15 ml) and intravenous (I.V.) fentanyl before patient positioning
for fracture femur surgery under spinal anesthesia. MATERIALS AND METHODS: A
prospective, randomized, double-blind, comparative study was conducted on 60
American Society of Anesthesiologists I and II patients (18-60 years) scheduled
for femur surgery under combined spinal epidural anesthesia. Patients in Group I
(n = 30), were administered FNB using nerve stimulator with 0.2% ropivacaine (15
ml) and in Group II patients (n = 30), I.V. fentanyl 0.5 MUg/kg was given as
preemptive analgesia. Parameters observed included time to spinal anesthesia,
intra-operative and postoperative visual analog scale (VAS) for any pain and
postoperative epidural top-ups dosages. RESULTS: Demographic profile was
comparable in both the groups. VAS at 2 min in Group I was 5.63 and in Group II
it was 8.00. Satisfaction score was better in Group I as compared to Group II
patients. Time to administer subarachnoid block was 17.80 min in patients of
Group I as compared to 25.03 min in Group II patients. Postoperatively, VAS
scores were lower in Group I than Group II patients. The frequency of epidural
top-ups was higher in Group II than in Group I patients. CONCLUSIONS: FNB is
comparatively better in comparison to I.V. fentanyl when used as preemptive and
postoperative analgesic in patients being operated for fracture femur.
PMID- 27212772
TI - Prospective randomized study to compare between intravenous dexmedetomidine and
esmolol for attenuation of hemodynamic response to endotracheal intubation.
AB - BACKGROUND: Esmolol has an established role in attenuation of hemodynamic
response to laryngoscopy and endotracheal intubation. We studied the effect of
dexmedetomidine compared to that of esmolol in this study. AIM: To study the role
of dexmedetomidine in attenuation of hemodynamic response to laryngoscopy and
oral endotracheal intubation compared to that of esmolol hydrochloride in
patients posted for elective surgery under general anesthesia. STUDY DESIGN:
Prospective randomized study double-dummy blinding method. MATERIALS AND METHODS:
A total of 60 American Society of Anesthesiologists I patients, aged 18-60 years
randomly divided into two groups; Group A patients received dexmedetomidine 1
mcg/kg diluted in 50 ml with normal saline and infused over 10 min before
induction and also 20 ml of normal saline intravenous (IV) 2 min before
endotracheal intubation. Group B patients received 50 ml IV infusion of normal
saline over 10 min before induction and IV bolus of esmolol 0.5 mg/kg diluted in
20 ml with normal saline given 2 min before intubation. Standard induction
technique followed. Heart rate (HR), systolic blood pressure (SBP), diastolic
blood pressure (DBP), and mean arterial pressure (MAP) were recorded just before
induction and after intubation at 1 min, 3 min, and 5 min after intubation.
STATISTICAL ANALYSIS: Independent samples t-test and repeated measures of
analysis of variance. RESULTS: Dexmedetomidine group showed statistically
significant reduction in all the study parameters at all study time intervals
following intubation. While esmolol group showed significant attenuation of HR,
SBP, and MAP following intubation but failed to produce significant reduction in
DBP. CONCLUSION: Dexmedetomidine is more effective in attenuating the hemodynamic
response to oral endotracheal intubation compared to that of esmolol
hydrochloride.
PMID- 27212773
TI - Comparison of intranasal dexmedetomidine and dexmedetomidine-ketamine for
premedication in pediatrics patients: A randomized double-blind study.
AB - BACKGROUND: Goal of premedication in pediatric anesthesia are relieving pre and
postoperative anxiety, good parental separation, and smooth induction of
anesthesia. Anxiety can produce aggressive reactions, increased distress,
increased postoperative pain and postoperative agitation. The benzodiazepine,
midazolam, is the most frequently used premedication in pediatric anesthesia.
Midazolam has a number of beneficial effects when used as premedication in
children: Sedation, fast onset, and limited duration of action. Though midazolam
has a number of beneficial effects, it is far from an ideal premedicant having
untoward side effects such as paradoxical reaction, respiratory depression,
cognitive impairment, amnesia, and restlessness. Dexmedetomidine is a newer alpha
2-agonist, which can be used as premedicant. AIMS: To compare the level of
sedation, parental separation, mask acceptance, postoperative recovery of
intranasal premedication with dexmedetomidine and dexmedetomidine-ketamine
combination in pediatric patients. SETTINGS AND DESIGN: Prospective randomized
double-blind study. SUBJECTS AND METHODS: After written informed consent from the
patient's parents or legal guardian, 54 children of American Society of
Anesthesiologists physical status I or II, aged between 1 and 6 years, scheduled
to undergo elective minor surgery were enrolled. In group D patient received 1
MUg/kg dexmedetomidine intranasally and in group DK received 1 MUg/kg
dexmedetomidine and 2 mg/kg ketamine intranasally. Patients were assessed every
10 min for the level of sedation, parenteral separation, heart rate, and oxygen
saturation by an independent observer. Mask acceptance and postoperative
agitation were noted using an appropriate scale. STATISTICAL ANALYSIS USED:
Pearson Chi-square analysis to determine differences between two groups with
respect to separation anxiety and acceptance of the anesthesia mask. Percentages
used to represent frequencies. The level of significance was set at P< 0.05.
RESULTS: Acceptable parenteral separation was achieved in 90% of patients 30 min
after premedication. Sedation was acceptable in 80% of patients at induction.
Good mask acceptance was seen in 60% of patients. The incidence of emergence
agitation (EA) was 2%. None of the above parameters was statistically significant
between the two groups. CONCLUSIONS: Dexmedetomidine, as premedicant in children
provides acceptable parenteral separation. However, mask acceptance in operation
room is poor. Combination of dexmedetomidine and ketamine does not increase the
success of premedication. Use of dexmedetomidine is associated with decreased EA.
PMID- 27212774
TI - Anesthetic efficacy of 4% articaine versus 2% lignocaine during the surgical
removal of the third molar: A comparative prospective study.
AB - AIM: The study aimed at evaluating the clinical efficacy of articaine over
lidocaine in the surgical removal of impacted mandibular third molars. OBJECTIVE:
The objectives were to compare the onset of anesthesia, pain during injection,
during the procedure and after the procedure, compare the duration of anesthesia,
and need for re-anesthesia. MATERIALS AND METHODS: A prospective study was
conducted on 70 subjects planned for surgical removal of mandibular third molars.
Subjects were randomly administered one of two local anesthetics. The anesthetic
agent used was unknown for the patient and the observer who performed the
measurements. RESULTS: The differences in latency with 4% articaine (56.57 +/-
9.8 s) and with 2% lignocaine (88.26 +/- 12.87 s), pain during procedure for
articaine 1.31 +/- 0.87 and for lignocaine 2.60 +/- 1.06, pain after procedure
was 0.89 +/- 0.58 for articaine and 1.31 +/- 1.05 for lignocaine, and mean
duration of anesthetic effect for articaine was 231 +/- 57.15 min and 174.80 +/-
37.02 min for lignocaine, which was statistically significant. For re-anesthesia,
6 out of 35 patients needed re-anesthesia at the frequency of 8.57% for articaine
and 13 out of 35 patients needed re-anesthesia at a frequency of 18.57% for
lignocaine. CONCLUSION: The results proved that articaine had a significant
faster onset of action and longer duration of action when compared to lignocaine.
Hence, the pain experienced by the patients during and after the surgical
procedure was significantly less. The study was concluded that articaine is a
safe alternative to lignocaine, which is potent and effective in minor surgical
procedures such as removal of mandibular third molars.
PMID- 27212775
TI - Repeat spinal anesthesia in cesarean section: A comparison between 10 mg and 12
mg doses of intrathecal hyperbaric (0.05%) bupivacaine repeated after failed
spinal anesthesia: A prospective, parallel group study.
AB - BACKGROUND: Spinal anesthesia for cesarean section is not a 100% successful
technique. At times, despite straightforward insertion and drug administration,
intrathecal anesthesia for cesarean section fails to obtain any sensory or motor
block. Very few studies and literature are available regarding repeat
administration of spinal anesthesia and its drug dosage, especially after first
spinal failure in cesarean section lower segment cesarean section (LSCS) due to
fear of the excessive spread of drug. The aim of our study is to compare the
outcome between two different doses of 0.5% hyperbaric bupivacaine repeated
intrathecally after failed spinal. MATERIALS AND METHODS: After taking informed
consent and Ethical Committee approval this prospective, randomized single
blinded study was conducted in 100 parturients of American Society of
Anesthesiologists I-II who were posted for elective LSCS and had Bromage score 0
and no sensory block even at L4 dermatome after 10 min of first spinal
anesthesia; were included in the study. Group A (n = 50) patients received 2.4 ml
and Group B (n = 50) patients received 2 ml of 0.5% hyperbaric bupivacaine
respectively for administering repeat spinal anesthesia. Heart rate (HR),
systolic blood pressure (SBP), diastolic blood pressure (DBP), oxygen saturation,
respiratory rate and electrocardiogram were monitored both intra- and post
operatively and complications were recorded. RESULTS: Incidence of high spinal,
bradycardia, hypotension, respiratory complications, and nausea vomiting are
significantly higher in Group A compared to Group B (P < 0.05). SBP, DBP, and HR
were significantly low in Group A patients compared to Group B in the first 10
min (P < 0.05). CONCLUSION: Spinal anesthesia can be safely repeated in the
cesarean section with 10 mg of 0.5% hyperbaric bupivacaine provided after first
spinal anesthesia, the level of sensory block is below L4 and motor power in
Bromage scale is 0.
PMID- 27212776
TI - Recurarization in a successfully managed case of posterior reversible
encephalopathy syndrome (PRES) for emergency caesarean section.
AB - Posterior reversible encephalopathy syndrome (PRES) is a clinicoradiologic
syndrome of headache, visual changes, altered mental status and seizures with
radiologic findings of posterior cerebral white matter edema. It is seen in
hypertensive encephalopathy, renal failure, and autoimmune disorders or in
patients on immunosuppressants. We report a case of 24-year-old primigravida who
presented at term with sudden onset hypertension, neurological deficits, and an
episode of the visual blackout. Magnetic resonance imaging showed features
suggestive of PRES. She was posted for emergency lower segment cesarean section.
General anesthesia was administered and blood pressure managed with
antihypertensives. Postoperatively, she developed acute respiratory depression
after prophylactic administration of injection magnesium sulfate. This case
highlights that good clinical acumen along with early neuroimaging helps in
prompt diagnosis, treatment and prevention of long-term neurological sequelae in
PRES and the anesthetic implications of administering magnesium sulfate in the
immediate post neuromuscular block reversal phase.
PMID- 27212777
TI - Video laryngoscope as an assist tool in lateral position laryngoscopy.
AB - Difficult airway is one of the most challenging situations invariably encountered
in modern anesthesia practice and requires a high level of skill. This case
report highlights the use of video laryngoscopy as an assist device for lateral
position intubation in a patient with a large lumbar mass who was unable to lie
supine. This case emphasis the significance of careful approach to planning and
preparation in the management of airway in such a case.
PMID- 27212778
TI - Seizures associated with low-dose tramadol for chronic pain treatment.
AB - The management of cancer pain still poses a major challenge for clinicians.
Tramadol is a centrally acting synthetic opioid analgesic. Its well-known side
effects include nausea, vomiting, and dizziness; seizures are a rare side effect.
Some reports have found that tramadol triggers seizure activity at high doses,
whereas a few preclinical studies have found that this seizure activity is not
dose-related. We herein present a case involving a patient with laryngeal cancer
who developed seizures while on low-dose oral tramadol.
PMID- 27212779
TI - Misplacement of subclavian vein catheter in a neonate: What went wrong?
PMID- 27212781
TI - INDEXABILITY AND OPTIMAL INDEX POLICIES FOR A CLASS OF REINITIALISING RESTLESS
BANDITS.
AB - Motivated by a class of Partially Observable Markov Decision Processes with
application in surveillance systems in which a set of imperfectly observed state
processes is to be inferred from a subset of available observations through a
Bayesian approach, we formulate and analyze a special family of multi-armed
restless bandit problems. We consider the problem of finding an optimal policy
for observing the processes that maximizes the total expected net rewards over an
infinite time horizon subject to the resource availability. From the Lagrangian
relaxation of the original problem, an index policy can be derived, as long as
the existence of the Whittle index is ensured. We demonstrate that such a class
of reinitializing bandits in which the projects' state deteriorates while active
and resets to its initial state when passive until its completion possesses the
structural property of indexability and we further show how to compute the index
in closed form. In general, the Whittle index rule for restless bandit problems
does not achieve optimality. However, we show that the proposed Whittle index
rule is optimal for the problem under study in the case of stochastically
heterogenous arms under the expected total criterion, and it is further recovered
by a simple tractable rule referred to as the 1-limited Round Robin rule.
Moreover, we illustrate the significant suboptimality of other widely used
heuristic: the Myopic index rule, by computing in closed form its suboptimality
gap. We present numerical studies which illustrate for the more general instances
the performance advantages of the Whittle index rule over other simple
heuristics.
PMID- 27212780
TI - The History of African Village Chickens: an Archaeological and Molecular
Perspective.
AB - The history of the introduction and dispersal of village chickens across the
African continent is a subject of intense debate and speculation among scholars.
Here, we synthesize and summarise the current scientific genetic and nongenetic
knowledge in relation to the history of the species on the continent.
Sociocultural, linguistic, archaeological and historic data all suggest a complex
history for the species in Africa, characterized by multiple maritime and/or
terrestrial introductions over time and several dispersal routes towards and
within Africa. Molecular genetics information supports these observations and in
addition suggests possible Asian centers of origin for African domestic chickens,
including South Asia and Island Southeast Asia. However, both sets of data were
until now too limited in their geographic scope, both within Africa and in
comparison with chickens from Asia, to unravel the history of the species in
detail. We anticipate that further continent-wide studies combining
archaeological, ancient and/or modern genetic information may shed new insights
on the history of the species. These will contribute to a deeper understanding of
the history of trading networks and human interactions within Africa and between
African and Asian societies, at the root of the development and expansion of
African civilizations.
PMID- 27212782
TI - Demons versus Level-Set motion registration for coronary 18F-sodium fluoride PET.
AB - Ruptured coronary atherosclerotic plaques commonly cause acute myocardial
infarction. It has been recently shown that active microcalcification in the
coronary arteries, one of the features that characterizes vulnerable plaques at
risk of rupture, can be imaged using cardiac gated 18F-sodium fluoride (18F-NaF)
PET. We have shown in previous work that a motion correction technique applied to
cardiac-gated 18F-NaF PET images can enhance image quality and improve uptake
estimates. In this study, we further investigated the applicability of different
algorithms for registration of the coronary artery PET images. In particular, we
aimed to compare demons vs. level-set nonlinear registration techniques applied
for the correction of cardiac motion in coronary 18F-NaF PET. To this end,
fifteen patients underwent 18F-NaF PET and prospective coronary CT angiography
(CCTA). PET data were reconstructed in 10 ECG gated bins; subsequently these
gated bins were registered using demons and level-set methods guided by the
extracted coronary arteries from CCTA, to eliminate the effect of cardiac motion
on PET images. Noise levels, target-to-background ratios (TBR) and global motion
were compared to assess image quality. Compared to the reference standard of
using only diastolic PET image (25% of the counts from PET acquisition), cardiac
motion registration using either level-set or demons techniques almost halved
image noise due to the use of counts from the full PET acquisition and increased
TBR difference between 18F-NaF positive and negative lesions. The demons method
produces smoother deformation fields, exhibiting no singularities (which reflects
how physically plausible the registration deformation is), as compared to the
level-set method, which presents between 4 and 8% of singularities, depending on
the coronary artery considered. In conclusion, the demons method produces
smoother motion fields as compared to the level-set method, with a motion that is
physiologically plausible. Therefore, level-set technique will likely require
additional post-processing steps. On the other hand, the observed TBR increases
were the highest for the level-set technique. Further investigations of the
optimal registration technique of this novel coronary PET imaging technique are
warranted.
PMID- 27212783
TI - Respiration gating and Bloch fitting improve pH measurements with acidoCEST MRI
in an ovarian orthotopic tumor model.
AB - We have developed a MRI method that can measure extracellular pH in tumor
tissues, known as acidoCEST MRI. This method relies on the detection of Chemical
Exchange Saturation Transfer (CEST) of iopamidol, an FDA-approved CT contrast
agent that has two CEST signals. A log10 ratio of the two CEST signals is
linearly correlated with pH, but independent of agent concentration, endogenous
T1 relaxation time, and B1 inhomogeneity. Therefore, detecting both CEST effects
of iopamidol during in vivo studies can be used to accurately measure the
extracellular pH in tumor tissues. Past in vivo studies using acidoCEST MRI have
suffered from respiration artifacts in orthotopic and lung tumor models that have
corrupted pH measurements. In addition, the non-linear fitting method used to
analyze results is unreliable as it is subject to over-fitting especially with
noisy CEST spectra. To improve the technique, we have recently developed a
respiration gated CEST MRI pulse sequence that has greatly reduced motion
artifacts, and we have included both a prescan and post scan to remove endogenous
CEST effects. In addition, we fit the results by parameterizing the contrast of
the exogenous agent with respect to pH via the Bloch equations modified for
chemical exchange, which is less subject to over-fitting than the non-linear
method. These advances in the acidoCEST MRI technique and analysis methods have
made pH measurements more reliable, especially in areas of the body subject to
respiratory motion.
PMID- 27212786
TI - Alternative indicators for the risk of non-response bias: a simulation study.
AB - The growth of nonresponse rates for social science surveys has led to increased
concern about the risk of nonresponse bias. Unfortunately, the nonresponse rate
is a poor indicator of when nonresponse bias is likely to occur. We consider in
this paper a set of alternative indicators. A large-scale simulation study is
used to explore how each of these indicators performs in a variety of
circumstances. Although, as expected, none of the indicators fully depicts the
impact of nonresponse in survey esti mates, we discuss how they can be used when
creating a plausible account of the risks for nonresponse bias for a survey. We
also describe an interesting characteristic of the FMI that may be helpful in
diagnosing NMAR mechanisms in certain situations.
PMID- 27212784
TI - IL-4 Gene Polymorphism May Contribute to an Increased Risk of Atopic Dermatitis
in Children.
AB - This study aimed to elucidate the associations between interleukin-4 (IL-4)
single nucleotide polymorphisms (SNPs), 590C/T and 589C/T, serum IL-4 levels, and
atopic dermatitis (AD) in children. METHODS: A total of 82 children with AD were
randomly selected as the case group and divided into mild group (15 cases),
moderate group (46 cases), and severe group (21 cases). Additionally, 100 healthy
children were selected as the control group. Genotype frequencies of IL-4 SNPs
were detected by PCR-RFLP. Serum IL-4 levels were measured by ELISA. RESULTS:
Significant differences were shown in genotype distributions and allele
frequencies of 589C/T and allele frequencies of 590C/T (all P < 0.05). Serum IL-4
levels in the mild, moderate, and severe groups were significantly higher than
those in the control group; significant differences were found among these three
groups with increased severity of AD. Serum IL-4 levels of heterozygote and
mutant homozygote carriers in the mild, moderate, and severe groups were higher
than wild homozygote carriers in those three groups and the control group (all P
< 0.05). CONCLUSION: 590T and 589T alleles of IL-4 gene may be associated with
high levels of serum IL-4, which may increase the risk of AD in children.
PMID- 27212785
TI - Detection of Autoantibodies to Vascular Endothelial Growth Factor Receptor-3 in
Bile Duct Ligated Rats and Correlations with a Panel of Traditional Markers of
Liver Diseases.
AB - There is a need for new noninvasive biomarkers (NIBMs) able to assess cholestasis
and fibrosis in chronic cholestatic liver diseases (CCLDs). Tumorigenesis can
arise from CCLDs. Therefore, autoantibodies to tumor-associated antigens (TAA)
may be early produced in response to abnormal self-antigen expression caused by
cholestatic injury. Vascular endothelial growth factor receptor-3 (VEGFR-3) has
TAA potential since it is involved in cholangiocytes and lymphatic vessels
proliferations during CCLDs. This study aims to detect autoantibodies directed at
VEGFR-3 during bile duct ligation- (BDL-) induced cholestatic injury in rat sera
and investigate whether they could be associated with traditional markers of
liver damage, cholestasis, and fibrosis. An ELISA was performed to detect anti
VEGFR-3 autoantibodies in sera of rats with different degree of liver injury and
results were correlated with aminotransferases, total bilirubin, and the relative
fibrotic area. Mean absorbances of anti-VEGFR-3 autoantibodies were significantly
increased from week one to week five after BDL. The highest correlation was
observed with total bilirubin (R (2) = 0.8450, P = 3.04e - 12). In conclusion,
anti-VEGFR-3 autoantibodies are early produced during BDL-induced cholestatic
injury, and they are closely related to cholestasis, suggesting the potential of
anti-VEGFR-3 autoantibodies as NIBMs of cholestasis in CCLDs and justifying the
need for further investigations in patients with CCLD.
PMID- 27212787
TI - Productivity of sodic soils can be enhanced through the use of salt tolerant rice
varieties and proper agronomic practices.
AB - Regaining the agricultural potential of sodic soils in the Indo-Gangetic plains
necessitates the development of suitable salt tolerant rice varieties to provide
an entry for other affordable agronomic and soil manipulation measures. Thus
selection of high yielding rice varieties across a range of sodic soils is
central. Evaluation of breeding lines through on-station and on-farm farmers'
participatory varietal selection (FPVS) resulted in the identification of a short
duration (110-115 days), high yielding and disease resistant salt-tolerant rice
genotype 'CSR-89IR-8', which was later released as 'CSR43' in 2011. Several
agronomic traits coupled with good grain quality and market value contributed to
commercialization and quick adoption of this variety in the sodic areas of the
Indo-Gangetic plains of eastern India. Management practices required for rice
production in salt affected soils are evidently different from those in normal
soils and practices for a short duration salt tolerant variety differ from those
for medium to long duration varieties. Experiments were conducted at the Indian
Council of Agricultural Research-Central Soil Salinity Research Institute (ICAR
CSSRI), Regional Research Station, Lucknow, Uttar Pradesh, India during 2011 and
2013 wet seasons, to test the hypothesis that combining matching management
practices (Mmp) with an improved genotype would enhance productivity and
profitability of rice in sodic soils. Mmp were developed on-station by optimizing
existing best management practices (Bmp) recommended for the region to match the
requirements of CSR43. The results revealed that transplanting 4 seedlings hill-1
at a spacing of 15 * 20 cm produced significantly higher yield over other
treatments. The highest additional net gain was US$ 3.3 at 90 kg ha-1 N, and the
lowest was US$ 0.4 at 150 kg ha-1 N. Above 150 kg ha-1, the additional net gain
became negative, indicating decreasing returns from additional N. Hence, 150 kg N
ha-1 was considered the economic optimum N application rate for CSR43 in these
sodic soils. Using 150-60-40-25 kg N-P2O5-K2O-ZnSO4.7H2O ha-1 in farmers' fields
grown to CSR43 produced an average of 5.5 t ha-1 grain. The results of on-farm
evaluation trials of CSR43 showed that matching management practices (Mmp)
increased yield by 8% over existing best management practices (Bmp) recommended
by ICAR-CSSRI for sodic soils and by 16% over framers' management practices;
however, combining Mmp with CSR43 resulted in 35% higher yields over farmers'
current varieties and management. This approach of combining cost effective crop
and nutrient management options and a salt-tolerant variety can maximize the
productivity and profitability of sodic soils in the alluvial Indo-Gangetic
plains and in neighboring salt-affected areas of the Ganges mega delta in South
Asia.
PMID- 27212788
TI - Agronomic assessment of the wheat semi-dwarfing gene Rht8 in contrasting nitrogen
treatments and water regimes.
AB - Reduced height 8 (Rht8) is the main alternative to the GA-insensitive Rht alleles
in hot and dry environments where it reduces plant height without yield penalty.
The potential of Rht8 in northern-European wheat breeding remains unclear, since
the close linkage with the photoperiod-insensitive allele Ppd-D1a is unfavourable
in the relatively cool summers. In the present study, two near-isogenic lines
(NILs) contrasting for the Rht8/tall allele from Mara in a UK-adapted and
photoperiod-sensitive wheat variety were evaluated in trials with varying
nitrogen fertiliser (N) treatments and water regimes across sites in the UK and
Spain. The Rht8 introgression was associated with a robust height reduction of
11% regardless of N treatment and water regime and the Rht8 NIL was more
resistant to root-lodging at agronomically-relevant N levels than the tall NIL.
In the UK with reduced solar radiation over the growing season than the site in
Spain, the Rht8 NIL showed a 10% yield penalty at standard agronomic N levels due
to concomitant reduction in grain number and spike number whereas grain weight
and harvest index were not significantly different to the tall NIL. The yield
penalty associated with the Rht8 introgression was overcome at low N and in
irrigated conditions in the UK, and in the high-temperature site in Spain.
Decreased spike length and constant spikelet number in the Rht8 NIL resulted in
spike compaction of 15%, independent of N and water regime. The genetic interval
of Rht8 overlaps with the compactum gene on 2DS, raising the possibility of the
same causative gene. Further genetic dissection of these loci is required.
PMID- 27212789
TI - Determination of Anthocyanins and Total Polyphenols in a Variety of Elderberry
Juices by UPLC-MS/MS and Other Methods.
AB - Elderberry (Sambucus spp.) juice contains a variety of polyphenols, mostly
anthocyanins. In order to understand the variation of polyphenol levels by
genotype, various elderberry juice samples were analyzed for total phenolics
(TP), total monomeric anthocyanins (TMA) and individual anthocyanin content. The
Folin-Ciocalteu total phenolic method and pH differential method were used to
measure the TP and TMA content, respectively. The TP and TMA concentrations of
elderberry were found to vary greatly among different genotypes. TMA content
varied from 2.1% for 'Sperandio' to 60.6% for the 'Bob Gordon' cultivar. In
addition, ultra-performance liquid chromatography with triple quadrupole mass
spectrometry was used to separate and detect individual anthocyanins from samples
prepared by solid phase extraction. Multiple-reaction-monitoring was used to
process data for the reduction of false positives, maximizing selectivity, and
reliable quantification. The quantitative performance of the method was
validated, and a detection limit of 0.3 ng.ml-1 for cyanidin 3-O-glucoside was
determined. This newly developed method may serve to characterize and profile
various anthocyanins in elderberry juices for quality control, assessment of
dietary intake, and anthocyanin-based biomedical studies.
PMID- 27212790
TI - Increasing Polyaromatic Hydrocarbon (PAH) Molecular Coverage during Fossil Oil
Analysis by Combining Gas Chromatography and Atmospheric-Pressure Laser
Ionization Fourier Transform Ion Cyclotron Resonance Mass Spectrometry (FT-ICR
MS).
AB - Thousands of chemically distinct compounds are encountered in fossil oil samples
that require rapid screening and accurate identification. In the present paper,
we show for the first time, the advantages of gas chromatography (GC) separation
in combination with atmospheric-pressure laser ionization (APLI) and ultrahigh
resolution Fourier transform ion cyclotron resonance mass spectrometry (FT-ICR
MS) for the screening of polyaromatic hydrocarbons (PAHs) in fossil oils. In
particular, reference standards of organics in shale oil, petroleum crude oil,
and heavy sweet crude oil were characterized by GC-APLI-FT-ICR MS and APLI-FT-ICR
MS. Results showed that, while APLI increases the ionization efficiency of PAHs,
when compared to other ionization sources, the complexity of the fossil oils
reduces the probability of ionizing lower-concentration compounds during direct
infusion. When gas chromatography precedes APLI-FT-ICR MS, an increase (more than
2-fold) in the ionization efficiency and an increase in the signal-to-noise ratio
of lower-concentration fractions are observed, giving better molecular coverage
in the m/z 100-450 range. That is, the use of GC prior to APLI-FT-ICR MS resulted
in higher molecular coverage, higher sensitivity, and the ability to separate and
characterize molecular isomers, while maintaining the ultrahigh resolution and
mass accuracy of the FT-ICR MS separation.
PMID- 27212791
TI - Multiprobe Study of the Solid Electrolyte Interphase on Silicon-Based Electrodes
in Full-Cell Configuration.
AB - The failure mechanism of silicon-based electrodes has been studied only in a half
cell configuration so far. Here, a combination of 7Li, 19F MAS NMR, XPS, TOF
SIMS, and STEM-EELS, provides an in-depth characterization of the solid
electrolyte interphase (SEI) formation on the surface of silicon and its
evolution upon aging and cycling with LiNi1/3Mn1/3Co1/3O2 as the positive
electrode in a full Li-ion cell configuration. This multiprobe approach indicates
that the electrolyte degradation process observed in the case of full Li-ion
cells exhibits many similarities to what has been observed in the case of half
cells in previous works, in particular during the early stages of the cycling.
Like in the case of Si/Li half-cells, the development of the inorganic part of
the SEI mostly occurs during the early stage of cycling while an incessant
degradation of the organic solvents of the electrolyte occurs upon cycling.
However, for extended cycling, all the lithium available for cycling is consumed
because of parasitic reactions and is either trapped in an intermediate part of
the SEI or in the electrolyte. This nevertheless does not prevent the further
degradation of the organic electrolyte solvents, leading to the formation of
lithium-free organic degradation products at the extreme surface of the SEI. At
this point, without any available lithium left, the cell cannot function properly
anymore. Cycled positive and negative electrodes do not show any sign of
particles disconnection or clogging of their porosity by electrolyte degradation
products and can still function in half-cell configuration. The failure mechanism
for full Li-ion cells appears then very different from that known for half-cells
and is clearly due to a lack of cyclable lithium because of parasitic reactions
occurring before the accumulation of electrolyte degradation products clogs the
porosity of the composite electrode or disconnects the active material particles.
PMID- 27212792
TI - Self-Assembled Heteroepitaxial Oxide Nanocomposite for Photoelectrochemical Solar
Water Oxidation.
AB - We report on spontaneously phase ordered heteroepitaxial SrTiO3 (STO):ZnFe2O4
(ZFO) nanocomposite films that give rise to strongly enhanced
photoelectrochemical solar water oxidation, consistent with enhanced photoinduced
charge separation. The STO:ZFO nanocomposite yielded an enhanced photocurrent
density of 0.188 mA/cm2 at 1.23 V vs a reversible hydrogen electrode, which was
7.9- and 2.6-fold higher than that of the plain STO film and ZFO film cases under
1-sun illumination, respectively. The photoelectrode also produced stable
photocurrent and Faradaic efficiencies of H2 and O2 formation that were more than
90%. Incident-photon-to-current-conversion efficiency measurements, Tauc plots,
Mott-Schottky plots, and electrochemical impedance spectroscopy measurements
proved that the strongly enhanced photogenerated charge separation resulted from
vertically aligned pseudosingle crystalline components, epitaxial
heterojunctions, and a staggered band alignment of the components of the
nanocomposite films. This study presents a completely new avenue for efficient
solar energy conversion applications.
PMID- 27212794
TI - Three novel mutations of the MCT8 (SLC16A2) gene: individual and temporal
variations of endocrinological and radiological features.
AB - We performed genetic analysis and clinical investigations for three patients with
suspected monocarboxylate transporter 8 (MCT8) deficiency. On genetic analysis of
the MCT8(SLC16A2) gene, novel mutations (c.1333C>A; p.R445S, c.587G>A; p.G196E
and c.1063_1064insCTACC; p.R355PfsX64) were identified in each of three patients.
Although thyroid function tests (TFTs) showed the typical pattern of MCT8
deficiency at the time of genetic diagnosis in all patients, two patients
occasionally were euthyroid. A TRH test revealed low response, exaggerated
response and normal response of TSH, respectively. Endocrinological studies
showed gonadotropin (Gn) deficiency in two adult patients. On ultrasonography,
goiter was detected in one patient. Interestingly, pituitary magnetic resonance
imaging (MRI) demonstrated atrophy and thinness of the pituitary gland in two
patients. Our findings suggest that thyroid status in patients with MCT8
deficiency varies with time of examination, and repeated TFTs are necessary for
patients suspected of MCT8 deficiency before genetic analysis. In addition, it is
noteworthy that some variations were observed on the TRH test and ultrasonography
of the thyroid gland in the present study. Morphological abnormality of the
pituitary gland may be found in some patients, while Gn deficiency should be
considered as one of the complications.
PMID- 27212793
TI - Highly Fluorescent Ribonuclease-A-Encapsulated Lead Sulfide Quantum Dots for
Ultrasensitive Fluorescence in Vivo Imaging in the Second Near-Infrared Window.
AB - Ribonuclease-A (RNase-A) encapsulated PbS quantum dots (RNase-A@PbS Qdots) which
emit in the second near-infrared biological window (NIR-II, ca. 1000-1400 nm) are
rapidly synthesized under microwave heating. Photoluminescence (PL) spectra of
the Qdots can be tuned across the entire NIR-II range by simply controlling
synthesis temperature. The size and morphology of the Qdots are examined by
transmission electron microscopy (TEM), atomic force microscopy (AFM), and
dynamic light scattering (DLS). Quantum yield (Phif) measurement confirms that
the prepared Qdots are one of the brightest water-soluble NIR-II emitters for in
vivo imaging. Their high Phif (~17.3%) and peak emission at ~1300 nm ensure deep
optical penetration to muscle tissues (up to 1.5 cm) and excellent imaging
contrast at an extremely low threshold dose of ~5.2 pmol (~1 MUg) per mouse.
Importantly, this protein coated Qdot displays no signs of toxicity toward model
neuron, normal, and cancer cells in vitro. In addition, the animal's metabolism
results in thorough elimination of intravenously injected Qdots from the body
within several days via the reticuloendothelial system (RES), which minimizes
potential long-term toxicity in vivo from possible release of lead content. With
a combination of attractive properties of high brightness, robust photostability,
and excellent biocompatibility, this new NIR-II emitting Qdot is highly promising
in accurate disease screening and diagnostic applications.
PMID- 27212795
TI - Classic and non-classic 21-hydroxylase deficiency can be discriminated from P450
oxidoreductase deficiency in Japanese infants by urinary steroid metabolites.
AB - We previously reported a two-step biochemical diagnosis to discriminate classic
21-hydroxylase deficiency (C21OHD) from P450 oxidoreductase deficiency (PORD) by
using urinary steroid metabolites: the pregnanetriolone/tetrahydrocortisone ratio
(Ptl / the cortisol metabolites 5alpha- and 5beta-tetrahydrocortisone (sum of
these metabolites termed THEs), and 11beta-hydroxyandrosterone (11OHAn). The
objective of this study was to investigate whether both C21OHD and non-classic
21OHD (C+NC21OHD) could be biochemically differentiated from PORD. We recruited
55 infants with C21OHD, 8 with NC21OHD, 16 with PORD, 57 with transient hyper
17alpha-hydroxyprogesteronemia (TH17OHP), and 2,473 controls. All infants were
Japanese with ages between 0-180 d. In addition to Ptl, THEs, and 11OHAn, we
measured urinary tetrahydroaldosterone (THAldo) and pregnenediol (PD5). The first
step: by Ptl with the age-specific cutoffs 0.06 mg/g creatinine (0-10 d of age)
and 0.3 mg/g creatinine (11-180 d of age), we were able to differentiate
C+NC21OHD and PORD from TH17OHP and controls (0-10 d of age: 0.065-31 vs. < 0.001
0.052, 11-180 d of age: 0.40-42 vs. < 0.001-0.086) with 100% sensitivity and
specificity. The second step: by the 11OHAn/THAldo or 11OHAn/PD5 ratio with a
cutoff of 0.80 or 1.0, we were able to discriminate between C+NC21OHD and PORD
(1.0-720 vs. 0.021-0.61 or 1.8-160 vs. 0.005-0.32, respectively) with 100%
sensitivity and specificity. Ptl, 11OHAn/THAldo, and 11OHAn/PD5 could
differentiate between C+NC21OHD and PORD in Japanese infants.
PMID- 27212796
TI - Gonadal function, fertility, and reproductive medicine in childhood and
adolescent cancer patients: a national survey of Japanese pediatric
endocrinologists.
AB - An increasing number of pediatric cancer patients survive, and treatment-related
infertility represents one of the most important issues for these patients. While
official guidelines in Japan recommend long-term follow-up of childhood cancer
survivors (CCSs), their gonadal function and fertility have not been clarified.
To address this issue, we organized a working panel to compile evidence from long
term survivors who received treatments for cancer during childhood or
adolescence. In collaboration with members of the CCS Committee of the Japanese
Society for Pediatric Endocrinology (JSPE), we conducted a questionnaire survey
regarding reproductive function in pediatric cancer patients. A cross-sectional
survey was sent to 178 JSPE-certified councilors who were asked to self-evaluate
the medical examinations they had performed. A total of 151 responses were
obtained, revealing that 143 endocrinologists were involved in the care of CCSs.
A quarter of the respondents reported having experienced issues during gonadal or
reproductive examinations. Several survivors did not remember or fully understand
the explanation regarding gonadal damage, and faced physical and psychological
distress when discussing the risk of becoming infertile. Pediatric
endocrinologists had anxieties regarding their patients' infertility and the risk
of miscarriage, premature birth, and delivery problems. Only a limited number of
endocrinologists had experience with managing childbirth and fertility
preservation. Many councilors mentioned the necessity for inter-disciplinary
communication among healthcare providers. Both endocrinologists and oncologists
should set and follow a uniform clinical guideline that includes management of
fertility of CCSs.
PMID- 27212797
TI - Pheochromocytoma complicated by cyanotic congenital heart disease: a case report.
AB - Coincidental cyanotic congenital heart disease and pheochromocytoma is uncommon,
although some cases have been reported. We describe a girl aged 15 yr and 11 mo
with pheochromocytoma and tricuspid atresia treated by performing the Fontan
surgery. The patient did not have any specific symptoms of syndrome related to
pheochromoytoma or a family history of pheochromocytoma. During cardiac
catheterization, her blood pressure increased markedly, and an alpha-blocker was
administered. Catecholamine hypersecretion was observed in the blood and urine,
and abdominal computed tomography revealed a tumor in the right adrenal gland.
Scintigraphy showed marked accumulation of (123)I-metaiodobenzylguanidine in the
tumor, which led to a diagnosis of pheochromocytoma. We did not detect any
germline mutations in the RET, VHL, SDHB, SDHD, TMEM127, or MAX genes. This
patient had experienced mild systemic hypoxia since birth, which may have
contributed to the development of pheochromocytoma.
PMID- 27212798
TI - Early-onset urological disorders due to Wolfram syndrome: A case of neonatal
onset.
PMID- 27212799
TI - Growth standard charts for Japanese children with mean and standard deviation
(SD) values based on the year 2000 national survey.
PMID- 27212800
TI - Weight-for-height charts for Japanese children based on the year 2000 Report of
School Health Statistics Research.
PMID- 27212801
TI - Modelling household finances: A Bayesian approach to a multivariate two-part
model.
AB - We contribute to the empirical literature on household finances by introducing a
Bayesian multivariate two-part model, which has been developed to further our
understanding of household finances. Our flexible approach allows for the
potential interdependence between the holding of assets and liabilities at the
household level and also encompasses a two-part process to allow for differences
in the influences on asset or liability holding and on the respective amounts
held. Furthermore, the framework is dynamic in order to allow for persistence in
household finances over time. Our findings endorse the joint modelling approach
and provide evidence supporting the importance of dynamics. In addition, we find
that certain independent variables exert different influences on the binary and
continuous parts of the model thereby highlighting the flexibility of our
framework and revealing a detailed picture of the nature of household finances.
PMID- 27212802
TI - Persons with Epilepsy: Between Social Inclusion and Marginalisation.
AB - BACKGROUND: Epilepsy is a chronic neurological disorder that can lead to complex
psychosocial consequences. Epilepsy can change the social status of persons with
epilepsy (PWE) and has an effect on their social inclusion as well as their
perception of social inclusion. This study aims to explore subjective experiences
with social inclusion of PWE in Slovenia. METHODS: This study takes a qualitative
approach. Eleven semistructured interviews were conducted with eleven
participants. Interviews were analysed using thematic analysis. RESULTS: Epilepsy
has physical, emotional, and social consequences. Physical consequences of
epilepsy are mainly tiredness and exhaustion following an epileptic episode,
frequently accompanied by headaches. Emotional consequences are different forms
of fear. The main social consequence identified is a negative effect on PWE's
social network, which leads to (self-)isolation and social distrust. CONCLUSION:
PWE experience of social inclusion depends on various psychosocial factors and
differs from person to person. The consequences of epilepsy are shown in PWE
social contacts and their sense of social inclusion and autonomy.
PMID- 27212803
TI - Towards point of care testing for C. difficile infection by volatile profiling,
using the combination of a short multi-capillary gas chromatography column with
metal oxide sensor detection.
AB - Rapid volatile profiling of stool sample headspace was achieved using a
combination of short multi-capillary chromatography column (SMCC), highly
sensitive heated metal oxide semiconductor (MOS) sensor and artificial neural
network (ANN) software. For direct analysis of biological samples this prototype
offers alternatives to conventional GC detectors and electronic nose technology.
The performance was compared to an identical instrument incorporating a long
single capillary column (LSCC). The ability of the prototypes to separate complex
mixtures was assessed using gas standards and homogenised in house 'standard'
stool samples, with both capable of detecting more than 24 peaks per sample. The
elution time was considerably faster with the SMCC resulting in a run time of 10
minutes compared to 30 minutes for the LSCC. The diagnostic potential of the
prototypes was assessed using 50 C. difficile positive and 50 negative samples.
The prototypes demonstrated similar capability of discriminating between positive
and negative samples with sensitivity and specificity of 85% and 80%
respectively. C. difficile is an important cause of hospital acquired diarrhoea,
with significant morbidity and mortality around the world. A device capable of
rapidly diagnosing the disease at the point of care would reduce cases, deaths
and financial burden.
PMID- 27212804
TI - The influence of gender and product design on farmers' preferences for weather
indexed crop insurance.
AB - Theoretically, weather-index insurance is an effective risk reduction option for
small-scale farmers in low income countries. Renewed policy and donor emphasis on
bridging gender gaps in development also emphasizes the potential social safety
net benefits that weather-index insurance could bring to women farmers who are
disproportionately vulnerable to climate change risk and have low adaptive
capacity. To date, no quantitative studies have experimentally explored weather
index insurance preferences through a gender lens, and little information exists
regarding gender-specific preferences for (and constraints to) smallholder
investment in agricultural weather-index insurance. This study responds to this
gap, and advances the understanding of preference heterogeneity for weather-index
insurance by analysing data collected from 433 male and female farmers living on
a climate change vulnerable coastal island in Bangladesh, where an increasing
number of farmers are adopting maize as a potentially remunerative, but high-risk
cash crop. We implemented a choice experiment designed to investigate farmers'
valuations for, and trade-offs among, the key attributes of a hypothetical maize
crop weather-index insurance program that offered different options for bundling
insurance with financial saving mechanisms. Our results reveal significant
insurance aversion among female farmers, irrespective of the attributes of the
insurance scheme. Heterogeneity in insurance choices could however not be
explained by differences in men's and women's risk and time preferences, or
agency in making agriculturally related decisions. Rather, gendered differences
in farmers' level of trust in insurance institutions and financial literacy were
the key factors driving the heterogeneous preferences observed between men and
women. Efforts to fulfill gender equity mandates in climate-smart agricultural
development programs that rely on weather-index insurance as a risk-abatement
tool are therefore likely to require a strengthening of institutional
credibility, while coupling such interventions with financial literacy programs
for female farmers.
PMID- 27212805
TI - Central Interleukin-1beta Suppresses the Nocturnal Secretion of Melatonin.
AB - In vertebrates, numerous processes occur in a rhythmic manner. The hormonal
signal reliably reflecting the environmental light conditions is melatonin.
Nocturnal melatonin secretion patterns could be disturbed in pathophysiological
states, including inflammation, Alzheimer's disease, and depression. All of these
states share common elements in their aetiology, including the overexpression of
interleukin- (IL-) 1beta in the central nervous system. Therefore, the present
study was designed to determine the effect of the central injection of exogenous
IL-1beta on melatonin release and on the expression of the enzymes of the
melatonin biosynthetic pathway in the pineal gland of ewe. It was found that
intracerebroventricular injections of IL-1beta (50 ug/animal) suppressed (P <
0.05) nocturnal melatonin secretion in sheep regardless of the photoperiod. This
may have resulted from decreased (P < 0.05) synthesis of the melatonin
intermediate serotonin, which may have resulted, at least partially, from a
reduced expression of tryptophan hydroxylase. IL-1beta also inhibited (P < 0.05)
the expression of the melatonin rhythm enzyme arylalkylamine-N-acetyltransferase
and hydroxyindole-O-methyltransferase. However, the ability of IL-1beta to affect
the expression of these enzymes was dependent upon the photoperiod. Our study may
shed new light on the role of central IL-1beta in the aetiology of disruptions in
melatonin secretion.
PMID- 27212808
TI - A Novel Inflammation-Based Stage (I Stage) in Patients with Resectable Esophageal
Squamous Cell Carcinoma.
AB - BACKGROUND: Inflammation plays a key role in cancer. In the current study, we
proposed a novel inflammation-based stage, named I stage, for patients with
resectable esophageal squamous cell carcinoma (ESCC). METHODS: Three hundred and
twenty-three patients with resectable ESCC were enrolled in the current study.
The I stage was calculated as follows: patients with high levels of C-reactive
protein (CRP) (>10 mg/L), neutrophil-to-lymphocyte ratio (NLR) (>3.5), and
platelet-count-to-lymphocyte ratio (PLR) (>150) were defined as I3. Patients with
two, one, or no abnormal value were defined as I2, I1, or I0, respectively. The
prognostic factors were evaluated by univariate and multivariate analyses.
RESULTS: There were 112 patients for I0, 97 patients for I1, 66 patients for I2,
and 48 patients for I3, respectively. The 5-year cancer-specific survival (CSS)
in patients with I0, I1, I2, and I3 was 50.0%, 30.9%, 18.2%, and 8.3%,
respectively (I0 versus I1, P = 0.002; I1 versus I2, P = 0.012; I2 versus I3, P =
0.020). Multivariate analyses revealed that I stage was an independent prognostic
factor in patients with resectable ESCC (P < 0.001). CONCLUSION: The inflammation
based stage (I stage) is a novel and useful predictive factor for CSS in patients
with resectable ESCC.
PMID- 27212807
TI - Immune Cells in Cancer Therapy and Drug Delivery.
AB - Recent studies indicate the critical role of tumour associated macrophages,
tumour associated neutrophils, dendritic cells, T lymphocytes, and natural killer
cells in tumourigenesis. These cells can have a significant impact on the tumour
microenvironment via their production of cytokines and chemokines. Additionally,
products secreted from all these cells have defined specific roles in regulating
tumour cell proliferation, angiogenesis, and metastasis. They act in a protumour
capacity in vivo as evidenced by the recent studies indicating that macrophages,
T cells, and neutrophils may be manipulated to exhibit cytotoxic activity against
tumours. Therefore therapy targeting these cells may be promising, or they may
constitute drug or anticancer particles delivery systems to the tumours. Herein,
we discussed all these possibilities that may be used in cancer treatment.
PMID- 27212809
TI - Acute-Phase Inflammatory Response to Single-Bout HIIT and Endurance Training: A
Comparative Study.
AB - OBJECTIVE: This study compared acute and late effect of single-bout endurance
training (ET) and high-intensity interval training (HIIT) on the plasma levels of
four inflammatory cytokines and C-reactive protein and insulin-like growth factor
1. DESIGN: Cohort study with repeated-measures design. METHODS: Seven healthy
untrained volunteers completed a single bout of ET and HIIT on a cycle ergometer.
ET and HIIT sessions were held in random order and at least 7 days apart. Blood
was drawn before the interventions and 30 min and 2 days after the training
sessions. Plasma samples were analyzed with ELISA for the interleukins (IL), IL
1beta, IL-6, and IL-10, monocyte chemoattractant protein-1 (MCP-1), insulin
growth factor 1 (IGF-1), and C-reactive protein (CRP). Statistical analysis was
with Wilcoxon signed-rank tests. RESULTS: ET led to both a significant acute and
long-term inflammatory response with a significant decrease at 30 minutes after
exercise in the IL-6/IL-10 ratio (-20%; p = 0.047) and a decrease of MCP-1 (
17.9%; p = 0.03). CONCLUSION: This study demonstrates that ET affects the
inflammatory response more adversely at 30 minutes after exercise compared to
HIIT. However, this is compensated by a significant decrease in MCP-1 at two days
associated with a reduced risk of atherosclerosis.
PMID- 27212806
TI - Emerging Comorbidities in Adult Asthma: Risks, Clinical Associations, and
Mechanisms.
AB - Asthma is a heterogeneous disease with many phenotypes, and age at disease onset
is an important factor in separating the phenotypes. Most studies with asthma
have been performed in patients being otherwise healthy. However, in real life,
comorbid diseases are very common in adult patients. We review here the emerging
comorbid conditions to asthma such as obesity, metabolic syndrome, diabetes
mellitus type 2 (DM2), and cardiac and psychiatric diseases. Their role as risk
factors for incident asthma and whether they affect clinical asthma are
evaluated. Obesity, independently or as a part of metabolic syndrome, DM2, and
depression are risk factors for incident asthma. In contrast, the effects of
comorbidities on clinical asthma are less well-known and mostly studies are
lacking. Cross-sectional studies in obese asthmatics suggest that they may have
less well controlled asthma and worse lung function. However, no long-term
clinical follow-up studies with these comorbidities and asthma were identified.
These emerging comorbidities often occur in the same multimorbid adult patient
and may have in common metabolic pathways and inflammatory or other alterations
such as early life exposures, systemic inflammation, inflammasome, adipokines,
hyperglycemia, hyperinsulinemia, lung mechanics, mitochondrial dysfunction,
disturbed nitric oxide metabolism, and leukotrienes.
PMID- 27212810
TI - Protective Effect of Adrenomedullin on Rat Leydig Cells from Lipopolysaccharide
Induced Inflammation and Apoptosis via the PI3K/Akt Signaling Pathway ADM on Rat
Leydig Cells from Inflammation and Apoptosis.
AB - This study was carried out to investigate whether ADM can modulate LPS-induced
inflammation and apoptosis in rat Leydig cells. Leydig cells were treated with
ADM before LPS-induced cytotoxicity. We determined the concentrations of ROS,
MDA, GSH, LDH, and testosterone and the MMP. The mRNA levels of IL-1, IL-6, iNOS,
and COX-2 were obtained, and the concentrations of IL-1, IL-6, NO, and PGE2 were
determined. Apoptosis was assessed by TUNEL and detection of DNA fragmentation.
The levels of mRNA and protein were determined for Bcl-2, Bax, caspase-3, and
PARP. The protein contents for total and p-Akt were measured. ADM pretreatment
significantly elevated the MMP and testosterone concentration and reduced the
levels of ROS, MDA, GSH, and LDH. ADM pretreatment significantly decreased the
mRNA levels of IL-1, IL-6, iNOS, and COX-2 and the concentrations of IL-1, IL-6,
NO, and PGE2. LPS-induced TUNEL-positive Leydig cells were significantly
decreased by ADM pretreatment, a result further confirmed by decreased DNA
fragmentation. ADM pretreatment decreased apoptosis by significantly promoting
Bcl-2 and inhibiting Bax, caspase-3, and PARP expressions. The LPS activity that
reduced p-Akt level was significantly inhibited by ADM pretreatment. ADM
protected rat Leydig cells from LPS-induced inflammation and apoptosis, which
might be associated with PI3K/Akt mitochondrial signaling pathway.
PMID- 27212812
TI - Human capital management: Economics of psychological perspective.
PMID- 27212811
TI - Effect of High, Medium, and Low Molecular Weight Hyaluronan on Inflammation and
Oxidative Stress in an In Vitro Model of Human Nasal Epithelial Cells.
AB - IL-17A is involved in the activation of oxidative stress and inflammation in
nasal epithelial cells. Hyaluronan (HA) in its high molecular weight form (HMW
HA) shows anti-inflammatory responses in contrast to low and medium molecular
weight HA (LMW-HA and MMW-HA). The aim of this study was to investigate the pro-
or anti-inflammatory biologic function of HA at different molecular weight in an
in vitro model of nasal inflammation IL-17A mediated. We evaluated the ERK1/2 and
IkappaBalpha phosphorylation, NF-kappaB signal pathway activation, ROS
production, IL-8 and NOX-4 protein, and mRNA levels, in nasal epithelial cells
RPMI 2650 stimulated with recombinant human (rh) IL-17A. Furthermore, the cells
were treated with HMW-HA, MMW-HA, LMW-HA, and U0126. Our results showed that rhIL
17A increased the ERK1/2, IkappaBalpha phosphorylation and NF-kappaB signal
pathway activation, ROS production, IL-8 and NOX-4 proteins, and mRNA levels. The
addiction of HMW-HA or U0126 showed a significant downregulatory effect on
inflammation due to the rhIL-17A stimulation in nasal epithelial cells. IL-17A is
able to generate oxidative stress and inflammation via the activation of
ERK1/2/NF-kappaB pathway in nasal epithelial cells. The HMW-HA might represent a
coadjuvant of the classic anti-inflammatory/antioxidative treatment of nasal
epithelial cells during IL-17A nasal inflammation.
PMID- 27212813
TI - Model of yoga intervention in industrial organizational psychology for
counterproductive work behavior.
AB - Counterproductive work behavior (CWB) has long been recognized as a broad
spectrum of job behaviors and its link with negative affectivity and hostile
behaviors. It is a major concern practically for all organizations. Repeated
exposure to workplace stressor can result in a strain, an outcome of the job
stress process that can be psychological, physical, or behavioral in nature,
leading to CWBs. Yoga is a technique that brings an improvement on mental and
physical level by means of posture, breathing control methods, and silencing the
mind through meditation. Though yoga has received less scientific consideration,
there has been a significant growth in the study of yoga in the healthy
population. Mindfulness and self-control practices like yoga encourage
individuals to be aware and accept their aggression linked thoughts and emotions
simply as a short-lived state rather than to control them. The positive effects
of yoga on the improvement of personality traits are already proven. This paper
introduces a simple model of cost-effective, trials of yoga intervention at the
workplace which could result in the twin benefits of substantial savings from
losses for the employers by reducing the CWB and health improvements for the
employees by reducing the negative affectivity and aggression. Internet databases
such as PubMed, Google Scholar, and APA PsycNET were accessed. The available data
were systematically reviewed in a structured manner and analyzed.
PMID- 27212814
TI - Psychiatric morbidity and quality of life in skin diseases: A comparison of
alopecia areata and psoriasis.
AB - BACKGROUND: Alopecia areata (AA) and psoriasis are associated with various
psychiatric comorbidities. Both greatly affect the quality of life (QOL) of
patients and psychiatric comorbidities can further worsen it. Thus there is need
to recognise psychiatric comorbidities and treat them in these patients. AIMS: To
determine the psychiatric morbidity and the QOL in these patients to study the
factors affecting them. METHODOLOGY: 50 patients each of psoriasis and AA were
included. 50 people accompanying these patients served as control group. They
were diagnosed for psychiatric disorders by clinical interview. Scales used were
severity of alopecia tool for AA, psoriasis area and severity index for
psoriasis, WHO-QOL scale, Hamilton Rating Scale for anxiety and depression.
RESULTS: 22% and 38% patients in AA and psoriasis group respectively suffered
from psychiatric disorder, depression was present in 18% and 24% of patients and
4% and 12% had anxiety disorders in respective groups. The control group had only
6% of psychiatric comorbidities. QOL scores had negative correlation with
Hamilton-A, Hamilton-D and severity of psoriasis scores and they were
statistically significant but not with severity of AA. CONCLUSION: Thus AA and
psoriasis patients had more prevalence of psychiatric comorbidities and it had
bearing on their QOL.
PMID- 27212815
TI - Cognitive self-regulation, social functioning and psychopathology in
schizophrenia.
AB - AIM: To explore relation between cognitive self-regulation, social functioning,
and psychopathology in schizophrenia. MATERIALS AND METHODS: A total of 100
patients diagnosed with schizophrenia according to International Classification
of Diseases (ICD)-10 were taken from Department of Psychiatry of two postgraduate
hospitals of Kolkata, India. All subjects gave informed consent. After recording
sociodemographic and clinical details, the Positive and Negative Syndrome Scale
for Schizophrenia (PANSS), Schizophrenia Research Foundation India-Social
Functioning Index (SCARF-SFI), and specially designed questionnaire on cognitive
self-regulation was administered. RESULTS: All the four subtests of SCARF-SFI,
that is, self-concern, occupational role, social role and family role, and
symptoms scale of PANSS were significantly correlated with cognitive self
regulation. Cognitive self-regulation along with positive and negative symptoms
was able to predict social functioning. CONCLUSION: Cognitive self-regulation is
significantly and positively correlated to social functioning. Cognitive self
regulation along with positive and negative symptoms is a significant predictor
of social functioning.
PMID- 27212816
TI - Alcohol use and alcohol use disorder among male outpatients in a primary care
setting in rural Puducherry.
AB - CONTEXT: Alcohol use contributes to considerable morbidity and mortality
worldwide. Screening for alcohol use and alcohol use disorder (AUD) at the
primary care level can help in reducing this burden. While several community
studies have been conducted to estimate the AUD, there apparently are no studies
on opportunistic screening in a primary care setting in India. AIMS: The aim was
to estimate the prevalence of alcohol use and AUD in a primary care setting.
SETTINGS AND DESIGN: A hospital-based cross-sectional study was conducted among
adult male outpatients in a primary care setting in Puducherry, South India.
SUBJECTS AND METHODS: Male outpatients aged 18 and above were interviewed for
alcohol use. Current alcohol users were screened for AUD using World Health
Organization - AUD identification test (AUDIT) questionnaire, respectively.
STATISTICAL ANALYSIS USED: Proportions were used to describe the study population
and the main study findings. The Chi-square test was used to find out the
association between sociodemographic factors and alcohol use. RESULTS: Of 256
subjects studied, 39.8% were found to be current alcohol users and 10.9% had AUD
(AUDIT score >=8). The sociodemographic factors did not show any association with
an alcohol use in the current setting. CONCLUSION: Based on the findings of the
present study, four current alcohol users are to be screened to identify one
patient with AUD. Screening at the primary health care level can help in
identifying the risk group and thus help in reducing the morbidity and mortality
due to alcohol use in the population.
PMID- 27212817
TI - Prevalence and predictors of suicidal ideations among school going adolescents in
a hilly state of India.
AB - BACKGROUND: Adolescent suicide is an important public health issue. Suicidal
ideations are often the precursor of suicide and can be targeted by appropriate
and timely interventions. AIMS AND OBJECTIVES: To determine the prevalence of
suicide ideation and to study its predictive factors among school going
adolescents. MATERIALS AND METHODS: This cross-sectional study was carried out in
selected senior secondary schools in Shimla district of Himachal Pradesh, India.
A pre-validated, self-administered questionnaire was used for data collection.
Both descriptive and inferential statistics were applied using Epi info software
for windows (CDC Atlanta) software for windows. RESULTS: A total of 218 study
subjects (30.9%; confidence interval = 27.6-34.5%) had suicide ideation.
Discussing problems with parents (adjusted odds ratio [AOR] =0.5), having good
relations with school teachers (AOR = 0.6) and helpful classmates (AOR = 0.6)
lowered the odds of having suicidal ideations. On the contrary, adolescents
having worrying issues in family (AOR = 2.5), verbally or physically abused (AOR
= 2.8) and body image conscious (AOR = 1.8) had increased odds of suicidal
ideations. CONCLUSIONS: Suicidal ideation is a common experience among
adolescents residing in Shimla district of North India. The supportive
environment at home and in school decrease its vulnerability.
PMID- 27212818
TI - Quality of life of caregivers of mentally ill patients in a tertiary care
hospital.
AB - OBJECTIVES: To explore the quality of life (QOL) and its association with psycho
sociodemographic factors among caregivers of mentally ill patients in a tertiary
care hospital in urban India. MATERIALS AND METHODS: Sample consisted of 100
caregivers attending outpatient services in a tertiary care hospital. Data was
collected using World Health Organization QOL-BREF (WHOQOL-BREF) questionnaire.
The higher score meant a better QOL. RESULTS: Of 100 caregivers, 66% were men,
47% were parents and 64% were literate. 52% of the caregivers were providing care
for 1-5 years. The mean total score of QOL of the study population was 13.34 with
the highest score 15.15 in the physical domain, followed by 12.75 in social,
12.96 in environmental, and 12.52 in psychological domain. In a multiple linear
regression model, caregiver's elderly age was significantly associated most of
the domains of WHOQOL. CONCLUSION: Caregivers of mentally ill patients have
diminished QOL levels. Studies measuring QOL among caregivers can help initiate
early intervention among the vulnerable caregivers. This study would help in
increasing the awareness among the professional health care workers, to identify
at risk caregivers. Health workers by providing better health services and better
psycho-education to the caregivers can improve their QOL.
PMID- 27212819
TI - Premenstrual dysphoric disorder in medical students residing in hostel and its
association with lifestyle factors.
AB - CONTEXT: There is scant research on premenstrual syndrome (PMS) and its more
severe counterpart, premenstrual dysphoric disorder (PMDD) in Indian females.
This study aimed to evaluate symptoms of PMS in medical students and to find the
association of sociodemographic variables and lifestyle factors with PMDD.
SUBJECTS AND METHODS: A total of 179 medical students residing in the hostel of
an Indian medical college and its affiliated teaching hospital were approached,
of which 100 (55.8%) returned the completed questionnaires. Data related to
lifestyle factors was collected. Self-screening quiz for Diagnostic and
Statistical Manual of Mental Disorders-IV-Text Revision PMDD and Shortened
Premenstrual Assessment Form were used for diagnosis of PMDD and detection of
symptomatology, respectively. RESULTS: PMDD was present in 37% of the
respondents. It was found at a higher rate in older and postgraduate students.
PMDD was significantly associated with lifestyle factors, namely, sleep, physical
activity, total tea/coffee intake, and change in tea/coffee and food intake under
stress. The most common physical and psychological symptoms were body ache/joint
pain and feeling depressed/blue, respectively. CONCLUSIONS: PMDD is fairly common
in Indian medical students residing in hostel although cultural factors may
influence symptom expression. This study suggests that PMDD is associated with
lifestyle factors in young, professional, urban women. Modification in lifestyle
may thus be an important approach for management of PMS/PMDD. Prospective studies
with larger representative samples are needed to validate these findings.
PMID- 27212820
TI - Internet addiction and its determinants among medical students.
AB - BACKGROUND: Exponential use of internet has resulted in internet addiction in
recent times. Students are particularly at risk because of their unique personal,
social, and academic needs. OBJECTIVES: The study was designed to evaluate the
prevalence of internet addiction and its determinants among medical students.
MATERIALS AND METHODS: A cross-sectional study was conducted in 282 medical
students with the help of semi-structured questionnaire consisting of questions
related to demographic information, information related to internet use, and
Young's internet addiction test. RESULTS: We found prevalence of internet
addiction among medical students to be 58.87% (mild - 51.42%, moderate -7.45%)
and significantly associated factors with internet addiction being male gender,
staying in private accommodation, lesser age of first internet use, using mobile
for internet access, higher expenditure on internet, staying online for longer
time, and using internet for social networking, online videos, and watching
website with sexual content. CONCLUSION: Medical students are vulnerable for
internet addiction and efforts should be taken to increase awareness and prevent
the problem of internet addiction in them.
PMID- 27212822
TI - Psychiatric morbidity in patients of pulmonary tuberculosis-an observational
study.
AB - BACKGROUND: A lot of stigma and misconceptions about pulmonary tuberculosis still
persist, in spite of the advances in treatment. Thus, a mere diagnosis of
pulmonary tuberculosis can be a psychological trauma to an individual. The
situation has aggravated with the association of tuberculosis with HIV infection.
AIM: To study the psychiatric morbidity due to the various psychological stresses
faced by a patient of pulmonary tuberculosis. MATERIALS AND METHODS: The study
group consisted of 100 inpatients admitted to pulmonary ward with diagnosis of
pulmonary tuberculosis. The control group consisted of 100 inpatients admitted to
pulmonary ward with nontuberculous pulmonary diseases. Psychiatric history and
mental status were recorded on a specially designed proforma and diagnosis of any
psychiatric illness, if present, arrived at as per International Classification
of Diseases (ICD-10). The psychiatric tests applied were beck's depression
inventory (BDI) and Taylor's Manifest Anxiety Scale (TMAS). RESULTS: Of the
patients of pulmonary tuberculosis, 24% could be given a diagnostic category, as
per ICD-10, as compared to only 8% of the controls (P < 0.005). On BDI, 44% of
patients of pulmonary tuberculosis showed depression as compared to 27% of the
controls (P < 0.02). On TMAS, 38% of patients of pulmonary tuberculosis showed
anxiety as compared to 24% of controls (P < 0.05). A greater incidence of
depression (on BDI) and anxiety (on TMAS) was seen in those with longer duration
of illness (P < 0.02) and in those with greater severity of illness (P < 0.02).
CONCLUSION: In view of the high psychiatric morbidity associated with pulmonary
tuberculosis, there is enough scope for psychiatric services to be made available
to these patients. In addition, personnel involved in the treatment of these
patients should be trained for early detection of psychiatric symptoms.
PMID- 27212821
TI - P300 latency as an indicator of severity in major depressive disorder.
AB - BACKGROUND: Depression is the most common mental health problem across all the
age groups. Still diagnostic techniques and laboratory tests are awaited to
confirm it. Some studies focus on P300 latency to aid in the diagnosis of
depression. Hence, this study was conducted to know whether P300 latency is an
indicator of major depressive disorder (MDD). METHODS: This study was conducted
both on patients admitted in the hospital and those attending outdoor clinic
giving written informed consent and fulfilling inclusion/exclusion criteria from
the Department of Psychiatry, S.N. Medical College and Hospital, Agra. The sample
consisted of 30 consecutive patients suffering from MDD as per the Diagnostic and
Statistical Manual of Mental Disorders, Fourth Edition criteria and 30 subjects
as normal control. Sociodemographic and clinical history proforma, Hamilton
Rating Scale for Depression (Ham-D), and P300 were administered on all 60
subjects. Data were analyzed using mean, standard deviation, and t-test. RESULTS:
Significant difference (P < 0.0001) has been found in HAM-D mean scores of
depressed and nondepressed control group subjects. The mean score of depressed
group was significantly high (18.066) compared to nondepressed control group
(4.833). Significant difference (P < 0.0001) between the mean of P300 latency in
depressed and nondepressed control subjects was also found. Mean score of P300
latency in depressed group was significantly high (346.918 +/- 19.515) compared
to the nondepressed control subjects (303.741 +/- 6.378). There was a significant
difference in the mean of P300 latency between mild and severe (P < 0.0001), mild
and very severe (P < 0.0003), as well as moderate and severe (P < 0.0001) level
of depression. CONCLUSIONS: P300 latency may be used as an indicator of MDD and
it is directly proportional to the severity of MDD.
PMID- 27212823
TI - Understanding intimate partner violence and its correlates.
AB - OBJECTIVES: This study assessed intimate partner violence (IPV) and alcohol use
in an urban population in Pune, India. The prevalence of IPV and alcohol use was
assessed along with the correlation of IPV with alcohol and other variables.
MATERIALS AND METHODS: The study was cross-sectional, questionnaire-based. The
materials used were the hurt insult threaten scream (HITS) scale, the alcohol use
disorders identification test, and a brief psychosocial questionnaire. Systematic
random sampling was done on the target population. Regression analysis of various
factors in relation to HITS score was done. RESULTS: Sample size (n) was 318
individuals. Prevalence of IPV was found to be 16% and the victims were mostly
women. Prevalence of alcohol use was 44%, of which 8.9% were harmful users. No
female subjects consumed alcohol, but 94% were aware of their husband's alcohol
consumption. No significant correlation was found between IPV and education (P =
0.220) or income of women (P = 0.250). Alcohol consumption by males was a
significant risk factor for women experiencing IPV (sigma = +0.524; P< 0.001).
Regression analysis also revealed that increasing marital age (P = 0.019) and
financial support from in-laws (P = 0.040) were significantly protective.
CONCLUSION: IPV prevalence was less than the national average for India, but the
majority of victims was women. The most common type of IPV was verbal. Alcohol
use prevalence was higher than the national average, but harmful use was lower.
Alcohol use is a significant risk factor for IPV. Education and income of women
were not significantly protective against IPV but increased age at marriage and
support from in-laws were.
PMID- 27212824
TI - Study of fatigue, depression, and associated factors in type 2 diabetes mellitus
in industrial workers.
AB - INTRODUCTION: Type 2 diabetes mellitus is a significant health problem and
imposes great physical, financial and psychological burden among the affected
population. Among people with diabetes, fatigue is a pervasive and distressing
complaint, which is further accentuated by presence of depression. OBJECTIVE: To
assess the prevalence of fatigue and depression and associated clinical and socio
demographic correlates in type 2diabetes. MATERIALS AND METHODS: This cross
sectional study included 100 patients of diabetes type 2 and equal number of
healthy controls between the ages of 18 to 70 years. A detailed evaluation of
socio demographic and clinical parameters was made. Participants were also
assessed for presence of depression and fatigue using PHQ-9 and Fatigue Severity
Scale(FSS)respectively. RESULTS: Fatigue and depression was found in 68 % and 53
% of diabetic participants. Diabetic patients were 10.37 times and 4.80 times
more likely to suffer from fatigue and depression respectively. Both fatigue and
depression were found to be significantly associated with duration of illness,
fasting and post prandial blood glucose level, diabetic complications and Body
Mass Index (BMI). Fatigue was also strongly correlated with depression in study
sample. CONCLUSIONS: Fatigue and depression are reasonably correlated with type 2
diabetes. Various clinical parameters of diabetes are strongly associated with
both fatigue and depression. Fatigue itself has significant correlation with
depression in type 2 diabetes. Regular monitoring of biochemical parameters are
paramount to predict the development of fatigue and depression in type 2
diabetes.
PMID- 27212825
TI - Sociodemographic profile of suicide attempters among the rural agrarian community
of central India.
AB - BACKGROUND: Suicides, attempted suicides and different form of suicidal behaviors
are on the rise in most part of the world. It is generally assumed that official
suicidal rate are underestimated from the true rate by 20-100 % due to prevailing
socio-cultural issues, religious attitude, stigma attached, and legal process
involved. Attempted suicides occur 8-20 times more frequently than complete
suicide. Statistics on attempted suicide or deliberate self harm are not usually
available officially. MATERIALS AND METHODS: All the cases of attempted suicide
who were admitted and referred for psychiatric evaluation and management to a
rural medical college of central India during a period of one Year (April 13
March-14), following initial recovery they were evaluated on a semi-structured
performa on socio demographic profile, mode of attempt and reason for attempt.
Data collected was analyzed using suitable statistical methods. RESULTS: Total 68
cases were evaluated during the study period. 43% of the cases were involved in
farming. Among 85% of the study population pesticide consumption was the common
mode of attempt, which is easily available among the agrarian community of rural
India. Interpersonal conflict in the family due to indebtedness, financial loss
due to crop failure was the commonest reason for attempt. CONCLUSION: Though
there is reduced reporting in the incidents of suicide cases in media from this
region, still quite a number of people attempt suicide due to financial
constraint from crop failure, ongoing indebtedness, and poor socioeconomic
condition culminating into poor mental health among the rural agrarian community
of central Maharashtra.
PMID- 27212826
TI - Paper eating: An unusual obsessive-compulsive disorder dimension.
AB - There is a lot of diversity in the medical realm; where unspecified sign and
symptoms might confuse and force even experienced clinicians to commit mistakes.
Paper eating is presently included in pica, but certain rare compulsions may
mimic this and cause confusion for unsuspecting observers. We report a case of
paper eating as a manifestation of compulsion in a 15-year-old girl, and
reiterate that missing on rare presentations might cause the patient sufferings
from inadvertent pharmacological treatment efforts.
PMID- 27212827
TI - A case of Gilles de la Tourette's syndrome.
AB - Gilles de la Tourette's syndrome is an uncommon illness associated with
repetitive un-voluntary abnormal movements and utterance. It is often associated
with other psychiatric morbidities. Management requires awareness of this
uncommon illness, keen observation, relevant evaluation, and combination of
pharmacology and psychotherapy for an optimal outcome. This case is brought out
here for florid presentation and nuances of management.
PMID- 27212828
TI - Use of electroconvulsive therapy in an elderly after 5 weeks of myocardial
infraction with 30% cardiac output.
AB - There is limited literature on the use of electroconvulsive therapy (ECT) in
patients with recent myocardial infarction and in those with reduced cardiac
output. In this report, we describe the safe use of ECT in a 70-year-male
suffering from severe depressive episode with psychotic symptoms. He had a
history of poor response to adequate pharmacotherapy and had suffered from
myocardial infraction (MI), about 3 weeks prior to admission to the psychiatric
unit. In view of severe depression associated with marked anxiety, agitation,
psychotic symptoms, and poor food intake he was started on ECT after 5 weeks of
MI when his cardiac output was only 30%. He received nine sessions of ECT without
any cardiac complications and his depression remitted with ECT.
PMID- 27212829
TI - Central pontine myelinolysis in a case of alcohol dependence syndrome.
AB - Osmotic Demyelination Syndrome includes Central Pontine Myelinolysis and
Extrapontine Myelinolysis. This condition has been described in cases of chronic
Alcohol Dependence Syndrome and in rapid correction of hyponatremia. Though we
frequently see patients with Alcohol Dependence Syndrome presenting with
complicated withdrawal, Central Pontine Myelinolysis remains largely undetected
and under-reported in literature. We present here a case of protracted Delirium
Tremens where MRI brain revealed Central Pontine Myelinolysis. Subsequently
cognitive assessment revealed significant dysfunction and brain SPECT showed hypo
perfusion of the frontal lobes. Osmotic Demyelination Syndrome should be
suspected in protracted Delirium Tremens.
PMID- 27212830
TI - Impact of drug awareness and treatment camps on attendance at a community
outreach de-addiction clinic.
AB - BACKGROUND: Substance misuse is an increasing problem in urban and rural India.
The utility of community-based interventions and preventive strategies are
increasingly emphasized in this context. The drug de-addiction and treatment
center, Department of Psychiatry, Postgraduate Institute of Medical Education and
Research, has been running a drug de-addiction and treatment clinic at Kharar
Civil Hospital, Kharar, District Mohali, Punjab, since 1998. As part of an effort
to enhance this community outreach program, community-based drug awareness and
treatment camps have been organized since March 2004 in villages in and around
Tehsil Kharar of Mohali. AIM: To study the impact of the drug awareness and
treatment camps on the attendance of patients at the community outreach drug de
addiction and treatment clinic at Kharar Civil Hospital. METHODS:
Sociodemographic and clinical variables, including treatment outcome-related
variables, of patients attending the clinic at Kharar Civil Hospital, before and
after the camps were compared. DISCUSSION AND CONCLUSION: The study showed a
positive impact on drug awareness and treatment camps held in the community on
outpatient attendance at a community outreach clinic, with attendance increasing
more than 1.8 times.
PMID- 27212831
TI - Evaluation of nonmemory cognitive parameters in psychiatric patients' pre- and
post-electroconvulsive therapy: An observational study.
AB - INTRODUCTION: Possibility of cognitive side effects has made electroconvulsive
therapy (ECT) questionable. Variable deficits have been debated in memory
cognition. Pattern of changes in nonmemory cognition pre- and post-ECT is not
clear. METHODOLOGY: Forty patients undergoing ECT were studied on nonmemory
cognitive parameters before ECT, after a course of ECT, and after 4 weeks of
last. ECT. RESULTS: Nonmemory cognition improved during the course of ECT and
over 4 weeks of ECT. CONCLUSIONS: ECT does not affect the nonmemory cognition
adversely.
PMID- 27212832
TI - Virtual reality applications in Schizophrenia.
PMID- 27212833
TI - Biological correlates of attention deficit hyperactivity disorder and specific
learning disability, following stroke in a young child.
PMID- 27212834
TI - Cerebellar stimulation: A hypothetical therapeutic model for substance use
disorders.
PMID- 27212835
TI - The Luxembourg database of trichothecene type B F. graminearum and F. culmorum
producers.
AB - Data specific to 486 strains belonging to Fusarium graminearum and Fusarium
culmorum were manually collected from Luxembourg field monitoring campaigns
between the year 2007 ad 2013. It is of interest to store such data in a web
enabled advanced database to help in epidemiological studies. Hence, we describe
the design and development of a Fusarium database added to the Luxembourg
Microbial Culture Collection (LuxMCCTM) web interface at the Luxembourg Institute
of Science and Technology (LIST). The database has three main features: (1)
filter search, (2) detailed viewer of isolate information, and (3) excel export
function of the dataset. Information on fungal strains includes genetic
chemotypes, data on selected agronomic factors and crop management issues with
geographic localization. The database constitutes a rich source of data for
addressing epidemiological issues related to these two species. It will be
regularly updated with improved features for advancement and utility.
PMID- 27212836
TI - PCOSDB: PolyCystic Ovary Syndrome Database for manually curated disease
associated genes.
AB - Polycystic ovary syndrome (PCOS) is a complex disorder affecting approximately 5
10 percent of all women of reproductive age. It is a multi-factorial endocrine
disorder, which demonstrates menstrual disturbance, infertility, anovulation,
hirsutism, hyper androgenism and others. It has been indicated that differential
expression of genes, genetic level variations, and other molecular alterations
interplay in PCOS and are the target sites for clinical applications. Therefore,
integrating the PCOS-associated genes along with its alteration and underpinning
the underlying mechanism might definitely provide valuable information to
understand the disease mechanism. We manually curated the information from 234
published literatures, including gene, molecular alteration, details of
association, significance of association, ethnicity, age, drug, and other
annotated summaries. PCOSDB is an online resource that brings comprehensive
information about the disease, and the implication of various genes and its
mechanism. We present the curated information from peer reviewed literatures, and
organized the information at various levels including differentially expressed
genes in PCOS, genetic variations such as polymorphisms, mutations causing PCOS
across various ethnicities. We have covered both significant and non-significant
associations along with conflicting studies. PCOSDB v1.0 contains 208 gene
reports, 427 molecular alterations, and 46 phenotypes associated with PCOS.
PMID- 27212837
TI - PIMA: Protein-Protein interactions in Macromolecular Assembly - a web server for
its Analysis and Visualization.
AB - Protein-protein interactions are essential for the basic biological machinery of
the cell. This is important for processes like protein synthesis, enzyme
kinetics, molecular assembly and signal transduction. A high number of
macromolecular structural complexes are known due to recent advances in structure
determination techniques. Therefore, it is of interest to develop an interactive
tool to objectively analyze large protein complexes. Hence, we describe the
development and utility of a web enabled application named 'Protein-Protein
Interaction in Macro-molecular Assembly' (PIMA) for the analysis of large protein
assemblies. The intricate details of physical interactions amongst protein
subunits in a large complex are presented as simple user preferred interactive
network diagrams.
PMID- 27212838
TI - Classification of anti hepatitis peptides using Support Vector Machine with
hybrid Ant Colony OptimizationThe Luxembourg database of trichothecene type B F.
graminearum and F. culmorum producers.
AB - Hepatitis is an emerging global threat to public health due to associated
mortality, morbidity, cancer and HIV co-infection. Available diagnostics and
therapeutics are inadequate to intercept the course and transmission of the
disease. Antimicrobial peptides (AMP) are widely studied and broad-spectrum host
defense peptides are investigated as a targeted anti-viral. Therefore, it is of
interest to describe the supervised identification of anti-hepatitis peptides. We
used a hybrid Support Vector Machine (SVM) with Ant Colony Optimization (ACO)
algorithm for simultaneous classification and domain feature selection. The
described model shows a 10 fold cross-validation accuracy of 94 percent. This is
a reliable and a useful tool for the prediction and identification of hepatitis
specific drug activity.
PMID- 27212839
TI - A method for clustering of miRNA sequences using fragmented programming.
AB - Clustering of miRNA sequences is an important problem in molecular genetics
associated cellular biology. Thousands of such sequences are known today through
advancement in sophisticated molecular tools, sequencing techniques,
computational resources and rule based mathematical models. Analysis of such
large-scale miRNA sequences for inferring patterns towards deducing cellular
function is a great challenge in modern molecular biology. Therefore, it is of
interest to develop mathematical models specific for miRNA sequences. The process
is to group (cluster) such miRNA sequences using well-defined known features. We
describe a method for clustering of miRNA sequences using fragmented programming.
Subsequently, we illustrated the utility of the model using a dendrogram (a tree
diagram) for publically known A.thaliana miRNA nucleotide sequences towards the
inference of observed conserved patterns.
PMID- 27212840
TI - Antimicrobial effect of Pistacia atlantica leaf extract.
AB - The antimicrobial effect of the mastic tree (Pistacia atlantica) under in vitro
conditions has been reported. Therefore, it is of interest to evaluate the effect
of the plant leaf extract (aqueous) on bacterial load in mouth and saliva. The
leaf of the Pistacia atlantica plant was collected and cleaned, dried at 400c and
then powdered. The extraction was carried out using the maceration method in
vacuum with the rotary evaporator device. Bacterial inhibition (Streptococcus
species) by the leaf extract was studied using the disc diffusion and embedding
sink diffusion methods. The values of MIC and MBC were determined. The collected
data was further analyzed using t-test and repeated measure statistical tests.
The disc diffusion technique showed a significant inhibitory effect for Pistacia
atlantica leaf extract on S. mutans (ATCC 35668) and S. mitis (ATCC 49456) with
inhibition zones of 19 and 25 millimeters, respectively. This is for the highest
leaf extract concentration used in this study (p<0.01). The values of MIC and MBC
for S.mutans was 60, 90 MUg/ml and for S. mitis was 75, 110 MUg/ml (p<0.01
significance). The leaf extract has no significant effect on S. salivarius (ATCC
13419). Thus, the antimicrobial properties of the aqueous leaf extract from
Pistacia atlantica is demonstrated in this study.
PMID- 27212841
TI - Towards finding the linkage between metabolic and age-related disorders using
semantic gene data network analysis.
AB - A metabolic disorder (MD) occurs when the metabolic process is disturbed. This
process is carried out by thousands of enzymes participating in numerous inter
dependent metabolic pathways. Critical biochemical reactions that involve the
processing and transportation of carbohydrates, proteins and lipids are affected
in metabolic diseases. Therefore, it is of interest to identify the common
pathways of metabolic disorders by building protein-protein interactions (PPI)
for network analysis. The molecular network linkages between MD and age related
diseases (ARD) are intriguing. Hence, we created networks of protein-protein
interactions that are related with MD and ARD using relevant known data in the
public domain. The network analysis identified known MD associated proteins and
predicted genes and or its products of ARD in common pathways. The genes in the
common pathways were isolated from the network and further analyzed for their co
localization and shared domains. Thus, a model hypothesis is proposed using
interaction networks that are linked between MD and ARD. This data even if less
conclusive finds application in understanding the molecular mechanism of known
diseases in relation to observed molecular events.
PMID- 27212843
TI - Screening for congenital heart disease in India: Rationale, practical challenges,
and pragmatic strategies.
PMID- 27212842
TI - Hypothalamus-Pituitary-Adrenal cell-mediated immunity regulation in the Immune
Restoration Inflammatory Syndrome.
AB - Over one third of the patients sero-positive for the human immunodeficiency virus
(HIV) with signs of the acquired immune deficiency syndrome (AIDS), and under
treatment with anti-retroviral therapy (ART), develop the immune reconstitution
inflammatory syndrome (IRIS). It is not clear what variables are that determine
whether a patient with HIV/AIDS will develop ART-related IRIS, but the best
evidence base thus far indicates that HIV/AIDS patients with low CD4 cell count,
and HIV/AIDS patients whose CD4 count recovery shows a sharp slope, suggesting a
particularly fast "immune reconstitution", are at greater risk of developing
IRIS. Here, we propose the hypothesis that one important variable that can
contribute to low CD4 cell count number and function in ART-treated HIV/AIDS
patients is altered hypothalamic-pituitary-adrenal (HPA) cell-mediated immune
(CMI) regulation. We discuss HPA-CMI deregulation in IRIS as the new frontier in
comparative effectiveness research (CRE) for obtaining and utilizing the best
evidence base for treatment of patients with HIV/AIDS in specific clinical
settings. We propose that our hypothesis about altered HPA-CMI may extend to the
pathologies observed in related viral infection, including Zika.
PMID- 27212844
TI - Procalcitonin as a biomarker of bacterial infection in pediatric patients after
congenital heart surgery.
AB - BACKGROUND: Bacterial infection (BI) after congenital heart surgery (CHS) is
associated with increased morbidity and is difficult to differentiate from
systemic inflammatory response syndrome caused by cardiopulmonary bypass (CPB).
Procalcitonin (PCT) has emerged as a reliable biomarker of BI in various
populations. AIM: To determine the optimal PCT threshold to identify BI among
children suspected of having infection following CPB. SETTING AND DESIGN: Single
center retrospective observational study. MATERIALS AND METHODS: Medical records
of all the patients admitted between January 2013 and April 2015 were reviewed.
Patients in the age range of 0-21 years of age who underwent CHS requiring CPB in
whom PCT was drawn between postoperative days 0-8 due to suspicion of infection
were included. STATISTICAL ANALYSIS: The Wilcoxon rank-sum test was used for
nonparametric variables. The diagnostic performance of PCT was evaluated using a
receiver operating characteristic (ROC) curve. RESULTS: Ninety-eight patients
were included. The median age was 2 months (25th and 75th interquartile of 0.1
7.5 months). Eleven patients were included in the BI group. The median PCT for
the BI group (3.42 ng/mL, 25th and 75th interquartile of 2.34-5.67) was
significantly higher than the median PCT for the noninfected group (0.8 ng/mL,
25th and 75th interquartile 0.38-3.39), P = 0.028. The PCT level that yielded the
best compromise between the sensitivity (81.8%) and specificity (66.7%) was 2
ng/mL with an area under the ROC curve of 0.742. CONCLUSION: A PCT less than 2
ng/mL makes BI unlikely in children suspected of infection after CHS.
PMID- 27212846
TI - Association between vitamin D levels and left ventricular function and NT-proBNP
levels among thalassemia major children with iron overload.
AB - BACKGROUND: Heart disease is the major cause of death in thalassemia patients.
Repeated blood transfusions and hemolysis cause iron overload and also disrupts
the hydroxylation and synthesis of vitamin D, causing vitamin D deficiency.
Vitamin D deficiency is associated with cardiac dysfunction. OBJECTIVE: The
purpose of this study was to determine the association between vitamin D levels
and left ventricular function and N-terminal pro-brain natriuretic peptide (NT
proBNP) levels in thalassemia major children with iron overload. PATIENTS AND
METHODS: A cross-sectional study was conducted in March-April 2015 in the
thalassemia clinic, Department of Child Health, Dr. Hasan Sadikin General
Hospital, Bandung, Indonesia. Thirty-four children with thalassemia were enrolled
consecutively. Serum vitamin D and NT-proBNP levels were measured with
electrochemiluminescence (ECLIA) method and echocardiography was performed to
assess ventricular function. RESULTS: Significant correlations were found between
vitamin D levels and left ventricular ejection fraction (LVEF) (r = 0.399, P =
0.019) and fractional shortening (FS) (r = 0.394, P = 0.021). There was also
significant correlation between vitamin D and NT-proBNP levels (r = -0.444, P =
0.008). Chi-square analysis also showed a relationship between vitamin D and NT
proBNP (P = 0.019) levels. There was a difference in NT-proBNP levels among
thalassemia major children with iron overload (P = 0.020). Post hoc analysis
showed that there was a significant difference in NT-proBNP levels between those
with vitamin D deficiency and those with normal vitamin D levels (P = 0.012).
CONCLUSION: There is an association between vitamin D and left ventricular
function and NT-proBNP levels in children with thalassemia major and iron
overload. Vitamin D can be considered in patients with thalassemia having vitamin
D deficiency.
PMID- 27212845
TI - Amino-terminal pro-brain natriuretic peptide in children with latent rheumatic
heart disease.
AB - BACKGROUND: Rheumatic heart disease (RHD) is a global cause of early heart
failure. Early RHD is characterized by valvar regurgitation, leading to
ventricular distention and possible elaboration of amino-terminal pro-brain
natriuretic peptide (NT-proBNP). We investigated the ability of NT-proBNP to
distinguish cases of latent RHD detected by echocardiographic screening from the
controls. MATERIALS AND METHODS: Ugandan children (N = 44, 36% males, mean age:
12 +/- 2 years) with latent RHD (cases) and siblings (controls) by
echocardiography were enrolled. Cases and controls were matched for age and sex,
and they had normal hemoglobin (mean: 12.8 mg/dL). Children with congenital heart
disease, pregnancy, left ventricular dilation or ejection fraction (EF) below
55%, or other acute or known chronic health conditions were excluded. RHD cases
were defined by the World Heart Federation (WHF) 2012 consensus guideline
criteria as definite. Controls had no echocardiography (echo) evidence for RHD.
At the time of echo, venous blood samples were drawn and stored as serum. NT
proBNP levels were measured using sandwich immunoassay. Paired t-tests were used
to compare NT-proBNP concentrations including sex-specific analyses. RESULTS: The
mean NT-proBNP concentration in the cases was 105.74 +/- 67.21 pg/mL while in the
controls, it was 86.63 +/- 55.77 pg/mL. The cases did not differ from the
controls (P = 0.3). In sex-specific analyses, male cases differed significantly
from the controls (158.78 +/- 68.82 versus 76 +/- 42.43, P = 0.008). Female cases
did not differ from the controls (75.44 +/- 45.03 versus 92.30 +/- 62.35
respectively, P = 0.4). CONCLUSION: Serum NT-proBNP did not distinguish between
latent RHD cases and the controls. Sex and within-family exposures may confound
this result. More investigation into biomarker-based RHD detection is warranted.
PMID- 27212847
TI - Fetal left ventricular myocardial performance index: Defining normal values for
Indian population and a review of literature.
AB - OBJECTIVE: The aim of this study was to determine normal values for fetal left
ventricular (LV)-myocardial performance index (MPI) in Indian population and to
assess its relation to advancing gestation and fetal heart rate (FHR). MATERIALS
AND METHODS: Two hundred pregnant women without any pregnancy-related
complications and whose fetuses were shown to have structurally normal hearts
were enrolled in this study. Doppler waveform involving simultaneous display of
mitral inflow and LV outflow was obtained in all. Various intervals including
isovolumetric contraction time (IVCT), isovolumetric relaxation time (IVRT), and
ejection time (ET) were measured and then the MPI was calculated using the
formula IVCT + IVRT/ET. Also the correlation between MPI and gestation age and
FHR was assessed. We also reviewed the literature on the use of MPI for the
assessment of fetal LV function. RESULTS: The normal MPI in second and third
trimester fetuses of Indian population was 0.42 +/- 0.03. The mean IVCT was 33 +/
4 milliseconds (ms), mean IVRT was 39 +/- 5 ms, and mean ET was 169 +/- 9 ms.
The mean heart rate was 148 +/- 8 bpm and the mean PR interval was 111 +/- 10 ms.
There was no significant association of LV-MPI with either FHR or advancing
gestation. CONCLUSION: MPI is a useful parameter for the assessment global
cardiac function. MPI has the advantage of not being affected by FHR, ventricular
size, and geometry or image quality. The review of literature shows its
significant importance in monitoring complicated pregnancies.
PMID- 27212849
TI - Pulmonary venous hypertension may allow delayed palliation of single ventricle
physiology with pulmonary hypertension.
AB - Pulmonary vascular disease develops early in untreated single ventricle patients
with increased pulmonary flow. Pulmonary artery (PA) banding is done at a young
age in these patients in order to protect the lung vasculature and maintain low
pulmonary artery pressures (PAP) and pulmonary vascular resistance (PVR). This
also enables future completion of the single ventricle palliation. Pulmonary
venous hypertension (PVH) secondary to left sided obstruction if present in
addition in this setting contributes to the pulmonary arterial hypertension (PAH)
but involves an element of reversibility of the PAH if the obstruction is
relieved. We present two cases of single ventricle both of who re-presented late
with PAH and PVH (secondary to mitral valve obstruction) and underwent delayed PA
banding at 9.5 and 4.5 years of age respectively. Both patients however had
different outcomes. The patient undergoing PA banding at 9.5 years successfully
underwent a cavo-pulmonary shunt at the age of 12 years. The patient with PA
banding at 4.5 years however, has residual PAH that presently precludes a cavo
pulmonary shunt.
PMID- 27212848
TI - Catecholaminergic polymorphic ventricular tachycardia: An exciting new era.
AB - Catecholaminergic polymorphic ventricular tachycardia (CPVT) is a highly
malignant inheritable cardiac channelopathy. The past decade and a half has
provided exciting new discoveries elucidating the genetic etiology and
pathophysiology of CPVT. This review of the current literature on CPVT aims to
summarize the state of the art in our understanding of the genetic etiology and
the molecular pathogenesis of CPVT, and how these relate to our current approach
to diagnosis and management. We will also shed light on groundbreaking new work
that will continue to refine the management of CPVT in the future. As our
knowledge of CPVT continues to grow, further studies will yield a better
understanding of the efficacy and pitfalls of established diagnostic approaches
and therapies as well as help shape newer diagnostic and treatment strategies.
Two separate searches were run on the National Center for Biotechnology
Information's (NCBI) website. The first used the medical subject headings (MeSH)
database using the term "catecholaminergic polymorphic ventricular tachycardia"
that was run on the PubMed database using the age filter (birth to 18 years), and
it yielded 58 results. The second search using the MeSH database with the search
term "catecholaminergic polymorphic ventricular tachycardia," applying no filters
yielded 178 results. The abstracts of all these articles were studied and the
articles were categorized and organized. Articles of relevance were read in full.
As and where applicable, relevant references and citations from the primary
articles were further explored and read in full.
PMID- 27212851
TI - Coronary artery spasm after ingestion of Imodium (loperamide) in a 14-year-old
boy.
AB - We report a 14-year-old boy who presented with acute chest pain, following the
ingestion of loperamide for acute diarrhea. Twelve lead electrocardiogram (ECG)
showed evidence of acute ischemia indicating acute coronary artery spasm. The
changes reverted with treatment within a few hours with no permanent effect on
myocardial function. This report highlights a rare side effect of loperamide,
often debated in adults and never reported in adolescents.
PMID- 27212850
TI - Percutaneous recanalization of totally occluded coarctation of the aorta in
children using Brockenbrough needle and covered stents.
AB - Percutaneous treatment of totally occluded coarctation of the aorta has been
reported predominantly in adults. The success and challenges of this procedure in
children is reported in few patients. We report an outcome of percutaneous
treatment of three children with completely occluded coarctation of the aorta.
The age range was 9-14 years. All the patients had upper limb hypertension. One
case had severe left ventricular dysfunction. In all cases, a pediatric
Brockenbrough needle and a covered stent were implanted. Recanalization and
implantation of a covered stent was successful in all patients. One of these
patients developed transient postcoarctectomy syndrome. Percutaneous
recanalization of totally occluded coarctation of the aorta using Brockenbrough
needle and a covered stent in children is feasible and effective.
PMID- 27212852
TI - Successful retrieval of a Figulla Occlutech septal occluder - embolized device
stability and potential solutions.
AB - Go to: We report a case of an atrial septal defect where a Figulla Occlutech
device embolized into the right ventricle. As this device has no left atrial hub,
we brought the bare device into the inferior vena cava and used a novel two-snare
technique to slenderize the device into the sheath. This report highlights
additional tips in transcatheter device retrieval.
PMID- 27212853
TI - Atypical arthritis revisited: Acute rheumatic fever.
AB - A 13-year-old boy presented with vague musculoskeletal pain and involvement of
multiple small and large joints along with axial skeleton for the last 3 years,
poorly responsive to aspirin. However, on account of presence of carditis and
fulfilment of Jones criteria, a diagnosis of acute rheumatic fever (ARF) with
atypical arthritis was made. We report this case to break the myth and sensitize
pediatricians and rheumatologists to keep the possibility of atypical articular
presentations, as in our case, in patients with ARF and prevent delayed diagnosis
and treatment.
PMID- 27212854
TI - Complex aortopulmonary window in a single ventricle setting: Technical
considerations for staged palliation.
AB - We report a successful surgical management of a case presented with a combination
of aortopulmonary window (APW) with large ventricular septal defect (VSD)
amounting to a single ventricle, with a view to highlight technical
considerations during staged single-ventricle palliation.
PMID- 27212855
TI - Anomalous origin of the left innominate (brachiocephalic) artery in the right
aortic arch: How can it be anomalous when the left innominate artery is absent?
AB - An unusual case of a rare vascular ring, which has been called right aortic arch
with aberrant left innominate artery, is presented. The appearance of this case
led to the realization that there is really no innominate artery present in this
anomaly but only the left dorsal aorta. We present a clarification of the nature
and likely development of the vessels present.
PMID- 27212857
TI - Clinical and genetic challenges in a family with history of childhood polyp,
aortopathy, and clinical diagnosis of hereditary hemorrhagic teleangiectasia
(HHT).
AB - Hereditary hemorrhagic teleangiectasia (HHT) is a genetic disorder, characterized
by abnormal vessel formation and arteriovenous malformations (AVMs). The so
called "Curacao criteria" are most commonly employed for the purposes of clinical
diagnosis. However, children may not exhibit the full magnitude of symptoms and
the Curacao criteria appear to be less sensitive in this setting. We describe a
family, in which two members were clinically diagnosed with HHT and referred for
genetic testing. As there were phenotypic features suggesting the high likelihood
of combined syndrome of juvenile polyposis with hereditary hemorrhagic
teleangiectasia (JPHT), we proceeded with genetic testing of SMAD4 gene as
initial step, which revealed a novel frameshift mutation. This case shows the
variety of challenges that clinicians and genetic laboratories may face in
complex cases such as combined JPHT syndrome. Knowledge of the syndrome features
is of paramount importance as they could frequently point at the most appropriate
gene to be tested.
PMID- 27212856
TI - Scimitar syndrome: Surgical approach to an unusual anatomy of the scimitar vein.
AB - Repair strategies in scimitar syndrome are varied and need to be individualized
to the surgical anatomy. This report focuses on the repair achieved in a case
with unusual anatomy of the scimitar vein.
PMID- 27212858
TI - Creating a Fontan fenestration in a child with dextrocardia and interrupted
inferior vena cava.
AB - Plastic bronchitis is a rare life-threatening complication of the Fontan
operation. Transcatheter Fontan fenestration can ameliorate symptoms by
decompressing elevated venous pressures. Transcatheter creation of a fenestration
can be technically challenging in cases with complex venous anatomy. We report a
case of a 5-year-old boy with heterotaxy, dextrocardia with unbalanced
atrioventricular canal (AVC), atrial and visceral situs inversus, left-sided
superior vena cava (SVC), and left-sided interrupted inferior vena cava (IVC)
with azygos continuation. With few modifications to the equipment, a successful
Fontan fenestration with stent implantation was performed via transjugular
approach. At 2-year follow-up, his symptoms of plastic bronchitis improved
significantly.
PMID- 27212859
TI - Stenting of vertical vein in an infant with obstructed supracardiac total
anomalous pulmonary venous drainage.
AB - A 1.7 kg infant with obstructed supracardiac total anomalous pulmonary venous
drainage (TAPVD) presented with severe pulmonary hypertension secondary to
vertical vein obstruction. The child, in addition, had a large omphalocele that
was being managed conservatively. The combination of low weight, unoperated
omphalocele, and severe pulmonary hypertension made corrective cardiac surgery
very high-risk. Therefore, transcatheter stenting of the stenotic vertical vein,
as a bridge to corrective surgery was carried out. The procedure was carried out
through the right internal jugular vein (RIJ). The stenotic segment of the
vertical vein was stented using a coronary stent. After procedure, the child was
discharged well to the referred hospital for weight gain and spontaneous
epithelialization of the omphalocele. Stenting of the vertical vein through the
internal jugular vein can be considered in very small neonates as a bridge to
repair obstructed supracardiac total anomalous venous drainage.
PMID- 27212860
TI - Juxtaposed atrial appendages: A curiosity with some clinical relevance.
AB - If the atrial appendages lie adjacent to each other on same side of the great
arteries, instead of encircling their roots, they are referred as juxtaposed.
Right juxtaposition of atrial appendages is less common than left juxtaposition.
The images demonstrate the classical radiological, echocardiographic, and
surgical images of juxtaposed atrial appendages. Their clinical incidence,
associations, and relevance during interventional and surgical procedures are
discussed.
PMID- 27212861
TI - Unique pattern of late gadolinium enhancement on cardiac magnetic resonance
imaging in Duchenne muscular dystrophy.
AB - Cardiomyopathy is an important cause of morbidity and mortality in patients with
Duchenne muscular dystrophy (DMD). Early recognition of myocardial involvement
and initiation of therapy are important for improved outcomes. Cardiac magnetic
resonance imaging (CMR) is a sensitive tool in early detection of myocardial
fibrosis in these children.
PMID- 27212862
TI - Color Doppler and pulse wave assessment of flow in anomalous origin of left
coronary artery from pulmonary artery: Pre- and post-surgery.
AB - Changes in left coronary artery flow pattern in anomalous left coronary from
pulmonary artery can provide valuable insight into pathology and natural history
of disease. We wish to discuss a case with pre and post operative left coronary
flow pattern with mid term follow up.
PMID- 27212864
TI - Combined percutaneous and surgical treatment of a large coronary artery fistula
connecting the left anterior descending artery to the right ventricle in an 8
year-old child.
PMID- 27212863
TI - Isolated congenital cardiac diverticulum originating from the left ventricular
apex: Report of a pediatric case.
AB - Congenital ventricular diverticulum is a rare cardiac anomaly defined as a
localized protrusion of the ventricular free wall. Although, it is usually
asymptomatic, complications such as embolism, infective endocarditis, and
arrhythmias can occur. The diagnosis can be made by echocardiography, cardiac
magnetic resonance imaging, or catheter angiography. Surgical resection is the
treatment of choice in symptomatic patients, whereas the management of
asymptomatic patients often represents a therapeutic dilemma. We report here, a 9
month-old patient with asymptomatic congenital left ventricular (LV) diverticulum
associated with epigastric hernia.
PMID- 27212865
TI - Sensorineural hearing loss in Kawasaki disease.
PMID- 27212866
TI - Amiodarone toxicity: An underdiagnosed entity.
PMID- 27212867
TI - Extensive aortic aneurysm associated with neonatal lupus erythematosus.
PMID- 27212869
TI - Foreword.
PMID- 27212868
TI - Optimizing Urine Processing Protocols for Protein and Metabolite Detection.
AB - BACKGROUND: In urine, factors such as timing of voids, and duration at room
temperature (RT) may affect the quality of recovered protein and metabolite data.
Additives may aid with detection, but can add more complexity in sample
collection or analysis. We aimed to identify the optimal urine processing
protocol for clinically-obtained urine samples that allows for the highest
protein and metabolite yields with minimal degradation. METHODS: Healthy women
provided multiple urine samples during the same day. Women collected their first
morning (1st AM) void and another "random void". Random voids were aliquotted
with: 1) no additive; 2) boric acid (BA); 3) protease inhibitor (PI); or 4) both
BA + PI. Of these aliquots, some were immediately stored at 4 degrees C, and some
were left at RT for 4 hours. Proteins and individual metabolites were quantified,
normalized to creatinine concentrations, and compared across processing
conditions. Sample pools corresponding to each processing condition were analyzed
using mass spectrometry to assess protein degradation. RESULTS: Ten Caucasian
women between 35-65 years of age provided paired 1st morning and random voided
urine samples. Normalized protein concentrations were slightly higher in 1st AM
compared to random "spot" voids. The addition of BA did not significantly change
proteins, while PI significantly improved normalized protein concentrations,
regardless of whether samples were immediately cooled or left at RT for 4 hours.
In pooled samples, there were minimal differences in protein degradation under
the various conditions we tested. In metabolite analyses, there were significant
differences in individual amino acids based on the timing of the void.
CONCLUSIONS: For comparative translational research using urine, information
about void timing should be collected and standardized. For urine samples
processed in the same day, BA does not appear to be necessary while the addition
of PI enhances protein yields, regardless of 4 degrees C or RT storage
temperature.
PMID- 27212870
TI - Neurocognitive domains affected by cerebral malaria and severe malarial anemia in
children.
AB - This study assessed the effects of cerebral malaria (CM) and severe malarial
anemia (SMA) on individual neurocognitive domains. Eighty children with CM, 86
with SMA, and 61 community children (CC) were assessed for gross motor skills,
fine motor skills, visual reception, receptive language, and expressive language
a week after discharge (CM or SMA) or at enrolment (CC), and 6 and 12 months
later. At 12-months follow-up, children with CM had significantly lower scores
than CC for all outcomes. Children with SMA had significantly lower scores than
CC for visual reception, receptive language, and expressive language, and scores
that were lower but did not reach significance for gross and fine motor skills.
Children with CM had significantly lower scores than children with SMA for fine
motor skills. Children with SMA and CM have long-term impairment in multiple
neurocognitive domains. Fine motor skills may be affected more profoundly in CM
than SMA.
PMID- 27212871
TI - All Together Now: Disentangling Semantics and Pragmatics with Together in Child
and Adult Language.
AB - The way in which an event is packaged linguistically can be informative about the
number of participants in the event and the nature of their participation. At
times, however, a sentence is ambiguous, and pragmatic information weighs in to
favor one interpretation over another. Whereas adults may readily know how to
pick up on such cues to meaning, children - who are generally naive to such
pragmatic nuances - may diverge and access a broader range of interpretations, or
one disfavored by adults. A number of cases come to us from a now well
established body of research on scalar implicatures and scopal ambiguity. Here,
we complement this previous work with a previously uninvestigated example of the
semantic-pragmatic divide in language development arising from the interpretation
of sentences with pluralities and together. Sentences such as Two boys lifted a
block (together) allow for either a Collective or a Distributive interpretation
(one pushing event vs. two spatiotemporally coordinated events). We show
experimentally that children allow both interpretations in sentences with
together, whereas adults rule out the Distributive interpretation without further
contextual motivation. However, children appear to be guided by their semantics
in the readings they access, since they do not allow readings that are
semantically barred. We argue that they are unaware of the pragmatic information
adults have at their fingertips, such as the conversational implicatures arising
from the presence of a modifier, the probability of its occurrence being used to
signal a particular interpretation among a set of alternatives, and knowledge of
the possible lexical alternatives.
PMID- 27212872
TI - Mapping Brain Anatomical Connectivity Using Diffusion Magnetic Resonance Imaging:
Structural connectivity of the human brain.
PMID- 27212873
TI - Mycoplasma genitalium: An Overlooked Sexually Transmitted Pathogen in Women?
AB - Mycoplasma genitalium is a facultative anaerobic organism and a recognized cause
of nongonococcal urethritis in men. In women, M. genitalium has been associated
with cervicitis, endometritis, pelvic inflammatory disease (PID), infertility,
susceptibility to human immunodeficiency virus (HIV), and adverse birth outcomes,
indicating a consistent relationship with female genital tract pathology. The
global prevalence of M. genitalium among symptomatic and asymptomatic sexually
active women ranges between 1 and 6.4%. M. genitalium may play a role in
pathogenesis as an independent sexually transmitted pathogen or by facilitating
coinfection with another pathogen. The long-term reproductive consequences of M.
genitalium infection in asymptomatic individuals need to be investigated further.
Though screening for this pathogen is not currently recommended, it should be
considered in high-risk populations. Recent guidelines from the Centers for
Disease Control regarding first-line treatment for PID do not cover M. genitalium
but recommend considering treatment in patients without improvement on standard
PID regimens. Prospective studies on the prevalence, pathophysiology, and long
term reproductive consequences of M. genitalium infection in the general
population are needed to determine if screening protocols are necessary. New
treatment regimens need to be investigated due to increasing drug resistance.
PMID- 27212874
TI - Characterization of macular structure and function in two Swedish families with
genetically identified autosomal dominant retinitis pigmentosa.
AB - PURPOSE: To study the phenotype in two families with genetically identified
autosomal dominant retinitis pigmentosa (adRP) focusing on macular structure and
function. METHODS: Clinical data were collected at the Department of
Ophthalmology, Lund University, Sweden, for affected and unaffected family
members from two pedigrees with adRP. Examinations included optical coherence
tomography (OCT), full-field electroretinography (ffERG), and multifocal
electroretinography (mfERG). Molecular genetic screening was performed for known
mutations associated with adRP. RESULTS: The mode of inheritance was autosomal
dominant in both families. The members of the family with a mutation in the
PRPF31 (p.IVS6+1G>T) gene had clinical features characteristic of RP, with
severely reduced retinal rod and cone function. The degree of deterioration
correlated well with increasing age. The mfERG showed only centrally preserved
macular function that correlated well with retinal thinning on OCT. The family
with a mutation in the RHO (p.R135W) gene had an extreme intrafamilial
variability of the phenotype, with more severe disease in the younger
generations. OCT showed pathology, but the degree of morphological changes was
not correlated with age or with the mfERG results. The mother, with a de novo
mutation in the RHO (p.R135W) gene, had a normal ffERG, and her retinal
degeneration was detected merely with the reduced mfERG. CONCLUSIONS: These two
families demonstrate the extreme inter- and intrafamilial variability in the
clinical phenotype of adRP. This is the first Swedish report of the clinical
phenotype associated with a mutation in the PRPF31 (p.IVS6+1G>T) gene. Our
results indicate that methods for assessment of the central retinal structure and
function may improve the detection and characterization of the RP phenotype.
PMID- 27212875
TI - Changes in posterior scleral collagen microstructure in canine eyes with an
ADAMTS10 mutation.
AB - PURPOSE: We aimed to characterize alterations in the posterior scleral collagen
microstructure before detectable disease onset in a canine model of open-angle
glaucoma caused by an ADAMTS10 mutation. METHODS: Collagen orientation,
anisotropy degree (proportion of preferentially aligned collagen), and relative
density were measured at 0.4 mm spatial resolution using synchrotron wide-angle X
ray scattering. For statistical evaluation of structure parameters, regional
averages of the peripapillary and mid-posterior sclera were compared between
ADAMTS10 mutant (affected) dogs (n = 3) and age-matched (carrier) controls (n =
3). RESULTS: No marked differences in the general pattern of preferential
collagen fibril orientation were noted between the control and affected dogs. The
peripapillary sclera of all specimens featured strongly aligned circumferential
collagen ringing the optic nerve head. Collagen anisotropy was significantly
reduced in the mid-posterior sclera of the affected dogs (carrier: 0.27+/-0.11;
affected: 0.24+/-0.10; p = 0.032) but was not statistically significantly
different in the peripapillary sclera (carrier: 0.46+/-0.15; affected: 0.45+/
0.17; p = 0.68). Collagen density was statistically significantly reduced in the
affected dogs for the mid-posterior sclera (carrier: 28.1+/-9.14; affected:
18.3+/-5.12; p<0.0001) and the peripapillary sclera (carrier: 34.6+/-9.34;
affected: 21.1+/-6.97; p = 0.0002). CONCLUSIONS: Significant alterations in the
posterior scleral collagen microstructure are present before the onset of
clinical glaucoma in ADAMTS10 mutant dogs. A reduction in fibrous collagen
density is likely an important contributory factor in the previously reported
mechanical weakening of the sclera in this model. Baseline scleral abnormalities
have the potential to interact with intraocular pressure (IOP) elevations in
determining the course of glaucoma progression in animal models of the disease,
and potentially in human glaucoma.
PMID- 27212877
TI - Elaeocarpus firdausii (Elaeocarpaceae), a new species from tropical mountain
forests of Sulawesi.
AB - Based on ongoing ecological research in mountain forests of Sulawesi, a new
species, Elaeocarpus firdausii Brambach, Coode, Biagioni & Culmsee, sp. nov. is
described and illustrated from mossy forests at > 2000 m and information provided
on the species' distribution, ecology and pollen morphology. Elaeocarpus
firdausii is similar to Elaeocarpus luteolignum Coode but differs from the latter
in having glabrous terminal buds, leaves with black gland dots, 4-merous, larger
flowers, and more numerous stamens.
PMID- 27212878
TI - Sonerila nairii (Melastomataceae) - a new species from the southern Western
Ghats, India.
AB - The new species Sonerila nairii (Melastomataceae) is here described from
Pothumala of the Nelliampathy hill ranges of Western Ghats of Kerala, India.
Morphologically it most closely resembles Sonerila erecta and Sonerila
pulneyensis from which differs by the form of the stem, leaves, peduncle,
pedicel, inflorescence, pubescence of the stem, leaves and hypanthium, and by the
form of stamens and stigma.
PMID- 27212879
TI - Lachemilla mexiquense (Rosaceae), a new species from Mexico.
AB - A new species of Lachemilla (Rosaceae), Lachemilla mexiquense D.F. Morales-B.,
from Mexico is described and illustrated. This species is similar to Lachemilla
aphanoides by its tripartite leaves and glomerulate inflorescence with entirely
glabrous flowers, but it differs by its stonoliferous habit, persistent basal
leaves and basal stipules, and smaller flowers with a campanulate-elongate
hypanthium and single carpel. A key to the species of Lachemilla in Mexico is
provided.
PMID- 27212876
TI - Calcium Sparks in the Heart: Dynamics and Regulation.
AB - Calcium (Ca2+) plays a central role in the contraction of the heart. It is the bi
directional link between electrical excitation of the heart and contraction.
Electrical excitation initiates Ca2+influx across the sarcolemma and T-tubular
membrane that triggered calcium release from the sarcoplasmic reticulum.
Ca2+sparks are the elementary events of calcium release from the sarcoplasmic
reticulum. Therefore, understanding the dynamics of Ca2+sparks is essential for
understanding the function of the heart. To this end, numerous experimental and
computational studies have focused on this topic, exploring the mechanisms of
calcium spark initiation, termination, and regulation and what role these play in
normal and patho-physiology. The proper understanding of Ca2+ spark regulation
and dynamics serves as the foundation for our insights into a multitude of
pathological conditions may develop that can be the result of structural and/or
functional changes at the cellular or subcellular level. Computational modeling
of Ca2+ spark dynamics has proven to be a useful tool to understand Ca2+ spark
dynamics. This review addresses our current understanding of Ca2+ sparks and how
synchronized SR Ca2+ release, in which Ca2+ sparks is a major pathway, is linked
to the different cardiac diseases, especially arrhythmias.
PMID- 27212880
TI - Callicarpa bachmaensis Soejima & Tagane (Lamiaceae), a new species from Bach Ma
National Park in Thua Thien Hue Province, Central Vietnam.
AB - A new species, Callicarpa bachmaensis Soejima & Tagane, sp. nov., is described
and illustrated from Bach Ma National Park in Thua Thien Hue Province, Central
Vietnam. This species has a characteristic liana habit, white corolla, and
narrowly elliptic to narrowly lanceolate, entire, occasionally subequal leaves,
by which it is clearly distinguished from the other previously known species of
this genus.
PMID- 27212881
TI - New distribution records of two bamboo species in Yunnan, China with description
of the inflorescence for Melocalamus yunnanensis (Poaceae, Bambusoideae).
AB - Ampelocalamus actinotrichus (Merrill & Chun) S. L. Chen, T. H. Wen & G. Y. Sheng
and Neomicrocalamus prainii (Gamble) P. C. Keng are reported with new
distribution records in southern and southeastern Yunnan, China, respectively.
Ampelocalamus actinotrichus was previously recorded to be endemic to Hainan,
China, and Neomicrocalamus prainii to be distributed in southern Tibet and
western Yunnan in China, northeastern India, and Burma. The identities of
individuals collected in southern and southeastern Yunnan of these two species
are confirmed by molecular evidence. The new distribution record of Ampelocalamus
actinotrichus provides a case at the species level for confirming floristic
affinities of southern Yunnan and Hainan Island in south China. The disjunct
distribution of Neomicrocalamus prainii in Yunnan is concordant with the
ecogeographical diagonal line from northwestern Yunnan to southeastern Yunnan and
this may imply a tropical origin of this species. In addition, the inflorescence
of Melocalamus yunnanensis (T. H. Wen) T. P. Yi is described.
PMID- 27212882
TI - Cardamine occulta, the correct species name for invasive Asian plants previously
classified as C. flexuosa, and its occurrence in Europe.
AB - The nomenclature of Eastern Asian populations traditionally assigned to Cardamine
flexuosa has remained unresolved since 2006, when they were found to be distinct
from the European species Cardamine flexuosa. Apart from the informal designation
"Asian Cardamine flexuosa", this taxon has also been reported under the names
Cardamine flexuosa subsp. debilis or Cardamine hamiltonii. Here we determine its
correct species name to be Cardamine occulta and present a nomenclatural survey
of all relevant species names. A lectotype and epitype for Cardamine occulta and
a neotype for the illegitimate name Cardamine debilis (replaced by Cardamine
flexuosa subsp. debilis and Cardamine hamiltonii) are designated here. Cardamine
occulta is a polyploid weed that most likely originated in Eastern Asia, but it
has also been introduced to other continents, including Europe. Here data is
presented on the first records of this invasive species in European countries.
The first known record for Europe was made in Spain in 1993, and since then its
occurrence has been reported from a number of European countries and regions as
growing in irrigated anthropogenic habitats, such as paddy fields or flower beds,
and exceptionally also in natural communities such as lake shores.
PMID- 27212884
TI - Allium ekimianum: a new species (Amaryllidaceae) from Turkey.
AB - Allium ekimianum is described here as a new species. This taxon belongs to the
genus Allium section Allium and grows in Elazig Province (East Anatolia, Turkey).
It is a narrowly distributed species and morphologically most similar to Allium
asperiflorum and Allium sintenisii, and Allium erzincanicum but it is clearly
differentiated due to the curved stem, smooth pedicel surfaces, bracteole
arrangements at pedicel bases, tepal lengths and surfaces. In this study, a
comprehensive description, distribution map of Allium ekimianum, identification
key, and detailed illustrations are provided for Allium ekimianum and related
taxa.
PMID- 27212883
TI - Selliguea kachinensis (Polypodiaceae), a new fern species of uncertain affinity
from Northern Myanmar.
AB - We describe Selliguea kachinensis as a new species from Northern Myanmar and
discuss its generic placement in either Selliguea or Arthromeris. The
conservation status is assessed as Data Deficient. In addition, we make the new
combination Selliguea erythrocarpa (Mett. ex Kuhn) Hovenkamp, S. Linds., Fraser
Jenk.
PMID- 27212885
TI - Lectotypifications of six taxa in the Boraginales (Cordiaceae and
Heliotropiaceae).
AB - A large number of specimens used as original material for the description of new
species were destroyed in the bombing of the Berlin-Dahlem herbarium, B, in 1943.
Six lectotypes are designated here for Cordia discolor Cham., Cordia multispicata
Cham., Cordia tobagensis Urb. and its variety broadwayi Urb. in the Cordiaceae
and for Tournefortia paniculata Cham. and Tournefortia ulei Vaupel in the
Heliotropiaceae.
PMID- 27212886
TI - Oenanthe incrassans: An enigmatic species from Turkey and its comparison with
Oenanthe pimpinelloides (Apiaceae).
AB - Oenanthe incrassans (Apiaceae) was discovered in Istanbul, Turkey. It is related
to Oenanthe pimpinelloides, but it clearly differs in terms of leaves,
inflorescence (ray, bracts, and bracteoles) and fruit features. A taxonomic
description, some photographs of the species, geographical distribution and
habitat features are given. Additionally, fruit micromorphology, stem, ray and
fruit anatomy, and pollen features are studied for the first time and compared to
Oenanthe pimpinelloides.
PMID- 27212887
TI - The rediscovery of the Great Winterberg endemic Lotononis harveyi B.-E.van Wyk
after 147 years, and notes on the poorly known Amathole endemic Macowania
revoluta Oliv. (southern Great Escarpment, South Africa).
AB - South Africa's 800 km-long southern Great Escarpment hosts numerous endemic plant
species only known from their type specimens or from very few records. This is a
legacy of a 100-150 year lag between the pioneer work of 19(th) century botanists
and repeat fieldwork in the 21(st) century. As a result, population and
ecological data are lacking for many local endemic species. Here we report on the
rediscovery of Lotononis harveyi B.-E.van Wyk 147 years after its original
description, and provide the first detailed ecological notes on the poorly known
shrub Macowania revoluta Oliv. Both species are locally endemic to the Great
Winterberg-Amatholes (Eastern Cape Province). With only six known individuals,
Lotononis harveyi is recommended the conservation status of Critically
Endangered, with fire (and potentially grazing) being the main population
constraints. Macowania revoluta is locally abundant, and it is surprising that it
has been so poorly collected in recent decades. It occupies an important local
niche as a keystone montane wetland species, and its narrow distribution range -
combined with pressure from woody alien invasive species - suggests that its
conservation status should be Rare. The research further highlights the need for
continued biodiversity field research along South Africa's poorly explored Great
Escarpment.
PMID- 27212888
TI - Second language processing and revision of garden-path sentences: a visual word
study.
AB - We asked whether children's well-known difficulties revising initial sentence
processing commitments characterize the immature or the learning parser. Adult L2
speakers of English acted out temporarily ambiguous and unambiguous instructions.
While online processing patterns indicate that L2 adults experienced garden-paths
and were sensitive to referential information to a similar degree as native
adults, their act-out patterns indicate increased difficulties revising initial
interpretations, at rates similar to those observed for 5-year-old native
children (e.g., Trueswell, Sekerina, Hill & Logrip, 1999). We propose that L2
learners' difficulties with revision stem from increased recruitment of cognitive
control networks during processing of a not fully proficient language, resulting
in the reduced availability of cognitive control for parsing revisions.
PMID- 27212889
TI - White stripe leaf 12 (WSL12), encoding a nucleoside diphosphate kinase 2
(OsNDPK2), regulates chloroplast development and abiotic stress response in rice
(Oryza sativa L.).
AB - Chloroplast is a crucial organelle for plant photosynthesis and maintaining
normal life activities in higher plants. Although some genes related to
chloroplast development and pigment synthesis have been identified or cloned in
rice, little is known about the relationship between these genes and abiotic
stress response. In this study, we identified a novel mutant white stripe leaf 12
(wsl12) affecting pigment synthesis, chloroplast development and abiotic stress
response in rice. The mutant phenotype was obvious at seeding and tillering
stages and in response to the temperature change. Genetic analysis of reciprocal
crosses between wsl12 and wild-type plants showed that wsl12 was a recessive
mutant in a single nuclear locus. Map-based cloning revealed that the WSL12 locus
encoded OsNDPK2, one of the three nucleoside diphosphate kinases (OsNDPKs). WSL12
expressed in all tested tissues, while it highly expressed in leaves and young
tissues. The WSL12 protein localized to the chloroplast. The wsl12 mutant showed
higher superoxide anion level and enhanced sensitivity to abscisic acid (ABA) and
salinity. The transcription pattern of many genes involved in chlorophyll
biosynthesis, ABA synthesis, light signaling pathway, reactive oxygen species
scavenging pathway and the other two OsNDPKs was altered in the wsl12 mutant.
These results indicate that the OsNDPK2 encoded by WSL12 plays an important role
in chloroplast development and chlorophyll biosynthesis by regulating the
expression levels of related genes. In addition, WSL12 also affects the response
to abiotic stress, such as ABA and salinity in rice, and is beneficial to
molecular breeding of stress tolerance.
PMID- 27212890
TI - Social Support from Family and Friends and Subjective Well-Being of Older African
Americans.
PMID- 27212891
TI - Potential youth exposure to alcohol advertising on the internet: A study of
internet versions of popular television programs.
AB - BACKGROUND: No previous paper has examined alcohol advertising on the internet
versions of television programs popular among underage youth. OBJECTIVES: To
assess the volume of alcohol advertising on web sites of television networks
which stream television programs popular among youth. METHODS: Multiple viewers
analyzed the product advertising appearing on 12 television programs that are
available in full episode format on the internet. During a baseline period of one
week, six coders analyzed all 12 programs. For the nine programs that contained
alcohol advertising, three underage coders (ages 10, 13, and 18) analyzed the
programs to quantify the extent of that advertising over a four-week period.
RESULTS: Alcohol advertisements are highly prevalent on these programs, with nine
of the 12 shows carrying alcohol ads, and six programs averaging at least one
alcohol ad per episode. There was no difference in alcohol ad exposure for
underage and legal age viewers. CONCLUSIONS: There is a substantial potential for
youth exposure to alcohol advertising on the internet through internet-based
versions of television programs. The Federal Trade Commission should require
alcohol companies to report the underage youth and adult audiences for internet
versions of television programs on which they advertise.
PMID- 27212892
TI - Identifying Future High Cost Individuals within an Intermediate Cost Population.
AB - BACKGROUND: Improving health and controlling healthcare costs requires better
tools for predicting future health needs across populations. We sought to
identify factors associated with transitioning of enrollees in an indigent care
program from an intermediate cost segment to a high cost segment of this
population. METHODS: We analyzed data from 9,624 enrollees of the Virginia
Coordinated Care program between 2010 and 2013. Each fiscal year included all
enrollees who were classified in intermediate cost segment in the preceding year
and also enrolled in the program in the following year. Using information from
the preceding year, we built logistic regression models to identify the
individuals in the top 10% of expenditures in the following year. The effect of
demographics, count of chronic conditions, presence of the prevalent chronic
conditions, and utilization indicators were evaluated and compared. Models were
compared via the Bayesian information criterion and c-statistic. RESULTS: The
count of chronic conditions, diagnosis of congestive heart failure, and numbers
of total hospital visits and prescriptions were significantly and independently
associated with being in the future high cost segment. Overall, the model that
included demographics and utilization indicators had a reasonable discrimination
(c=0.67). CONCLUSIONS: A simple model including demographics and health
utilization indicators predicted high future costs. The count of chronic
conditions and certain medical diagnoses added additional predictive value. With
further validation, the approach could be used to identify high-risk individuals
and target interventions that decrease utilization and improve health.
PMID- 27212894
TI - Compound Identification Using Penalized Linear Regression on Metabolomics.
AB - Compound identification is often achieved by matching the experimental mass
spectra to the mass spectra stored in a reference library based on mass spectral
similarity. Because the number of compounds in the reference library is much
larger than the range of mass-to-charge ratio (m/z) values so that the data
become high dimensional data suffering from singularity. For this reason,
penalized linear regressions such as ridge regression and the lasso are used
instead of the ordinary least squares regression. Furthermore, two-step
approaches using the dot product and Pearson's correlation along with the
penalized linear regression are proposed in this study.
PMID- 27212893
TI - Evolutionary relevance and experience contribute to face discrimination in infant
macaques (Macaca mulatta).
AB - In human children and adults, familiar face types-typically own-age and own
species faces-are discriminated better than other face types; however, human
infants do not appear to exhibit an own-age bias, but instead better discriminate
adult faces, which they see more often. There are two possible explanations for
this pattern: Perceptual attunement, which predicts advantages in discrimination
for the most-experienced face types; additionally or alternatively, there may be
an experience-independent bias for infants to discriminate own-species faces, an
adaptation for evolutionarily relevant faces. These possibilities have not been
disentangled in studies thus far, which did not control infants' early
experiences with faces. In the present study, we tested these predictions in
infant macaques (Macaca mulatta) reared under controlled environments, not
exposed to adult conspecifics. We measured newborns' (15-25 days; n = 27) and 6-
to 7-month-olds' (n = 35) discrimination of human and macaque faces of three ages
young infants, old infants, and adults-in a visual paired comparison task. We
found that 6- to 7-month-olds were the best at discriminating adult macaque
faces; however, in the first few seconds of looking, additionally discriminated
familiar face types-same-aged peer and adult human faces-highlighting the
importance of experience with certain face categories. The present data suggest
that macaque infants possess both experience-independent and experientially tuned
face biases. In human infants, early face skills may likewise be driven by both
experience and evolutionary relevance; future studies should consider both of
these factors.
PMID- 27212895
TI - Understanding Genomic Knowledge in Rural Appalachia: The West Virginia Genome
Community Project.
AB - PURPOSE: Rural communities have limited knowledge about genetics and genomics and
are also underrepresented in genomic education initiatives. The purpose of this
project was to assess genomic and epigenetic knowledge and beliefs in rural West
Virginia. SAMPLE: A total of 93 participants from three communities participated
in focus groups and 68 participants completed a demographic survey. The age of
the respondents ranged from 21 to 81 years. Most respondents had a household
income of less than $40,000, were female and most were married, completed at
least a HS/GED or some college education working either part-time or full-time.
METHOD: A Community Based Participatory Research process with focus groups and
demographic questionnaires was used. FINDINGS: Most participants had a basic
understanding of genetics and epigenetics, but not genomics. Participants
reported not knowing much of their family history and that their elders did not
discuss such information. If the conversations occurred, it was only during times
of crisis or an illness event. Mental health and substance abuse are topics that
are not discussed with family in this rural population. CONCLUSIONS: Most of the
efforts surrounding genetic/genomic understanding have focused on urban
populations. This project is the first of its kind in West Virginia and has begun
to lay the much needed infrastructure for developing educational initiatives and
extending genomic research projects into our rural Appalachian communities. By
empowering the public with education, regarding the influential role genetics,
genomics, and epigenetics have on their health, we can begin to tackle the
complex task of initiating behavior changes that will promote the health and well
being of individuals, families and communities.
PMID- 27212897
TI - Denaturation and in Vitro Gastric Digestion of Heat-Treated Quinoa Protein
Isolates Obtained at Various Extraction pH.
AB - The aim of this study was to determine the influence of heat processing on
denaturation and digestibility properties of protein isolates obtained from sweet
quinoa (Chenopodium quinoa Willd) at various extraction pH values (8, 9, 10 and
11). Pretreatment of suspensions of protein isolates at 60, 90 and 120 degrees C
for 30 min led to protein denaturation and aggregation, which was enhanced at
higher treatment temperatures. The in vitro gastric digestibility measured during
6 h was lower for protein extracts pre-treated at 90 and 120 degrees C compared
to 60 degrees C. The digestibility decreased with increasing extraction pH,
which could be ascribed to protein aggregation. Protein digestibility of the
quinoa protein isolates was higher compared to wholemeal quinoa flour. We
conclude that an interactive effect of processing temperature and extraction pH
on in vitro gastric digestibility of quinoa protein isolates obtained at various
extraction pH is observed. This gives a first indication of how the nutritional
value of quinoa protein could be influenced by heat processing, protein
extraction conditions and other grain components.
PMID- 27212898
TI - A novel technique using hydrophilic polymers to promote axonal fusion.
AB - The management of traumatic peripheral nerve injury remains a considerable
concern for clinicians. With minimal innovations in surgical technique and a
limited number of specialists trained to treat peripheral nerve injury, outcomes
of surgical intervention have been unpredictable. The inability to manipulate the
pathophysiology of nerve injury (i.e., Wallerian degeneration) has left
scientists and clinicians depending on the slow and lengthy process of axonal
regeneration (~1 mm/day). When axons are severed, the endings undergo calcium
mediated plasmalemmal sealing, which limits the ability of the axon to be
primarily repaired. Polythethylene glycol (PEG) in combination with a
bioengineered process overcomes the inability to fuse axons. The mechanism for
PEG axonal fusion is not clearly understood, but multiple studies have shown that
a providing a calcium-free environment is essential to the process known as PEG
fusion. The proposed mechanism is PEG-induced lipid bilayer fusion by removing
the hydration barrier surrounding the axolemma and reducing the activation energy
required for membrane fusion to occur. This review highlights PEG fusion, its
past and current studies, and future directions in PEG fusion.
PMID- 27212896
TI - Epigenetics and Colorectal Neoplasia: the Evidence for Physical Activity and
Sedentary Behavior.
AB - Studies demonstrate that regular physical activity and, more recently, limited
sedentary behavior are associated with reduced risk of colorectal neoplasia.
However, the biological mechanisms of action for physical activity versus
sedentary behavior are not clear. Epigenetic variation is suggested as a
potential mechanism that would allow for independent, or possibly even
synergistic, effects of activity and inactivity on colorectal epithelium. We
describe the evidence for epigenetic variation as a link between physical
activity and sedentary behavior in colorectal neoplasia risk. There are few
studies that directly evaluate this relationship. However, the growing literature
describes a variety of gene targets influenced by activity that are also
important to colorectal neoplasia etiology. Future studies may identify
epigenetic markers with translational significance in identifying high-risk
individuals or those for whom a personalized activity regimen could significantly
alter the methylation signature in colon epithelial cells, and thus future risk
of colorectal cancer.
PMID- 27212899
TI - Examining the properties and therapeutic potential of glial restricted precursors
in spinal cord injury.
AB - In the aftermath of spinal cord injury, glial restricted precursors (GRPs) and
immature astrocytes offer the potential to modulate the inflammatory environment
of the injured spinal cord and promote host axon regeneration. Nevertheless
clinical application of cellular therapy for the repair of spinal cord injury
requires strict quality-assured protocols for large-scale production and
preservation that necessitates long-term in vitro expansion. Importantly, such
processes have the potential to alter the phenotypic and functional properties
and thus therapeutic potential of these cells. Furthermore, clinical use of
cellular therapies may be limited by the inflammatory microenvironment of the
injured spinal cord, altering the phenotypic and functional properties of grafted
cells. This report simulates the process of large-scale GRP production and
demonstrates the permissive properties of GRP following long-term in vitro
culture. Furthermore, we defined the phenotypic and functional properties of GRP
in the presence of inflammatory factors, and call attention to the importance of
the microenvironment of grafted cells, underscoring the importance of modulating
the environment of the injured spinal cord.
PMID- 27212900
TI - The choroid plexus-cerebrospinal fluid interface in Alzheimer's disease: more
than just a barrier.
AB - The choroid plexus is a complex structure which hangs inside the ventricles of
the brain and consists mainly of choroid plexus epithelial (CPE) cells
surrounding fenestrated capillaries. These CPE cells not only form an anatomical
barrier, called the blood-cerebrospinal fluid barrier (BCSFB), but also present
an active interface between blood and cerebrospinal fluid (CSF). CPE cells
perform indispensable functions for the development, maintenance and functioning
of the brain. Indeed, the primary role of the choroid plexus in the brain is to
maintain homeostasis by secreting CSF which contains different molecules, such as
nutrients, neurotrophins, and growth factors, as well as by clearing toxic and
undesirable molecules from CSF. The choroid plexus also acts as a selective entry
gate for leukocytes into the brain. Recent findings have revealed distinct
changes in CPE cells that are associated with aging and Alzheimer's disease. In
this review, we review some recent findings that highlight the importance of the
CPE-CSF system in Alzheimer's disease and we summarize the recent advances in the
regeneration of brain tissue through use of CPE cells as a new therapeutic
strategy.
PMID- 27212901
TI - Physical interactions between activated microglia and injured axons: do all
contacts lead to phagocytosis?
PMID- 27212902
TI - Repositioning again of zonisamide for nerve regeneration.
PMID- 27212903
TI - Local translation of cell adhesion molecules in axons.
PMID- 27212904
TI - Counteraction of Nogo-A and axonal growth inhibitors by green tea polyphenols and
other natural products.
PMID- 27212905
TI - Analysis of fluoxetine-induced plasticity mechanisms as a strategy for
understanding plasticity related neural disorders.
PMID- 27212906
TI - Role of pleiotrophin-protein tyrosine phosphatase receptor type Z signaling in
myelination.
PMID- 27212908
TI - Immunomodulatory approaches to CNS injury: extracellular matrix and exosomes from
extracellular matrix conditioned macrophages.
PMID- 27212907
TI - Toll-like receptor-4 antagonism mediates benefits during neuroinflammation.
PMID- 27212909
TI - Laser therapy on points of acupuncture on nerve repair.
PMID- 27212910
TI - Prevention and protection against cerebral ischemic injury using acupuncture.
PMID- 27212911
TI - Exploring a need for improved preclinical models of post-stroke depression.
PMID- 27212912
TI - Multi-watt near-infrared light therapy as a neuroregenerative treatment for
traumatic brain injury.
PMID- 27212913
TI - Neuroprotective effect of antioxidant compounds.
PMID- 27212914
TI - Autologous bone marrow mononuclear cells as neuroprotective treatment of
amyotrophic lateral sclerosis.
PMID- 27212915
TI - Paracrine factors for neurodegenerative disorders: special emphasis on
Parkinson's disease.
PMID- 27212916
TI - Extrinsic and intrinsic mechanisms of axon regeneration: the need for spinal cord
injury treatment strategies to address both.
PMID- 27212917
TI - Anatomical changes in the somatosensory system after large sensory loss predict
strategies to promote functional recovery after spinal cord injury.
PMID- 27212918
TI - Cell proliferation and apoptosis in optic nerve and brain integration centers of
adult trout Oncorhynchus mykiss after optic nerve injury.
AB - Fishes have remarkable ability to effectively rebuild the structure of nerve
cells and nerve fibers after central nervous system injury. However, the
underlying mechanism is poorly understood. In order to address this issue, we
investigated the proliferation and apoptosis of cells in contralateral and
ipsilateral optic nerves, after stab wound injury to the eye of an adult trout
Oncorhynchus mykiss. Heterogenous population of proliferating cells was
investigated at 1 week after injury. TUNEL labeling gave a qualitative and
quantitative assessment of apoptosis in the cells of optic nerve of trout 2 days
after injury. After optic nerve injury, apoptotic response was investigated, and
mass patterns of cell migration were found. The maximal concentration of
apoptotic bodies was detected in the areas of mass clumps of cells. It is
probably indicative of massive cell death in the area of high phagocytic activity
of macrophages/microglia. At 1 week after optic nerve injury, we observed nerve
cell proliferation in the trout brain integration centers: the cerebellum and the
optic tectum. In the optic tectum, proliferating cell nuclear antigen (PCNA)
immunopositive radial glia-like cells were identified. Proliferative activity of
nerve cells was detected in the dorsal proliferative (matrix) area of the
cerebellum and in parenchymal cells of the molecular and granular layers whereas
local clusters of undifferentiated cells which formed neurogenic niches were
observed in both the optic tectum and cerebellum after optic nerve injury. In
vitro analysis of brain cells of trout showed that suspension cells compared with
monolayer cells retain higher proliferative activity, as evidenced by PCNA
immunolabeling. Phase contrast observation showed mitosis in individual cells and
the formation of neurospheres which gradually increased during 1-4 days of
culture. The present findings suggest that trout can be used as a novel model for
studying neuronal regeneration.
PMID- 27212919
TI - Nerve growth factor promotes in vitro proliferation of neural stem cells from
tree shrews.
AB - Neural stem cells promote neuronal regeneration and repair of brain tissue after
injury, but have limited resources and proliferative ability in vivo. We
hypothesized that nerve growth factor would promote in vitro proliferation of
neural stem cells derived from the tree shrews, a primate-like mammal that has
been proposed as an alternative to primates in biomedical translational research.
We cultured neural stem cells from the hippocampus of tree shrews at embryonic
day 38, and added nerve growth factor (100 MUg/L) to the culture medium. Neural
stem cells from the hippocampus of tree shrews cultured without nerve growth
factor were used as controls. After 3 days, fluorescence microscopy after DAPI
and nestin staining revealed that the number of neurospheres and DAPI/nestin
positive cells was markedly greater in the nerve growth factor-treated cells than
in control cells. These findings demonstrate that nerve growth factor promotes
the proliferation of neural stem cells derived from tree shrews.
PMID- 27212920
TI - Denervated hippocampus provides a favorable microenvironment for neuronal
differentiation of endogenous neural stem cells.
AB - Fimbria-fornix transection induces both exogenous and endogenous neural stem
cells to differentiate into neurons in the hippocampus. This indicates that the
denervated hippocampus provides an environment for neuronal differentiation of
neural stem cells. However, the pathways and mechanisms in this process are still
unclear. Seven days after fimbria fornix transection, our reverse transcription
polymerase chain reaction, western blot assay, and enzyme linked immunosorbent
assay results show a significant increase in ciliary neurotrophic factor mRNA and
protein expression in the denervated hippocampus. Moreover, neural stem cells
derived from hippocampi of fetal (embryonic day 17) Sprague-Dawley rats were
treated with ciliary neurotrophic factor for 7 days, with an increased number of
microtubule associated protein-2-positive cells and decreased number of glial
fibrillary acidic protein-positive cells detected. Our results show that ciliary
neurotrophic factor expression is up-regulated in the denervated hippocampus,
which may promote neuronal differentiation of neural stem cells in the denervated
hippocampus.
PMID- 27212922
TI - Moxibustion upregulates hippocampal progranulin expression.
AB - In China, moxibustion is reported to be useful and has few side effects for
chronic fatigue syndrome, but its mechanisms are largely unknown. More recently,
the focus has been on the wealth of information supporting stress as a factor in
chronic fatigue syndrome, and largely concerns dysregulation in the stress
related hypothalamic-pituitary-adrenal axis. In the present study, we aimed to
determine the effect of moxibustion on behavioral symptoms in chronic fatigue
syndrome rats and examine possible mechanisms. Rats were subjected to a
combination of chronic restraint stress and forced swimming to induce chronic
fatigue syndrome. The acupoints Guanyuan (CV4) and Zusanli (ST36, bilateral) were
simultaneously administered moxibustion. Untreated chronic fatigue syndrome rats
and normal rats were used as controls. Results from the forced swimming test,
open field test, tail suspension test, real-time PCR, enzyme-linked immunosorbent
assay, and western blot assay showed that moxibustion treatment decreased mRNA
expression of corticotropin-releasing hormone in the hypothalamus, and
adrenocorticotropic hormone and corticosterone levels in plasma, and markedly
increased progranulin mRNA and protein expression in the hippocampus. These
findings suggest that moxibustion may relieve the behavioral symptoms of chronic
fatigue syndrome, at least in part, by modulating the hypothalamic-pituitary
adrenal axis and upregulating hippocampal progranulin.
PMID- 27212921
TI - Electroacupuncture at Baihui (DU20) acupoint up-regulates mRNA expression of
NeuroD molecules in the brains of newborn rats suffering in utero fetal distress.
AB - NeuroD plays a key regulatory effect on differentiation of neural stem cells into
mature neurons in the brain. Thus, we assumed that electroacupuncture at Baihui
(DU20) acupoint in newborn rats exposed to in utero fetal distress would
influence expression of NeuroD. Electroacupuncture at Baihui was performed for 20
minutes on 3-day-old (Day 3) newborn Sprague-Dawley rats exposed to in utero
fetal distress; electroacupuncture parameters consisted of sparse and dense waves
at a frequency of 2-10 Hz. Real-time fluorescent quantitative PCR results
demonstrated that mRNA expression of NeuroD, a molecule that indicates NeuroD,
increased with prolonged time in brains of newborn rats, and peaked on Day 22.
The level of mRNA expression was similar between Day 16 and Day 35. These
findings suggest that electro acupuncture at Baihui acupoint could effectively
increase mRNA expression of molecules involved in NeuroD in the brains of newborn
rats exposed to in utero fetal distress.
PMID- 27212923
TI - Non-viral liposome-mediated transfer of brain-derived neurotrophic factor across
the blood-brain barrier.
AB - Brain-derived neurotrophic factor (BDNF) plays an important role in the repair of
central nervous system injury, but cannot directly traverse the blood-brain
barrier. Liposomes are a new type of non-viral vector, able to carry
macromolecules across the blood-brain barrier and into the brain. Here, we
investigate whether BDNF could be transported across the blood-brain barrier by
tail-vein injection of liposomes conjugated to transferrin (Tf) and polyethylene
glycol (PEG), and carrying BDNF modified with cytomegalovirus promoter (pCMV) or
glial fibrillary acidic protein promoter (pGFAP) (Tf-pCMV-BDNF-PEG and Tf-pGFAP
BDNF-PEG, respectively). Both liposomes were able to traverse the blood-brain
barrier, and BDNF was mainly expressed in the cerebral cortex. BDNF expression in
the cerebral cortex was higher in the Tf-pGFAP-BDNF-PEG group than in the Tf-pCMV
BDNF-PEG group. This study demonstrates the successful construction of a non
virus targeted liposome, Tf-pGFAP-BDNF-PEG, which crosses the blood-brain barrier
and is distributed in the cerebral cortex. Our work provides an experimental
basis for BDNF-related targeted drug delivery in the brain.
PMID- 27212924
TI - Neuroprotective effect of Cerebralcare Granule after cerebral
ischemia/reperfusion injury.
AB - Cerebralcare Granule (CG) improves cerebral microcirculation and relieves
vasospasm, but studies investigating its therapeutic effect on cerebral
ischemia/reperfusion injury are lacking. In the present study, we administered CG
(0.3, 0.1 and 0.03 g/mL intragastrically) to rats for 7 consecutive days. We then
performed transient occlusion of the middle cerebral artery, followed by
reperfusion, and administered CG daily for a further 3 or 7 days. Compared with
no treatment, high-dose CG markedly improved neurological function assessed using
the Bederson and Garcia scales. At 3 days, animals in the high-dose CG group had
smaller infarct volumes, greater interleukin-10 expression, and fewer interleukin
1beta-immunoreactive cells than those in the untreated model group. Furthermore,
at 7 days, high-dose CG-treated rats had more vascular endothelial growth factor
immunoreactive cells, elevated angiopoietin-1 and vascular endothelial growth
factor expression, and improved blood coagulation and flow indices compared with
untreated model animals. These results suggest that CG exerts specific
neuroprotective effects against cerebral ischemia/reperfusion injury.
PMID- 27212925
TI - A mouse model of weight-drop closed head injury: emphasis on cognitive and
neurological deficiency.
AB - Traumatic brain injury (TBI) is a leading cause of death and disability in
individuals worldwide. Producing a clinically relevant TBI model in small-sized
animals remains fairly challenging. For good screening of potential therapeutics,
which are effective in the treatment of TBI, animal models of TBI should be
established and standardized. In this study, we established mouse models of
closed head injury using the Shohami weight-drop method with some modifications
concerning cognitive deficiency assessment and provided a detailed description of
the severe TBI animal model. We found that 250 g falling weight from 2 cm height
produced severe closed head injury in C57BL/6 male mice. Cognitive disorders in
mice with severe closed head injury could be detected using passive avoidance
test on day 7 after injury. Findings from this study indicate that weight-drop
injury animal models are suitable for further screening of brain neuroprotectants
and potentially are similar to those seen in human TBI.
PMID- 27212926
TI - Anti-inflammatory properties of lipoxin A4 protect against diabetes mellitus
complicated by focal cerebral ischemia/reperfusion injury.
AB - Lipoxin A4 can alleviate cerebral ischemia/reperfusion injury by reducing the
inflammatory reaction, but it is currently unclear whether it has a protective
effect on diabetes mellitus complicated by focal cerebral ischemia/reperfusion
injury. In this study, we established rat models of diabetes mellitus using an
intraperitoneal injection of streptozotocin. We then induced focal cerebral
ischemia/reperfusion injury by occlusion of the middle cerebral artery for 2
hours and reperfusion for 24 hours. After administration of lipoxin A4 via the
lateral ventricle, infarction volume was reduced, the expression levels of pro
inflammatory factors tumor necrosis factor alpha and nuclear factor-kappa B in
the cerebral cortex were decreased, and neurological functioning was improved.
These findings suggest that lipoxin A4 has strong neuroprotective effects in
diabetes mellitus complicated by focal cerebral ischemia/reperfusion injury and
that the underlying mechanism is related to the anti-inflammatory action of
lipoxin A4.
PMID- 27212927
TI - Ischemic postconditioning protects against ischemic brain injury by up-regulation
of acid-sensing ion channel 2a.
AB - Ischemic postconditioning renders brain tissue tolerant to brain ischemia,
thereby alleviating ischemic brain injury. However, the exact mechanism of action
is still unclear. In this study, a rat model of global brain ischemia was
subjected to ischemic postconditioning treatment using the vessel occlusion
method. After 2 hours of ischemia, the bilateral common carotid arteries were
blocked immediately for 10 seconds and then perfused for 10 seconds. This
procedure was repeated six times. Ischemic postconditioning was found to mitigate
hippocampal CA1 neuronal damage in rats with brain ischemia, and up-regulate acid
sensing ion channel 2a expression at the mRNA and protein level. These findings
suggest that ischemic postconditioning up-regulates acid-sensing ion channel 2a
expression in the rat hippocampus after global brain ischemia, which promotes
neuronal tolerance to ischemic brain injury.
PMID- 27212928
TI - Correction: Enhanced motor cortex excitability after spinal cord injury.
AB - [This corrects the article on p. 1943 in vol. 10, PMID: 26889179.].
PMID- 27212929
TI - A cascade model of information processing and encoding for retinal prosthesis.
AB - Retinal prosthesis offers a potential treatment for individuals suffering from
photoreceptor degeneration diseases. Establishing biological retinal models and
simulating how the biological retina convert incoming light signal into spike
trains that can be properly decoded by the brain is a key issue. Some retinal
models have been presented, ranking from structural models inspired by the
layered architecture to functional models originated from a set of specific
physiological phenomena. However, Most of these focus on stimulus image
compression, edge detection and reconstruction, but do not generate spike trains
corresponding to visual image. In this study, based on state-of-the-art retinal
physiological mechanism, including effective visual information extraction,
static nonlinear rectification of biological systems and neurons Poisson coding,
a cascade model of the retina including the out plexiform layer for information
processing and the inner plexiform layer for information encoding was brought
forward, which integrates both anatomic connections and functional computations
of retina. Using MATLAB software, spike trains corresponding to stimulus image
were numerically computed by four steps: linear spatiotemporal filtering, static
nonlinear rectification, radial sampling and then Poisson spike generation. The
simulated results suggested that such a cascade model could recreate visual
information processing and encoding functionalities of the retina, which is
helpful in developing artificial retina for the retinally blind.
PMID- 27212930
TI - Human umbilical cord blood-derived stem cells and brain-derived neurotrophic
factor protect injured optic nerve: viscoelasticity characterization.
AB - The optic nerve is a viscoelastic solid-like biomaterial. Its normal stress
relaxation and creep properties enable the nerve to resist constant strain and
protect it from injury. We hypothesized that stress relaxation and creep
properties of the optic nerve change after injury. More-over, human brain-derived
neurotrophic factor or umbilical cord blood-derived stem cells may restore these
changes to normal. To validate this hypothesis, a rabbit model of optic nerve
injury was established using a clamp approach. At 7 days after injury, the
vitreous body re-ceived a one-time injection of 50 MUg human brain-derived
neurotrophic factor or 1 * 10(6) human umbilical cord blood-derived stem cells.
At 30 days after injury, stress relaxation and creep properties of the optic
nerve that received treatment had recovered greatly, with patho-logical changes
in the injured optic nerve also noticeably improved. These results suggest that
human brain-derived neurotrophic factor or umbilical cord blood-derived stem cell
intervention promotes viscoelasticity recovery of injured optic nerves, and
thereby contributes to nerve recovery.
PMID- 27212931
TI - Senegenin inhibits neuronal apoptosis after spinal cord contusion injury.
AB - Senegenin has been shown to inhibit neuronal apoptosis, thereby exerting a
neuroprotective effect. In the present study, we established a rat model of
spinal cord contusion injury using the modified Allen's method. Three hours after
injury, senegenin (30 mg/g) was injected into the tail vein for 3 consecutive
days. Senegenin reduced the size of syringomyelic cavities, and it substantially
reduced the number of apoptotic cells in the spinal cord. At the site of injury,
Bax and Caspase-3 mRNA and protein levels were decreased by senegenin, while Bcl
2 mRNA and protein levels were increased. Nerve fiber density was increased in
the spinal cord proximal to the brain, and hindlimb motor function and
electrophysiological properties of rat hindlimb were improved. Taken together,
our results suggest that senegenin exerts a neuroprotective effect by suppressing
neuronal apoptosis at the site of spinal cord injury.
PMID- 27212932
TI - Combined use of Y-tube conduits with human umbilical cord stem cells for
repairing nerve bifurcation defects.
AB - Given the anatomic complexity at the bifurcation point of a nerve trunk, enforced
suturing between stumps can lead to misdirection of nerve axons, thereby
resulting in adverse consequences. We assumed that Y-tube conduits injected with
human umbilical cord stem cells could be an effective method to solve such
problems, but studies focused on the best type of Y-tube conduit remain
controversial. Therefore, the present study evaluated the applicability and
efficacy of various types of Y-tube conduits containing human umbilical cord stem
cells for treating rat femoral nerve defects on their bifurcation points. At 12
weeks after the bridging surgery that included treatment with different types of
Y-tube conduits, there were no differences in quadriceps femoris muscle weight or
femoral nerve ultrastructure. However, the Y-tube conduit group with longer
branches and a short trunk resulted in a better outcome according to retrograde
labeling and electrophysiological analysis. It can be concluded from the study
that repairing a mixed nerve defect at its bifurcation point with Y-tube
conduits, in particular those with long branches and a short trunk, is effective
and results in good outcomes.
PMID- 27212933
TI - Supplementary motor area deactivation impacts the recovery of hand function from
severe peripheral nerve injury.
AB - Although some patients have successful peripheral nerve regeneration, a poor
recovery of hand function often occurs after peripheral nerve injury. It is
believed that the capability of brain plasticity is crucial for the recovery of
hand function. The supplementary motor area may play a key role in brain
remodeling after peripheral nerve injury. In this study, we explored the
activation mode of the supplementary motor area during a motor imagery task. We
investigated the plasticity of the central nervous system after brachial plexus
injury, using the motor imagery task. Results from functional magnetic resonance
imaging showed that after brachial plexus injury, the motor imagery task for the
affected limbs of the patients triggered no obvious activation of bilateral
supplementary motor areas. This result indicates that it is difficult to excite
the supplementary motor areas of brachial plexus injury patients during a motor
imagery task, thereby impacting brain remodeling. Deactivation of the
supplementary motor area is likely to be a serious problem for brachial plexus
injury patients in terms of preparing, initiating and executing certain
movements, which may be partly responsible for the unsatisfactory clinical
recovery of hand function.
PMID- 27212934
TI - Electrical stimulation of dog pudendal nerve regulates the excitatory pudendal-to
bladder reflex.
AB - Pudendal nerve plays an important role in urine storage and voiding. Our
hypothesis is that a neuroprosthetic device placed in the pudendal nerve trunk
can modulate bladder function after suprasacral spinal cord injury. We had
confirmed the inhibitory pudendal-to-bladder reflex by stimulating either the
branch or the trunk of the pudendal nerve. This study explored the excitatory
pudendal-to-bladder reflex in beagle dogs, with intact or injured spinal cord, by
electrical stimulation of the pudendal nerve trunk. The optimal stimulation
frequency was approximately 15-25 Hz. This excitatory effect was dependent to
some extent on the bladder volume. We conclude that stimulation of the pudendal
nerve trunk is a promising method to modulate bladder function.
PMID- 27212935
TI - Complement components of nerve regeneration conditioned fluid influence the
microenvironment of nerve regeneration.
AB - Nerve regeneration conditioned fluid is secreted by nerve stumps inside a nerve
regeneration chamber. A better understanding of the proteinogram of nerve
regeneration conditioned fluid can provide evidence for studying the role of the
microenvironment in peripheral nerve regeneration. In this study, we used
cylindrical silicone tubes as the nerve regeneration chamber model for the repair
of injured rat sciatic nerve. Isobaric tags for relative and absolute
quantitation proteomics technology and western blot analysis confirmed that there
were more than 10 complement components (complement factor I, C1q-A, C1q-B, C2,
C3, C4, C5, C7, C8beta and complement factor D) in the nerve regeneration
conditioned fluid and each varied at different time points. These findings
suggest that all these complement components have a functional role in nerve
regeneration.
PMID- 27212936
TI - Gait deterioration due to neural degeneration of the corticoreticular pathway: a
case report.
PMID- 27212937
TI - Complex chemical composition of colored surface films formed from reactions of
propanal in sulfuric acid at upper troposphere/lower stratosphere aerosol
acidities.
AB - Particles in the upper troposphere and lower stratosphere (UT/LS) consist mostly
of concentrated sulfuric acid (40-80 wt %) in water. However, airborne
measurements have shown that these particles also contain a significant fraction
of organic compounds of unknown chemical composition. Acid-catalyzed reactions of
carbonyl species are believed to be responsible for significant transfer of gas
phase organic species into tropospheric aerosols and are potentially more
important at the high acidities characteristic of UT/LS particles. In this study,
experiments combining sulfuric acid (H2SO4) with propanal and with mixtures of
propanal with glyoxal and/or methylglyoxal at acidities typical of UT/LS aerosols
produced highly colored surface films (and solutions) that may have implications
for aerosol properties. In order to identify the chemical processes responsible
for the formation of the surface films, attenuated total reflectance-Fourier
transform infrared (ATR-FTIR) and 1H nuclear magnetic resonance (NMR)
spectroscopies were used to analyze the chemical composition of the films. Films
formed from propanal were a complex mixture of aldol condensation products,
acetals and propanal itself. The major aldol condensation products were the dimer
(2-methyl-2-pentenal) and 1,3,5-trimethylbenzene that was formed by cyclization
of the linear aldol condensation trimer. Additionally, the strong visible
absorption of the films indicates that higher-order aldol condensation products
must also be present as minor species. The major acetal species were 2,4,6
triethyl-1,3,5-trioxane and longer-chain linear polyacetals which are likely to
separate from the aqueous phase. Films formed on mixtures of propanal with
glyoxal and/or methylglyoxal also showed evidence of products of cross-reactions.
Since cross-reactions would be more likely than self-reactions under atmospheric
conditions, similar reactions of aldehydes like propanal with common aerosol
organic species like glyoxal and methylglyoxal have the potential to produce
significant organic aerosol mass and therefore could potentially impact chemical,
optical and/or cloud-forming properties of aerosols, especially if the products
partition to the aerosol surface.
PMID- 27212938
TI - Inversion for Refractivity Parameters Using a Dynamic Adaptive Cuckoo Search with
Crossover Operator Algorithm.
AB - Using the RFC technique to estimate refractivity parameters is a complex
nonlinear optimization problem. In this paper, an improved cuckoo search (CS)
algorithm is proposed to deal with this problem. To enhance the performance of
the CS algorithm, a parameter dynamic adaptive operation and crossover operation
were integrated into the standard CS (DACS-CO). Rechenberg's 1/5 criteria
combined with learning factor were used to control the parameter dynamic adaptive
adjusting process. The crossover operation of genetic algorithm was utilized to
guarantee the population diversity. The new hybrid algorithm has better local
search ability and contributes to superior performance. To verify the ability of
the DACS-CO algorithm to estimate atmospheric refractivity parameters, the
simulation data and real radar clutter data are both implemented. The numerical
experiments demonstrate that the DACS-CO algorithm can provide an effective
method for near-real-time estimation of the atmospheric refractivity profile from
radar clutter.
PMID- 27212939
TI - Semisupervised Clustering by Iterative Partition and Regression with Neuroscience
Applications.
AB - Regression clustering is a mixture of unsupervised and supervised statistical
learning and data mining method which is found in a wide range of applications
including artificial intelligence and neuroscience. It performs unsupervised
learning when it clusters the data according to their respective unobserved
regression hyperplanes. The method also performs supervised learning when it fits
regression hyperplanes to the corresponding data clusters. Applying regression
clustering in practice requires means of determining the underlying number of
clusters in the data, finding the cluster label of each data point, and
estimating the regression coefficients of the model. In this paper, we review the
estimation and selection issues in regression clustering with regard to the least
squares and robust statistical methods. We also provide a model selection based
technique to determine the number of regression clusters underlying the data. We
further develop a computing procedure for regression clustering estimation and
selection. Finally, simulation studies are presented for assessing the procedure,
together with analyzing a real data set on RGB cell marking in neuroscience to
illustrate and interpret the method.
PMID- 27212940
TI - Evaluation of a Home Biomonitoring Autonomous Mobile Robot.
AB - Increasing population age demands more services in healthcare domain. It has been
shown that mobile robots could be a potential solution to home biomonitoring for
the elderly. Through our previous studies, a mobile robot system that is able to
track a subject and identify his daily living activities has been developed.
However, the system has not been tested in any home living scenarios. In this
study we did a series of experiments to investigate the accuracy of activity
recognition of the mobile robot in a home living scenario. The daily activities
tested in the evaluation experiment include watching TV and sleeping. A dataset
recorded by a distributed distance-measuring sensor network was used as a
reference to the activity recognition results. It was shown that the accuracy is
not consistent for all the activities; that is, mobile robot could achieve a high
success rate in some activities but a poor success rate in others. It was found
that the observation position of the mobile robot and subject surroundings have
high impact on the accuracy of the activity recognition, due to the variability
of the home living daily activities and their transitional process. The
possibility of improvement of recognition accuracy has been shown too.
PMID- 27212941
TI - Cytoreductive Surgery plus Hyperthermic Intraperitoneal Chemotherapy for
Management of Peritoneal Sarcomatosis: A Preliminary Single-Center Experience
from Saudi Arabia.
AB - Aim. To report our preliminary single-center experience with cytoreductive
surgery (CRS) plus hyperthermic intraperitoneal chemotherapy (HIPEC) for
management of peritoneal sarcomatosis (PS). Methods. Eleven patients were
retrospectively analyzed for perioperative details. Results. Cytoreduction
completeness (CC-0/1) was achieved in all patients with median peritoneal cancer
index (PCI) of 14 +/- 8.9 (range: 3-29). Combination cisplatin + doxorubicin
HIPEC chemotherapy was used in 6 patients. Five patients received intraoperative
radiation therapy (IORT). The median operative time, estimated blood loss, and
hospital stay were 8 +/- 1.4 hours (range: 6-10), 1000 +/- 250 mL (range: 700
3850), and 11 +/- 2.4 days (range: 7-15), respectively. Major postoperative
Clavien-Dindo grade III/IV complications occurred in 1 patient and none developed
HIPEC chemotherapy-related toxicities. The median overall survival (OS) and
disease-free survival (DFS) after CRS + HIPEC were 28.3 +/- 3.2 and 18.0 +/- 4.0
months, respectively. The median follow-up time was 12 months (range: 6-33).
Univariate analysis of several prognostic factors (age, gender, PS
presentation/pathology, CC, PCI, HIPEC chemotherapy, and IORT) did not
demonstrate statistically significant differences of OS and DFS. Conclusion. CRS
+ HIPEC appear to be feasible, safe, and offer survival oncological benefits.
However, definitive conclusions cannot be deduced.
PMID- 27212943
TI - Xyloglucan for the Treatment of Acute Gastroenteritis in Children: Results of a
Randomized, Controlled, Clinical Trial.
AB - Background. Xyloglucan, a film-forming agent, improves intestinal mucosa
resistance to pathologic damage. The efficacy, safety, and time of onset of the
antidiarrheal effect of xyloglucan were assessed in children with acute
gastroenteritis receiving oral rehydration solution (ORS). Methods. This
randomized, controlled, open-label, parallel-group, multicenter, clinical trial
included children (3 months-12 years) with acute gastroenteritis of infectious
origin. Children were randomized to xyloglucan and ORS, or ORS only, for 5 days.
Diarrheal symptoms, including stool number/characteristics, and safety were
assessed at baseline and after 2 and 5 days and by fulfillment of a parent diary
card. Results. Thirty-six patients (58.33% girls) were included (n = 18/group).
Patients receiving xyloglucan and ORS had better symptom evolution than ORS-only
recipients, with a faster onset of action. At 6 hours, xyloglucan produced a
significantly greater decrease in the number of type 7 stools (0.11 versus 0.44;
P = 0.027). At days 3 and 5, xyloglucan also produced a significantly greater
reduction in types 6 and 7 stools compared with ORS alone. Xyloglucan plus ORS
was safe and well tolerated. Conclusions. Xyloglucan is an efficacious and safe
option for the treatment of acute gastroenteritis in children, with a rapid onset
of action in reducing diarrheal symptoms. This study is registered with ISRCTN
number 65893282.
PMID- 27212942
TI - Snapshot on a Pilot Metagenomic Study for the Appraisal of Gut Microbial
Diversity in Mice, Cat, and Man.
AB - Gut microbiota plays a key role in the maintenance of homeostasis and host
physiology, comprising development, metabolism, and immunity. Profiling the
composition and the gastrointestinal microbiome with a reliable methodology is of
substantial interest to yield new insights into the pathogenesis of many diseases
as well as defining new prophylactic and therapeutic interventions. Here, we
briefly present our methodology applied to fecal samples from mice and then
further extended to the samples from a cat and a single human subject at 4
different time points as examples to illustrate the methodological strengths.
Both interindividual and time-related variations are demonstrated and discussed.
PMID- 27212944
TI - Distinct Clinic-Pathological Features of Early Differentiated-Type Gastric
Cancers after Helicobacter pylori Eradication.
AB - Background. Gastric cancer is discovered even after successful eradication of H.
pylori. We investigated clinic pathological features of early gastric cancers
after H. pylori eradication. Methods. 51 early gastric cancers (EGCs) from 44
patients diagnosed after successful H. pylori eradication were included as
eradication group. The clinic-pathological features were compared with that of
131 EGCs from 120 patients who did not have a history of H. pylori eradication
(control group). Results. Compared with control group, clinic-pathological
features of eradication group were characterized as depressed (p < 0.0001),
reddish (p = 0.0001), and smaller (p = 0.0095) lesions, which was also confirmed
in the comparison of six metachronous lesions diagnosed after initial ESD and
subsequent successful H. pylori eradication. Prevalence of both SM2 (submucosal
invasion greater than 500 MUm) and unexpected SM2 cases tended to be higher in
eradication group (p = 0.077, 0.0867, resp.). Prevalence of inconclusive
diagnosis of gastric cancer during pretreatment biopsy was also higher in the
same group (26.0% versus 1.6%, p < 0.0001). Conclusions. Informative clinic
pathological features of EGC after H. pylori eradication are depressed, reddish
appearances, which should be treated as a caution because histological diagnosis
of cancerous tissue is sometimes difficult by endoscopic biopsy.
PMID- 27212945
TI - The Protective Effect of Beraprost Sodium on Diabetic Nephropathy by Inhibiting
Inflammation and p38 MAPK Signaling Pathway in High-Fat Diet/Streptozotocin
Induced Diabetic Rats.
AB - Background. p38 mitogen-activated protein kinase (MAPK) plays a crucial role in
regulating signaling pathways implicated in inflammatory processes leading to
diabetic nephropathy (DN). This study aimed to examine p38 MAPK activation in DN
and determine whether beraprost sodium (BPS) ameliorates DN by inhibiting
inflammation and p38 MAPK signaling pathway in diabetic rats. Methods. Forty male
Sprague Dawley (SD) rats were randomly divided into the normal control group,
type 2 diabetic group, and BPS treatment group. At the end of the 8-week
experiment, we measured renal pathological changes and the activation of the p38
MAPK signaling pathway and inflammation. Result. After BPS treatment, renal
function, 24-hour urine protein, lipid profiles, and blood glucose level were
improved significantly; meanwhile, inflammation and the expression of p38 MAPK
signaling pathway in the diabetic kidney were attenuated. Conclusions. BPS
significantly prevented type 2 diabetes induced kidney injury characterized by
renal dysfunction and pathological changes. The protective mechanisms are
complicated but may be mainly attributed to the inhibition of the p38 MAPK
signaling pathway and inflammation in the diabetic kidney.
PMID- 27212946
TI - Adipokines and Insulin Resistance in Young Adult Survivors of Childhood Cancer.
AB - We examined the association between adipokines (leptin, adiponectin, and
resistin), radiotherapy, measurement of body fat, and insulin resistance among
young adult survivors of childhood cancer (CCS). Materials and Methods. Seventy
six survivors were included (mean age 24.1 +/- 3.5 years). Insulin resistance
(IR) was calculated using the homeostasis model assessment (HOMA-IR). The serum
levels of adipokines were assayed by immunoassays. Fat mass was evaluated by DXA.
Results. Mean adiponectin level and mean body FAT were higher in the examined
females than in males (10009 +/- 6367 ng/mL versus 6433 +/- 4136 ng/mL, p < 0.01;
35.98 +/- 9.61% versus 22.7 +/- 7.46%, p < 0.001). Among CCS, one of 75 patients
met the criteria of insulin resistance, and in 14 patients there was impaired
fasting glucose. The multiple regression model for females showed that
leptin/adiponectin ratio (LA ratio) significantly affected HOMA-IR (increase of
0.024 per each unit of LA ratio; p < 0.05). Radiotherapy had no effect on serum
adipokines and IR. Conclusion. The observed results support the hypothesis that
adiponectin might be associated with insulin resistance and it can not be ruled
out that changes in the mean level of adiponectin per FAT mass or
leptin/adiponectin ratio may precede the occurrence of insulin resistance in the
future.
PMID- 27212947
TI - Detection of Carious Lesions and Restorations Using Particle Swarm Optimization
Algorithm.
AB - Background/Purpose. In terms of the detection of tooth diagnosis, no intelligent
detection has been done up till now. Dentists just look at images and then they
can detect the diagnosis position in tooth based on their experiences. Using new
technologies, scientists will implement detection and repair of tooth diagnosis
intelligently. In this paper, we have introduced one intelligent method for
detection using particle swarm optimization (PSO) and our mathematical
formulation. This method was applied to 2D special images. Using developing of
our method, we can detect tooth diagnosis for all of 2D and 3D images. Materials
and Methods. In recent years, it is possible to implement intelligent processing
of images by high efficiency optimization algorithms in many applications
especially for detection of dental caries and restoration without human
intervention. In the present work, we explain PSO algorithm with our detection
formula for detection of dental caries and restoration. Also image processing
helped us to implement our method. And to do so, pictures taken by digital
radiography systems of tooth are used. Results and Conclusion. We implement some
mathematics formula for fitness of PSO. Our results show that this method can
detect dental caries and restoration in digital radiography pictures with the
good convergence. In fact, the error rate of this method was 8%, so that it can
be implemented for detection of dental caries and restoration. Using some
parameters, it is possible that the error rate can be even reduced below 0.5%.
PMID- 27212948
TI - Applicative Characteristics of a New Zirconia Bracket with Multiple Slots.
AB - We have developed a new orthodontic bracket with three slots with lubricative
properties on the working surfaces and proposed a new orthodontic treatment
system employing 0.012-0.014-inch Ni-Ti arch wires. We recruited 54 patients, of
which 27 received treatment with the new zirconia bracket with multiple slots
system (M group), and the others received treatment with standard edge-wise
appliances (control group [C group]). We compared the (1) tooth movement rate at
the early stage of leveling; (2) changes in the dental arch morphology before and
after leveling; and (3) pain caused by orthodontic treatment. Student's t-test
was used in all assessments. The tooth movement rate in the maxillomandibular
dentition was higher in the M group. The basal arch width, anterior length, and
the intercanine width in the maxillary dentition were not significantly different
in the two groups; however, the intercanine width in the mandibular dentition was
higher in the C group. In assessments of treatment-related pain, the visual
analogue pain score was 56.0 mm and 22.6 mm in the C and M groups, respectively.
A new zirconia bracket with multiple slots system provided better outcomes with
respect to tooth movement rate, treatment period, and postoperative pain, thus
indicating its effectiveness over conventional orthodontic systems.
PMID- 27212949
TI - The Side Effects of Facial Implants.
AB - This case report is about a 64-year-old woman who presented at the Emergency Walk
in Center with palpebral edema as well as various erythematous plaques in
supraciliary and malar areas that have been gradually worsening a couple of days
prior to presentation. While talking about history, the patient mentioned she was
attending, for about four months, an Esthetic Private Clinic, where she was
injected in various sessions with Metacrill(r), as a facial lift, for beauty
purpose. Due to suspecting an allergic reaction to the Metacrill and the failure
of the initial treatments, she was referred to the dermatologist. After failed
attempts to treat the patient with corticosteroids and antibiotics, the patient
was sent for autoimmunity consultation at the hospital where she received an
immunosuppressive treatment with Tacrolimus and was not presenting new symptoms
ever since.
PMID- 27212950
TI - Fixation Improvement through Biofeedback Rehabilitation in Stargardt Disease.
AB - Stargardt disease is the most common hereditary macular degeneration in
juveniles. It is characterized by macular dystrophy associated with loss of
central vision in the first or second decade of life, a "beaten-metal" appearance
in the fovea or parafoveal region, yellowish flecks around the macula or in
posterior area of the retina, progressive atrophy of the bilateral foveal retinal
pigment epithelium, and the "dark choroid" sign on fundus fluorescein angiography
in most cases. We report a case of Stargardt disease in a 26-year-old Caucasian
female submitted to rehabilitative training with microperimetry MP-1 to find a
new preferred retinal locus (PRL) and to train her to better her quality of life.
Best corrected visual acuity, mean retinal sensitivity, fixation, bivariate
contour ellipse area, and speed reading were evaluated before and after the
training and results were discussed.
PMID- 27212951
TI - GDNF Enhances Therapeutic Efficiency of Neural Stem Cells-Based Therapy in
Chronic Experimental Allergic Encephalomyelitis in Rat.
AB - Multiple sclerosis (MS) is an autoimmune disease in the CNS. The current
immunomodulating drugs for MS do not effectively prevent the progressive
neurological decline. Neural stem cells (NSCs) transplantation has been proven to
promote repair and functional recovery of experimental allergic encephalomyelitis
(EAE) animal model for MS, and glial cell line-derived neurotrophic factor (GDNF)
has also been found to have capability of promoting axonal regeneration and
remyelination of regenerating axons. In the present study, to assess whether GDNF
would enhance therapeutic effect of NSCs for EAE, GDNF gene-modified NSCs
(GDNF/NSCs) and native NSCs were transplanted into each lateral ventricle of rats
at 10 days and rats were sacrificed at 60 days after EAE immunization. We found
that NSCs significantly reduced the clinical signs, and GDNF gene-modification
further promoted functional recovery. GDNF/NSCs more profoundly suppressed brain
inflammation and improved density of myelin compared with NSCs. The survival of
GDNF/NSCs was significantly higher than that of transplanted NSCs. Transplanted
GDNF/NSCs, in contrast to NSCs, differentiated into more neurons and
oligodendrocytes. Moreover, the mRNA expression of oligodendrocyte lineage cells
in rats with GDNF/NSCs was significantly increased compared to rats with NSCs.
These results suggest that GDNF enhances therapeutic efficiency of NSCs-based
therapy for EAE.
PMID- 27212952
TI - Inhibition of Myocardial Ischemia/Reperfusion Injury by Exosomes Secreted from
Mesenchymal Stem Cells.
AB - Exosomes secreted by mesenchymal stem cells have shown great therapeutic
potential in regenerative medicine. In this study, we performed meta-analysis to
assess the clinical effectiveness of using exosomes in ischemia/reperfusion
injury based on the reports published between January 2000 and September 2015 and
indexed in the PUBMED and Web of Science databases. The effect of exosomes on
heart function was evaluated according to the following parameters: the area at
risk as a percentage of the left ventricle, infarct size as a percentage of the
area at risk, infarct size as a percentage of the left ventricle, left
ventricular ejection fraction, left ventricular fraction shortening, end
diastolic volume, and end-systolic volume. Our analysis indicated that the
currently available evidence confirmed the therapeutic potential of mesenchymal
stem cell-secreted exosomes in the improvement of heart function. However,
further mechanistic studies, therapeutic safety, and clinical trials are required
for optimization and validation of this approach to cardiac regeneration after
ischemia/reperfusion injury.
PMID- 27212953
TI - Establishment of Human Neural Progenitor Cells from Human Induced Pluripotent
Stem Cells with Diverse Tissue Origins.
AB - Human neural progenitor cells (hNPCs) have previously been generated from limited
numbers of human induced pluripotent stem cell (hiPSC) clones. Here, 21 hiPSC
clones derived from human dermal fibroblasts, cord blood cells, and peripheral
blood mononuclear cells were differentiated using two neural induction methods,
an embryoid body (EB) formation-based method and an EB formation method using
dual SMAD inhibitors (dSMADi). Our results showed that expandable hNPCs could be
generated from hiPSC clones with diverse somatic tissue origins. The established
hNPCs exhibited a mid/hindbrain-type neural identity and uniform expression of
neural progenitor genes.
PMID- 27212955
TI - Does Nursery-Based Intensified Anticipatory Guidance Reduce Emergency Department
Use for Nonurgent Conditions in the First Month of Life? A Randomized Controlled
Trial.
AB - Objective. We aimed to evaluate the impact of an intensified anticipatory
guidance program in the nursery on Emergency Department (ED) use for nonurgent
conditions (NUCs) in the neonatal period. Methods. Parturient mothers of healthy
newborns were randomized to an intervention group or control group. Baseline and
1-month follow-up knowledge surveys regarding newborn care were conducted. The
primary outcome was the proportion of neonates who used the ED for a NUC.
Secondary outcome was change in caregivers' knowledge on NUC. Results. Of a total
of 594 mothers, 323 (54%) agreed to participate and were randomized to
intervention (n = 170) or control (n = 153) group. Most were Hispanic (68%),
single (61%), primiparous (39%), and without high school diploma (44%). 35 (21%)
neonates in the intervention group and 41 (27%) in the control group were brought
at least once for a NUC to the ED (p = 0.12). There was no statistically
significant difference in within subject change on knowledge scores between the
two study arms. Conclusions. Neonatal ED visits for NUCs occur frequently. This
nursery-based intensified anticipatory guidance program had no statistically
significant impact on neonatal ED use for NUC, nor on neonatal care-relevant
knowledge among parturient mothers. Alternative modalities and timing of parental
educational intervention may need to be considered. This trial is registered with
Clinical Trials Number NCT01859065 (Clinicaltrials.gov).
PMID- 27212957
TI - Pharmacy practice publications with impact.
PMID- 27212956
TI - Positive fertility outcomes in a female with classic congenital adrenal
hyperplasia following bilateral adrenalectomy.
AB - BACKGROUND: Classic congenital adrenal hyperplasia (CAH) requires lifetime
steroid replacement and supraphysiologic glucocorticoid dose is often required
for adequate adrenal androgen suppression. Patients often suffer from long-term
co-morbidities and female infertility is common. CASE PRESENTATION: We report the
use of laparoscopic bilateral adrenalectomy as a treatment for a 21 year old
female with classic simple virilizing CAH and infertility. She presented as an
adolescent with increasing weight gain, amenorrhea and elevated adrenal androgens
despite the use of dexamethasone (250 mcg given twice daily), and fludrocortisone
(150 mcg daily). An anti-androgen (flutamide 250 mg given twice daily) and a
combined oral contraceptive pill were added to her regimen and prevented
progressive virilization, but she eventually desired fertility. A bilateral
laparoscopic adrenalectomy was performed at age 21. The right adrenal gland
weighed 41.8 grams and the left gland 45.5 grams. There were no complications
during the surgery. Since the surgery, she has had a total of three pregnancies,
resulting in 3 healthy full-term infants. Follow-up 7 years later at age 27
revealed overall excellent health with a BMI of 25.1 kg/m(2), no evidence of
adrenal rest tissue based on hormonal testing, above average quality-of-life
based on 36-item short-form health survey and she has not experienced an adrenal
crisis. CONCLUSIONS: This case highlights the use of bilateral adrenalectomy as a
treatment option for female infertility in a patient with classic CAH and
difficult-to-control hyperandrogenism secondary to adrenal nodular hyperplasia.
Outstanding quality-of-life, disease control and fertility were achieved.
PMID- 27212958
TI - Pharmacist working conditions.
PMID- 27212959
TI - Proton pump inhibitor dosing for acute ulcer bleeding.
PMID- 27212960
TI - Canadian Pharmacists Conference 2016 Sponsors.
PMID- 27212961
TI - Prescribing cascade in an elderly woman.
PMID- 27212954
TI - Using Stem Cells to Grow Artificial Tissue for Peripheral Nerve Repair.
AB - Peripheral nerve injury continues to pose a clinical hurdle despite its frequency
and advances in treatment. Unlike the central nervous system, neurons of the
peripheral nervous system have a greater ability to regenerate. However, due to a
number of confounding factors, this is often both incomplete and inadequate. The
lack of supportive Schwann cells or their inability to maintain a regenerative
phenotype is a major factor. Advances in nervous system tissue engineering
technology have led to efforts to build Schwann cell scaffolds to overcome this
and enhance the regenerative capacity of neurons following injury. Stem cells
that can differentiate along a neural lineage represent an essential resource and
starting material for this process. In this review, we discuss the different stem
cell types that are showing promise for nervous system tissue engineering in the
context of peripheral nerve injury. We also discuss some of the biological,
practical, ethical, and commercial considerations in using these different stem
cells for future clinical application.
PMID- 27212962
TI - A comparison of drug therapy problems in patients eligible and ineligible for
provincial medication review programs in Canada.
PMID- 27212963
TI - Economic analysis of insulin initiation by pharmacists in a Canadian setting: The
RxING study.
AB - BACKGROUND: Conducted in Alberta, the RxING study examined the effect of a
community pharmacist prescribing intervention on glycemic control in patients
with uncontrolled type 2 diabetes mellitus (T2DM) using insulin glargine. The
objective of this study was to assess the cost-effectiveness of pharmacists'
prescribing of insulin glargine as an early intervention in uncontrolled patients
with T2DM vs usual clinical practice. METHODS: The IMS CORE diabetes Markov model
was used to project long-term clinical outcomes, costs and cost-effectiveness of
interventions. The efficacy of insulin glargine, in terms of hemoglobin A1c
reduction and hypoglycemia rates, was obtained from the RxING study. Health
utility and cost data were found in Canadian publications. The base-case analyses
examined the economic and clinical effects of having pharmacists initiate insulin
therapy in patients with uncontrolled T2DM in comparison to a physician initiate
it up to 3 years later. RESULTS: Insulin initiation by pharmacists with
uncontrolled T2DM patients is cost-effective. Having pharmacists prescribe
insulin 1 year earlier than usual clinical practice resulted in an incremental
cost savings of $805 (CDN$) and a gain of 0.048 QALYs per patient. Pharmacists
prescribing insulin 2 years earlier resulted in an incremental cost savings of
$624 (CDN$) per year and a gain of 0.075 quality-adjusted life-years (QALYs).
Prescribing 3 years earlier allowed for a minor increase of $26 and a gain of
0.086 QALYs. CONCLUSION: Earlier initiation of insulin by pharmacists, in
uncontrolled T2DM patients, resulted in cost savings and delays in the
development of diabetes-related complications, leading to an improved quality of
life and increased survival rates.
PMID- 27212964
TI - QT Prolongation.
PMID- 27212965
TI - Drug-induced QT interval prolongation and torsades de pointes: Role of the
pharmacist in risk assessment, prevention and management.
AB - Torsades de pointes (TdP) is a life-threatening arrhythmia associated with
prolongation of the corrected QT (QTc) interval on the electrocardiogram. More
than 100 drugs available in Canada, including widely used antibiotics,
antidepressants, cardiovascular drugs and many others, may cause QTc interval
prolongation and TdP. Risk factors for TdP include QTc interval >500 ms, increase
in QTc interval >=60 ms from the pretreatment value, advanced age, female sex,
acute myocardial infarction, heart failure with reduced ejection fraction,
hypokalemia, hypomagnesemia, hypocalcemia, bradycardia, treatment with diuretics
and elevated plasma concentrations of QTc interval-prolonging drugs due to drug
interactions, inadequate dose adjustment of renally eliminated drugs in patients
with kidney disease and rapid intravenous administration. Pharmacokinetic drug
interactions associated with the highest risk of TdP include antifungal agents,
macrolide antibiotics (except azithromycin) and drugs to treat human
immunodeficiency virus interacting with amiodarone, disopyramide, dofetilide or
pimozide. Other important pharmacokinetic interactions include antidepressants
(bupropion, duloxetine, fluoxetine, paroxetine) interacting with flecainide,
quinidine or thioridazine. Pharmacists play an important role in minimizing the
risk of drug-induced QTc interval prolongation and TdP through knowledge of drugs
that are associated with a known or possible risk of TdP, individualized
assessment of risk of drug-induced QTc interval prolongation, awareness of drug
interactions most likely to result in TdP and attention to dose reduction of
renally eliminated QTc interval-prolonging drugs in patients with kidney disease.
Treatment of hemodynamically stable TdP consists of discontinuation of the
offending drug(s), correction of electrolyte abnormalities and administration of
intravenous magnesium sulfate 1 to 2 g.
PMID- 27212966
TI - A literature review of the impact of pharmacy students in immunization
initiatives.
AB - BACKGROUND: Pharmacy students can help protect the public from vaccine
preventable diseases by participating in immunization initiatives, which
currently exist in some Canadian and American jurisdictions. The objective of
this article is to critically review evidence of student impact on public health
through their participation in vaccination efforts. METHODS: PubMed, CINAHL,
Cochrane Database, EMBASE, International Pharmaceutical Abstracts, Scopus and Web
of Science electronic databases were searched for peer-reviewed literature on
pharmacy student involvement in vaccination programs and their impact on public
health. Papers were included up to November 17, 2015. Two reviewers independently
screened titles and abstracts and extracted data from eligible full-text
articles. RESULTS: Eighteen titles met all inclusion criteria. All studies were
published between 2000 and 2015, with the majority conducted in the United States
(n = 12). The number of vaccine doses administered by students in community-based
clinics ranged from 109 to 15,000. Increases in vaccination rates in inpatient
facilities ranged from 18.5% to 68%. Across studies, student-led educational
interventions improved patient knowledge of vaccines and vaccine-preventable
diseases. Patient satisfaction with student immunization services was
consistently very high. DISCUSSION: Methodology varied considerably across
studies. The literature suggests that pharmacy students can improve public health
by 1) increasing the number of vaccine doses administered, 2) increasing
vaccination rates, 3) increasing capacity of existing vaccination efforts, 4)
providing education about vaccines and vaccine-preventable diseases and 5)
providing positive immunization experiences. CONCLUSION: Opportunities exist
across Canada to increase pharmacy student involvement in immunization efforts
and to assess the impact of their participation. Greater student involvement in
immunization initiatives could boost immunization rates and help protect
Canadians from vaccine-preventable diseases.
PMID- 27212967
TI - Omega-3 polyunsaturated fatty acid supplementation in the prevention of
cardiovascular disease.
AB - INTRODUCTION: Omega-3 polyunsaturated fatty acids (PUFAs) have purported
protective cardiovascular (CV) effects. We sought to assess the evidence
available for the use of omega-3 PUFAs for the prevention of cardiovascular
disease (CVD). METHODS: A systematic literature search was conducted using
MEDLINE and EMBASE from 1999 to 2015. Placebo-controlled, randomized controlled
trials (RCTs) that enrolled over 1000 patients with follow-up greater than 1 year
and meta-analyses of RCTs were included. RESULTS: Eight RCTs and 2 meta-analyses
were included. In patients with preexisting CVD, only 1 of 5 included RCTs
demonstrated a reduction in CV events with omega-3 PUFAs; however, the effect
size was minimal, and the study was limited by an open-label design and lack of
placebo control. Two meta-analyses concluded omega-3 PUFAs do not reduce CV
events in addition to standard, evidence-based therapy in patients after
myocardial infarction. Of the 3 predominantly primary prevention RCTs, only 1
demonstrated a minor reduction in major coronary events; however, it was also an
open-label study. Furthermore, the safety of omega-3 PUFAs should be considered.
While data from RCTs have not demonstrated serious safety concerns, omega-3 PUFAs
can increase the risk of bleeding and may interact with other medications that
affect hemostasis, such as antiplatelet agents and warfarin. DISCUSSION AND
CONCLUSION: There is currently a lack of evidence to support the routine use of
omega-3 PUFAs in the primary and secondary prevention of CVD. Pharmacists are
ideally situated to engage patients in the discussion of the lack of benefit and
possible risk of omega-3 PUFA supplements.
PMID- 27212968
TI - Improving the legibility of prescription medication labels for older adults and
adults with visual impairment.
AB - OBJECTIVES: Most current prescription labels fail to meet print guidelines,
especially in print size. We therefore compared the legibility of current
prescription medication labels against the legibility of prototype labels, based
on current guidelines for legibility. METHOD: Sample medication labels were
obtained from pharmacies, and prototype medication labels were developed
according to legibility guidelines from nongovernmental organizations and
pharmacy organizations. Three groups of participants, consisting of older adults
with normal vision, older adults with visual impairment and younger adults with
visual impairment (total N = 71) took part. Participants were asked to read and
rank the labels. Reading speed and accuracy were determined. RESULTS: Accuracies
were high (75%-100%), and there were no significant differences between samples
or prototypes or between groups. Prototypes, however, were read faster than
samples (p < 0.001). Subjectively, participants preferred the largest print
option (p < 0.001) and instructions with the numbers written in highlighted
uppercase words (p < 0.001). DISCUSSION: The results indicate that improvements
to the label would include larger print size, a consistent layout with left
justification and using upper case with highlighting for emphasis of the numbers
in the instructions.
PMID- 27212970
TI - Endovascular approach to complex aortic arch pathologies: state of the art.
PMID- 27212969
TI - Effects of different N sources on riverine DIN export and retention in a
subtropical high-standing island, Taiwan.
AB - Increases in nitrogen (N) availability and mobility resulting from anthropogenic
activities have substantially altered the N cycle, both locally and globally.
Taiwan characterized by the subtropical montane landscape with abundant rainfall,
downwind of the most rapidly industrializing eastern coast of China, can be a
demonstration site for extremely high N input and riverine DIN (dissolved
inorganic N) export. We used 49 watersheds with similar climatic and landscape
settings but classified into low, moderate, and highly disturbed categories based
on population density to illustrate their differences in nitrogen inputs (through
atmospheric N deposition, synthetic fertilizers, and human emission) and DIN
export ratios. Our results showed that the island-wide average riverine DIN
export is ~ 3800 kg N km-2 yr-1, approximately 18 times the global average. The
average riverine DIN export ratios are 0.30-0.51, which are much higher than the
averages of 0.20-0.25 of large rivers around the world, indicating excessive N
input relative to ecosystem demand or retention capacity. The low disturbed
watersheds have a high N retention capacity and DIN export ratios of 0.06-0.18 in
spite of the high N input (~ 4900 kg N km-2 yr-1). The high retention capacity is
likely due to effective uptake by secondary forests in the watersheds. The
moderately disturbed watersheds show a linear increase in DIN export with
increases in total N inputs and mean DIN export ratios of 0.20 to 0.31. The main
difference in land use between low and moderately disturbed watersheds is the
greater proportion of agricultural land cover in the moderately disturbed
watersheds. Thus, their greater DIN export could be attributed to N fertilizers
used in the agricultural lands. The greater export ratios also imply that
agricultural lands have a lower proportional N retention capacity and that
reforestation could be an effective land management practice to reduce riverine
DIN export. The export ratios of the highly disturbed watersheds are very high,
0.42-0.53, suggesting that much of the N input is transported downstream
directly, and urges the need to increase the proportion of households connected
to a sewage system and improve the effectiveness of wastewater treatment systems.
The increases in the riverine DIN export ratio along the gradient of human
disturbance also suggest a gradient in N saturation in subtropical Taiwan. Our
results help to improve our understanding of factors controlling riverine DIN
export and provide empirical evidence that calls for sound N emission/pollution
control measures.
PMID- 27212971
TI - The predictive value of multiple electrode platelet aggregometry for
postoperative bleeding complications in patients undergoing coronary artery
bypass graft surgery.
AB - INTRODUCTION: Postoperative bleeding is one of the most serious complications of
cardiac surgery and requires transfusion of blood or blood products.
Acetylsalicylic acid (ASA) and clopidogrel (CLO) are the two most commonly used
antiplatelet agents; when used in combination (i.e., as dual antiplatelet therapy
[DAPT]), they exert a synergistic effect. Dual antiplatelet therapy, however,
significantly increases the risk of postoperative bleeding. The effect of
antiplatelet therapy can be monitored by platelet aggregation testing. One of the
most commonly methods used for assessing platelet reactivity is multiple
electrode aggregometry (MEA) which can be performed with the use of Multiplate
analyzer. Although the method has long been used in interventional cardiology to
assess the effect of antiplatelet therapy, it is not available at cardiac surgery
departments as a standard diagnostic procedure. The aim of the study was to
establish the frequency of bleeding complications following coronary artery
bypass graft (CABG) surgery in patients on single antiplatelet therapy (SAPT) and
patients on DAPT and to determine the usefulness of routine measurement of
platelet responsiveness before CABG surgery in patients receiving antiplatelet
therapy. MATERIAL AND METHODS: A consecutive cohort of 200 patients referred for
elective surgical treatment of stable coronary artery disease was enrolled (100
consecutive patients on SAPT [ASA 75 mg/day] and 100 consecutive patients on DAPT
[ASA 75 mg/day + CLO 75 mg/day]). All subjects continued their antiplatelet
therapy until the day before surgery. For each subject, platelet aggregation
testing in the form of an ASPI test and an ADP test was performed on the
Multiplate analyzer. Each subject underwent coronary artery bypass grafting
surgery. For the primary and secondary endpoints in our study we adopted the
definition provided in 'Standardised Bleeding Definitions for Cardiovascular
Clinical Trials: A Consensus Report from the Bleeding Academic Research
Consortium' ('Circulation', 2011) for BARC type 4 bleeding (i.e. CABG-related
bleeding). RESULTS: An ROC curve was constructed for the ASPI test and ADP test
for a total of 200 patients. No significant correlations were demonstrated
between the ASPI test results and either the primary endpoint or the secondary
endpoints. A correlation was found between the ADP test results and the composite
primary endpoint and each of the secondary endpoints. The primary endpoint of
major postoperative bleeding occurred in 16 subjects. From the ROC curve, we
established the optimal cut-off value for the ADP test of 26 U at sensitivity of
72%, specificity of 69%, positive predictive value of 69.90%, and negative
predictive value of 71.13%. CONCLUSIONS: In patients on antiplatelet therapy, an
ADP test result of < 26 U is strongly predictive of serious bleeding
complications after CABG surgery. The MEA ADP test allows to identify the group
of patients at an increased risk of postoperative bleeding.
PMID- 27212972
TI - Early operative comparison of two epicardial left atrial appendage occluding
systems applied during off-pump coronary revascularisation in patients with
persistent atrial fibrillation.
AB - INTRODUCTION: Atrial fibrillation (AF) increases long-term mortality and stroke
rate in patients having coronary artery bypass grafting (CABG). Because oral
anticoagulation (OAC) is associated with both a significant incidence of
discontinuation and well known complication rates, left atrial appendage
occlusion might be beneficial for stroke prevention. This study presents the
first clinical and practical comparison of two epicardial left appendage
occluders (LAAO) accruing experience in application during off-pump coronary
revascularisation in patients with persistent AF. MATERIAL AND METHODS: Fifteen
consecutive patients with persistent AF were assigned to intraoperative LAA
occlusion with either TigerPaw System II (n = 8) or AtriClip (n = 7) device
during off-pump CABG and concomitant left atrial epicardial ablation. Both
systems were analysed in terms of ease and safety of application along with
intraoperative LAA occlusion success. RESULTS: Surgical risk was increased in the
study population (mean EuroScore II: 3.2 +/- 0.3%). In all patients in the
AtriClip group successful off-pump LAA occlusion confirmed by intraoperative
transoesophageal echocardiography was achieved. The TigerPaw application was
quicker and easier, but in 2 patients it was unsuccessful. During the hospital
stay there were no bleeding or thromboembolic events recorded. CONCLUSIONS: In a
pilot cohort epicardial LAAO during off-pump CABG in patients with persistent AF
was performed safely and successfully with an AtriClip device. The TigerPaw
System requires technological improvement. It might be useful to adapt the use of
the type of occluding device to the LAA morphologic type and target
revascularisation vessels to avoid the additional use of a heart positioner or
obviate coronary compression.
PMID- 27212973
TI - Telomerase activity in non-small cell lung cancer.
AB - INTRODUCTION: High telomerase activity has been detected in the majority of
malignant neoplasms including lung cancer. The purpose of the study was to
attempt to use telomerase activity as a prognostic factor in patients with non
small cell lung cancer (NSCLC). MATERIAL AND METHODS: Telomerase activity was
analyzed in 47 tissue specimens taken from patients with NSCLC. The control group
consisted of 30 specimens of non-cancerous lung parenchyma. Telomerase activity
was measured by means of the telomeric repeat amplification protocol (TRAP).
RESULTS: Telomerase activity in the neoplastic tissue was significantly higher
than in the lung parenchyma that was free from neoplastic infiltration. There was
no significant association between telomerase activity and age, gender, tobacco
smoking, histological type of the tumor, or staging (pTNM). No association was
found between the level of telomerase activity in NSCLC specimens and the two
year survival rate of patients (p = 0.326). A higher level of telomerase activity
in poorly differentiated tumors (G3) as compared to moderately differentiated
tumors (G2) was detected (p = 0.008). A positive association was identified
between telomerase activity in pulmonary parenchyma free from tumor infiltration
and the presence of leukocyte infiltration (p = 0.0001). CONCLUSIONS: No
association was found between the level of telomerase activity in NSCLC specimens
and the two-year survival rate of patients. The study has revealed a positive
association between telomerase activity and the grade of differentiation (G) in
NSCLC.
PMID- 27212974
TI - The efficacy and economical benefits of blood patch pleurodesis in secondary
spontaneous pneumothorax patients.
AB - INTRODUCTION: Prolonged air leak in secondary spontaneous pneumothorax (SSP)
patients remains one of the biggest challenges for thoracic surgeons. This study
investigates the feasibility, effectiveness, clinical outcomes, and economical
benefits of the autologous blood patch pleurodesis method in SSP. MATERIAL AND
METHODS: First-episode SSP patients undergoing autologous blood patch pleurodesis
for resistant air leak following underwater-seal thoracostomy, between January
2010 and June 2013 were taken into the study. Timing and success rate of
pleurodesis, recurrence, additional intervention, hospital length of stay, and
complications that occurred during follow-up were examined from medical records,
retrospectively. RESULTS: Thirty-one (27 male, 4 female) SSP patients with
expanded lungs on chest X-ray and resistant air leak on the 3(rd) post
interventional day were enrolled. Mean age was 53.7 +/- 18.9 years (range: 23
81). Twenty-four patients were treated with tube thoracostomy, 2 with pezzer
drain, and 5 with 8 F pleural catheter. 96.8% success was achieved; air leak in
29 of 31 patients (93.5%) ceased within the first 24 hours. No procedure-related
complication such as fever, pain or empyema was seen. Late pneumothorax
recurrence occurred in 4 (12.9%) patients; 1 treated with talc pleurodesis where
the other 3 necessitated surgical intervention. CONCLUSIONS: Autologous blood
patch pleurodesis is a safe, effective, and easily performed procedure with no
need of any additional equipment or extra cost. This method can be applied to all
patients with radiologically expanded lungs and continuous air leak after 48
hours following water-seal drainage thoracostomy, to reduce hospital stay
duration, unnecessary surgical interventions, and the expenses.
PMID- 27212975
TI - The role and importance of club cells (Clara cells) in the pathogenesis of some
respiratory diseases.
AB - The report presents the cellular structure of the respiratory system as well as
the history of club cells (Clara cells), their ultrastructure, and location in
the airways and human organs. The authors discuss the biochemical structure of
proteins secreted by these cells and their importance for the integrity and
regeneration of the airway epithelium. Their role as progenitor cells for the
airway epithelium and their involvement in the biotransformation of toxic
xenobiotics introduced into the lungs during breathing is emphasized. This is
followed by a discussion of the clinical aspects associated with club cells,
demonstrating that tracking the serum concentration of club cell-secreted
proteins is helpful in the diagnosis of a number of lung tissue diseases.
Finally, suggestions are provided regarding the possible use of proteins secreted
by club cells in the treatment of serious respiratory conditions.
PMID- 27212977
TI - Post-myocardial infarction ventricular septal defect. Is it better to operate on
a fresh infarction or to wait? A case study.
AB - The authors present case studies of two patients, aged 76 and 77, who were
diagnosed with fresh post-myocardial infarction ventricular septal defects (VSD)
and were admitted for urgent surgical intervention. The report is a comment in
the discussion concerning the optimal time for surgical intervention.
PMID- 27212976
TI - Novel biomarkers for early diagnosis of acute kidney injury after cardiac surgery
in adults.
AB - Acute kidney injury after cardiac surgery with cardiopulmonary bypass is a common
and serious complication and it is associated with increased morbidity and
mortality. Diagnosis of acute kidney injury is based on the serum creatinine
levels which rise several hours to days after the initial injury. Thus, novel
biomarkers that will enable faster diagnosis are needed in clinical practice.
There are numerous urine and serum proteins that indicate kidney injury and are
under extensive research. Despite promising basic research results and assembled
data, which indicate superiority of some biomarkers to creatinine, we are still
awaiting clinical application.
PMID- 27212978
TI - Valve sparing surgery in an adult patient with dextrocardia and annuloaortic
ectasia.
AB - We report the case of a patient with situs inversus totalis, annuloaortic ectasia
complicated by aortic insufficiency and mitral regurgitation which induced
congestive heart failure. Both valvular lesions were repaired physiologically
using aortic root sparing Yacoub 'remodeling' technique and mitral ring
annuloplasty. Valve sparing techniques can be used effectively even in patients
with complicated clinical scenarios (like dextrocardia and annuloaortic ectasia)
to avoid the potential risks related to prosthetic valve implantation and
lifelong anticoagulation therapy.
PMID- 27212979
TI - A rare cause in etiology of left atrial mass: metastatic testicular germ cell
tumor.
AB - Although intracardiac metastasis of germ cell tumors is rare, it can be localized
in the right or left heart by disseminating spread and give their cardiac
symptoms depending on the location of metastatic mass. We present a 38-year-old
male patient with a preliminary diagnosis of testicular tumor who was followed by
the medical oncology clinic with cerebrovascular event and heart failure
symptoms.
PMID- 27212980
TI - Anomalous connection of the left hepatic vein to coronary sinus in a child with
PAPVD. Surgical significance and diagnostic difficulties.
AB - Left hepatic vein (LHV) that drains blood into a coronary sinus (CS) is an
extremely rare congenital anomaly of systemic vein drainage with only single
reports published. In most of these cases the unusual venous connection was found
incidentally during diagnostics or surgery. The case of a two-year-old boy in
whom the anomaly was discovered during open heart surgery for partial anomalous
pulmonary venous drainage (PAPVD) is presented. Difficulties in obtaining proper
diagnosis preoperatively are confronted with postoperative echo findings.
Embryology and evolution of sinus venosus are discussed to explain the persistent
connection between hepatic venous circulation and a coronary sinus. The authors
attempt to recapitulate the possible surgical consequences of LHV-CS continuity.
PMID- 27212981
TI - VATS left upper lobectomy after CABG with LIMA-LAD bypass graft.
AB - Video-assisted thoracic surgery (VATS) lobectomy has been proven to be a safe
operation associated with a low complication rate and good long-term results
comparable to those obtained by the thoracotomy approach. During the development
of thoracoscopic anatomical lung cancer resections, eligibility criteria for the
operation have been gradually extended. Currently, even as complicated cases as
bronchial and vascular sleeve resections or pneumonectomies are being performed
by VATS. However, minimally invasive surgery after previous coronary artery
bypass graft surgery merits special consideration due to the consequences of
potential injury to the bypass graft. This is particularly important in the case
of VATS left upper lobectomy after left internal mammary artery grafting to the
left anterior descending artery bypass.
PMID- 27212982
TI - Subcutaneous emphysema of the neck, chest, and abdomen as a symptom of colonic
diverticular perforation into the retroperitoneum.
AB - We describe a rare case of a patient with colonic diverticular perforation
manifested only by subcutaneous emphysema of the neck, chest, and abdomen, as
visualized by a computed tomography (CT) scan. The 76-year-old female patient
with a history of internal diseases was urgently admitted to the Clinic of
Internal Diseases due to a urinary tract infection. During the hospitalization,
further diagnostic procedures were performed due to palpable subcutaneous
emphysema of the neck, chest, and abdomen. Computed tomography examination
revealed massive intra-abdominal, intramuscular, and subcutaneous emphysema. A
decision was made to perform exploratory laparotomy; the procedure exposed an
inveterate diverticular perforation of the sigmoid-rectal flexure as well as air
inflated retroperitoneal tissue. The perforated colon was resected, and a stoma
was formed. On the 15(th) postoperative day, the patient died due to
cardiorespiratory failure. Although subcutaneous emphysema is a common symptom in
everyday medical practice, its etiology remains complex. One should consider this
clinical presentation of colonic diverticular perforation, especially in elderly
patients in whom the perforation signs may be clinically less marked.
PMID- 27212984
TI - Benign metastasizing leiomyomas in the lungs: a case study.
AB - Benign metastasizing leiomyoma (BML) is a rare disease that occurs in middle-aged
women with a history of uterine myomas. The most common location of BML is the
lungs. We report the case of a 44-year-old obese woman (BMI 45.5) who underwent
surgery to remove uterine leiomyomata and then continued to take a drug
containing the hormone estradiol for a period of 15 years. Computed tomography
chest examinations revealed multiple size nodules of varying size in both lungs.
Videothoracoscopy and right thoracotomy was performed, and a few nodules were
enucleated from each lobe of the right lung. Postoperative histopathological
examination revealed benign metastasizing leiomyoma staining positive for
estrogen and progesterone receptors (ER+, PR+). Because of the hormonally
dependent cell proliferation, the previously used hormonal drug was discontinued.
Treatment with a gonadotropin-releasing hormone analog was included, yielding
radiological stabilization of the lung lesions.
PMID- 27212983
TI - Intercostal hemangioma of the chest wall.
AB - The authors describe a case of a 36-year-old patient who had six months' pain of
the thoracic spine and left chest. A soft slowly growing resistance was present
on the dorso-lateral side of the left chest wall, in the range of the seventh to
ninth rib. According to the medical history, the patient did not have any prior
trauma and malignancy. A well-defined tumor of the left chest wall with
calcifications, which grew to the seventh and eighth intercostal space, was
present on computed tomography (CT) and magnetic resonance (MR) scans. The
patient underwent resection of the tumor with the chest wall and reconstruction
with polypropylene mesh. Histologically, it was a venous hemangioma, one of very
rare tumors of the chest wall.
PMID- 27212985
TI - Right atrial thrombus associated with subclavian catheter developed due to total
parenteral nutrition application.
AB - Central venous catheterization as a frequent routine clinical procedure may have
significant complications. Mechanical complications may occur during catheter
placement, whereas thromboembolic and infectious complications can be seen during
follow-up. Total parenteral nutrition (TPN) associated central venous
catheterizations may result in early mechanical complications and thrombotic and
infectious complications in the long term. This paper describes a patient
diagnosed as mitochondrial neurogastrointestinal encephalomyopathy requiring long
term central venous catheterization for TPN implementation, who had an infected
thrombus on the catheter tip resected by cardiac surgery.
PMID- 27212986
TI - Mountain climbing of the grown-up patient with non-corrected congenital heart
defect.
AB - Congenital heart defects (CHD) are the cause of reduced physical performance. The
presence of congenital abnormalities in the heart of grown-up patients
contributes to excessive hypo-kinesia. We present endurance parameters and a
personalized comprehensive cardiac rehabilitation program before an extreme
mountain climbing of a 27-year-old patient with an uncorrected ventricular septal
defect (VSD). A 26-year-old female patient with an uncorrected congenital VSD was
admitted to the department of cardiac rehabilitation before the planned high
mountain expedition. Professional preparation and assessment of actual exercise
capacity was performed before scheduled extreme climbing. We conclude that
physical activity associated with a heavy load in people with uncorrected CHD who
have not developed pulmonary hypertension and reverse right-to-left flow seems to
be safe, while participation of grown-up patients with congenital heart disease
(GUCH) in extreme mountain climbing requires special preparation, individually
designed endurance training and education program, conducted by the team of
professionals in specialist centers.
PMID- 27212987
TI - Evaluation of the effects of rehabilitation after surgery using the Ravitch and
Nuss methods: a case study.
AB - Rehabilitation may be helpful in the prevention of complications associated with
the treatment of patients with pectus excavatum who are subjected to surgery
using the Ravitch and Nuss methods. This paper presents the case of a patient who
underwent successful physical rehabilitation after 8 weeks from the surgery using
the combined method. As part of the Nuss procedure, two plates were implanted to
form a scaffolding for the patient's chest, which had previously been corrected
with the Ravitch method. The plates were to be removed after 24 months of
treatment. After the procedure, in spite of the favorable cosmetic effect of the
repair, there was a significant decrease in the spirometric values and physical
fitness of the patient. He underwent an individual physiotherapy program, which
lasted four weeks. The streamlining of the respiratory system has significantly
improved the spirometric values and raised the overall performance of the
patient's organism.
PMID- 27212988
TI - Tools for assessing quality of life in cardiology and cardiac surgery.
AB - The holistic concept of health, popularization of knowledge, as well as social
and economic factors have contributed to the growing interest in research
concerning quality of life in cardiovascular diseases. The value of direct
measurements of the patient's well-being and the extent of their functioning in
everyday life (i.e., health-related quality of life; HRQoL) has gained
appreciation. Questionnaires are the most popular method of measuring quality of
life. On the basis of the literature, we can conclude that the Short-Form Health
Survey (SF-36) questionnaire is one of the most widely used tools measuring the
quality of life of patients undergoing cardiological treatment and cardiac
surgery.
PMID- 27212989
TI - ICU Pad Project: application of modern computer technology in pediatric
postoperative cardiac intensive care. Pilot study.
PMID- 27212990
TI - Changes in the types of liver diseases requiring hepatic resection: a single
institution experience of 9016 cases over a 10-year period.
AB - BACKGROUNDS/AIMS: To understand the changing demands for hepatic resection (HR),
we collected data regarding HR performed in a tertiary centre over a period of 10
years. METHODS: We carried out extensive search of institutional databases to
identify HR cases performed between January 2005 and December 2014. A study
cohort of 9,016 patients were divided into 5 disease categories, namely
hepatocellular carcinoma (HCC), intrahepatic cholangiocarcinoma (ICC),
intrahepatic duct stone disease (IHDS), colorectal cancer liver metastasis
(CRLM), and uncommon/rare diseases (URD). RESULTS: There were 5,661 (62.8%) HCC
cases, followed by 1441 (16.0%) CRLM, 942 (10.5%) ICC, 638 (7.1%) IHDS and 334
(3.7%) URD. The number of annual HR cases gradually increased from 443 in 2005 to
1,260 in 2015. Annual HCC cases also gradually increased, but the annual
proportion of HCC cases fluctuated narrowly between 58.3% and 70.2%. Annual CRLM
cases increased rapidly, and their proportion increased progressively from 4.7%
to 20.5%. Annual ICC cases increased slowly, and their annual proportion
fluctuated between 7.2% and 15.6%. Annual IHDS cases decreased slowly, and their
annual proportion decreased progressively from 17.2% to 3.4%, while annual URD
cases fluctuated, with annual proportions varying between 2.3% and 5.6%.
CONCLUSIONS: Annual cases of HR increased over the last 10 years in a tertiary
center probably due to a center-specific centralization effect. The number of
CRLM cases increased rapidly; those of HCC and ICC increased gradually, and those
of IHDS declined gradually. We believe that these results reflect real changes in
the types of liver disease requiring HR.
PMID- 27212992
TI - Conjoined unification venoplasty for triple portal vein branches of right liver
graft: a case report and technical refinement.
AB - Anomalous portal vein (PV) branching of the donor liver is uncommon and usually
makes two, or rarely, more separate PV branches at the right liver graft.
Autologous PV Y-graft interposition has long been regarded as the standard
procedure, but is currently replaced with the newly developed technique of
conjoined unification venoplasty (CUV) due to its superior results. Herein, we
presented a case of CUV application to three PV openings of a right liver graft.
The recipient was a 32-year-old male patient with hepatitis B virus-associated
liver cirrhosis. The living liver donor was his 33-year-old sister who had a type
III PV anomaly, but the right posterior PV branch was bifurcated early into
separate branches of the segments VI and VII, thus three right liver PV branches
were cut separately. We used the CUV technique consisting of placement of a small
vein unification patch between three PV orifices, followed by overlying coverage
with a crotch-opened autologous portal Y-graft. The portal Y-graft was excised
and its crotches were incised to make a wide common orifice. Three bidirectional
running sutures were required to attach the crotch-opened autologous portal Y
graft. After portal reperfusion, the conjoined PV portion bulged like a tennis
ball, providing a wide range of alignment tolerance. The patient recovered
uneventfully from the liver transplantation operation. The CUV technique enabled
uneventful reconstruction of triple donor PV orifices. Thus, CUV can be a useful
and effective technical option for reconstruction of right liver grafts with
various anomalous PVs.
PMID- 27212991
TI - Safe laparoscopic clearance of the common bile duct in emergently admitted
patients with choledocholithiasis and cholangitis.
AB - BACKGROUNDS/AIMS: Laparoscopic treatment of patients with choledocholithiasis and
cholangitis is challenging due to mandatory recovery of the biliary drainage and
clearance of the common bile duct (CBD). The aim of our study was to assess
postoperative course of cholangitis and biliary sepsis after laparoscopic
clearance of the CBD in emergently admitted patients with choledocholithiasis and
cholangitis. METHODS: Emergently admitted patients who underwent laparoscopic
clearance of the CBD were included prospectively and stratified in 2 groups i.e.,
cholangitis positive (CH+) or negative (CH-) group. Patient demographics,
comorbidities, preoperative imaging data, inflammatory response, surgical
intervention, complication rate and outcomes were compared between groups.
RESULTS: Ninety-nine of a total 320 patients underwent laparoscopic clearance of
the CBD, of which, 60 belonged to the acute cholangitis group (CH+) and 39 to the
cholangitis negative group (CH-). Interventions were done on average 4 days after
admission, operation duration was 95-105 min, and the conversion rate was 3-7%
without differences in the groups. Preoperative inflammatory response was
markedly higher in the CH+ group. Inflammation signs on intraoperative
choledochoscopy were more evident in patients with cholangitis. Postoperative
inflammatory response did not differ between the groups. The overall complication
rate was 8.3% and 5.1%, respectively. Laparoscopic clearance of the CBD resulted
in 1 lethal case (CH+ group), resulting in 1% mortality rate and a similar 12
month readmission rate. CONCLUSIONS: Single-stage laparoscopic intraoperative US
and choledochoscopy-assisted clearance of the CBD is feasible in emergently
admitted patients with choledocholithiasis and cholangitis.
PMID- 27212993
TI - Cluster hepaticojejunostomy with radial spreading anchoring traction technique
for secure reconstruction of widely opened hilar bile ducts.
AB - Secure reconstruction of multiple hepatic ducts that are severely damaged by
tumor invasion or iatrogenic injury is a challenge. Failure of percutaneous or
endoscopic biliary stenting requires lifelong placement of one or more
percutaneous transhepatic biliary drainage (PTBD) tubes. For such difficult
situations, we devised a surgical technique termed cluster hepaticojejunostomy
(HJ), which can be coupled with palliative bile duct resection. The cluster HJ
technique consisted of applying multiple internal biliary stents and a single
wide porto-enterostomy to the surrounding connective tissues. The technique is
described in detail in the present case report. Performing cluster HJ benefits
from three technical tips as follows: making the multiple bile duct openings wide
and parallel after sequential side-to-side unification; radially anchoring and
traction of the suture materials at the anterior anastomotic suture line; and
making multiple segmented continuous sutures at the posterior anastomotic suture
line. Thus, cluster HJ with radial spreading anchoring traction technique is a
useful surgical method for secure reconstruction of severely damaged hilar bile
ducts.
PMID- 27212994
TI - Case report of solitary giant hepatic lymphangioma.
AB - A hepatic lymphangioma is a rare benign neoplasm that is usually associated with
systemic lymphangiomatosis. A solitary hepatic lymphangioma is extremely rare.
Therefore, we present a rare case of a female patient who underwent right
hepatectomy for solitary giant hepatic lymphangioma. A 42-year-old female
presented to the emergency department with complaint of severe abdominal pain of
the right upper quadrant. Abdominal computed tomography showed an approximately
23*30-cm sized, giant, relatively well-defined, homogenous cystic mass with few
septa in the right liver (segments VII and VIII). The preoperative diagnosis was
a giant hepatic cystadenoma or cystadenocarcinoma. We performed right
hepatectomy. The permanent histopathological report revealed cystic lymphangioma
of the liver. Although the prognosis of solitary hepatic lymphangioma after
surgical resection is favorable, recurrence has been reported in literature.
PMID- 27212995
TI - Associating liver partition and portal vein ligation for staged hepatectomy
(ALPPS) procedure for hepatocellular carcinoma with chronic liver disease: a case
report and review of literature.
AB - The incidence of complications after liver resection is closely related to
functional future liver remnant (FLR). The standard approach to augment FLR is
surgical or radiological occlusion of the artery or portal vein on the tumor
side. Associated liver partition and portal vein ligation for staged hepatectomy
(ALLPS) has been introduced as an alternative method to augment FLR. It offers
rapid and effective hypertrophy for resecting liver metastases. However, data
regarding its application in patients with hepatocellular carcinoma (HCC) with a
background of chronic liver disease are limited. Here we describe the use of
ALPPS procedure to manage a large solitary HCC with a background of chronic liver
disease. The rising incidence of HCC has increased the number of surgical
resections in patients with advanced stage liver disease not considered for liver
transplantation. We reviewed reported experience of ALPPS in established chronic
liver disease and current therapeutic modalities for HCC on a background of
chronic liver disease in patients with potential liver insufficiency where tumor
burden is beyond liver transplant criteria.
PMID- 27212996
TI - Management of portal hypertension derived from uncommon causes.
AB - Portal hypertension can arise from any condition interfering with normal blood
flow at any level within the portal system. Herein, we presented two uncommon
cases of the portal hypertension and its treatment with brief literature review.
A 71-year-old man who underwent right hemihepatectomy revealed a tumor recurrence
adjacent to the inferior vena cava (IVC). After radiofrequency ablation (RFA)
with lymph node dissection, he was referred for abdominal distension. The abdomen
computed tomography scan showed severe ascites with a narrowing middle hepatic
vein (MHV) and IVC around the RFA site. After insertion of two stents at the IVC
and MHV, the ascites disappeared. Another 73-year-old man underwent right
trisectionectomy of liver and segmental resection of the portal vein (PV). After
operation, he underwent conservative management due to continuous abdominal
ascites. The abdomen computed tomography scan showed severe ascites with
obliteration of the left PV. After insertion of stent, the ascites disappeared. A
decrease of the pressure gradient between the PV and IVC is one of the important
treatment strategies for portal hypertension. Vascular stent is useful in the
reduction of pressure gradient and thus, can be a treatment option for portal
hypertension.
PMID- 27212997
TI - Ciliated foregut cyst of the gallbladder: a case report and literature review.
AB - Ciliated foregut cyst of gallbladder is a very rare benign cystic lesion. A 39
year-old woman was referred to our hospital after abdominal ultrasonography
revealed a cystic lesion of gallbladder. On abdominal ultrasonography and
computed tomography, a unilocular cystic lesion was found at right upper quadrant
with attachment to the gallbladder neck. The gallbladder with cystic lesion was
resected through laparoscopic cholecystectomy. The cystic lesion revealed a
unilocular cyst with ciliated cuboidal or columnar epithelium and abundant goblet
cells. Pathologic examination is essential to distinguish from other cystic
lesions of the gallbladder and avoid unnecessary additional treatment. In the
current case report, we presented the clinico-pathologic findings of the ciliated
foregut cyst of the gallbladder and review of literature.
PMID- 27212998
TI - Nasogastric tube placement into the hepaticojejunostomy anastomosis in
pancreaticoduodenectomy: a simple surgical technique for prevention of bile leak.
AB - Hepaticojejunostomy is an important part of many surgical procedures including
pancreaticoduodenectomy. Biliary leakage from hepaticojejunostomy may be
associated with intraabdominal abscess formation, biliary peritonitis, and even
mortality. A 72-year-old female patient was admitted to our hospital with
obstructive jaundice. After initial evaluation, she was diagnosed with distal
common bile duct obstruction without accurate diagnosis. Before planned
pancreaticoduodenectomy, biliary drainage with a T-tube was performed due to the
presence of cholangitis. After the first operation, pancreaticoduodenectomy was
performed. Postinflammatory changes around the hilar region made the
hepaticojejunostomy risky. A bilio-digestive anastomosis was performed using a
new technique. A nasogastric tube was placed into the common bile duct proximal
to the anastomosis. The postoperative course of the patient was uneventful. The
use of a nasogastric tube as a stent in risky hepaticojejunostomies is a simple
technique that can be beneficial.
PMID- 27212999
TI - Incidental detection of pancreatic hemangioma mimicking a metastatic tumor of
renal cell carcinoma.
AB - Adult pancreatic hemangioma is a rare disease. We presented a case of a woman
with pancreatic tail mass mimicking a distant metastasis from the kidney. A 68
year-old woman was found with a left kidney mass on medical checkup. Computed
tomography scan showed a 4.3 cm-sized mass in the left kidney, suggesting renal
cell carcinoma (RCC), and a strongly enhancing tiny nodule in the pancreatic
tail. We could not rule the possibility of RCC metastasis, hence, surgical
resection of the pancreatic mass simultaneously with radical nephrectomy for RCC
was conducted. Gross pathologic examination revealed hemangioma.
Immunohistochemistry revealed that the tumor was positive for CD34, CD31 and
factor VIII-related antigen. There were no significant postoperative events, and
the patient was discharged on postoperative day 7 without any complications.
Treatment strategies for pancreatic hemangioma have not been established. To our
knowledge, this was the first case report of asymptomatic pancreatic hemangioma.
In previous literature, treatment differed on a case-by-case basis, ranging from
observation to surgical resection. The most important factor in deciding whether
to perform surgery is possibly risk-benefit effectiveness; however, tumor
location, patient symptoms, and other factors are also important.
PMID- 27213000
TI - Flavonoids Extraction from Propolis Attenuates Pathological Cardiac Hypertrophy
through PI3K/AKT Signaling Pathway.
AB - Propolis, a traditional medicine, has been widely used for a thousand years as an
anti-inflammatory and antioxidant drug. The flavonoid fraction is the main active
component of propolis, which possesses a wide range of biological activities,
including activities related to heart disease. However, the role of the
flavonoids extraction from propolis (FP) in heart disease remains unknown. This
study shows that FP could attenuate ISO-induced pathological cardiac hypertrophy
(PCH) and heart failure in mice. The effect of the two fetal cardiac genes,
atrial natriuretic factor (ANF) and beta-myosin heavy chain (beta-MHC), on PCH
was reversed by FP. Echocardiography analysis revealed cardiac ventricular
dilation and contractile dysfunction in ISO-treated mice. This finding is
consistent with the increased heart weight and cardiac ANF protein levels,
massive replacement fibrosis, and myocardial apoptosis. However, pretreatment of
mice with FP could attenuate cardiac dysfunction and hypertrophy in vivo.
Furthermore, the cardiac protection of FP was suppressed by the pan-PI3K
inhibitor wortmannin. FP is a novel cardioprotective agent that can attenuate
adverse cardiac dysfunction, hypertrophy, and associated disorder, such as
fibrosis. The effects may be closely correlated with PI3K/AKT signaling. FP may
be clinically used to inhibit PCH progression and heart failure.
PMID- 27213001
TI - Ligustrazine for the Treatment of Unstable Angina: A Meta-Analysis of 16
Randomized Controlled Trials.
AB - Ligustrazine is a principal ingredient of chuanxiong. Concerns regarding the
evaluation of the effectiveness of ligustrazine in the treatment of UA have
resulted in a meta-analysis combined with recent clinical evidence. Seven
computer databases that included the China hospital knowledge database (CHKD),
Wanfang Med Online, the Chinese medical journal database (CMJD), PubMed,
Cochrane, Embase (Ovid), and Medline (Ovid) were systematically searched. We
included randomized controlled trials and quasi-randomized controlled trials. Our
systematic review identified 16 RCTs that met our eligibility criteria.
Ligustrazine combined with conventional medicine was associated with an increased
rate of marked improvement in symptoms and an increased rate of marked
improvement of ECG compared with conventional Western medicine alone.
Additionally, the use of ligustrazine was associated with significant trends in
the reduction of the consumption of nitroglycerin and the level of fibrinogen
when compared with conventional Western medicine alone. No firm results were
found between the intervention and the control method groups in the reduction of
the time of onset or the frequency of acute attack angina due to the high level
of heterogeneity. In conclusion, our meta-analysis found that ligustrazine was
associated with some benefits for people with unstable angina.
PMID- 27213002
TI - Nutrigerontology: a key for achieving successful ageing and longevity.
AB - During the last two centuries the average lifespan has increased at a rate of
approximately 3 months/year in both sexes, hence oldest old people are becoming
the population with the fastest growth in Western World. Although the average
life expectancy is increasing dramatically, the healthy lifespan is not going at
the same pace. This underscores the importance of studies on the prevention of
age-related diseases, in order to satisfactorily decrease the medical, economic
and social problems associated to advancing age, related to an increased number
of individuals not autonomous and affected by invalidating pathologies. In
particular, data from experimental studies in model organisms have consistently
shown that nutrient signalling pathways are involved in longevity, affecting the
prevalence of age-related loss of function, including age-related diseases.
Accordingly, nutrigerontology is defined as the scientific discipline that
studies the impact of nutrients, foods, macronutrient ratios, and diets on
lifespan, ageing process, and age-related diseases. To discuss the potential
relevance of this new science in the attainment of successful ageing and
longevity, three original studies performed in Sicily with local foods and two
reviews have been assembled in this series. Data clearly demonstrate the positive
effects of nutraceuticals, functional foods and Mediterranean Diet on several
biological parameters. In fact, they could represent a prevention for many age
related diseases, and, although not a solution for this social plague, at least a
remedy to alleviate it. Thus, the possibility to create a dietary pattern, based
on the combined strategy of the use of both nutraceuticals and functional foods
should permit to create a new therapeutic strategy, based not only on a specific
bioactive molecule or on a specific food but on a integrated approach that,
starting from the local dietary habits, can be led to a "nutrafunctional diet"
applicable worldwide.
PMID- 27213003
TI - Interactions with the MC4R rs17782313 variant, mental stress and energy intake
and the risk of obesity in Genome Epidemiology Study.
AB - BACKGROUND: The melanocortin-4 receptor (MC4R) regulates metabolism by modulating
eating behavior and MC4R variants (rs17782313 and rs571312) are associated with
obesity in Asians and Caucasians. However, the impact of their interactions with
nutritional and lifestyle factors on obesity are poorly described. Therefore, we
investigated the interaction of MC4R variants and dietary patterns on the risk of
obesity in Korean middle-aged adults. METHODS: Data collected included, genetic
variations, anthropometric and biochemical measurements, dietary and lifestyle
habits, and food intake. Data were obtained from the 8830 adults aged 40-69 years
in the Ansung and Ansan cohort of the Korean Genome Epidemiology Study. RESULTS:
The MC4R rs18882313 minor allele had a higher frequency in the obese group (P <
0.01). MC4R genotypes were not associated with differences in daily energy and
macronutrient intakes. However, the intakes of processed foods and fat (as
percentages of energy) were significantly higher and intake of fruits were
significantly lower in subjects with MC4R minor alleles (P < 0.05).
Interestingly, there was a positive interaction between MC4R variants and mental
stress levels that were associated with the risk of obesity after adjusting for
age, gender, residence area, daily energy intake, smoking status and physical
activity (interaction P = 0.0384). Only in subjects with high stress were MC4R
minor alleles associated with higher BMIs after adjusting for confounders. The
association was present without modulating energy and nutrient intake. In the
group with energy intakes higher than estimated energy requirement (EER),
subjects with MC4R minor alleles had higher BMIs than those with the major
alleles (P < 0.001). CONCLUSIONS: The interactions of mental stress and energy
intakes with the MC4R minor allele genotype might be associated with increased
risk of obesity in Korean adults. This research might identify subjects with a
specific MC4R minor alleles as a human subset of people with a low metabolic
tolerance for excessive energy intake, especially when under stress.
PMID- 27213004
TI - Ferromagnetic and antiferromagnetic order in bacterial vortex lattices.
AB - Despite their inherent non-equilibrium nature1, living systems can self-organize
in highly ordered collective states2,3 that share striking similarities with the
thermodynamic equilibrium phases4,5 of conventional condensed matter and fluid
systems. Examples range from the liquid-crystal-like arrangements of bacterial
colonies6,7, microbial suspensions8,9 and tissues10 to the coherent macro-scale
dynamics in schools of fish11 and flocks of birds12. Yet, the generic
mathematical principles that govern the emergence of structure in such
artificial13 and biological6-9,14 systems are elusive. It is not clear when, or
even whether, well-established theoretical concepts describing universal
thermostatistics of equilibrium systems can capture and classify ordered states
of living matter. Here, we connect these two previously disparate regimes:
Through microfluidic experiments and mathematical modelling, we demonstrate that
lattices of hydrodynamically coupled bacterial vortices can spontaneously
organize into distinct phases of ferro- and antiferromagnetic order. The
preferred phase can be controlled by tuning the vortex coupling through changes
of the inter-cavity gap widths. The emergence of opposing order regimes is
tightly linked to the existence of geometry-induced edge currents15,16,
reminiscent of those in quantum systems17-19. Our experimental observations can
be rationalized in terms of a generic lattice field theory, suggesting that
bacterial spin networks belong to the same universality class as a wide range of
equilibrium systems.
PMID- 27213005
TI - Health behaviour, health status and occupational prospects of apprentice nurses
and kindergarten teachers in Germany: a cross-sectional study.
AB - BACKGROUND: Apprentices in human service professions are exposed to emotional and
physical stresses in their workplaces. Moreover, they are in the vulnerable phase
of becoming an adult. Their lifestyle and health therefore seem to be
particularly unstable. This study aims to evaluate and compare the health
behaviour, health status and occupational prospects of apprentices in nursing and
early childhood education and to identify factors associated with their physical
and mental health. METHODS: A cross-sectional study based on self-administered
questionnaires was carried out at eight vocational schools in Hamburg, Germany.
Four hundred two apprentice geriatric nurses, hospital nurses and kindergarten
teachers/assistants participated (response rate: 99 %). Apprentices were compared
in terms of their physical activity, dietary patterns, cigarette and alcohol
consumption, body mass index, self-rated health, previous diseases, job
satisfaction and occupational prospects. Factors associated with the
participants' musculoskeletal or mental disorders were identified using logistic
regression. RESULTS: Around 33 % of apprentice geriatric nurses and kindergarten
teachers/assistants were overweight or obese. Fifty-five percent of geriatric
nurses were smokers. Job satisfaction was lowest among hospital nurses. More than
one third of the apprentices suffered from musculoskeletal or mental disorders.
The ages of 23-26 years and mental disorder were associated with musculoskeletal
disorders (OR 3.1, 95 % CI 1.4-6.7; OR 1.8, 95 % CI 1.1-3.1). Being an apprentice
in early childhood education was associated with an increased chance of mental
disorder (OR 2.9, 95 % CI 1.4-6.0). Additionally, musculoskeletal disorders, self
efficacy and irritation were associated with mental disorder. CONCLUSIONS:
Differences between the occupational groups indicate the need for specific work
related health promotion for apprentices at an early stage in their careers.
Future projects should focus on the implementation and evaluation of these
measures.
PMID- 27213006
TI - A gene expression microarray for Nicotiana benthamiana based on de novo
transcriptome sequence assembly.
AB - BACKGROUND: Nicotiana benthamiana has been widely used in laboratories around the
world for studying plant-pathogen interactions and posttranscriptional gene
expression silencing. Yet the exploration of its transcriptome has lagged behind
due to the lack of both adequate sequence information and genome-wide analysis
tools, such as DNA microarrays. Despite the increasing use of high-throughput
sequencing technologies, the DNA microarrays still remain a popular gene
expression tool, because they are cheaper and less demanding regarding
bioinformatics skills and computational effort. RESULTS: We designed a gene
expression microarray with 103,747 60-mer probes, based on two recently published
versions of N. benthamiana transcriptome (v.3 and v.5). Both versions were
reconstructed from RNA-Seq data of non-strand-specific pooled-tissue libraries,
so we defined the sense strand of the contigs prior to designing the probe. To
accomplish this, we combined a homology search against Arabidopsis thaliana
proteins and hybridization to a test 244k microarray containing pairs of probes,
which represented individual contigs. We identified the sense strand in 106,684
transcriptome contigs and used this information to design an Nb-105k microarray
on an Agilent eArray platform. Following hybridization of RNA samples from N.
benthamiana roots and leaves we demonstrated that the new microarray had high
specificity and sensitivity for detection of differentially expressed
transcripts. We also showed that the data generated with the Nb-105k microarray
may be used to identify incorrectly assembled contigs in the v.5 transcriptome,
by detecting inconsistency in the gene expression profiles, which is indicated
using multiple microarray probes that match the same v.5 primary transcripts.
CONCLUSIONS: We provided a complete design of an oligonucleotide microarray that
may be applied to the research of N. benthamiana transcriptome. This, in turn,
will allow the N. benthamiana research community to take full advantage of
microarray capabilities for studying gene expression in this plant. Additionally,
by defining the sense orientation of over 106,000 contigs, we substantially
improved the functional information on the N. benthamiana transcriptome. The
simple hybridization-based approach for detecting the sense orientation of
computationally assembled sequences can be used for updating the transcriptomes
of other non-model organisms, including cases where no significant homology to
known proteins exists.
PMID- 27213007
TI - Solanum venturii, a suitable model system for virus-induced gene silencing
studies in potato reveals StMKK6 as an important player in plant immunity.
AB - BACKGROUND: Virus-induced gene silencing (VIGS) is an optimal tool for functional
analysis of genes in plants, as the viral vector spreads throughout the plant and
causes reduced expression of selected gene over the whole plant. Potato (Solanum
tuberosum) is one of the most important food crops, therefore studies performing
functional analysis of its genes are very important. However, the majority of
potato cultivars used in laboratory experimental setups are not well amenable to
available VIGS systems, thus other model plants from Solanaceae family are used
(usually Nicotiana benthamiana). Wild potato relatives can be a better choice for
potato model, but their potential in this field was yet not fully explored. This
manuscript presents the set-up of VIGS, based on Tobacco rattle virus (TRV) in
wild potato relatives for functional studies in potato-virus interactions.
RESULTS: Five different potato cultivars, usually used in our lab, did not
respond to silencing of phytoene desaturase (PDS) gene with TRV-based vector.
Thus screening of a large set of wild potato relatives (different Solanum species
and their clones) for their susceptibility to VIGS was performed by silencing PDS
gene. We identified several responsive species and further tested susceptibility
of these genotypes to potato virus Y (PVY) strain NTN and N. In some species we
observed that the presence of empty TRV vector restricted the movement of PVY.
Fluorescently tagged PVY(N)-GFP spread systemically in only five of tested wild
potato relatives. Based on the results, Solanum venturii (VNT366-2) was selected
as the most suitable system for functional analysis of genes involved in potato
PVY interaction. The system was tested by silencing two different plant immune
signalling-related kinases, StWIPK and StMKK6. Silencing of StMKK6 enabled faster
spreading of the virus throughout the plant, while silencing of WIPK had no
effect on spreading of the virus. CONCLUSIONS: The system employing S. venturii
(VNT366-2) and PVY(N)-GFP is a suitable method for fast and simple functional
analysis of genes involved in potato-PVY interactions. Additionally, a set of
identified VIGS responsive species of wild potato relatives could serve as a tool
for general studies of potato gene function.
PMID- 27213008
TI - Detection of Periodic Leg Movements by Machine Learning Methods Using
Polysomnographic Parameters Other Than Leg Electromyography.
AB - The number of channels used for polysomnographic recording frequently causes
difficulties for patients because of the many cables connected. Also, it
increases the risk of having troubles during recording process and increases the
storage volume. In this study, it is intended to detect periodic leg movement
(PLM) in sleep with the use of the channels except leg electromyography (EMG) by
analysing polysomnography (PSG) data with digital signal processing (DSP) and
machine learning methods. PSG records of 153 patients of different ages and
genders with PLM disorder diagnosis were examined retrospectively. A novel
software was developed for the analysis of PSG records. The software utilizes the
machine learning algorithms, statistical methods, and DSP methods. In order to
classify PLM, popular machine learning methods (multilayer perceptron, K-nearest
neighbour, and random forests) and logistic regression were used. Comparison of
classified results showed that while K-nearest neighbour classification algorithm
had higher average classification rate (91.87%) and lower average classification
error value (RMSE = 0.2850), multilayer perceptron algorithm had the lowest
average classification rate (83.29%) and the highest average classification error
value (RMSE = 0.3705). Results showed that PLM can be classified with high
accuracy (91.87%) without leg EMG record being present.
PMID- 27213009
TI - BicNET: Flexible module discovery in large-scale biological networks using
biclustering.
AB - BACKGROUND: Despite the recognized importance of module discovery in biological
networks to enhance our understanding of complex biological systems, existing
methods generally suffer from two major drawbacks. First, there is a focus on
modules where biological entities are strongly connected, leading to the
discovery of trivial/well-known modules and to the inaccurate exclusion of
biological entities with subtler yet relevant roles. Second, there is a
generalized intolerance towards different forms of noise, including uncertainty
associated with less-studied biological entities (in the context of literature
driven networks) and experimental noise (in the context of data-driven networks).
Although state-of-the-art biclustering algorithms are able to discover modules
with varying coherency and robustness to noise, their application for the
discovery of non-dense modules in biological networks has been poorly explored
and it is further challenged by efficiency bottlenecks. METHODS: This work
proposes Biclustering NETworks (BicNET), a biclustering algorithm to discover non
trivial yet coherent modules in weighted biological networks with heightened
efficiency. Three major contributions are provided. First, we motivate the
relevance of discovering network modules given by constant, symmetric, plaid and
order-preserving biclustering models. Second, we propose an algorithm to discover
these modules and to robustly handle noisy and missing interactions. Finally, we
provide new searches to tackle time and memory bottlenecks by effectively
exploring the inherent structural sparsity of network data. RESULTS: Results in
synthetic network data confirm the soundness, efficiency and superiority of
BicNET. The application of BicNET on protein interaction and gene interaction
networks from yeast, E. coli and Human reveals new modules with heightened
biological significance. CONCLUSIONS: BicNET is, to our knowledge, the first
method enabling the efficient unsupervised analysis of large-scale network data
for the discovery of coherent modules with parameterizable homogeneity.
PMID- 27213010
TI - Towards sub-quadratic time and space complexity solutions for the dated tree
reconciliation problem.
AB - BACKGROUND: Recent coevolutionary analysis has considered tree topology as a
means to reduce the asymptotic complexity associated with inferring the complex
coevolutionary interrelationships that arise between phylogenetic trees. Targeted
algorithmic design for specific tree topologies has to date been highly
successful, with one recent formulation providing a logarithmic space complexity
reduction for the dated tree reconciliation problem. METHODS: In this work we
build on this prior analysis providing a further asymptotic space reduction, by
providing a new formulation for the dynamic programming table used by a number of
popular coevolutionary analysis techniques. This model gives rise to a sub
quadratic running time solution for the dated tree reconciliation problem for
selected tree topologies, and is shown to be, in practice, the fastest method for
solving the dated tree reconciliation problem for expected evolutionary trees.
This result is achieved through the analysis of not only the topology of the
trees considered for coevolutionary analysis, but also the underlying structure
of the dynamic programming algorithms that are traditionally applied to such
analysis. CONCLUSION: The newly inferred theoretical complexity bounds introduced
herein are then validated using a combination of synthetic and biological data
sets, where the proposed model is shown to provide an [Formula: see text] space
saving, while it is observed to run in half the time compared to the fastest
known algorithm for solving the dated tree reconciliation problem. What is even
more significant is that the algorithm derived herein is able to guarantee the
optimality of its inferred solution, something that algorithms of comparable
speed have to date been unable to achieve.
PMID- 27213011
TI - Resuscitative endovascular balloon occlusion of the aorta for uncontrollable
nonvariceal upper gastrointestinal bleeding.
AB - BACKGROUND: Although resuscitative endovascular balloon occlusion of the aorta
(REBOA) in various clinical settings was found to successfully elevate central
blood pressure in hemorrhagic shock, this intervention is associated with high
mortality and may represent a last-ditch option for trauma patients. We conducted
a retrospective study of patients with nonvariceal upper gastrointestinal
bleeding (UGIB) who underwent REBOA to identify the effectiveness of REBOA and
reviewed published literatures. METHODS: REBOA were performed by trained acute
care physicians in the emergency room and intensive care unit. The deployment of
balloon catheters was positioned using ultrasonography guidance. Collected data
included clinical characteristics, hemorrhagic severity, blood cultures,
metabolic values, blood transfusions, REBOA-related complications and mortality.
A literature search using PUBMED to include "aortic occlusion" and
"gastrointestinal bleeding" was conducted. RESULTS: REBOA was attempted in eight
patients among 140 patients with UGIB and median age was 66 years. Systolic blood
pressure significantly increased after REBOA (66 +/- 20 vs. 117 +/- 45 mmHg, p <
0.01) and the total occlusion time of REBOA was 80 +/- 48 min. Strong positive
correlations were found between total occlusion time of REBOA and lactate
concentration (Spearman's r=0.77), clinical Rockwall score (Spearman's r=0.80),
and age (Spearman's r=0.88), respectively. CONCLUSION: REBOA can be performed
with a high degree of technical success and is effective at improving hemodynamic
in patients with UGIB. Correlations between total occlusion time and high lactate
levels, clinical Rockall score, and age may be important for successful use of
REBOA.
PMID- 27213012
TI - Prevalence and related factors of common mental disorders during pregnancy in
Japan: a cross-sectional study.
AB - BACKGROUND: Common mental disorders (CMD) during pregnancy can have a clearly
harmful influence on both mothers and children. Some studies have reported
related factors for mental disorders, such as region-specific background. This
study examined the prevalence of CMD and its related factors in mid-pregnancy in
Japan. METHODS: Pregnant women between 12 and 24 weeks gestation and aged >=20
years were consecutively recruited at a maternity hospital in Japan between May
2014 and September 2014. CMD were diagnosed using the Mini-International
Neuropsychiatric Interview (MINI), self-rated depressive symptoms were assessed
using the Edinburgh Postnatal Depression Scale, and interpersonal traumatic
experience was measured using the Life Events Checklist. RESULTS: Among 297
eligible pregnant women, 177 participated in the study. Two participants (1.1 %)
met the criteria for major depressive disorder. The most frequent diagnosis was
agoraphobia (n = 7; 3.9 %). Eleven participants (6.2 %) met the criteria for one
or more diagnoses, with 2 participants having two mental disorders and 3 having
three mental disorders. Six participants developed CMD after gestation. Logistic
regression analysis revealed history of psychiatric disorder, past interpersonal
traumatic experience, and feeling pressure to have a child were associated with
CMD. CONCLUSION: These findings indicate a lower prevalence of CMD in mid
pregnancy in Japan than reported in most other countries. Besides the related
factors reported previously, feeling pressure to have a child might increase risk
for CMD among pregnant women in Japan. Asian cultural background might be related
to the lower CMD prevalence and risk factors identified in this study.
PMID- 27213013
TI - Natural genetic variability reduces recalcitrance in poplar.
AB - BACKGROUND: Lignin content and structure are known to affect recalcitrance of
lignocellulosic biomass to chemical/biochemical conversion. Previously, we
identified rare Populus trichocarpa natural variants with significantly reduced
lignin content. Because reduced lignin content may lower recalcitrance, 18 rare
variants along with 4 comparators, and BESC standard Populus was analyzed for
composition of structural carbohydrates and lignin. Sugar yields from these
plants were measured at 5 process conditions: one for just enzymatic hydrolysis
without pretreatment and four via our combined high-throughput hot water
pretreatment and co-hydrolysis (HTPH) technique. RESULTS: Mean of glucan + xylan
yields and the best glucan + xylan yield from rare natural poplar variants were
34 and 50 relative percent higher than the high lignin comparator (BESC-316) at
the highest severity HTPH condition, respectively. The ability of HTPH to
solubilize a large portion of xylan from solids led to small differences in xylan
yields among poplar variants. However, HTPH showed large differences in glucan
yields, and hence glucan + xylan yields, among the poplar variants. The high
lignin comparator did not display lowest glucan + xylan yields with HTPH at
moderate pretreatment severity compared to rare variants, but on the other hand,
the low lignin comparator was a consistent top performer at all 5 process
conditions. Furthermore, the low lignin comparator (GW-11012) showed a 15
absolute percent increase in glucan + xylan yield compared to the high lignin
comparator at the most severe HTPH condition. Overall, relative variant rankings
varied greatly with pretreatment severity, but poplar deconstruction was
significantly enhanced when the pretreatment temperature was increased from 140
and 160 to 180 degrees C at the same pretreatment severity factor. CONCLUSIONS:
Glucan yields from high severity HTPH of rare natural poplar variants with
reduced lignin content were significantly higher than from the high lignin
comparator. Because of the significant effect of processing conditions on the
performance rankings, selection of the best performing biofuel feedstocks should
be based on sugar yields tested at conditions that represent industrial practice.
From a feedstock perspective, the most consistent variants, SKWE-24-2 and GW
11012, provide key insights into the genetic improvement of versatile
lignocellulosic biofuels feedstock varieties.
PMID- 27213014
TI - Exploring fatty alcohol-producing capability of Yarrowia lipolytica.
AB - BACKGROUND: Fatty alcohols are important oleochemicals widely used in detergents,
surfactants and personal care products. Bio-synthesized fatty alcohol provides a
promising alternative to traditional fatty alcohol industry. Harnessing
oleaginous microorganisms for fatty alcohol production may offer a new strategy
to achieve a commercially viable yield that currently still seems to be a remote
target. RESULTS: In this study, we introduced functional fatty acyl-CoA reductase
(FAR), TaFAR1 to direct the conversion from fatty acyl-CoA to fatty alcohol in
Yarrowia lipolytica (Y. lipolytica), an oleaginous non-conventional yeast showing
great lipid-producing capability. Tri-module optimizations including eliminating
fatty alcohol degradation pathway, enhancing TaFAR1 expression, and increasing
fatty acyl-CoA supply were furtherly conducted, resulting in 63-fold increase in
intracellular fatty alcohol-producing capability compared to the starting strain.
Thus, this work demonstrated successful construction of first generation of Y.
lipolytica fatty alcohol-producing cell factory. Through the study of effect of
environmental nutrition on fatty alcohol production, up to 636.89 mg/L
intracellular hexadecanol (high fatty alcohol-retaining capability) and 53.32
mg/L extracellular hexadecanol were produced by this cell factory through batch
fermentation, which was comparable to the highest production of Saccharomyces
cerevisiae under the similar condition. CONCLUSION: This work preliminarily
explored fatty alcohol-producing capability through mobilization of FAR and fatty
acid metabolism, maximizing the intracellular fatty alcohol-producing capability,
suggesting that Y. lipolytica cell factory potentially offers a promising
platform for fatty alcohol production.
PMID- 27213015
TI - Salt-responsive lytic polysaccharide monooxygenases from the mangrove fungus
Pestalotiopsis sp. NCi6.
AB - BACKGROUND: Lytic polysaccharide monooxygenases (LPMOs) belong to the "auxiliary
activities (AA)" enzyme class of the CAZy database. They are known to strongly
improve the saccharification process and boost soluble sugar yields from
lignocellulosic biomass, which is a key step in the efficient production of
sustainable economic biofuels. To date, most LPMOs have been characterized from
terrestrial fungi, but novel fungal LPMOs isolated from more extreme environments
such as an estuary mangrove ecosystem could offer enzymes with unique properties
in terms of salt tolerance and higher stability under harsh condition. RESULTS:
Two LPMOs secreted by the mangrove-associated fungus Pestalotiopsis sp. NCi6
(PsLPMOA and PsLPMOB) were expressed in the yeast Pichia pastoris and produced in
a bioreactor with >85 mg L(-1) for PsLPMOA and >260 mg L(-1) for PsLPMOB.
Structure-guided homology modeling of the PsLPMOs showed a high abundance of
negative surface charges, enabling enhanced protein stability and activity in the
presence of sea salt. Both PsLPMOs were activated by a cellobiose dehydrogenase
(CDH) from Neurospora crassa, with an apparent optimum of interaction at pH 5.5.
Investigation into their regioselective mode of action revealed that PsLPMOA
released C1- and C4-oxidized cello-oligosaccharide products, while PsLPMOB
released only C4-oxidized products. PsLPMOA was found to cleave polymeric
cellulose in the presence of up to 6 % sea salt, which emphasizes the use of sea
water in the industrial saccharification process with improved ecological
footprints. CONCLUSIONS: Two new LPMOs from the mangrove fungus Pestalotiopsis
sp. NCi6 were found to be fully reactive against cellulose. The combined
hydrolytic activities of these salt-responsive LPMOs could therefore facilitate
the saccharification process using sea water as a reaction medium for large-scale
biorefineries.
PMID- 27213016
TI - The risk of intra-urethral Foley catheter balloon inflation in spinal cord
injured patients: Lessons learned from a retrospective case series.
AB - BACKGROUND: Inflating the balloon of Foley catheter in urethra is a complication
of urethral catheterisation. We report five patients in whom this complication
occurred because of unskilled catheterisation. Due to lack of awareness, the
problem was not recognised promptly and patients came to harm. CASE SERIES: A
tetraplegic patient developed pain in lower abdomen and became unwell after
transurethral catheterisation. CT pelvis revealed full bladder with balloon of
Foley catheter in dilated urethra.Routine ultrasound examination in an
asymptomatic tetraplegic patient with urethral catheter drainage, revealed Foley
balloon in the urethra. He was advised to get catheterisations done by senior
health professionals.A paraplegic patient developed bleeding and bypassing after
transurethral catheterisation. X-ray revealed Foley balloon in urethra; urethral
catheter was changed ensuring its correct placement in urinary bladder.
Subsequently, balloon of Foley catheter was inflated in urethra several times by
community nurses, which resulted in erosion of bulbous urethra and urinary
fistula. Suprapubic cystostomy was performed.A tetraplegic patient developed
sweating and increased spasms following urethral catheterisations. CT of abdomen
revealed distended bladder with the balloon of Foley catheter located in urethra.
Flexible cystoscopy and transurethral catheterisation over a guide-wire were
performed. Patient noticed decrease in sweating and spasms.A paraplegic patient
developed lower abdominal pain and nausea following catheterisation. CT abdomen
revealed bilateral hydronephrosis and hydroureter and Foley balloon located in
urethra. Urehral catheterisation was performed over a guide-wire after
cystoscopy. Subsequently suprapubic cystostomy was done. CONCLUSION: Spinal cord
injury patients are at increased risk for intra-urethral Foley catheter balloon
inflation because of lack of sensation in urethra, urethral sphincter spasm, and
false passage due to previous urethral trauma. Education and training of doctors
and nurses in proper technique of catheterisation in spinal cord injury patients
is vital to prevent intra-urethral inflation of Foley catheter balloon. If a
spinal cord injury patient develops bypassing or symptoms of autonomic
dysreflexia following catheterisation, incorrect placement of urethral catheter
should be suspected.
PMID- 27213018
TI - Erratum to: Impact of similarity threshold on the topology of molecular
similarity networks and clustering outcomes.
AB - [This corrects the article DOI: 10.1186/s13321-016-0127-5.].
PMID- 27213017
TI - SePIA: RNA and small RNA sequence processing, integration, and analysis.
AB - BACKGROUND: Large-scale sequencing experiments are complex and require a wide
spectrum of computational tools to extract and interpret relevant biological
information. This is especially true in projects where individual processing and
integrated analysis of both small RNA and complementary RNA data is needed. Such
studies would benefit from a computational workflow that is easy to implement and
standardizes the processing and analysis of both sequenced data types. RESULTS:
We developed SePIA (Sequence Processing, Integration, and Analysis), a
comprehensive small RNA and RNA workflow. It provides ready execution for over 20
commonly known RNA-seq tools on top of an established workflow engine and
provides dynamic pipeline architecture to manage, individually analyze, and
integrate both small RNA and RNA data. Implementation with Docker makes SePIA
portable and easy to run. We demonstrate the workflow's extensive utility with
two case studies involving three breast cancer datasets. SePIA is straightforward
to configure and organizes results into a perusable HTML report. Furthermore, the
underlying pipeline engine supports computational resource management for optimal
performance. CONCLUSION: SePIA is an open-source workflow introducing
standardized processing and analysis of RNA and small RNA data. SePIA's modular
design enables robust customization to a given experiment while maintaining
overall workflow structure. It is available at http://anduril.org/sepia.
PMID- 27213020
TI - AIR POLLUTION INFLUENCES ON EXHALED NITRIC OXIDE AMONG PEOPLE WITH TYPE II
DIABETES.
AB - OBJECTIVE: In a population with type 2 diabetes mellitus (T2DM), we examined
associations of short-term air pollutant exposures with pulmonary inflammation,
measured as fraction of exhaled pulmonary nitric oxide (FeNO). METHODS: Sixty
nine Boston Metropolitan residents with T2DM completed up to 5 bi-weekly visits
with 321 offline FeNO measurements. We measured ambient concentrations of
particle mass, number and components at our stationary central site. Ambient
concentrations of gaseous air pollutants were obtained from state monitors. We
used linear models with fixed effects for participants, adjusting for 24-hour
mean temperature, 24-hour mean water vapor pressure, season, and scrubbed room NO
the day of the visit, to estimate associations between FeNO and interquartile
range increases in exposure. RESULTS: Interquartile increases in the 6-hour
averages of black carbon (BC) (0.5 MUg/m3) and particle number (PN) (1,000
particles/cm3) were associated with increases in FeNO of 3.84% (95% CI 0.60% to
7.18%) and 9.86 % (95% CI 3.59% to 16.52%), respectively. We also found
significant associations of increases in FeNO with increases in 24-hour moving
averages of BC, PN and nitrogen oxides (NOx). CONCLUSION: Recent studies have
focused on FeNO as a marker for eosinophilic pulmonary inflammation in asthmatic
populations. This study adds support to the relevance of FeNO as a marker for
pulmonary inflammation in diabetic populations, whose underlying chronic
inflammatory status is likely to be related to innate immunity and
proinflammatory adipokines.
PMID- 27213021
TI - A comparison of fruit characteristics among diverse elderberry genotypes grown in
Missouri and Oregon.
AB - BACKGROUND: Elderberry (Sambucus spp.) fruit are used for food and dietary
supplements in Europe and North America, and contain large amounts of cyanidin
based anthocyanins and other phenolics that may benefit human health. OBJECTIVES:
Information on the effect of both genotype and production environment on
elderberry juice characteristics is needed in order to optimize production of
quality food and dietary supplements. METHODS: The characteristics of elderberry
fruits relative to genetic and production environment were evaluated from 12
American elderberry genotypes at three U.S. sites (two in Missouri and one in
Oregon) over three growing seasons. Additional genotypes of American and European
elderberry were studied at the Oregon site. RESULTS: Location, genotype, and
growing season influenced pH, soluble solids, titratable acidity, total
phenolics, and total anthocyanins. Elderberries grown in Oregon were consistently
higher in acidity than those grown in Missouri. Differences in acidity and
anthocyanin with environment were dependent on genotype. Non-acylated
anthocyanins and flavonol-glycosides were more influenced by location than by
genotype. CONCLUSION: 'Bob Gordon' and 'Adams 2' genotypes, which are good
producers in diverse environments, were significantly higher in total phenolic
and total anthocyanin contents in all locations, and may be good selections for
producing juices, wines, or health products.
PMID- 27213019
TI - MeCP2 and the enigmatic organization of brain chromatin. Implications for
depression and cocaine addiction.
AB - Methyl CpG binding protein 2 (MeCP2) is a highly abundant chromosomal protein
within the brain. It is hence not surprising that perturbations in its genome
wide distribution, and at particular loci within this tissue, can result in
widespread neurological disorders that transcend the early implications of this
protein in Rett syndrome (RTT). Yet, the details of its role and involvement in
chromatin organization are still poorly understood. This paper focuses on what is
known to date about all of this with special emphasis on the relation to
different epigenetic modifications (DNA methylation, histone
acetylation/ubiquitination, MeCP2 phosphorylation and miRNA). We showcase all of
the above in two particular important neurological functional alterations in the
brain: depression (major depressive disorder [MDD]) and cocaine addiction, both
of which affect the MeCP2 homeostasis and result in significant changes in the
overall levels of these epigenetic marks.
PMID- 27213023
TI - VARIABLE SELECTION AND PREDICTION WITH INCOMPLETE HIGH-DIMENSIONAL DATA.
AB - We propose a Multiple Imputation Random Lasso (mirl) method to select important
variables and to predict the outcome for an epidemiological study of Eating and
Activity in Teens. In this study 80% of individuals have at least one variable
missing. Therefore, using variable selection methods developed for complete data
after listwise deletion substantially reduces prediction power. Recent work on
prediction models in the presence of incomplete data cannot adequately account
for large numbers of variables with arbitrary missing patterns. We propose MIRL
to combine penalized regression techniques with multiple imputation and stability
selection. Extensive simulation studies are conducted to compare MIRL with
several alternatives. MIRL outperforms other methods in high-dimensional
scenarios in terms of both reduced prediction error and improved variable
selection performance, and it has greater advantage when the correlation among
variables is high and missing proportion is high. MIRL is shown to have improved
performance when comparing with other applicable methods when applied to the
study of Eating and Activity in Teens for the boys and girls separately, and to a
subgroup of low social economic status (ses) Asian boys who are at high risk of
developing obesity.
PMID- 27213022
TI - Optical tracking of nanoscale particles in microscale environments.
AB - The trajectories of nanoscale particles through microscale environments record
useful information about both the particles and the environments. Optical
microscopes provide efficient access to this information through measurements of
light in the far field from nanoparticles. Such measurements necessarily involve
trade-offs in tracking capabilities. This article presents a measurement
framework, based on information theory, that facilitates a more systematic
understanding of such trade-offs to rationally design tracking systems for
diverse applications. This framework includes the degrees of freedom of optical
microscopes, which determine the limitations of tracking measurements in theory.
In the laboratory, tracking systems are assemblies of sources and sensors, optics
and stages, and nanoparticle emitters. The combined characteristics of such
systems determine the limitations of tracking measurements in practice. This
article reviews this tracking hardware with a focus on the essential functions of
nanoparticles as optical emitters and microenvironmental probes. Within these
theoretical and practical limitations, experimentalists have implemented a
variety of tracking systems with different capabilities. This article reviews a
selection of apparatuses and techniques for tracking multiple and single
particles by tuning illumination and detection, and by using feedback and
confinement to improve the measurements. Prior information is also useful in many
tracking systems and measurements, which apply across a broad spectrum of science
and technology. In the context of the framework and review of apparatuses and
techniques, this article reviews a selection of applications, with particle
diffusion serving as a prelude to tracking measurements in biological, fluid, and
material systems, fabrication and assembly processes, and engineered devices. In
so doing, this review identifies trends and gaps in particle tracking that might
influence future research.
PMID- 27213024
TI - Metal Adatoms and Clusters on Ultrathin Zirconia Films.
AB - Nucleation and growth of transition metals on zirconia has been studied by
scanning tunneling microscopy (STM) and density functional theory (DFT)
calculations. Since STM requires electrical conductivity, ultrathin ZrO2 films
grown by oxidation of Pt3Zr(0001) and Pd3Zr(0001) were used as model systems. DFT
studies were performed for single metal adatoms on supported ZrO2 films as well
as the (111) surface of monoclinic ZrO2. STM shows decreasing cluster size,
indicative of increasing metal-oxide interaction, in the sequence Ag < Pd ~ Au <
Ni ~ Fe. Ag and Pd nucleate mostly at steps and domain boundaries of
ZrO2/Pt3Zr(0001) and form three-dimensional clusters. Deposition of low coverages
of Ni and Fe at room temperature leads to a high density of few-atom clusters on
the oxide terraces. Weak bonding of Ag to the oxide is demonstrated by removing
Ag clusters with the STM tip. DFT calculations for single adatoms show that the
metal-oxide interaction strength increases in the sequence Ag < Au < Pd < Ni on
monoclinic ZrO2, and Ag ~ Au < Pd < Ni on the supported ultrathin ZrO2 film. With
the exception of Au, metal nucleation and growth on ultrathin zirconia films
follow the usual rules: More reactive (more electropositive) metals result in a
higher cluster density and wet the surface more strongly than more noble metals.
These bind mainly to the oxygen anions of the oxide. Au is an exception because
it can bind strongly to the Zr cations. Au diffusion may be impeded by changing
its charge state between -1 and +1. We discuss differences between the supported
ultrathin zirconia films and the surfaces of bulk ZrO2, such as the possibility
of charge transfer to the substrate of the films. Due to their large in-plane
lattice constant and the variety of adsorption sites, ZrO2{111} surfaces are more
reactive than many other oxygen-terminated oxide surfaces.
PMID- 27213025
TI - Facial and Scalp Swelling in the Pediatric Population With Hemophilia: A
Diagnosis Pitfall.
PMID- 27213026
TI - Revisiting the Fasciocutaneous Perforator Cross-Leg Flap.
PMID- 27213027
TI - Assessing Built Environment Walkability using Activity-Space Summary Measures.
AB - There is increasing emphasis on active transportation, such as walking, in
transportation planning as a sustainable form of mobility and in public health as
a means of achieving recommended physical activity and better health outcomes. A
research focus is the influence of the built environment on walking, with the
ultimate goal of identifying environmental modifications that invite more
walking. However, assessments of the built environment for walkability are
typically at a spatially disaggregate level (such as street blocks) or at a
spatially aggregate level (such as census block groups). A key issue is
determining the spatial units for walkability measures so that they reflect
potential walking behavior. This paper develops methods for assessing walkability
within individual activity spaces: the geographic region accessible to an
individual during a given walking trip. We first estimate street network-based
activity spaces using the shortest path between known trip starting/ending points
and a travel time budget that reflects potential alternative paths. Based on
objective walkability measures of the street blocks, we use three summary
measures for walkability within activity spaces: i) the average walkability score
across block segments (representing the general level of walkability in the
activity space); ii) the standard deviation (representing the walkability
variation), and; iii) the network autocorrelation (representing the spatial
coherence of the walkability pattern). We assess the method using data from an
empirical study of built environment walkability and walking behavior in Salt
Lake City, Utah, USA. We visualize and map these activity space summary measures
to compare walkability among individuals' trips within their neighborhoods. We
also compare summary measures for activity spaces versus census block groups,
with the result that they agree less than half of the time.
PMID- 27213028
TI - The sensitivity of hearing-impaired adults to acoustic attributes in simulated
rooms.
AB - In previous studies we have shown that older hearing-impaired individuals are
relatively insensitive to changes in the apparent width of broadband noises when
those width changes were based on differences in interaural coherence [W.
Whitmer, B. Seeber and M. Akeroyd, J. Acoust. Soc. Am. 132, 369-379 (2012)]. This
insensitivity has been linked to senescent difficulties in resolving binaural
fine-structure differences. It is therefore possible that interaural coherence,
despite its widespread use, may not be the best acoustic surrogate of spatial
perception for the aged and impaired. To test this, we simulated the room impulse
responses for various acoustic scenarios with differing coherence and lateral
(energy) fraction attributes using room modelling software (ODEON). Bilaterally
impaired adult participants were asked to sketch the perceived size of speech
tokens and musical excerpts that were convolved with these impulse responses and
presented to them in a sound-dampened enclosure through a 24-loudspeaker array.
Participants' binaural acuity was also measured using an interaural phase
discrimination task. Corroborating our previous findings, the results showed less
sensitivity to interaural coherence in the auditory source width judgments of
older hearing-impaired individuals, indicating that alternate acoustic
measurements in the design of spaces for the elderly may be necessary.
PMID- 27213029
TI - Raman Spectroscopic Measurements of Dermal Carotenoids in Breast Cancer Operated
Patients Provide Evidence for the Positive Impact of a Dietary Regimen Rich in
Fruit and Vegetables on Body Oxidative Stress and BC Prognostic Anthropometric
Parameters: A Five-Year Study.
AB - Dermal carotenoids are a feasible marker of the body antioxidative network and
may reveal a moderate to severe imbalance of the redox status, thereby providing
indication of individual oxidative stress. In this work noninvasive Resonance
Raman Spectroscopy (RRS) measurements of skin carotenoids (skin carotenoid score
(SCS)) were used to provide indications of individual oxidative stress, each year
for five years, in 71 breast cancer (BC) patients at high risk of recurrence.
Patients' SCS has been correlated with parameters relevant to BC risk, waist
circumference (WC), and body mass index (BMI), in the aim of monitoring the
effect of a dietary regimen intended to positively affect BC risk factors. The
RRS methodological approach in BC patients appeared from positive correlation
between patients' SCS and blood level of lycopene. The level of skin carotenoids
was inversely correlated with the patients' WC and BMI. At the end of the 5 y
observation BC patients exhibited a significant reduction of WC and BMI and
increase of SCS, when strictly adhering to the dietary regimen. In conclusion,
noninvasive measurements of skin carotenoids can (i) reveal an oxidative stress
condition correlated with parameters of BC risk and (ii) monitor dietary-related
variations in BC patients.
PMID- 27213030
TI - Circadian Rhythms of Oxidative Stress Markers and Melatonin Metabolite in
Patients with Xeroderma Pigmentosum Group A.
AB - Xeroderma pigmentosum group A (XPA) is a genetic disorder in DNA nucleotide
excision repair (NER) with severe neurological disorders, in which oxidative
stress and disturbed melatonin metabolism may be involved. Herein we confirmed
the diurnal variation of melatonin metabolites, oxidative stress markers, and
antioxidant power in urine of patients with XPA and age-matched controls, using
enzyme-linked immunosorbent assay (ELISA). The peak of 6-sulfatoxymelatonin, a
metabolite of melatonin, was seen at 6:00 in both the XPA patients and controls,
though the peak value is lower, specifically in the younger age group of XPA
patients. The older XPA patients demonstrated an increase in the urinary levels
of 8-hydroxy-2'-deoxyguanosine and hexanoyl-lysine, a marker of oxidative DNA
damage and lipid peroxidation, having a robust peak at 6:00 and 18:00,
respectively. In addition, the urinary level of total antioxidant power was
decreased in the older XPA patients. Recently, it is speculated that oxidative
stress and antioxidant properties may have a diurnal variation, and the circadian
rhythm is likely to influence the NER itself. We believe that the administration
of melatonin has the possibility of ameliorating the augmented oxidative stress
in neurodegeneration, especially in the older XPA patients, modulating the
melatonin metabolism and the circadian rhythm.
PMID- 27213031
TI - Infrared Spectroscopy as a Tool to Study the Antioxidant Activity of Polyphenolic
Compounds in Isolated Rat Enterocytes.
AB - The protective effect of different polyphenols, catechin (Cat), quercetin (Qc)
(flavonoids), gallic acid (GA), caffeic acid (CfA), chlorogenic acid (ChA)
(phenolic acids), and capsaicin (Cap), against H2O2-induced oxidative stress was
evaluated in rat enterocytes using Attenuated Total Reflectance-Fourier Transform
Infrared (ATR-FTIR) Spectroscopy and Fourier Transform Infrared Microspectroscopy
(FTIRM), and results were compared to standard lipid peroxidation techniques:
conjugated dienes (CD) and Thiobarbituric Acid Reactive Substances (TBARS).
Analysis of ATR-FTIR and FTIRM spectral data allowed the simultaneous evaluation
of the effects of H2O2 and polyphenols on lipid and protein oxidation. All
polyphenols showed a protective effect against H2O2-induced oxidative stress in
enterocytes, when administered before or after H2O2. Cat and capsaicin showed the
highest protective effect, while phenolic acids had weaker effects and Qc
presented a mild prooxidative effect (IR spectral profile of biomolecules between
control and H2O2-treated cells) according to FTIR analyses. These results
demonstrated the viability to use infrared spectroscopy to evaluate the oxidant
and antioxidant effect of molecules in cell systems assays.
PMID- 27213033
TI - Ontology-based collection, representation and analysis of drug-associated
neuropathy adverse events.
AB - BACKGROUND: Neuropathy often occurs following drug treatment such as
chemotherapy. Severe instances of neuropathy can result in cessation of life
saving chemotherapy treatment. RESULTS: To support data representation and
analysis of drug-associated neuropathy adverse events (AEs), we developed the
Ontology of Drug Neuropathy Adverse Events (ODNAE). ODNAE extends the Ontology of
Adverse Events (OAE). Our combinatorial approach identified 215 US FDA-licensed
small molecule drugs that induce signs and symptoms of various types of
neuropathy. ODNAE imports related drugs from the Drug Ontology (DrON) with their
chemical ingredients defined in ChEBI. ODNAE includes 139 drug mechanisms of
action from NDF-RT and 186 biological processes represented in the Gene Ontology
(GO). In total ODNAE contains 1579 terms. Our analysis of the ODNAE knowledge
base shows neuropathy-inducing drugs classified under specific molecular entity
groups, especially carbon, pnictogen, chalcogen, and heterocyclic compounds. The
carbon drug group includes 127 organic chemical drugs. Thirty nine receptor
agonist and antagonist terms were identified, including 4 pairs (31 drugs) of
agonists and antagonists that share targets (e.g., adrenergic receptor, dopamine,
serotonin, and sex hormone receptor). Many drugs regulate neurological system
processes (e.g., negative regulation of dopamine or serotonin uptake). SPARQL
scripts were used to query the ODNAE ontology knowledge base. CONCLUSIONS: ODNAE
is an effective platform for building a drug-induced neuropathy knowledge base
and for analyzing the underlying mechanisms of drug-induced neuropathy. The ODNAE
based methods used in this study can also be extended to the representation and
study of other categories of adverse events.
PMID- 27213032
TI - Systemic Transcriptional Alterations of Innate and Adaptive Immune Signaling
Pathways in Atherosclerosis, Ischemia Stroke, and Myocardial Infarction.
AB - BACKGROUND: Transcriptional profiles are available for a variety of
cardiovascular-related diseases. The goal of this study was to compare blood
transcriptional profiles of the Toll-like receptor (TLR), T-cell receptor (TCR),
and B-cell receptor (BCR) signaling pathways in asymptomatic atherosclerosis,
acute ischemic stroke, and myocardial infarction patients to identify common
mechanisms of immune regulation and their association with epigenetic regulation.
METHODS AND RESULTS: Peripheral blood gene expression profiles from human
atherosclerosis-related diseases and healthy controls were downloaded from Gene
Expression Omnibus (GEO). Genes in the TLR, TCR, and BCR pathways were retrieved
from the NCBI BioSystems database. Significance of gene enrichment and
concordance of expression changes in each pathway was compared between studies.
Gene expression was significantly correlated across the three disease conditions
(p<10-15) and the proportion of significant genes was high (30~60%, p<0.001). Hub
genes identified by weighted gene co-expression network analysis (WGCNA) in the
TCR/BCR sub-network, including CD81 and TCR-CD3zeta, were significantly down
regulated and highly correlated with DNA (cytosine-5-)-methyltransferase 1
(DNMT1). CONCLUSION: Common biologically relevant networks associated with immune
regulation in stroke, atherosclerosis, and myocardial infarction were discovered.
Given the high correlation of DNMT1 with these immune signaling pathways,
epigenetic regulation may contribute to the coordination of innate and adaptive
immune response in all CVD disease states. Down-regulation of the TCR-BCR axis in
the adaptive immune system offers critical information for the investigation of
the functional mechanisms underlying chronic inflammation-induced immune
suppression in cardiovascular disease and stroke.
PMID- 27213034
TI - Heterometallic titanium-gold complexes inhibit renal cancer cells in vitro and in
vivo.
AB - Following recent work on heterometallic titanocene-gold complexes as potential
chemotherapeutics for renal cancer, we report here on the synthesis,
characterization and stability studies of new titanocene complexes containing a
methyl group and a carboxylate ligand (mba = S-C6H4-COO-) bound to gold(I)
phosphane fragments through a thiolate group ([(eta-C5H5)2TiMe(MU-mba)Au(PR3)].
The compounds are more stable in physiological media than those previously
reported and are highly cytotoxic against human cancer renal cell lines. We
describe here preliminary mechanistic data involving studies on the interaction
of selected compounds with plasmid (pBR322) DNA used as a model nucleic acid, and
with selected protein kinases from a panel of 35 protein kinases having
oncological interest. Preliminary mechanistic studies in Caki-1 renal cells
indicate that the cytotoxic and anti-migration effects of the most active
compound 5 ([(eta-C5H5)2TiMe(MU-mba)Au(PPh3)] involve inhibition of thioredoxin
reductase and loss of expression of protein kinases that drive cell migration
(AKT, p90-RSK, and MAPKAPK3). The co-localization of both titanium and gold
metals (1:1 ratio) in Caki-1 renal cells was demonstrated for 5 indicating the
robustness of the heterometallic compound in vitro. Two compounds were selected
for further in vivo studies on mice based on their selectivity in vitro against
renal cancer cell lines when compared to non-tumorigenic human kidney cell lines
(HEK-293T and RPTC) and the favourable preliminary toxicity profile in C57BL/6
mice. Evaluation of Caki-1 xenografts in NOD.CB17-Prkdc SCID/J mice showed an
impressive tumor reduction (67%) after treatment for 28 days (3 mg/kg/every other
day) with heterometallic compound 5 as compared with the previously described
[(eta-C5H5)2Ti{OC(O)-4-C6H4-P(Ph2)AuCI}2] 3 which was non-inhibitory. These
findings indicate that structural modifications on the ligand scaffold affect the
in vivo efficacy of this class of compounds.
PMID- 27213035
TI - Nickel-Catalyzed Arylation of Heteroaryl-containing Diarylmethanes: Exceptional
Reactivity of the Ni(NIXANTPHOS)-based Catalyst.
AB - Nickel(0)-catalyzed cross-coupling of heteroaryl-containing diarylmethanes with
both aryl bromides and chlorides has been achieved. The success of this reaction
relies on the introduction of a unique nickel/NIXANTPHOS-based catalyst system,
which provides a direct route to triarylmethanes from heteroaryl-containing
diarylmethanes. Reactivity studies indicate the Ni(NIXANTPHOS)-based catalyst
exhibits enhanced reactivity over XANTPHOS derivatives and other Ni(phosphine)
based catalysts in the reactions examined.
PMID- 27213036
TI - Administration of cells with thermosensitive hydrogel enhances the functional
recovery in ischemic rat heart.
AB - The lack of cell retention clearly represents a potentially serious limitation
for therapeutic efficacy of stem cells. To enhance the efficacy, we developed a
novel hydrogel that is thermosensitive and biodegradable and possesses desirable
stiffness in a solid form. Immediately after induction of myocardial infarction
of male rat, cardiac outgrowth cells embedded in hydrogel (HG) or saline (CO)
were injected directly into the peri-infarct area. Left ventricular ejection
fraction, cell retention rate, and a spectrum of biochemical markers were
measured to evaluate the effect of the treatment. Left ventricular ejection
fraction was significantly higher in the cell-injected groups (HG and CO) than in
the control group at 1 week after treatment. This functional benefit was
continued only in the HG group, accompanied with more retained cells.
Furthermore, the expression of insulin-like growth factor-1 was significantly
higher in the HG group with less progression of cell apoptosis.
PMID- 27213037
TI - Adrenocortical carcinoma: modern management and evolving treatment strategies.
AB - Adrenocortical carcinoma (ACC) is a rare cancer with a poor prognosis. Unlike
many other cancers, there has been little improvement in patient outcome over the
past several decades. However, as scientific advancements are made and our
understanding of the molecular genetics involved in ACC improve then progress may
be achieved in this devastating disease. This review focuses on recent literature
published in the field of ACC from 2010 to 2015 with an emphasis on improving
diagnosis, staging and treatment for ACC.
PMID- 27213038
TI - Simultaneous Measurement of Fluorescence, Conversion and Physical/mechanical
Properties for Monitoring Bulk and Localized Photopolymerization Reactions in
Heterogeneous Systems.
AB - An FT-NIR spectrometer, rheometer and fluorescence spectrophotometer were coupled
for the real-time monitoring of polymerization reactions, allowing the
simultaneous tracking of polymerization kinetics, storage modulus as well as
fluorescence. In this study, a methacrylate functionalized dansyl chromophore
(DANSMA) was synthesized and two different nanogels were made from urethane
dimethacrylate and isobornyl methacrylate. Two series of resin formulations were
prepared using the DANSMA probe, ethoxylated bisphenol A dimethacrylate as the
matrix monomer, Irgacure(r) 651 as the initiator and the dispersed, monomer
swollen nanogels to give clear UV-curable resins. Placement of the fluorescent
probe either throughout the resin or linked into the nanogel before its
dispersion in the matrix provides a tool to study how the nanogel structure
affects local network development by means of fluorescence from the DANSMA probe.
We demonstrate the potential of this new technique using a composite as the two
phase system (resin and polymerizable nanogel) including a dansyl derivative as a
polymerizable probe to follow the reactions that are taking places in both
phases.
PMID- 27213039
TI - Assessing the magnitude and trends in hospital acquired infections in Canadian
hospitals through sequential point prevalence surveys.
AB - BACKGROUND: Healthcare acquired infections (HAI) are an important public health
problem in developed countries, but comprehensive data on trends over time are
lacking. Prevalence surveys have been used as a surrogate for incidence studies
and can be readily repeated. METHODS: The Canadian Nosocomial Infection
Surveillance Program conducted prevalence surveys in 2002 and 2009 in a large
network of major Canadian acute care hospitals. NHSN definitions of HAI were
used. Use of isolation precautions on the survey day was documented. RESULTS: In
2009, 9,953 acute care inpatients were surveyed; 1,234 infections (124/1000) were
found, compared to 111/1000 in 2002, (p < 0.0001). There was increased prevalence
of urinary tract infection (UTI) and Clostridium difficile, offset by decreases
in pneumonia and bloodstream infection. Use of isolation precautions increased
from 77 to 148 per 1000 patients (p < 0.0001), attributable to increased use of
contact precautions in patients infected or colonized with antimicrobial
resistant organisms. CONCLUSION: Between 2002 and 2009 HAI prevalence increased
by 11.7 % in a network of major Canadian hospitals due to increases in
Clostridium difficile and urinary tract infection. The use of isolation
precautions increased by 92.2 % attributable to increased contact isolation.
National prevalence surveys are useful tools to assess evolving trends in HAI.
PMID- 27213040
TI - Tackling wicked problems in infection prevention and control: a guideline for co
creation with stakeholders.
AB - BACKGROUND: Infection prevention and control can be seen as a wicked public
health problem as there is no consensus regarding problem definition and
solution, multiple stakeholders with different needs and values are involved, and
there is no clear end-point of the problem-solving process. Co-creation with
stakeholders has been proposed as a suitable strategy to tackle wicked problems,
yet little information and no clear step-by-step guide exist on how to do this.
The objectives of this study were to develop a guideline to assist developers in
tackling wicked problems using co-creation with stakeholders, and to apply this
guideline to practice with an example case in the field of infection prevention
and control. METHODS: A mixed-method approach consisting of the integration of
both quantitative and qualitative research was used. Relevant stakeholders from
the veterinary, human health, and public health sectors were identified using a
literature scan, expert recommendations, and snowball sampling. The stakeholder
salience approach was used to select key stakeholders based on 3 attributes:
power, legitimacy, and urgency. Key values of stakeholders (N = 20) were derived
by qualitative semi-structured interviews and quantitatively weighted and
prioritized using an online survey. RESULTS: Our method showed that stakeholder
identification and analysis are prerequisites for understanding the complex
stakeholder network that characterizes wicked problems. A total of 73
stakeholders were identified of which 36 were selected as potential key
stakeholders, and only one was seen as a definite stakeholder. In addition,
deriving key stakeholder values is a necessity to gain insights into different
problem definitions, solutions and needs stakeholders have regarding the wicked
problem. Based on the methods used, we developed a step-by-step guideline for co
creation with stakeholders when tackling wicked problems. CONCLUSIONS: The mixed
methods guideline presented here provides a systematic, transparent method to
identify, analyze, and co-create with stakeholders, and to recognize and
prioritize their values, problem definitions, and solutions in the context of
wicked problems. This guideline consists of a general framework and although it
was applied in an eHealth context, may be relevant outside of eHealth as well.
PMID- 27213041
TI - Nanoscale Semiconductor Devices as New Biomaterials.
AB - Research on nanoscale semiconductor devices will elicit a novel understanding of
biological systems. First, we discuss why it is necessary to build interfaces
between cells and semiconductor nanoelectronics. Second, we describe some recent
molecular biophysics studies with nanowire field effect transistor sensors.
Third, we present the use of nanowire transistors as electrical recording devices
that can be integrated into synthetic tissues and targeted intra- or
extracellularly to study single cells. Lastly, we discuss future directions and
challenges in further developing this area of research, which will advance
biology and medicine.
PMID- 27213042
TI - Benign tremulous Parkinsonism: a unique entity or another facet of Parkinson's
disease?
AB - Benign tremulous parkinsonism (BTP) is characterized by a prominent tremor that
occurs both at rest and with action in conjunction with other mild features of
parkinsonism. The progression of symptoms is typically slow and there is often a
positive family history. Although BTP is included within the phenotypic spectrum
of Parkinsonism its exact relationship with idiopathic Parkinson's disease
remains unclear. Treatment of BTP is challenging especially considering the poor
response to levodopa, therefore surgical therapies such as deep brain stimulation
surgery are sought for treatment of these tremors. In this review, we will
summarize the clinical features, diagnosis, neuropathology and treatment for BTP.
PMID- 27213043
TI - Establishing a clinical service for the treatment of osteoid osteoma using
magnetic resonance-guided focused ultrasound: overview and guidelines.
AB - Recent studies have demonstrated the effectiveness of magnetic resonance-guided
focused ultrasound (MRgFUS) in the treatment of osteoid osteoma (OO), a painful,
benign bone tumor. As MRgFUS is a noninvasive and radiation-free treatment, it
stands to replace the current standard of care, percutaneous radiofrequency, or
laser thermal ablation. Within an institution, creation of a clinical OO MRgFUS
treatment program would not only provide cutting edge medical treatment at the
current time but would also establish the foundation for an MRgFUS clinical
service to introduce treatments currently under development into clinical
practice in the future. The purpose of this document is to provide information to
facilitate creation of a clinical service for MRgFUS treatment of OO by providing
(1) recommendations for the multi-disciplinary management of patients and (2)
guidelines regarding current best practices for MRgFUS treatment. This paper will
discuss establishment of a multi-disciplinary clinic, patient accrual,
inclusion/exclusion criteria, diagnosis, preoperative imaging, patient
preparation, anesthesia, treatment planning, targeting and treatment execution,
complication avoidance, and patient follow-up to assure safety and effectiveness.
PMID- 27213044
TI - Acoustic neuromodulation from a basic science prospective.
AB - We present here biophysical models to gain deeper insights into how an acoustic
stimulus might influence or modulate neuronal activity. There is clear evidence
that neural activity is not only associated with electrical and chemical changes
but that an electro-mechanical coupling is also involved. Currently, there is no
theory that unifies the electrical, chemical, and mechanical aspects of neuronal
activity. Here, we discuss biophysical models and hypotheses that can explain
some of the mechanical aspects associated with neuronal activity: the soliton
model, the neuronal intramembrane cavitation excitation model, and the
flexoelectricity hypothesis. We analyze these models and discuss their
implications on stimulation and modulation of neuronal activity by ultrasound.
PMID- 27213045
TI - Preparation of optimized lipid-coated calcium phosphate nanoparticles for
enhanced in vitro gene delivery to breast cancer cells.
AB - Lipid coated calcium phosphate (LCP) nanoparticles (NPs) remain an attractive
option for siRNA systemic delivery. Previous research has shown that the
stoichiometry of reactants affects the size and morphology of nanostructured
calcium phosphate (CaP) particles. However, it is unclear how synthesis
parameters such as the Ca/P molar ratio and mixing style influence the siRNA
loading and protection by LCP NPs, and subsequent siRNA delivery efficiency. In
this research, we found that the Ca/P molar ratio is critical in controlling the
size, zeta potential, dispersion state, siRNA loading and protection. Based on
the siRNA loading efficiency and capacity as well as siRNA protection
effectiveness, we suggested an optimized LCP NPs delivery system. The optimized
LCP NPs had a hollow, spherical structure with the average particle size of ~40
nm and were able to maintain their stability in serum containing media and PBS
for over 24 h, with a pH-sensitive dissolution property. The superior ability of
optimized LCP NPs to maintain the integrity of encapsulated siRNA and the
colloidal stability in culture medium allow this formulation to achieve improved
cellular accumulation of siRNA and enhanced growth inhibition of human breast
cancer cells in vitro, compared with the commercial transfection agent
OligofectamineTM.
PMID- 27213046
TI - Correction: Secondary dispersal driven by overland flow in drylands: Review and
mechanistic model development.
PMID- 27213047
TI - Management of massive diffuse alveolar hemorrhage in a child with systemic lupus
erythematosus.
AB - Diffuse alveolar hemorrhage (DAH) from systemic lupus erythematosus (SLE) is a
rare but potentially life-threatening condition. We report the case of a 14-year
old female with SLE who developed hypoxia and shock secondary to severe alveolar
hemorrhage. She was successfully managed by placement on extracorporeal membrane
oxygenation (ECMO) followed by emergent pulmonary lobectomy and medical treatment
including high-dose methylprednisolone, cyclophosphamide, intravenous
immunoglobulin, and plasmapheresis.
PMID- 27213048
TI - Prevalence of neutropenia in children by nationality.
AB - BACKGROUND: A high prevalence of neutropenia has been reported in several ethnic
groups amongst whom many healthy individuals with low neutrophil counts undergo
unnecessary investigations. This study aims to ascertain the prevalence of
neutropenia (NP) in a large cohort of children from North African, Middle
Eastern, and Asian countries residing in the United Arab Emirates. METHODS:
Neutrophil counts of 26,542 children (one day to six years of age) from 86
countries were analyzed. The subjects were enrolled in the Well-Child-Care
program of Ambulatory Health Services of Emirate of Abu Dhabi, United Arab
Emirates. NP was defined as a neutrophil count <1.5 * 10(9)/L and severe NP <0.5
* 10(9)/L. RESULTS: The neutrophil counts reached a nadir in the fourth week of
life and changed slightly from the age of six-months to six-years. The frequency
of NP was (from West-to-East): North African Arabs 15.4 %, Green Crescent Arabs
9.8 %, Peninsular Arabs 10.9 %, Iranians 3.1 %, Afghanis 2.5 %, Pakistanis 5.6 %,
Indians 10.2 %, and Filipinos 7.3 %. The frequency of severe NP in North African
Arabs (Sudanese) was 2.8 %, Green Crescent and Peninsular Arabs <=1 %, Indians
1.5 %, and Filipinos 1.8 %. In 12,703 Emirati children, the frequency of NP was
10.6 % similar to their adult counterparts. CONCLUSION: The prevalence of
childhood NP varied considerably by geoethnicity. Measures to prevent the
inappropriate investigations of healthy children with benign neutropenia are
proposed.
PMID- 27213049
TI - Genome-based characterization of hospital-adapted Enterococcus faecalis lineages.
AB - Vancomycin-resistant Enterococcus faecalis (VREfs) is an important nosocomial
pathogen1,2. We undertook whole genome sequencing of E. faecalis associated with
bloodstream infection in the UK and Ireland over more than a decade to determine
the population structure and genetic associations with hospital adaptation. Three
lineages predominated in the population, two of which (L1 and L2) were nationally
distributed, and one (L3) geographically restricted. Genome comparison with a
global collection identified that L1 and L3 were also present in the USA, but
were genetically distinct. Over 90% of VREfs belonged to L1-L3, with resistance
acquired and lost multiple times in L1 and L2, but only once followed by clonal
expansion in L3. Putative virulence and antibiotic resistance genes were over
represented in L1, L2 and L3 isolates combined, versus the remainder. Each of the
three main lineages contained a mixture of vancomycin-resistant and -susceptible
E. faecalis (VSEfs), which has important implications for infection control and
antibiotic stewardship.
PMID- 27213051
TI - Usefulness of Discarded Vitreous Samples from Routine Vitrectomy.
AB - Purpose. To describe the histopathological features of vitreous samples obtained
after vitrectomy surgery from diabetic and nondiabetic patients. Methods.
Vitreous specimens from 137 patients who underwent vitrectomy for different
clinical conditions were analysed. All samples were centrifuged and each
resulting pellet was fixed and processed as part of routine paraffin section
histopathology. The histopathological features were categorized in a
semiquantitative fashion. The samples from diabetic and nondiabetic patients were
compared. Results. The 125 included patients (58 diabetic, 60% males) were aged
64.2 +/- 13.9 years. The presence of hemorrhage, inflammatory cells, and
histiocytes was significantly higher in the diabetic group (P < 0.001, P = 0.028,
and P = 0.016, resp.), showing more vessels (P < 0.001) and ghost vessels (P =
0.049). The presence of inflammatory cells was the feature with the highest
sensitivity for detecting diabetes mellitus (98%) and also the highest negative
predictive value (89%). In the multivariate analysis, three variables emerged as
independent significant predictors of diabetes in vitrectomy samples: hemorrhage,
endothelial-lined vessels, and age (P < 0.001, P < 0.001, and P = 0.019, resp.).
Conclusions. Different histopathological features can be found in vitreous
samples from diabetic patients. Analysis of vitrectomy samples may serve as a
tool for diabetes management.
PMID- 27213050
TI - 1,3-Propanediol binds inside the water-conducting pore of aquaporin 4: Does this
efficacious inhibitor have sufficient potency?
AB - Among the thirteen types of water channel proteins, aquaporins (AQPs), which play
various essential roles in human physiology, AQP4 is richly expressed in cells of
the central nervous system and implicated in pathological conditions such as
brain edema. Therefore, researchers have been looking for ways to inhibit AQP4's
water-conducting function. Many small molecules have been investigated for their
interactions with the residues that form the AQP4 channel entry vestibule on the
extracellular side and their interruption of waters entering into the conducting
pore. Conducting all-atom simulations on the basis of CHARMM 36 force field, we
study one such inhibitor, 5-acetamido-1,3,4-thiadiazole-2-sulfonamide (AZM), to
achieve quantitative agreement between the computed and the experimentally
measured values of AZM-AQP4 binding affinity. Using the same method, we examine
the possibility of plugging up the AQP4 channel around the Asn-Pro-Ala motifs
located near the channel center because a small molecule bound there would
totally occlude water conduction through AQP4. We compute the binding affinities
of 1,2-ethanediol (EDO) and 1,3-propanediol (PDO) inside the AQP4 conducting pore
and identify the specificities of the interactions. The EDO-AQP4 interaction is
weak with a dissociation constant of 80 mM. The PDO-AQP4 interaction is rather
strong with a dissociation constant of 328 MUM, which indicates that PDO is an
efficacious AQP4 inhibitor with sufficiently high potency. Considering the fact
that PDO is classified by the US Food and Drug Administration as generally safe,
we predict that 1,3-propanediol could be an effective drug for brain edema and
other AQP4-correlated neurological conditions.
PMID- 27213052
TI - Spectrum and Sensitivity of Bacterial Keratitis Isolates in Auckland.
AB - Background. The bacteria isolated from severe cases of keratitis and their
antibiotic sensitivity are recognised to vary geographically and over time.
Objectives. To identify the most commonly isolated bacteria in keratitis cases
admitted over a 24-month period to a public hospital in Auckland, New Zealand,
and to investigate in vitro sensitivity to antibiotics. Methods. Hospital
admissions for culture-proven bacterial keratitis between January 2013 and
December 2014 were identified. Laboratory records of 89 culture positive cases
were retrospectively reviewed and antibiotic sensitivity patterns compared with
previous studies from other NZ centres. Results. From 126 positive cultures, 35
species were identified. Staphylococcus was identified to be the most common
isolate (38.2%), followed by Pseudomonas (21.3%). Over the last decade, infection
due to Pseudomonas species, in the same setting, has increased (p <= 0.05).
Aminoglycosides, cefazolin, ceftazidime, erythromycin, tetracycline, and
doxycycline were 100% effective against tested isolates in vitro. Amoxicillin
(41.6%), cefuroxime (33.3%), and chloramphenicol (94.7%) showed reduced efficacy
against Gram-negative bacteria, whereas penicillin (51%) and ciprofloxacin
(98.8%) showed reduced efficacy against Gram-positive bacteria. Conclusions.
Despite a shift in the spectrum of bacterial keratitis isolates, antibiotic
sensitivity patterns have generally remained stable and show comparability to
results within the last decade from NZ centres.
PMID- 27213053
TI - Dry Eye Syndrome in Patients with Diabetes Mellitus: Prevalence, Etiology, and
Clinical Characteristics.
AB - There has been substantial progress in our understanding of the ocular surface
system/lacrimal function unit in the past 15 years. Keratoconjunctivitis sicca,
more commonly referred to as dry eye syndrome (DES), is the most frequently
encountered condition and diabetes mellitus (DM) has been identified as one of
the leading causes of DES. Poor glycemic control affects both the anterior and
the posterior segments of the eye and increasing prevalence of diabetes
associated DES (DMDES) has been reported in recent years. The pathogenesis and
specific features of DMDES remain uncertain and interventions are limited to
those used in DES. This review outlines the pathogenesis, clinical
manifestations, and the current preventive and treatment strategies for diabetes
related DES.
PMID- 27213054
TI - Trends of Prevalence of Uncontrolled Risk Factors for Cerebrocardiovascular
Disease: Southern Italy from 1988/9 to 2008/9.
AB - The aim of this study was to determine the trends of cardiovascular risk factor
prevalence between 1988/9 and 2008/9 in the 25-74-year-old population in an area
of Southern Italy. We compared three cross-sectional studies conducted in random
population samples, in 1988/9, 1998/9, and 2008/9 in Salerno, Italy. The
methodology of data collection (lipid profile, systolic and diastolic blood
pressure, glycaemia, and smoking) and conducting tests which the population
underwent during the three phases was standardized and comparable. Prevalence of
diabetes, hypertension, hypercholesterolemia, and smoking was calculated and
standardized for age. A total of 3491 subjects were included. From 1988/9 to
2008/9, in males, the prevalence of all four risk factors was reduced. In women,
there was a clear reduction of hypertension, a similar prevalence of
hypercholesterolemia, and an increase of smoking and diabetes. In the area of
Salerno, our data confirm that the global prevalence of the major risk factors is
decreasing in men, but their absolute values are still far from optimization. In
women, diabetes and smoking showed a negative trend, therefore requiring targeted
interventions. These data are now used as a base for executive targeted programs
to improve prevention of cardiovascular disease in our community.
PMID- 27213055
TI - Curcumin Mediated Attenuation of Carbofuran Induced Oxidative Stress in Rat
Brain.
AB - The indiscriminate use of carbofuran to improve crop productivity causes adverse
effects in nontargets including mammalian systems. The objective of this study
was to evaluate carbofuran induced oxidative stress in rat brain stem and its
attenuation by curcumin, a herbal product. Out of 6 groups of rats, 2 groups
received two different doses of carbofuran, that is, 15 and 30% of LD50,
respectively, for 30 days. Out of these, 2 groups receiving same doses of
carbofuran were pretreated with curcumin (100 mg/kg body weight). The levels of
antioxidants, TBARS, GSH, SOD, catalase, and GST were determined in rat brain
stem. The 2 remaining groups served as placebo and curcumin treated,
respectively. The data suggested that carbofuran at different doses caused
significant alterations in the levels of TBARS and GSH in dose dependent manner.
The TBARS and GSH contents were elevated. The activities of SOD, catalase, and
GST were significantly inhibited at both doses of carbofuran. The ratio of P/A
was also found to be sharply increased. The pretreatment of curcumin exhibited
significant protection from carbofuran induced toxicity. The results suggested
that carbofuran at sublethal doses was able to induce oxidative stress in rat
brain which could be attenuated by curcumin.
PMID- 27213056
TI - Paraoxonase-1 and Simvastatin Treatment in Patients with Stable Coronary Artery
Disease.
AB - Background. Paraoxonase-1 (PON1) is the crucial antioxidant marker of high
density lipoproteins. The present study is aimed at assessing the effect of
simvastatin treatment on PON1 activity and its relationship to Q192R and M55L
polymorphisms in subjects with stable coronary artery disease (CAD). Methods. The
patient group was composed of 53 individuals with stable CAD, and the control
group included 53 sex-matched police officers without CAD. CAD patients were
treated with simvastatin 40mg/day for 12 months. Respectively, flow mediated
dilatation (FMD), serum hs-CRP and TNF-alpha levels, urinary 8-iso-PGF2alpha
concentrations, and PON1 activity were evaluated in definitive intervals.
Results. There was no effect of simvastatin treatment on urinary 8-iso-PGF2alpha
. Simvastatin treatment significantly increased FMD value, decreased CRP and TNF
alpha concentration. After adjusting for PON1 genotypes, significantly higher
PON1 activity was noted in the 192R allele carriers, in both groups. Regardless
of genotype, PON1 activity remained stable after simvastatin treatment.
Conclusions. The present study confirms a positive effect of simvastatin therapy
on endothelial function and inflammatory markers in secondary prevention.
Simvastatin treatment shows no effects on PON1 activity and 8-isoprostanes level.
The effect of simvastatin therapy on PON1 activity is not modulated by Q192R and
M55L polymorphisms.
PMID- 27213057
TI - Differential DNA Methylation of MicroRNA Genes in Temporal Cortex from
Alzheimer's Disease Individuals.
AB - This study investigated for the first time the genomewide DNA methylation changes
of noncoding RNA genes in the temporal cortex samples from individuals with
Alzheimer's disease (AD). The methylome of 10 AD individuals and 10 age-matched
controls were obtained using Illumina 450 K methylation array. A total of 2,095
among the 15,258 interrogated noncoding RNA CpG sites presented differential
methylation, 161 of which were associated with miRNA genes. In particular, 10
miRNA CpG sites that were found to be hypermethylated in AD compared to control
brains represent transcripts that have been previously associated with the
disease. This miRNA set is predicted to target 33 coding genes from the
neuregulin receptor complex (ErbB) signaling pathway, which is required for the
neurons myelination process. For 6 of these miRNA genes (MIR9-1, MIR9-3, MIR181C,
MIR124-1, MIR146B, and MIR451), the hypermethylation pattern is in agreement with
previous results from literature that shows downregulation of miR-9, miR-181c,
miR-124, miR-146b, and miR-451 in the AD brain. Our data implicate dysregulation
of miRNA methylation as contributor to the pathogenesis of AD.
PMID- 27213059
TI - The Artery of Percheron Infarction after Coronary Angiography.
AB - Coronary angiography is the golden choice for coronary artery disease evaluation
and management. However, as with any invasive procedures, there is a risk of
complications. We are reporting a case of 69-year-old male with past medical
history of cardiac bypass surgery, CHF, hypertension, and hyperlipidemia who was
admitted to the hospital to evaluate his chest pain. He had treadmill stress test
that showed ischemic induced exercise. Patient underwent coronary angiography
that showed proximal complete occlusion of the RCA with a patent graft. At the
end of the procedure, the patient did not wake up and remained minimally
responsive. An urgent brain MRI was ordered and showed infarctions consistent
with an artery of Percheron infarction. Later, patient has improved slowly and
was discharged home. We briefly here discuss this rare complication including the
risk factor, clinical presentation, and the management.
PMID- 27213058
TI - Gypenoside Attenuates beta Amyloid-Induced Inflammation in N9 Microglial Cells
via SOCS1 Signaling.
AB - Reducing beta amyloid- (Abeta-) induced microglial activation is believed to be
effective in treating Alzheimer's disease (AD). Microglia can be activated into
classic activated state (M1 state) or alternative activated state (M2 state), and
the former is harmful; in contrast, the latter is beneficial. Gypenoside (GP) is
the major bioactive constituent of Gynostemma pentaphyllum, a traditional Chinese
herb medicine. In this study, we hypothesized that GP attenuates Abeta-induced
microglial activation by ameliorating microglial M1/M2 states, and the process
may be mediated by suppressor of cell signaling protein 1 (SOCS1). In this study,
we found that Abeta exposure increased the levels of microglial M1 markers,
including iNOS expression, tumor necrosis factor alpha (TNF-alpha), interleukin
1beta (IL-1beta), and IL-6 releases, and coadministration of GP reversed the
increase of M1 markers and enhanced the levels of M2 markers, including arginase
1 (Arg-1) expression, IL-10, brain-derived neurotrophic factor (BDNF), and glial
cell-derived neurotrophic factor (GDNF) releases in the Abeta-treated microglial
cells. SOCS1-siRNA, however, significantly abolished the GP-induced effects on
the levels of microglial M1 and M2 markers. These findings indicated that GP
attenuates Abeta-induced microglial activation by ameliorating M1/M2 states, and
the process may be mediated by SOCS1.
PMID- 27213060
TI - A Rare Case of an Artery Passing through the Median Perforating Canal of the
Mandible.
AB - Along with the popularization of dental implant surgery, there has been
considerable research on the lingual foramen using cone-beam computed tomography.
Anatomical research has also revealed that the arteries entering the lingual
foramina are branches of the submental and sublingual arteries. There have been
no reports, however, of the submental or sublingual artery entering the mandible
from the lingual foramen, perforating it, and then distributing to the inferior
labial region. A 69-year-old man who donated his body to our department in 2015
was dissected. The mandible with overlying soft tissue of the mental region was
resected and examined with microcomputed tomography, which showed that the canal
perforated from the lingual foramen to the midline of the labial cortical plate.
The canal was thus named the median perforating canal. To the best of our
knowledge, there have been no other reports of a perforating artery of the
mandible, so this case is thought to be rare. Hence, the existence of perforating
arteries, such as in the present case, should be taken into consideration in
preoperative diagnoses such as for dental implant surgery. Thus, the fusion of
anatomical and radiological study is useful and necessary to understand surgical
anatomy.
PMID- 27213061
TI - Panhypopituitarism due to Absence of the Pituitary Stalk: A Rare Aetiology of
Liver Cirrhosis.
AB - Studies have established a relationship between hypothalamic-pituitary
dysfunction and the onset of liver damage, which may occasionally progress to
cirrhosis. Patients with hypopituitarism can develop a metabolic syndrome-like
phenotype. Insulin resistance is the main pathophysiological axis of metabolic
syndrome and is the causal factor in the development of nonalcoholic fatty liver
disease (NAFLD). We present the case of a young patient with liver cirrhosis of
unknown aetiology that was finally attributed to panhypopituitarism.
PMID- 27213062
TI - Epstein-Barr Virus Encephalitis in an Immunocompetent Child: A Case Report and
Management of Epstein-Barr Virus Encephalitis.
AB - Epstein-Barr virus (EBV) usually causes mild, asymptomatic, and self-limited
infections in children and adults; however, it may occasionally lead to severe
conditions such as neurological diseases, malignant diseases, hepatic failure,
and myocarditis. Epstein-Barr virus-related neurological disorders include
meningitis, encephalitis, and cranial or peripheral neuritis, which are mostly
seen in immunocompromised patients. The therapeutic modalities for EBV-related
severe organ damage including central nervous system manifestations are still
uncertain. Herein, we describe a seven-year-old boy with EBV encephalitis who
presented with prolonged fever, exudative pharyngitis, reduced consciousness, and
neck stiffness. Cranial magnetic resonance imaging showed contrast enhancement in
the bilateral insular cortex and the right hypothalamus. The diagnosis was made
by EBV-DNA amplification in both the blood and cerebrospinal fluid samples. He
was discharged with acyclovir therapy without any sequelae.
PMID- 27213063
TI - Conservative Resectoscopic Surgery, Successful Delivery, and 60 Months of Follow
Up in a Patient with Endometrial Stromal Tumor with Sex-Cord-Like
Differentiation.
AB - Uterine tumors with sex-cord-like differentiation are extremely rare types of
uterine stromal neoplasm. These tumors were classified into two groups with
considerable practical relevance because clinical behaviour of uterine tumor
resembling ovarian sex cord tumor (UTROSCT) differs widely from its closely
related endometrial stromal tumors with sex-cord-like elements (ESTSCLE).
Treatment and prognosis of these tumors are unresolved issues because of the
exiguous number of reported cases. We describe a rare case of endometrial stromal
tumor with sex-cord-like differentiation successfully treated by resectoscopic
surgery and conservation of the uterus, in an infertile patient affected by
metrorrhagia. This procedure resulted in a pregnancy immediately after treatment
and in a successful delivery. During 60 months of follow-up no evidence of
recurrence was observed.
PMID- 27213064
TI - Two Cases of Heavy Chain MGUS.
AB - Heavy chain diseases are rare variants of B-cell lymphomas that produce one of
three classes of immunoglobulin heavy chains, without corresponding light chains.
We describe two patients with asymptomatic heavy chain monoclonal gammopathy. The
first patient is a 51-year-old woman with alpha paraprotein on serum
immunofixation. The second case is a 46-year-old woman with gamma paraprotein on
urine immunofixation. Neither patient had corresponding monoclonal light chains.
Workup for multiple myeloma and lymphoma was negative in both patients. These two
cases illustrate that heavy chain monoclonal gammopathy can exist in the absence
of clinically apparent malignancy. Only a few reports of "heavy chain MGUS" have
been described before. In the absence of specialized guidelines, we suggest a
similar follow-up as for MGUS, while taking into account the higher probability
of progression to lymphoma than to myeloma.
PMID- 27213065
TI - An Unusual Presentation of B-Cell Lymphoma as a Large Isolated Epiglottic Mass:
Case Report and Literature Review.
AB - Extranodal presentation of B-cell lymphoma is uncommon. Isolated primary
epiglottic B-cell lymphoma is even rarer. To our knowledge, there has been only
one description of isolated B-cell lymphoma presenting as a large epiglottic
mass. We report an unusual type of B-cell lymphoma of the epiglottis, as it could
not be subtyped based on routine staining and hybridization. The lymphoma
presented as a large isolated globular mass pedicled to the epiglottis, occupying
most of the oropharynx, but did not have any ball-valving effect or increased
respiratory efforts. Initial radiographic findings were nonspecific. The
diagnosis of B-cell lymphoma was determined by transoral incisional biopsy under
local anesthesia. The condition was treated successfully with chemoradiation. The
current standard of treatment for high grade B-cell lymphoma is concurrent
chemoradiotherapy, with excellent prognosis. Although rare, B-cell lymphoma
should be considered when investigating pedunculated hypopharyngeal masses.
PMID- 27213066
TI - Paragonimus kellicotti: A Lung Infection in Our Own Backyard.
AB - Paragonimiasis is an infection caused by the lung fluke of the genus Paragonimus.
Within the United States, paragonimiasis has been commonly diagnosed in Southeast
Asian immigrants infected with the Asian lung fluke Paragonimus westermani.
Infections from the North American lung fluke, Paragonimus kellicotti, have been
rare, although more infections have been seen in people in the Midwestern United
States. A 29-year-old male with a history of pleomorphic xanthoastrocytoma
presented with hemoptysis. A CT scan showed a mass in the left upper lung lobe. A
biopsy showed eosinophils and parasite eggs, some with a recognizable operculum.
Further investigation revealed that he takes canoe trips on rivers within
Missouri and would eat crayfish caught from these rivers. A blood sample was
confirmed positive for Paragonimiasis serologically at the Center for Disease
Control. Paragonimus kellicotti is found in rivers within the Mississippi basin.
Infection occurs by consuming uncooked or undercooked crawfish. Microscopic
identification of parasite eggs has been the gold standard. Serologic tests have
been developed to aid in the diagnosis. Patients typically present with fever and
hemoptysis. Common CT findings include pleural effusion, a mass, and
lymphadenopathy. Awareness of P. kellicotti is important to guide appropriate
diagnostic testing and ensuring proper treatment.
PMID- 27213067
TI - Sporadic Burkitt Lymphoma Presenting as Acute Pancreatitis, Concurrent Sinusitis,
and Enlarged Adenoids.
AB - Pancreatitis and sinusitis as presentations of Burkitt lymphoma are uncommon and
rarely described in children. We describe here the case of a child who presented
with symptoms suggestive of sinusitis unresponsive to antibiotics, with
subsequent development of abdominal symptoms due to pancreatitis. He was
eventually diagnosed with Burkitt lymphoma.
PMID- 27213068
TI - Cerebral Salt Wasting Syndrome following Head Injury in a Child Managed
Successfully with Fludrocortisone.
AB - Cerebral salt wasting (CSW) syndrome is an important cause of hyponatremia in
head injuries apart from syndrome of inappropriate antidiuretic hormone (SIADH).
Proper diagnosis and differentiation between these two entities are necessary for
management as the treatment is quite opposite in both conditions. Fludrocortisone
can help in managing CSW where alone saline infusion does not work. We report a
17-month-old female child with head injury managed successfully with saline
infusion and fludrocortisone.
PMID- 27213069
TI - Late-Onset Mania in a Patient with Movement Disorder and Basal Ganglia
Calcifications: A Challenge for Diagnosis and Treatment.
AB - Age of onset can have a significant impact on clinical course and
pathophysiological mechanism of bipolar disorder. Late-onset bipolar episodes are
more likely linked to medical illnesses and so are frequently classified as
"secondary" forms of mood disorder. We discuss the case of a patient who at the
age of 58 presented his first delusional-manic episode. He also had mild frontal
and occipital cortical atrophy, white matter posterior ischemic lesions, and
small basal ganglia calcifications. Seven years later, he presented a second
manic episode with new emergent hyperkinetic choreiform symptoms. Taking into
account movement disturbances, the presence of basal ganglia calcification, and
worsening of cortical atrophy, we performed a differential diagnosis between Fahr
disease, Fahr's syndrome, calcifications due to ageing, supersensitivity
psychosis, and dementia. Valproate, quetiapine, and tetrabenazine were
sequentially administered and yielded a good therapeutic response as regards
manic and movement symptoms. Relationship between medications and course of
specific symptoms was observed.
PMID- 27213070
TI - An Unusual Association in an Uncommon Disease: Two Cases of Spontaneous
Pneumomediastinum Associated with Pneumorrhachis.
AB - Pneumomediastinum, the presence of free air in the mediastinum, is described as
spontaneous pneumomediastinum when there is no apparent cause such as trauma,
surgery, interventional procedures, or intrathoracic infections. Pneumorrhachis
is a rare clinical condition, consisting of intraspinal air. The main causes are
iatrogenic, traumatic, and nontraumatic. Spontaneous mediastinum is usually
associated with subcutaneous emphysema and, occasionally, with pneumothorax;
however, its association with pneumorrhachis is extremely rare. Here, we present
two rare cases of spontaneous pneumomediastinum associated with pneumorrhachis
caused by vigorous coughing.
PMID- 27213071
TI - Isolation of Left Common Carotid Artery with Its Origin Proximal to Patent Ductus
Arteriosus Presenting in Adult Age.
AB - Anomalies of aortic arch are a common occurrence. Such anomalies of right sided
aortic arch with its various branching patterns are of clinical importance. Rarer
anomalies include isolation (deficient connection) of either left subclavian
artery or left common carotid artery; that is, they do not have their origin from
aorta or its major branches. We present a case of an 18-year-old male who
presented with gradual onset pulsatile swelling with bruit in neck on left side
and was evaluated by CT brain and neck angiography. CT angiography revealed right
sided aortic arch with aberrant left subclavian artery and isolated left common
carotid artery. Very few cases of such an anomaly have been documented in the
literature but none in an adult.
PMID- 27213072
TI - Unexpected Radiologic Findings for a Casting Type of Radiolucent Colorectal
Foreign Body Composed of Polyurethane Foam.
AB - Radiologic diagnosis of colorectal foreign bodies is usually not very difficult,
because inserted materials are often clearly visible on plain abdominal
radiographs. However, when they are radiolucent, a plain abdominal radiograph has
been reported to be useless. As radiolucent colorectal foreign bodies appear as
radiolucent artificial contours or air-trapped materials in the pelvis, almost
always the diagnosis itself can be made by careful evaluation of plain abdominal
radiographs. We encountered a case of casting type of radiolucent colorectal
foreign body formed from polyurethane foam. It presented us with unexpected
radiologic findings and led to diagnostic difficulties.
PMID- 27213073
TI - Lung Transplant Recipient with Pulmonary Alveolar Proteinosis.
AB - Pulmonary alveolar proteinosis (PAP) is a progressive lung disease characterized
by accumulated surfactant-like lipoproteinaceous material in the alveoli and
distal bronchioles. This accumulation is the result of impaired clearance by
alveolar macrophages. PAP has been described in 11 solid organ transplant
recipients, 9 of whom were treated with mammalian target of rapamycin inhibitors.
We report a case of a lung transplant recipient treated with prednisone,
mycophenolate mofetil (MMF), and tacrolimus who ultimately developed PAP, which
worsened when MMF was replaced with everolimus.
PMID- 27213074
TI - Successful Endovascular Repair of an Iatrogenic Perforation of the Superficial
Femoral Artery Using Self-Expanding Nitinol Supera Stents in a Patient with Acute
Thromboembolic Limb Ischemia.
AB - The treatment of acute thromboembolic limb ischemia includes well-established
surgical thrombectomy procedures and, in recent times, also percutaneous
rotational thrombectomy using Straub Rotarex(r) system. This modality not only
enables efficient treatment of such thrombotic occlusion but also in rare cases
may imply the risk of perforation of the occluded artery. Herein, we report the
case of a perforation of the superficial femoral artery (SFA) in an elderly
female patient with thromboembolic limb ischemia. The perforation was
successfully treated by implantation of self-expanding nitinol Supera stents and
without the need for implantation of a stent graft.
PMID- 27213075
TI - Availability of Medical and Recreational Marijuana Stores and Neighborhood
Characteristics in Colorado.
AB - Objective. To examine the availability of marijuana stores in Colorado and
associations with neighborhood characteristics. Methods. The addresses for 650
medical and recreational marijuana stores were geocoded and linked to the
characteristics of 1249 census tracts in Colorado. Accounting for spatial
autocorrelations, autologistic regressions were used to quantify the associations
of census tract socioeconomic characteristics with the availability of marijuana
stores. Results. Regardless of store types, marijuana stores were more likely to
locate in neighborhoods that had a lower proportion of young people, had a higher
proportion of racial and ethnic minority population, had a lower household
income, had a higher crime rate, or had a greater density of on-premise alcohol
outlets. The availability of medical and recreational marijuana stores was
differentially correlated with household income and racial and ethnic
composition. Conclusions. Neighborhood disparities existed in the availability of
marijuana stores, and associations between availability of stores and
neighborhood characteristics varied by store types. This study highlighted the
need for regulatory measures to prevent marijuana related outcomes in high risk
neighborhoods.
PMID- 27213077
TI - Incidence and Factors Predicting Skin Burns at the Site of Indifferent Electrode
during Radiofrequency Catheter Ablation of Cardiac Arrhythmias.
AB - Radiofrequency catheter ablation (RFA) has become a mainstay for treatment of
cardiac arrhythmias. Skin burns at the site of an indifferent electrode patch
have been a rare, serious, and likely an underreported complication of RFA. The
purpose of this study was to determine the incidence of skin burns in cardiac RFA
procedures performed at one institution. Also, we wanted to determine the factors
predicting skin burns after cardiac RFA procedures at the indifferent electrode
skin pad site. Methods. A retrospective case control study was performed to
compare the characteristics in patients who developed skin burns in a 2-year
period. Results. Incidence of significant skin burns after RFA was 0.28%
(6/2167). Four of the six patients were female and all were Caucasians. Four
controls for every case were age and sex matched. Burn patients had significantly
higher BMI, procedure time, and postprocedure pain, relative to control subjects
(p < 0.05, one-tailed testing). No one in either group had evidence of dispersive
pad malattachment. Conclusions. Our results indicate that burn patients had
higher BMI and longer procedure times compared to control subjects. These
findings warrant further larger studies on this topic.
PMID- 27213079
TI - Association between Tumor Size and Bilateral Involvement in Papillary Thyroid
Carcinoma.
AB - Background. Tumor multifocality and bilaterality of papillary thyroid carcinoma
(PTC) are important factors when selecting the most appropriate surgical
procedure. The aim of this study was to assess the bilaterality rate in PTC and
the relationship between the tumor size and bilaterality. Materials and Methods.
Thyroidectomy specimens with a diagnosis of PTC were retrospectively reviewed in
the Pathology Department of a tertiary care medical center. Specimens were
divided into three groups according to the size of the primary and contralateral
tumor foci. Tumors less than or equal to 1 cm in each lobe were included in group
1. Group 2 consisted of tumors greater than 1 cm in one lobe and less than 1 cm
in the other lobe. Tumors greater than 1 cm in each lobe were included in group
3. Results. We identified 868 total thyroidectomy specimens with a diagnosis of
PTC between 2001 and 2011. Of these cases, both thyroid lobes were involved in
262 cases (32%). There were 109 (42%), 121 (46%), and 32 cases (12%) in group 1,
group 2, and group 3, respectively. Conclusion. Bilaterality is frequent in PTC
and is not related to tumor size. Accordingly, the high frequency of bilateral
disease in PTC should be kept in mind when determining the extent of the surgical
procedure.
PMID- 27213078
TI - Nanoscale Extracellular Vesicle Analysis in Alzheimer's Disease Diagnosis and
Therapy.
AB - Diagnostic assays that leverage bloodborne neuron-derived (neuronal) nanoscale
extracellular vesicles (nsEVs) as "windows into the brain" can predict incidence
of Alzheimer's Disease (AD) many years prior to onset. Beyond diagnostics,
bloodborne neuronal nsEVs analysis may have substantial translational impact by
revealing mechanisms of AD pathology; such knowledge could enlighten new drug
targets and lead to new therapeutic approaches. The potential to establish three
dimensional nsEV analysis methods that characterize highly purified bloodborne
nsEV populations in method of enrichment, cell type origin, and protein or RNA
abundance dimensions could bring this promise to bear by yielding nsEV "omics"
datasets that uncover new AD biomarkers and enable AD therapeutic development. In
this review we provide a survey of both the current status of and new
developments on the horizon in the field of neuronal nsEV analysis. This survey
is supplemented by a discussion of the potential to translate such neuronal nsEV
analyses to AD clinical diagnostic applications and drug development.
PMID- 27213080
TI - Reliability of Three Disability Scales for Detection of Independence Loss in
Parkinson's Disease.
AB - Background. Loss of independence is considered an important outcome measure in
Parkinson's disease (PD), but tools to assess dependency have not been tested in
PD. Methods. In this study of 158 PD patients, we examined the two most widely
used scales and cut-offs for dependency evaluation in PD, the Hoehn and Yahr (HY)
stage > 3 and the Schwab and England (SE) scale score < 80%, against a
standardized clinical interview assessing dependency in activities of daily
living (ADL). We also examined the performance of the generic Barthel ADL index.
In addition, we determined whether alternative cut-offs improved the utility of
these tools. Results. Compared to clinical interview as gold standard, HY stage >
3 had 21% sensitivity and 98% specificity in detecting dependency in ADL.
Corresponding figures for SE score < 80% were 55% and 92%, respectively. Using
alternative cut-off values improved the overall diagnostic accuracy only
slightly. Barthel ADL index had 67% sensitivity and 78% specificity in detecting
dependency at its optimal cut-off value. Conclusion. Both the disease-specific HY
staging and SE scale and the generic Barthel ADL index are suboptimal tools for
assessing independence loss in PD. Clinical interview should be the assessment of
choice in studies of dependency.
PMID- 27213076
TI - Epicardial Fat: Physiological, Pathological, and Therapeutic Implications.
AB - Epicardial fat is closely related to blood supply vessels, both anatomically and
functionally, which is why any change in this adipose tissue's behavior is
considered a potential risk factor for cardiovascular disease development. When
proinflammatory adipokines are released from the epicardial fat, this can lead to
a decrease in insulin sensitivity, low adiponectin production, and an increased
proliferation of vascular smooth muscle cells. These adipokines move from one
compartment to another by either transcellular passing or diffusion, thus having
the ability to regulate cardiac muscle activity, a phenomenon called vasocrine
regulation. The participation of these adipokines generates a state of persistent
vasoconstriction, increased stiffness, and weakening of the coronary wall,
consequently contributing to the formation of atherosclerotic plaques. Therefore,
epicardial adipose tissue thickening should be considered a risk factor in the
development of cardiovascular disease, a potential therapeutic target for
cardiovascular pathology and a molecular point of contact for "endocrine
cardiology."
PMID- 27213081
TI - Alcoholic Steatosis in Different Strains of Rat: A Comparative Study.
AB - BACKGROUND: Different strains of rats have been used to study alcoholic liver
disease (ALD) while the reason for selecting a particular rat strain was not
apparent. PURPOSE: The aim of our study was to compare outbred (Wistar) and
inbred (Fischer) strains to evaluate pathological, biochemical changes, and gene
expression differences associated with ethanol-induced early hepatic steatosis.
STUDY DESIGN: Male Wistar and Fischer-344 rats were pair-fed for 6 weeks with or
without 5% ethanol in Lieber-DeCarli liquid diet. Livers were analyzed for
histological and lipid-related differences. RESULTS: Hepatic midzonal steatosis
was mainly found in Wistar rats while Fischer rats showed mostly pericentral
steatosis. Increased hepatic steatosis in ethanol-fed Wistar rats is supported by
increases in lipids with related genes and transcription factors involved in
fatty acid and triglyceride synthesis. CONCLUSION: Our data showed that Fischer
rats are relatively less prone to ethanol-mediated steatosis with pericentral
lipid deposition pattern in the liver which is similar to humans and show no
trace level of lipid accumulation in pair-fed controls as observed in Wistar
(outbred) strain. Therefore, Fischer rats are better suited for lipid studies in
an early development of ALD.
PMID- 27213082
TI - Gentamicin Induced Nephrotoxicity: The Role of Sex Hormones in Gonadectomized
Male and Female Rats.
AB - Background. Gentamicin (GM) induced nephrotoxicity may be sex hormones related.
The effects of sex hormones on GM induced nephrotoxicity in gonadectomized rats
were investigated. Methods. Ovariectomized rats received 0.25, 0.5, or 1
mg/kg/week of estradiol (ES) alone or accompanied with 10 mg/kg/week of
progesterone (Pro) for two weeks followed by GM (100 mg/kg/day) for 9 days.
Castrated rats were also treated with 10, 50, or 100 mg/kg/week of testosterone
(TS) for two weeks and then received GM. In addition, a single castrated group
received 0.25 mg/kg/week of ES plus GM. Results. GM increased the serum levels of
blood urea nitrogen (BUN) and creatinine (Cr) and kidney tissue damage score
(KTDS) (P < 0.05). TS had no effect on the serum levels of BUN and Cr and KTDS,
while low dose of ES intensified these parameters in male (P < 0.05). ES (0.5
mg/kg) without Pro ameliorated KTDS in female (P < 0.05) while ES (1 mg/kg) with
or without Pro exacerbated the BUN values and Cr values, KTDS, and body weight
loss (P < 0.05). Conclusion. ES (0.5 mg/kg) without Pro ameliorated kidney damage
induced by GM in female while neither TS nor ES had beneficial effect on
nephrotoxicity induced by GM in male, although ES aggravated it.
PMID- 27213083
TI - Clinical Profile of Dengue Fever in Children: A Study from Southern Odisha,
India.
AB - Background. In India, dengue epidemics are becoming more frequent (WHO, 2008).
The majority of dengue viral infections are self-limiting, but complications may
cause high morbidity and mortality. Objectives. To assess the clinical profile of
the dengue infection in children less than 14 years of age and to evaluate the
outcomes of dengue fever from September 2013 to August 2015 at the Pediatric
Department of Maharaja Krishna Chandra Gajapati Medical College, the largest
tertiary care hospital of southern Odisha. Results. A total of 97 cases were
classified into 84 (86.59%) nonsevere and 13 (13.40%) severe dengue cases. The
most common age of presentation was above 11 yrs. The mean age of admission was
8.7 yrs. The most common presenting symptom was fever seen in 100% and
hepatomegaly (43.8%), the most common physical finding. Gastrointestinal bleeding
was markedly seen in severe dengue (76.9%). Elevation in aspartate transaminase
(SGOT) was found in 47.42% and thrombocytopenia in 27.5%. The correlation between
hepatomegaly and elevated SGOT was significant (P value 0.0346). Case fatality
rate (CFR) was 1.03%. The mean duration of hospitalisation was 3.8 days.
Conclusion. In children, if symptoms like fever, pain, rashes, and vomiting are
associated with hepatomegaly and elevated SGOT in context of low TPC, a strong
possibility of dengue fever is present, especially in an epidemic setting. Early
suspicion and effective management can reduce the severity.
PMID- 27213084
TI - Prevalence of Coccidiosis in Free-Range Chicken in Sidi Thabet, Tunisia.
AB - Background. Enteric diseases are an important concern to the poultry industry and
coccidiosis is imposing a significant economic burden worldwide. Objectives. The
main goal of the present study was to investigate the prevalence of coccidiosis
in free-range chicken in Sidi Thabet, northeast Tunisia. Methods. Six hundred and
thirty free-range chickens along with fecal samples were collected from 15 flocks
in this region and two hundred chickens were found positive for oocysts of
Eimeria spp. Intestines were dissected and examined for macroscopic lesions. The
mucosa of small intestine and the caeca were examined for the presence and
identification of parasitic forms using parasitology methods. The mean lesion
scores were usually low (<2+) in different intestinal portions of different types
of chicken and high scores (>2+) were attributed mainly to the caeca. Results.
The overall rate of coccidiosis was 31.8%: E. tenella (61.5%), E. maxima (12%),
and E. acervulina (1.5%). Mixed Eimeria species infection was observed with
overall prevalence 26.5%. There was a statistically significant difference (P <
0.05) among infection rates, age groups, season, diarrhea, and type of chicken.
Conclusion. This is the first report of coccidiosis rate in free-range chicken in
this region. Further additional studies are needed to develop better preventive
measures against coccidiosis in the country.
PMID- 27213085
TI - Correlation between Focal Nodular Low Signal Changes in Hoffa's Fat Pad Adjacent
to Anterior Femoral Cartilage and Focal Cartilage Defect Underlying This Region
and Its Possible Implication.
AB - Purpose. This study investigates the association between focal nodular mass with
low signal in Hoffa's fat pad adjacent to anterior femoral cartilage of the knee
(FNMHF) and focal cartilage abnormality in this region. Method. The magnetic
resonance fast imaging employing steady-state acquisition sequence (MR FIESTA)
sagittal and axial images of the B1 and C1 region (described later) of 148
patients were independently evaluated by two reviewers and categorized into four
categories: normal, FNMHF with underlying focal cartilage abnormality, FNMHF with
normal cartilage, and cartilage abnormality with no FNMHF. Results. There was a
significant association (p = 0.00) between FNMHF and immediate adjacent focal
cartilage abnormality with high interobserver agreement. The absence of focal
nodular lesions next to the anterior femoral cartilage has a very high negative
predictive value for chondral injury (97.8%). Synovial biopsy of focal nodular
lesion done during arthroscopy revealed some fibrocollagenous tissue and no
inflammatory cells. Conclusion. We postulate that the FNMHF adjacent to the
cartilage defects is a form of normal healing response to the cartilage damage.
One patient with FHMHF and underlying cartilage abnormality was rescanned six
months later. In this patient, the FNMHF disappeared and normal cartilage was
observed in the adjacent region which may support this theory.
PMID- 27213087
TI - Managing a Budget in Healthcare Professional Education.
PMID- 27213086
TI - Structural Exploration and Conformational Transitions in MDM2 upon DHFR
Interaction from Homo sapiens: A Computational Outlook for Malignancy via
Epigenetic Disruption.
AB - Structural basis for exploration into MDM2 and MDM2-DHFR interaction plays a
vital role in analyzing the obstruction in folate metabolism, nonsynthesis of
purines, and further epigenetic regulation in Homo sapiens. Therefore, it leads
to suppression of normal cellular behavior and malignancy. This has been earlier
documented via yeast two-hybrid assays. So, with a novel outlook, this study
explores the molecular level demonstration of the best satisfactory MDM2 model
selection after performing manifold modeling techniques. Z-scores and other
stereochemical features were estimated for comparison. Further, protein-protein
docking was executed with MDM2 and the experimentally validated X-ray
crystallographic DHFR. Residual disclosure from the best suited simulated protein
complex disclosed 18 side chain and 3 ionic interactions to strongly accommodate
MDM2 protein into the pocket-like zone in DHFR due to the positive environment by
charged residues. Lysine residues from MDM2 played a predominant role. Moreover,
evaluation from varied energy calculations, folding rate, and net area for
solvent accessibility implied the active participation of MDM2 with DHFR.
Fascinatingly, conformational transitions from coils to helices and beta-sheets
after interaction with DHFR affirm the conformational strength and firmer
interaction of human MDM2-DHFR. Therefore, this probe instigates near-future
clinical research and interactive computational investigations with mutations.
PMID- 27213088
TI - Litigations and the Obstetrician in Clinical Practice.
AB - The expectation of obstetrics is a perfect outcome. Obstetrics malpractice can
cause morbidity and mortality that may engender litigation. Globally, increasing
trend to litigation in obstetrics practice has resulted in high indemnity cost to
the obstetrician with consequent frustration and overall danger to the future of
obstetrics practice. The objective was to review litigations and the Obstetrician
in Clinical Practice, highlighting medical ethics, federation of gynecology and
obstetrics (FIGO's) ethical responsibility guideline on women's sexual and
reproductive health and right; examine the relationship between medical ethics
and medical laws; X-ray medical negligence and litigable obstetrics malpractices;
and make recommendation towards the improvement of obstetrics practices to avert
misconduct that would lead to litigation. Review involves a literature search on
the internet in relevant journals, textbooks, and monographs. Knowledge and
application of medical ethics are important to the obstetricians to avert medical
negligence that will lead to litigation. A medical negligence can occur in any of
the three triads of medicare viz: Diagnosis, advice/counseling, and treatment.
Lawsuits in obstetrics generally center on errors of omission or commission
especially in relation to the failure to perform caesarean section or to perform
the operation early enough. Fear of litigation, high indemnity cost, and long
working hours are among the main reasons given by obstetricians for ceasing
obstetrics practice. Increasing global trend in litigation with high indemnity
cost to the obstetrician is likely to jeopardize the future of obstetrics care
especially in countries without medical insurance coverage for health
practitioners. Litigation in obstetrics can be prevented through the
Obstetrician's mindfulness of its possibility; acquainting themselves of the
medical laws and guidelines related to their practice; ensuring adequate
communication with, and consent of patients during treatment together with proper
and correct documentation of cases. The supervision of resident-in-training,
development and implementation of obstetrics protocol, and continuing medical
education of obstetricians are also important factors to the prevention of
litigation in obstetrics.
PMID- 27213089
TI - Quantification and Correlation of Oral Candida with Caries Index Among Different
Age Groups of School Children: A Case-Control Study.
AB - BACKGROUND: Dental caries is the most common infectious disease affecting humans
and is the predominant cause of tooth loss in children. Although Candida's role
in dental caries has been studied extensively, limited homogenous studies have
been conducted and none have been found, that associate Candida with dental
caries, while correlating it to different age groups. AIM: The study aimed to
quantify oral Candida in school children and correlate candidal carriage to the
caries index and further analyze an age association. SUBJECTS AND METHODS:
Decayed-Filled teeth/Decayed-Missing-Filled Teeth (dft/DMFT) index scores of 150
subjects were evaluated, and concentrated oral rinse samples were collected from
each participant for mycologic investigation. Based on the age and caries
activity, the participants were categorized into three groups consisting of 50
each such as Group-I (caries active participants of 6-12 years age), Group-II
(caries active participants in 13-18 years age), and Group-III (caries-free
participants in 6-18 years age); CHROMagarTM was used as a primary culture medium
for candidal growth. The data was statistically analyzed using Unpaired t-test,
Chi-square test and Spearman's rank order. RESULTS: The results demonstrated that
as age increases, the dft/DMFT scores as well as the candidal growth decreased.
In addition, the oral candidal carriage levels were found to be low in caries
free group (Group-III) when compared to the study groups. CONCLUSION: The
presence of Candida was directly related to the caries status and inversely
proportional to the age.
PMID- 27213090
TI - Median Nerve Conduction in Healthy Nigerians: Normative Data.
AB - BACKGROUND: Because of lack of local normative data, electrodiagnostic
laboratories in Nigeria apply standard values generated in the USA and Europe to
diagnose different median nerve abnormalities. AIM: To develop normative values
for motor and sensory median nerve conduction studies (NCSs) in Nigerian
population. SUBJECTS AND METHODS: In a cross-sectional study design, a total of
200 healthy volunteers were selected after clinical evaluation to exclude
systemic or neuromuscular disorders. NCS of the median nerves was conducted on
all the healthy volunteers according to a standardized protocol. The data
included in the final analysis were amplitude, latency, and nerve conduction
velocity. Ethical approval was obtained for the study. RESULTS: The reference
range for median nerve (motor) velocity, distal latency, and amplitude were 49.48
66.92, 1.95-4.52, and 4.3-11.3, respectively. The reference range for median
nerve F-wave latency was 44.8-70.5. The reference range for median nerve
(sensory) velocity, distal latency, and amplitude were 44.8-70.5, 1.98-4.52, and
16.6-58.4, respectively. CONCLUSION: Reference values for the nerve conduction
parameters of the median (motor and sensory) in the study population were similar
to those obtained in the literature.
PMID- 27213091
TI - The Effectiveness of Clinician Education on the Adequate Completion of Laboratory
Test Request Forms at a Tertiary Hospital.
AB - BACKGROUND: Inadequately completed laboratory test request forms contribute to
preanalytical errors and limit the advice of pathologists when interpreting
laboratory test results. Educating clinicians about this has been proposed by
several studies as a strategy to reduce the occurrence. AIM: We aimed to
determine the effectiveness of such education on the prevalence of adequately
completed laboratory test request forms. SUBJECTS AND METHODS: This was a quasi
experimental study conducted at the chemical pathology laboratory of the Lagos
University Teaching Hospital, Nigeria. Incoming laboratory request forms were
audited for a period of 1 month looking out for eight data variables.
Subsequently, intensive clinician education was undertaken via seminars,
publications, and orientation programs on 670 clinicians for 6 weeks duration.
After that, a repeat audit for the same data variables was conducted for another
period of 1 month. A Z-test of significance for the comparison of independent
proportions was conducted for form errors pre- and post-intervention. RESULTS:
Error rates for missing variables pre- and post-clinician education were: Name
pre = 0 (0%), post = 0 (0%); age pre = 330 (21.6%), post = 28 (1.9%), P < 0.001;
gender pre = 64 (4.2%), post = 53 (3.6%), P = 0.37; hospital number pre = 848
(55.6%), post = 524 (35.3%), P < 0.001; clinician name pre = 165 (10.8%), post =
64 (4.3%), P < 0.001; ward/clinic pre = 311 (20.4%), post = 235 (15.8%), P <
0.01; clinical diagnosis pre = 220 (14.4%), post = 33 (2.2%), P < 0.001; specimen
type pre = 169 (11.1%), post = 116 (7.8%), P < 0.01, respectively. CONCLUSION:
There was an improvement in the inadequate completion of laboratory request forms
after clinicians were educated on proper completion using various interactive
media, showing that it is an effective strategy. However, further studies are
required to identify which educational strategy is most effective in reducing
error rates in laboratory test request forms.
PMID- 27213092
TI - Viral Load Pattern Among Hepatitis B Surface Antigen-positive Patients:
Laboratory Perspective and Implications for Therapy.
AB - BACKGROUND: Hepatitis B viral infection is an old medical problem with worldwide
distribution. It is usually diagnosed using serologic methods. However, the
decision as to which patient to treat or not remains challenging due to the poor
sensitivity of serologic markers as prognostic or severity markers. Viral load
(VL) determination using polymerase chain reaction techniques is a useful tool in
decision-making. AIM: To determine the proportion of hepatitis B-positive
patients who fall into different care groups based on the Society for
Gastroenterology and Hepatology in Nigeria (SOGHIN) and National Institute for
Health and Care Excellence guidelines, respectively, using result of hepatitis B
virus (HBV) DNA determination. MATERIALS AND METHODS: This is a retrospective and
descriptive study. Data from all patients sent to the medical microbiology
laboratory, National Hospital Abuja over a period of 28 months (November 2012 to
February 2015) for hepatitis B DNA VL determinations were analyzed using
Microsoft Excel 2010 (Microsoft Corporation, Redmond, WA, USA) and IBM SPSS
version 20.0 (IBM SPSS, Inc., Chicago, IL, USA). RESULTS: A total 666 patients,
with mean age of 33.2 years, were tested. For those whose ages were known 36.2%
(100/276) were below 30 years and 63.8% (176/276) 30 years and above. Exactly
66.7% (444/666) were males and the remaining 33.3% (222/666) were females. The VL
of the patients varied from 20 to 1.7 * 10(8) IU/ml, with an average of 3.5 *
10(6) IU/ml. Around 76.1% (507/666) had measurable assay levels (20 - 1.7 * 10(8)
IU/ml); 10.8% (76/666) had below 20 IU/ml and 3.8% (25/666) above 1.7 * 10(8)
IU/ml. About 9.3% (62/666) had no detectable HBV DNA in their samples. About
46.8% (312/666) of the patients had levels between 20 and 2 * 10(3) IU/ml; 16.4%
(109/666) had between 2001 and 2 * 10(4) IU/ml while 16.7% (111/666) had VL of
between 20,001 and 1.7 * 10(8) IU/ml. Males tended to have detectable and higher
VLs than females (P = 0.04). CONCLUSION: HBV DNA assay used in accordance with
existing treatment guidelines will improve quality of care. To avoid unnecessary
liver biopsy, there is a need to further fine-tune the SOGHIN guidelines.
PMID- 27213094
TI - Nutritional Practices and Taboos Among Pregnant Women Attending Antenatal Care at
General Hospital in Kano, Northwest Nigeria.
AB - BACKGROUND: Food taboos among rural women have been identified as one of the
factors contributing to maternal undernutrition in pregnancy. AIM: The aim of
this study was to explore some of the taboos and nutritional practices among
pregnant women attending antenatal care at a General Hospital in Dawakin Kudu
LGA, Kano, Nigeria. SUBJECTS AND METHODS: This was a cross-sectional study
involving 220 pregnant women. Interviewer-administered structured questionnaire
was used to interview the respondents, which showed various sociodemographic
information, cultural nutritional processes, taboos of the community, and a 24 h
food recall. The ages, parities, and gestational ages of the women were collated.
Descriptive statistics was used. Data were analyzed using SPSS statistical
software Version 17.0 (SPSS Inc., Chicago, IL, USA). Association between
sociodemographic factors and nutritional practices and taboos was determined
using Chi-square test and P < 0.05 was considered statistically significant.
RESULTS: At the end of the study, 200 participants (91%) gave complete
information. Most of the women, 70% (140/200) were in the 20-39 years age range
with mean (standard deviation [SD]) age of 23.7 (6.1) years, mostly uneducated,
70% (140/200), and unemployed, 51% (102/200). Most of the women did a child
spacing of 12-24 months, 62% (124/200) with mean (SD) child spacing interval of
26.32 (10.19) months. Gestational age at booking was mostly 13-26 weeks, 48%
(96/200) with an average of 26.60 (8.01). Most of the women had 1-4 children,
54.5% (109/200) with mean (SD) of 2.47 (2.50). Most of the women agreed that they
had adequate intake of oil, 86% (172/200), meat/fish, 92% (194/200),
fruit/vegetables 56% (112/200), and had 3 meals/day 80% (152/200), and did not
practice pica 83% (166/200). All of the women, 100% (200/200) believe that women
should eat more during pregnancy in order to have healthy babies. They were
mostly supported by their husbands, 53% (106/200) and less likely by the
community, 34% (17/200). The nutritional practices and taboos of the women showed
a statistically significant association with age, parity, and support received
from husband and community (P < 0.05). Educational status is not associated with
their nutritional practices and taboos. CONCLUSION: Although sociocultural
indices of the respondents were poor, their intake of good nutrition and
abstinence from nutrition taboos were satisfactory. Further studies are intended
to objectively study the nutritional practices/taboos in pregnancy.
PMID- 27213095
TI - Prevalence of Dog Bites in Rural and Urban Slums of Delhi: A Community-based
Study.
AB - BACKGROUND: Rabies is a fatal disease of the central nervous system caused by the
bites of warm-blooded animals. One of the important methods of controlling rabies
is by interventions to limit the number of dog bites, the most common source of
transmission of rabies to humans in the community. Community-based data on dog
bites are rare from India. AIM: The aim of this study is to determine the
prevalence of dog bites and knowledge and practices relating to its management
and prevention in an urban and a rural slum of North West Delhi, India. SUBJECTS
AND METHODS: A cross-sectional house to house survey of 500 households covering a
population of 2887 individuals was conducted. The families were selected by
systematic random sampling. A pretested and a prevalidated questionnaire was
used. Chi-square test was applied for comparing proportions related to the
categorical variables and t-test was used for comparing means. RESULTS: The dog
bite incidence rate for the study population for the last year was 25.2/1000
population with higher rates in urban (30.1/1000) than rural (19.6/1000) slum.
Two-fifths of the dog bite patients did not wash the wound with soap and water.
The practice of washing the wound with soap and water was significantly higher in
urban than rural slum. One-fifth of the patients did not receive anti-rabies
vaccine. There was lower coverage in rural than urban slum. A majority (79.0%) of
the patients did not receive anti-rabies serum. CONCLUSION: A high prevalence of
dog bites coupled with poor knowledge and dog bite management practices is a
worrisome trend which policy makers should take into account to make India rabies
free.
PMID- 27213093
TI - The Use of Guidelines for Lower Respiratory Tract Infections in Tanzania: A
Lesson from Kilimanjaro Clinicians.
AB - BACKGROUND: Evaluations of the guidelines for the management of Lower Respiratory
Tract Infections (LRTI) Sub-Saharan Africa, particularly in Tanzania is scant.
AIM: The aim of the study was to assess the usefulness of the current Tanzanian
treatment guideline for the management lower respiratory tract infection.
SUBJECTS AND METHODS: A descriptive cross sectional study in 11 hospitals of
different levels in the Kilimanjaro region Data were collected from May 2012 to
July 2012 by semi-structured interview for clinicians using 2 dummy cases for
practical assessment. Data were analyzed by STATA v11 (StataCorp, TX, USA).
Qualitative narratives from the interviews were translated, transcribed then
coded by colors into meaningful themes. RESULTS: A variety of principles for
diagnosing and managing LRTI were demonstrated by 53 clinicians of Kilimanjaro.
For the awareness, 67.9% (36/53) clinicians knew their responsibility to use
Standard Treatment Guideline for managing LRTI. The content derived from Standard
Treatment Guideline could be cited by 11.3% of clinicians (6/53) however they all
showed concern of gaps in the guideline. Previous training in the management of
patients with LRTI was reported by 25.9% (14/53), majority were pulmonary TB
related. Correct microorganisms causing different forms of LRTI were mentioned by
11.3% (6/53). Exact cause of Atypical pneumonia and Q fever as an example was
stated by 13.0% (7/53) from whom the need of developing the guideline for LRTI
was explicitly elaborated. CONCLUSION: The current guidelines have not been used
effectively for the management of LRTI in Tanzania. There is a need to review its
content for the current practical use.
PMID- 27213096
TI - Factors Associated with Prevalent Tuberculosis Among Patients Receiving Highly
Active Antiretroviral Therapy in a Nigerian Tertiary Hospital.
AB - BACKGROUND: Tuberculosis (TB) causes significant morbidity/mortality among human
immunodeficiency virus-infected individuals in Africa. Reducing TB burden in the
era of highly active antiretroviral therapy (HAART) is a public health priority.
AIM: We determined the factors associated with prevalent TB among patients
receiving HAART. SUBJECTS AND METHODS: We conducted a cross-sectional study of
adult patients who had received HAART for >=12 weeks in a Nigerian tertiary
hospital. Patients whose TB diagnosis predated HAART were excluded from the
study. Pre-HAART data were collected from the clinic records, whereas post-HAART
data were obtained through medical history, physical examination, and laboratory
investigations. Standard TB screening/diagnostic algorithms as applicable in
Nigeria were used. Logistic regression analysis was used to determine factors
independently associated with prevalent TB. RESULTS: about 65.8% (222/339) were
women. The mean age was 41.1 (10.0) years and 23.6% (73/339) had past history of
TB. The prevalence of active TB was 7.7% (26/339). Among these patients, 42.3%
(11/26) had pulmonary TB, 34.6% (9/26) had disseminated TB, whereas 23.1% (6/26)
had only extra-pulmonary disease. Only 45% (9/20) of patients with pulmonary
involvement had positive sputum smear. Factors independently associated with
prevalent TB were lower social class (adjusted odds ratio [aOR]: 31.7; 95%
confidence interval [CI]: 1.1-1417.3), HAART non-adherence (aOR125.5; 95% CI: 9.6
1636.3), baseline CD4 <200cells/MUl (aOR31.0; 95%CI: 1.6-590.6), previous TB
(aOR13.8; 95% CI: 2.0-94.1), and current hemoglobin <10 g/dl (aOR10.3; 95% CI:
1.1-99.2). CONCLUSION: Factors associated with prevalent TB were a lower social
class, HAART non-adherence, severe immunosuppression before HAART initiation,
previous TB, and anemia post-HAART. TB case finding should be intensified in
these high-risk groups.
PMID- 27213097
TI - Suction Cup Induced Palatal Fistula: Surgical Closure by Palatal Rotational Flap.
AB - Construction of complete denture with adequate retention is a complex procedure.
Use of suction cup in a maxillary denture is one of the techniques to improve
retention. Palatal Suction cup, which provides high retention by inducing
negative pressure on the mucosal surface, is not being recommended because of its
pathological effect on the palatal tissues leading to palatal perforation.
Surgical closure of palatal perforation is challenging, technique sensitive, and
it can be achieved by different surgical methods depending on the size and
location of the defect. In this case report, we present a patient with palatal
perforation due to prolonged use of a denture with a suction cup for over 10
years, which was surgically closed by a palatal rotational flap. The result was
good as the defect was successfully closed and the donor site healed
uneventfully.
PMID- 27213098
TI - An Audit of Malignant Oro-facial Tumors Presenting at a Tertiary Hospital in
Lagos.
AB - Oro-facial malignancies are reported to have a high mortality and morbidity, this
is further worsened when patient report late. To analyze the malignant oro-facial
lesions seen at a Hospital in the Lagos, Nigeria. Successive patients that
presented in the hospital and met criteria of study during 15 months period were
recruited into the study. Demographics, clinical variables, and treatment
provided for each patient in the hospital was recorded. Data collected were
presented as tables and percentages. There were 36 cases seen, their ages ranged
from 10 to 72 years (median 49 years), and there were 21 males and 15 females.
Time lapse before presentation to the clinic ranged from 2 to 30 months, mean
(9.9 (+/-5.5 months and the largest dimension of lesions at presentation ranged
from 2 cm to 12 cm (mean 6.4 +/- 2.0 cm). Most common site of presentation was
posterior tongue (16.7%; 6/36) and Squamous cell carcinoma (41.6%; 15/36) was the
most common histopathologic diagnosis. Twenty-three patients (63.9%; 23/36) had
primary surgeries in the hospital. Patients seen in this case series generally
reported late with large lesions.
PMID- 27213099
TI - Epithelial Inclusion Cyst in a Cervical Lymph Node: Report of a Rare Entity at an
Uncommon Location.
PMID- 27213100
TI - MEDICAL ETHICS EDUCATION IN TURKEY; STATE OF PLAY AND CHALLENGES.
AB - Medical ethics can be traced back to Hippocratic Oath in antiquity. Last decade
witnessed improvements in science and technology which attracted attention to the
ethical impacts of the innovations in medicine. The need to combine medical
innovations with a preservation of human values and to cultivate ethical
competencies required by professionalism conceived medical ethics education in
various levels in medical schools. Despite the diversities regarding teaching
hours, methodology and content of the courses, medical ethics became a
fundamental part of medical education around the world. In Turkey medical ethics
education is given both in undergraduate and postgraduate levels. The high
increase in the number of medical schools and shortfall of instructors who have
medical ethics as their primary academic focus creates a big challenge in medical
ethics education in both levels. Currently there are 89 medical schools in Turkey
and only six medical schools are giving postgraduate medical ethics education. In
2010 only 33 of all medical schools could establish a separate department
dedicated to medical ethics. There are no medical ethics courses embedded in
residency programs. The quality and standardization of undergraduate medical
ethics education has started but there are no initiatives to do so in
postgraduate level.
PMID- 27213102
TI - Reproductive implications of human head transplantation.
PMID- 27213101
TI - Longitudinal Change in Women's Sexual Victimization Experiences as a Function of
Alcohol Consumption and Sexual Victimization History: A Latent Transition
Analysis.
AB - OBJECTIVE: Women's alcohol consumption and vulnerability to sexual victimization
(SV) are linked, but findings regarding the nature and direction of the
association are mixed. Some studies have found support for the self-medication
hypothesis (i.e., victimized women drink more to alleviate SV-related distress);
others have supported routine activity theory (i.e., drinking increases SV
vulnerability). In this study, we aimed to clarify the interplay between women's
prior SV, typical drinking, and SV experiences prospectively over one year.
METHOD: Participants (N = 530) completed a baseline survey and weekly follow-up
surveys across Months 3, 6, 9, and 12. RESULTS: Latent class analysis (LCA)
suggested that women could be classified as victimized or non-victimized at each
assessment month; 28% of participants were classified as victimized at one or
more assessment months. Latent transition analysis (LTA) revealed that childhood
sexual abuse and adult SV history each predicted greater likelihood of being
victimized during the year. Typical drinking during a given assessment month was
associated with (1) greater likelihood of victimized status at that assessment
month and (2) greater likelihood of having transitioned into (or remained in) the
victimized status since the previous assessment month. Furthermore, victimized
status at a given assessment month predicted a higher quantity of subsequent
drinking. CONCLUSION: These findings indicate a reciprocal relationship between
typical drinking and SV, supporting both the self-medication hypothesis and
routine activity theory, and suggesting that hazardous drinking levels may be one
important target for both SV vulnerability reduction and interventions for women
who have been sexually victimized.
PMID- 27213103
TI - Sex in heaven.
PMID- 27213104
TI - Straight sinus thrombosis during neurosurgical operation.
AB - BACKGROUND: Perioperative straight sinus thrombosis is extremely rare. CASE
DESCRIPTION: A 59-year-old female was admitted to our department because of
incidentally found small anterior cerebral artery (A1) aneurysm with
microbleeding. After clipping the cerebral aneurysm, she had delayed emergence
from anesthesia, total aphasia, and right hemiparesis. Fluid-attenuated inversion
recovery (FLAIR) magnetic resonance imaging (MRI) of the head showed
hyperintensity in the bilateral caudate nuclei, putamina, and thalami, and
computed tomography of the head showed a hyperdense straight sinus, suggesting
straight sinus thrombosis. Her neurologic symptoms improved gradually, and she
achieved a full clinical recovery, with radiological evidence of recanalization
of the straight sinus at follow-up. CONCLUSION: The possibility of straight sinus
thrombosis should be considered in postoperative patients with unexplained
postoperative deficits when MRI demonstrates hyperintensity in the bilateral
basal ganglia and thalami on FLAIR signal images.
PMID- 27213106
TI - Dural-based infantile hemangioma of the posterior fossa: Case report.
AB - BACKGROUND: The authors present the unique case of a dural-based, infantile
hemangioma located in the posterior fossa of a 15-day-old infant. CASE
DESCRIPTION: The patient presented with hydrocephalus. The lesion was identified
by magnetic resonance imaging and was subsequently resected. Diagnosis of the
lesion was confirmed with immunohistochemistry staining. The patient's hospital
course was complicated by transverse sinus thrombosis and a cerebrospinal fluid
leak that were treated with anticoagulation therapy and ventriculoperitoneal
shunt placement, respectively. CONCLUSION: Although hemangiomas are benign
entities, our patient's lesion was in the posterior fossa causing compression and
hydrocephalus that necessitated resection. We encourage others to consider the
possibility of hemangioma in the differential diagnosis of dural-based posterior
fossa lesions in infants.
PMID- 27213105
TI - Microvascular decompression for glossopharyngeal neuralgia through a
microasterional approach: A case series.
AB - BACKGROUND: Glossopharyngeal neuralgia (GPN) is an uncommon craniofacial pain
syndrome. It is characterized by a sudden onset lancinating pain usually
localized in the sensory distribution of the IX cranial nerve associated with
excessive vagal outflow, which leads to bradycardia, hypotension, syncope, or
cardiac arrest. This study aims to review our surgical experience performing
microvascular decompression (MVD) in patients with GPN. METHODS: Over the last 20
years, 14 consecutive cases were diagnosed with GPN. MVD using a microasterional
approach was performed in all patients. Demographic data, clinical presentation,
surgical findings, clinical outcome, complications, and long-term follow-up were
reviewed. RESULTS: The median age of onset was 58.7 years. The mean time from
onset of symptoms to treatment was 8.8 years. Glossopharyngeal and vagus nerve
compression was from the posterior inferior cerebellar artery in eleven cases
(78.5%), vertebral artery in two cases (14.2%), and choroid plexus in one case
(7.1%). Postoperative mean follow-up was 26 months (3-180 months). Pain analysis
demonstrated long-term pain improvement of 114 +/- 27.1 months and pain remission
in 13 patients (92.9%) (P = 0.0001) two complications were documented, one
patient had a cerebrospinal fluid leak, and another had bacterial meningitis.
There was no surgical mortality. CONCLUSIONS: GPN is a rare entity, and secondary
causes should be discarded. MVD through a retractorless microasterional approach
is a safe and effective technique. Our series demonstrated an excellent clinical
outcome with pain remission in 92.9%.
PMID- 27213107
TI - Nasal cavity epithelioid hemangioendothelioma invading the anterior skull base.
AB - BACKGROUND: Epithelioid hemangioendothelioma (EHE) is a rare vascular tumor that
frequently occurs in soft tissues. Patients suffer from local recurrence and
remote metastasis because of its malignant potential. Here, we present a rare
case of EHE that originated from nasal cavity and invaded intracranially through
the anterior skull base. CASE DESCRIPTION: This is a 27-year-old woman who
presented a local physician with intermittent epistaxis and a facial pain around
her nose. Preoperative studies demonstrated that the tumor invaded into anterior
skull base and the dura matter. Therefore, we performed combined skull base and
transnasal surgery, which achieved complete resection of the tumor. Postoperative
course of the patient was uneventful. No recurrence or distant metastasis was
observed in the patient for 2 years following the radical resection. CONCLUSIONS:
To date, four cases of EHE in the nasal cavity were reported. This is the first
case in which EHE demonstrated invasive potentials with intracranial extension.
Radical surgical resection plays an important role for better management of
invasive paranasal EHE.
PMID- 27213108
TI - A tale of two acute extradural hematomas.
AB - BACKGROUND: In much of the Western hemisphere, mortality from traumatic acute
extradural hematomas (AEDH) has been drastically brought down toward 0%. This is
still not the case however in most developing countries. CASE DESCRIPTION: This
report represents a tragi-comic tale of two cases of traumatic AEDH managed by an
academic neurosurgeon in a neurosurgically ill-resourced private health facility
during a nationwide industrial strike action preventing clinical-surgical care in
the principal author's University Teaching Hospital. A young man presented with
altered consciousness, Glasgow Coma Score (GCS) 14/15, following a road accident.
The cranial computed tomography (CT) scan was obtained only 9 h after its
request, long after the man had actually deteriorated to GCS 7/15 with pupillary
changes. The neurosurgeon, summoned from the nearby University Teaching Hospital
for the operative care of this man, arrived on-site and was about moving the
patient into the operative room when he took the final breaths and died, all
within 2 h of the belated neuroimaging. This scenario repeated itself in the same
health facility just 24 h later with another young man who presented GCS 7/15 and
another identical CT evidence of traumatic AEDH. With more financially able
relations, the diagnostic/surgical care of this second patient was much more
prompt. He made a very brisk recovery from neurosurgical operative intervention.
He is alive and well, 5-month postoperative. CONCLUSIONS: In most low-resourced
health systems of the developing countries, a significant proportion of
potentially salvageable cases of AEDH still perish from this disease condition.
PMID- 27213109
TI - Epidural hematoma after routine epidural steroid injection.
AB - BACKGROUND: There are few reported cases of an epidural spinal hematoma following
interventional pain procedures. CASE DESCRIPTION: We report a case of a spinal
epidural hematoma in a patient with no known risk factors (e.g. coagulopathy),
who underwent an epidural steroid injection (ESI) in the same anatomic location
as two previously successful ESI procedures. CONCLUSION: Early detection was the
key to our case, and avoiding sedation allowed the patient to recognize the onset
of a new neurological deficit, and lead to prompt diagnosis as well as surgical
decompression of the resultant hematoma.
PMID- 27213110
TI - Firearm bullet settling into the lumbar spinal canal without causing neurological
deficit: A report of two cases.
AB - BACKGROUND: Uncertainty still exists regarding the treatment of the patients
presenting with gunshot wounds to the spine. Neurological insults, cerebrospinal
fluid fistula, infection, lead or copper toxicity, migration of bullets, and
spinal instability are included among the common challenging issues. CASE
DESCRIPTION: An 18-year-old woman was admitted with low back pain following a
gunshot injury five days ago. She was neurologically intact. Radiological
examinations showed that a bullet was settled in L4-5 disc space. The bullet was
removed with a unilateral L4-5 partial hemilaminectomy and discectomy from the
left side. The second case was of a 29-year-old man admitted with radiating leg
pain on the right side following a gunshot injury from his left side of lower
back four months ago. He had only positive straight leg raising test.
Radiological studies showed two bullets, one was in the psoas muscle on the left
side and the other was in spinal canal that had caused a burst fracture of the L5
vertebra. Following L5 laminectomy and bilateral L5-S1 facetectomy, the bullet
was removed from the spinal canal and L5-S1 transpedicular posterior
stabilization was performed. The postoperative period of both patients was
unremarkable. CONCLUSION: Bullet settling into the lumbar spinal canal without
causing neurological deficit may require surgical intervention. Removal of
bullets provided not only pain relief in both the cases but also prevented future
complications such as migration of the bullets, plumbism, and neuropathic pain
and instability.
PMID- 27213111
TI - Bilateral posterior fossa chronic subdural hematoma treated with craniectomy:
Case report and review of the literature.
AB - BACKGROUND: Posterior chronic subdural hematomas (pCSHs) are rare. Their
diagnosis and treatment are difficult. DESCRIPTION: A 69-year-old woman was
admitted to our hospital with nausea, headache, and mild consciousness
disturbance. Computed tomography and magnetic resonance imaging showed bilateral
pCSH. To prevent further neurological deterioration, we performed surgery under
general anesthesia by midline suboccipital craniectomy. Unexpected bleeding from
a developed circuitous occipital sinus was stopped with hemoclips. After hematoma
removal, she recovered and was transferred to a rehabilitation hospital. By the
19(th) postoperative day, she had developed no neurologic deficits. CONCLUSION:
This experience demonstrates the risk of blind surgical therapy in patients with
pCSH. In such patients, posterior fossa craniectomy may be preferable in terms of
diagnosis and safe treatment.
PMID- 27213112
TI - Self-inflicted nail-gun injury with cranial penetration and use of intraoperative
computed tomography.
AB - BACKGROUND: Management of penetrating cranial trauma remains a high acuity and
imaging intense neurosurgical disorder. Imaging of vital structures, including
angiography, is typically conducted to understand the proximity of vital
structures in comparison to a foreign body and prepare for intraoperative
complications such as hemorrhage. Preservation of function following initial
injury in cases where minimal neurological deficit exists is essential. CASE
DESCRIPTION: Here, we present a case using intraoperative computed tomography to
assist in early detection and resolution of hemorrhage in the surgical management
of an intact patient with self-inflicted penetrating cranial trauma. CONCLUSIONS:
This method may aid in early detection of hemorrhage and prevention of
consequential neurological deterioration or emergent need for secondary surgery.
PMID- 27213114
TI - Vertex epidural hematoma: A rare cause of post-traumatic headache and a
diagnostic challenge.
AB - BACKGROUND: Vertex epidural hematomas (VEH) account for only 8% of all epidural
hematomas. However, these traumatic injuries may be underestimated or overlooked
altogether when only computed tomography (CT) scans are used for diagnosis. The
vertex may be a potential anatomic "blind spot" on this radiological method. In
such cases, magnetic resonance (MRI) offers a great diagnostic aid. CASE
DESCRIPTION: This manuscript reports a patient of a head trauma who developed
progressive and intractable headache. MRI made the diagnosis of progressive VEH
and highlighted the detachment of the superior sagittal sinus by the hematoma.
Surgical treatment, because of the refractory clinical findings, was performed
with good postoperative recovery. CONCLUSION: Multiple trauma patients with
progressive and refractory headache should have their head CT thoroughly reviewed
and, if necessary, be investigated with MRI.
PMID- 27213113
TI - Post-traumatic anosmia in patients with mild traumatic brain injury (mTBI): A
systematic and illustrated review.
AB - BACKGROUND: Olfactory dysfunction (OD) is a disorder associated with traumatic
brain injury (TBI), which is prevalent in up to 20% of patients suffering from
TBI. Nevertheless, most studies focusing on the relationship between OD and TBIs
do not differentiate between the different types of TBI (mild, medium, and
severe). In this paper, we conducted a comprehensive and systematic review of the
existing literature for the association between mild TBI (mTBI) and OD in order
to examine their relationship, focusing on its neurosurgical management and the
radiographic characteristics. METHODS: The MEDLINE database was systematically
reviewed according to the Preferred Reporting Items for Systematic Reviews and
Meta-analyses guidelines. We found 66 articles, of which 10 fulfilled our
criteria. RESULTS: All except two studies reported a significant association
between trauma severity and olfaction. Two studies found a negative correlation
between TBI severity and olfactory bulb volume with one reporting an r value of
0.62). Three studies reported an association between the observation of
radiographic intracranial hemorrhage or skull base fractures and the history of
TBI. CONCLUSION: According to our search results, we conclude that OD is a
prevalent but underdiagnosed problem in mTBI. Because OD is associated with a
significant decrease in quality of life, we think that neurosurgical teams need
to asses olfactory function in mTBI patients when they report to clinics. To
illustrate this scenario, we include two distinct cases of patients with anosmia
after mTBI in this review. Finally, we suggest a treatment algorithm for patients
with mTBI so that a possible OD can be diagnosed and treated as early as
possible.
PMID- 27213115
TI - Tolerance of Tumor-Specific T cells in Melanoma Metastases.
PMID- 27213117
TI - Syringyl Methacrylate, a Hardwood Lignin-Based Monomer for High-Tg Polymeric
Materials.
AB - As viable precursors to a diverse array of macromolecules, biomass-derived
compounds must impart wide-ranging and precisely controllable properties to
polymers. Herein, we report the synthesis and subsequent reversible addition
fragmentation chain-transfer polymerization of a new monomer, syringyl
methacrylate (SM, 2,6-dimethoxyphenyl methacrylate), that can facilitate
widespread property manipulations in macromolecules. Homopolymers and
heteropolymers synthesized from SM and related monomers have broadly tunable and
highly controllable glass transition temperatures ranging from 114 to 205
degrees C and zero-shear viscosities ranging from ~0.2 kPa.s to ~17,000 kPa.s at
220 degrees C, with consistent thermal stabilities. The tailorability of these
properties is facilitated by the controlled polymerization kinetics of SM and the
fact that one vs two o-methoxy groups negligibly affect monomer reactivity.
Moreover, syringol, the precursor to SM, is an abundant component of
depolymerized hardwood (e.g., oak) and graminaceous (e.g., switchgrass) lignins,
making SM a potentially sustainable and low-cost candidate for tailoring
macromolecular properties.
PMID- 27213116
TI - A Large Animal Survival Model to Evaluate Bariatric Surgery Mechanisms.
AB - BACKGROUND: The impact of Roux-en-Y gastric bypass (RYGB) on type 2 diabetes
mellitus is thought to result from upper and/or lower gut hormone alterations.
Evidence supporting these mechanisms is incomplete, in part because of
limitations in relevant bariatric-surgery animal models, specifically the lack of
naturally insulin-resistant large animals. With overfeeding, Ossabaw swine
develop a robust metabolic syndrome, and may be suitable for studying post
surgical physiology. Whether bariatric surgery is feasible in these animals with
acceptable survival is unknown. METHODS: Thirty-two Ossabaws were fed a high-fat,
high-cholesterol diet to induce obesity and insulin resistance. These animals
were assigned to RYGB (n = 8), RYGB with vagotomy (RYGB-V, n = 5),
gastrojejunostomy (GJ, n = 10), GJ with duodenal exclusion (GJD, n = 7), or sham
operation (n = 2) and were euthanized 60 days post-operatively. Post-operative
changes in weight and food intake are reported. RESULTS: Survival to scheduled
necropsy among surgical groups was 77%, living an average of 57 days post
operatively. Cardiac arrest under anesthesia occurred in 4 pigs. Greatest weight
loss (18.0% +/- 6%) and food intake decrease (57.0% +/- 20%) occurred following
RYGB while animals undergoing RYGB-V showed only 6.6% +/- 3% weight loss despite
50.8% +/- 25% food intake decrease. GJ (12.7% +/- 4%) and GJD (1.2% +/- 1%) pigs
gained weight, but less than sham controls (13.4% +/- 10%). CONCLUSIONS: A
survival model of metabolic surgical procedures is feasible, leads to significant
weight loss, and provides the opportunity to evaluate new interventions and
subtle variations in surgical technique (e.g. vagus nerve sparing) that may
provide new mechanistic insights.
PMID- 27213118
TI - Validating a measure of myalgic encephalomyelitis/chronic fatigue syndrome
symptomatology.
AB - OBJECTIVES: The present study sought to validate a comprehensive self-report
measure of myalgic encephalomyelitis/chronic fatigue syndrome (ME/CFS)
symptomatology to aid in clinical and research assessment. METHOD: Exploratory
factor analysis (EFA) was used to establish the underlying factor structure of
the DePaul Symptom Questionnaire (DSQ) (Jason, Evans, et al., 2010) using a well
characterized sample of individuals (92.6% met the Fukuda et al. criteria (1994)
and/or the Clinical Canadian Criteria (Carruthers et al., 2003)), and this
structure was then tested on a less stringently recruited sample of individuals
utilizing a confirmatory factor analysis (CFA). Convergent and discriminant
validity of the DSQ were also examined utilizing alternative measures of
symptomatology and functioning. RESULTS: A 3-factor solution was found using EFA
(Neuroendocrine, Autonomic & Immune Dysfunction; Neurological/Cognitive
Dysfunction; Post-Exertional Malaise) and the fit of this factor structure was
adequate for the second sample. DISCUSSION: The DSQ is a valid measure of ME/CFS
symptomatology. The emergent factors were consistent with previous literature on
symptom clusters, and convergent and discriminant validity were established.
PMID- 27213119
TI - Storage Effects on Sample Integrity of Environmental Surface Sampling Specimens
with Bacillus anthracis Spores.
AB - The effect of packaging, shipping temperatures and storage times on recovery of
Bacillus anthracis. Sterne spores from swabs was investigated. Macrofoam swabs
were pre-moistened, inoculated with Bacillus anthracis spores, and packaged in
primary containment or secondary containment before storage at -15 degrees C, 5
degrees C, 21 degrees C, or 35 degrees C for 0-7 days. Swabs were processed
according to validated Centers for Disease Control/Laboratory Response Network
culture protocols, and the percent recovery relative to a reference sample (T0)
was determined for each variable. No differences were observed in recovery
between swabs held at -15 degrees and 5 degrees C, (p >= 0.23). These two
temperatures provided significantly better recovery than swabs held at 21 degrees
C or 35 degrees C (all 7 days pooled, p <= 0.04). The percent recovery at 5
degrees C was not significantly different if processed on days 1, 2 or 4, but was
significantly lower on day 7 (day 2 vs. 7, 5 degrees C, 102, p=0.03). Secondary
containment provided significantly better percent recovery than primary
containment, regardless of storage time (5 degrees C data, p <= 0.008). The
integrity of environmental swab samples containing Bacillus anthracis spores
shipped in secondary containment was maintained when stored at -15 degrees C or 5
degrees C and processed within 4 days to yield the optimum percent recovery of
spores.
PMID- 27213121
TI - Development and characterization of 15 microsatellite markers for Cephalotaxus
fortunei (Cephalotaxaceae).
AB - PREMISE OF THE STUDY: To survey population variation and the adaptive evolution
of Cephalotaxus fortunei (Cephalotaxaceae), an endemic and endangered conifer in
China, microsatellite markers were developed and characterized for this species.
METHODS AND RESULTS: Based on the Fast Isolation by AFLP of Sequences COntaining
repeats (FIASCO) protocol, 15 microsatellite markers were developed for C.
fortunei, 13 of which were polymorphic within a sample of 75 individuals
representing five natural populations. The number of alleles per locus ranged
from one to seven. The expected and observed heterozygosities were 0.108-0.738
and 0.000-1.000, respectively. Ten polymorphic loci were also successfully
amplified in C. oliveri. CONCLUSIONS: These polymorphic loci provide a valuable
tool for population genetic analysis of C. fortunei, which will contribute to its
management and conservation.
PMID- 27213122
TI - Development of SSR markers for Psychotria homalosperma (Rubiaceae) and cross
amplification in four other species.
AB - PREMISE OF THE STUDY: Twenty-six microsatellite (simple sequence repeat [SSR])
markers were characterized in Psychotria homalosperma (Rubiaceae), an endemic
evergreen tree in the Bonin Islands, Japan, to investigate the genetic structure
and gene flow of the species. METHODS AND RESULTS: Using next-generation
sequencing, we developed 26 SSR markers for P. homalosperma with perfect motifs
from di- to pentanucleotide repeats. Of these, the Chichijima and Hahajima island
populations of P. homalosperma had mean allele numbers of 6.50 and 6.81,
respectively. The mean expected heterozygosities were 0.578 and 0.606,
respectively. In addition, 10 and eight of these markers were successfully
amplified for P. boninensis and P. serpens, respectively, occurring in the same
or adjacent areas. CONCLUSIONS: The SSR markers developed in this study will be
useful for future studies concerning the population genetics of P. homalosperma
and will facilitate the development of a conservation strategy.
PMID- 27213120
TI - Thyroid Cancer Metabolism: A Review.
AB - Metabolic dysregulation within the tumor microenvironment (TME) is critical to
the process of tumorigenesis in various cancer types. Thyrocyte metabolism in
papillary and anaplastic thyroid cancer, however, remains poorly characterized,
and studies analyzing the role of multicompartment metabolism in thyrocyte
oncogenesis are sparse. We present a review of the current knowledge on cellular
metabolism in non-cancerous and cancerous thyroid tissues, focusing on the
monocarboxylate transporters MCT1 and MCT4, and on a transporter of the outer
mitochondrial membrane TOMM20. Understanding the metabolic phenotype of tumor
cells and associated stromal cells in thyroid cancer can have profound
implications on the use of biomarker staining in detecting subclinical cancer,
imaging as it relates to expression of various transport proteins, and
therapeutic interventions that manipulate this dysregulated tumor metabolism to
halt tumorigenesis and eradicate the cancer. Future studies are required to
confirm the prognostic significance of these biomarkers and their correlation
with existing staging schemas such as the AGES, AMES, ATA and MACIS scoring
systems.
PMID- 27213123
TI - Characterization of microsatellites identified by next-generation sequencing in
the Neotropical tree Handroanthus billbergii (Bignoniaceae).
AB - PREMISE OF THE STUDY: We developed microsatellite (simple sequence repeat [SSR])
markers in the Neotropical tree Handroanthus billbergii (Bignoniaceae), to be
applied in assessment of genetic diversity in this species as a reference for
inferring the impact of dry forest fragmentation in Ecuador. METHODS AND RESULTS:
Using next-generation sequencing, we detected a total of 26,893 putative SSRs
reported here. Using an ABI 3500xl sequencer, we identified and characterized a
set of polymorphic markers in 23 individuals belonging to three populations of H.
billbergii. CONCLUSIONS: We report a set of 30 useful SSR markers for H.
billbergii and a large list of potential microsatellites for developing new
markers for this or related species.
PMID- 27213124
TI - Development and characterization of microsatellite primers for Chamaecyparis
obtusa (Cupressaceae).
AB - PREMISE OF THE STUDY: Although several microsatellite markers of Chamaecyparis
obtusa (Cupressaceae) have been reported in previous studies, we newly developed
and evaluated polymorphic microsatellite markers of C. obtusa, an economically
important species in Korea as a major forestation tree species, for further
genetic studies. METHODS AND RESULTS: Microsatellite-enrichment libraries were
constructed according to the selective hybridization method. From this output, we
obtained 10 polymorphic and five monomorphic microsatellite markers for C.
obtusa. Five of these developed markers were successfully amplified for C.
pisifera, a related species. CONCLUSIONS: These microsatellite markers can be
valuable tools for further genetic studies of C. obtusa, and gathered genetic
information may be useful for breeding program management.
PMID- 27213125
TI - Microsatellite primers for a species of South African everlasting daisy
(Helichrysum odoratissimum; Gnaphalieae, Asteraceae).
AB - PREMISE OF THE STUDY: Microsatellites were developed for the widespread
Helichrysum odoratissimum (Asteraceae) to estimate gene flow across diploid
populations and to test if gene flow occurs among other closely related lineages
within this genus. METHODS AND RESULTS: Ten primer pairs were developed and
tested using populations across South Africa; however, only seven primer pairs
were polymorphic for the target species. The seven polymorphic primers amplified
di- and trinucleotide repeats with up to 16 alleles per locus among 125 diploid
individuals used for analyses. CONCLUSIONS: These markers can be used to estimate
gene flow among populations of known ploidy level of H. odoratissimum to test
evolutionary hypotheses. Furthermore, these markers amplify successfully in other
Helichrysum species, including the other three taxonomic Group 4 species, and
therefore can be used to inform taxonomic work on these species.
PMID- 27213126
TI - Microbead encapsulation of living plant protoplasts: A new tool for the handling
of single plant cells.
AB - PREMISE OF THE STUDY: Understanding plant cell biomechanics has been hampered by
a lack of appropriate experimental tools. Here we introduce a protocol for the
incorporation of individual plant protoplasts into precisely sized agarose
microbeads. This technology may lead to new ways to manipulate the physical and
chemical microenvironment of individual plant cells. METHODS AND RESULTS: Living
protoplasts obtained from BY-2 tobacco suspension cultures were continuously
incorporated into a stream of agarose microdroplets, collected in cooled mineral
oil as gelled microbeads, and then transferred into liquid MS medium for culture.
In this first report, we show that spherical microbeads containing living
protoplasts can be easily generated in quantity and that these encapsulated cells
continue to grow and divide. CONCLUSIONS: Microbead encapsulation of protoplasts
affords the opportunity to precisely control the physical microenvironment of
individual plant cells. Ultimately, this method may help facilitate novel studies
in plant biomechanics.
PMID- 27213127
TI - The complete chloroplast genome of Capsicum frutescens (Solanaceae).
AB - PREMISE OF THE STUDY: We report the complete sequence of the chloroplast genome
of Capsicum frutescens (Solanaceae), a species of chili pepper. METHODS AND
RESULTS: Using an Illumina platform, we sequenced the chloroplast genome of C.
frutescens. The total length of the genome is 156,817 bp, and the overall GC
content is 37.7%. A pair of 25,792-bp inverted repeats is separated by small
(17,853 bp) and large (87,380 bp) single-copy regions. The C. frutescens
chloroplast genome encodes 132 unique genes, including 87 protein-coding genes,
37 transfer RNA (tRNA) genes, and eight ribosomal RNA (rRNA) genes. Of these,
seven genes are duplicated in the inverted repeats and 12 genes contain one or
two introns. Comparative analysis with the reference chloroplast genome revealed
125 simple sequence repeat motifs and 34 variants, mostly located in the
noncoding regions. CONCLUSIONS: The complete chloroplast genome sequence of C.
frutescens reported here is a valuable genetic resource for Capsicum species.
PMID- 27213128
TI - Development of microsatellite markers for Sargentodoxa cuneata (Lardizabalaceae)
using next-generation sequencing technology.
AB - PREMISE OF THE STUDY: Microsatellite loci were developed for a woody deciduous
liana, Sargentodoxa cuneata (Lardizabalaceae), to help infer the evolutionary
histories of ancient monotypic genera in subtropical China. METHODS AND RESULTS:
Using next-generation sequencing (Illumina MiSeq) technology, 21 polymorphic
primer sets were identified in three wild populations. The number of alleles per
locus ranged from one to seven. The expected and observed heterozygosities varied
from 0 to 0.788 and 0 to 0.917, respectively. Transferability analyses were
performed in Stauntonia chinensis, Akebia trifoliata, and A. quinata. Eighteen
(85.7%), 18 (85.7%), and 17 (81.0%) markers were successfully amplified,
respectively. CONCLUSIONS: The newly developed markers will facilitate further
studies on genetic diversity and phylogeographic patterns throughout the
distributional range of S. cuneata. This set of microsatellite primers represents
the second report on molecular markers in Lardizabalaceae.
PMID- 27213129
TI - Erratum to: Epidemiology of dementia in Central Africa (EPIDEMCA): protocol for a
multicentre population-based study in rural and urban areas of the Central
African Republic and the Republic of Congo.
AB - [This corrects the article DOI: 10.1186/2193-1801-3-338.].
PMID- 27213130
TI - A suitable palpation technique allows to identify skin lipohypertrophic lesions
in insulin-treated people with diabetes.
AB - BACKGROUND: Lipohypertrophy (LH) is a major complication of subcutaneous insulin
treatment brought about by multiple overlapping injections and/or needle reuse.
It is responsible for unacceptable glucose oscillations due to a high rate of
hypoglycaemic episodes and rebound glucose spikes. Skin ultrasound scans (USS),
the gold standard for its detection, is too expensive for screening purposes.
AIMS: To define a structured method allowing health professionals (HPs) to
identify LH lesions as inexpensively and correctly as possible. METHODS: Out of
129 insulin-treated people with diabetes identified by USS as having LH lesions,
only 40 agreed to participate in the study (24 females, age 54 +/- 15 years,
daily insulin dosage 57 +/- 12 IU). Each was blindly examined by four well
trained and four non-trained HPs according to a standard method involving
repeated well codified maneuvers. RESULTS: A specific training allowed
inexperienced HPs to acquire high diagnostic accuracy in identifying LH lesions
independent of site, size, shape, and even BMI. This kind of training also
allowed to reach a 97 % consistency rate among HPs as compared to USS, while the
lack of training was associated with a wide variability and inconsistency of
identification results. CONCLUSIONS: Diabetes teams should follow systematically
the simple procedure reported in this paper for the diagnosis of LH and try to
get it further implemented and progressively refined in large scale studies. This
would have a major impact on patient education in terms of (1) correct injection
technique and (2) ability to identify lesions early enough to prevent poor
metabolic outcome.
PMID- 27213131
TI - A corroborative study on improving pitch determination by time-frequency cepstrum
decomposition using wavelets.
AB - A new wavelet-based method is presented in this work for estimating and tracking
the pitch period. The main idea of the proposed new approach consists in
extracting the cepstrum excitation signal and applying on it a wavelet transform
whose resulting approximation coefficients are smoothed, for a better pitch
determination. Although the principle of the algorithms proposed has already been
considered previously, the novelty of our methods relies in the use of powerful
wavelet transforms well adapted to pitch determination. The wavelet transforms
considered in this article are the discrete wavelet transform and the dual tree
complex wavelet transform. This article, by all the provided experimental
results, corroborates the idea of decomposing the cepstrum excitation by using
wavelet transforms for improving pitch detection. Another interesting point of
this article relies in using a simple but efficient voicing decision (which
actually improves a similar voicing criterion we proposed in a preceding
published study) which on one hand respects the real-time process with low
latency and on the other hand allows obtaining low classifications errors. The
accuracy of the proposed pitch tracking algorithms has been evaluated using the
international Bagshaw and the Keele databases which include male and female
speakers. Our various experimental results demonstrate that the proposed methods
provide important performance improvements when compared with previously
published pitch determination algorithms.
PMID- 27213132
TI - Women and Smoking: The Effect of Gender on the Epidemiology, Health Effects, and
Cessation of Smoking.
AB - Smoking is still the leading cause of premature morbidity and mortality. This
paper examines new research on gender differences and the epidemiology of
smoking, smoking-related morbidity and mortality, and factors that affect smoking
cessation. The rate of decline in the prevalence of smoking has been slowing,
especially among adolescent girls. New research suggests that, compared with men,
women may be more susceptible to smoking-related morbidity and mortality. Gender
related barriers to smoking cessation include weight gain, sex hormones, and
mood. Furthermore, the sensory aspects of smoking may have more of an effect on
smoking treatment for women than for men. We discuss new studies that examine
smoking-cessation interventions that may be particularly beneficial for women,
including exercise (as an adjunct intervention), very low nicotine content
cigarettes, and a variety of pharmacotherapy. Further research is needed to
identify and target the gender-specific needs of smokers.
PMID- 27213133
TI - Chronic Subdural Haematoma in the Elderly: Is It Time for a New Paradigm in
Management?
AB - Chronic subdural haematoma (CSDH) is a common neurological condition that usually
affects the elderly. The optimal treatment strategy remains uncertain,
principally because there is a lack of a good evidence base. In this paper, we
review the literature concerning the peri-operative and operative care of
patients. In particular, we highlight the non-surgical aspects of care that might
impact on patient outcomes and CSDH recurrence. We propose that an integrated
approach to care in patients with CSDH, similar to care of fragility fractures in
the elderly, may be an important strategy to improve patient care and outcomes.
PMID- 27213134
TI - Musculoskeletal Lower Limb Injury Risk in Army Populations.
AB - Injuries are common within military populations, with high incidence rates well
established in the literature. Injuries cause a substantial number of working
days lost, a significant cost through compensation claims and an increased risk
of attrition. In an effort to address this, a considerable amount of research has
gone into identifying the most prevalent types of injury and their associated
risk factors. Collective evidence suggests that training and equipment contribute
to a large proportion of the injuries sustained. In particular, the large loads
borne by soldiers, the high intensity training programs and the influence of
footwear have been identified as significant causative factors of lower limb
injury in military populations. A number of preventative strategies have been
developed within military bodies around the world to address these issues. The
relative success of these strategies is highly variable; however, with
advancements in technology, new approaches will become available and existing
strategies may become more effective.
PMID- 27213135
TI - Splenic infarcts as a rare manifestation of parvovirus B19 infection.
AB - INTRODUCTION: Human parvovirus B19 is a DNA virus most known for causing erythema
infectiosum in children, and polyarthropathy or transient aplastic crisis in
adults. However, various unusual clinical manifestations have also been reported
in association with it. We describe a young patient who presented with splenic
infarcts as a rare complication of B19 infection. CASE REPORT: A 33-year old
previously healthy man was admitted to our hospital because of a 5-day history of
fever and headache. Imaging studies revaled two splenic infarcts. Endocarditis
was ruled out, whereas serologic testing for B19 was indicative of acute
infection. DISCUSSION: To our knowledge, three cases of thromboembolism in the
setting of B19 infection have been reported up to now, including one occurence of
splenic infarction. These events were attributed to the development of a
transient antiphospholipid antibody syndrome. In contrast, our patient did not
have elevated titers of antiphospholipid antibodies. CONCLUSIONS: Splenic
infarcts can be an atypical presentation of B19 infection. Parvovirus B19 may
induce thromboembolic events, even in the absence of antiphospholipid antibodies.
PMID- 27213136
TI - TCF7L2 polymorphism is associated with low nitric oxide release, endothelial
dysfunction and enhanced inflammatory response after myocardial infarction.
AB - BACKGOUND: The favorable effects of insulin during myocardial infarction (MI)
remain unclear due to the divergence between mechanistic studies and clinical
trials of exogenous insulin administration. The rs7903146 polymorphism of the
transcription factor 7-like 2 (TCF7L2) gene is associated with attenuated insulin
secretion. METHODS: In non-diabetic patients with ST-elevation MI (STEMI), using
such a model of genetically determined down-regulation of endogenous insulin
secretion we investigated the change in plasma insulin, C-peptide, interleukin-2
(IL-2), C-reactive protein (CRP), and nitric oxide (NOx) levels between admission
(D1) and the fifth day after MI (D5). Coronary angiography and flow-mediated
dilation (FMD) were performed at admission and 30 days after MI, respectively.
Homeostasis Model Assessment estimated insulin secretion (HOMA2%beta) and insulin
sensitivity (HOMA2%S). RESULTS: Although glycemia did not differ between
genotypes, carriers of the T-allele had lower HOMA2%beta and higher HOMA2%S at
both D1 and D5. As compared with non-carriers, T-allele carriers had higher
plasma IL-2 and CRP at D5, higher intracoronary thrombus grade, lower FMD and NOx
change between D1 and D5 and higher 30-day mortality. CONCLUSION: In non-diabetic
STEMI patients, the rs7903146 TCF7L2 gene polymorphism is associated with lower
insulin secretion, worse endothelial function, higher coronary thrombotic burden,
and higher short-term mortality. GENERAL SIGNIFICANCE: During the acute phase of
MI, a lower capacity of insulin secretion may influence clinical outcome.
PMID- 27213137
TI - Persistent variations in neuronal DNA methylation following cocaine self
administration and protracted abstinence in mice.
AB - Continued vulnerability to relapse during abstinence is characteristic of cocaine
addiction and suggests that drug-induced neuroadaptations persist during
abstinence. However, the precise cellular and molecular attributes of these
adaptations remain equivocal. One possibility is that cocaine self-administration
leads to enduring changes in DNA methylation. To address this possibility, we
isolated neurons from medial prefrontal cortex and performed high throughput DNA
sequencing to examine changes in DNA methylation following cocaine self
administration. Twenty-nine genomic regions became persistently differentially
methylated during cocaine self-administration, and an additional 28 regions
became selectively differentially methylated during abstinence. Altered DNA
methylation was associated with isoform-specific changes in the expression of co
localizing genes. These results provide the first neuron-specific, genome-wide
profile of changes in DNA methylation induced by cocaine self-administration and
protracted abstinence. Moreover, our findings suggest that altered DNA
methylation facilitates long-term behavioral adaptation in a manner that extends
beyond the perpetuation of altered transcriptional states.
PMID- 27213139
TI - Use of laboratory test results in patient management by clinicians in Malawi.
AB - BACKGROUND: Malawi has a high burden of infectious disease. The expansion of
programmes targeting these diseases requires a strong laboratory infrastructure
to support both diagnosis and treatment. OBJECTIVES: To assess the use of
laboratory test results in patient management and to determine the requirements
for improving laboratory services. METHODS: A cross-sectional study was conducted
in 2012 to survey practising clinicians. Two hospitals were purposively selected
for observations of clinicians ordering laboratory tests. Twelve management-level
key informants were interviewed. Descriptive statistics were conducted. RESULTS:
A total of 242 clinicians were identified and 216 (89%) were interviewed. Of
these, 189 (87%) reported doubting laboratory test results at some point.
Clinicians most often doubted the quality of haematology (67%), followed by
malaria (53%) and CD4 (22%) test results. A total of 151 (70%) clinicians
reported using laboratory tests results in patient management. Use of laboratory
test results at all times in patient management varied by the type of health
facility (P < 0.001). Ninety-one percent of clinicians reported that laboratories
required infrastructure improvement. During 97 observations of clinicians' use of
laboratory test results, 80 tests were ordered, and 73 (91%) of these were used
in patient management. Key informants reported that the quality of laboratory
services was good and useful, but that services were often unavailable.
CONCLUSION: Gaps in the public laboratory system were evident. Key
recommendations to enhance the use of laboratory test results in patient
management were to strengthen the supply chain, reduce turn-around times, improve
the test menu and improve the laboratory infrastructure.
PMID- 27213138
TI - Video fluoroscopic techniques for the study of Oral Food Processing.
AB - Food oral processing and pharyngeal food passage cannot be observed directly from
the outside of the body without instrumental methods. Videofluoroscopy (x-ray
video recording) reveals the movement of oropharyngeal anatomical structures in
two dimensions. By adding a radiopaque contrast medium, the motion and shape of
the food bolus can be also visualized, providing critical information about the
mechanisms of eating, drinking, and swallowing. For quantitative analysis of the
kinematics of oral food processing, radiopaque markers are attached to the teeth,
tongue or soft palate. This approach permits kinematic analysis with a variety of
textures and consistencies, both solid and liquid. Fundamental mechanisms of food
oral processing are clearly observed with videofluoroscopy in lateral and
anteroposterior projections.
PMID- 27213140
TI - Arrhythmias and Electrocardiographic Changes in Systolic Heart Failure.
AB - BACKGROUND: Heart failure is a common condition that that leads to
hospitalization. It is associated with various atrial and ventricular
arrhythmias. AIM: The aim of this study is to find common arrhythmias and
electrocardiographic changes in hospitalized patients who have systolic heart
failure. MATERIALS AND METHODS: This is a retrospective study of medical records,
and electrocardiograms (EKGs) of 157 patients admitted to our hospital who had
systolic heart failure with ejection fraction (EF) <50% on echocardiogram. Based
on EF, the patients were divided into two groups; one with EF <= 35% and the
other with EF > 35%. Twelve-lead EKG of these patients was studied to identify
common arrhythmia and demographic variables; laboratory results were compared to
identify the differences. RESULTS: A total of 157 patients with systolic heart
failure, 63.7% had an EF <= 35%. Hypertension 82.8%, diabetes 49%, coronary
artery disease 40.8%, chronic obstructive pulmonary disease or bronchial asthma
22.3%, and stroke 12.1% were common associated co-morbidities. On analysis of
EKG, 28.6% had tachycardia, 21.9% had prolonged PR > 200 ms, 16.3% had wide QRS >
120 ms, 70.7% had prolonged corrected QT (QTc), and 42.2% had left axis
deviation. The most common arrhythmias were sinus tachycardia and atrial
fibrillation/flutter which were found in 14.6% and 13.4%, respectively. The left
ventricular hypertrophy was a common abnormality found in 22.4% followed by
ventricular premature contractions 18.4%, atrial premature contractions 9.5%, and
left bundle branch block 6.1%. Patients with severe systolic heart failure had
prolonged QRS (P = 0.02) and prolonged QTc (P = 0.01) as compared to the other
group. CONCLUSIONS: Sinus tachycardia and atrial fibrillation/flutter were common
arrhythmias in patients with systolic heart failure. Patients with severe
systolic heart failure had statistically significant prolongation of the QRS
duration and QTc interval.
PMID- 27213141
TI - The California Tri-pull Taping Method in the Treatment of Shoulder Subluxation
After Stroke: A Randomized Clinical Trial.
AB - BACKGROUND: Shoulder subluxation is a frequent occurrence in individuals
following a stroke. Although various methods of treatment are available, none of
them address all possible consequences of the subluxation pain, limited range of
motion, the subluxation, and decreased functional use of the arm. AIMS: The
purpose of this study was to evaluate the effectiveness of California tri-pull
taping (CTPT) method on shoulder subluxation, pain, active shoulder flexion, and
upper limb functional recovery after stroke. MATERIALS AND METHODS: This was a
randomized control study on 30 participants. All participants received
conventional neurorehabilitation 5 days a week over 6 weeks. Half of the
participants also received the CTPT. Pre- and post-assessment scores were taken
on all participants for the amount of shoulder subluxation, pain, active shoulder
flexion, and functional recovery. RESULTS: The CTPT method demonstrated a
significant reduction of pain in the treatment group from baseline, a significant
improvement in active shoulder flexion and a significant improvement in proximal
arm function as measured on the proximal subscale on the Fugl-Meyer upper
extremity functional Scale but not the distal or total Fugl-Meyer subscales.
Shoulder subluxation was not statistically significant. CONCLUSIONS: The CTPT
method is an effective treatment for the hemiplegic subluxed shoulder.
PMID- 27213142
TI - YouTube as a Source of Information on Cervical Cancer.
AB - BACKGROUND: Cervical cancer is the third most common cancer worldwide. Accurate
information about cervical cancer to general public can lower the burden of the
disease including its mortality. AIMS: We aimed to look at the quality of
information available in YouTube for cervical cancer. MATERIALS AND METHODS: We
searched YouTube (http://www.youtube.com) for videos using the keyword Cervical
cancer on November 12, 2015. Videos were then analyzed for their source and
content of information. RESULTS: We studied 172 videos using the keyword Cervical
cancer on November 12, 2015. We found that there were videos describing the
personal stories, risk factors, and the importance of screening. However, videos
discussing all the aspects of cancers were lacking. Likewise, videos from the
reputed organization were also lacking. CONCLUSION: Although there were numerous
videos available in cervical cancer, videos from reputed organizations including
Center for Disease Control and Prevention, American Cancer Society, and World
Health Organization were lacking. We strongly believe that quality videos from
such organizations via YouTube can help lower the burden of disease.
PMID- 27213143
TI - Osteopathic Medical Student Administered Smoking Cessation Counseling is an
Effective Tool.
AB - BACKGROUND: Physician counseling on the risks of tobacco smoking and the benefits
of cessation has been shown to be an effective method of increasing the rate of
smoking cessation. Using the "Help Your Patients Quit Smoking: A Coaching Guide"
also referred to as the "7A's of Smoking Cessation" guideline from the New York
City Department of Health and Mental Hygiene is thought to be effective to convey
the importance of smoking cessation. AIM: To study the efficacy of the "7A's of
Smoking Cessation" guideline counseling conducted by osteopathic medical
students. MATERIALS AND METHODS: Osteopathic medical students were trained to
counsel smokers for 3-10 min based on New York City Department of Health's "7A's
of Smoking Cessation" guidelines by a licensed physician. Students then counseled
health fair participants who were cigarette smokers for 3-10 min. Postcounseling,
participants were administered an 4 question survey to evaluate the effect
counseling had on their desire to quit smoking. Survey data were collected and
analyzed. Institutional Review Board approval was obtained for this study.
RESULTS: A total of 13 anonymous health fair participants who were also smokers
were administered both counseling sessions and surveys. 11/13 (84.6%)
participants stated that the session motivated them to quit smoking. 9/13 (69.2%)
participants responded that they were now motivated to discuss smoking cessation
with their doctor after being counseled. Of these participants 12/13 (92.3%) had
previously attempted to quit smoking without success. CONCLUSION: Participants
reported an increased willingness to stop smoking after being counseled by
osteopathic medical students. Participants also reported an increased motivation
to discuss smoking cessation with their physician. These findings indicate that
smoking cessation counseling administered by osteopathic medical students
effectively in encouraging smokers to consider reduction or cessation of tobacco
use.
PMID- 27213144
TI - Seasonal Variation of Rectal Foreign Bodies: Data from Nationwide Inpatient
Sample.
AB - BACKGROUND: Seasonality is noted in various aspects of human behavior and
functioning which have led to an increasing interest in their seasonality in the
recent years. AIMS: We aimed to examine the seasonal variation in the incidence
of rectal foreign bodies in the US using a large inpatient database. METHODS: We
used the Nationwide Inpatient Sample database to identify patients aged >=18
years admitted with a primary diagnosis of the rectal foreign body from 2009 to
2011. We used the Edward's recognition and estimation of cyclic trend method to
study the seasonal variation of the incidence of rectal foreign body and Z-test
to compare the seasonal incidences. RESULTS: A total of 3359 hospitalizations
with primary diagnosis of the rectal foreign body were reported from 2009 to
2011. The peak incidence of rectal foreign bodies was seen in October (peak/low
ratio 1.20, 95% confidence interval [CI]: 1.10-1.32). CONCLUSION: Data on
seasonal variation of rectal foreign bodies are extremely limited. Further
studies would be required to verify whether our findings of a higher incidence in
the fall season are reflective of acute changes in the length of the days,
climate, sleep-wake cycle, or decreased sexual intercourse at this time of the
year. High suspicion at this time of the year may help promptly diagnose and
avoid unnecessary investigations.
PMID- 27213145
TI - Tocilizumab Efficacy in a Patient with Positive Anti-CCP Chronic Lyme Arthritis.
AB - CONTEXT: Lyme arthritis, a manifestation of tick-borne Lyme disease, can prove to
be refractory to classic treatment. CASE REPORT: We present a case of a 48-year
old male, diagnosed with chronic Lyme arthritis, refractory to recurrent and
prolonged courses of doxycycline, ceftriaxone, as well as hydroxychloroquine and
methotrexate. The patient responded partially to tumor necrosis factor (TNF)
alpha blockade by etanercept and, finally, entered long-term remission after his
treatment was switched to tocilizumab. CONCLUSION: Off label treatment by
biologic disease modifying antirheumatic drugs can be considered in selected
patients with severe antibiotic-resistant Lyme arthritis.C.
PMID- 27213146
TI - Fusobacterium Nucleatum: Atypical Organism of Pyogenic Liver Abscess Might be
Related to Sigmoid Diverticulitis.
AB - CONTEXT: Pyogenic liver abscesses (PLAs) are the most common form of liver
abscesses in the United States. Most cases are caused by enteric bacteria and
anaerobes. We report a case of PLA caused by a rare pathogen, Fusobacterium
nucleatum, from an unusual primary site of infection. CASE REPORT: A 60-year-old
male presented with subacute fever. Initial work-up revealed leukocytosis and
elevated alkaline phosphatase (ALP). Dental examination and Panorex x-ray were
normal. Imaging of the liver with abdominal computed tomography demonstrated a
5.5 cm abscess in the right lobe of the liver. Culture of the aspirate grew
Fusobacterium nucleatum. He improved with abscess drainage and antibiotic therapy
with moxifloxacin and metronidazole. Colonoscopy performed a few weeks later,
demonstrated sigmoid ulceration most likely from the previous diverticulitis.
CONCLUSION: PLAs can be a complication of sigmoid diverticulitis and as a result
of occult dental disease as well. The clinical presentation of Fusobacterium
infection is diverse and can be fatal if diagnosis is delayed. Therefore, early
diagnosis and treatment are the keys to preventing serious complications.
PMID- 27213147
TI - Yoga: A Strategy to Cope up Stress and Enhance Wellbeing Among Medical Students.
PMID- 27213148
TI - The Role of Yoga in Relieving Medical Student Anxiety and Stress.
PMID- 27213149
TI - Predicting Subcellular Localization of Apoptosis Proteins Combining GO Features
of Homologous Proteins and Distance Weighted KNN Classifier.
AB - Apoptosis proteins play a key role in maintaining the stability of organism; the
functions of apoptosis proteins are related to their subcellular locations which
are used to understand the mechanism of programmed cell death. In this paper, we
utilize GO annotation information of apoptosis proteins and their homologous
proteins retrieved from GOA database to formulate feature vectors and then
combine the distance weighted KNN classification algorithm with them to solve the
data imbalance problem existing in CL317 data set to predict subcellular
locations of apoptosis proteins. It is found that the number of homologous
proteins can affect the overall prediction accuracy. Under the optimal number of
homologous proteins, the overall prediction accuracy of our method on CL317 data
set reaches 96.8% by Jackknife test. Compared with other existing methods, it
shows that our proposed method is very effective and better than others for
predicting subcellular localization of apoptosis proteins.
PMID- 27213150
TI - Production and Partial Characterization of an Alkaline Xylanase from a Novel
Fungus Cladosporium oxysporum.
AB - A new fungus Cladosporium oxysporum GQ-3 producing extracellular xylanase was
isolated from decaying agricultural waste and identified based on the morphology
and comparison of internal transcribed spacer (ITS) rDNA gene sequence. C.
oxysporum produced maximum xylanase activity of 55.92 U/mL with wheat bran as a
substrate and NH4Cl as a nitrogen source. Mg(2+) improved C. oxysporum xylanase
production. Partially purified xylanase exhibited maximum activity at 50 degrees
C and pH 8.0, respectively, and showed the stable activity after 2-h treatment in
pH 7.0-8.5 or below 55 degrees C. Mg(2+) enhanced the xylanase activity by 2%
while Cu(2+) had the highest inhibition ratio of 57.9%. Furthermore, C. oxysporum
xylanase was resistant to most of tested neutral and alkaline proteases. Our
findings indicated that Cladosporium oxysporum GQ-3 was a novel xylanase
producer, which could be used in the textile processes or paper/feed industries.
PMID- 27213151
TI - Circulating Betatrophin Is Increased in Patients with Overt and Subclinical
Hypothyroidism.
AB - Thyroid hormone (TH) affects many metabolic processes such as promoting oxidation
of sugar, fat, and protein in many tissues. Thyroid dysfunction is associated
with metabolic disorders. The newly discovered adipocyte- and hepatocyte-derived
cytokine, betatrophin, has been reported to be involved in metabolic diseases,
but its influence on thyroid dysfunction is uncertain. Therefore, the present
study aims to evaluate circulating betatrophin levels in subjects with different
thyroid function status and to predict the factors associated with betatrophin
levels, especially whether thyroid stimulating hormone (TSH), TH, or thyroid
autoantibodies are associated with betatrophin levels. In the study, serum
betatrophin was measured in the subjects grouped as overt hypothyroidism (OH),
subclinical hypothyroidism (SCH), euthyroid with isolated thyroid peroxidase
antibody positivity (isolated Ab), and healthy control (HC), according to their
thyroid functions. From our results, we found that betatrophin may be associated
with thyroid insufficiency but not thyroid autoimmunity. Thus, when interpreting
the results of betatrophin, thyroid functions should also be taken into
consideration.
PMID- 27213152
TI - Minimally Invasive Spinal Surgery with Intraoperative Image-Guided Navigation.
AB - We present our perioperative minimally invasive spine surgery technique using
intraoperative computed tomography image-guided navigation for the treatment of
various lumbar spine pathologies. We present an illustrative case of a patient
undergoing minimally invasive percutaneous posterior spinal fusion assisted by
the O-arm system with navigation. We discuss the literature and the advantages of
the technique over fluoroscopic imaging methods: lower occupational radiation
exposure for operative room personnel, reduced need for postoperative imaging,
and decreased revision rates. Most importantly, we demonstrate that use of
intraoperative cone beam CT image-guided navigation has been reported to increase
accuracy.
PMID- 27213153
TI - Biosimilars in Developed and Developing East and Southeast Asian Countries:
Japan, South Korea, and Malaysia-Overview, Evolution, and Regulations Assessment.
AB - The development of biological products has experienced continuous growth over the
past three decades. The expiration of patent protection for many biological
medicines has led to the development of biosimilars in many countries around the
world. This paper reviews the literature on biosimilar drugs and covers their
therapeutic status, clinical trials, approved biosimilars, and regulatory
guidelines in Japan, South Korea, and Malaysia. The literature suggests that
biosimilars are comparable but not identical to the reference product. They are
not a generic version of an innovative product and do not ensure therapeutic
equivalence. Biosimilars present more challenges than conventional generics and
their marketing approval is also much more complicated. Guidelines for
biosimilars were published in Japan in July 2009 by the Ministry of Health,
Labour and Welfare (MHLW), in South Korea in March 2009 by the Ministry of Food
and Drug Safety (MFDS), and in Malaysia in July 2008 by the National
Pharmaceutical Control Bureau (NPCB).
PMID- 27213154
TI - Recurrence and Treatment after Renal Transplantation in Children with FSGS.
AB - Focal segmental glomerulosclerosis (FSGS) is a common cause of end-stage renal
disease and a common pathologic diagnosis of idiopathic nephrotic syndrome (NS),
especially in steroid-resistant cases. FSGS is known to recur after kidney
transplantation, frequently followed by graft loss. However, not all patients
with FSGS suffer from recurrence after kidney transplantation, and genetic and
secondary FSGS have a negligible risk of recurrence. Furthermore, many cases of
recurrence achieve remission with the current management of recurrence (intensive
plasmapheresis/immunosuppression, including rituximab), and other promising
agents are being evaluated. Therefore, a pathologic diagnosis of FSGS itself
should not cause postponement of allograft kidney transplantation. For patients
with a high risk of recurrence who presented with classical symptoms of NS, that
is, severe edema, proteinuria, and hypoalbuminemia, close monitoring of
proteinuria is necessary, followed by immediate, intensive treatment for
recurrence.
PMID- 27213155
TI - Protective Effect of an Antibody against Specific Extracellular Domain of TLR2 on
Agonists-Driven Inflammatory and Allergic Response.
AB - Specific blocking strategies of TLR2-mediated inflammatory signaling and
hypersensitivity reactions may offer novel therapeutic strategies to prevent a
variety of diseases. In this study, we investigated the blocking effects of a new
anti-TLR2 antibody anti-T20 against a 20 mer peptide T20 located in the
extracellular specific domain of mouse TLR2. In addition, the effects of the anti
T20 in vitro, measuring the inhibition of the IL-6 and TNF-alpha production in
response to PGN, LTA, and Pam3CSK4-stimulated RAW264.7 cells, were determined. In
vivo, the effects of anti-T20 on a lethal anaphylaxis model using PGN-challenged
OVA allergic mice, including the rectal temperature and mortality, and serum
levels of TNF-alpha, IL-6, and LTC4 were assayed. The results showed that anti
T20 specifically bound to TLR2 and significantly inhibited PGN, LTA, and Pam3CSK4
driven TNF-alpha and IL-6 production by RAW264.7 cells. Also, anti-T20 protected
OVA allergic mice from PGN-induced lethal anaphylaxis, and the serum levels of
TNF-alpha, IL-6, and LTC4 of anti-T20 treated PGN-challenged OVA allergic mice
were decreased as compared to isotype control of anti-T20 treated mice. In
summary, this study produced a new antibody against the specific extracellular
domain of TLR2 which has protective effect on TLR2 agonists-driven inflammatory
and allergic response.
PMID- 27213156
TI - Preparation, Characterization, and Insecticidal Activity of Avermectin-Grafted
Carboxymethyl Chitosan.
AB - Avermectin-grafted-N,O-carboxymethyl chitosan (NOCC) derivative was obtained by
esterification reaction using dicyclohexylcarbodiimide (DCC) as dehydrating agent
and 4-methylaminopyridine as catalyst. The structures of the conjugate were
confirmed by FT-IR, (1)H NMR, and XRD. Insecticidal activities against armyworms,
carmine spider mites, black bean aphids, and brown plant hoppers were
investigated at concentrations ranging from 0.16 to 1000 mg/L. At the
concentration of 1000 mg/L and 500 mg/L, the lethal rate was 100%. Good
insecticidal activity at 4 mg/L was still shown, especially against the black
bean aphids and brown plant hoppers. Moreover, the photostability of the
conjugate was evaluated and showed an apparent improvement. At 300 mins, the
residual rate of the conjugate was 11.22%, much higher than 0.2% of the
avermectin technical material. The conjugate we developed showed potential for
further study and application in crop protection.
PMID- 27213157
TI - Increased Mortality in Diabetic Foot Ulcer Patients: The Significance of Ulcer
Type.
AB - Diabetic foot ulcer (DFU) patients have a greater than twofold increase in
mortality compared with nonulcerated diabetic patients. We investigated (a) cause
of death in DFU patients, (b) age at death, and (c) relationship between cause of
death and ulcer type. This was an eleven-year retrospective study on DFU patients
who attended King's College Hospital Foot Clinic and subsequently died. A control
group of nonulcerated diabetic patients was matched for age and type of diabetes
mellitus. The cause of death was identified from death certificates (DC) and
postmortem (PM) examinations. There were 243 DFU patient deaths during this
period. Ischaemic heart disease (IHD) was the major cause of death in 62.5% on PM
compared to 45.7% on DC. Mean age at death from IHD on PM was 5 years lower in
DFU patients compared to controls (68.2 +/- 8.7 years versus 73.1 +/- 8.0 years,
P = 0.015). IHD as a cause of death at PM was significantly linked to neuropathic
foot ulcers (OR 3.064, 95% CI 1.003-9.366, and P = 0.049). Conclusions. IHD is
the major cause of premature mortality in DFU patients with the neuropathic foot
ulcer patients being at a greater risk.
PMID- 27213159
TI - Deciphering the Blood-Brain Barrier Damage in Stroke: Mitochondrial Mechanism.
PMID- 27213158
TI - Influence of Age at Diagnosis and Time-Dependent Risk Factors on the Development
of Diabetic Retinopathy in Patients with Type 1 Diabetes.
AB - Aim. To determine the influence of age at onset of type 1 diabetes and of
traditional vascular risk factors on the development of diabetic retinopathy, in
a cohort of patients who have been followed up after onset. Methods.
Observational, retrospective study. The cohort consists of 989 patients who were
followed up after diagnosis for a mean of 10.1 (SD: 6.8) years. The influence of
age at diagnosis, glycemic control, duration of diabetes, sex, blood pressure,
lipids, BMI, and smoking is analyzed using Cox univariate and multivariate models
with fixed and time-dependent variables. Results. 135 patients (13.7%) developed
diabetic retinopathy. The cumulative incidence was 0.7, 5.9, and 21.8% at 5-, 10
, and 15-year follow-up, respectively. Compared to the group with onset at age
<10 years, the risk of retinopathy increased 2.5-, 3-, 3.3-, and 3.7-fold in the
groups with onset at 10-14, 15-29, 30-44, and >44 years, respectively. During
follow-up we also observed an association between diabetic retinopathy and HbA1c
levels, HDL-cholesterol, and diastolic blood pressure. Conclusion. The rate of
diabetic retinopathy is higher in patients who were older at type 1 diabetes
diagnosis. In addition, we confirmed the influence of glycemic control, HDL
cholesterol, and diastolic blood pressure on the occurrence of retinopathy.
PMID- 27213160
TI - Scaffolded Antigens in Yeast Cell Particle Vaccines Provide Protection against
Systemic Polyoma Virus Infection.
AB - Background. U65, a self-aggregating peptide scaffold, traps fused protein
antigens in yeast cells. Conversion to Yeast Cell Particle (YCP) vaccines by
partial removal of surface mannoproteins exposes beta-glucan, mediating efficient
uptake by antigen-presenting cells (APCs). YCP vaccines are inexpensive, capable
of rapid large-scale production and have potential for both parenteral and oral
use. Results. YCP processing by alkaline hydrolysis exposes up to 20% of the
glucan but converts scaffolded antigen and internal yeast proteins into a common
aggregate, preventing selective yeast protein removal. For U65-green fluorescent
protein (GFP) or U65-Apolipoprotein A1 (ApoA1) subcutaneous vaccines, maximal IgG
responses in mice required 10% glucan exposure. IgG responses to yeast proteins
were 5-fold lower. Proteolytic mannoprotein removal produced YCPs with only 6%
glucan exposure, insufficiently porous for selective removal of even native yeast
proteins. Vaccine efficacy was reduced 10-fold. Current YCP formulations,
therefore, are not suitable for human use but have considerable potential for use
in feed animal vaccines. Significantly, a YCP vaccine expressing a GFP fusion to
VP1, the murine polyoma virus major capsid protein, after either oral or
subcutaneous administration, protected mice against an intraperitoneal polyoma
virus challenge, reducing viral DNA levels in spleen and liver by >98%.
PMID- 27213162
TI - Anterior Cruciate Ligament Ganglion Cyst Treated Under Computed Tomography-Guided
Aspiration in a Professional Soccer Player.
PMID- 27213163
TI - Health Impact Assessment, Physical Activity and Federal Lands Trail Policy.
AB - OBJECTIVES: The objectives of this paper are to describe the application of
Health Impact Assessment (HIA) to inform trail decisions affecting a rural, under
resourced community and propose the routine integration of HIAs to enhance NEPA
environmental assessments and environmental impact statements for trail decisions
on federal lands. METHODS: Screening, scoping, assessment, recommendations,
reporting, monitoring and evaluation are being used to examine the health impact
of trail location and design. RESULTS: HIA recommendations are being integrated
into the public lands National Environmental Protection Act process for planning
access to a new segment of the Continental Divide National Scenic Trail.
Potential users from a nearby rural New Mexico community and a region of almost
one million may benefit from this HIA-informed planning. CONCLUSIONS: HIA can be
integrated into the policy and decision-making process for trails on public
lands.
PMID- 27213161
TI - Spanish Consensus Statement: The Treatment of Muscle Tears in Sport.
AB - On the 21st of March, 2015, experts met at Clinica CEMTRO in Madrid, Spain, under
the patronage of The Spanish Society for Sports Traumatology (SETRADE), The
Spanish Federation of Sports Medicine (FEMEDE), The Spanish Association of
Medical Services for Football Clubs (AEMEF), and The Spanish Association of
Medical Services for Basketball Clubs (AEMB) with the aim of establishing a round
table that would allow specialists to consider the most appropriate current
general actions to be taken when treating muscle tears in sport, based on proven
scientific data described in the medical literature. Each expert received a
questionnaire prior to the aforementioned meeting comprising a set of questions
concerning therapeutic indications generally applied in the different stages
present during muscle repair. The present Consensus Document is the result of the
answers to the questionnaire and resulting discussion and consensus over which
are the best current indications in the treatment of muscle tears in sport.
Avoiding immobilization, not taking nonsteroidal anti-inflammatory drugs (NSAIDs)
randomly, fostering early mobilization, increasing vascularization of injured,
site and regulating inflammatory mechanisms-without inhibiting these from the
early stages of the recovery period-all stood out as main points of the Consensus
Document. Additionally, there is controversy concerning cell stimulation
techniques and the use of growth factors or cell inhibitors. The decision
concerning discharge was unanimous, as was the criteria considered when it came
to performing sport techniques without pain.
PMID- 27213164
TI - Partitioning of Viruses in Wastewater Systems and Potential for Aerosolization.
AB - To gain insight into the potential for aerosolization of viruses in wastewater
systems, we investigated the partitioning of MS2 and Phi6 bacteriophages in
synthetic sludge and anaerobically digested sludge from a wastewater treatment
plant. We evaluated partitioning among the liquid, solids, and material surfaces
of porcelain, concrete, polyvinyl chloride (PVC), and polypropylene. In all
cases, at least 94% of the virions partitioned into the liquid fraction. In real
sludge, no more than 0.8% of virions partitioned to the solids and no more than
6% to the material surface. Both MS2 and Phi6 partitioned more to the surface of
concrete and polypropylene than to the surface of porcelain or PVC. Partitioning
of viruses in wastewater among the liquid, biosolids, and material surface does
not appear to mitigate the potential for aerosolization of virus, as most of the
virus remains in the liquid phase.
PMID- 27213165
TI - Evaluation of models of spectral distortions in photon-counting detectors for
computed tomography.
AB - A semi-analytical model describing spectral distortions in photon-counting
detectors (PCDs) for clinical computed tomography was evaluated using simulated
data. The distortions were due to count rate-independent spectral response
effects and count rate-dependent pulse-pileup effects and the model predicted
both the mean count rates and the spectral shape. The model parameters were
calculated using calibration data. The model was evaluated by comparing the
predicted x-ray spectra to Monte Carlo simulations of a PCD at various count
rates. The data-model agreement expressed as weighted coefficient of variation
[Formula: see text] was better than [Formula: see text] for dead time losses up
to 28% and [Formula: see text] or smaller for dead time losses up to 69%. The
accuracy of the model was also tested for the purpose of material decomposition
by estimating material thicknesses from simulated projection data. The estimated
attenuator thicknesses generally agreed with the true values within one standard
deviation of the statistical uncertainty obtained from multiple noise
realizations.
PMID- 27213166
TI - Investigation into diagnostic accuracy of common strategies for automated
perfusion motion correction.
AB - Respiratory motion is a significant obstacle to the use of quantitative perfusion
in clinical practice. Increasingly complex motion correction algorithms are being
developed to correct for respiratory motion. However, the impact of these
improvements on the final diagnosis of ischemic heart disease has not been
evaluated. The aim of this study was to compare the performance of four automated
correction methods in terms of their impact on diagnostic accuracy. Three
strategies for motion correction were used: (1) independent translation
correction for all slices, (2) translation correction for the basal slice with
transform propagation to the remaining two slices assuming identical motion in
the remaining slices, and (3) rigid correction (translation and rotation) for the
basal slice. There were no significant differences in diagnostic accuracy between
the manual and automatic motion-corrected datasets ([Formula: see text]). The
area under the curve values for manual motion correction and automatic motion
correction were 0.93 and 0.92, respectively. All of the automated motion
correction methods achieved a comparable diagnostic accuracy to manual
correction. This suggests that the simplest automated motion correction method
(method 2 with translation transform for basal location and transform propagation
to the remaining slices) is a sufficiently complex motion correction method for
use in quantitative myocardial perfusion.
PMID- 27213168
TI - QS-21: A Potent Vaccine Adjuvant.
PMID- 27213167
TI - Processing to determine optical parameters of atherosclerotic disease from
phantom and clinical intravascular optical coherence tomography three-dimensional
pullbacks.
AB - Analysis of intravascular optical coherence tomography (IVOCT) data has potential
for real-time in vivo plaque classification. We developed a processing pipeline
on a three-dimensional local region of support for estimation of optical
properties of atherosclerotic plaques from coronary artery, IVOCT pullbacks.
Using realistic coronary artery disease phantoms, we determined insignificant
differences in mean and standard deviation estimates between our pullback
analyses and more conventional processing of stationary acquisitions with frame
averaging. There was no effect of tissue depth or oblique imaging on pullback
parameter estimates. The method's performance was assessed in comparison with
observer-defined standards using clinical pullback data. Values (calcium
[Formula: see text], lipid [Formula: see text], and fibrous [Formula: see text])
were consistent with previous measurements obtained by other means. Using optical
parameters ([Formula: see text], [Formula: see text], [Formula: see text]), we
achieved feature space separation of plaque types and classification accuracy of
[Formula: see text]. Despite the rapid [Formula: see text] motion and varying
incidence angle in pullbacks, the proposed computational pipeline appears to work
as well as a more standard "stationary" approach.
PMID- 27213170
TI - 'Membership Has Its Privileges': Status Incentives and Categorical Inequality in
Education.
AB - Prizes - formal systems that publicly allocate rewards for exemplary behavior -
play an increasingly important role in a wide array of social settings, including
education. In this paper, we evaluate a prize system designed to boost
achievement at two high schools by assigning students color-coded ID cards based
on a previously low stakes test. Average student achievement on this test
increased in the ID card schools beyond what one would expect from
contemporaneous changes in neighboring schools. However, regression discontinuity
analyses indicate that the program created new inequalities between students who
received low-status and high-status ID cards. These findings indicate that status
based incentives create categorical inequalities between prize winners and others
even as they reorient behavior toward the goals they reward.
PMID- 27213171
TI - Porous Scaffold Seeding and Chondrogenic Differentiation of BMSC-seeded
Scaffolds.
AB - Bone marrow-derived mesenchymal stromal stem cells (BMSCs) are a promising cell
source for treating articular cartilage defects (Bornes et al., 2014). BMSCs can
be seeded within porous biomaterial scaffolds that support three-dimensional cell
organization, chondrogenic differentiation and extracellular matrix deposition
for the creation of engineered cartilage. This protocol describes our defined
methods for isolation and expansion of human and ovine BMSCs, seeding of BMSCs
within porous scaffolds and in vitro chondrogenic differentiation (Adesida et
al., 2012; Bornes et al., 2015).
PMID- 27213172
TI - Extraction and Quantification of Tryptophan and Kynurenine from Cultured Cells
and Media Using a High Performance Liquid Chromatography (HPLC) System Equipped
with an Ultra-Sensitive Diode Array Detector.
AB - Evidence of the involvement of tryptophan and its metabolite, kynurenine, in
various biological processes including cancer is constantly expanding. Analysis
of cell extracts and culture media can allow for quick snapshots of the metabolic
fluctuations occurring in vitro. Here, we describe a method for metabolite
extraction from mammalian cells and analysis of extracted metabolites and cell
culture media by HPLC with detection using an ultra-sensitive diode array
detector.
PMID- 27213169
TI - Major Depressive Disorder and Kappa Opioid Receptor Antagonists.
AB - Major depressive disorder (MDD) is a common psychiatric disease worldwide. The
clinical use of tricyclic antidepressants (TCAs), monoamine oxidase inhibitors
(MAOIs) and selective serotonin reuptake inhibitors (SSRIs)/serotonin
norepinephrine reuptake inhibitor (SNRIs) for this condition have been widely
accepted, but they were challenged by unacceptable side-effects, potential drug
drug interactions (DDIs) or slow onset/lack of efficacy. The endogenous opioid
system is involved in stress and emotion regulatory processes and its role in MDD
has been implicated. Although several KOR antagonists including JDTic and PF
04455242 were discontinued in early clinical trials, ALKS 5461 and CERC-501(LY
2456302) survived and entered into Phase-III and Phase-II trials, respectively.
Considering the efficacy and safety of early off-label use of buprenorphine in
the management of the treatment-resistant depression (TRD), it will be not
surprising to predict the potential success of ALKS 5461 (a combination of
buprenorphine and ALKS-33) in the near future. Moreover, CERC-501 will be
expected to be available as monotherapy or adjuvant therapy with other first-line
antidepressants in the treatment of TRD, if ongoing clinical trials continue to
provide positive benefit-risk profiles. Emerging new researches might bring more
drug candidates targeting the endogenous opioid system to clinical trials to
address current challenges in MDD treatment in clinical practice.
PMID- 27213173
TI - Acute effects of alemtuzumab infusion in patients with active relapsing-remitting
MS.
AB - OBJECTIVE: Alemtuzumab exerts its clinical efficacy by its specific pattern of
depletion and repopulation of different immune cells. Beyond long-term
immunologic and clinical data, little is known about acute changes in immunologic
and routine laboratory parameters and their clinical relevance during the initial
alemtuzumab infusion. METHODS: Fifteen patients with highly active MS were
recruited. In addition to parameters including heart rate, blood pressure, body
temperature, and monitoring of adverse events, complete blood cell count, liver
enzymes, kidney function, acute-phase proteins, serum cytokine profile,
complement activation, peripheral immune cell distribution, and their potential
of cytokine release were investigated prior to and after methylprednisolone and
after alemtuzumab on each day of alemtuzumab infusion. RESULTS: After the first
alemtuzumab infusion, both the total leukocyte and granulocyte counts markedly
increased, whereas lymphocyte counts dramatically decreased. In addition to
lymphocyte depletion, cell subtypes important for innate immunity also decreased
within the first week after alemtuzumab infusion. Although patients reported
feeling well, C-reactive protein and procalcitonin peaked at serum levels
consistent with septic conditions. Increases in liver enzymes were detected,
although kidney function remained stable. Proinflammatory serum cytokine levels
clearly rose after the first alemtuzumab infusion. Alemtuzumab led to impaired
cytokine release ex vivo in nondepleted cells. Normal clinical parameters and
mild adverse events were presented. CONCLUSIONS: Dramatic immunologic effects
were observed. Standardized infusion procedure and pretreatment management
attenuated infusion-related reactions. Alemtuzumab-mediated effects led to
artificially altered parameters in standard blood testing. We recommend clinical
decision-making based on primarily clinical symptoms within the first alemtuzumab
treatment week.
PMID- 27213175
TI - A link between long-term natalizumab dosing in MS and PML: Putting the puzzle
together.
PMID- 27213174
TI - Evidence of a pathogenic role for CD8(+) T cells in anti-GABAB receptor limbic
encephalitis.
AB - OBJECTIVES: To characterize the cellular autoimmune response in patients with
gamma-aminobutyric acid (GABA)B receptor antibody-associated limbic encephalitis
(GABAB-R LE). METHODS: Patients underwent MRI, extensive neuropsychological
assessment, and multiparameter flow cytometry of peripheral blood and CSF.
RESULTS: We identified a series of 3 cases of nonparaneoplastic GABAB-R LE and
one case of paraneoplastic GABAB-R LE associated with small cell lung cancer. All
patients exhibited temporal lobe epilepsy, neuropsychological deficits, and MRI
findings typical of LE. Absolute numbers of CD19(+) B cells, CD138(+) CD19(+)
plasma cells, CD4(+) T cells, activated HLADR(+) CD4(+) T cells, as well as
CD8(+) T cells and HLADR(+) CD8(+) T cells did not differ in peripheral blood but
were elevated in CSF of patients with GABAB-R LE compared to controls. Augmented
absolute numbers of CD138(+) CD19(+) plasma cells and activated HLADR(+) CD8(+) T
cells in CSF corresponded to higher overall neuropsychological and memory
deficits in patients with GABAB-R LE. A histologic specimen of one patient
following selective amygdalohippocampectomy revealed perivascular infiltrates of
CD138(+) plasma cells and CD4(+) T cells, whereas cytotoxic CD8(+) T cells were
detected within the brain parenchyma in close contact to neurons. CONCLUSION: Our
data suggest a pathogenic role for CD8(+) T cells in addition to the established
role of plasma cell-derived autoantibodies in GABAB-R LE.
PMID- 27213176
TI - Direct lineage conversion with pluripotency factors: A risky detour through
transient pluripotency?
PMID- 27213177
TI - Interventions in live poultry markets for the control of avian influenza: a
systematic review.
PMID- 27213179
TI - The Vibrio cholerae ToxR Regulon Encodes Host-Specific Chemotaxis Proteins that
Function in Intestinal Colonization.
AB - Virulence gene regulation in Vibrio cholerae is under the control of the ToxR
ToxT regulatory cascade. Chemotaxis and net motility have been shown to influence
the infectivity of Vibrio cholerae. V. cholerae toxR mutants do not synthesize
proteins required for chemotaxis towards mucus. The inability of the toxR mutant
strain to recognize and swim towards mucus is due to their failure to synthesize
AcfB, a methyl-accepting chemotaxis protein. AcfB has previously been shown to be
involved in intestinal colonization using the infant mouse model of cholera
infection. Wild type V. cholerae recognizes galactose-6-sulfate in the capillary
tube assay whereas V. cholerae acfB mutants fail to migrate into the capillary
tubes. Vibrio strains carrying a mutation in tcpI, a ToxR regulated gene found
within the Vibrio Pathogenicity Island (VPI), which encodes a methyl accepting
chemotaxis protein are fully chemotactic towards mucus and galactose-6-sulfate.
PMID- 27213180
TI - Improved EEG Event Classification Using Differential Energy.
AB - Feature extraction for automatic classification of EEG signals typically relies
on time frequency representations of the signal. Techniques such as cepstral
based filter banks or wavelets are popular analysis techniques in many signal
processing applications including EEG classification. In this paper, we present a
comparison of a variety of approaches to estimating and postprocessing features.
To further aid in discrimination of periodic signals from aperiodic signals, we
add a differential energy term. We evaluate our approaches on the TUH EEG Corpus,
which is the largest publicly available EEG corpus and an exceedingly challenging
task due to the clinical nature of the data. We demonstrate that a variant of a
standard filter bank-based approach, coupled with first and second derivatives,
provides a substantial reduction in the overall error rate. The combination of
differential energy and derivatives produces a 24% absolute reduction in the
error rate and improves our ability to discriminate between signal events and
background noise. This relatively simple approach proves to be comparable to
other popular feature extraction approaches such as wavelets, but is much more
computationally efficient.
PMID- 27213178
TI - Doxorubicin induced heart failure: Phenotype and molecular mechanisms.
AB - Long term survival of childhood cancers is now more than 70%. Anthracyclines,
including doxorubicin, are some of the most efficacious anticancer drugs
available. However, its use as a chemotherapeutic agent is severely hindered by
its dose-limiting toxicities. Most notably observed is cardiotoxicity, but other
organ systems are also degraded by doxorubicin use. Despite the years of its use
and the amount of information written about this drug, an understanding of its
cellular mechanisms is not fully appreciated. The mechanisms by which doxorubicin
induces cytotoxicity in target cancer cells have given insight about how the drug
damages cardiomyocytes. The major mechanisms of doxorubicin actions are thought
to be as an oxidant generator and as an inhibitor of topoisomerase 2. However,
other signaling pathways are also invoked with significant consequences for the
cardiomyocyte. Further the interaction between oxidant generation and
topoisomerase function has only recently been appreciated and the consequences of
this interaction are still not fully understood. The unfortunate consequences of
doxorubicin within cardiomyocytes have promoted the search for new drugs and
methods that can prevent or reverse the damage caused to the heart after
treatment in cancer patients. Alternative protocols have lessened the impact on
newly diagnosed cancer patients. However the years of doxorubicin use have
generated a need for monitoring the onset of cardiotoxicity as well as
understanding its potential long-term consequences. Although a fairly clear
understanding of the short-term pathologic mechanisms of doxorubicin actions has
been achieved, the long-term mechanisms of doxorubicin induced heart failure
remain to be carefully delineated.
PMID- 27213181
TI - De Novo Sequencing and Resurrection of a Human Astrovirus-Neutralizing Antibody.
AB - Monoclonal antibody (mAb) therapeutics targeting cancer, autoimmune diseases,
inflammatory diseases, and infectious diseases are growing exponentially.
Although numerous panels of mAbs targeting infectious disease agents have been
developed, their progression into clinically useful mAbs is often hindered by the
lack of sequence information and/or loss of hybridoma cells that produce them.
Here we combine the power of crystallography and mass spectrometry to determine
the amino acid sequence and glycosylation modification of the Fab fragment of a
potent human astrovirus-neutralizing mAb. We used this information to engineer a
recombinant antibody single-chain variable fragment that has the same specificity
as the parent monoclonal antibody to bind to the astrovirus capsid protein. This
antibody can now potentially be developed as a therapeutic and diagnostic agent.
PMID- 27213182
TI - A Pilot Study of Quantitative MRI Parametric Response Mapping of Bone Marrow Fat
for Treatment Assessment in Myelofibrosis.
AB - Myelofibrosis (MF) is a hematologic neoplasm arising as a primary disease or
secondary to other myeloproliferative neoplasms (MPNs). Both primary and
secondary MF are uniquely associated with progressive bone marrow fibrosis,
displacing normal hematopoietic cells from the marrow space and disrupting normal
production of mature blood cells. Activation of the JAK2 signaling pathway in
hematopoietic stem cells commonly causes MF, and ruxolitinib, a drug targeting
this pathway, is the treatment of choice for many patients. However, current
measures of disease status in MF do not necessarily predict response to treatment
with ruxolitinib or other drugs in MF. Bone marrow biopsies are invasive and
prone to sampling error, while measurements of spleen volume only indirectly
reflect bone marrow status. Toward the goal of developing an imaging biomarker
for treatment response in MF, we present preliminary results from a prospective
clinical study evaluating parametric response mapping (PRM) of quantitative Dixon
MRI bone marrow fat fraction maps in four MF patients treated with ruxolitinib.
PRM allows for the voxel-wise identification of significant change in
quantitative imaging readouts over time, in this case the bone marrow fat
content. We identified heterogeneous response patterns of bone marrow fat among
patients and within different bone marrow sites in the same patient. We also
observed discordance between changes in bone marrow fat fraction and reductions
in spleen volume, the standard imaging metric for treatment efficacy. This study
provides initial support for PRM analysis of quantitative MRI of bone marrow fat
to monitor response to therapy in MF, setting the stage for larger studies to
further develop and validate this method as a complementary imaging biomarker for
this disease.
PMID- 27213183
TI - Origin and evolution of the T cell repertoire after posttransplantation
cyclophosphamide.
AB - Posttransplantation cyclophosphamide (PTCy) effectively prevents graft-versus
host disease (GVHD), but its immunologic impact is poorly understood. We assessed
lymphocyte reconstitution via flow cytometry (n = 74) and antigen receptor
sequencing (n = 35) in recipients of myeloablative, HLA-matched allogeneic BM
transplantation using PTCy. Recovering T cells were primarily phenotypically
effector memory with lower T cell receptor beta (TRB) repertoire diversity than
input donor repertoires. Recovering B cells were predominantly naive with
immunoglobulin heavy chain locus (IGH) repertoire diversity similar to donors.
Numerical T cell reconstitution and TRB diversity were strongly associated with
recipient cytomegalovirus seropositivity. Global similarity between input donor
and recipient posttransplant repertoires was uniformly low at 1-2 months after
transplant but increased over the balance of the first posttransplant year. Blood
TRB repertoires at >=3 months after transplant were often dominated by clones
present in the donor blood/marrow memory CD8+ compartment. Limited overlap was
observed between the TRB repertoires of T cells infiltrating the skin or
gastrointestinal tract versus the blood. Although public TRB sequences associated
with herpesvirus- or alloantigen-specific CD8+ T cells were detected in some
patients, posttransplant TRB and IGH repertoires were unique to each individual.
These data define the immune dynamics occurring after PTCy and establish a
benchmark against which immune recovery after other transplantation approaches
can be compared.
PMID- 27213186
TI - Multimodal Detection of Depression in Clinical Interviews.
AB - Current methods for depression assessment depend almost entirely on clinical
interview or self-report ratings. Such measures lack systematic and efficient
ways of incorporating behavioral observations that are strong indicators of
psychological disorder. We compared a clinical interview of depression severity
with automatic measurement in 48 participants undergoing treatment for
depression. Interviews were obtained at 7-week intervals on up to four occasions.
Following standard cut-offs, participants at each session were classified as
remitted, intermediate, or depressed. Logistic regression classifiers using leave
one-out validation were compared for facial movement dynamics, head movement
dynamics, and vocal prosody individually and in combination. Accuracy (remitted
versus depressed) for facial movement dynamics was higher than that for head
movement dynamics; and each was substantially higher than that for vocal prosody.
Accuracy for all three modalities together reached 88.93%, exceeding that for any
single modality or pair of modalities. These findings suggest that automatic
detection of depression from behavioral indicators is feasible and that
multimodal measures afford most powerful detection.
PMID- 27213185
TI - Adequate Vitamin D3 Supplementation During Pregnancy: Decreasing the Prevalence
of Asthma and Food Allergies.
AB - Vitamin D is a secosterol that is naturally synthesized in the skin upon contact
with ultraviolet rays. This vitamin can also be acquired from dietary and
nutritional supplements. The active form, vitamin D3, is primarily responsible
for calcium homeostasis and bone health. However, many recent studies have
associated low levels of vitamin D3 with asthma and food allergies. In this
review, we discuss literature to explore the potential that vitamin D3 deficiency
may be contributing toward the development of asthma and food allergies. These
studies indicate that mothers who supplement with doses of vitamin D3 recommended
for daily consumption (400 IU) by the United States Food and Drug Administration
is not enough to deliver adequate levels to breastfed infants. Because sufficient
vitamin D3 serum levels correlate with a low incidence of asthma and food
allergies, high dose vitamin D3 supplementation (4000 IU) by pregnant and
breastfeeding women may limit the development of asthma and food allergies in
newborns.
PMID- 27213187
TI - High oxygen-reduction activity and durability of nitrogen and sulfur dual doped
porous carbon microspheres.
AB - Nitrogen and sulfur co-doped monodisperse carbon microspheres (NS-CMSs) have been
successfully synthesized as a new kind of outstanding metal-free ORR catalyst
through a one-pot solvothermal reaction. The as-synthesized heteroatom-doped CMSs
have been systematically characterized by scanning electron microscopy (SEM),
transmission electron microscopy (TEM), and X-ray photoelectron spectroscopy
(XPS) and by using Raman spectra and nitrogen adsorption and desorption
isotherms. Compared with the commercially available 20 wt% Pt/C catalyst, the as
prepared NS-CMSs showed a much better tolerance toward methanol crossover and
long-term operation stability for ORR in an alkaline medium.
PMID- 27213184
TI - Novel mechanisms of regulation of miRNAs in CLL.
AB - B-cell chronic lymphocytic leukemia (CLL) is the most common adult human
leukemia. Although, the molecular alterations leading to CLL onset and
progression are still under investigation (specifically, the interplay and exact
role of oncogenes and tumor suppressors in CLL pathogenesis). MicroRNAs are small
non-coding RNAs that regulate gene expression and are expressed in a tissue
specific manner. Deregulation of microRNAs can alter expression levels of genes
involved in the development and/or progression of tumors. In CLL, microRNAs can
function as oncogenes or tumor suppressors. Here, we review the most recent
findings on the role of microRNAs in the onset/progression of CLL, and how this
knowledge can be used to identify new biomarkers and targets to treat this
leukemia.
PMID- 27213189
TI - An investigation into the carbon nucleation and growth on a nickel substrate in
LiCl-Li2CO3 melts.
AB - The electrochemical deposition of carbon materials has been performed in LiCl
Li2CO3 melts using a Pt anode and a nickel cathode. Cyclic voltammetry and
constant voltage electrolysis are conducted to investigate the electrode
reactions, and the results prove that solid carbon is the only product from the
cathodic reduction. Short-term electrolysis at 750 degrees C for 3, 10 and 20 s
has been applied to study the formation and growth of the varied carbon
microstructures. All of the results demonstrate that the morphologies of the
deposited carbon are significantly affected by the cathode substrates, which may
show different catalyzing effects on carbon nucleation. Two primary morphologies,
quasi-spherical and nanofiber structures are observed at the nickel plate
cathodes during the electrolysis and the formation and growth of carbon
nanofibers are easily enhanced by using a high cell voltage. However, only a
quasi-spherical structure is found on the molybdenum cathode substrate.
PMID- 27213190
TI - Coordination numbers and physical properties in molten salts and their mixtures.
AB - Mixtures of trivalent metal halides with alkali halides are involved in many
technologies but, from a more fundamental and general perspective, are worthy of
study as interesting systems in which to examine the relationship between atomic
scale structure and physical properties. Here we examine the relationship between
the viscosity and local and longer range structural measures in such mixtures
where the trivalent metal cations span a significant size range and exhibit
different behaviours in the dependence of their viscosity on the mixture
composition. We characterise the structure and dynamics of the first coordination
shell and the relationship between its structural relaxation time and the shear
relaxation time of the mixture (the Maxwell relaxation time). We are then led to
an examination of the structure of the networks which progressively form between
the trivalent metal cations as their concentration increases in the mixtures.
Here we find significant differences between small and larger cations, sufficient
to explain the different behaviour of their viscosities. We draw attention to the
similarities and differences of these networks with those which form in highly
viscous, glass-forming materials like BeF2:LiF.
PMID- 27213188
TI - Characterization of the bacterial and fungal microbiome in indoor dust and
outdoor air samples: a pilot study.
AB - Environmental microbes have been associated with both protective and adverse
health effects in children and adults. Epidemiological studies often rely on
broad biomarkers of microbial exposure (i.e. endotoxin, 1 -> 3-beta-d-glucan),
but fail to identify the taxonomic composition of the microbial community. Our
aim was to characterize the bacterial and fungal microbiome in different types of
environmental samples collected in studies of human health effects. We determined
the composition of microbial communities present in home, school and outdoor air
samples by amplifying and sequencing regions of rRNA genes from bacteria (16S)
and fungi (18S and ITS). Samples for this pilot study included indoor settled
dust (from both a Boston area birth cohort study on Home Allergens and Asthma
(HAA) (n = 12) and a study of school exposures and asthma symptoms (SICAS) (n =
1)), as well as fine and coarse concentrated outdoor ambient particulate (CAP)
samples (n = 9). Sequencing of amplified 16S, 18S, and ITS regions was performed
on the Roche-454 Life Sciences Titanium pyrosequencing platform. Indoor dust
samples were dominated by Gram-positive bacteria (Firmicutes and Actinobacteria);
the most abundant bacterial genera were those related to human flora
(Streptococcus, Staphylococcus, Corynebacterium and Lactobacillus). Outdoor CAPs
were dominated by Gram-negative Proteobacteria from water and soil sources, in
particular the genera Acidovorax, and Brevundimonas (which were present at very
low levels or entirely absent in indoor dust). Phylum-level fungal distributions
identified by 18S or ITS regions showed very similar findings: a predominance of
Ascomycota in indoor dust and Basidiomycota in outdoor CAPs. ITS sequencing of
fungal genera in indoor dust showed significant proportions of Aureobasidium and
Leptosphaerulina along with some contribution from Cryptococcus, Epicoccum,
Aspergillus and the human commensal Malassezia. ITS sequencing detected more than
70 fungal genera in indoor dust not observed by culture. Microbiome sequencing is
feasible for different types of archived environmental samples (indoor dust, and
low biomass air particulate samples), and offers the potential to study how whole
communities of microbes (including unculturable taxa) influence human health.
PMID- 27213191
TI - Optic neuritis with TNF alpha antagonists.
PMID- 27213192
TI - Noscapine: angioedema.
PMID- 27213193
TI - Racecadotril + ACE inhibitors: angioedema.
PMID- 27213194
TI - Tendon disorders with ofloxacin ear drops.
PMID- 27213195
TI - BCG Vaccine SSI: numerous errors.
PMID- 27213196
TI - Isotretinoin: anal fissure.
PMID- 27213197
TI - Response to "The Path Forward on Endocrine Disruptors Requires Focus".
PMID- 27213198
TI - Reply. Re: Knight R, Pagkalos J, Timmons C et al. Caffeine consumption does not
have an effect on digital microvascular perfusion assessed by laser Doppler
imaging on healthy volunteers: a pilot study. J Hand Surg Eur. 2015, 40: 412-5.
PMID- 27213199
TI - [How to Use Scoring Systems for Upper Gastrointestinal Bleeding?].
PMID- 27213200
TI - [Idiopathic Myointimal Hyperplasia of Mesenteric Veins].
PMID- 27213201
TI - [Direct Acting Antivirals for Treatment of Hepatitis C Infection in Patients with
Advanced Liver Disease].
PMID- 27213203
TI - In Memoriam: Coach Gerard "Jerry" Martin: A Modern Pioneer in Our Field: 1956
2015.
PMID- 27213202
TI - Author Response: Rimas V. Lukas, James Brorson, Chicago; Dara V. Albert,
Columbus, OH.
PMID- 27213204
TI - World under water.
AB - The Paris accord on climate change set an ambitious target of limiting climate
change to 1.5 degrees C, but the signatories appear to be in no hurry to
undertake the revolutionary steps that would be necessary to achieve this.
Meanwhile, 'exceptional' flooding events are becoming the new normal around the
world, revealing how poorly we are prepared for change.
PMID- 27213205
TI - Nigel Raine.
AB - An interview with Nigel Raine, researcher at the University of Guelph, Canada on
the behaviour and ecology of bees, and the impacts of environmental stressors on
pollinator populations.
PMID- 27213206
TI - Support for humanitarian aid to refugee children.
PMID- 27213208
TI - Engaging the voice of women in HIV prevention, care, and treatment.
PMID- 27213207
TI - Position statement regarding use of informally shared human milk.
PMID- 27213209
TI - If "Pedigree Papers" Makes You Think About Your Dog, Please Read This!
PMID- 27213210
TI - Crown Savings Brings Business Solutions and Peace of Mind to Members.
PMID- 27213211
TI - Complete Dentures in One Hour: An Alternative Denture System.
PMID- 27213212
TI - Some Days You're the Pigeon, Some Days the Statue: Discovering Your Seven Me's.
PMID- 27213213
TI - Diagnostic Discussion.
PMID- 27213214
TI - The Case of the Clinical Trial Candidate.
PMID- 27213215
TI - Characterization of the Electric Double Layer Formation Dynamics of a Metal/Ionic
Liquid/Metal Structure.
AB - Although ionic liquids (ILs) have been used extensively in recent years as a high
capacitance "dielectric" in electric double layer transistors, the dynamics of
the double layer formation have remained relatively unexplored. Better
understanding of the dynamics and relaxation processes involved in electric
double layer formation will guide device optimization, particularly with regard
to switching speed. In this paper, we explore the dynamical characteristics of an
IL in a metal/ionic liquid/metal (M/IL/M) capacitor. In particular, we examine a
Au/IL/Au structure where the IL is 1-butyl-1-methylpyrrolidinium
tris(pentafluoroethyl)trifluorophosphate. The experiments consist of frequency
dependent impedance measurements and time-dependent current vs voltage
measurements for applied linear voltage ramps and abrupt voltage steps. The
parameters of an equivalent circuit model are determined by fits to the impedance
vs frequency data and subsequently verified by calculating the current vs voltage
characteristics for the applied potential profiles. The data analysis indicates
that the dynamics of the structure are characterized by a wide distribution of
relaxation times spanning the range of less than microseconds to longer than
seconds. Possible causes for these time scales are discussed.
PMID- 27213217
TI - Rhodium-Catalyzed Asymmetric [2 + 2 + 2] Cycloaddition of alpha,omega-Diynes with
Unsymmetrical 1,2-Disubstituted Alkenes.
AB - It has been established that a cationic rhodium(I)/axially chiral biaryl
bisphosphine complex catalyzes the asymmetric [2 + 2 + 2] cycloaddition of
alpha,omega-diynes with electron-rich and unstrained unsymmetrical 1,2
disubstituted alkenes to give chiral multicyclic compounds with good yields and
ee values. Interestingly, enantioselectivity highly depends on the structures of
alpha,omega-diynes used presumably due to the presence of two distinct reaction
pathways.
PMID- 27213216
TI - Structure Elucidation of Mixed-Linker Zeolitic Imidazolate Frameworks by Solid
State (1)H CRAMPS NMR Spectroscopy and Computational Modeling.
AB - Mixed-linker zeolitic imidazolate frameworks (ZIFs) are nanoporous materials that
exhibit continuous and controllable tunability of properties like effective pore
size, hydrophobicity, and organophilicity. The structure of mixed-linker ZIFs has
been studied on macroscopic scales using gravimetric and spectroscopic
techniques. However, it has so far not been possible to obtain information on
unit-cell-level linker distribution, an understanding of which is key to
predicting and controlling their adsorption and diffusion properties. We
demonstrate the use of (1)H combined rotation and multiple pulse spectroscopy
(CRAMPS) NMR spin exchange measurements in combination with computational
modeling to elucidate potential structures of mixed-linker ZIFs, particularly the
ZIF 8-90 series. All of the compositions studied have structures that have
linkers mixed at a unit-cell-level as opposed to separated or highly clustered
phases within the same crystal. Direct experimental observations of linker mixing
were accomplished by measuring the proton spin exchange behavior between
functional groups on the linkers. The data were then fitted to a kinetic spin
exchange model using proton positions from candidate mixed-linker ZIF structures
that were generated computationally using the short-range order (SRO) parameter
as a measure of the ordering, clustering, or randomization of the linkers. The
present method offers the advantages of sensitivity without requiring isotope
enrichment, a straightforward NMR pulse sequence, and an analysis framework that
allows one to relate spin diffusion behavior to proposed atomic positions. We
find that structures close to equimolar composition of the two linkers show a
greater tendency for linker clustering than what would be predicted based on
random models. Using computational modeling we have also shown how the window
type distribution in experimentally synthesized mixed-linker ZIF-8-90 materials
varies as a function of their composition. The structural information thus
obtained can be further used for predicting, screening, or understanding the
tunable adsorption and diffusion behavior of mixed-linker ZIFs, for which the
knowledge of linker distributions in the framework is expected to be important.
PMID- 27213218
TI - Psychometric properties of the Posttraumatic Growth Inventory for Children in
Chilean population affected by the earthquake of 2010.
AB - The present study examines the psychometric properties of the Posttraumatic
Growth Inventory for Children in its brief version (PTGI-C-R; Kilmer et al.,
2009), an inventory that measured positive personal changes that occur after
experiencing a traumatic event. The PTGI-C-R was applied to 393 children from 10
to 15 years of age affected by the earthquake and tsunami in Chile February 27,
2010. The scale showed good internal consistency and discriminant validity in
relation to an inventory of posttraumatic stress symptoms. It was also able to
discriminate between children who had high exposure to the earthquake and
children with mild or no exposure. Confirmatory factor analysis showed adequate
goodness of fit for a 2-factor structure: general change and spiritual change.
The PTGI-C-R also showed factorial invariance in groups of high and low exposure.
These positive psychometric qualities indicate the utility of the instrument for
use in children and adolescents exposed to natural disasters. (PsycINFO Database
Record
PMID- 27213220
TI - Interstellar Travel and Galactic Colonization: Insights from Percolation Theory
and the Yule Process.
AB - In this paper, percolation theory is employed to place tentative bounds on the
probability p of interstellar travel and the emergence of a civilization (or
panspermia) that colonizes the entire Galaxy. The ensuing ramifications with
regard to the Fermi paradox are also explored. In particular, it is suggested
that the correlation function of inhabited exoplanets can be used to
observationally constrain p in the near future. It is shown, by using a
mathematical evolution model known as the Yule process, that the probability
distribution for civilizations with a given number of colonized worlds is likely
to exhibit a power-law tail. Some of the dynamical aspects of this issue,
including the question of timescales and generalizing percolation theory, were
also studied. The limitations of these models, and other avenues for future
inquiry, are also outlined. KEY WORDS: Complex life-Extraterrestrial life
Panspermia-Life detection-SETI. Astrobiology 16, 418-426.
PMID- 27213219
TI - High Performance PbS Quantum Dot/Graphene Hybrid Solar Cell with Efficient Charge
Extraction.
AB - Hybrid colloidal quantum dot (CQD) solar cells are fabricated from multilayer
stacks of lead sulfide (PbS) CQD and single layer graphene (SG). The inclusion of
graphene interlayers is shown to increase power conversion efficiency by 9.18%.
It is shown that the inclusion of conductive graphene enhances charge extraction
in devices. Photoluminescence shows that graphene quenches emission from the
quantum dot suggesting spontaneous charge transfer to graphene. CQD
photodetectors exhibit increased photoresponse and improved transport properties.
We propose that the CQD/SG hybrid structure is a route to make CQD thin films
with improved charge extraction, therefore resulting in improved solar cell
efficiency.
PMID- 27213221
TI - Tumour markers in rheumatoid arthritis-associated interstitial lung disease.
AB - OBJECTIVES: Interstitial lung disease (ILD) is the most common pulmonary extra
articular manifestations of rheumatoid arthritis (RA), but the pathogenesis of RA
ILD is unknown. The purpose of this study was to investigate the tumour markers
levels in patients of rheumatoid arthritis-associated interstitial lung disease
(RA-ILD) and to explore the diagnostic value of serum tumour markers for RA-ILD.
METHODS: Twenty-eight patients with RA-ILD and 83 patients with RA only were
included. Serum levels of tumour markers carcinoembryonic antigen (CEA),
carbohydrate antigen (CA) 15-3, CA125, and CA19-9 were measured. RESULTS: Tumour
markers CA15-3, CA125 and CA19-9 were increased in RA-ILD patients compared with
RA without ILD patients. Logistic regression analysis revealed that older age
(OR=1.06, 95% CI=[1.02-1.11]) and higher CA125 (OR=1.03, 95% CI=[1.01-1.05])
related to the increased risk of RA-ILD. ROC curve analysis showed the
relationship between CA125 and RA-ILD was moderate (area under ROC curve
(AUC)=0.78, 95% CI=[0.68-0.88]). In addition, CA125 levels above the normal
reference (<35 U/ml) raised the risk of RA-ILD (OR=6.00, 95% CI=[2.37-15.16]).
CONCLUSIONS: RA patient with older age and elevated tumour markers especially
CA125 levels should be evaluated to check whether there is a potential of ILD.
PMID- 27213222
TI - Factors Predictive of Visual Outcome 1 Year After Intravitreal Aflibercept
Injection for Typical Neovascular Age-Related Macular Degeneration.
AB - PURPOSE: Several factors have been reported to be associated with visual outcomes
after intravitreal ranibizumab treatment for neovascular age-related macular
degeneration (AMD). In the present study, we investigated the factors associated
with visual outcomes after intravitreal aflibercept injection (IAI) for typical
neovascular AMD. METHODS: We retrospectively studied the visual changes in 47
eyes of 51 patients with typical neovascular AMD, who had been initially treated
with 3 monthly IAI followed by as-needed IAI. RESULTS: Mean best-corrected visual
acuity (BCVA) improved during the 12-month follow-up period in 40 eyes of 37
patients without reticular pseudodrusen (RPD) in both eyes, whereas it
deteriorated in 11 eyes of 10 patients with RPD in either eye. Multiple
regression analysis revealed that visual gain at 12 months after the first IAI
positively correlated with worse baseline BCVA and thicker baseline subfoveal
choroidal thickness (P = 0.018, P = 0.004, respectively), but not with absence of
RPD (P = 0.13). Subfoveal choroidal thickness was significantly thinner in eyes
with RPD compared with that in eyes without RPD (P = 0.003). CONCLUSIONS: Visual
gain after IAI in eyes with typical neovascular AMD appears to be limited in
patients with RPD, which may reflect the poor visual outcome after IAI in eyes
with a thinner subfoveal choroid that is seen predominately in patients with RPD.
PMID- 27213223
TI - Interaction of Flavin-Dependent Fructose Dehydrogenase with Cytochrome c as Basis
for the Construction of Biomacromolecular Architectures on Electrodes.
AB - The creation of electron transfer (ET) chains based on the defined arrangement of
enzymes and redox proteins on electrode surfaces represents an interesting
approach within the field of bioelectrocatalysis. In this study, we investigated
the ET reaction of the flavin-dependent enzyme fructose dehydrogenase (FDH) with
the redox protein cytochrome c (cyt c). Two different pH optima were found for
the reaction in acidic and neutral solutions. When cyt c was adsorbed on an
electrode surface while the enzyme remained in solution, ET proceeded efficiently
in media of neutral pH. Interprotein ET was also observed in acidic media;
however, it appeared to be less efficient. These findings suggest that two
different ET pathways between the enzyme and cyt c may occur. Moreover, cyt c and
FDH were immobilized in multiple layers on an electrode surface by means of
another biomacromolecule: DNA (double stranded) using the layer-by-layer
technique. The biprotein multilayer architecture showed a catalytic response in
dependence on the fructose concentration, indicating that the ET reaction between
both proteins is feasible even in the immobilized state. The electrode showed a
defined response to fructose and a good storage stability. Our results contribute
to the better understanding of the ET reaction between FDH and cyt c and provide
the basis for the creation of all-biomolecule based fructose sensors the
sensitivity of which can be controlled by the layer preparation.
PMID- 27213224
TI - Methylsilsesquioxane-Based Aerogel Systems-Insights into the Role of the
Formation of Molecular Clusters.
AB - Condensed clusters of hydrolyzed methyltrimethoxysilane (MTMS) were studied using
two complementary approaches: (i) Fourier transform infrared (FTIR) spectroscopy
was applied along with the hydrolysis and condensation stages of a sol-gel
process from the condensation of colloidal suspension of nanoparticles to the
solid phase of bulk material; (ii) density functional theory calculations of
energies, structural and vibrational data of pertinent MTMS hydrolysis products,
specifically, methylsilanetriol-based species with different number of silicon
atoms (from two to eight atoms) and different structures/conformations (linear,
cyclic, and cage, in a total of 13 structures), were performed at B3LYP/6
311+G(d,p) level of theory. The calculated infrared spectra show two distinct Si
O-Si stretch vibration bands for models of caged structures. The higher-frequency
IR band at ca. 1120 cm(-1) is derived from the antisymmetric Si-O-Si stretch
vibration mode, while the lower-frequency band at 1035 cm(-1) is due to the
symmetric Si-O-Si stretch and is characteristic of the cyclic clusters, being
absent in highly symmetric cage structures. The calculated versus the
experimental FTIR spectra of poly(methylsilsesquioxane) (PMSQ) dried aerogel in
KBr pellet show that cage/cyclic-like structures prevail over ladder structures
(linear) in actual PMSQ.
PMID- 27213225
TI - [Personal responsibility in nursing in Switzerland - an opportunity missed!].
PMID- 27213226
TI - [Between intent and inability-management of malnutrition on medical wards of a
centre hospital in Switzerland: patients' experience and perceptions].
AB - BACKGROUND: Malnutrition is a common phenomenon in acute care institutions
accounting for many negative health consequences for the patient. In many
hospitals, therefore, malnutrition risk screening and nutrition management
programs were established; however, programs were commonly developed without
integrating the patients' perspective. It is unknown if the program covers the
patients' needs and if the interventions are worthwhile. AIM: Because patient
experience is known solely from everyday conversations, the aim of the study was
to explore affected patients' experience regarding nutrition management. METHOD:
The study has a qualitative, inductive approach. From September 2011 till May
2012, seven women and one man were interviewed. To analyse the guided interviews,
content analysis was used. RESULTS: The analysis shows that patients find
themselves between 'to want but not be able to eat'. Patients at risk encounter
barriers due to their physical condition, such as swallowing- and chewing pain,
nausea and dysgeusia and barriers associated with the system when ordering meals,
such as fixed mealtimes, a limited variety of the menu and non-tasting
supplements. To overcome these barriers patients are left to develop self
management strategies and to be in charge of their nutrition. CONCLUSIONS:
Therefore, targeted training for caregivers is a key, enabling them to support
patients individually in their nutrition management. At the same time,
institutional barriers must be removed.
PMID- 27213227
TI - [Support of the nursing process through electronic nursing documentation systems
(UEPD) - Initial validation of an instrument].
AB - BACKGROUND: Electronic nursing documentation systems, with standardized nursing
terminology, are IT-based systems for recording the nursing processes. These
systems have the potential to improve the documentation of the nursing process
and to support nurses in care delivery. AIM: This article describes the
development and initial validation of an instrument (known by its German acronym
UEPD) to measure the subjectively-perceived benefits of an electronic nursing
documentation system in care delivery. METHOD: The validity of the UEPD was
examined by means of an evaluation study carried out in an acute care hospital (n
= 94 nurses) in German-speaking Switzerland. Construct validity was analyzed by
principal components analysis. RESULTS: Initial references of validity of the
UEPD could be verified. The analysis showed a stable four factor model (FS =
0.89) scoring in 25 items. All factors loaded >= 0.50 and the scales demonstrated
high internal consistency (Cronbach's alpha = 0.73 - 0.90). Principal component
analysis revealed four dimensions of support: establishing nursing diagnosis and
goals; recording a case history/an assessment and documenting the nursing
process; implementation and evaluation as well as information exchange.
CONCLUSIONS: Further testing with larger control samples and with different
electronic documentation systems are needed. Another potential direction would be
to employ the UEPD in a comparison of various electronic documentation systems.
PMID- 27213228
TI - [Well-Being in old age - content validity of the Ryff Scale for residents of
nursing homes and assisted living facilities].
AB - BACKGROUND: Well-being is an important but rarely assessed outcome of care. The
Ryff Scale of Psychological Well-Being measures this concept, but was not
designed specifically for residents of assisted living facilities and nursing
homes. AIM: To create a variant of this scale for the elderly in nursing homes
and assisted living facilities in German speaking regions and to determine its
content validity. METHOD: A study was conducted in Salzburg using the Delphi
method, which consisted of two rounds containing 56 experts working in nursing
homes and assisted living facilities. Suggestions for improvements were obtained
by means of open-end questions. Acceptance of the items was rated on a 4-point
Likert-scale. Items were accepted if a minimum of 80 % of the experts agreed at
least partially. During the qualitative analysis the statements of the experts
were compared and summarised into codes and categories. RESULTS: In the first
round (response rate: 34.6 %) 38 items out of 54 items were modified. The revised
questionnaire received approval (>= 80 %) for all items in the second round
(response rate: 64.7 %). The experts criticised the phrasing of some items and
also aspects of well-being, which in their opinion, are not relevant for the
elderly in need of care anymore (e. g. performance of obligations). CONCLUSION: A
modified scale was developed which was deemed appropriate by the experts for
residents of nursing homes and assisted living facilities. This scale now
requires psychometric testing.
PMID- 27213229
TI - [Optimizing medication intake in patients with chronic liver disease].
AB - Non-adherence in patients with chronic liver disease is a problem resulting in
various consequences ranging from disease deterioration to death. Insufficient
medication adherence leads to enormous health care costs. Family caregivers can
essentially contribute to improving adherence. The aim of this project was to
develop a work instruction specifically dedicated to optimize adherence in this
patient group and their family caregivers. The project team utilized the
principles of participatory action research. A systematic literature research
aimed at identifying interventions for improving medication adherence in patients
with chronic liver disease. Findings of patient experiences from literature as
well as clinical experience of nurses were evaluated for their practicability in
the local context. Research revealed that information for patients and family
caregivers, supportive relationships with health care professionals, helpful
communication, the use of memory aids and integration of medication intake into
everyday life were the most helpful adherence-enhancing interventions. The work
instruction serves to integrate these interventions into clinical practice in
order to ensure optimal medication adherence.
PMID- 27213230
TI - [Online First].
PMID- 27213234
TI - Nazumazoles D-F, Cyclic Pentapeptides That Inhibit Chymotrypsin, from the Marine
Sponge Theonella swinhoei.
AB - Nazumazoles D-F (1-3) were isolated from the marine sponge Theonella swinhoei.
The compounds gave extremely broad peaks by reversed-phase HPLC using an ODS
column. HPLC using a gel permeation column was instrumental for the separation of
the three compounds. Their planar structures were determined by interpretation of
NMR data to be cyclic pentapeptides. Nazumazoles D-F contained one residue each
of alpha-keto-l-norvaline (l-Knv) {or alpha-keto-d-leucine (l-Kle)}, l
alanyloxazole (l-Aox), d-Abu (or d-Ser), N-alpha-CHO-beta-l-Dpr, and cis-4-methyl
l-proline. The absolute configuration of each amino acid residue was determined
by Marfey's method in combination with conversion of the alpha-keto-beta-amino
acid to the alpha-amino acid by oxidation. Nazumazoles D-F are not cytotoxic
against P388 cells at 50 MUM, but inhibit chymotrypsin.
PMID- 27213235
TI - Comparative Proteomics Reveals Dysregulated Mitochondrial O-GlcNAcylation in
Diabetic Hearts.
AB - O-linked beta-N-acetylglucosamine (O-GlcNAc), a post-translational modification
on serine and threonine residues of many proteins, plays crucial regulatory roles
in diverse biological events. As a nutrient sensor, O-GlcNAc modification (O
GlcNAcylation) on nuclear and cytoplasmic proteins underlies the pathology of
diabetic complications including cardiomyopathy. However, mitochondrial O
GlcNAcylation, especially in response to chronic hyperglycemia in diabetes, has
been poorly explored. We performed a comparative O-GlcNAc profiling of
mitochondria from control and streptozotocin (STZ)-induced diabetic rat hearts by
using an improved beta-elimination/Michael addition with isotopic DTT reagents
(BEMAD) followed by tandem mass spectrometric analysis. In total, 86
mitochondrial proteins, involved in diverse pathways, were O-GlcNAcylated. Among
them, many proteins have site-specific alterations in O-GlcNAcylation in response
to diabetes, which suggests that protein O-GlcNAcylation is a novel layer of
regulation mediating adaptive changes in mitochondrial metabolism during the
progression of diabetic cardiomyopathy.
PMID- 27213236
TI - Effects of stress on decisions under uncertainty: A meta-analysis.
AB - [Correction Notice: An Erratum for this article was reported in Vol 142(9) of
Psychological Bulletin (see record 2016-39486-001). It should have been reported
that the inverted u-shaped relationship between cortisol stress responses and
decision-making performance was only observed in female, but not in male
participants as suggested by the study by van den Bos, Harteveld, and Stoop
(2009). Corrected versions of the affected sentences are provided.] The purpose
of the present meta-analysis was to quantify the effects that stress has on
decisions made under uncertainty. We hypothesized that stress increases reward
seeking and risk taking through alterations of dopamine firing rates and reduces
executive control by hindering optimal prefrontal cortex functioning. In certain
decision situations, increased reward seeking and risk taking is dysfunctional,
whereas in others, this is not the case. We also assumed that the type of
stressor plays a role. In addition, moderating variables are analyzed, such as
the hormonal stress response, the time between stress onset and decisions, and
the participants' age and gender. We included studies in the meta-analysis that
investigated decision making after a laboratory stress-induction versus a control
condition (k = 32 datasets, N = 1829 participants). A random-effects model
revealed that overall, stress conditions lead to decisions that can be described
as more disadvantageous, more reward seeking, and more risk taking than nonstress
conditions (d = .17). In those situations in which increased reward seeking and
risk taking is disadvantageous, stress had significant effects (d = .26), whereas
in other situations, no effects were observed (d = .01). Effects were observed
under processive stressors (d = .19), but not under systemic ones (d = .09).
Moderation analyses did not reveal any significant results. We concluded that
stress deteriorates overall decision-making performance through the mechanisms
proposed. The effects differ, depending on the decision situation and the type of
stressor, but not on the characteristics of the individuals. (PsycINFO Database
Record
PMID- 27213237
TI - Neurocritical care in the treatment of stroke.
AB - In this review, we briefly introduce recent developments and updates in
neurocritical care in the treatment of stroke, including both ischemic and
hemorrhagic stroke. Time to recanalization remains the major rate limiting step
in the treatment of acute ischemic stroke as only a minority of patients arrive
within a timeframe appropriate for treatment. Whether caring for a patient
following ischemic or hemorrhagic stroke, the principle focus of neurocritical
care for acute brain catastrophes is the identification and prevention of
secondary brain injury. While much advancement is still needed for optimum care
of patients suffering from ischemic or hemorrhagic stroke, the field continues to
evolve in ways that promote improved patient outcomes.
PMID- 27213238
TI - Prevalence of multiple antipsychotic use and associated adverse effects in
Australians with mental illness.
AB - AIM: This article analyzes the prevalence of use of concurrent multiple
antipsychotics and high dosage treatment in people with mental illness, to assess
the burden of antipsychotic drug-related side-effects associated with multiple
use, and to identify strategies shown to reduce antipsychotic polypharmacy.
METHODS: Literature reviewed was sourced from MEDLINE, Embase, CINAHL, InformIT,
PsycINFO, International Pharmaceutical Abstracts, Cochrane Library database and
Joanna Briggs Institute databases to identify Australian studies published
between January 2000 and February 2015. Studies that reported prevalence of
multiple antipsychotic use or addressed the issue of antipsychotic drug-related
side-effects were included. Systematic reviews, randomized controlled trials, and
observational pre-post studies of Australian and international interventions
aiming to reduce multiple antipsychotic use in mental health settings were also
identified. RESULTS: Nineteen studies reporting prevalence of multiple
antipsychotic use were identified. The proportion of patients taking more than
one antipsychotic ranged from 5 to 61%. Of the studies assessing dosages used,
between one-third and one-half of all patients taking multiple antipsychotics
received doses higher than recommended. Data from one national study reported
that people taking multiple antipsychotics were more likely to experience at
least one side-effect in comparison to consumers taking a single antipsychotic
(90 verses 80%).International evidence of direct trials of conversion from
treatment regimens involving multiple antipsychotics to those based on
monotherapy show that between 50 and 75% of people with serious mental illness
could be successfully converted to single-agent treatment, with up to 25%
obtaining an improvement in health and the remaining 50% staying well managed.
CONCLUSION: Use of multiple antipsychotics is common among Australian people with
mental illness, despite guidelines recommending that only one antipsychotic
should be used in most cases. People taking more than one antipsychotic at a time
are more likely to experience side-effects, and to receive higher than
recommended antipsychotic doses. Direct trials that aimed to reduce multiple
antipsychotic use suggest it is possible to effectively reduce therapy in the
majority of people without worsening outcomes. Simple educational programmes
targeting health professionals have not been found to be effective; however,
complex multifaceted programmes and quality improvement programmes have
demonstrated effect.
PMID- 27213239
TI - Uptake of antibiotics from irrigation water by plants.
AB - The capacity of carrot (Daucus corota L.) and lettuce (Lactuca sativa L.), two
plants that are usually eaten raw, to uptake tetracycline and amoxicillin (two
commonly used antibiotics) from irrigated water was investigated in order to
assess the indirect human exposure to antibiotics through consumption of uncooked
vegetables. Antibiotics in potted plants that had been irrigated with known
concentrations of the antibiotics were extracted using accelerated solvent
extraction and analyzed on a liquid chromatograph-tandem mass spectrometer. The
plants absorbed the antibiotics from water in all tested concentrations of 0.1-15
mg L(-1). Tetracycline was detected in all plant samples, at concentrations
ranging from 4.4 to 28.3 ng/g in lettuce and 12.0-36.8 ng g(-1) fresh weight in
carrots. Amoxicillin showed absorption with concentrations ranging from 13.7 ng
g(-1) to 45.2 ng g(-1) for the plant samples. The mean concentration of
amoxicillin (27.1 ng g(-1)) in all the samples was significantly higher (p =
0.04) than that of tetracycline (20.2 ng g(-1)) indicating higher uptake of
amoxicillin than tetracycline. This suggests that the low antibiotic
concentrations found in plants could be important for causing antibiotics
resistance when these levels are consumed.
PMID- 27213240
TI - Application of aluminum-supported Pd, Rh, and Rh-Pd nanoparticles in
supercritical carbon dioxide system for hydrodebromination of polybrominated
diphenyl ethers.
AB - Al-powder-supported Pd, Rh, and Rh-Pd catalysts were synthesized through a
spontaneous redox reaction in aqueous solutions. These catalysts
hydrodebrominated 4- and 4,4'-bromodiphenyl ethers in supercritical carbon
dioxide at 200 atm CO2 containing 10 atm H2 and 80 degrees C in 1 h. Diphenyl
ether was the major product of Pd/Al. Rh/Al and Rh-Pd/Al further hydrogenated two
benzene rings of diphenyl ether to form dicyclohexyl ether. The hydrogenolysis of
CO bonds on diphenyl ether over Rh/Al and Rh-Pd/Al was observed to generate
cyclohexanol and cyclohexane (<1%). With respect to hydrodebromination efficiency
and catalyst stability, Rh-Pd/Al among three catalysts is suggested to be used
for ex situ degradation of polybrominated diphenyl ethers in supercritical carbon
dioxide.
PMID- 27213241
TI - Distribution pattern of legacy and "novel" brominated flame retardants in
different particle size fractions of indoor dust in Birmingham, United Kingdom.
AB - This study investigates the particle size distribution of eight polybrominated
diphenyl ethers (PBDEs) and five "novel" brominated flame retardants (NBFRs) in
settled house dust. Elevated surface dust (ESD) and floor dust (FD) were
collected from 5 homes in Birmingham, UK, yielding a total of 10 samples. Each
sample was fractionated into three different particle sizes: 125-250 MUm (P1), 63
125 MUm (P2) and 25-63 MUm (P3). Non-fractionated bulk dust samples (BD) were
also analysed. BDE-209 predominated, comprising an average 74.3%, 77.3%, 69.2%,
and 62.7% SigmaBFRs of BD, P1, P2 and P3 respectively. Sigma5NBFRs contributed
24.2%, 21.5%, 29.0% and 35.3% SigmaBFRs, while Sigma7tri-hepta-BDEs represented
1.5%, 1.2%, 1.7%, and 2.0% SigmaBFRs. BEH-TEBP was the predominant NBFR
contributing 76.9%, 75.1%, 83.1%, and 83.9% SigmaNBFRs in BD, P1, P2 and P3
respectively; followed by DBDPE which contributed 20.1%, 21.9%, 14.1% and 13.9%
SigmaNBFRs. EH-TBB, BTBPE and PBEB were the least abundant NBFRs. Concentrations
of Sigma7tri-hepta-BDEs and BEH-TEBP in P3 exceeded significantly (P < 0.05)
those in P2, with those in P2 exceeding significantly those in P1. In contrast,
no significant differences were found between concentrations of BDE-209, EH-TBB,
BTBPE, and DBDPE in different particle size fractions. Concentrations of
Sigma7tri-hepta-BDEs, BDE-209, and BEH-TEBP in ESD exceeded significantly those
in FD (P < 0.05). Normalising BFR concentrations to organic carbon content, did
not alter these findings. This suggests that differences in BFR concentrations
between different particle size fractions are caused by variations in particle
surface area to volume ratio, rather than by variations in organic carbon
content.
PMID- 27213242
TI - Monosilicic acid potential in phytoremediation of the contaminated areas.
AB - The contamination of agricultural areas by heavy metals has a negative influence
on food quality and human health. Various remediation techniques have been
developed for the removal and/or immobilization of heavy metals (HM) in
contaminated soils. Phytoremediation is innovative technology, which has
advantages (low cost, easy monitoring, high selectivity) and limitations,
including long time for procedure and negative impact of contaminants on used
plants. Greenhouse investigations have shown that monosilicic acid can be used
for regulation of the HM (Cd, Cr, Pb and Zn) mobility in the soil-plant system.
If the concentration of monosilicic acid in soil was increased from 0 to 20 mg L(
1) of Si in soil solution, the HM bioavailability was increased by 30-150%.
However, the negative influence on the barley by HM was reduced under monosilicic
acid application. If the concentration of monosilicic acid was increased more
than 20 mg L(-1), the HM mobility in the soil was decreased by 40-300% and heavy
metal uptake by plants was reduced 2-3 times. The using of the monosilicic acid
may increase the phytoremediation efficiency. However the technique adaptation
will be necessary for phytoremediation on certain areas.
PMID- 27213243
TI - Acyl-homoserine lactone-based quorum sensing and quorum quenching hold promise to
determine the performance of biological wastewater treatments: An overview.
AB - Quorum sensing (QS) is a communication process between cells, in which bacteria
secrete and sense the specific chemicals, and regulate gene expression in
response to population density. Quorum quenching (QQ) blocks QS system, and
inhibits gene expression mediating bacterial behaviors. Given the extensive
research of acyl-homoserine lactone (AHL) signals, existences and effects of AHL
based QS and QQ in biological wastewater treatments are being subject to high
concern. This review summarizes AHL structure, synthesis mode, degradation
mechanisms, analytical methods, environmental factors, AHL-based QS and QQ
mechanisms. The existences and roles of AHL-based QS and QQ in biomembrane
processes, activated sludge processes and membrane bioreactors are summarized and
discussed, and corresponding exogenous regulation strategy by selective
enhancement of AHL-based QS or QQ coexisting in biological wastewater treatments
is suggested. Such strategies including the addition of AHL signals, AHL
producing bacteria as well as quorum quenching enzyme or bacteria can effectively
improve wastewater treatment performance without killing or limiting bacterial
survival and growth. This review will present the theoretical and practical
cognition for bacterial AHL-based QS and QQ, suggest the feasibility of exogenous
regulation strategies in biological wastewater treatments, and provide useful
information to scientists and engineers who work in this field.
PMID- 27213244
TI - Influence of metabolism on endocrine activities of bisphenol S.
AB - Bisphenol S (BPS; bis[4-hydroxyphenyl]sulfone) is commonly used as a replacement
for bisphenol A in numerous consumer products. The main goal of this study was to
examine the influence of different metabolic reactions that BPS undergoes on the
endocrine activity. We demonstrate that hydroxylation of the aromatic ring of
BPS, catalyzed mainly by the cytochrome P450 enzymes CYP3A4 and CYP2C9, is its
major in-vitro phase I biotransformation. Nevertheless, coupled oxidative
conjugative reactions analyses revealed that glucuronidation and formation of BPS
glucuronide is the predominant BPS metabolic pathway. BPS reactive metabolites
that can be tracked as glutathione conjugates were not detected in the present
study. Two in-vitro systems were used to evaluate the endocrine activity of BPS
and its two main metabolites, BPS glucuronide and hydroxylated BPS 4-(4-hydroxy
benzenesulfonyl)-benzene-1,2-diol (BPSM1). In addition, we have tested two
structural analogs of BPS, bis[4-(2-hydroxyetoxy)phenyl]sulfone (BHEPS) and 4,4
sulfonylbis(2-methylphenol) (dBPS). The test systems were yeast cells, for
evaluating estrogenic and androgenic activities, and the GH3.TRE-Luc reporter
cell line for measuring thyroid hormone activity. BPS and BPSM1 were weak
agonists of the estrogen receptor, EC50 values of 8.4 * 10(-5) M and 6.7 * 10(-4)
M, respectively. Additionally, BPSM1 exhibited weak antagonistic activity toward
the thyroid hormone receptor, with an IC50 of 4.3 * 10(-5) M. In contrast to
BPSM1, BPS glucuronide was inactive in these assays, inhibiting neither the
estrogen nor the thyroid hormone receptors. Hence, glucuronidation appears to be
the most important pathway for both BPS metabolism and detoxification.
PMID- 27213245
TI - Brain drawings following traumatic brain injury (TBI) and links to illness
perceptions and health outcomes - Findings from a population-based study.
AB - OBJECTIVE: Individuals' illness perceptions predict health behaviours and
influence functional outcomes. This study examined associations between a novel
assessment of illness perceptions, in the form of adult's brain drawings after
traumatic brain injury (TBI) and questionnaire measures of illness perceptions,
quality of life and post-concussive symptoms. DESIGN: Population-based,
prospective longitudinal study examining 245 adults with predominantly mild TBI
with high risk of complications. MAIN OUTCOME MEASURES: Participants were asked
to draw pictures of what they thought their brain looked like before injury and
at baseline and one month post-injury. Drawing characteristics (height, width and
percentage damage at one month) were examined in relation to each outcome of
interest at six months. RESULTS: Greater damage at one month was associated with
more negative illness perceptions (rs = .23), poorer mental health (rs = -.21),
and more total post-concussive symptoms (rs = .27 to r = .35) at six months. The
extent of damage depicted reduced over time (p < .001). No associations were
found between the amount of damage drawn and injury severity, nor the height or
width of drawings and injury severity or illness perceptions. CONCLUSION:
Drawings post-TBI offer a simple, cost- and time-effective way to begin
discussions and improve understanding of peoples' illness perceptions.
PMID- 27213246
TI - Mating pheromones of Nematoda: olfactory signaling with physiological
consequences.
AB - Secreted pheromones have long been known to influence mating in the phylum
Nematoda. The study of nematode sexual behavior has greatly benefited in the last
decade from the genetic and neurobiological tools available for the model
nematode Caenorhabditis elegans, as well as from the chemical identification of
many pheromones secreted by this species. The discovery that nematodes can
influence one another's physiological development and stress responsiveness
through the sharing of pheromones, in addition to simply triggering sexual
attraction, is particularly striking. Here we review recent research on nematode
mating pheromones, which has been conducted predominantly on C. elegans, but
there are beginning to be parallel studies in other species.
PMID- 27213247
TI - New polyhydroxylated flavon-3-ols and 3-hydroxy-2-styrylchromones: synthesis and
ROS/RNS scavenging activities.
AB - New polyhydroxylated flavon-3-ols and 3-hydroxy-2-styrylchromones were prepared
and assessed as reactive oxygen species (ROS) and reactive nitrogen species (RNS)
scavengers. The synthetic strategy involved the preparation of 2'
hydroxychalcones and 2'-hydroxycinnamylidenoacetophenones from base-catalyzed
aldol reaction of appropriate 2'-hydroxyacetophenones and
benzaldehydes/cinnamaldehydes, followed by an Algar-Flynn-Oyamada (AFO) reaction
to give the polyalkoxy(flavon-3-ols and 3-hydroxy-2-styrylchromones). The last
step of this synthetic route consisted in the cleavage of the protecting groups
affording the expected polyhydroxylated derivatives. The present work consisted
in the study of the in vitro scavenging activities of the synthetized compounds
against the most physiologically relevant ROS [superoxide radical (O2(-)),
hydrogen peroxide (H2O2), hypochlorous acid (HOCl), singlet oxygen ((1)O2) and
peroxyl radical (ROO)] and RNS [nitric oxide (NO) and peroxynitrite anion (ONOO(
))]. Generally, all the tested new polyhydroxylated flavon-3-ols and 3-hydroxy-2
styrylchromones exhibited scavenging effects dependent on the concentration, and
with IC50 values found within the micromolar range. This work allowed the
establishment of new structure-activity relationships and brought the knowledge
about the selective choice of a structure depending on the targeted reactive
species.
PMID- 27213248
TI - Dissection and Flat-mounting of the Threespine Stickleback Branchial Skeleton.
AB - The posterior pharyngeal segments of the vertebrate head give rise to the
branchial skeleton, the primary site of food processing in fish. The morphology
of the fish branchial skeleton is matched to a species' diet. Threespine
stickleback fish (Gasterosteus aculeatus) have emerged as a model system to study
the genetic and developmental basis of evolved differences in a variety of
traits. Marine populations of sticklebacks have repeatedly colonized countless
new freshwater lakes and creeks. Adaptation to the new diet in these freshwater
environments likely underlies a series of craniofacial changes that have evolved
repeatedly in independently derived freshwater populations. These include three
major patterning changes to the branchial skeleton: reductions in the number and
length of gill raker bones, increases in pharyngeal tooth number, and increased
branchial bone lengths. Here we describe a detailed protocol to dissect and flat
mount the internal branchial skeleton in threespine stickleback fish. Dissection
of the entire three-dimensional branchial skeleton and mounting it flat into a
largely two-dimensional prep allows for the easy visualization and quantification
of branchial skeleton morphology. This dissection method is inexpensive, fast,
relatively easy, and applicable to a wide variety of fish species. In
sticklebacks, this efficient method allows the quantification of skeletal
morphology in genetic crosses to map genomic regions controlling craniofacial
patterning.
PMID- 27213249
TI - Real World Experience With Natalizumab at a Tertiary Care Pediatric IBD Center.
AB - OBJECTIVES: Natalizumab is a humanized monoclonal antibody inhibiting lymphocyte
migration and prescribed in patients with Crohn disease (CD) failing anti-tumor
necrosis factor (TNF) therapies. Because of the risk of progressive multifocal
leukoencephalopathy in patients with John Cunningham virus (JCV) positive,
natalizumab is not widely used in clinical practice. Published experience of the
use of natalizumab in pediatric patients is lacking. We aimed to describe the
experience of natalizumab in patients with CD, including those who are JCV
positive, at a tertiary care pediatric inflammatory bowel disease center.
METHODS: A retrospective chart review was performed in patients with CD <21 years
receiving natalizumab therapy before March 2014. Patient and disease information,
prior treatments and response to natalizumab, including Harvey Bradshaw Index
(HBI), were recorded. Descriptive statistics were computed. RESULTS: Nine
patients received natalizumab with a median age at diagnosis of 10 (range 7-16)
years and median disease duration 72 (range 13-156) months. All of the patients
had failed at least 1 anti-TNF agent. At baseline, the median HBI was 8 (IQR 6.5
11). By week 10, the median HBI was 4.5 (IQR 2-6), with 4 of 8 (50%) patients
with CD being in remission. Forty-four percent (4/9) of patients were JCV
antibody positive at baseline and had anti-JCV antibody index >0.9 (median 3.36).
There were no serious adverse events, including progressive multifocal
leukoencephalopathy. All of the patients were transitioned to vedolizumab.
CONCLUSIONS: In our experience, natalizumab is a safe and efficacious medication
in pediatric in patients with inflammatory bowel disease. Given the favorable
results with natalizumab, pediatric studies with the more gut targeted anti
integrin agent vedolizumab are warranted.
PMID- 27213250
TI - Toxic-Metabolic Risk Factors Are Uncommon in Pediatric Chronic Pancreatitis.
PMID- 27213251
TI - Can round ligament of the liver patch decrease the rate and the grade of
postoperative pancreatic fistula?
AB - The most serious complication after pancreatic surgical procedures is still a
postoperative pancreatic fistula. In clinical practice there are various methods
to prevent the formation of pancreatic fistula, but none of them is fully
efficient. Recently, the role of grafting the round ligament of the liver on the
pancreas is emphasized as a promising procedure which reduces the severity and
shortens the healing time of postoperative pancreatic fistula. The aim of the
study was to assess the impact of grafting a round ligament patch on the
pancreatic stump or the area of the pancreatic anastomosis on the severity and
healing of pancreatic fistula after surgical treatment of the pancreas
(alternatively on prevention of pancreatic fistula formation). MATERIAL AND
METHODS: The retrospective study covered patients operated due to pancreatic
tumors in the Department of General, Gastrointestinal and Oncologic Surgery of
the WUM. Pancreatic fistula was diagnosed according to the definition developed
by the ISGPS (International Study Group of Pancreatic Surgery). RESULTS: 10
patients with pancreatic tumors of different location were operated. The round
ligament was grafted on the pancreatic stump, the area of the pancreatic
anastomosis or on the site of the local tumor removal. Pancreatic fistula
developed in 9 patients, including grade A pancreatic fistula in 5 patients,
grade B fistula in 3 patients, and grade C fistula in 1 patient. Distant
complications occurred in one patient. None of the patients required a
reoperation and no deaths were reported. The average hospital stay was 22.4 days.
The hospital stay of patients with grade A fistula was shorter than in case of
patients with grade B and C fistula. CONCLUSIONS: Grafting of the round ligament
of the liver on the pancreatic stump did not prevent the development pancreatic
fistula. Grade A pancreatic fistula developed most often. Grade C fistula
developed in 1 patient and was complicated by intraabdominal abscesses and
sepsis. Although the patient did not require a repeated surgery, but only a
continuation of conservative treatment on an outpatient basis. Patients with
grade B fistula required prolonged drainage and in the end were supervised by the
surgical polyclinic.
PMID- 27213252
TI - Graciloplasty, electrostimulation, electromyography. Clinical implications of
electrophysiological phenomena in the neo-sphincter created from the gracilis
muscle.
AB - The aim of the study was to compare the electrophysiological phenomena occurring
in the gracilis muscle, transposed into the pelvic floor during the graciloplasty
procedure, subjected to continuous electrical stimulation by means of implanted
stimulator, or regular stimulation by means of an external device, as well as the
long-term functional results of the graciloplasty procedure. MATERIAL AND
METHODS: A total of 27 patients were included in the analysis. The study group
consisted of 7 patients after dynamic graciloplasty, 11 patients after
graciloplasty followed by transrectal stimulation, 4 patients after graciloplasty
with transcutaneous stimulation, and 5 patients after graciloplasty without any
stimulation. All patients had a surface electromyographic examination of the
transposed gracilis muscle performed, the signal for each patient was compared to
the signal acquisited from a non-transposed gracilis in the same patient. In
addition, each subject underwent a clinical operation results assessment, as well
as an anorectal manometry examination. RESULTS: In the electromiographic
examination, the mean frequency of motor units action potentials of the gracilis
muscle in the thigh was 64 Hz, and in the muscle after transposition and
stimulation period mean frequency was 62 Hz. There was no statistically
significant difference in the frequency of action potentials before and after
treatment in any of the analyzed groups, or between groups with different methods
of stimulation (p> 0.05). We found a significant correlation between the clinical
outcome of the procedure, and the average amplitude of the EMG signal from the
transposed muscle, as well as between the amplitude of the EMG signal and the
basal pressure in the anal canal in manometric examination. There were no
significant correlations in the remaining manometric parameters. CONCLUSIONS:
Despite the different methods of postoperative stimulation, including expensive
implantable stimulators, there was no difference in the electrical activity
between the transposed gracilis muscle, and the gracilis muscle left in situ.
There was no signoficant advantage of the dynamic graciloplasty procedure over
the graciloplasty with transanal or transcutaneous stimulation.
PMID- 27213253
TI - Malory-Weis syndrome based on own experience - diagnostics and modern principles
of management.
AB - Every gastrointestinal bleeding is an immediate threat to life, requiring close
supervision in a hospital setting and making it mandatory to perform verification
and endoscopic intervention. In some cases of a dynamic course, in order to make
up deficiencies, it is necessary to use blood and blood products. One of the
causes of bleeding located proximally to the ligament of Treitz is damage to the
mucous membrane and deeper layers of the gastroesophageal junction, called
Mallory-Weiss syndrome. The aim of the study was retrospective analysis of a
selected group of patients with symptomatic upper gastrointestinal bleeding in
the course of Mallory-Weiss syndrome, identification of typical characteristics
of this disease entity in the studied population as well as demonstration of the
effectiveness of endoscopic treatment using argon plasma coagulation (APC).
MATERIAL AND METHODS: The analysis included 2120 gastroscopy results, with 111
(5.24%) examinations conducted due to symptomatic gastrointestinal bleeding. In
the studied group, endoscopic diagnosis of Mallory-Weiss syndrome was made in 22
patients (1.04%). RESULTS: The studied disease entity was the cause of upper
gastrointestinal bleeding in 19.82% of cases. Although this condition is usually
characterised by a mild and self-limiting course, 59.09% of patients in the
studied group required therapeutic endoscopic intervention due to active
bleeding. In 54.55%, argon plasma coagulation was successfully used to control
the source of bleeding. CONCLUSIONS: Early gastroscopy, which remains both a
diagnostic and therapeutic intervention, guarantees effective control of the
clinical course of Mallory-Weiss syndrome. Endoscopic argon plasma coagulation is
an effective way to treat bleeding, used in endoscopic monotherapy or in
combination with other procedures.
PMID- 27213254
TI - Reoperations in bariatric surgery - indications and initial evaluation of
postoperative complications.
AB - Obesity, at present, seems to be a very serious health problem all over the
world. The surgery is said to be the most successful treatment of obesity. With
the increase in the quantity of conducted bariatric procedures, the number of
revision treatments increases as well. The aim of the study was to analyze the
indications, results and complications after repeated bariatric surgeries.
MATERIAL AND METHODS: The repeated bariatric procedures performed in 2009-2015
have been retrospectively analyzed. The endpoint of the study was the evaluation
of early surgical treatment results-up to 30th day after repeated surgery.
RESULTS: Overall, amongs 103 obese patients with a BMI over 35 kg / m2, qualified
for bariatric treatment 7 revision surgeries were conducted (6.8%). Two
operations were carried out by laporotomy, other by laparoscopy. In the study
group, neither any deaths were noted in perioperative period nor life-threatening
complications. The frequency of complications-Surgical site infection and
bleeding from the staple lines of stapler in the study group appeared to be
28.6%. CONCLUSIONS: Qualification for repeated bariatric surgeries should be
based on a thorough analysis of the condition of the patient, previous outcome of
the bariatric treatment: evaluation of weight loss as well as possible
complications of the original surgery.
PMID- 27213256
TI - Local involvement of the lower urinary tract in primary colorectal cancer -
outcome after en-bloc resection.
AB - Invasion of urinary organs due to advanced colorectal cancer can comprise a
surgical challenge in achieving negative resection margins. The aim of the study
was to asses the outcome of patients with colorectal cancer invading the lower
urinary organs. MATERIAL AND METHODS: This is a cohort study that retrospectively
evaluated the surgical and pathological findings after the resection of
colorectal cancer with adjacent urological organs due to advanced colorectal
cancer. Patients with primary colorectal cancer invading urological organs where
primary resection was attempted were included. RESULTS: The study included 31
patients who underwent surgery in our department between 1997 and 2012. Median
age was 65 years (range 44-77 years). Seventeen patients underwent partial
cystectomy, one had partial prostatectomy performed, eight patients underwent
cystoprostatectomy, two had cystectomy performed and three had prostatectomy
performed. Overall morbidity rate was 71% (95% Confidence Interval (CI): 55-84%,
n=22). The 30-day mortality rate was 10% (95% CI: 0-23%, n=3). Twentyseven of 31
patients had free resection margins. Four of 28 patients developed distant
metastasis (14%, 95% CI: 4-29%), 11% developed local recurrence (95% CI: 0-25%,
n=3). Median follow-up was 41 months (range 0-150 months). Histopathological
examination revealed tumour invasion in 52% (95% CI: 35-69%, n=15) of the
resected urological organs. The overall five-year survival rate was 70%. The five
year survival rate in the radical resection group was 74%. CONCLUSIONS: En-bloc
resection of colorectal cancer with adjacent urological organs has a high
morbidity rate. However it is still possible to achieve negative resection
margins in most cases.
PMID- 27213255
TI - Gastrointestinal obstruction in patients previously treated for malignancies.
AB - Bowel obstruction is a common condition in acute surgery. Among the patients,
those with a history of cancer consist a particular group. Difficulties in
preoperative diagnosis - whether obstruction is benign or malignant and limited
treatment options in patients with reoccurrence or dissemination of the cancer
are typical for this group. The aim of the study was to analyze causes of bowel
obstruction in patients with history of radical treatment due to malignancy.
MATERIAL AND METHODS: Patients with symptoms of bowel obstruction and history of
radical treatment for malignancy who were operated in 2nd and 3rd Department of
General Surgery JUCM between 2000 and 2014 were included into the study. The
patients were divided into 2 groups based on type of mechanical bowel obstruction
(group 1 - adhesions, group 2 - malignant process). RESULTS: 128 patients were
included into the study - group 1: 67 (52.3%) and group 2: 61 (47.7%). In the
second group bowel obstruction was caused by reoccurrence in 25 patients (40.98%)
and dissemination in 36 (59.02%). The mean time between onset of the symptoms of
bowel obstruction and the end of treatment for the cancer was 3.7 and 4.4 years,
respectively in group 1 and 2 (p>0.05). Median time between onset of the symptoms
and admission to Emergency Department was significantly longer in patients with
malignant bowel obstruction compared to those with adhesions (11.6 +/-17.8 days
vs 5.1 +/- 6.9 days, p=0.01). Considering type of surgery due to bowel
obstruction, in first group in most patients (69.2%) bowel resection was not
necessary and in the second group creation of jejuno-, ileo- or colostomy was the
most common procedure. Morbidity was significantly higher in second group (45.9%
vs 28.26%, p<0.05) but there was no difference in mortality (26% vs 24%, p>0.05).
In both groups the most common localization of primary malignancy was colon.
CONCLUSIONS: In analyzed group of patients frequency of bowel obstruction caused
by adhesions and malignancy was similar. However, in patients with bowel
obstruction caused by malignancy morbidity was significantly higher and duration
of symptoms was longer. There was no diagnostic procedure which would allow to
differentiate the cause of bowel obstruction preoperatively and the diagnosis was
made during the operation.
PMID- 27213257
TI - Pancreas transplantation in a patient after total pancreatectomy due to chronic
pancreatitis - the first case in Poland.
AB - Chronic pancreatitis is an inflammatory disease that may require surgical
intervention. In some patients a total pancreatectomy is necessary. Such patients
develop diabetes, which in some cases may be difficult to control. When standard
insulin treatment is unsuccessful and the patient has frequent blood glucose
swings with life-threatening hiper- and hypoglycemic episodes, a pancreas
transplant should be considered.
PMID- 27213258
TI - Cat scratch disease in 9-year-old patient - a case report.
AB - Cat scratch disease (CSD) - bartonellosis, is zoonosis caused by the
intracellular gram negativebacterium Bartonellahenselae or Bartonellaquintana.
The pathogens of this disease enter the human body usually as a consequence of a
bite or scratch by young cats which are the natural source of such bacteria. The
illness proceeds asymptomatically or with topical symptoms of infection such as a
lump, spot or blister. Within 14 days a high fever and topical lymphadenopathy
are observed. Lymph nodes are sore and start suppurating. In half of patients,
these symptoms may resemble malignancy, and in single cases there are symptoms
associated with the musculoskeletal system, such as: osteitis, arthitis and
myositis. In paper presented case of 9 year-old girl patients, treated in Oral
Surgery Unit due to odema and lymphadenopathy in right submandibular space.
Primary surgical treatment of deciduous teeth was conducted without recovery. In
few months follow-up, biopsy of lymph node of submandibular group was taken and
provisional diagnosis of cat scratch disease was set. Patient was referred to the
Infectious Diseases Unit where serological test confirmed cat scratch disease,
and pharmacological treatment was conducted with success and recovery of young
patient.
PMID- 27213259
TI - Gastroenterocolic fistula in the patient after previous gastric resection and
hepaticoenterostomy due to complicated ulcer disease - case report.
AB - The report presents the case of a patient treated surgically for perforated
gastroenterocolic fistula with a concomitant abscess in abdominal integuments and
symptoms of the digestive tract blockage. Many months before this surgery the
patient had undergone gastric resection and hepaticoenterostomy (Roux-Y) due to
inflammatory tumor causing pyrolostenosis and including the peripheral part of
the common bile duct. After the surgery, the patient suffered from recurrent
abdominal pain which resulted in many hospitalizations. After one of the episodes
of complaints, the patient with symptoms of the digestive tract blockage was
admitted again to our ward, prepared to the surgery and qualified for the
surgical intervention. En bloc resection of the stomach, hepaticoenterostomy and
partial resection of the transverse colon were performed. The continuity of the
digestive tract was restored by gastroenterostomy with the isolated jejunal loop,
anastomosis between the hepatic loop and side of the afferent loop and end-to-end
anastomosis of the transverse colon. There were no postoperative complications.
The authors point out circumstances affected on decision to postpone the surgery
by the patient despite frequent recurrent complaints after primary surgery and
numerous previous hospitalizations.
PMID- 27213260
TI - Posterior component separation - first report from Poland on new surgical
technique used in major abdominal hernia treatment.
PMID- 27213262
TI - Improving Global Child Health in the Light of the (Old) Millennium Development
Goals and the (New) Sustainable Development Goals.
PMID- 27213261
TI - Imaging Features of the Brain, Cerebral Vessels and Spine in Pediatric
Tuberculous Meningitis With Associated Hydrocephalus.
AB - BACKGROUND: Pediatric tuberculous meningitis (TBM) leads to high rates of
mortality and morbidity. Prompt diagnosis and initiation of treatment are
challenging; imaging findings play a key role in establishing the presumptive
diagnosis. General brain imaging findings are well reported; however, specific
data on cerebral vascular and spinal involvement in children are sparse. METHODS:
This prospective cohort study examined admission and followed up computed
tomography brain scans and magnetic resonance imaging scans of the brain,
cerebral vessels (magnetic resonance angiogram) and spine at 3 weeks in children
treated for TBM with hydrocephalus (HCP; inclusion criteria). Exclusion criteria
were no HCP on admission, treatment of HCP or commencement of antituberculosis
treatment before study enrollment. Imaging findings were examined in association
with outcome at 6 months. RESULTS: Forty-four patients (median age 3.3 [0.3-13.1]
years) with definite (54%) or probable TBM were enrolled. Good clinical outcome
was reported in 72%; the mortality rate was 16%. Infarcts were reported in 66% of
patients and were predictive of poor outcome. Magnetic resonance angiogram
abnormalities were reported in 55% of patients. Delayed tuberculomas developed in
11% of patients (after starting treatment). Spinal pathology was more common than
expected, occurring in 76% of patients. Exudate in the spinal canal increased the
difficulty of lumbar puncture and correlated with high cerebrospinal fluid
protein content. CONCLUSION: TBM involves extensive pathology in the central
nervous system. Severe infarction was predictive of poor outcome although this
was not the case for angiographic abnormalities. Spinal disease occurs commonly
and has important implications for diagnosis and treatment. Comprehensive imaging
of the brain, spine and cerebral vessels adds insight into disease
pathophysiology.
PMID- 27213263
TI - Disease Burden of Group B Streptococcus Among Infants in Sub-Saharan Africa: A
Systematic Literature Review and Meta-analysis.
AB - BACKGROUND: Group B streptococcus (GBS) is a leading neonatal sepsis pathogen
globally. Investment in GBS disease prevention, such as maternal vaccination,
requires evidence of disease burden, particularly in high infant mortality
regions like sub-Saharan Africa. We aimed to provide such evidence by conducting
a systematic literature review and meta-analysis to estimate maternal
colonization proportion, GBS disease incidence and GBS serotype distribution.
METHODS: MEDLINE, MEDLINE in process and Cochrane Library were searched for
studies published during 1990-2014, pertaining to sub-Saharan Africa. Eligible
studies were used to estimate the proportion of pregnant women colonized with
GBS, early-onset GBS disease incidence, late-onset GBS disease incidence and
respective serotype distributions. Random effects meta-analysis was conducted to
estimate weighted means and confidence intervals (CIs). RESULTS: We identified 17
studies of colonization, 9 of disease incidence, and 6 of serotype distribution
meeting inclusion criteria. 21.8% (95% CI: 18.3, 25.5) of expectant women were
colonized with GBS. The incidence of early-onset GBS disease was 1.3 per 1000
births (95% CI: 0.81, 1.9), that of late-onset GBS disease 0.73 per 1000 births
(95% CI: 0.48, 1.0). The most common disease-causing serotype was 3, followed by
1a. Serotypes 1b, 2 and 5 were next most common in frequency. CONCLUSION: Despite
methodological factors leading to underestimation, GBS disease incidence appears
high in sub-Saharan Africa. A small number of GBS serotypes cause almost all
disease. GBS disease burden in sub-Saharan Africa suggests that safe, effective
and affordable GBS disease prevention is needed.
PMID- 27213264
TI - Evolution of Bordetella pertussis.
PMID- 27213266
TI - Spectrally resolved optical probing of laser induced magnetization dynamics in
bismuth iron garnet.
AB - The spectrally resolved magnetization dynamics in bismuth iron garnet shows a
fluence dependent light induced modification of the magneto-optical Faraday
spectrum. It is demonstrated that the relative contributions from the tetrahedral
and octahedral iron sites to the Faraday spectrum change due to the impact of the
pump pulse. This change explains the observed deviation from a linear dependence
of the amplitude of the oscillations on the fluence, as expected for the inverse
Faraday effect.
PMID- 27213265
TI - A Novel Ex Ovo Banding Technique to Alter Intracardiac Hemodynamics in an
Embryonic Chicken System.
AB - The new model presented here can be used to understand the influence of
hemodynamics on specific cardiac developmental processes, at the cellular and
molecular level. To alter intracardiac hemodynamics, fertilized chicken eggs are
incubated in a humidified chamber to obtain embryos of the desired stage (HH17).
Once this developmental stage is achieved, the embryo is maintained ex ovo and
hemodynamics in the embryonic heart are altered by partially constricting the
outflow tract (OFT) with a surgical suture at the junction of the OFT and
ventricle (OVJ). Control embryos are also cultured ex ovo but are not subjected
to the surgical intervention. Banded and control embryos are then incubated in a
humidified incubator for the desired period of time, after which 2D ultrasound is
employed to analyze the change in blood flow velocity at the OVJ as a result of
OFT banding. Once embryos are maintained ex ovo, it is important to ensure
adequate hydration in the incubation chamber so as to prevent drying and
eventually embryo death. Using this new banded model, it is now possible to
perform analyses of changes in the expression of key players involved in valve
development and to understand the role of hemodynamics on cellular responses in
vivo, which could not be achieved previously.
PMID- 27213267
TI - Efficacy, Tolerability, and Dose-Dependent Effects of Opioid Analgesics for Low
Back Pain: A Systematic Review and Meta-analysis.
AB - IMPORTANCE: Opioid analgesics are commonly used for low back pain, however, to
our knowledge there has been no systematic evaluation of the effect of opioid
dose and use of enrichment study design on estimates of treatment effect.
OBJECTIVE: To evaluate efficacy and tolerability of opioids in the management of
back pain; and investigate the effect of opioid dose and use of an enrichment
study design on treatment effect. DATA SOURCES: Medline, EMBASE, CENTRAL, CINAHL,
and PsycINFO (inception to September 2015) with citation tracking from eligible
randomized clinical trials (RCTs). STUDY SELECTION: Placebo-controlled RCTs in
any language. DATA EXTRACTION AND SYNTHESIS: Two authors independently extracted
data and assessed risk of bias. Data were pooled using a random effects model
with strength of evidence assessed using the grading of recommendations
assessment, development, and evaluation (GRADE). MAIN OUTCOMES AND MEASURES: The
primary outcome measure was pain. Pain and disability outcomes were converted to
a common 0 to 100 scale, with effects greater than 20 points considered
clinically important. RESULTS: Of 20 included RCTs of opioid analgesics (with a
total of 7925 participants), 13 trials (3419 participants) evaluated short-term
effects on chronic low back pain, and no placebo-controlled trials enrolled
patients with acute low back pain. In half of these 13 trials, at least 50% of
participants withdrew owing to adverse events or lack of efficacy. There was
moderate-quality evidence that opioid analgesics reduce pain in the short term;
mean difference (MD), -10.1 (95% CI, -12.8 to -7.4). Meta-regression revealed a
12.0 point greater pain relief for every 1 log unit increase in morphine
equivalent dose (P = .046). Clinically important pain relief was not observed
within the dose range evaluated (40.0-240.0-mg morphine equivalents per day).
There was no significant effect of enrichment study design. CONCLUSIONS AND
RELEVANCE: For people with chronic low back pain who tolerate the medicine,
opioid analgesics provide modest short-term pain relief but the effect is not
likely to be clinically important within guideline recommended doses. Evidence on
long-term efficacy is lacking. The efficacy of opioid analgesics in acute low
back pain is unknown.
PMID- 27213268
TI - A novel M-shape electrochemical aptasensor for ultrasensitive detection of
tetracyclines.
AB - Analytical techniques for detection and quantitation of tetracyclines in food
products are greatly in demand. In this study, a novel electrochemical aptasensor
was designed for ultrasensitive and selective detection of tetracyclines, based
on M-shape structure of aptamer (Apt)-complementary strands of aptamer (CSs)
complex, exonuclease I (Exo I) and gold electrode. The aptasensor was developed
to make a noticeable electrochemical difference in the absence and presence of
tetracycline. In the absence of tetracycline, the M-shape structure, which acts
as a gate and barrier for the access of redox probe to the surface of gold
electrode remains intact, leading to a weak electrochemical signal. Upon addition
of tetracycline, Apt leaves CSs, resulting in disassembly of M-shape structure
and following the addition of Exo I, a strong electrochemical signal was
observed. The developed analytical assay indicated high selectivity toward
tetracycline with a limit of detection (LOD) as low as 450 pM. Moreover, the
designed aptasensor was effectively used for the detection of tetracycline in
milk and serum samples with LODs of 740 and 710 pM, respectively.
PMID- 27213269
TI - Carbon nanotube modification of microbial fuel cell electrodes.
AB - The use of carbon nanotubes (CNTs) for energy harvesting devices is preferable
due to their unique mechanical, thermal, and electrical properties. On the other
hand, microbial fuel cells (MFCs) are promising devices to recover carbon-neutral
energy from the organic matters, and have been hindered with major setbacks
towards commercialization. Nanoengineered CNT-based materials show remarkable
electrochemical properties, and therefore have provided routes towards highly
effective modification of MFC compartments to ultimately reach the theoretical
limits of biomass energy recovery, low-cost power production, and thus the
commercialization of MFCs. Moreover, these CNT-based composites offer significant
flexibility in the design of MFCs that enable their use for a broad spectrum of
applications ranging from scaled-up power generation to medically related
devices. This article reviews the recent advances in the modification of MFCs
using CNTs and CNT-based composites, and the extent to which each modification
route impacts MFC power and current generation.
PMID- 27213270
TI - Identification of Bari Transposons in 23 Sequenced Drosophila Genomes Reveals
Novel Structural Variants, MITEs and Horizontal Transfer.
AB - Bari elements are members of the Tc1-mariner superfamily of DNA transposons,
originally discovered in Drosophila melanogaster, and subsequently identified in
silico in 11 sequenced Drosophila genomes and as experimentally isolated in four
non-sequenced Drosophila species. Bari-like elements have been also studied for
their mobility both in vivo and in vitro. We analyzed 23 Drosophila genomes and
carried out a detailed characterization of the Bari elements identified,
including those from the heterochromatic Bari1 cluster in D. melanogaster. We
have annotated 401 copies of Bari elements classified either as putatively
autonomous or inactive according to the structure of the terminal sequences and
the presence of a complete transposase-coding region. Analyses of the integration
sites revealed that Bari transposase prefers AT-rich sequences in which the TA
target is cleaved and duplicated. Furthermore evaluation of transposon's co
occurrence near the integration sites of Bari elements showed a non-random
distribution of other transposable elements. We also unveil the existence of a
putatively autonomous Bari1 variant characterized by two identical long Terminal
Inverted Repeats, in D. rhopaloa. In addition, we detected MITEs related to Bari
transposons in 9 species. Phylogenetic analyses based on transposase gene and the
terminal sequences confirmed that Bari-like elements are distributed into three
subfamilies. A few inconsistencies in Bari phylogenetic tree with respect to the
Drosophila species tree could be explained by the occurrence of horizontal
transfer events as also suggested by the results of dS analyses. This study
further clarifies the Bari transposon's evolutionary dynamics and increases our
understanding on the Tc1-mariner elements' biology.
PMID- 27213271
TI - A Dynamic 3D Graphical Representation for RNA Structure Analysis and Its
Application in Non-Coding RNA Classification.
AB - With the development of new technologies in transcriptome and epigenetics, RNAs
have been identified to play more and more important roles in life processes.
Consequently, various methods have been proposed to assess the biological
functions of RNAs and thus classify them functionally, among which comparative
study of RNA structures is perhaps the most important one. To measure the
structural similarity of RNAs and classify them, we propose a novel three
dimensional (3D) graphical representation of RNA secondary structure, in which an
RNA secondary structure is first transformed into a characteristic sequence based
on chemical property of nucleic acids; a dynamic 3D graph is then constructed for
the characteristic sequence; and lastly a numerical characterization of the 3D
graph is used to represent the RNA secondary structure. We tested our algorithm
on three datasets: (1) Dataset I consisting of nine RNA secondary structures of
viruses, (2) Dataset II consisting of complex RNA secondary structures including
pseudo-knots, and (3) Dataset III consisting of 18 non-coding RNA families. We
also compare our method with other nine existing methods using Dataset II and
III. The results demonstrate that our method is better than other methods in
similarity measurement and classification of RNA secondary structures.
PMID- 27213273
TI - A Spectrophotometric Study of Plumage Color in the Eared Dove (Zenaida
auriculata), the Most Abundant South American Columbiforme.
AB - For birds, plumage color perception is critical in social interactions such as
courtship, in both monochromatic and dichromatic species. In the Eared Dove
(Zenaida auriculata), perhaps the most abundant South American Columbiforme, the
plumage of males and females looks alike and both sexes share the same melanistic
coloration with gray and pink tones. The aim of this study was therefore to
determine whether evident sexual dichromatism exists in the plumage of the Eared
Dove using a spectrophotometry technique in the avian-visible range (300-700 nm).
The results of the classic colorimetric variables analysis (hue, chroma and
brightness) show that males are in general brighter and have higher UV chroma
values than females. The avian visual model points to differences in achromatic
and chromatic levels between males and females in body regions possibly involved
in sexual selection (e.g. the crown). The model also indicates chromatic or
achromatic differences in body regions not subject to sexual selection such as
the black spots on the wing coverts and white tail bands, both of which may be
involved in intra- or inter-gender-specific communication.
PMID- 27213275
TI - Extruded whole grain diets based on brown, soaked and germinated rice. Effects on
the lipid profile and antioxidant status of growing Wistar rats. Part II.
AB - The influence of whole grain (WG) rice based diets on the lipid profile and
antioxidant status was evaluated. Thirty-two male Wistar rats were fed with
Control (C), extruded Brown rice (B), extruded Soaked whole rice (S) and extruded
Germinated whole rice (G) diets for 60 days. Triacylglycerols (TAGs), cholesterol
and malondialdehyde equivalent (MDA eq.) in serum and liver were determined.
Catalase (CAT), Glutathione Reductase (GR) and Glutathione Peroxidase (GPx)
enzyme activities and Glutathione Reduced (GSH) and Oxidized (GSSG) in the liver
were analyzed. Animals consuming B and S diets presented lower body weight gain.
All WG diets reduced TAGs in serum and MDA eq. content in liver in comparison
with the C diet. WG rice diets improved the redox status in animals mainly fed G
due to their higher GR activity and GSH/GSSG ratio.
PMID- 27213274
TI - Pterostilbene Is a Potential Candidate for Control of Blackleg in Canola.
AB - Two stilbenes, resveratrol and pterostilbene, exhibit antifungal activity against
Leptosphaeria maculans, the fungal pathogen responsible for blackleg (stem
canker) in canola (Brassica napus). In vitro studies on the effect of these
stilbenes on L. maculans mycelial growth and conidia germination showed that
pterostilbene is a potent fungicide and sporicide, but resveratrol only exerted
minor inhibition on L. maculans. Cell viability of hyphae cultures was markedly
reduced by pterostilbene and SYTOX green staining showed that cell membrane
integrity was compromised. We demonstrate that pterostilbene exerts fungicidal
activity across 10 different L. maculans isolates and the compound confers
protection to the blackleg-susceptible canola cv. Westar seedlings. The potential
of pterostilbene as a control agent against blackleg in canola is discussed.
PMID- 27213272
TI - The Transcriptional Activator Kruppel-like Factor-6 Is Required for CNS
Myelination.
AB - Growth factors of the gp130 family promote oligodendrocyte differentiation, and
viability, and myelination, but their mechanisms of action are incompletely
understood. Here, we show that these effects are coordinated, in part, by the
transcriptional activator Kruppel-like factor-6 (Klf6). Klf6 is rapidly induced
in oligodendrocyte progenitors (OLP) by gp130 factors, and promotes
differentiation. Conversely, in mice with lineage-selective Klf6 inactivation,
OLP undergo maturation arrest followed by apoptosis, and CNS myelination fails.
Overlapping transcriptional and chromatin occupancy analyses place Klf6 at the
nexus of a novel gp130-Klf-importin axis, which promotes differentiation and
viability in part via control of nuclear trafficking. Klf6 acts as a gp130
sensitive transactivator of the nuclear import factor importin-alpha5
(Impalpha5), and interfering with this mechanism interrupts step-wise
differentiation. Underscoring the significance of this axis in vivo, mice with
conditional inactivation of gp130 signaling display defective Klf6 and Impalpha5
expression, OLP maturation arrest and apoptosis, and failure of CNS myelination.
PMID- 27213276
TI - Review: Hematopoietic Stem Cell Transplantation for Scleroderma: Effective
Immunomodulatory Therapy for Patients With Pulmonary Involvement.
PMID- 27213277
TI - Mouse and Human CD1d-Self-Lipid Complexes Are Recognized Differently by Murine
Invariant Natural Killer T Cell Receptors.
AB - Invariant natural killer T (iNKT) cells recognize self-lipids presented by CD1d
through characteristic TCRs, which mainly consist of the invariant Valpha14
Jalpha18 TCRalpha chain and Vbeta8.2, 7 or 2 TCRbeta chains with hypervariable
CDR3beta sequences in mice. The iNKT cell-CD1d axis is conserved between humans
and mice, and human CD1d reactivity of murine iNKT cells have been described.
However, the detailed differences between the recognition of human and mouse CD1d
bound to various self-lipids by mouse iNKT TCRs are largely unknown. In this
study, we generated a de novo murine iNKT TCR repertoire with a wider range of
autoreactivity compared with that of naturally occurring peripheral iNKT TCRs.
Vbeta8.2 mouse iNKT TCRs capable of recognizing the human CD1d-self-lipid
tetramer were identified, although such clones were not detectable in the Vbeta7
or Vbeta2 iNKT TCR repertoire. In line with previously reports, clonotypic
Vbeta8.2 iNKT TCRs with unique CDR3beta loops did not discriminate among lipids
presented by mouse CD1d. Unexpectedly, however, these iNKT TCRs showed greater
ligand selectivity toward human CD1d presenting the same lipids. Our findings
demonstrated that the recognition of mouse and human CD1d-self-lipid complexes by
murine iNKT TCRs is not conserved, thereby further elucidating the differences
between cognate and cross-species reactivity of self-antigens by mouse iNKT TCRs.
PMID- 27213278
TI - TRIC: Capturing the direct cellular targets of promoter-bound transcriptional
activators.
AB - Transcriptional activators coordinate the dynamic assembly of multiprotein
coactivator complexes required for gene expression to occur. Here we combine the
power of in vivo covalent chemical capture with p-benzoyl-L-phenylalanine (Bpa),
a genetically incorporated photo-crosslinking amino acid, and chromatin
immunoprecipitation (ChIP) to capture the direct protein interactions of the
transcriptional activator VP16 with the general transcription factor TBP at the
GAL1 promoter in live yeast.
PMID- 27213279
TI - Brief Report: Risk of Gastrointestinal Perforation Among Rheumatoid Arthritis
Patients Receiving Tofacitinib, Tocilizumab, or Other Biologic Treatments.
AB - OBJECTIVE: To evaluate gastrointestinal (GI) perforation in rheumatoid arthritis
(RA) patients receiving tofacitinib, tocilizumab, or other biologic agents.
METHODS: Using health plan data from 2006 through 2014, RA patients without prior
GI perforation were identified. Those in whom treatment with tofacitinib or a
biologic agent was being initiated were followed up for incident GI perforation
with hospitalization. Crude incidence rates were calculated by exposure. Adjusted
Cox proportional hazards models were used to evaluate the association between GI
perforation and exposures. Hazard ratios (HRs) with 95% confidence intervals (95%
CIs) were calculated. RESULTS: A cohort of 167,113 RA patients was analyzed.
Among them, 4,755 began treatment with tofacitinib, 11,705 with tocilizumab,
115,047 with a tumor necrosis factor inhibitor (TNFi), 31,214 with abatacept, and
4,392 with rituximab. Compared to TNFi recipients, abatacept recipients were
older, tofacitinib and rituximab recipients were younger, and tocilizumab
recipients were similar in age. Patients beginning treatment with a non-TNFi
agent were more likely to have previously received biologic agents than patients
beginning treatment with a TNFi. The incidence of GI perforation per 1,000
patient-years was 0.86 (tofacitinib), 1.55 (tocilizumab), 1.07 (abatacept), 0.73
(rituximab), and 0.83 (TNFi). Most perforations occurred in the lower GI tract:
the incidence of lower GI tract perforation per 1,000 patient-years was 0.86
(tofacitinib), 1.26 (tocilizumab), 0.76 (abatacept), 0.48 (rituximab), and 0.46
(TNFi). Lower GI tract perforation risk was significantly elevated with
tocilizumab treatment, and numerically elevated with tofacitinib treatment,
versus treatment with TNFi. Adjusted HRs were 2.51 (95% CI 1.31-4.80) for
tocilizumab and 1.94 (95% CI 0.49-7.65) for tofacitinib. Older age (HR 1.16 per 5
years [95% CI 1.10-1.22]), diverticulitis/other GI conditions (HR 3.25 [95% CI
1.62-6.50]), and prednisone use at >7.5 mg/day (HR 2.29 [95% CI 1.39-3.78]) were
associated with lower GI tract perforation. The incidence of upper GI tract
perforation was similar among all drug exposures. CONCLUSION: The risk of lower
GI tract perforation associated with tocilizumab treatment, and possibly
tofacitinib treatment, is elevated compared to that associated with TNF blockade.
PMID- 27213280
TI - Exploring the Use of Isolated Expressions and Film Clips to Evaluate Emotion
Recognition by People with Traumatic Brain Injury.
AB - The current study presented 60 people with traumatic brain injury (TBI) and 60
controls with isolated facial emotion expressions, isolated vocal emotion
expressions, and multimodal (i.e., film clips) stimuli that included contextual
cues. All stimuli were presented via computer. Participants were required to
indicate how the person in each stimulus was feeling using a forced-choice
format. Additionally, for the film clips, participants had to indicate how they
felt in response to the stimulus, and the level of intensity with which they
experienced that emotion.
PMID- 27213281
TI - Relationship between Kidney Dysfunction and Ischemic Stroke Outcomes:
Albuminuria, but Not Estimated Glomerular Filtration Rate, Is Associated with the
Risk of Further Vascular Events and Mortality after Stroke.
AB - BACKGROUND AND OBJECTIVE: Estimated glomerular filtration rate (eGFR) and
albuminuria are known to be associated with ischemic stroke outcomes. In this
study, we investigated the longitudinal relationships of the two markers with
mortality, vascular events and functional outcomes in a stroke cohort. METHODS: A
total of 295 patients with acute ischemic stroke were prospectively recruited in
a single center between May 2012 and February 2015. Renal dysfunction was defined
as a decreased eGFR (<60 mL/min/1.73 m2) or albuminuria (urine albumin-to
creatinine ratio >= 30 mg/g). Good functional outcome at 6 months was defined as
a modified Rankin scale score <= 2, and the occurrence of major vascular events
(stroke, acute coronary syndrome or peripheral artery occlusion) or death was
monitored. The associations between renal dysfunction and mortality, major
vascular events, and 6-month functional outcome were evaluated by the Cox
proportional hazards model and logistic regression analysis. Unadjusted and
adjusted hazards ratios (HRs), odds ratios (ORs), and 95% confidence intervals
(CIs) were obtained. A Kaplan-Meier survival curve for composite adverse events
(major vascular events or death) was also computed according to the presence or
absence of albuminuria. RESULTS: Albuminuria, not eGFR, was significantly
associated with mortality (P = 0.028; HR 2.15; 95% CI 1.09-4.25) and major
vascular events (P = 0.044; HR 2.24; 95% CI 1.02-4.94) in the multivariate Cox
proportional hazards models adjusting for age, sex, diabetes, hypertension,
current smoking, atrial fibrillation, previous stroke, alcohol history, initial
National Institutes of Health Stroke Scale (NIHSS) score and eGFR. In addition,
albuminuria was negatively associated with 6-month functional outcome in the
multivariate logistic regression analysis adjusting for age, sex, diabetes,
hypertension, current smoking, atrial fibrillation, previous stroke, alcohol
history and eGFR (P = 0.001; OR 0.36; 95% CI 0.20-0.65), but the association
disappeared when NIHSS score was additionally adjusted (P = 0.519; OR 0.79; 95%
CI 0.39-1.60). Furthermore, the patients with albuminuria had a significantly
higher rate of composite adverse events than the patients without albuminuria (P
< 0.001 by log-rank test). CONCLUSIONS: Albuminuria seems a more useful clinical
indicator than eGFR in evaluating the risk of adverse outcomes including further
vascular events and death in patients with ischemic stroke.
PMID- 27213282
TI - Gut associated bacteria are critical to metabolism, inflammation and health.
PMID- 27213283
TI - A Systematic Review of Perennial Staple Crops Literature Using Topic Modeling and
Bibliometric Analysis.
AB - Research on perennial staple crops has increased in the past ten years due to
their potential to improve ecosystem services in agricultural systems. However,
multiple past breeding efforts as well as research on traditional ratoon systems
mean there is already a broad body of literature on perennial crops. In this
review, we compare the development of research on perennial staple crops,
including wheat, rice, rye, sorghum, and pigeon pea. We utilized the advanced
search capabilities of Web of Science, Scopus, ScienceDirect, and Agricola to
gather a library of 914 articles published from 1930 to the present. We analyzed
the metadata in the entire library and in collections of literature on each crop
to understand trends in research and publishing. In addition, we applied topic
modeling to the article abstracts, a type of text analysis that identifies
frequently co-occurring terms and latent topics. We found: 1.) Research on
perennials is increasing overall, but individual crops have each seen periods of
heightened interest and research activity; 2.) Specialist journals play an
important role in supporting early research efforts. Research often begins within
communities of specialists or breeders for the individual crop before
transitioning to a more general scientific audience; 3.) Existing perennial
agricultural systems and their domesticated crop material, such as ratoon rice
systems, can provide a useful foundation for breeding efforts, accelerating the
development of truly perennial crops and farming systems; 4.) Primary research is
lacking for crops that are produced on a smaller scale globally, such as pigeon
pea and sorghum, and on the ecosystem service benefits of perennial agricultural
systems.
PMID- 27213284
TI - Stacked Modalities' Thromboprophylactic Therapy for Patients Undergoing Total
Knee Replacement Surgery.
AB - Thromboprophylaxis is a controversial and challenging topic in joint replacement
surgery. Mechanical prophylaxis in combination with the use of anticoagulants
have been shown to have greater efficacy than single modalities. But the early
use of anticoagulants has the potential to increases the risk of postoperative
bleeding. The purpose of this study was to evaluate the thromboprophylactic
effects of sequential combined method using early-mechanical compression with
delayed rivaroxaban in total knee arthroplasty. A retrospective cohort study,
including 369 patients receiving simultaneous combined mechanical and
pharmacological thromboprophylactic modality and 385 patients receiving
sequential combined modality with early-mechanical compression treatment followed
by rivaroxaban 2 days later after primary total knee arthroplasty surgery was
conducted. The incidence rates of proximal and distal deep vein thrombosis (DVT)
on the 2nd day and the 5th week and the volume of wound drainage were compared
postoperatively. On the 2nd postoperative day, the incidence rates of proximal
and distal DVT in the sequential group (1.56, 4.16%) had no significant
difference compared with those (1.08, 3.25%) in the simultaneous group (p =
0.569, 0.507, respectively). No difference was found in the incidence rate of
proximal and distal DVT on the 5th week between groups. No pulmonary embolism
occurred. The mean volume of wound drainage in the sequential group was 343 mL
which was 98 mL lesser than in the simultaneous group (p < 0.001). The sequential
combined method as called "stacked modalities" thromboprophylactic therapy
following total knee arthroplasty was safe and effective. The use of the
mechanical compression method alone during the early-postoperative 48 hours, then
followed by rivaroxaban then until the 5th week had the same antithrombotic
effects and reduced the postoperative wound drainage volume as compared with
simultaneous combined modalities.
PMID- 27213285
TI - Outcome of Total Knee Replacement via Two Approaches in Indian Scenario.
AB - This study was designed to assess the early and late clinical and functional
outcome based on Knee Society Score in patients undergoing total knee replacement
(TKR) by medial parapatellar approach/midvastus approach. A total of 52 patients
were included in the study of whom 28 patients were operated by medial
parapatellar approach and 24 by midvastus approach. Patients were assessed on the
basis of Knee Society Score, along with other parameters such as duration of
hospital stay, blood loss during, and after surgery. The patients were followed
up at 2 weeks, 6 weeks, 3 months, 6 months, and 1 year. The knee objective score
showed significant better scores in midvastus group at 2 weeks, 6 weeks, and 3
months, but the score in both the groups was not significant at 6 months and 1
year. The knee functional score showed significant better score in midvastus
group at the end of 2 and 6 weeks. However, score in both the groups was not
significant by the end of 3 months, 6 months, and 1 year. Patients' satisfaction
and expectation scores were similar in both groups at all time intervals. The
functional outcome of TKR surgery by midvastus approach was better in the first 3
months in comparison to medial parapatellar approach.
PMID- 27213286
TI - The trigger enzyme PepA (aminopeptidase A) of Escherichia coli, a transcriptional
repressor that generates positive supercoiling.
AB - Escherichia coli aminopeptidase A (PepA) is a trigger enzyme endowed with
catalytic activity and DNA-binding properties prominent in transcriptional
regulation and site-specific DNA recombination. The current work demonstrates
that PepA is a repressor in its own right, capable of specifically inhibiting
transcription initiation at promoter P1 of the carAB operon, encoding
carbamoylphosphate synthase. Furthermore, in vitro topology studies performed
with DNA minicircles demonstrate that PepA binding constrains a single positive
supercoil in the carP1 control region. Such a topological event is understood to
constitute an impediment to transcription initiation and may serve as a mechanism
to regulate gene expression.
PMID- 27213288
TI - Risk of psychiatric illness from advanced paternal age is not predominantly from
de novo mutations.
AB - The offspring of older fathers have higher risk of psychiatric disorders such as
schizophrenia and autism. Paternal-age-related de novo mutations are widely
assumed to be the underlying causal mechanism, and, although such mutations must
logically make some contribution, there are alternative explanations (for
example, elevated liability to psychiatric illness may delay fatherhood). We used
population genetic models based on empirical observations of key parameters (for
example, mutation rate, prevalence, and heritability) to assess the genetic
relationship between paternal age and risk of psychiatric illness. These models
suggest that age-related mutations are unlikely to explain much of the increased
risk of psychiatric disorders in children of older fathers. Conversely, a model
incorporating a weak correlation between age at first child and liability to
psychiatric illness matched epidemiological observations. Our results suggest
that genetic risk factors shared by older fathers and their offspring are a
credible alternative explanation to de novo mutations for risk to children of
older fathers.
PMID- 27213287
TI - Deep sequencing of the MHC region in the Chinese population contributes to
studies of complex disease.
AB - The human major histocompatibility complex (MHC) region has been shown to be
associated with numerous diseases. However, it remains a challenge to pinpoint
the causal variants for these associations because of the extreme complexity of
the region. We thus sequenced the entire 5-Mb MHC region in 20,635 individuals of
Han Chinese ancestry (10,689 controls and 9,946 patients with psoriasis) and
constructed a Han-MHC database that includes both variants and HLA gene typing
results of high accuracy. We further identified multiple independent new
susceptibility loci in HLA-C, HLA-B, HLA-DPB1 and BTNL2 and an intergenic
variant, rs118179173, associated with psoriasis and confirmed the well
established risk allele HLA-C*06:02. We anticipate that our Han-MHC reference
panel built by deep sequencing of a large number of samples will serve as a
useful tool for investigating the role of the MHC region in a variety of diseases
and thus advance understanding of the pathogenesis of these disorders.
PMID- 27213291
TI - Health, Wealth, Social Integration, and Sexuality of Extremely Low-Birth-Weight
Prematurely Born Adults in the Fourth Decade of Life.
AB - IMPORTANCE: Although it has been previously reported that the transition of
extremely low-birth-weight survivors (<=1000 g) in their mid-20s was similar to
that of normal-birth-weight controls (>2500g), there was uncertainty as to
whether this positive pattern would persist. OBJECTIVE: To compare the social
functioning of low-birth-weight prematurely born adults aged 29 to 36 years with
that of normal-birth-weight term controls. DESIGN, SETTING, AND PARTICIPANTS: A
population-based longitudinal cohort study was conducted in Ontario, Canada,
between March 14, 2011, and August 13, 2013, among 100 of 165 low-birth-weight
survivors (60.6%) prematurely born between January 1, 1977, and December 31,
1982, and 89 of 144 sociodemographically matched normal-birth-weight term
controls (61.8%) recruited at age 8 years. Neurologic impairments were present in
20 premature participants (20.0%). EXPOSURES: Extremely low birth weight. MAIN
OUTCOMES AND MEASURES: Information on health, educational level, employment,
social integration, sexuality, and reproduction was obtained through standardized
questionnaires completed by the participants. RESULTS: Participants included 100
(39 males) extremely low-birth-weight survivors and 89 (33 males) normal-birth
weight term controls. The groups did not differ in the highest educational level
achieved or in family and partner relationships. However, a lower proportion of
premature adults was employed (odds ratio [OR], 0.37; 95% CI, 0.15 to 0.93) and
fewer were employed full time (OR, 0.49; 95% CI, 0.24 to 0.98). The premature
group had a mean total personal income of $20 000 less than controls, and more
required social assistance (OR, 4.16; 95% CI, 1.13 to 15.33). Compared with the
control group, more members of the premature group remained single (OR, 1.95; 95%
CI, 1.08 to 3.50), had never had sexual intercourse (OR, 11.30; 95% CI, 2.56 to
49.91), did not have children (OR, 0.52; 95% CI, 0.27 to 0.99), reported more
chronic health conditions (beta, 1.54; 95% CI, 0.79 to 2.30), had lower self
esteem (beta, 8.40; 95% CI, 1.68 to 15.12), and were less likely to have current
drug abuse or dependence (OR, 0.29; 95% CI, 0.90 to 0.92) or lifetime alcohol
abuse or dependence (OR, 0.30; 95% CI, 0.15 to 0.59). A higher proportion of
premature adults without neurosensory impairments identified themselves as
nonheterosexual (OR, 4.87; 95% CI, 1.01 to 23.69). After exclusion of individuals
with neurosensory impairments, differences in employment, social assistance,
marital status, and reproduction were no longer significant. CONCLUSIONS AND
RELEVANCE: In the fourth decade of life, extremely low-birth-weight survivors
achieved similar educational levels and family and partner relationships, and
reported fewer risky behaviors compared with controls. However, they had lower
levels of employment, income, and self-esteem, and fewer were married and had
children. It is therefore essential that these individuals receive necessary
support and continued monitoring throughout life.
PMID- 27213292
TI - Functionalized Benzimidazole Scaffolds: Privileged Heterocycle for Drug Design in
Therapeutic Medicine.
AB - Benzimidazole derivatives are crucial structural scaffolds found in diverse
libraries of biologically active compounds which are therapeutically useful
agents in drug discovery and medicinal research. They are structural isosteres of
naturally occurring nucleotides, which allows them to interact with the
biopolymers of living systems. Hence, there is a need to couple the latest
information with the earlier documentations to understand the current status of
the benzimidazole nucleus in medicinal chemistry research. This present work
unveils the benzimidazole core as a multifunctional nucleus that serves as a
resourceful tool of information for synthetic modifications of old existing
candidates in order to tackle drug resistance bottlenecks in therapeutic
medicine. This manuscript deals with the recent advances in the synthesis of
benzimidazole derivatives, the widespread biological activities as well as
pharmacokinetic reports. These present them as a toolbox for fighting infectious
diseases and also make them excellent candidates for future drug design.
PMID- 27213289
TI - NANS-mediated synthesis of sialic acid is required for brain and skeletal
development.
AB - We identified biallelic mutations in NANS, the gene encoding the synthase for N
acetylneuraminic acid (NeuNAc; sialic acid), in nine individuals with infantile
onset severe developmental delay and skeletal dysplasia. Patient body fluids
showed an elevation in N-acetyl-D-mannosamine levels, and patient-derived
fibroblasts had reduced NANS activity and were unable to incorporate sialic acid
precursors into sialylated glycoproteins. Knockdown of nansa in zebrafish embryos
resulted in abnormal skeletal development, and exogenously added sialic acid
partially rescued the skeletal phenotype. Thus, NANS-mediated synthesis of sialic
acid is required for early brain development and skeletal growth. Normal
sialylation of plasma proteins was observed in spite of NANS deficiency.
Exploration of endogenous synthesis, nutritional absorption, and rescue pathways
for sialic acid in different tissues and developmental phases is warranted to
design therapeutic strategies to counteract NANS deficiency and to shed light on
sialic acid metabolism and its implications for human nutrition.
PMID- 27213290
TI - Pancreatic cancer risk variant in LINC00673 creates a miR-1231 binding site and
interferes with PTPN11 degradation.
AB - Genome-wide association studies have identified several loci associated with
pancreatic cancer risk; however, the mechanisms by which genetic factors
influence the development of sporadic pancreatic cancer remain largely unknown.
Here, by using genome-wide association analysis and functional characterization,
we identify a long intergenic noncoding RNA (lincRNA), LINC00673, as a potential
tumor suppressor whose germline variation is associated with pancreatic cancer
risk. LINC00673 is able to reinforce the interaction of PTPN11 with PRPF19, an E3
ubiquitin ligase, and promote PTPN11 degradation through ubiquitination, which
causes diminished SRC-ERK oncogenic signaling and enhanced activation of the
STAT1-dependent antitumor response. A G>A change at rs11655237 in exon 4 of
LINC00673 creates a target site for miR-1231 binding, which diminishes the effect
of LINC00673 in an allele-specific manner and thus confers susceptibility to
tumorigenesis. These findings shed new light on the important role of LINC00673
in maintaining cell homeostasis and how its germline variation might confer
susceptibility to pancreatic cancer.
PMID- 27213293
TI - Flow cytometric characterization of peripheral blood leukocyte populations of 3
neotropical snake species: Boa constrictor, Bothrops jararaca, and Crotalus
durissus.
AB - BACKGROUND: The reptilian immune system is represented by innate, humoral, and
cell-mediated mechanisms, involving different types of blood leukocytes. The
development of optimized methods for the advanced study of origin and function of
reptilian blood leukocytes is needed. OBJECTIVES: The purpose of the study was to
optimize leukocyte density gradient isolation protocols from snake peripheral
blood samples, and characterize recovered cells by flow cytometry based on size
and internal complexity for a qualitative and semi-quantitative assessment of
leukocyte populations in one boa (Boa constrictor), and 2 viper species (Bothrops
jararaca, Crotalus durissus). METHODS: Blood samples from 30 snakes (10 from each
species, 5 males and 5 females) were collected in tubes with sodium heparin.
Fresh blood was centrifuged with either ficoll-paque PLUS or percoll density
gradients for leukocyte isolation. Flow cytometric leukocyte gates were defined
based on size (forward scatter [FSC]) and internal complexity (side scatter
[SSC]). Relative leukocyte differential counts after sorting the cells in these
gates in one snake for each species were compared to conventional light
microscopic differential counts on unsorted isolated leukocytes. RESULTS: There
was no statistical difference in the relative leukocyte populations, including
heterophils, azurophils, and small and large lymphocytes between samples isolated
by ficoll or percoll. Four leukocyte gates were identified based on their
location in FSC/SSC cytograms. The relative leukocyte differential counts after
sorting in single animals showed some agreement with the light microscopy
differential count on unsorted cells. CONCLUSIONS: Based on FSC and SSC, 4
distinct leukocyte populations were found in ficoll or percoll density gradient
isolated leukocytes from peripheral blood from boa and viper species. Further
optimization of the technique should allow the performance of functional assays.
PMID- 27213294
TI - Preoperative intraocular pressure as a predictor of selective laser
trabeculoplasty efficacy.
AB - PURPOSE: To identify predictors of intraocular pressure (IOP) reduction following
selective laser trabeculoplasty (SLT) in patients with high- and low-pressure
primary open-angle glaucoma, who are already taking maximally tolerated IOP
lowering medication and need further IOP reduction. METHODS: In this prospective
interventional case series, 157 eyes of 157 open-angle glaucoma patients who were
assigned for SLT for further IOP reduction were included. Each patient had
diurnal IOP measurements taken before and on average 6 months following SLT. The
mean of six IOP measurements was compared. The following parameters were analysed
for their association with SLT success: age, gender, spherical equivalent, high
pressure or normal-pressure open-angle glaucoma, number and type of pressure
lowering medications, lens status, pre-SLT IOP, IOP at the time of diagnosis,
duration of glaucoma, visual field stage and central corneal thickness. RESULTS:
The only parameter that was predictive for absolute and relative mean diurnal IOP
reduction after SLT was the preoperative mean diurnal IOP. One hundred per cent
of the patients with a mean diurnal preoperative IOP of more than 18 mmHg had an
IOP reduction after SLT. With mean diurnal preoperative values of 14-18 mmHg,
83.1% of the patients, and with values below 14 mmHg only 64% of the patients,
showed an IOP reduction. This difference was statistically significant (>18
compared to 14-18: p = 0.002; >18 compared to <14: p = 0.001; 14-18 compared to
<14: p = 0.030). CONCLUSIONS: The pressure-lowering effect of SLT can best be
predicted by the individual IOP level before treatment. Patients with mean
diurnal IOP levels below 14 mmHg might not benefit from the procedure at all.
PMID- 27213295
TI - Protease-activated receptor 4 is more important than protease-activated receptor
1 for the thrombin-induced procoagulant effect on platelets.
PMID- 27213296
TI - Easy Access to NO2 -Containing Donor-Acceptor-Acceptor Electron Donors for High
Efficiency Small-Molecule Organic Solar Cells.
AB - Two donor-acceptor-acceptor (D-A-A)-type molecules incorporating
nitrobenzoxadiazole (NBO) as the A-A block and ditolylamine as the D block
bridged through a phenylene (PNBO) and a thiophene (TNBO) spacer were synthesized
in a one-step coupling reaction. Their electronic, photophysical, and thermal
properties; crystallographic analysis; and theoretical calculations were studied
to establish a clear structure-property relationship. The results indicate that
the quinoidal character of the thiophene bridge strongly governs the structural
features and crystal packings (herringbone vs. brickwork) and thus the physical
properties of the compounds. PNBO and TNBO were utilized as electron donors
combined with C70 as the electron acceptor in the active layer of vacuum
processed bulk heterojunction small-molecule organic solar cells (SMOSCs). The
power conversion efficiency of both PNBO- and TNBO-based OSCs exceeded 5 %. The
ease of accessibility of PNBO and TNBO demonstrates the potential for simple and
economical synthesis of electron donors in vacuum-processed SMOSCs.
PMID- 27213297
TI - Decomposing racial differences in adolescent smoking in the U.S.
AB - Despite declining smoking rates in the U.S., a substantial fraction of
adolescents still smoke. In addition, there are notable racial differences in
adolescent smoking. We use Add Health data and apply a nonlinear decomposition
method to determine the extent to which racial differences in observable
characteristics account for (i) the racial smoking gaps in adolescent smoking
(ages 12-18) and (ii) racial gaps in the probability of becoming a smoker in
young adulthood (ages 18-24), conditional on being a non-smoker in adolescence.
The model includes a host of explanatory factors, including individual, family
socioeconomics, smoke exposure, school characteristics, and county crime rate. Of
the 19 (9) percentage-point gap in white-black (white-Hispanic) smoking in
adolescence, these factors together account for 22-28% (39-77%) of the smoking
gap; and of the 18 (13) percentage-point gap in white-black (white-Hispanic)
smoking up-take in young adulthood, these factors together account for 26-50% (48
100%) of the gap, depending on which set of coefficients are used for the
decomposition. The biggest drivers of racial smoking gaps in adolescence are
differences in friends' smoking and school peer smoking, while only school peer
smoking contributes to the explained portion of racial gaps in smoking up-take in
young adulthood.
PMID- 27213299
TI - Introduction.
PMID- 27213300
TI - The history of haemophilia*,?.
PMID- 27213298
TI - Combined metabolomics and proteomics reveals hypoxia as a cause of lower
productivity on scale-up to a 5000-liter CHO bioprocess.
AB - Large-scale bioprocessing is key to the successful manufacturing of a
biopharmaceutical. However, cell viability and productivity are often lower in
the scale-up from laboratory to production. In this study, we analyzed CHO cells,
which showed lower percent viabilities and productivity in a 5-KL production
scale bioreactor compared to a 20-L bench-top scale under seemingly identical
process parameters. An increase in copper concentration in the media from 0.02 uM
to 0.4 uM led to a doubling of percent viability in the production scale albeit
still at a lower level than the bench-top scale. Combined metabolomics and
proteomics revealed the increased copper reduced the presence of reactive oxygen
species (ROS) in the 5-KL scale process. The reduction in oxidative stress was
supported by the increased level of glutathione peroxidase in the lower copper
level condition. The excess ROS was shown to be due to hypoxia (intermittent), as
evidenced by the reduction in fibronectin with increased copper. The 20-L scale
showed much less hypoxia and thus less excess ROS generation, resulting in little
to no impact to productivity with the increased copper in the media. The study
illustrates the power of 'Omics in aiding in the understanding of biological
processes in biopharmaceutical production.
PMID- 27213301
TI - Twenty-one years of haemophilia.
PMID- 27213302
TI - Dopamine Receptors Direct the Plasticity Orchestra in the Motor Cortex.
PMID- 27213303
TI - Cytotoxicity of Different Excipients on RPMI 2650 Human Nasal Epithelial Cells.
AB - The nasal route receives a great deal of attention as a non-invasive method for
the systemic administration of drugs. For nasal delivery, specific formulations
containing excipients are used. Because of the sensitive respiratory mucosa, not
only the active ingredients, but also additives need to be tested in appropriate
models for toxicity. The aim of the study was to measure the cytotoxicity of six
pharmaceutical excipients, which could help to reach larger residence time,
better permeability, and increased solubility dissolution rate. The following
excipients were investigated on RPMI 2650 human nasal septum tumor epithelial
cells: beta-d-mannitol, sodium hyaluronate, alpha and beta-cyclodextrin,
polyvinyl alcohol and methylcellulose. 3-(4,5-dimethyltiazol-2-yl)-2,5
diphenyltetrazolium bromide (MTT) dye conversion assay and real-time impedance
analysis were used to investigate cytotoxicity. No excipient showed toxicity at
0.3% (w/v) concentration or below while 1% concentration a significantly reduced
metabolic activity was measured by MTT assay for methylcellulose and
cyclodextrins. Using impedance measurements, only beta-cyclodextrin (1%) was
toxic to cells. Mannitol at 1% concentration had a barrier opening effect on
epithelial cells, but caused no cellular damage. Based on the results, all
additives at 0.3%, sodium hyaluronate and polyvinyl alcohol at 1% concentrations
can be safely used for nasal formulations.
PMID- 27213304
TI - Towards a Rational Design of a Continuous-Flow Method for the Acetalization of
Crude Glycerol: Scope and Limitations of Commercial Amberlyst 36 and AlF3.3H2O as
Model Catalysts.
AB - The acetalization of six different types of glycerol including pure, wet, and
crude-like grade compounds of compositions simulating those of crude glycerols
produced by the biodiesel manufacture, was carried out with two model ketones
such as acetone and 2-butanone. The reaction was investigated under continuous
flow (CF) conditions through a comparative analysis of an already known
acetalization catalyst such as Amberlyst 36 (A36), and aluminum fluoride three
hydrate (AlF3.3H2O, AF) whose use was never previously reported for the synthesis
of acetals. At 10 bar and 25 degrees C, A36 was a highly active catalyst
allowing good-to-excellent conversion (85%-97%) and selectivity (99%) when either
pure or wet glycerol was used as a reagent. This catalyst however, proved
unsuitable for the CF acetalization of crude-like glycerol (CG) since it severely
and irreversibly deactivated in a few hours by the presence of low amounts of
NaCl (2.5 wt %) which is a typical inorganic impurity of raw glycerol from the
biorefinery. Higher temperature and pressure (up to 100 degrees C and 30 bar)
were not successful to improve the outcome. By contrast, at 10 bar and 100
degrees C, AF catalyzed the acetalization of CG with both acetone and 2-butanone,
yielding stable conversion and productivity up to 78% and 5.6 h(-1),
respectively. A XRD analysis of fresh and used catalysts proved that the active
phase was a solid solution (SS) of formula Al2[F1-x(OH)x]6(H2O)y present as a
component of the investigated commercial AF sample. A hypothesis to explain the
role of such SS phase was then formulated based on the Bronsted acidity of OH
groups of the solid framework. Overall, the AF catalyst allowed not only a
straightforward upgrading of CG to acetals, but also a more cost-efficient
protocol avoiding the expensive refining of raw glycerol itself.
PMID- 27213305
TI - Low-Molecular Weight Polyethylenimine Modified with Pluronic 123 and RGD- or
Chimeric RGD-NLS Peptide: Characteristics and Transfection Efficacy of Their
Complexes with Plasmid DNA.
AB - To solve the problem of transfection efficiency vs. cytotoxicity and tumor
targeting ability when polyethylenimine (PEI) was used as a nonviral gene
delivery vector, new degradable PEI polymers were synthesized via cross-linking
low-molecular-weight PEI with Pluronic P123 and then further coupled with a
targeting peptide R4 (RGD) and a bifunctional R11 (RGD-NLS), which were termed as
P123-PEI-R4 and P123-PEI-R11, respectively. Agarose gel electrophoresis showed
that both P123-PEI-R4 and P123-PEI-R11 efficaciously condense plasmid DNA at a
polymer-to-pDNA w/w ratio of 3.0 and 0.4, respectively. The polyplexes were
stable in the presence of serum and could protect plasmid DNA against DNaseI.
They had uniform spherical nanoparticles with appropriate sizes around 100-280 nm
and zeta-potentials about +40 mV. Furthermore, in vitro experiments showed that
these polyplexes had lower cytotoxicity at any concentration compared with PEI 25
kDa, thus giving promise to high transfection efficiency as compared with another
P123-PEI derivate conjugated with trifunctional peptide RGD-TAT-NLS (P123-PEI
R18). More importantly, compared with the other polymers, P123-PEI-R11 showed the
highest transfection efficiency with relatively lower cytotoxicity at any
concentration, indicating that the new synthetic polymer P123-PEI-R11 could be
used as a safe and efficient gene deliver vector.
PMID- 27213306
TI - Synthesis and Antioxidant Activity of Alkyl Nitroderivatives of Hydroxytyrosol.
AB - A series of alkyl nitrohydroxytyrosyl ether derivatives has been synthesized from
free hydroxytyrosol (HT), the natural olive oil phenol, in order to increase the
assortment of compounds with potential neuroprotective activity in Parkinson's
disease. In this work, the antioxidant activity of these novel compounds has been
evaluated using Ferric Reducing Antioxidant Power (FRAP), 2,2'-azinobis(3
ethylbenzothiazoline-6-sulfonic acid) diammonium salt (ABTS), and Oxygen Radical
Scavenging Capacity (ORAC) assays compared to that of nitrohydroxytyrosol (NO2HT)
and free HT. New compounds showed variable antioxidant activity depending on the
alkyl side chain length; compounds with short chains (2-4 carbon atoms)
maintained or even improved the antioxidant activity compared to NO2HT and/or HT,
whereas those with longer side chains (6-8 carbon atoms) showed lower activity
than NO2HT but higher than HT.
PMID- 27213307
TI - Simultaneous Qualitative and Quantitative Analysis of Multiple Chemical
Constituents in YiQiFuMai Injection by Ultra-Fast Liquid Chromatography Coupled
with Ion Trap Time-of-Flight Mass Spectrometry.
AB - YiQiFuMai injection (YQFM) is a modern lyophilized powder preparation derived
from the traditional Chinese medicine Sheng-mai san (SMS) used for treating
cardiovascular diseases, such as chronic heart failure. However, its chemical
composition has not been fully elucidated, particularly for the preparation
derived from Ophiopogon japonicus. This study aimed to establish a systematic and
reliable method to quickly and simultaneously analyze the chemical constituents
in YQFM by ultra-fast liquid chromatography coupled with ion trap time-of-flight
mass spectrometry (UFLC-IT-TOF/MS). Sixty-five compounds in YQFM were tentatively
identified by comparison with reference substances or literature data.
Furthermore, twenty-one compounds, including three ophiopogonins, fifteen
ginsenosides and three lignans were quantified by UFLC-IT-TOF/MS. Notably, this
is the first determination of steroidal saponins from O. japonicus in YQFM. The
relative standard deviations (RSDs) of intra- and inter-day precision,
reproducibility and stability were <4.9% and all analytes showed good linearity
(R2 >= 0.9952) and acceptable recovery of 91.8%-104.2% (RSD <= 5.4%), indicating
that the methods were reliable. These methods were successfully applied to
quantitative analysis of ten batches of YQFM. The developed approach can provide
useful and comprehensive information for quality control, further mechanistic
studies in vivo and clinical application of YQFM.
PMID- 27213308
TI - Developing an Absorption-Based Quality Control Method for Hu-Gan-Kang-Yuan
Capsules by UFLC-QTOF-MS/MS Screening and HPLC-DAD Quantitative Determination.
AB - Traditional Chinese Medicine Preparations (TCMPs) contain massive numbers of
ingredients responsible for their multiple efficacies. An absorption-based
quality control method for complicated TCMPs using Hu-gan-kang-yuan Capsule
(HGKYC) as an example was developed. To select proper chemical markers for
quality control of HGKYC, an ultra-fast liquid chromatography (UFLC) coupled with
electrospray ionization quadrupole time-off light mass spectrometry (UFLC-QTOF
MS/MS) method was used for the rapid separation and structural identification of
the constituents in the HGKYC extract and the rat serum after oral administration
of HGKYC. As a result, one hundred and seven prototype constituents including
flavonoids, organic acid, phenylpropanoids, anthraquinones, saponins, alkaloids,
terpenes, phenols and amino acids in HGKYC extract, and 43 compounds found in rat
serum after oral administration of HGKYC were unambiguously identified or
tentatively characterized by comparing retention times and MS information with
those of authentic standards or available literature references. Finally, a
simple, low-cost and effective method of simultaneous determination for
baicalein, wogonin, paeonol and emodin in HGKYC was developed using high
performance liquid chromatography coupled with a diode array detector. In
conclusion, an absorption-based quality control pattern was developed and
successfully used for evaluating HGKYC.
PMID- 27213309
TI - MRI Reporter Genes for Noninvasive Molecular Imaging.
AB - Magnetic resonance imaging (MRI) is one of the most important imaging
technologies used in clinical diagnosis. Reporter genes for MRI can be applied to
accurately track the delivery of cell in cell therapy, evaluate the therapy
effect of gene delivery, and monitor tissue/cell-specific microenvironments.
Commonly used reporter genes for MRI usually include genes encoding the enzyme
(e.g., tyrosinase and beta-galactosidase), the receptor on the cells (e.g.,
transferrin receptor), and endogenous reporter genes (e.g., ferritin reporter
gene). However, low sensitivity limits the application of MRI and reporter gene
based multimodal imaging strategies are common including optical imaging and
radionuclide imaging. These can significantly improve diagnostic efficiency and
accelerate the development of new therapies.
PMID- 27213310
TI - Effects of Resveratrol Supplementation and Exercise Training on Exercise
Performance in Middle-Aged Mice.
AB - Resveratrol (RES) has antioxidative, anti-inflammatory, anticancer, antidiabetic,
antiasthmatic, antalgic, and anti-fatigue activities. Exercise training (ET)
improves frailty resulting from aging. This study evaluated the effects of a
combination of RES supplementation and ET on the exercise performance of aged
mice. C57BL/6J mice (16 months old) were randomly divided into four groups: an
older control group (OC group), supplementation with RES group (RES group), ET
group (ET group), and a combination of ET and RES supplementation group (ET+RES
group). Other 10-week-old mice were used as a young control group (Y-Ctrl group).
In this study, exercise performance was evaluated using forelimb grip strength
and exhaustive swimming time, as well as levels of plasma lactate, ammonia,
glucose, and creatine kinase after an acute swimming exercise. Our results showed
that the forelimb grip strength of mice in the ET+RES group was significantly
higher than those in the OC, RES, and ET groups (by 1.3-, 1.2-, and 1.1-fold,
respectively, p < 0.05), and exhibited no difference with the Y-Ctrl group. The
endurance swimming test showed that swimming times of the ET and ET+RES groups
were significantly longer than those of the OC and RES groups. Moreover, plasma
lactate and ammonia levels of the ET + RES group after acute swimming exercise
were significantly lower compared to the OC group (p < 0.05). Thus, it was
suggested that by combining RES supplementation with ET for 4 weeks, the muscle
strength and endurance performance of aged mice were significantly improved
compared to the single intervention with either RES or ET alone. This combination
might help shorten the extent of deterioration accompanying the aging process.
PMID- 27213311
TI - Synthetic Strategies for 5- and 6-Membered Ring Azaheterocycles Facilitated by
Iminyl Radicals.
AB - The totality of chemical space is so immense that only a small fraction can ever
be explored. Computational searching has indicated that bioactivity is associated
with a comparatively small number of ring-containing structures. Pyrrole, indole,
pyridine, quinoline, quinazoline and related 6-membered ring-containing aza
arenes figure prominently. This review focuses on the search for fast, efficient
and environmentally friendly preparative methods for these rings with specific
emphasis on iminyl radical-mediated procedures. Oxime derivatives, particularly
oxime esters and oxime ethers, are attractive precursors for these radicals.
Their use is described in conventional thermolytic, microwave-assisted and UV-vis
based preparative procedures. Photoredox-catalyzed protocols involving designer
oxime ethers are also covered. Choice can be made amongst these synthetic
strategies for a wide variety of 5- and 6-membered ring heterocycles including
phenanthridine and related aza-arenes. Applications to selected natural products
and bioactive molecules, including trispheridine, vasconine, luotonin A and
rutaecarpine, are included.
PMID- 27213312
TI - Novel Radioligands for Cyclic Nucleotide Phosphodiesterase Imaging with Positron
Emission Tomography: An Update on Developments Since 2012.
AB - Cyclic nucleotide phosphodiesterases (PDEs) are a class of intracellular enzymes
that inactivate the secondary messenger molecules, cyclic adenosine monophosphate
(cAMP) and cyclic guanosine monophosphate (cGMP). Thus, PDEs regulate the
signaling cascades mediated by these cyclic nucleotides and affect fundamental
intracellular processes. Pharmacological inhibition of PDE activity is a
promising strategy for treatment of several diseases. However, the role of the
different PDEs in related pathologies is not completely clarified yet. PDE
specific radioligands enable non-invasive visualization and quantification of
these enzymes by positron emission tomography (PET) in vivo and provide an
important translational tool for elucidation of the relationship between altered
expression of PDEs and pathophysiological effects as well as (pre-)clinical
evaluation of novel PDE inhibitors developed as therapeutics. Herein we present
an overview of novel PDE radioligands for PET published since 2012.
PMID- 27213313
TI - Adsorption and Gas Separation of Molecules by Carbon Nanohorns.
AB - In this paper, we report the results of Monte Carlo simulations of the adsorption
of neon, argon, methane and carbon dioxide in carbon nanohorns. We model the
nanohorns as an array of carbon cones and obtained adsorption isotherms and
isosteric heats. The main sites of adsorption are inside the cones and in the
interstices between three cones. We also calculated the selectivity of carbon
dioxide/methane, finding that nanohorns are a suitable substrate for gas
separation. Our simulations are compared to available experimental data.
PMID- 27213315
TI - Antiproliferative Fate of the Tetraploid Formed after Mitotic Slippage and Its
Promotion; A Novel Target for Cancer Therapy Based on Microtubule Poisons.
AB - Microtubule poisons inhibit spindle function, leading to activation of spindle
assembly checkpoint (SAC) and mitotic arrest. Cell death occurring in prolonged
mitosis is the first target of microtubule poisons in cancer therapies. However,
even in the presence of microtubule poisons, SAC and mitotic arrest are not
permanent, and the surviving cells exit the mitosis without cytokinesis (mitotic
slippage), becoming tetraploid. Another target of microtubule poisons-based
cancer therapy is antiproliferative fate after mitotic slippage. The ultimate
goal of both the microtubule poisons-based cancer therapies involves the
induction of a mechanism defined as mitotic catastrophe, which is a bona fide
intrinsic oncosuppressive mechanism that senses mitotic failure and responds by
driving a cell to an irreversible antiproliferative fate of death or senescence.
This mechanism of antiproliferative fate after mitotic slippage is not as well
understood. We provide an overview of mitotic catastrophe, and explain new
insights underscoring a causal association between basal autophagy levels and
antiproliferative fate after mitotic slippage, and propose possible improved
strategies. Additionally, we discuss nuclear alterations characterizing the
mitotic catastrophe (micronuclei, multinuclei) after mitotic slippage, and a
possible new type of nuclear alteration (clustered micronuclei).
PMID- 27213314
TI - Flavin-Dependent Thymidylate Synthase as a New Antibiotic Target.
AB - In humans de novo synthesis of 2'-deoxythymidine-5'-monophosphate (dTMP), an
essential building block of DNA, utilizes an enzymatic pathway requiring
thymidylate synthase (TSase) and dihydrofolate reductase (DHFR). The enzyme
flavin-dependent thymidylate synthase (FDTS) represents an alternative enzymatic
pathway to synthesize dTMP, which is not present in human cells. A number of
pathogenic bacteria, however, depend on this enzyme in lieu of or in conjunction
with the analogous human pathway. Thus, inhibitors of this enzyme may serve as
antibiotics. Here, we review the similarities and differences of FDTS vs. TSase
including aspects of their structure and chemical mechanism. In addition, we
review current progress in the search for inhibitors of flavin dependent
thymidylate synthase as potential novel therapeutics.
PMID- 27213316
TI - Comprehensive Qualitative Ingredient Profiling of Chinese Herbal Formula Wu-Zhu
Yu Decoction via a Mass Defect and Fragment Filtering Approach Using High
Resolution Mass Spectrometry.
AB - The Wu-Zhu-Yu decoction is a traditional Chinese medicine formula for the
treatment of headache. To reveal its material basis, a rapid and reliable liquid
chromatography-high resolution mass spectrometry method was established for
comprehensive profiling of the chemical ingredients in the Wu-Zhu-Yu decoction.
The method was used on a quadrupole time-of-flight mass spectrometer along with
an advanced data processing procedure consisting of mass accuracy screening, mass
defect filtering and fragment filtering. After eliminating interference with a
filtering approach, the MS data profiling was made more distinct and accurate.
With the optimized conditions of only 35 min LC separation and single sample
injection of each positive or negative ion mode, a total of 168 compounds were
characterized, including 23 evodiamine and its analogous alkaloids, 12 limonoids,
17 gingerols, 38 ginsenosides, 15 flavonoids, 16 organic acids, 14 alkaloids, 5
saponins, 3 2,2-dimethylchromenes and 25 other compounds. The fragmentation
patterns of representative compounds were illustrated as well. Integrative
qualitative analysis of the Wu-Zhu-Yu decoction by high resolution mass
spectrometry was accomplished and reported for the first time. The study
demonstrated that the established method was a powerful and reliable strategy for
comprehensive detection and would be widely applicable for identification of
complicated components from herbal prescriptions, and may provide a basis for
chemical analysis of other complex mixtures.
PMID- 27213317
TI - Design, Synthesis and Structure-Activity Relationships of Novel Chalcone-1,2,3
triazole-azole Derivates as Antiproliferative Agents.
AB - A series of novel chalcone-1,2,3-triazole-azole hybrids were designed,
synthesized and evaluated for their antiproliferative activity against three
selected cancer cell lines (SK-N-SH, EC-109 and MGC-803). Most of the synthesized
compounds exhibited moderate to good activity against all the cancer cell lines
selected. Particularly, compound I-21 showed the most excellent antiproliferative
activity with an IC50 value of 1.52 MUM against SK-N-SH cancer cells. Further
mechanism studies revealed that compound I-21 induced morphological changes of SK
N-SH cancer cells possibly by inducing apoptosis. Novel chalcone-1,2,3-triazole
azole derivatives in this work might be a series of promising lead compounds to
develop anticancer agents for treating neuroblastoma.
PMID- 27213318
TI - BiOBr/BiOI Photocatalyst Based on Fly Ash Cenospheres with Improved
Photocatalytic Performance.
AB - A series of BiOBr/BiOI photocatalysts supported on fly-ash cenospheres (FACs)
were successfully prepared via a facile one-pot alcoholysis method. The as
prepared samples were characterized by X-ray diffraction (XRD), scanning electron
microscopy (SEM), X-ray photoelectron spectrometer (XPS) and UV-visible diffuse
reflectance spectroscopy (DRS). The results indicate that pH value plays a
critical role in BiOBr/BiOI loading. Based on the photodegradation tests under
visible light irradiation (blue LED irradiation), the photocatalytic property of
BiOBr/BiOI/FACs photocatalysts obtained under alkaline conditions is superior to
that prepared under neutral or acidic conditions, and higher than those of
BiOB/FACs and BiOI//FACs. The improved photocatalytic performance of
BiOBr/BiOI/FACs can be attributed to more BiOBr/BiOI loaded on the surface of
FACs and the efficient photogenerated electron-hole separation.
PMID- 27213320
TI - Synthesis of Novel Symmetrical 1,4-Disubstituted 1,2,3-Bistriazole Derivatives
via 'Click Chemistry' and Their Biological Evaluation.
AB - A series of symmetric bis-1,2,3-triazole compounds 2-5(a-f) were synthesized as
potential antioxidant agents via click chemistry. Their structures were confirmed
by 1H-NMR and (13)C-NMR. All of the synthesized compounds were subjected to
antioxidant and antimicrobial assays. The antioxidant activity of these compounds
(AChE inhibition, DPPH and SOD activities) was evaluated. Compound 2f was found
to show the highest AChE inhibition activity of all compounds, while compound 3b
showed a strong inhibitory effect on DPPH radical and compound 2a was the most
effective of all compounds for SOD activity. All synthesized compounds were found
to possess moderate antibacterial activity against the bacteria E. coli and
Y.pseudotuberculosis.
PMID- 27213319
TI - Novel Cage-Like Hexanuclear Nickel(II) Silsesquioxane. Synthesis, Structure, and
Catalytic Activity in Oxidations with Peroxides.
AB - New hexanuclear nickel(II) silsesquioxane [(PhSiO1.5)12(NiO)6(NaCl)] (1) was
synthesized as its dioxane-benzonitrile-water complex
(PhSiO1,5)12(NiO)6(NaCl)(C4H8O2)13(PhCN)2(H2O)2 and studied by X-ray and
topological analysis. The compound exhibits cylinder-like type of molecular
architecture and represents very rare case of polyhedral complexation of
metallasilsesquioxane with benzonitrile. Complex 1 exhibited catalytic activity
in activation of such small molecules as light alkanes and alcohols. Namely,
oxidation of alcohols with tert-butylhydroperoxide and alkanes with meta
chloroperoxybenzoic acid. The oxidation of methylcyclohexane gave rise to the
isomeric ketones and unusual distribution of alcohol isomers.
PMID- 27213321
TI - Gold Incorporated Mesoporous Silica Thin Film Model Surface as a Robust SERS and
Catalytically Active Substrate.
AB - Ultra-small gold nanoparticles incorporated in mesoporous silica thin films with
accessible pore channels perpendicular to the substrate are prepared by a
modified sol-gel method. The simple and easy spin coating technique is applied
here to make homogeneous thin films. The surface characterization using FESEM
shows crack-free films with a perpendicular pore arrangement. The applicability
of these thin films as catalysts as well as a robust SERS active substrate for
model catalysis study is tested. Compared to bare silica film our gold
incorporated silica, GSM-23F gave an enhancement factor of 103 for RhB with a
laser source 633 nm. The reduction reaction of p-nitrophenol with sodium
borohydride from our thin films shows a decrease in peak intensity corresponding
to -NO2 group as time proceeds, confirming the catalytic activity. Such model
surfaces can potentially bridge the material gap between a real catalytic system
and surface science studies.
PMID- 27213324
TI - Positively Charged Nanostructured Lipid Carriers and Their Effect on the
Dissolution of Poorly Soluble Drugs.
AB - The objective of this study is to develop suitable formulations to improve the
dissolution rate of poorly water soluble drugs. We selected lipid-based
formulation as a drug carrier and modified the surface using positively charged
chitosan derivative (HTCC) to increase its water solubility and bioavailability.
Chitosan and HTCC-coated lipid particles had higher zeta-potential values than
uncoated one over the whole pH ranges and improved encapsulation efficiency. In
vitro drug release showed that all NLC formulations showed higher in vitro
release efficiency than drug particle at pH 7.4. Furthermore, NLC formulation
prepared with chitosan or HTCC represented good sustained release property. The
results indicate that chitosan and HTCC can be excellent formulating excipients
of lipid-based delivery carrier for improving poorly water soluble drug delivery.
PMID- 27213322
TI - Anti-Inflammatory Oleanolic Triterpenes from Chinese Acorns.
AB - Acorns play an important role in human history and are a source of food and
recipes for many cultures around the world. In this study, eleven oleanolic
triterpenes, one of which was novel, were isolated from Chinese acorns (Quercus
serrata var. brevipetiolata). The chemical structure of the novel triterpene,
which was identified as 2alpha,3beta,19alpha-trihydroxy-24-oxo-olean-12-en-28-oic
acid (1), was established based on the interpretation of chemical and
spectroscopic analyses, including IR, HR-ESI-MS, and NMR experiments (1H, (13)C
NMR, DEPT, 1H-1H COSY, HSQC, HMBC, and NOESY). All isolated compounds were tested
for their inhibitory effects on LPS-induced nitric oxide (NO) production in RAW
264.7 macrophages. Compared with the positive control drug indomethacin (IC50 =
47.4 MUM), compounds 1, 3, 6 and 8 exhibited remarkable anti-inflammatory
activities with IC50 values of 5.4, 7.8, 4.0 and 8.9 MUM, respectively. Besides,
compounds 2, 4, 7 and 9 also showed moderate anti-inflammatory activities with
IC50 values of 10.1, 13.0, 20.1 and 17.2 MUM, respectively. Furthermore, Compound
1 could inhibit TNF-alpha-induced IL-6 and IL-8 production in MH7A cells.
PMID- 27213323
TI - Correction: Xiang, S., et al. Schisandrin B Induces Apoptosis and Cell Cycle
Arrest of Gallbladder Cancer Cells. Molecules 2014, 19, 13235-13250.
AB - We would like to change the Affiliation addresses on Page 13235 of paper [1],
[...].
PMID- 27213325
TI - Thermal Conductivity of Epoxy Resin Composites Filled with Combustion Synthesized
h-BN Particles.
AB - The thermal conductivity of epoxy resin composites filled with combustion
synthesized hexagonal boron nitride (h-BN) particles was investigated. The mixing
of the composite constituents was carried out by either a dry method (involving
no use of solvent) for low filler loadings or a solvent method (using acetone as
solvent) for higher filler loadings. It was found that surface treatment of the h
BN particles using the silane 3-glycidoxypropyltrimethoxysilane (GPTMS) increases
the thermal conductivity of the resultant composites in a lesser amount compared
to the values reported by other studies. This was explained by the fact that the
combustion synthesized h-BN particles contain less -OH or active sites on the
surface, thus adsorbing less amounts of GPTMS. However, the thermal conductivity
of the composites filled with the combustion synthesized h-BN was found to be
comparable to that with commercially available h-BN reported in other studies.
The thermal conductivity of the composites was found to be higher when larger h
BN particles were used. The thermal conductivity was also found to increase with
increasing filler content to a maximum and then begin to decrease with further
increases in this content. In addition to the effect of higher porosity at higher
filler contents, more horizontally oriented h-BN particles formed at higher
filler loadings (perhaps due to pressing during formation of the composites) were
suggested to be a factor causing this decrease of the thermal conductivity. The
measured thermal conductivities were compared to theoretical predictions based on
the Nielsen and Lewis theory. The theoretical predictions were found to be lower
than the experimental values at low filler contents (< 60 vol %) and became
increasing higher than the experimental values at high filler contents (> 60 vol
%).
PMID- 27213326
TI - Synthesis and Characterization of Novel Cu(II), Pd(II) and Pt(II) Complexes with
8-Ethyl-2-hydroxytricyclo(7.3.1.0(2,7))tridecan-13-one-thiosemicarbazone:
Antimicrobial and in Vitro Antiproliferative Activity.
AB - New Cu(II), Pd(II) and Pt(II) complexes, (Cu(L)(H2O)2(OAc)) (1),
(Cu(HL)(H2O)2(SO4)) (2), (Cu(L)(H2O)2(NO3)) (3), (Cu(L)(H2O)2(ClO4)) (4),
(Cu(L)2(H2O)2) (5), (Pd(L)(OAc))H2O (6), and (Pt(L)2) (7) were synthesized from 8
ethyl-2-hydroxytricyclo(7.3.1.0(2,7))tridecan-13-one thiosemicarbazone (HL). The
ligand and its metal complexes were characterized by IR, 1H-NMR, (13)C-NMR, UV
Vis, FAB, EPR, mass spectroscopy, elemental and thermal analysis, magnetic
susceptibility measurements and molar electric conductivity. The free ligand and
the metal complexes have been tested for their antimicrobial activity against E.
coli, S. enteritidis, S. aureus, E. faecalis, C. albicans and cytotoxicity
against the NCI-H1573 lung adenocarcinoma, SKBR-3 human breast, MCF-7 human
breast, A375 human melanoma and HL-60 human promyelocytic leukemia cell lines.
Copper complex 2 exhibited the best antiproliferative activities against MCF-7
human breast cancer cells. A significant inhibition of malignant HL-60 cell
growth was observed for copper complex 2, palladium complex 6 and platinum
complex 7, with IC50 values of 1.6 uM, 6.5 uM and 6.4 uM, respectively.
PMID- 27213327
TI - Astragalin, a Flavonoid from Morus alba (Mulberry) Increases Endogenous Estrogen
and Progesterone by Inhibiting Ovarian Granulosa Cell Apoptosis in an Aged Rat
Model of Menopause.
AB - BACKGROUND: To determine the mechanism by which the flavonoid glycoside
astragalin (AST) reduces ovarian failure in an aged rat model of menopause.
METHODS: The in vivo effect of AST on granulosa cell (GC) apoptosis in aged
female rats was determined using flow cytometry. In vitro, the effects of AST on
cultured GCs were investigated using the MTT proliferation assay and western blot
assays. RESULTS: Aged rats had significantly higher GC apoptosis as compared with
young female rats. Treatment of aged rats with AST (all three doses; p < 0.01) or
Progynova (p < 0.01) significantly reduced GC apoptosis as compared with the aged
controls. The proportions of total apoptotic GCs was 25.70%, 86.65%, 47.04%,
27.02%, 42.09% and 56.42% in the normal, aged, 17beta-estradiol (E2), high dose
AST, medium dose AST, and low dose AST-treated groups, respectively. Significant
increases of serum E2 and P4 levels, as well as altered levels of serum follicle
stimulating hormone (FSH) and luteinizing hormone (LH) levels. In cultured rat
GCs, AST stimulated GC proliferation, E2 and progesterone (P4) secretion, reduced
apoptosis, reduced the level of the pro-apoptotic protein Bcl-2 (p < 0.01), but
had no effect on BAX. CONCLUSIONS: AST enhanced ovarian function in aged female
rats by increasing E2 and P4 levels, and reducing ovarian GC apoptosis via a
mechanism involving Bcl-2. These data demonstrate a new pharmacological activity
for AST, as well as a novel mechanism of action, and further suggest that AST may
be a new therapeutic agent for the management of menopausal symptoms.
PMID- 27213328
TI - Synthesis and in Vitro and in Vivo Anticoagulant and Antiplatelet Activities of
Amidino- and Non-Amidinobenzamides.
AB - Three amidino- and ten non-amidinobenzamides were synthesized as 3-aminobenzoic
acid scaffold-based anticoagulant and antiplatelet compounds. The anticoagulant
activities of thirteen synthesized compounds 1-13, and 2b and 3b as prodrugs were
preliminary evaluated by screening the prolongation of activated partial
thromboplastin time (aPTT) and prothrombin time (PT) in vitro. From the aPTT
results obtained, two amidinobenzamides, N-(3'-amidinophenyl)-3-(thiophen-2''
ylcarbonylamino) benzamide (1, 33.2 +/- 0.7 s) and N-(4'-amidinophenyl)-3
(thiophen-2''-ylcarbonylamino) benzamide (2, 43.5 +/- 0.6 s) were selected to
investigate the further anticoagulant and antiplatelet activities. The aPTT
results of 1 (33.2 +/- 0.7 s) and 2 (43.5 +/- 0.6 s) were compared with heparin
(62.5 +/- 0.8 s) in vitro at 30 MUM. We investigated the effect of 1 and 2 on
blood anticoagulant activity (ex vivo) and on tail bleeding time (in vivo) on
mice. A tail cutting/bleeding time assay revealed that both 1 and 2 prolonged
bleeding time in mice at a dose of 24.1 g/mouse and above. Compounds 1 and 2 dose
dependently inhibited thrombin-catalyzed fibrin polymerization and platelet
aggregation. In addition, 1 and 2 were evaluated on the inhibitory activities of
thrombin and FXa as well as the generation of thrombin and FXa in human umbilical
vein endothelial cells (HUVECs). Collectively, 1 and 2 possess some antiplatelet
and anticoagulant activities and offer a basis for development of a novel
antithrombotic product.
PMID- 27213329
TI - Structure Identification and Anti-Cancer Pharmacological Prediction of
Triterpenes from Ganoderma lucidum.
AB - Ganoderma triterpenes (GTs) are the major secondary metabolites of Ganoderma
lucidum, which is a popularly used traditional Chinese medicine for complementary
cancer therapy. In the present study, systematic isolation, and in silico
pharmacological prediction are implemented to discover potential anti-cancer
active GTs from G. lucidum. Nineteen GTs, three steroids, one cerebroside, and
one thymidine were isolated from G. lucidum. Six GTs were first isolated from the
fruiting bodies of G. lucidum, including 3beta,7beta,15beta-trihydroxy-11,23
dioxo-lanost-8,16-dien-26-oic acid methyl ester (1), 3beta,7beta,15beta
trihydroxy-11,23-dioxo-lanost-8,16-dien-26-oic acid (2), 3beta,7beta,15alpha,28
tetrahydroxy-11,23-dioxo-lanost-8,16-dien-26-oic acid (3), ganotropic acid (4),
26-nor-11,23-dioxo-5alpha-lanost-8-en-3beta,7beta,15alpha,25-tetrol (5) and
(3beta,7alpha)-dihydroxy-lanosta-8,24-dien- 11-one (6). (4E,8E)-N-d-2'
hydroxypalmitoyl-l-O-beta-d-glucopyranosyl-9-methyl-4,8-spingodienine (7), and
stigmasta-7,22-dien-3beta,5alpha,6alpha-triol (8) were first reported from the
genus Ganodema. By using reverse pharmacophoric profiling of the six GTs, thirty
potential anti-cancer therapeutic targets were identified and utilized to
construct their ingredient-target interaction network. Then nineteen high
frequency targets of GTs were selected from thirty potential targets to construct
a protein interaction network (PIN). In order to cluster the pharmacological
activity of GTs, twelve function modules were identified by molecular complex
detection (MCODE) and gene ontology (GO) enrichment analysis. The results
indicated that anti-cancer effect of GTs might be related to histone acetylation
and interphase of mitotic cell cycle by regulating general control non
derepressible 5 (GCN5) and cyclin-dependent kinase-2 (CDK2), respectively. This
research mode of extraction, isolation, pharmacological prediction, and PIN
analysis might be beneficial to rapidly predict and discover pharmacological
activities of novel compounds.
PMID- 27213330
TI - Legume NADPH Oxidases Have Crucial Roles at Different Stages of Nodulation.
AB - Plant NADPH oxidases, formerly known as respiratory burst oxidase homologues
(RBOHs), are plasma membrane enzymes dedicated to reactive oxygen species (ROS)
production. These oxidases are implicated in a wide variety of processes, ranging
from tissue and organ growth and development to signaling pathways in response to
abiotic and biotic stimuli. Research on the roles of RBOHs in the plant's
response to biotic stresses has mainly focused on plant-pathogen interactions;
nonetheless, recent findings have shown that these oxidases are also involved in
the legume-rhizobia symbiosis. The legume-rhizobia symbiosis leads to the
formation of the root nodule, where rhizobia reduce atmospheric nitrogen to
ammonia. A complex signaling and developmental pathway in the legume root hair
and root facilitate rhizobial entrance and nodule organogenesis, respectively.
Interestingly, several reports demonstrate that RBOH-mediated ROS production
displays versatile roles at different stages of nodulation. The evidence
collected to date indicates that ROS act as signaling molecules that regulate
rhizobial invasion and also function in nodule senescence. This review summarizes
discoveries that support the key and versatile roles of various RBOH members in
the legume-rhizobia symbiosis.
PMID- 27213331
TI - Overview of MicroRNAs in Cardiac Hypertrophy, Fibrosis, and Apoptosis.
AB - MicroRNAs (miRNAs) are non-coding RNAs that play essential roles in modulating
the gene expression in almost all biological events. In the past decade, the
involvement of miRNAs in various cardiovascular disorders has been explored in
numerous in vitro and in vivo studies. In this paper, studies focused upon the
discovery of miRNAs, their target genes, and functionality are reviewed. The
selected miRNAs discussed herein have regulatory effects on target gene
expression as demonstrated by miRNA/3' end untranslated region (3'UTR)
interaction assay and/or gain/loss-of-function approaches. The listed miRNA
entities are categorized according to the biological relevance of their target
genes in relation to three cardiovascular pathologies, namely cardiac
hypertrophy, fibrosis, and apoptosis. Furthermore, comparison across 86 studies
identified several candidate miRNAs that might be of particular importance in the
ontogenesis of cardiovascular diseases as they modulate the expression of
clusters of target genes involved in the progression of multiple adverse
cardiovascular events. This review illustrates the involvement of miRNAs in
diverse biological signaling pathways and provides an overview of current
understanding of, and progress of research into, of the roles of miRNAs in
cardiovascular health and disease.
PMID- 27213332
TI - Novel Omega-3 Fatty Acid Epoxygenase Metabolite Reduces Kidney Fibrosis.
AB - Cytochrome P450 (CYP) monooxygenases epoxidize the omega-3 polyunsaturated fatty
acid (PUFA) docosahexaenoic acid into novel epoxydocosapentaenoic acids (EDPs)
that have multiple biological actions. The present study determined the ability
of the most abundant EDP regioisomer, 19,20-EDP to reduce kidney injury in an
experimental unilateral ureteral obstruction (UUO) renal fibrosis mouse model.
Mice with UUO developed kidney tubular injury and interstitial fibrosis. UUO mice
had elevated kidney hydroxyproline content and five-times greater collagen
positive fibrotic area than sham control mice. 19,20-EDP treatment to UUO mice
for 10 days reduced renal fibrosis with a 40%-50% reduction in collagen positive
area and hydroxyproline content. There was a six-fold increase in kidney alpha
smooth muscle actin (alpha-SMA) positive area in UUO mice compared to sham
control mice, and 19,20-EDP treatment to UUO mice decreased alpha-SMA
immunopositive area by 60%. UUO mice demonstrated renal epithelial-to-mesenchymal
transition (EMT) with reduced expression of the epithelial marker E-cadherin and
elevated expression of multiple mesenchymal markers (FSP-1, alpha-SMA, and
desmin). Interestingly, 19,20-EDP treatment reduced renal EMT in UUO by
decreasing mesenchymal and increasing epithelial marker expression. Overall, we
demonstrate that a novel omega-3 fatty acid metabolite 19,20-EDP, prevents UUO
induced renal fibrosis in mice by reducing renal EMT.
PMID- 27213333
TI - DNA Damage: A Main Determinant of Vascular Aging.
AB - Vascular aging plays a central role in health problems and mortality in older
people. Apart from the impact of several classical cardiovascular risk factors on
the vasculature, chronological aging remains the single most important
determinant of cardiovascular problems. The causative mechanisms by which
chronological aging mediates its impact, independently from classical risk
factors, remain to be elucidated. In recent years evidence has accumulated that
unrepaired DNA damage may play an important role. Observations in animal models
and in humans indicate that under conditions during which DNA damage accumulates
in an accelerated rate, functional decline of the vasculature takes place in a
similar but more rapid or more exaggerated way than occurs in the absence of such
conditions. Also epidemiological studies suggest a relationship between DNA
maintenance and age-related cardiovascular disease. Accordingly, mouse models of
defective DNA repair are means to study the mechanisms involved in biological
aging of the vasculature. We here review the evidence of the role of DNA damage
in vascular aging, and present mechanisms by which genomic instability interferes
with regulation of the vascular tone. In addition, we present potential remedies
against vascular aging induced by genomic instability. Central to this review is
the role of diverse types of DNA damage (telomeric, non-telomeric and
mitochondrial), of cellular changes (apoptosis, senescence, autophagy), mediators
of senescence and cell growth (plasminogen activator inhibitor-1 (PAI-1), cyclin
dependent kinase inhibitors, senescence-associated secretory phenotype
(SASP)/senescence-messaging secretome (SMS), insulin and insulin-like growth
factor 1 (IGF-1) signaling), the adenosine monophosphate-activated protein kinase
(AMPK)-mammalian target of rapamycin (mTOR)-nuclear factor kappa B (NFkappaB)
axis, reactive oxygen species (ROS) vs. endothelial nitric oxide synthase (eNOS)
cyclic guanosine monophosphate (cGMP) signaling, phosphodiesterase (PDE) 1 and 5,
transcription factor NF-E2-related factor-2 (Nrf2), and diet restriction.
PMID- 27213334
TI - Bacterial Molecular Signals in the Sinorhizobium fredii-Soybean Symbiosis.
AB - Sinorhizobium (Ensifer) fredii (S. fredii) is a rhizobial species exhibiting a
remarkably broad nodulation host-range. Thus, S. fredii is able to effectively
nodulate dozens of different legumes, including plants forming determinate
nodules, such as the important crops soybean and cowpea, and plants forming
indeterminate nodules, such as Glycyrrhiza uralensis and pigeon-pea. This
capacity of adaptation to different symbioses makes the study of the molecular
signals produced by S. fredii strains of increasing interest since it allows the
analysis of their symbiotic role in different types of nodule. In this review, we
analyze in depth different S. fredii molecules that act as signals in symbiosis,
including nodulation factors, different surface polysaccharides
(exopolysaccharides, lipopolysaccharides, cyclic glucans, and K-antigen capsular
polysaccharides), and effectors delivered to the interior of the host cells
through a symbiotic type 3 secretion system.
PMID- 27213335
TI - Differential MicroRNA Expression Profile in Myxomatous Mitral Valve Prolapse and
Fibroelastic Deficiency Valves.
AB - Myxomatous mitral valve prolapse (MMVP) and fibroelastic deficiency (FED) are two
common variants of degenerative mitral valve disease (DMVD), which is a leading
cause of mitral regurgitation worldwide. While pathohistological studies have
revealed differences in extracellular matrix content in MMVP and FED, the
molecular mechanisms underlying these two disease entities remain to be
elucidated. By using surgically removed valvular specimens from MMVP and FED
patients that were categorized on the basis of echocardiographic, clinical and
operative findings, a cluster of microRNAs that expressed differentially were
identified. The expressions of has-miR-500, -3174, -17, -1193, -646, -1273e,
4298, -203, -505, and -939 showed significant differences between MMVP and FED
after applying Bonferroni correction (p < 0.002174). The possible involvement of
microRNAs in the pathogenesis of DMVD were further suggested by the presences of
in silico predicted target sites on a number of genes reported to be involved in
extracellular matrix homeostasis and marker genes for cellular composition of
mitral valves, including decorin (DCN), aggrecan (ACAN), fibromodulin (FMOD),
alpha actin 2 (ACTA2), extracellular matrix protein 2 (ECM2), desmin (DES),
endothelial cell specific molecule 1 (ESM1), and platelet/ endothelial cell
adhesion molecule 1 (PECAM1), as well as inverse correlations of selected
microRNA and mRNA expression in MMVP and FED groups. Our results provide evidence
that distinct molecular mechanisms underlie MMVP and FED. Moreover, the microRNAs
identified may be targets for the future development of diagnostic biomarkers and
therapeutics.
PMID- 27213337
TI - RVMAB: Using the Relevance Vector Machine Model Combined with Average Blocks to
Predict the Interactions of Proteins from Protein Sequences.
AB - Protein-Protein Interactions (PPIs) play essential roles in most cellular
processes. Knowledge of PPIs is becoming increasingly more important, which has
prompted the development of technologies that are capable of discovering large
scale PPIs. Although many high-throughput biological technologies have been
proposed to detect PPIs, there are unavoidable shortcomings, including cost, time
intensity, and inherently high false positive and false negative rates. For the
sake of these reasons, in silico methods are attracting much attention due to
their good performances in predicting PPIs. In this paper, we propose a novel
computational method known as RVM-AB that combines the Relevance Vector Machine
(RVM) model and Average Blocks (AB) to predict PPIs from protein sequences. The
main improvements are the results of representing protein sequences using the AB
feature representation on a Position Specific Scoring Matrix (PSSM), reducing the
influence of noise using a Principal Component Analysis (PCA), and using a
Relevance Vector Machine (RVM) based classifier. We performed five-fold cross
validation experiments on yeast and Helicobacter pylori datasets, and achieved
very high accuracies of 92.98% and 95.58% respectively, which is significantly
better than previous works. In addition, we also obtained good prediction
accuracies of 88.31%, 89.46%, 91.08%, 91.55%, and 94.81% on other five
independent datasets C. elegans, M. musculus, H. sapiens, H. pylori, and E. coli
for cross-species prediction. To further evaluate the proposed method, we compare
it with the state-of-the-art support vector machine (SVM) classifier on the yeast
dataset. The experimental results demonstrate that our RVM-AB method is obviously
better than the SVM-based method. The promising experimental results show the
efficiency and simplicity of the proposed method, which can be an automatic
decision support tool. To facilitate extensive studies for future proteomics
research, we developed a freely available web server called RVMAB-PPI in
Hypertext Preprocessor (PHP) for predicting PPIs. The web server including source
code and the datasets are available at http://219.219.62.123:8888/ppi_ab/.
PMID- 27213336
TI - MicroRNA in Metabolic Re-Programming and Their Role in Tumorigenesis.
AB - The process of metabolic re-programing is linked to the activation of oncogenes
and/or suppression of tumour suppressor genes, which are regulated by microRNAs
(miRNAs). The interplay between oncogenic transformation-driven metabolic re
programming and modulation of aberrant miRNAs further established their critical
role in the initiation, promotion and progression of cancer by creating a
tumorigenesis-prone microenvironment, thus orchestrating processes of evasion to
apoptosis, angiogenesis and invasion/migration, as well metastasis. Given the
involvement of miRNAs in tumour development and their global deregulation, they
may be perceived as biomarkers in cancer of therapeutic relevance.
PMID- 27213338
TI - MicroRNA-19b Downregulates Gap Junction Protein Alpha1 and Synergizes with
MicroRNA-1 in Viral Myocarditis.
AB - Viral myocarditis (VMC) is a life-threatening disease that leads to heart failure
or cardiac arrhythmia. A large number of researches have revealed that mircroRNAs
(miRNAs) participate in the pathological processes of VMC. We previously reported
that miR-1 repressed the expression of gap junction protein alpha1 (GJA1) in VMC.
In this study, miR-19b was found to be significantly upregulated using the
microarray analysis in a mouse model of VMC, and overexpression of miR-19b led to
irregular beating pattern in human cardiomyocytes derived from the induced
pluripotent stem cells (hiPSCs-CMs). The upregulation of miR-19b was associated
with decreased GJA1 in vivo. Furthermore, a miR-19b inhibitor increased, while
its mimics suppressed the expression of GJA1 in HL-1 cells. When GJA1 was
overexpressed, the miR-19b mimics-mediated irregular beating was reversed in
hiPSCs-CMs. In addition, the effect of miR-19b on GJA1 was enhanced by miR-1 in a
dose-dependent manner. These data suggest miR-19b contributes to irregular
beating through regulation of GJA1 by cooperating with miR-1. Based on the
present and our previous studies, it could be indicated that miR-19b and miR-1
might be critically involved in cardiac arrhythmia associated with VMC.
PMID- 27213339
TI - Antioxidant and Hepatoprotective Effects of Procyanidins from Wild Grape (Vitis
amurensis) Seeds in Ethanol-Induced Cells and Rats.
AB - In the present study, we characterized the antioxidant and hepatoprotective
mechanisms underlying of wild grape seed procyanidins (WGP) against oxidative
stress damage in ethanol-treated HepG2 cell and Sprague-Dawley (SD)-rat models.
In HepG2 cells, WGP not only diminished the ethanol (EtOH, 100 mM)-induced
reactive oxygen species (ROS) formation and cytochrome P450 2E1 (CYP2E1)
expression, but also renovated both the activity and expression of antioxidant
enzymes including catalase, superoxide dismutase, and glutathione peroxidase.
Additionally, to investigate the hepatoprotective effect of WGP, rats were orally
administered 10 or 50 mg/kg WGP once daily for seven days prior to the single
oral administration of EtOH (6 g/kg). The results show that WGP administration
decreased the EtOH-induced augment of the levels of serum aspartate transaminase
and alanine transaminase as well as serum alcohol and acetaldehyde. WGP treatment
upregulated the activities and protein levels of hepatic alcohol dehydrogenase,
aldehyde dehydrogenase, and antioxidant enzymes but downregulated the protein
expression level of liver CYP2E1 in EtOH-treated rats. Moreover, the decreased
phosphorylation levels of mitogen activated protein kinases (MAPKs) by ethanol
were induced in both HepG2 cell and rat models. Overall, pretreatment of WGP
displayed the protective activity against EtOH-mediated toxicity through the
regulation of antioxidant enzymes and alcohol metabolism systems via MAPKs
pathways.
PMID- 27213341
TI - Conditional Knockout in Mice Reveals the Critical Roles of Ppp2ca in Epidermis
Development.
AB - The epidermis is an important tissue in Homo sapines and other animals, and an
abnormal epidermis will cause many diseases. Phosphatase 2A (PP2A) is an
important serine and threonine phosphatase. The alpha isoform of the PP2A
catalytic subunit (Ppp2ca gene encoding PP2Acalpha) is critical for cell
proliferation, growth, metabolism and tumorigenesis. However, to date, no study
has revealed its roles in epidermis development. To specifically investigate the
roles of PP2Acalpha in epidermis development, we first generated
Ppp2ca(flox/flox) transgenic mice, and conditionally knocked out Ppp2ca in the
epidermis driven by Krt14-Cre. Our study showed that Ppp2ca(flox/flox); Krt14-Cre
mice had significant hair loss. In addition, histological analyses showed that
the morphogenesis and hair regeneration cycle of hair follicles were disrupted in
these mice. Moreover, Ppp2ca(flox/flox); Krt14-Cre mice had smaller size, melanin
deposition and hyperproliferation at the base of the claws. Accordingly, our
study demonstrates that PP2Acalpha plays important roles in both hair follicle
and epidermis development. Additionally, the Ppp2ca(flox/flox) mice generated in
this study can serve as a useful transgene model to study the roles of PP2Acalpha
in other developmental processes and diseases.
PMID- 27213340
TI - Heart Disease in Women: Unappreciated Challenges, GPER as a New Target.
AB - Heart disease in women remains underappreciated, underdiagnosed and undertreated.
Further, although we are starting to understand some of the social and behavioral
determinants for this, the biological basis for the increased rate of rise in
atherosclerosis risk in women after menopause remains very poorly understand. In
this review we will outline the scope of the clinical issues related to heart
disease in women, the emerging findings regarding the biological basis underlying
the increased prevalence of atherosclerotic risk factors in postmenopausal women
(vs. men) and the role of the G protein-coupled estrogen receptor (GPER) and its
genetic regulation as a determinant of these sex-specific risks. GPER is a
recently appreciated GPCR that mediates the rapid effects of estrogen and
aldosterone. Recent studies have identified that GPER activation regulates both
blood pressure. We have shown that regulation of GPER function via expression of
a hypofunctional GPER genetic variant is an important determinant of blood
pressure and risk of hypertension in women. Further, our most recent studies have
identified that GPER activation is an important regulator of low density
lipoprotein (LDL) receptor metabolism and that expression of the hypofunctional
GPER genetic variant is an important contributor to the development of
hypercholesterolemia in women. GPER appears to be an important determinant of the
two major risk factors for coronary artery disease-blood pressure and LDL
cholesterol. Further, the importance of this mechanism appears to be greater in
women. Thus, the appreciation of the role of GPER function as a determinant of
the progression of atherosclerotic disease may be important both in our
understanding of cardiometabolic function but also in opening the way to greater
appreciation of the sex-specific regulation of atherosclerotic risk factors.
PMID- 27213342
TI - Metal Complexes of New Bioactive Pyrazolone Phenylhydrazones; Crystal Structure
of 4-Acetyl-3-methyl-1-phenyl-2-pyrazoline-5-one phenylhydrazone Ampp-Ph.
AB - The condensation reaction of phenylhydrazine and dinitrophenylhydrazine with 4
acetyl and 4-benzoyl pyrazolone precipitated air-stable
acetyldinitrophenylhydrazone Ampp-Dh, benzoylphenylhydrazone Bmpp-Ph and
benzoyldinitrophenylhydrazone Bmpp-Dh in their keto imine form; a study inspired
by the burning interest for the development of new bioactive materials with novel
properties that may become alternative therapeutic agents. Elemental analysis,
FTIR, 1H, and (13)C NMR, and mass spectroscopy have been used to justify their
proposed chemical structures, which were in agreement with the single crystal
structure of Bmpp-Dh earlier reported according to X-ray crystallography. The
single crystal structure of 4-acetyl-3-methyl-1-phenyl--pyrazoline-5-one
phenylhydrazone Ampp-Ph, which crystallizes in a triclinic crystal system with a
P-1 (No. 2) space group is presented. Octahedral Mn(II), Ni(II), Co(II), and
Cu(II) complexes of these respective ligands with two molecules each of the
bidentate Schiff base, coordinating to the metal ion through the azomethine
nitrogen C=N and the keto oxygen C=O, which were afforded by the reaction of
aqueous solutions of the corresponding metal salts with the ligands are also
reported. Their identity and proposed structures were according to elemental
analysis, FTIR spectroscopy, UV-VIS spectrophotometry (electronic spectra) and
Bohr magnetic moments, as well as thermogravimetric analysis (TGA) results. A
look at the antibacterial and antioxidant activities of synthesized compounds
using the methods of the disc diffusion against some selected bacterial isolates
and 1,1-diphenyl-2-picryl-hydrazil (DPPH) respectively, showed biological
activities in relation to employed standard medicinal drugs.
PMID- 27213343
TI - A Comparative Analysis of Genetic and Epigenetic Events of Breast and Ovarian
Cancer Related to Tumorigenesis.
AB - Breast cancer persists as the most common cause of cancer death in women
worldwide. Ovarian cancer is also a significant source of morbidity and
mortality, as the fifth leading cause of cancer death among women. This reflects
the continued need for further understanding and innovation in cancer treatment.
Though breast and ovarian cancer usually present as distinct clinical entities,
the recent explosion of large-scale -omics research has uncovered many overlaps,
particularly with respect to genetic and epigenetic alterations. We compared
genetic, microenvironmental, stromal, and epigenetic changes common between
breast and ovarian cancer cells, as well as the clinical relevance of these
changes. Some of the most striking commonalities include genetic alterations of
BRCA1 and 2, TP53, RB1, NF1, FAT3, MYC, PTEN, and PIK3CA; down regulation of
miRNAs 9, 100, 125a, 125b, and 214; and epigenetic alterations such as H3K27me3,
H3K9me2, H3K9me3, H4K20me3, and H3K4me. These parallels suggest shared features
of pathogenesis. Furthermore, preliminary evidence suggests a shared epigenetic
mechanism of oncogenesis. These similarities, warrant further investigation in
order to ultimately inform development of more effective chemotherapeutics, as
well as strategies to circumvent drug resistance.
PMID- 27213344
TI - The Clinical Significance of the Insulin-Like Growth Factor-1 Receptor
Polymorphism in Non-Small-Cell Lung Cancer with Epidermal Growth Factor Receptor
Mutation.
AB - The insulin-like growth factor 1 (IGF1) signaling pathway mediates multiple
cancer cell biological processes. IGF1 receptor (IGF1R) expression has been used
as a reporter of the clinical significance of non-small-cell lung carcinoma
(NSCLC). However, the association between IGF1R genetic variants and the clinical
utility of NSCLC positive for epidermal growth factor receptor (EGFR) mutation is
not clear. The current study investigated the association between the IGF1R
genetic variants, the occurrence of EGFR mutations, and clinicopathological
characteristics in NSCLC patients. A total of 452 participants, including 362
adenocarcinoma lung cancer and 90 squamous cell carcinoma lung cancer patients,
were selected for analysis of IGF1R genetic variants (rs7166348, rs2229765, and
rs8038415) using real-time polymerase chain reaction (PCR)genotyping. The results
indicated that GA + AA genotypes of IGF1R rs2229765 were significantly associated
with EGFR mutation in female lung adenocarcinoma patients (odds ratio (OR) =
0.39, 95% confidence interval (CI) = 0.17-0.87). Moreover, The GA + AA genotype
IGF1R rs2229765 was significantly associated with EGFR L858R mutation (p = 0.02)
but not with the exon 19 in-frame deletion. Furthermore, among patients without
EGFR mutation, those who have at least one polymorphic A allele of IGF1R
rs7166348 have an increased incidence of lymph node metastasis when compared with
those patients homozygous for GG (OR, 2.75; 95% CI, 1.20-2.31). Our results
showed that IGF1R genetic variants are related to EGFR mutation in female lung
adenocarcinoma patients and may be a predictive factor for tumor lymph node
metastasis in Taiwanese patients with NSCLC.
PMID- 27213345
TI - Molecular Mechanisms of Pulmonary Vascular Remodeling in Pulmonary Arterial
Hypertension.
AB - Pulmonary arterial hypertension (PAH) is a devastating disease that is
precipitated by hypertrophic pulmonary vascular remodeling of distal arterioles
to increase pulmonary artery pressure and pulmonary vascular resistance in the
absence of left heart, lung parenchymal, or thromboembolic disease. Despite
available medical therapy, pulmonary artery remodeling and its attendant
hemodynamic consequences result in right ventricular dysfunction, failure, and
early death. To limit morbidity and mortality, attention has focused on
identifying the cellular and molecular mechanisms underlying aberrant pulmonary
artery remodeling to identify pathways for intervention. While there is a well
recognized heritable genetic component to PAH, there is also evidence of other
genetic perturbations, including pulmonary vascular cell DNA damage, activation
of the DNA damage response, and variations in microRNA expression. These findings
likely contribute, in part, to dysregulation of proliferation and apoptosis
signaling pathways akin to what is observed in cancer; changes in cellular
metabolism, metabolic flux, and mitochondrial function; and endothelial-to
mesenchymal transition as key signaling pathways that promote pulmonary vascular
remodeling. This review will highlight recent advances in the field with an
emphasis on the aforementioned molecular mechanisms as contributors to the
pulmonary vascular disease pathophenotype.
PMID- 27213346
TI - A Terrestrial Microbial Fuel Cell for Powering a Single-Hop Wireless Sensor
Network.
AB - Microbial fuel cells (MFCs) are envisioned as one of the most promising
alternative renewable energy sources because they can generate electric current
continuously while treating waste. Terrestrial Microbial Fuel Cells (TMFCs) can
be inoculated and work on the use of soil, which further extends the application
areas of MFCs. Energy supply, as a primary influential factor determining the
lifetime of Wireless Sensor Network (WSN) nodes, remains an open challenge in
sensor networks. In theory, sensor nodes powered by MFCs have an eternal life.
However, low power density and high internal resistance of MFCs are two
pronounced problems in their operation. A single-hop WSN powered by a TMFC
experimental setup was designed and experimented with. Power generation
performance of the proposed TMFC, the relationships between the performance of
the power generation and the environment temperature, the water content of the
soil by weight were measured by experiments. Results show that the TMFC can
achieve good power generation performance under special environmental conditions.
Furthermore, the experiments with sensor data acquisition and wireless
transmission of the TMFC powering WSN were carried out. We demonstrate that the
obtained experimental results validate the feasibility of TMFCs powering WSNs.
PMID- 27213348
TI - Susceptibility of Chinese Perch Brain (CPB) Cell and Mandarin Fish to Red-Spotted
Grouper Nervous Necrosis Virus (RGNNV) Infection.
AB - Nervous necrosis virus (NNV) is the causative agent of viral encephalopathy and
retinopathy (VER), a neurological disease responsible for high mortality of fish
species worldwide. Taking advantage of our established Chinese perch brain (CPB)
cell line derived from brain tissues of Mandarin fish (Siniperca chuatsi), the
susceptibility of CPB cell to Red-Spotted Grouper nervous necrosis virus (RGNNV)
was evaluated. The results showed that RGNNV replicated well in CPB cells,
resulting in cellular apoptosis. Moreover, the susceptibility of Mandarin fish to
RGNNV was also evaluated. Abnormal swimming was observed in RGNNV-infected
Mandarin fish. In addition, the cellular vacuolation and viral particles were
also observed in brain tissues of RGNNV-infected Mandarin fish by Hematoxylin
eosin staining or electronic microscopy. The established RGNNV susceptible brain
cell line from freshwater fish will pave a new way for the study of the
pathogenicity and replication of NNV in the future.
PMID- 27213349
TI - Antibacterial Activity of Ciprofloxacin-Encapsulated Cockle Shells Calcium
Carbonate (Aragonite) Nanoparticles and Its Biocompatability in Macrophage
J774A.1.
AB - The use of nanoparticle delivery systems to enhance intracellular penetration of
antibiotics and their retention time is becoming popular. The challenge, however,
is that the interaction of nanoparticles with biological systems at the cellular
level must be established prior to biomedical applications. Ciprofloxacin-cockle
shells-derived calcium carbonate (aragonite) nanoparticles (C-CSCCAN) were
developed and characterized. Antibacterial activity was determined using a
modified disc diffusion protocol on Salmonella Typhimurium (S. Typhimurium).
Biocompatibilittes with macrophage were evaluated using the 3-(4,5
Dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) and 5-Bromo-2'
deoxyuridine (BrdU) assays. Transcriptional regulation of interleukin 1 beta (IL
1beta) was determined using reverse transcriptase-polymerase chain reaction (RT
PCR). C-CSCCAN were spherical in shape, with particle sizes ranging from 11.93 to
22.12 nm. Encapsulation efficiency (EE) and loading content (LC) were 99.5% and
5.9%, respectively, with negative zeta potential. X-ray diffraction patterns
revealed strong crystallizations and purity in the formulations. The mean
diameter of inhibition zone was 18.6 +/- 0.5 mm, which was better than
ciprofloxacin alone (11.7 +/- 0.9 mm). Study of biocompatability established the
cytocompatability of the delivery system without upregulation of IL-1beta. The
results indicated that ciprofloxacin-nanoparticles enhanced the antibacterial
efficacy of the antibiotic, and could act as a suitable delivery system against
intracellular infections.
PMID- 27213350
TI - Retraction: Zihan Xu, et al. Tanshinone IIA Pretreatment Renders Free Flaps
against Hypoxic Injury through Activating Wnt Signaling and Upregulating Stem
Cell-Related Biomarkers. Int. J. Mol. Sci. 2014, 15, 18117-18130.
AB - We have been made aware that text, figures and experimental data reported in the
title paper [1] are duplicated in another publication by Zihan Xu et al.
[2].[...].
PMID- 27213347
TI - How Diet Intervention via Modulation of DNA Damage Response through MicroRNAs May
Have an Effect on Cancer Prevention and Aging, an in Silico Study.
AB - The DNA damage response (DDR) is a molecular mechanism that cells have evolved to
sense DNA damage (DD) to promote DNA repair, or to lead to apoptosis, or cellular
senescence if the damage is too extensive. Recent evidence indicates that
microRNAs (miRs) play a critical role in the regulation of DDR. Dietary bioactive
compounds through miRs may affect activity of numerous genes. Among the most
studied bioactive compounds modulating expression of miRs are epi-gallocatechin-3
gallate, curcumin, resveratrol and n3-polyunsaturated fatty acids. To compare the
impact of these dietary compounds on DD/DDR network modulation, we performed a
literature search and an in silico analysis by the DIANA-mirPathv3 software. The
in silico analysis allowed us to identify pathways shared by different miRs
involved in DD/DDR vis-a-vis the specific compounds. The results demonstrate that
certain miRs (e.g., -146, -21) play a central role in the interplay among DD/DDR
and the bioactive compounds. Furthermore, some specific pathways, such as "fatty
acids biosynthesis/metabolism", "extracellular matrix-receptor interaction" and
"signaling regulating the pluripotency of stem cells", appear to be targeted by
most miRs affected by the studied compounds. Since DD/DDR and these pathways are
strongly related to aging and carcinogenesis, the present in silico results of
our study suggest that monitoring the induction of specific miRs may provide the
means to assess the antiaging and chemopreventive properties of particular
dietary compounds.
PMID- 27213351
TI - Downregulation of Runx2 by 1,25-Dihydroxyvitamin D3 Induces the
Transdifferentiation of Osteoblasts to Adipocytes.
AB - 1,25-Dihydroxyvitamin D3 (1,25(OH)2D3) indirectly stimulates bone formation, but
little is known about its direct effect on bone formation. In this study, we
observed that 1,25(OH)2D3 enhances adipocyte differentiation, but inhibits
osteoblast differentiation during osteogenesis. The positive role of 1,25(OH)2D3
in adipocyte differentiation was confirmed when murine osteoblasts were cultured
in adipogenic medium. Additionally, 1,25(OH)2D3 enhanced the expression of
adipocyte marker genes, but inhibited the expression of osteoblast marker genes
in osteoblasts. The inhibition of osteoblast differentiation and promotion of
adipocyte differentiation mediated by 1,25(OH)2D3 were compensated by Runx2
overexpression. Our results suggest that 1,25(OH)2D3 induces the
transdifferentiation of osteoblasts to adipocytes via Runx2 downregulation in
osteoblasts.
PMID- 27213352
TI - Predicting MicroRNA Biomarkers for Cancer Using Phylogenetic Tree and Microarray
Analysis.
AB - MicroRNAs (miRNAs) are shown to be involved in the initiation and progression of
cancers in the literature, and the expression of miRNAs is used as an important
cancer prognostic tool. The aim of this study is to predict high-confidence miRNA
biomarkers for cancer. We adopt a method that combines miRNA phylogenetic
structure and miRNA microarray data analysis to discover high-confidence miRNA
biomarkers for colon, prostate, pancreatic, lung, breast, bladder and kidney
cancers. There are 53 miRNAs selected through this method that either have
potential to involve a single cancer's development or to involve several cancers'
development. These miRNAs can be used as high-confidence miRNA biomarkers of
these seven investigated cancers for further experiment validation. miR-17, miR
20, miR-106a, miR-106b, miR-92, miR-25, miR-16, miR-195 and miR-143 are selected
to involve a single cancer's development in these seven cancers. They have the
potential to be useful miRNA biomarkers when the result can be confirmed by
experiments.
PMID- 27213353
TI - Ruthenium Complexes Induce HepG2 Human Hepatocellular Carcinoma Cell Apoptosis
and Inhibit Cell Migration and Invasion through Regulation of the Nrf2 Pathway.
AB - Ruthenium (Ru) complexes are currently the focus of substantial interest because
of their potential application as chemotherapeutic agents with broad anticancer
activities. This study investigated the in vitro and in vivo anticancer
activities and mechanisms of two Ru complexes-2,3,7,8,12,13,17,18-Octaethyl
21H,23H-porphine Ru(II) carbonyl (Ru1) and 5,10,15,20-Tetraphenyl-21H,23H
porphine Ru(II) carbonyl (Ru2)-against human hepatocellular carcinoma (HCC)
cells. These Ru complexes effectively inhibited the cellular growth of three
human hepatocellular carcinoma (HCC) cells, with IC50 values ranging from 2.7-7.3
MUM. In contrast, the complexes exhibited lower toxicity towards L02 human liver
normal cells with IC50 values of 20.4 and 24.8 MUM, respectively. Moreover, Ru2
significantly inhibited HepG2 cell migration and invasion, and these effects were
dose-dependent. The mechanistic studies demonstrated that Ru2 induced HCC cell
apoptosis, as evidenced by DNA fragmentation and nuclear condensation, which was
predominately triggered via caspase family member activation. Furthermore, HCC
cell treatment significantly decreased the expression levels of Nrf2 and its
downstream effectors, NAD(P)H: quinone oxidoreductase 1 (NQO1) and heme oxygenase
1 (HO1). Ru2 also exhibited potent in vivo anticancer efficacy in a tumor-bearing
nude mouse model, as demonstrated by a time- and dose-dependent inhibition on
tumor growth. The results demonstrate the therapeutic potential of Ru complexes
against HCC via Nrf2 pathway regulation.
PMID- 27213354
TI - A Study of Single Nucleotide Polymorphisms of the SLC19A1/RFC1 Gene in Subjects
with Autism Spectrum Disorder.
AB - Autism Spectrum Disorder (ASD) is a group of neurodevelopmental disorders with
complex genetic etiology. Recent studies have indicated that children with ASD
may have altered folate or methionine metabolism, suggesting that the folate
methionine cycle may play a key role in the etiology of ASD. SLC19A1, also
referred to as reduced folate carrier 1 (RFC1), is a member of the solute carrier
group of transporters and is one of the key enzymes in the folate metabolism
pathway. Findings from multiple genomic screens suggest the presence of an autism
susceptibility locus on chromosome 21q22.3, which includes SLC19A1. Therefore, we
performed a case-control study in a Japanese population. In this study, DNA
samples obtained from 147 ASD patients at the Kanazawa University Hospital in
Japan and 150 unrelated healthy Japanese volunteers were examined by the sequence
specific primer-polymerase chain reaction method pooled with fluorescence
correlation spectroscopy. p < 0.05 was considered to represent a statistically
significant outcome. Of 13 single nucleotide polymorphisms (SNPs) examined, a
significant p-value was obtained for AA genotype of one SNP (rs1023159, OR =
0.39, 95% CI = 0.16-0.91, p = 0.0394; Fisher's exact test). Despite some
conflicting results, our findings supported a role for the polymorphism rs1023159
of the SLC19A1 gene, alone or in combination, as a risk factor for ASD. However,
the findings were not consistent after multiple testing corrections. In
conclusion, although our results supported a role of the SLC19A1 gene in the
etiology of ASD, it was not a significant risk factor for the ASD samples
analyzed in this study.
PMID- 27213355
TI - Exosome: A Novel Approach to Stimulate Bone Regeneration through Regulation of
Osteogenesis and Angiogenesis.
AB - The clinical need for effective bone regeneration therapy remains in huge
demands. However, the current "gold standard" treatments of autologous and
allogeneic bone grafts may result in various complications. Furthermore, safety
considerations of biomaterials and cell-based treatment require further
clarification. Therefore, developing new therapies with stronger osteogenic
potential and a lower incidence of complications is worthwhile. Recently,
exosomes, small vesicles of endocytic origin, have attracted attention in bone
regeneration field. The vesicles travel between cells and deliver functional
cargoes, such as proteins and RNAs, thereby regulating targeted cells
differentiation, commitment, function, and proliferation. Much evidence has
demonstrated the important roles of exosomes in osteogenesis both in vitro and in
vivo. In this review, we summarize the properties, origins and biogenesis of
exosomes, and the recent reports using exosomes to regulate osteogenesis and
promote bone regeneration.
PMID- 27213356
TI - Polymeric Nanoparticle-Based Photodynamic Therapy for Chronic Periodontitis in
Vivo.
AB - Antimicrobial photodynamic therapy (aPDT) is increasingly being explored for
treatment of periodontitis. Here, we investigated the effect of aPDT on human
dental plaque bacteria in suspensions and biofilms in vitro using methylene blue
(MB)-loaded poly(lactic-co-glycolic) (PLGA) nanoparticles (MB-NP) and red light
at 660 nm. The effect of MB-NP-based aPDT was also evaluated in a clinical pilot
study with 10 adult human subjects with chronic periodontitis. Dental plaque
samples from human subjects were exposed to aPDT-in planktonic and biofilm phases
with MB or MB-NP (25 ug/mL) at 20 J/cm2 in vitro. Patients were treated either
with ultrasonic scaling and scaling and root planing (US + SRP) or ultrasonic
scaling + SRP + aPDT with MB-NP (25 ug/mL and 20 J/cm2) in a split-mouth design.
In biofilms, MB-NP eliminated approximately 25% more bacteria than free MB. The
clinical study demonstrated the safety of aPDT. Both groups showed similar
improvements of clinical parameters one month following treatments. However, at
three months ultrasonic SRP + aPDT showed a greater effect (28.82%) on gingival
bleeding index (GBI) compared to ultrasonic SRP. The utilization of PLGA
nanoparticles encapsulated with MB may be a promising adjunct in antimicrobial
periodontal treatment.
PMID- 27213357
TI - Development of Therapeutic Chimeric Uricase by Exon Replacement/Restoration and
Site-Directed Mutagenesis.
AB - The activity of urate oxidase was lost during hominoid evolution, resulting in
high susceptibility to hyperuricemia and gout in humans. In order to develop a
more "human-like" uricase for therapeutic use, exon replacement/restoration and
site-directed mutagenesis were performed to obtain porcine-human uricase with
higher homology to deduced human uricase (dHU) and increased uricolytic activity.
In an exon replacement study, substitution of exon 6 in wild porcine uricase
(wPU) gene with corresponding exon in dhu totally abolished its activity.
Substitutions of exon 5, 3, and 1-2 led to 85%, 60%, and 45% loss of activity,
respectively. However, replacement of exon 4 and 7-8 did not significantly change
the enzyme activity. When exon 5, 6, and 3 in dhu were replaced by their
counterparts in wpu, the resulting chimera H1-2P3H4P5-6H7-8 was active, but only
about 28% of wPU. Multiple sequence alignment and homology modeling predicted
that mutations of E24D and E83G in H1-2P3H4P5-6H7-8 were favorable for further
increase of its activity. After site-directed mutagenesis, H1-2P3H4P5-6H7-8 (E24D
& E83G) with increased homology (91.45%) with dHU and higher activity and
catalytic efficiency than the FDA-approved porcine-baboon chimera (PBC) was
obtained. It showed optimum activity at pH 8.5 and 35 degrees C and was stable
in a pH range of 6.5-11.0 and temperature range of 20-40 degrees C.
PMID- 27213358
TI - The Natural Course of Non-Alcoholic Fatty Liver Disease.
AB - Non-alcoholic fatty liver disease (NAFLD) is the most prevalent form of chronic
liver disease in the world, paralleling the epidemic of obesity and Type 2
diabetes mellitus (T2DM). NAFLD exhibits a histological spectrum, ranging from
"bland steatosis" to the more aggressive necro-inflammatory form, non-alcoholic
steatohepatitis (NASH) which may accumulate fibrosis to result in cirrhosis.
Emerging data suggests fibrosis, rather than NASH per se, to be the most
important histological predictor of liver and non-liver related death.
Nevertheless, only a small proportion of individuals develop cirrhosis, however
the large proportion of the population affected by NAFLD has led to predictions
that NAFLD will become a leading cause of end stage liver disease, hepatocellular
carcinoma (HCC), and indication for liver transplantation. HCC may arise in non
cirrhotic liver in the setting of NAFLD and is associated with the presence of
the metabolic syndrome (MetS) and male gender. The MetS and its components also
play a key role in the histological progression of NAFLD, however other genetic
and environmental factors may also influence the natural history. The importance
of NAFLD in terms of overall survival extends beyond the liver where
cardiovascular disease and malignancy represents additional important causes of
death.
PMID- 27213359
TI - Integrated Analysis of Expression Profile Based on Differentially Expressed Genes
in Middle Cerebral Artery Occlusion Animal Models.
AB - Stroke is one of the most common causes of death, only second to heart disease.
Molecular investigations about stroke are in acute shortage nowadays. This study
is intended to explore a gene expression profile after brain ischemia
reperfusion. Meta-analysis, differential expression analysis, and integrated
analysis were employed on an eight microarray series. We explored the functions
and pathways of target genes in gene ontology (GO) enrichment analysis and
constructed a protein-protein interaction network. Meta-analysis identified 360
differentially expressed genes (DEGs) for Mus musculus and 255 for Rattus
norvegicus. Differential expression analysis identified 44 DEGs for Mus musculus
and 21 for Rattus norvegicus. Timp1 and Lcn2 were overexpressed in both species.
The cytokine-cytokine receptor interaction and chemokine signaling pathway were
highly enriched for the Kyoto Encyclopedia of Genes and Genomes (KEGG) pathway.
We have exhibited a global view of the potential molecular differences between
middle cerebral artery occlusion (MCAO) animal model and sham for Mus musculus or
Rattus norvegicus, including the biological process and enriched pathways in
DEGs. This research helps contribute to a clearer understanding of the
inflammation process and accurate identification of ischemic infarction stages,
which might be transformed into a therapeutic approach.
PMID- 27213360
TI - Angiotensin II Stimulation of DPP4 Activity Regulates Megalin in the Proximal
Tubules.
AB - Proteinuria is a marker of incipient kidney injury in many disorders, including
obesity. Previously, we demonstrated that megalin, a receptor endocytotic protein
in the proximal tubule, is downregulated in obese mice, which was prevented by
inhibition of dipeptidyl protease 4 (DPP4). Obesity is thought to be associated
with upregulation of intra-renal angiotensin II (Ang II) signaling via the Ang II
Type 1 receptor (AT1R) and Ang II suppresses megalin expression in proximal
tubule cells in vitro. Therefore, we tested the hypothesis that Ang II will
suppress megalin protein via activation of DPP4. We used Ang II (200 ng/kg/min)
infusion in mice and Ang II (10(-8) M) treatment of T35OK-AT1R proximal tubule
cells to test our hypothesis. Ang II-infused mouse kidneys displayed increases in
DPP4 activity and decreases in megalin. In proximal tubule cells, Ang II
stimulated DPP4 activity concurrent with suppression of megalin. MK0626, a DPP4
inhibitor, partially restored megalin expression similar to U0126, a mitogen
activated protein kinase (MAPK)/extracellular regulated kinase (ERK) kinase
kinase (MEK) 1/2 inhibitor and AG1478, an epidermal growth factor receptor (EGFR)
inhibitor. Similarly, Ang II-induced ERK phosphorylation was suppressed with
MK0626 and Ang II-induced DPP4 activity was suppressed by U0126. Therefore, our
study reveals a cross talk between AT1R signaling and DPP4 activation in the
regulation of megalin and underscores the significance of targeting DPP4 in the
prevention of obesity related kidney injury progression.
PMID- 27213361
TI - Degree of Conversion and BisGMA, TEGDMA, UDMA Elution from Flowable Bulk Fill
Composites.
AB - The degree of conversion (DC) and the released bisphenol A diglycidyl ether
dimethacrylate (BisGMA), triethylene glycol dimethacrylate (TEGDMA) and urethane
dimethacrylate (UDMA) monomers of bulk-fill composites compared to that of
conventional flowable ones were assessed using micro-Raman spectroscopy and high
performance liquid chromatography (HPLC). Four millimeter-thick samples were
prepared from SureFil SDR Flow (SDR), X-tra Base (XB), Filtek Bulk Fill (FBF) and
two and four millimeter samples from Filtek Ultimate Flow (FUF). They were
measured with micro-Raman spectroscopy to determine the DC% of the top and the
bottom surfaces. The amount of released monomers in 75% ethanol extraction media
was measured with HPLC. The differences between the top and bottom DC% were
significant for each material. The mean DC values were in the following order for
the bottom surfaces: SDR_4mm_20s > FUF_2mm_20s > XB_4mm_20s > FBF_4mm_20s >
XB_4mm_10s > FBF_4mm_10s > FUF_4mm_20s. The highest rate in the amount of
released BisGMA and TEGDMA was found from the 4 mm-thick conventional flowable
FUF. Among bulk-fills, FBF showed a twenty times higher amount of eluted UDMA and
twice more BisGMA; meanwhile, SDR released a significantly higher amount of
TEGDMA. SDR bulk-fill showed significantly higher DC%; meanwhile XB, FBF did not
reach the same level DC, as that of the 2 mm-thick conventional composite at the
bottom surface. Conventional flowable composites showed a higher rate of monomer
elution compared to the bulk-fills, except FBF, which showed a high amount of
UDMA release.
PMID- 27213362
TI - Quantitative Metabolomic Analysis of Urinary Citrulline and Calcitroic Acid in
Mice after Exposure to Various Types of Ionizing Radiation.
AB - With the safety of existing nuclear power plants being brought into question
after the Fukushima disaster and the increased level of concern over terrorism
sponsored use of improvised nuclear devices, it is more crucial to develop well
defined radiation injury markers in easily accessible biofluids to help emergency
responders with injury assessment during patient triage. Here, we focused on
utilizing ultra performance liquid chromatography-tandem mass spectrometry (UPLC
MS/MS) to identify and quantitate the unique changes in the urinary excretion of
two metabolite markers, calcitroic acid and citrulline, in mice induced by
different forms of irradiation; external gamma irradiation at a low dose rate
(LDR) of 3.0 mGy/min and a high dose rate (HDR) of 1.1 Gy/min, and internal
exposure to Cesium-137 ((137)Cs) and Strontium-90 ((90)Sr). The multiple reaction
monitoring analysis showed that, while exposure to (137)Cs and (90)Sr induced a
statistically significant and persistent decrease, similar doses of external
gamma beam at the HDR had the opposite effect, and the LDR had no effect on the
urinary levels of these two metabolites. This suggests that the source of
exposure and the dose rate strongly modulate the in vivo metabolomic injury
responses, which may have utility in clinical biodosimetry assays for the
assessment of exposure in an affected population. This study complements our
previous investigations into the metabolomic profile of urine from mice
internally exposed to (90)Sr and (137)Cs and to external gamma beam radiation.
PMID- 27213363
TI - Impact of Faba Bean-Seed Rhizobial Inoculation on Microbial Activity in the
Rhizosphere Soil during Growing Season.
AB - Inoculation of legume seeds with Rhizobium affects soil microbial community and
processes, especially in the rhizosphere. This study aimed at assessing the
effect of Rhizobium inoculation on microbial activity in the faba bean
rhizosphere during the growing season in a field experiment on a Haplic Luvisol
derived from loess. Faba bean (Vicia faba L.) seeds were non-inoculated (NI) or
inoculated (I) with Rhizobium leguminosarum bv. viciae and sown. The rhizosphere
soil was analyzed for the enzymatic activities of dehydrogenases, urease,
protease and acid phosphomonoesterase, and functional diversity (catabolic
potential) using the Average Well Color Development, Shannon-Weaver, and Richness
indices following the community level physiological profiling from Biolog
EcoPlateTM. The analyses were done on three occasions corresponding to the growth
stages of: 5-6 leaf, flowering, and pod formation. The enzymatic activities were
higher in I than NI (p < 0.05) throughout the growing season. However, none of
the functional diversity indices differed significantly under both treatments,
regardless of the growth stage. This work showed that the functional diversity of
the microbial communities was a less sensitive tool than enzyme activities in
assessment of rhizobial inoculation effects on rhizosphere microbial activity.
PMID- 27213365
TI - International Journal of Molecular Sciences 2016 Best Paper Award.
PMID- 27213364
TI - Expression Patterns and Functional Novelty of Ribonuclease 1 in Herbivorous
Megalobrama amblycephala.
AB - Ribonuclease 1 (RNase1) is an important digestive enzyme that has been used to
study the molecular evolutionary and plant-feeding adaptation of mammals.
However, the expression patterns and potential biological function of RNase1 in
herbivorous fish is not known. Here, we identified RNase1 from five fish species
and illuminated the functional diversification and expression of RNase1 in
herbivorous Megalobrama amblycephala. The five identified fish RNase1 genes all
have the signature motifs of the RNase A superfamily. No expression of Ma-RNase1
was detected in early developmental stages but a weak expression was detected at
120 and 144 hours post-fertilization (hpf). Ma-RNase1 was only expressed in the
liver and heart of one-year-old fish but strongly expressed in the liver, spleen,
gut, kidney and testis of two-year-old fish. Moreover, the immunostaining
localized RNase1 production to multiple tissues of two-year-old fish. A
biological functional analysis of the recombinant protein demonstrated that M.
amblycephala RNase1 had a relatively strong ribonuclease activity at its optimal
pH 6.1, which is consistent with the pH of its intestinal microenvironment.
Collectively, these results clearly show that Ma-RNase1 protein has ribonuclease
activity and the expression patterns of Ma-RNase1 are dramatically different in
one year and two-year-old fish, suggesting the functional differentiation during
fish growing.
PMID- 27213367
TI - An Eco-Friendly Ultrasound-Assisted Synthesis of Novel Fluorinated Pyridinium
Salts-Based Hydrazones and Antimicrobial and Antitumor Screening.
AB - The present work reports an efficient synthesis of fluorinated pyridinium salts
based hydrazones under both conventional and eco-friendly ultrasound procedures.
The synthetic approach first involves the preparation of halogenated pyridinium
salts through the condensation of isonicotinic acid hydrazide (1) with p
fluorobenzaldehyde (2) followed by the nucleophilic alkylation of the resulting N
(4-fluorobenzylidene)isonicotinohydrazide (3) with a different alkyl iodide. The
iodide counteranion of 5-10 was subjected to an anion exchange metathesis
reaction in the presence of an excess of the appropriate metal salts to afford a
new series of fluorinated pyridinium salts tethering a hydrazone linkage 11-40.
Ultrasound irradiation led to higher yields in considerably less time than the
conventional methods. The newly synthesized ILs were well-characterized with FT
IR, 1H NMR, (13)C NMR, (11)B, (19)F, (31)P and mass spectral analyses. The ILs
were also screened for their antimicrobial and antitumor activities. Within the
series, the salts tethering fluorinated counter anions 11-13, 21-23, 31-33 and 36
38 were found to be more potent against all bacterial and fungal strains at MIC 4
8 ug/mL. The in vitro antiproliferative activity was also investigated against
four tumor cell lines (human ductal breast epithelial tumor T47D, human breast
adenocarcinoma MCF-7, human epithelial carcinoma HeLa and human epithelial
colorectal adenocarcinoma Caco-2) using the MTT assay, which revealed that
promising antitumor activity was exhibited by compounds 5, 12 and 14.
PMID- 27213366
TI - Marine Antimicrobial Peptides: Nature Provides Templates for the Design of Novel
Compounds against Pathogenic Bacteria.
AB - The discovery of antibiotics for the treatment of bacterial infections brought
the idea that bacteria would no longer endanger human health. However, bacterial
diseases still represent a worldwide treat. The ability of microorganisms to
develop resistance, together with the indiscriminate use of antibiotics, is
mainly responsible for this situation; thus, resistance has compelled the
scientific community to search for novel therapeutics. In this scenario,
antimicrobial peptides (AMPs) provide a promising strategy against a wide array
of pathogenic microorganisms, being able to act directly as antimicrobial agents
but also being important regulators of the innate immune system. This review is
an attempt to explore marine AMPs as a rich source of molecules with
antimicrobial activity. In fact, the sea is poorly explored in terms of AMPs, but
it represents a resource with plentiful antibacterial agents performing their
role in a harsh environment. For the application of AMPs in the medical field
limitations correlated to their peptide nature, their inactivation by
environmental pH, presence of salts, proteases, or other components have to be
solved. Thus, these peptides may act as templates for the design of more potent
and less toxic compounds.
PMID- 27213368
TI - Novel Zinc(II) Complexes [Zn(atc-Et)2] and [Zn(atc-Ph)2]: In Vitro and in Vivo
Antiproliferative Studies.
AB - Cisplatin and its derivatives are the main metallodrugs used in cancer therapy.
However, low selectivity, toxicity and drug resistance are associated with their
use. The zinc(II) (Zn(II)) thiosemicarbazone complexes [Zn(atc-Et)2] (1) and
[Zn(atc-Ph)2] (2) (atc-R: monovalent anion of 2-acetylpyridine N4-R
thiosemicarbazone) were synthesized and fully characterized in the solid state
and in solution via elemental analysis, Fourier transform infrared (FTIR),
ultraviolet-visible (UV-Vis) and proton nuclear magnetic resonance (1H NMR)
spectroscopy, conductometry and single-crystal X-ray diffraction. The
cytotoxicity of these complexes was evaluated in the HepG2, HeLa, MDA-MB-231, K
562, DU 145 and MRC-5 cancer cell lines. The strongest antiproliferative results
were observed in MDA-MB-231 and HepG2 cells, in which these complexes displayed
significant selective toxicity (3.1 and 3.6, respectively) compared with their
effects on normal MRC-5 cells. In vivo studies were performed using an
alternative model (Artemia salina L.) to assure the safety of these complexes,
and the results were confirmed using a conventional model (BALB/c mice). Finally,
tests of oral bioavailability showed maximum plasma concentrations of 3029.50
ug/L and 1191.95 ug/L for complexes 1 and 2, respectively. According to all
obtained results, both compounds could be considered as prospective
antiproliferative agents that warrant further research.
PMID- 27213369
TI - Extraction Optimization, Preliminary Characterization and Bioactivities in Vitro
of Ligularia hodgsonii Polysaccharides.
AB - The optimization extraction, preliminary characterization and bioactivities of
Ligularia hodgsonii polysaccharides were investigated. Based on single-factor
experiments and orthogonal array test, the optimum extraction conditions were
obtained as follows: extraction time 3 h, temperature 85 degrees C, water/raw
material ratio 36. Further Sevag deproteinization and dialysis yielded the
dialyzed Ligularia hodgsonii polysaccharides (DLHP, 19.2 +/- 1.4 mg/g crude
herb). Compositional analysis, size-exclusion chromatography connected with multi
angle laser light-scattering and refractive index (SEC-MALLS-RI), Fourier
transform infrared (FT-IR) and 1H nuclear magnetic resonance (NMR) spectroscopy
were employed for characterization of the polysaccharides. DLHP was found to have
a major component with a weight-average molecular weight of 1.17 * 105 Da, mainly
comprising of glucose, galactose, arabinose, mannose, rhamnose, glucuronic acid
and galacturonic acid. By in vitro antioxidant activity assays, DLHP presented
remarkable scavenging capacities towards 1,1-diphenyl-2-picrylhydrazyl (DPPH),
2,2'-azinobis (3-ethylbenzothiazoline-6-sulfonic acid) (ABTS) and hydroxyl
radicals, and ferrous ions chelating ability. Moreover, it exhibited appreciable
anti-hyperglycemic activity as demonstrated by differential inhibition of alpha
glucosidase and alpha-amylase. The results indicated that DLHP could potentially
be a resource for antioxidant and hypoglycemic agents.
PMID- 27213370
TI - Functional and Biochemical Characterization of Three Recombinant Human Glucose-6
Phosphate Dehydrogenase Mutants: Zacatecas, Vanua-Lava and Viangchan.
AB - Glucose-6-phosphate dehydrogenase (G6PD) deficiency in humans causes severe
disease, varying from mostly asymptomatic individuals to patients showing
neonatal jaundice, acute hemolysis episodes or chronic nonspherocytic hemolytic
anemia. In order to understand the effect of the mutations in G6PD gene function
and its relation with G6PD deficiency severity, we report the construction,
cloning and expression as well as the detailed kinetic and stability
characterization of three purified clinical variants of G6PD that present in the
Mexican population: G6PD Zacatecas (Class I), Vanua-Lava (Class II) and Viangchan
(Class II). For all the G6PD mutants, we obtained low purification yield and
altered kinetic parameters compared with Wild Type (WT). Our results show that
the mutations, regardless of the distance from the active site where they are
located, affect the catalytic properties and structural parameters and that these
changes could be associated with the clinical presentation of the deficiency.
Specifically, the structural characterization of the G6PD Zacatecas mutant
suggests that the R257L mutation have a strong effect on the global stability of
G6PD favoring an unstable active site. Using computational analysis, we offer a
molecular explanation of the effects of these mutations on the active site.
PMID- 27213371
TI - Functions of miRNAs during Mammalian Heart Development.
AB - MicroRNAs (miRNAs) play essential roles during mammalian heart development and
have emerged as attractive therapeutic targets for cardiovascular diseases. The
mammalian embryonic heart is mainly derived from four major cell types during
development. These include cardiomyocytes, endocardial cells, epicardial cells,
and neural crest cells. Recent data have identified various miRNAs as critical
regulators of the proper differentiation, proliferation, and survival of these
cell types. In this review, we briefly introduce the contemporary understanding
of mammalian cardiac development. We also focus on recent developments in the
field of cardiac miRNAs and their functions during the development of different
cell types.
PMID- 27213373
TI - A Novel Two-Wire Fast Readout Approach for Suppressing Cable Crosstalk in a
Tactile Resistive Sensor Array.
AB - For suppressing the crosstalk problem due to wire resistances and contacted
resistances of the long flexible cables in tactile sensing systems, we present a
novel two-wire fast readout approach for the two-dimensional resistive sensor
array in shared row-column fashion. In the approach, two wires are used for every
driving electrode and every sampling electrode in the resistive sensor array. The
approach with a high readout rate, though it requires a large number of wires and
many sampling channels, solves the cable crosstalk problem. We also verified the
approach's performance with Multisim simulations and actual experiments.
PMID- 27213374
TI - A Tactile Sensor Using Piezoresistive Beams for Detection of the Coefficient of
Static Friction.
AB - This paper reports on a tactile sensor using piezoresistive beams for detection
of the coefficient of static friction merely by pressing the sensor against an
object. The sensor chip is composed of three pairs of piezoresistive beams
arranged in parallel and embedded in an elastomer; this sensor is able to measure
the vertical and lateral strains of the elastomer. The coefficient of static
friction is estimated from the ratio of the fractional resistance changes
corresponding to the sensing elements of vertical and lateral strains when the
sensor is in contact with an object surface. We applied a normal force on the
sensor surface through objects with coefficients of static friction ranging from
0.2 to 1.1. The fractional resistance changes corresponding to vertical and
lateral strains were proportional to the applied force. Furthermore, the
relationship between these responses changed according to the coefficients of
static friction. The experimental result indicated the proposed sensor could
determine the coefficient of static friction before a global slip occurs.
PMID- 27213372
TI - Variability in Immunohistochemical Detection of Programmed Death Ligand 1 (PD-L1)
in Cancer Tissue Types.
AB - In normal cell physiology, programmed death 1 (PD-1) and its ligand, PD-L1, play
an immunoregulatory role in T-cell activation, tolerance, and immune-mediated
tissue damage. The PD-1/PD-L1 pathway also plays a critical role in immune escape
of tumor cells and has been demonstrated to correlate with a poor prognosis of
patients with several types of cancer. However, recent reports have revealed that
the immunohistochemical (IHC) expression of the PD-L1 in tumor cells is not
uniform for the use of different antibodies clones, with variable specificity,
often doubtful topographical localization, and with a score not uniquely defined.
The purpose of this study was to analyze the IHC expression of PD-L1 on a large
series of several human tumors to correctly define its staining in different
tumor tissues.
PMID- 27213375
TI - Evaluation of MPEG-7-Based Audio Descriptors for Animal Voice Recognition over
Wireless Acoustic Sensor Networks.
AB - Environmental audio monitoring is a huge area of interest for biologists all over
the world. This is why some audio monitoring system have been proposed in the
literature, which can be classified into two different approaches: acquirement
and compression of all audio patterns in order to send them as raw data to a main
server; or specific recognition systems based on audio patterns. The first
approach presents the drawback of a high amount of information to be stored in a
main server. Moreover, this information requires a considerable amount of effort
to be analyzed. The second approach has the drawback of its lack of scalability
when new patterns need to be detected. To overcome these limitations, this paper
proposes an environmental Wireless Acoustic Sensor Network architecture focused
on use of generic descriptors based on an MPEG-7 standard. These descriptors
demonstrate it to be suitable to be used in the recognition of different
patterns, allowing a high scalability. The proposed parameters have been tested
to recognize different behaviors of two anuran species that live in Spanish
natural parks; the Epidalea calamita and the Alytes obstetricans toads,
demonstrating to have a high classification performance.
PMID- 27213376
TI - Self-Locking Avoidance and Stiffness Compensation of a Three-Axis Micromachined
Electrostatically Suspended Accelerometer.
AB - A micromachined electrostatically-suspended accelerometer (MESA) is a kind of
three-axis inertial sensor based on fully-contactless electrostatic suspension of
the proof mass (PM). It has the potential to offer broad bandwidth, high
sensitivity, wide dynamic range and, thus, would be perfectly suited for land
seismic acquisition. Previous experiments showed that it is hard to lift up the
PM successfully during initial levitation as the mass needs to be levitated
simultaneously in all six degrees of freedom (DoFs). By analyzing the coupling
electrostatic forces and torques between three lateral axes, it is found there
exists a self-locking zone due to the cross-axis coupling effect. To minimize the
cross-axis coupling and solve the initial levitation problem, this paper proposes
an effective control scheme by delaying the operation of one lateral actuator.
The experimental result demonstrates that the PM can be levitated up with six-DoF
suspension operation at any initial position. We also propose a feed-forward
compensation approach to minimize the negative stiffness effect inherent in
electrostatic suspension. The experiment results demonstrate that a more
broadband linear amplitude-frequency response and higher suspension stiffness can
be achieved, which is crucial to maintain high vector fidelity for potential use
as a three-component MEMS geophone. The preliminary performance tests of the
three-axis linear accelerometer were conducted under normal atmospheric pressure
and room temperature. The main results and noise analysis are presented. It is
shown that vacuum packaging of the MEMS sensor is essential to extend the
bandwidth and lower the noise floor, especially for low-noise seismic data
acquisition.
PMID- 27213377
TI - Measuring Micro-Friction Torque in MEMS Gas Bearings.
AB - An in situ measurement of micro-friction torque in MEMS gas bearings, which has
been a challenging research topic for years, is realized by a system designed in
this paper. In the system, a high accuracy micro-force sensor and an
electronically-driven table are designed, fabricated and utilized. With
appropriate installation of the sensor and bearings on the table, the engine
rotor can be driven to rotate with the sensor using a silicon lever beam. One end
of the beam is fixed to the shaft of the gas bearing, while the other end is free
and in contact with the sensor probe tip. When the sensor begins to rotate with
the table, the beam is pushed by the sensor probe to rotate in the same
direction. For the beam, the friction torque from the gas bearing is balanced by
the torque induced by pushing force from the sensor probe. Thus, the friction
torque can be calculated as a product of the pushing force measured by the sensor
and the lever arm, which is defined as the distance from the sensor probe tip to
the centerline of the bearing. Experimental results demonstrate the feasibility
of this system, with a sensitivity of 1.285 mV/MUN.m in a range of 0 to 11.76
MUN.m when the lever arm is 20 mm long. The measuring range can be modified by
varying the length of the lever arm. Thus, this system has wide potential
applications in measuring the micro-friction torque of gas bearings in rotating
MEMS machines.
PMID- 27213378
TI - Matrix Completion Optimization for Localization in Wireless Sensor Networks for
Intelligent IoT.
AB - Localization in wireless sensor networks (WSNs) is one of the primary functions
of the intelligent Internet of Things (IoT) that offers automatically
discoverable services, while the localization accuracy is a key issue to evaluate
the quality of those services. In this paper, we develop a framework to solve the
Euclidean distance matrix completion problem, which is an important technical
problem for distance-based localization in WSNs. The sensor network localization
problem is described as a low-rank dimensional Euclidean distance completion
problem with known nodes. The task is to find the sensor locations through
recovery of missing entries of a squared distance matrix when the dimension of
the data is small compared to the number of data points. We solve a relaxation
optimization problem using a modification of Newton's method, where the cost
function depends on the squared distance matrix. The solution obtained in our
scheme achieves a lower complexity and can perform better if we use it as an
initial guess for an interactive local search of other higher precision
localization scheme. Simulation results show the effectiveness of our approach.
PMID- 27213379
TI - On Modeling Eavesdropping Attacks in Underwater Acoustic Sensor Networks.
AB - The security and privacy of underwater acoustic sensor networks has received
extensive attention recently due to the proliferation of underwater activities.
This paper proposes an analytical model to investigate the eavesdropping attacks
in underwater acoustic sensor networks. Our analytical framework considers the
impacts of various underwater acoustic channel conditions (such as the acoustic
signal frequency, spreading factor and wind speed) and different hydrophones
(isotropic hydrophones and array hydrophones) in terms of network nodes and
eavesdroppers. We also conduct extensive simulations to evaluate the
effectiveness and the accuracy of our proposed model. Empirical results show that
our proposed model is quite accurate. In addition, our results also imply that
the eavesdropping probability heavily depends on both the underwater acoustic
channel conditions and the features of hydrophones.
PMID- 27213380
TI - Travel Mode Detection with Varying Smartphone Data Collection Frequencies.
AB - Smartphones are becoming increasingly popular day-by-day. Modern smartphones are
more than just calling devices. They incorporate a number of high-end sensors
that provide many new dimensions to smartphone experience. The use of
smartphones, however, can be extended from the usual telecommunication field to
applications in other specialized fields including transportation. Sensors
embedded in the smartphones like GPS, accelerometer and gyroscope can collect
data passively, which in turn can be processed to infer the travel mode of the
smartphone user. This will solve most of the shortcomings associated with
conventional travel survey methods including biased response, no response,
erroneous time recording, etc. The current study uses the sensors' data collected
by smartphones to extract nine features for classification. Variables including
data frequency, moving window size and proportion of data to be used for
training, are dealt with to achieve better results. Random forest is used to
classify the smartphone data among six modes. An overall accuracy of 99.96% is
achieved, with no mode less than 99.8% for data collected at 10 Hz frequency. The
accuracy is observed to decrease with decrease in data frequency, but at the same
time the computation time also decreases.
PMID- 27213381
TI - Color Restoration of RGBN Multispectral Filter Array Sensor Images Based on
Spectral Decomposition.
AB - A multispectral filter array (MSFA) image sensor with red, green, blue and near
infrared (NIR) filters is useful for various imaging applications with the
advantages that it obtains color information and NIR information simultaneously.
Because the MSFA image sensor needs to acquire invisible band information, it is
necessary to remove the IR cut-offfilter (IRCF). However, without the IRCF, the
color of the image is desaturated by the interference of the additional NIR
component of each RGB color channel. To overcome color degradation, a signal
processing approach is required to restore natural color by removing the unwanted
NIR contribution to the RGB color channels while the additional NIR information
remains in the N channel. Thus, in this paper, we propose a color restoration
method for an imaging system based on the MSFA image sensor with RGBN filters. To
remove the unnecessary NIR component in each RGB color channel, spectral
estimation and spectral decomposition are performed based on the spectral
characteristics of the MSFA sensor. The proposed color restoration method
estimates the spectral intensity in NIR band and recovers hue and color
saturation by decomposing the visible band component and the NIR band component
in each RGB color channel. The experimental results show that the proposed method
effectively restores natural color and minimizes angular errors.
PMID- 27213383
TI - The Conceptual Design of a Mechatronic System to Handle Bedridden Elderly
Individuals.
AB - The ever-growing percentage of elderly people in developed countries have made
Ambient Assisted Living (AAL) solutions an important subject to be explored and
developed. The increase in geriatric care requests are overburdening specialized
institutions that cannot cope with the demand for support. Patients are forced to
have to remain at their homes encumbering the spouse or close family members with
the caregiver role. This caregiver is not always physically and technically apt
to assist the bedridden person with his/her meals and hygiene/bath routine.
Consequently, a solution to assist caregivers in these tasks is of the utmost
importance. This paper presents an approach for supporting caregivers when moving
and repositioning Bedridden Elderly Peoples (BEPs) in home settings by means of a
mechatronic system inspired by industrial conveyers. The proposed solution is
able to insert itself underneath the patient, due to its low-profile structural
properties, and retrieve and reallocate him/her. Ideally, the proposed
mechatronic system aims to promote autonomy by reducing handling complexity,
alter the role of the caregiver from physically handler of the BEP to an
operator/supervisor role, and lessen the amount of effort expended by caregivers
and BEPs alike.
PMID- 27213382
TI - A Low-Noise Transimpedance Amplifier for BLM-Based Ion Channel Recording.
AB - High-throughput screening (HTS) using ion channel recording is a powerful drug
discovery technique in pharmacology. Ion channel recording with planar bilayer
lipid membranes (BLM) is scalable and has very high sensitivity. A HTS system
based on BLM ion channel recording faces three main challenges: (i) design of
scalable microfluidic devices; (ii) design of compact ultra-low-noise
transimpedance amplifiers able to detect currents in the pA range with bandwidth
>10 kHz; (iii) design of compact, robust and scalable systems that integrate
these two elements. This paper presents a low-noise transimpedance amplifier with
integrated A/D conversion realized in CMOS 0.35 MUm technology. The CMOS
amplifier acquires currents in the range +/-200 pA and +/-20 nA, with 100 kHz
bandwidth while dissipating 41 mW. An integrated digital offset compensation loop
balances any voltage offsets from Ag/AgCl electrodes. The measured open-input
input-referred noise current is as low as 4 fA/?Hz at +/-200 pA range. The
current amplifier is embedded in an integrated platform, together with a
microfluidic device, for current recording from ion channels. Gramicidin-A, alpha
haemolysin and KcsA potassium channels have been used to prove both the platform
and the current-to-digital converter.
PMID- 27213384
TI - 1-RAAP: An Efficient 1-Round Anonymous Authentication Protocol for Wireless Body
Area Networks.
AB - Thanks to the rapid technological convergence of wireless communications, medical
sensors and cloud computing, Wireless Body Area Networks (WBANs) have emerged as
a novel networking paradigm enabling ubiquitous Internet services, allowing
people to receive medical care, monitor health status in real-time, analyze
sports data and even enjoy online entertainment remotely. However, because of the
mobility and openness of wireless communications, WBANs are inevitably exposed to
a large set of potential attacks, significantly undermining their utility and
impeding their widespread deployment. To prevent attackers from threatening
legitimate WBAN users or abusing WBAN services, an efficient and secure
authentication protocol termed 1-Round Anonymous Authentication Protocol (1-RAAP)
is proposed in this paper. In particular, 1-RAAP preserves anonymity, mutual
authentication, non-repudiation and some other desirable security properties,
while only requiring users to perform several low cost computational operations.
More importantly, 1-RAAP is provably secure thanks to its design basis, which is
resistant to the anonymous in the random oracle model. To validate the
computational efficiency of 1-RAAP, a set of comprehensive comparative studies
between 1-RAAP and other authentication protocols is conducted, and the results
clearly show that 1-RAAP achieves the best performance in terms of computational
overhead.
PMID- 27213385
TI - Error Ellipsoid Analysis for the Diameter Measurement of Cylindroid Components
Using a Laser Radar Measurement System.
AB - The use of three-dimensional (3D) data in the industrial measurement field is
becoming increasingly popular because of the rapid development of laser scanning
techniques based on the time-of-flight principle. However, the accuracy and
uncertainty of these types of measurement methods are seldom investigated. In
this study, a mathematical uncertainty evaluation model for the diameter
measurement of standard cylindroid components has been proposed and applied to a
3D laser radar measurement system (LRMS). First, a single-point error ellipsoid
analysis for the LRMS was established. An error ellipsoid model and algorithm for
diameter measurement of cylindroid components was then proposed based on the
single-point error ellipsoid. Finally, four experiments were conducted using the
LRMS to measure the diameter of a standard cylinder in the laboratory. The
experimental results of the uncertainty evaluation consistently matched well with
the predictions. The proposed uncertainty evaluation model for cylindrical
diameters can provide a reliable method for actual measurements and support
further accuracy improvement of the LRMS.
PMID- 27213386
TI - Systematic Error Modeling and Bias Estimation.
AB - This paper analyzes the statistic properties of the systematic error in terms of
range and bearing during the transformation process. Furthermore, we rely on a
weighted nonlinear least square method to calculate the biases based on the
proposed models. The results show the high performance of the proposed approach
for error modeling and bias estimation.
PMID- 27213387
TI - Recognizing Physisorption and Chemisorption in Carbon Nanotubes Gas Sensors by
Double Exponential Fitting of the Response.
AB - Multi-walled carbon nanotubes (CNTs) have been grown in situ on a SiO 2 substrate
and used as gas sensors. For this purpose, the voltage response of the CNTs as a
function of time has been used to detect H 2 and CO 2 at various concentrations
by supplying a constant current to the system. The analysis of both adsorptions
and desorptions curves has revealed two different exponential behaviours for each
curve. The study of the characteristic times, obtained from the fitting of the
data, has allowed us to identify separately chemisorption and physisorption
processes on the CNTs.
PMID- 27213388
TI - A Continuous Liquid-Level Sensor for Fuel Tanks Based on Surface Plasmon
Resonance.
AB - A standard problem in large tanks at oil refineries and petrol stations is that
water and fuel usually occupy the same tank. This is undesirable and causes
problems such as corrosion in the tanks. Normally, the water level in tanks is
unknown, with the problems that this entails. We propose herein a method based on
surface plasmon resonance (SPR) to detect in real time the interfaces in a tank
which can simultaneously contain water, gasoline (or diesel) and air. The
plasmonic sensor is composed of a hemispherical glass prism, a magnesium fluoride
layer, and a gold layer. We have optimized the structural parameters of the
sensor from the theoretical modeling of the reflectance curve. The sensor detects
water-fuel and fuel-air interfaces and measures the level of each liquid in real
time. This sensor is recommended for inflammable liquids because inside the tank
there are no electrical or electronic signals which could cause explosions. The
sensor proposed has a sensitivity of between 1.2 and 3.5 RIU(-1) and a resolution
of between 5.7 * 10(-4) and 16.5 * 10(-4) RIU.
PMID- 27213389
TI - A Novel Attitude Estimation Algorithm Based on the Non-Orthogonal Magnetic
Sensors.
AB - Because the existing extremum ratio method for projectile attitude measurement is
vulnerable to random disturbance, a novel integral ratio method is proposed to
calculate the projectile attitude. First, the non-orthogonal measurement theory
of the magnetic sensors is analyzed. It is found that the projectile rotating
velocity is constant in one spinning circle and the attitude error is actually
the pitch error. Next, by investigating the model of the extremum ratio method,
an integral ratio mathematical model is established to improve the anti
disturbance performance. Finally, by combining the preprocessed magnetic sensor
data based on the least-square method and the rotating extremum features in one
cycle, the analytical expression of the proposed integral ratio algorithm is
derived with respect to the pitch angle. The simulation results show that the
proposed integral ratio method gives more accurate attitude calculations than
does the extremum ratio method, and that the attitude error variance can decrease
by more than 90%. Compared to the extremum ratio method (which collects only a
single data point in one rotation cycle), the proposed integral ratio method can
utilize all of the data collected in the high spin environment, which is a
clearly superior calculation approach, and can be applied to the actual
projectile environment disturbance.
PMID- 27213390
TI - Theoretical and Experimental: The Synthetic and Anion-Binding Properties of
Tripodal Salicylaldehyde Derivatives.
AB - A series of colorimetric anion probes 1-6 containing OH and NO2 groups were
synthesized, and their recognition properties toward various anions were
investigated by visual observation, ultraviolet-visible spectroscopy,
fluorescence, 1H nuclear magnetic resonance titration spectra and theoretical
investigation. Nanomaterials of three compounds 2-4 were prepared successfully.
Four compounds 3-6 that contain electron-withdrawing substituents showed a high
binding ability for AcO(-). The host-guest complex formed through a 1:1 binding
ratio, and color changes were detectable during the recognition process.
Theoretical investigation analysis revealed that an intramolecular hydrogen bond
existed in the structures of compounds and the roles of molecular frontier
orbitals in molecular interplay. These studies suggested that this series of
compounds could be used as colorimetric probes to detect of AcO(-).
PMID- 27213391
TI - Olive Crown Porosity Measurement Based on Radiation Transmittance: An Assessment
of Pruning Effect.
AB - Crown porosity influences radiation interception, air movement through the fruit
orchard, spray penetration, and harvesting operation in fruit crops. The aim of
the present study was to develop an accurate and reliable methodology based on
transmitted radiation measurements to assess the porosity of traditional olive
trees under different pruning treatments. Transmitted radiation was employed as
an indirect method to measure crown porosity in two olive orchards of the Picual
and Hojiblanca cultivars. Additionally, three different pruning treatments were
considered to determine if the pruning system influences crown porosity. This
study evaluated the accuracy and repeatability of four algorithms in measuring
crown porosity under different solar zenith angles. From a 14 degrees to 30
degrees solar zenith angle, the selected algorithm produced an absolute error of
less than 5% and a repeatability higher than 0.9. The described method and
selected algorithm proved satisfactory in field results, making it possible to
measure crown porosity at different solar zenith angles. However, pruning fresh
weight did not show any relationship with crown porosity due to the great
differences between removed branches. A robust and accurate algorithm was
selected for crown porosity measurements in traditional olive trees, making it
possible to discern between different pruning treatments.
PMID- 27213392
TI - An All-Fiber-Optic Combined System of Noncontact Photoacoustic Tomography and
Optical Coherence Tomography.
AB - We propose an all-fiber-based dual-modal imaging system that combines noncontact
photoacoustic tomography (PAT) and optical coherence tomography (OCT). The PAT
remotely measures photoacoustic (PA) signals with a 1550-nm laser on the surface
of a sample by utilizing a fiber interferometer as an ultrasound detector. The
fiber-based OCT, employing a swept-source laser centered at 1310 nm, shares the
sample arm of the PAT system. The fiber-optic probe for the combined system was
homemade with a lensed single-mode fiber (SMF) and a large-core multimode fiber
(MMF). The compact and robust common probe is capable of obtaining both the PA
and the OCT signals at the same position without any physical contact.
Additionally, the MMF of the probe delivers the short pulses of a Nd:YAG laser to
efficiently excite the PA signals. We experimentally demonstrate the feasibility
of the proposed dual-modal system with a phantom made of a fishing line and a
black polyethylene terephthalate fiber in a tissue mimicking solution. The all
fiber-optic system, capable of providing complementary information about
absorption and scattering, has a promising potential in minimally invasive and
endoscopic imaging.
PMID- 27213393
TI - GLORI: A GNSS-R Dual Polarization Airborne Instrument for Land Surface
Monitoring.
AB - Global Navigation Satellite System-Reflectometry (GNSS-R) has emerged as a remote
sensing tool, which is complementary to traditional monostatic radars, for the
retrieval of geophysical parameters related to surface properties. In the present
paper, we describe a new polarimetric GNSS-R system, referred to as the GLObal
navigation satellite system Reflectometry Instrument (GLORI), dedicated to the
study of land surfaces (soil moisture, vegetation water content, forest biomass)
and inland water bodies. This system was installed as a permanent payload on a
French ATR42 research aircraft, from which simultaneous measurements can be
carried out using other instruments, when required. Following initial laboratory
qualifications, two airborne campaigns involving nine flights were performed in
2014 and 2015 in the Southwest of France, over various types of land cover,
including agricultural fields and forests. Some of these flights were made
concurrently with in situ ground truth campaigns. Various preliminary
applications for the characterisation of agricultural and forest areas are
presented. Initial analysis of the data shows that the performance of the GLORI
instrument is well within specifications, with a cross-polarization isolation
better than -15 dB at all elevations above 45 degrees , a relative polarimetric
calibration accuracy better than 0.5 dB, and an apparent reflectivity sensitivity
better than -30 dB, thus demonstrating its strong potential for the retrieval of
land surface characteristics.
PMID- 27213394
TI - Whole-Body Human Inverse Dynamics with Distributed Micro-Accelerometers, Gyros
and Force Sensing.
AB - Human motion tracking is a powerful tool used in a large range of applications
that require human movement analysis. Although it is a well-established
technique, its main limitation is the lack of estimation of real-time kinetics
information such as forces and torques during the motion capture. In this paper,
we present a novel approach for a human soft wearable force tracking for the
simultaneous estimation of whole-body forces along with the motion. The early
stage of our framework encompasses traditional passive marker based methods,
inertial and contact force sensor modalities and harnesses a probabilistic
computational technique for estimating dynamic quantities, originally proposed in
the domain of humanoid robot control. We present experimental analysis on
subjects performing a two degrees-of-freedom bowing task, and we estimate the
motion and kinetics quantities. The results demonstrate the validity of the
proposed method. We discuss the possible use of this technique in the design of a
novel soft wearable force tracking device and its potential applications.
PMID- 27213395
TI - On the Choice of Access Point Selection Criterion and Other Position Estimation
Characteristics for WLAN-Based Indoor Positioning.
AB - The positioning based on Wireless Local Area Networks (WLAN) is one of the most
promising technologies for indoor location-based services, generally using the
information carried by Received Signal Strengths (RSS). One challenge, however,
is the huge amount of data in the radiomap database due to the enormous number of
hearable Access Points (AP) that could make the positioning system very complex.
This paper concentrates on WLAN-based indoor location by comparing
fingerprinting, path loss and weighted centroid based positioning approaches in
terms of complexity and performance and studying the effects of grid size and AP
reduction with several choices for appropriate selection criterion. All results
are based on real field measurements in three multi-floor buildings. We validate
our earlier findings concerning several different AP selection criteria and
conclude that the best results are obtained with a maximum RSS-based criterion,
which also proved to be the most consistent among the different investigated
approaches. We show that the weighted centroid based low-complexity method is
very sensitive to AP reduction, while the path loss-based method is also very
robust to high percentage removals. Indeed, for fingerprinting, 50% of the APs
can be removed safely with a properly chosen removal criterion without increasing
the positioning error much.
PMID- 27213396
TI - Design and Implementation of a Novel Compatible Encoding Scheme in the Time
Domain for Image Sensor Communication.
AB - This paper presents a modulation scheme in the time domain based on On-Off-Keying
and proposes various compatible supports for different types of image sensors.
The content of this article is a sub-proposal to the IEEE 802.15.7r1 Task Group
(TG7r1) aimed at Optical Wireless Communication (OWC) using an image sensor as
the receiver. The compatibility support is indispensable for Image Sensor
Communications (ISC) because the rolling shutter image sensors currently
available have different frame rates, shutter speeds, sampling rates, and
resolutions. However, focusing on unidirectional communications (i.e., data
broadcasting, beacons), an asynchronous communication prototype is also discussed
in the paper. Due to the physical limitations associated with typical image
sensors (including low and varying frame rates, long exposures, and low shutter
speeds), the link speed performance is critically considered. Based on the
practical measurement of camera response to modulated light, an operating
frequency range is suggested along with the similar system architecture, decoding
procedure, and algorithms. A significant feature of our novel data frame
structure is that it can support both typical frame rate cameras (in the
oversampling mode) as well as very low frame rate cameras (in the error detection
mode for a camera whose frame rate is lower than the transmission packet rate). A
high frame rate camera, i.e., no less than 20 fps, is supported in an
oversampling mode in which a majority voting scheme for decoding data is applied.
A low frame rate camera, i.e., when the frame rate drops to less than 20 fps at
some certain time, is supported by an error detection mode in which any missing
data sub-packet is detected in decoding and later corrected by external code.
Numerical results and valuable analysis are also included to indicate the
capability of the proposed schemes.
PMID- 27213397
TI - Contextualising Water Use in Residential Settings: A Survey of Non-Intrusive
Techniques and Approaches.
AB - Water monitoring in households is important to ensure the sustainability of fresh
water reserves on our planet. It provides stakeholders with the statistics
required to formulate optimal strategies in residential water management.
However, this should not be prohibitive and appliance-level water monitoring
cannot practically be achieved by deploying sensors on every faucet or water
consuming device of interest due to the higher hardware costs and complexity, not
to mention the risk of accidental leakages that can derive from the extra
plumbing needed. Machine learning and data mining techniques are promising
techniques to analyse monitored data to obtain non-intrusive water usage
disaggregation. This is because they can discern water usage from the aggregated
data acquired from a single point of observation. This paper provides an overview
of water usage disaggregation systems and related techniques adopted for water
event classification. The state-of-the art of algorithms and testbeds used for
fixture recognition are reviewed and a discussion on the prominent challenges and
future research are also included.
PMID- 27213399
TI - Induced Voltage Linear Extraction Method Using an Active Kelvin Bridge for
Disturbing Force Self-Sensing.
AB - This paper presents an induced voltage linear extraction method for disturbing
force self-sensing in the application of giant magnetostrictive actuators (GMAs).
In this method, a Kelvin bridge combined with an active device is constructed
instead of a conventional Wheatstone bridge for extraction of the induced
voltage, and an additional GMA is adopted as a reference actuator in the self
sensing circuit in order to balance the circuit bridge. The linear fitting of the
measurement data is done according to the linear relationship between the
disturbing forces and the integral of the induced voltage. The experimental
results confirm the good performance of the proposed method, and the self
sensitivity of the disturbing forces is better than 2.0 (mV.s)/N.
PMID- 27213398
TI - An Approach to the Prototyping of an Optimized Limited Stroke Actuator to Drive a
Low Pressure Exhaust Gas Recirculation Valve.
AB - The purpose of this article is to describe the design of a limited stroke
actuator and the corresponding prototype to drive a Low Pressure (LP) Exhaust Gas
Recirculation (EGR) valve for use in Internal Combustion Engines (ICEs). The
direct drive actuator topology is an axial flux machine with two air gaps in
order to minimize the rotor inertia and a bipolar surface-mounted permanent
magnet in order to respect an 80 degrees angular stroke. Firstly, the actuator
will be described and optimized under constraints of a 150 ms time response, a
0.363 N.m minimal torque on an angular range from 0 degrees to 80 degrees and
prototyping constraints. Secondly, the finite element method (FEM) using the FLUX
3D((r)) software (CEDRAT, Meylan, France) will be used to check the actuator
performances with consideration of the nonlinear effect of the iron material.
Thirdly, a prototype will be made and characterized to compare its measurement
results with the analytical model and the FEM model results. With these
electromechanical behavior measurements, a numerical model is created with
Simulink((r)) in order to simulate an EGR system with this direct drive actuator
under all operating conditions. Last but not least, the energy consumption of
this machine will be estimated to evaluate the efficiency of the proposed EGR
electromechanical system.
PMID- 27213400
TI - A Longitudinal Mode Electromagnetic Acoustic Transducer (EMAT) Based on a
Permanent Magnet Chain for Pipe Inspection.
AB - A new electromagnetic acoustic transducer (EMAT) design, employing a special
structure of the permanent magnet chain, is proposed to generate and receive
longitudinal guided waves for pipe inspection based on the magnetostriction
mechanism. Firstly, a quantitative analysis of the excitation forces shows the
influence of the radial component can be ignored. Furthermore, as the axial
component of the static magnetic field is dominant, a method of solenoid testing
coils connected in series is adopted to increase the signal amplitude. Then, two
EMAT configurations are developed to generate and receive the L(0,2) guided wave
mode. The experimental results show the circumferential notch can be identified
and located successfully. Finally, a detailed investigation of the performance of
the proposed EMATs is given. Compared to the conventional EMAT configuration, the
proposed configurations have the advantages of small volume, light weight, easy
installation and portability, which is helpful to improve inspection efficiency.
PMID- 27213401
TI - Self-Oscillation-Based Frequency Tracking for the Drive and Detection of
Resonance Magnetometers.
AB - This paper reports a drive and detection method for Micro-Electro-Mechanical
System (MEMS)-based Lorentz-force resonance magnetometers. Based on the proposed
MEMS magnetometer, a drive and detection method was developed by using self
oscillation to adjust the mismatch between the mechanical resonance frequency and
the coil drive frequency as affected by temperature fluctuations and vibration
amplitude changes. Not only was the signal-to-noise ratio enhanced by the
proposed method compared to the traditional method, but the test system
automatically reached resonance frequency very rapidly when powered on. Moreover,
the linearity and the measurement range were improved by the magnetic feedback
generated by the coil. Test results indicated that the sensitivity of the
proposed magnetometer is 59.6 mV/MUT and its noise level is 0.25 MUT. When
operating in +/-65 MUT, its nonlinearity is 2.50/00-only one-tenth of the former
prototype. Its power consumption is only about 250 mW and its size is only 28 mm
* 28 mm * 10 mm, or about one-eighth of the original sensor; further, unlike the
former device, it can distinguish both positive and negative magnetic fields. The
proposed method can also be applied in other MEMS sensors such as gyroscopes and
micromirrors to enhance their frequency tracking ability.
PMID- 27213402
TI - A Novel Transient Fault Current Sensor Based on the PCB Rogowski Coil for
Overhead Transmission Lines.
AB - The accurate detection of high-frequency transient fault currents in overhead
transmission lines is the basis of malfunction detection and diagnosis. This
paper proposes a novel differential winding printed circuit board (PCB) Rogowski
coil for the detection of transient fault currents in overhead transmission
lines. The interference mechanism of the sensor surrounding the overhead
transmission line is analyzed and the guideline for the interference elimination
is obtained, and then a differential winding printed circuit board (PCB) Rogowski
coil is proposed, where the branch and return line of the PCB coil were designed
to be strictly symmetrical by using a joining structure of two semi-rings and
collinear twisted pair differential windings in each semi-ring. A serial test is
conducted, including the frequency response, linearity, and anti-interference
performance as well as a comparison with commercial sensors. Results show that a
PCB Rogowski coil has good linearity and resistance to various external magnetic
field interferences, thus enabling it to be widely applied in fault-current
collecting devices.
PMID- 27213403
TI - Quantitative Evaluation of Pulsed Thermography, Lock-in Thermography and
Vibrothermography on Foreign Object Defect (FOD) in CFRP.
AB - In this article, optical excitation thermographic techniques, including pulsed
thermography and lock-in thermography, were used to detect foreign object defect
(FOD) and delamination in CFRP. Then, vibrothermography as an ultrasonic
excitation technique was used to detect these defects for the comparative
purposes. Different image processing methods, including cold image subtraction
(CIS), principal component thermography (PCT), thermographic signal
reconstruction (TSR) and Fourier transform (FT), were performed. Finally, a
comparison of optical excitation thermography and vibrothermography was
conducted, and a thermographic probability of detection was given.
PMID- 27213404
TI - Pharmacologic Evaluation of Antidepressant Activity and Synthesis of 2-Morpholino
5-phenyl-6H-1,3,4-thiadiazine Hydrobromide.
AB - Substituted thiadiazines exert a reliable therapeutic effect in treating stress,
and a schematic description of their ability to influence all aspects of a stress
response has been depicted. This study was conducted to pharmacologically
evaluate compound L-17, a substituted thiadiazine, (2-morpholino-5-phenyl-6H
1,3,4-thiadiazine, hydrobromide) for possible anti-psychotic/antidepressant
activity. Compound L-17 was synthesized by cyclocondensation of alpha
bromoacetophenone with the original morpholine-4-carbothionic acid hydrazide.
Pharmacologic evaluations were conducted using methods described by E.F.
Lavretskaya (1985), and in accordance with published guidelines for studying
drugs for neuroleptic activity. Compound L-17 was evaluated for various possible
mechanisms of action, including its effects on cholinergic system
agonists/antagonists, dopaminergic neurotransmission, the adrenergic system, and
5-HT3 serotonin receptors. One or more of these mechanisms may be responsible for
the beneficial effects shown by thiadiazine compounds in experiments conducted to
evaluate their activity in models of acute stress and acute myocardial
infarction.
PMID- 27213405
TI - Targeted Therapies for the Treatment of Pediatric Non-Hodgkin Lymphomas: Present
and Future.
AB - Pediatric Non-Hodgkin Lymphomas (NHL) are a diverse group of malignancies and as
such treatment can vary based on the different biological characteristics of each
malignancy. Significant advancements are being made in the treatment and outcomes
of this group of malignancies. This is in large part due to novel targeted drug
therapies that are being used in combination with traditional chemotherapy. Here,
we discuss several new lines of therapy that are being developed or are in
current use for pediatric patients with NHL.
PMID- 27213406
TI - Improved Aptamers for the Diagnosis and Potential Treatment of HER2-Positive
Cancer.
AB - Aptamers provide a potential source of alternative targeting molecules for
existing antibody diagnostics and therapeutics. In this work, we selected novel
DNA aptamers targeting the HER2 receptor by an adherent whole-cell SELEX
approach. Individual aptamers were identified by next generation sequencing and
bioinformatics analysis. Two aptamers, HeA2_1 and HeA2_3, were shown to bind the
HER2 protein with affinities in the nanomolar range. In addition, both aptamers
were able to bind with high specificity to HER2-overexpressing cells and HER2
positive tumor tissue samples. Furthermore, we demonstrated that aptamer HeA2_3
is being internalized into cancer cells and has an inhibitory effect on cancer
cell growth and viability. In the end, we selected novel DNA aptamers with great
potential for the diagnosis and possible treatment of HER2-positive cancer.
PMID- 27213407
TI - Impact of Microalgae-Bacteria Interactions on the Production of Algal Biomass and
Associated Compounds.
AB - A greater insight on the control of the interactions between microalgae and other
microorganisms, particularly bacteria, should be useful for enhancing the
efficiency of microalgal biomass production and associated valuable compounds.
Little attention has been paid to the controlled utilization of microalgae
bacteria consortia. However, the studies of microalgal-bacterial interactions
have revealed a significant impact of the mutualistic or parasitic relationships
on algal growth. The algal growth, for instance, has been shown to be enhanced by
growth promoting factors produced by bacteria, such as indole-3-acetic acid.
Vitamin B12 produced by bacteria in algal cultures and bacterial siderophores are
also known to be involved in promoting faster microalgal growth. More
interestingly, enhancement in the intracellular levels of carbohydrates, lipids
and pigments of microalgae coupled with algal growth stimulation has also been
reported. In this sense, massive algal production might occur in the presence of
bacteria, and microalgae-bacteria interactions can be beneficial to the massive
production of microalgae and algal products. This manuscript reviews the recent
knowledge on the impact of the microalgae-bacteria interactions on the production
of microalgae and accumulation of valuable compounds, with an emphasis on algal
species having application in aquaculture.
PMID- 27213409
TI - Structure and Bioactivity of a Modified Peptide Derived from the LPS-Binding
Domain of an Anti-Lipopolysaccharide Factor (ALF) of Shrimp.
AB - The lipopolysaccharide binding domain (LBD) in anti-lipopolysaccharide factor
(ALF) is the main functional element of ALF, which exhibits antimicrobial
activities. Our previous studies show that the peptide LBDv, synthesized based on
the modified sequence of LBD (named LBD2) from FcALF2, exhibited an apparently
enhanced antimicrobial activity. To learn the prospect of LBDv application, the
characteristics of LBDv were analyzed in the present study. The LBDv peptide
showed higher antimicrobial and bactericidal activities compared with LBD2. These
activities of the LBDv peptide were stable after heat treatment. LBDv could also
exhibit in vivo antimicrobial activity to Vibrio harveyi. The LBDv peptide was
found to bind bacteria, quickly cause bacterial agglutination, and kill bacteria
by damaging their membrane integrity. Structure analysis showed that both LBDv
and LBD2 held the beta-sheet structure, and the positive net charge and
amphipathicity characteristic were speculated as two important components for
their antimicrobial activity. The cytotoxicity of LBDv was evaluated in cultured
Spodoptera frugiperda (Sf9) cells and Cherax quadricarinatus hemocytes. More than
80% cells could survive with the LBDv concentration up to 16 MUM. Collectively,
these findings highlighted the potential antimicrobial mechanism of LBD peptides,
and provided important information for the commercial use of LBDv in the future.
PMID- 27213408
TI - Axonal Transport and Neurodegeneration: How Marine Drugs Can Be Used for the
Development of Therapeutics.
AB - Unlike virtually any other cells in the human body, neurons are tasked with the
unique problem of transporting important factors from sites of synthesis at the
cell bodies, across enormous distances, along narrow-caliber projections, to
distally located nerve terminals in order to maintain cell viability. As a
result, axonal transport is a highly regulated process whereby necessary cargoes
of all types are packaged and shipped from one end of the neuron to the other.
Interruptions in this finely tuned transport have been linked to many
neurodegenerative disorders including Alzheimer's (AD), Huntington's disease
(HD), and amyotrophic lateral sclerosis (ALS) suggesting that this pathway is
likely perturbed early in disease progression. Therefore, developing therapeutics
targeted at modifying transport defects could potentially avert disease
progression. In this review, we examine a variety of potential compounds
identified from marine aquatic species that affect the axonal transport pathway.
These compounds have been shown to function in microtubule (MT) assembly and
maintenance, motor protein control, and in the regulation of protein degradation
pathways, such as the autophagy-lysosome processes, which are defective in many
degenerative diseases. Therefore, marine compounds have great potential in
developing effective treatment strategies aimed at early defects which, over
time, will restore transport and prevent cell death.
PMID- 27213410
TI - Lipidomic Approaches towards Deciphering Glycolipids from Microalgae as a
Reservoir of Bioactive Lipids.
AB - In recent years, noteworthy research has been performed around lipids from
microalgae. Among lipids, glycolipids (GLs) are quite abundant in microalgae and
are considered an important source of fatty acids (FAs). GLs are rich in 16- and
18-carbon saturated and unsaturated fatty acids and often contain polyunsaturated
fatty acids (PUFAs) like n-3 alpha-linolenic (ALA 18:3), eicosapentaenoic (EPA,
20:5) and docosahexaenoic (DHA, 22:6). GLs comprise three major classes:
monogalactosyldiacyl glycerolipids (MGDGs), digalactosyl diacylglycerolipids
(DGDGs) and sulfoquinovosyl diacylglycerolipids (SQDGs), whose composition in FA
directly depends on the growth conditions. Some of these lipids are high value
added compounds with antitumoral, antimicrobial and anti-inflammatory activities
and also with important nutritional significance. To fully explore GLs' bioactive
properties it is necessary to fully characterize their structure and to
understand the relation between the structure and their biological properties,
which can be addressed using modern mass spectrometry (MS)-based lipidomic
approaches. This review will focus on the up-to-date FA composition of GLs
identified by MS-based lipidomics and their potential as phytochemicals.
PMID- 27213413
TI - Applications of a Novel Clustering Approach Using Non-Negative Matrix
Factorization to Environmental Research in Public Health.
AB - Often data can be represented as a matrix, e.g., observations as rows and
variables as columns, or as a doubly classified contingency table. Researchers
may be interested in clustering the observations, the variables, or both. If the
data is non-negative, then Non-negative Matrix Factorization (NMF) can be used to
perform the clustering. By its nature, NMF-based clustering is focused on the
large values. If the data is normalized by subtracting the row/column means, it
becomes of mixed signs and the original NMF cannot be used. Our idea is to split
and then concatenate the positive and negative parts of the matrix, after taking
the absolute value of the negative elements. NMF applied to the concatenated
data, which we call PosNegNMF, offers the advantages of the original NMF
approach, while giving equal weight to large and small values. We use two public
health datasets to illustrate the new method and compare it with alternative
clustering methods, such as K-means and clustering methods based on the Singular
Value Decomposition (SVD) or Principal Component Analysis (PCA). With the
exception of situations where a reasonably accurate factorization can be achieved
using the first SVD component, we recommend that the epidemiologists and
environmental scientists use the new method to obtain clusters with improved
quality and interpretability.
PMID- 27213411
TI - Time Dependency of Chemodiversity and Biosynthetic Pathways: An LC-MS Metabolomic
Study of Marine-Sourced Penicillium.
AB - This work aimed at studying metabolome variations of marine fungal strains along
their growth to highlight the importance of the parameter "time" for new natural
products discovery. An untargeted time-scale metabolomic study has been performed
on two different marine-derived Penicillium strains. They were cultivated for 18
days and their crude extracts were analyzed by HPLC-DAD-HRMS (High Performance
Liquid Chromatography-Diode Array Detector-High Resolution Mass Spectrometry)
each day. With the example of griseofulvin biosynthesis, a pathway shared by both
strains, this work provides a new approach to study biosynthetic pathway
regulations, which could be applied to other metabolites and more particularly
new ones. Moreover, the results of this study emphasize the interest of such an
approach for the discovery of new chemical entities. In particular, at every
harvesting time, previously undetected features were observed in the LC-MS
(Liquid Chromatography-Mass Spectrometry) data. Therefore, harvesting times for
metabolite extraction should be performed at different time points to access the
hidden metabolome.
PMID- 27213414
TI - Measurement and Study of Lidar Ratio by Using a Raman Lidar in Central China.
AB - We comprehensively evaluated particle lidar ratios (i.e., particle extinction to
backscatter ratio) at 532 nm over Wuhan in Central China by using a Raman lidar
from July 2013 to May 2015. We utilized the Raman lidar data to obtain
homogeneous aerosol lidar ratios near the surface through the Raman method during
no-rain nights. The lidar ratios were approximately 57 +/- 7 sr, 50 +/- 5 sr, and
22 +/- 4 sr under the three cases with obviously different pollution levels. The
haze layer below 1.8 km has a large particle extinction coefficient (from 5.4e-4
m(-1) to 1.6e-4 m(-1)) and particle backscatter coefficient (between 1.1e-05 m(
1)sr(-1) and 1.7e-06 m(-1)sr(-1)) in the heavily polluted case. Furthermore, the
particle lidar ratios varied according to season, especially between winter (57
+/- 13 sr) and summer (33 +/- 10 sr). The seasonal variation in lidar ratios at
Wuhan suggests that the East Asian monsoon significantly affects the primary
aerosol types and aerosol optical properties in this region. The relationships
between particle lidar ratios and wind indicate that large lidar ratio values
correspond well with weak winds and strong northerly winds, whereas significantly
low lidar ratio values are associated with prevailing southwesterly and southerly
wind.
PMID- 27213412
TI - Marine Invertebrate Metabolites with Anticancer Activities: Solutions to the
"Supply Problem".
AB - Marine invertebrates provide a rich source of metabolites with anticancer
activities and several marine-derived agents have been approved for the treatment
of cancer. However, the limited supply of promising anticancer metabolites from
their natural sources is a major hurdle to their preclinical and clinical
development. Thus, the lack of a sustainable large-scale supply has been an
important challenge facing chemists and biologists involved in marine-based drug
discovery. In the current review we describe the main strategies aimed to
overcome the supply problem. These include: marine invertebrate aquaculture,
invertebrate and symbiont cell culture, culture-independent strategies, total
chemical synthesis, semi-synthesis, and a number of hybrid strategies. We provide
examples illustrating the application of these strategies for the supply of
marine invertebrate-derived anticancer agents. Finally, we encourage the
scientific community to develop scalable methods to obtain selected metabolites,
which in the authors' opinion should be pursued due to their most promising
anticancer activities.
PMID- 27213415
TI - Prevalence, Awareness, Treatment and Control of Diabetes Mellitus-A Population
Based Study in Shanghai, China.
AB - In this study, we aimed to investigate the prevalence, awareness, treatment, and
control of diabetes in Shanghai, China. A sample of 3600 residents aged from 18
to 80 years selected by a randomized stratified multiple-stage sampling method in
Shanghai was investigated, with blood samples collected. Diabetes was defined as
fasting plasma glucose (FPG) >= 7.0 mmol/L, or glycated haemoglobin (HbA1c) >=
6.5% (48 mmol/mol), or previous diagnosis by a physician. Adequate control of
diabetes was taken as a level of HbA1c < 7.0% (53 mmol/mol) among people with
treated diabetes. Multivariable regression analysis was used to explore
associated factors for diabetes and prediabetes. In the 3136 participants
suitable for analysis, the prevalences of diabetes, prediabetes, and previously
diagnosed diabetes were 15.91%, 37.37%, and 4.46%, respectively. Among those with
diabetes, only 28.06% were aware of their condition, 25.85% were currently
undergoing medication treatment, and 12.42% achieved glycaemic control. Logistic
regression showed that old age, preobesity, obesity, elevated triglyceride (TG),
elevated C-reactive protein (CRP), and lower education level were associated with
an increased risk of diabetes; old age, obesity, elevated TG, and elevated low
density lipoprotein (LDL) were associated with an increased risk of prediabetes,
while male sex and rural residence were associated with a decreased risk of
prediabetes. In summary, the state of diabetes in China is alarming; the rates of
awareness, treatment, and control were relatively low. More efforts should be
made to promote the prevention and control of diabetes in china.
PMID- 27213417
TI - Health-Related Behaviors in Swimming Pool Users: Influence of Knowledge of
Regulations and Awareness of Health Risks.
AB - BACKGROUND: Swimming pool attendance exposes users to infection and chemical
risks that could be largely reduced with the adoption of healthy behaviors. This
study aims to investigate if the knowledge of swimming pool regulations and
awareness of health risks can be associated with users' health-related behaviors.
METHODS: A cross-sectional study was conducted using self-administered
questionnaires to collect data from two different target groups of swimming
users: 184 adults and 184 children/adolescents. The association between specific
variables and patterns of behaviors and knowledge was assessed through
multivariate logistic regression models. RESULTS: Although more than 80% of both
groups declared they knew the regulations, compliance with healthy behaviors was
often unsatisfactory, especially in adolescents and youth. In the
children/adolescents group, healthy behaviors significantly increased with the
frequency of attendance per week. In both groups, compliance increased with
educational level (of parents for children/adolescents), while no positive
association was observed between viewing the regulations and adopting appropriate
behaviors. In the adult group, a higher knowledge/awareness of health risks was
related to decreased odds of at least one unhealthy behavior. CONCLUSIONS:
Guaranteeing the public display of regulations in swimming facilities is not
sufficient to promote and change health-related behaviors. Much more attention
should be given to educational interventions aimed to increase knowledge of
health risks and the awareness that bathers are directly responsible for their
own well-being.
PMID- 27213416
TI - Prenatal Exposure to Perfluoroalkyl Substances and Behavioral Development in
Children.
AB - BACKGROUND: In recent years, prevalence rates of behavioral disorders in children
have increased. One factor possibly implied in the etiology of behavioral
disorders is exposure to perfluoroalkyl substances (PFASs). The use of PFASs is
highly integrated into everyday life, and exposure is ubiquitous. Exposure to
PFASs during early life may be particularly harmful, as it represents a critical
time window for brain development. However, research in the area is limited,
especially among preschool children. The objective of the current study was to
explore the relationship between prenatal exposure to several PFASs and
behavioral development at the age of 18 months. METHODS: Data from the Dutch
cohort LINC (Linking Maternal Nutrition to Child Health) were used.
Perfluorooctanesulfonic acid (PFOS) and perfluorooctanoic acid (PFOA) were
measured in cord plasma. The total exposure of PFASs was also calculated
(SigmaPFASs). Behavioral development was assessed with the Child Behavior
Checklist 1.5-5 (CBCL 1.5-5). The CBCL scales "Attention Deficit Hyperactivity
Disorder" (ADHD) and "Externalizing problems" were used for further analysis.
Separate regression models were composed for each combination, in which exposure
levels were classified in tertiles. Both whole population and sex-stratified
analyses were performed. A family history of ADHD, the educational level, smoking
or using alcohol or illicit drugs during pregnancy were considered as
confounders. In total, data from 76 mother-child pairs was included. RESULTS: No
significant associations were found between prenatal PFAS exposure and ADHD
scores in the whole population and in the sex-stratified analyses. With regard to
externalizing behavior, a significant negative association was found between the
highest levels of SigmaPFAS exposure and externalizing problem behavior in the
whole population, but only in the crude model. After stratifying for sex, boys in
the second and third tertile of exposure to PFOA presented significantly lower
scores on the Externalizing Problem Scale than boys with the lowest exposure
levels in the adjusted model. Girls exposed to higher levels of SigmaPFAS
exposure (T2) showed significantly lower scores on the Externalizing Problem
Scale, in both crude and adjusted models. No significant associations with PFOS
were found. CONCLUSIONS: RESULTS from the current study show that prenatal
exposure to PFOA was negatively related to externalizing behavior in boys.
RESULTS were different for boys and girls, emphasizing that mechanisms at work
might be sex-dependent. However, results should be interpreted with caution as
the sample size was small.
PMID- 27213418
TI - A Case Study of Community Involvement Influence on Policy Decisions: Victories of
a Community-Based Participatory Research Partnership.
AB - The Buffalo Lupus Project was a community-university partnership that
investigated associations between exposure to a local waste site and high rates
of lupus and other autoimmune diseases. The partnership's major accomplishment
was successful advocacy for containment and clean-up of the site. As a result of
community education, the remediation plan suggested by the community was adopted.
Additionally, when a local childhood lead poisoning testing program was canceled,
community members signed a letter to legislators urging them to replace the
funding, which was restored within one week. This demonstrated how coordinated
community-based capacity-building efforts can influence health policy.
PMID- 27213419
TI - Cross-Sectional Associations between Body Mass Index and Hyperlipidemia among
Adults in Northeastern China.
AB - BACKGROUND: There is evidence that body mass index (BMI) is closely related to
hyperlipidemia. This study aimed to estimate the cross-sectional relationship
between Body Mass Index (BMI) and hyperlipidemia. METHODS: We recruited 21,435
subjects (aged 18-79 years and residing in Jilin province, China) using the
multistage stratified cluster random sampling method. Subjects were interviewed
with a standardized questionnaire and physically examined. We analyzed the cross
sectional relationship between BMI and hyperlipidemia. RESULTS: The prevalence of
hyperlipidemia was 51.09% (52.04% in male and 50.21% in female). The prevalence
of overweight and obesity was 31.89% and 6.23%, respectively. Our study showed
that underweight (OR = 0.499, 95% CI: 0.426-0.585), overweight (OR = 2.587, 95%
CI: 2.428-2.756), and obesity (OR = 3.614, 95% CI: 3.183-4.104) were
significantly associated with hyperlipidemia (p < 0.001) in the age- and sex
adjusted logistic regression. After further adjusting for age, gender, region,
district, ethnicity, education, marital status, main occupation, monthly family
income per capita, smoking, drinking, exercise, central obesity, waist and hip,
underweight (OR = 0.729, 95% CI: 0.616-0.864), overweight (OR = 1.651, 95% CI:
1.520-1.793), and obesity (OR = 1.714, 95% CI: 1.457-2.017) were independently
associated with hyperlipidemia (p < 0.001). The restricted cubic spline model
illustrated a nonlinear dose-response relationship between levels of BMI and the
prevalence of hyperlipidemia (Pnonlinearity < 0.001). CONCLUSION: Our study
demonstrated that the continuous variance of BMI was significantly associated
with the prevalence of hyperlipidemia.
PMID- 27213420
TI - Strongyloidiasis: A Disease of Socioeconomic Disadvantage.
AB - Strongyloidiasis is a disease caused by soil transmitted helminths of the
Strongyloides genus. Currently, it is predominately described as a neglected
tropical disease. However, this description is misleading as it focuses on the
geographical location of the disease and not the primary consideration, which is
the socioeconomic conditions and poor infrastructure found within endemic
regions. This classification may result in misdiagnosis and mistreatment by
physicians, but more importantly, it influences how the disease is fundamentally
viewed. Strongyloidiasis must be first and foremost considered as a disease of
disadvantage, to ensure the correct strategies and control measures are used to
prevent infection. Changing how strongyloidiasis is perceived from a geographic
and clinical issue to an environmental health issue represents the first step in
identifying appropriate long term control measures. This includes emphasis on
environmental health controls, such as better infrastructure, sanitation and
living conditions. This review explores the global prevalence of strongyloidiasis
in relation to its presence in subtropical, tropical and temperate climate zones
with mild and cold winters, but also explores the corresponding socioeconomic
conditions of these regions. The evidence shows that strongyloidiasis is
primarily determined by the socioeconomic status of the communities rather than
geographic or climatic conditions. It demonstrates that strongyloidiasis should
no longer be referred to as a "tropical" disease but rather a disease of
disadvantage. This philosophical shift will promote the development of correct
control strategies for preventing this disease of disadvantage.
PMID- 27213422
TI - Analysis of Heat Stress and the Indoor Climate Control Requirements for Movable
Refuge Chambers.
AB - Movable refuge chambers are a new kind of rescue device for underground mining,
which is believed to have a potential positive impact on reducing the rate of
fatalities. It is likely to be hot and humid inside a movable refuge chamber due
to the metabolism of trapped miners, heat generated by equipment and heat
transferred from outside. To investigate the heat stress experienced by miners
trapped in a movable refuge chamber, the predicted heat strain (PHS) model was
used to simulate the heat transfer process between the person and the thermal
environment. The variations of heat stress with the temperature and humidity
inside the refuge chamber were analyzed. The effects of air temperature outside
the refuge chamber and the overall heat transfer coefficient of the refuge
chamber shell on the heat stress inside the refuge chamber was also investigated.
The relationship between the limit of exposure duration and the air temperature
and humidity was numerically analyzed to determine the upper limits of
temperature and humidity inside a refuge chamber. Air temperature of 32 degrees
C and relative humidity of 70% are recommended as the design standard for
internal thermal environment control of movable refuge chambers.
PMID- 27213421
TI - Knowledge and Perceptions about Nicotine, Nicotine Replacement Therapies and
Electronic Cigarettes among Healthcare Professionals in Greece.
AB - Introduction. The purpose of this study was to evaluate the knowledge and
perceptions of Greek healthcare professionals about nicotine, nicotine
replacement therapies and electronic cigarettes. Methods. An online survey was
performed, in which physicians and nurses working in private and public
healthcare sectors in Athens-Greece were asked to participate through email
invitations. A knowledge score was calculated by scoring the correct answers to
specific questions with 1 point. Results. A total of 262 healthcare professionals
were included to the analysis. Most had daily contact with smokers in their
working environment. About half of them considered that nicotine has an extremely
or very important contribution to smoking-related disease. More than 30%
considered nicotine replacement therapies equally or more addictive than smoking,
76.7% overestimated their smoking cessation efficacy and only 21.0% would
recommend them as long-term smoking substitutes. For electronic cigarettes, 45.0%
considered them equally or more addictive than smoking and 24.4% equally or more
harmful than tobacco cigarettes. Additionally, 35.5% thought they involve
combustion while the majority responded that nicotine in electronic cigarettes is
synthetically produced. Only 14.5% knew about the pending European regulation,
but 33.2% have recommended them to smokers in the past. Still, more than 40%
would not recommend electronic cigarettes to smokers unwilling or unable to quit
smoking with currently approved medications. Cardiologists and respiratory
physicians, who are responsible for smoking cessation therapy in Greece, were
even more reluctant to recommend electronic cigarettes to this subpopulation of
smokers compared to all other participants. The knowledge score of the whole
study sample was 7.7 (SD: 2.4) out of a maximum score of 16. Higher score was
associated with specific physician specialties. Conclusions. Greek healthcare
professionals appear to overestimate the adverse effects of nicotine, and many
would not recommend any nicotine-containing product as a long-term smoking
substitute. Additionally, they have poor knowledge about the function and
characteristics of electronic cigarettes.
PMID- 27213424
TI - Correction: Reynard, O.; et al. Identification of a New Ribonucleoside Inhibitor
of Ebola Virus Replication. Viruses 2015, 7, 6233-6240.
AB - The Viruses Editorial Office wishes to notify its readers of corrections in
[1].[...].
PMID- 27213423
TI - Geographic Clustering of Cardiometabolic Risk Factors in Metropolitan Centres in
France and Australia.
AB - Understanding how health outcomes are spatially distributed represents a first
step in investigating the scale and nature of environmental influences on health
and has important implications for statistical power and analytic efficiency.
Using Australian and French cohort data, this study aimed to describe and compare
the extent of geographic variation, and the implications for analytic efficiency,
across geographic units, countries and a range of cardiometabolic parameters
(Body Mass Index (BMI) waist circumference, blood pressure, resting heart rate,
triglycerides, cholesterol, glucose, HbA1c). Geographic clustering was assessed
using Intra-Class Correlation (ICC) coefficients in biomedical cohorts from
Adelaide (Australia, n = 3893) and Paris (France, n = 6430) for eight geographic
administrative units. The median ICC was 0.01 suggesting 1% of risk factor
variance attributable to variation between geographic units. Clustering differed
by cardiometabolic parameters, administrative units and countries and was
greatest for BMI and resting heart rate in the French sample, HbA1c in the
Australian sample, and for smaller geographic units. Analytic inefficiency due to
clustering was greatest for geographic units in which participants were nested in
fewer, larger geographic units. Differences observed in geographic clustering
across risk factors have implications for choice of geographic unit in sampling
and analysis, and highlight potential cross-country differences in the
distribution, or role, of environmental features related to cardiometabolic
health.
PMID- 27213425
TI - Pediatric and Adult High-Grade Glioma Stem Cell Culture Models Are Permissive to
Lytic Infection with Parvovirus H-1.
AB - Combining virus-induced cytotoxic and immunotherapeutic effects, oncolytic
virotherapy represents a promising therapeutic approach for high-grade glioma
(HGG). A clinical trial has recently provided evidence for the clinical safety of
the oncolytic parvovirus H-1 (H-1PV) in adult glioblastoma relapse patients. The
present study assesses the efficacy of H-1PV in eliminating HGG initiating cells.
H-1PV was able to enter and to transduce all HGG neurosphere culture models (n =
6), including cultures derived from adult glioblastoma, pediatric glioblastoma,
and diffuse intrinsic pontine glioma. Cytotoxic effects induced by the virus have
been observed in all HGG neurospheres at half maximal inhibitory concentration
(IC50) doses of input virus between 1 and 10 plaque forming units per cell. H-1PV
infection at this dose range was able to prevent tumorigenicity of NCH421k
glioblastoma multiforme (GBM) "stem-like" cells in NOD/SCID mice. Interestingly
NCH421R, an isogenic subclone with equal capacity of xenograft formation, but
resistant to H-1PV infection could be isolated from the parental NCH421k culture.
To reveal changes in gene expression associated with H-1PV resistance we
performed a comparative gene expression analysis in these subclones. Several
dysregulated genes encoding receptor proteins, endocytosis factors or regulators
innate antiviral responses were identified and represent intriguing candidates
for to further study molecular mechanisms of H-1PV resistance.
PMID- 27213426
TI - A New Orbivirus Isolated from Mosquitoes in North-Western Australia Shows
Antigenic and Genetic Similarity to Corriparta Virus but Does Not Replicate in
Vertebrate Cells.
AB - The discovery and characterisation of new mosquito-borne viruses provides
valuable information on the biodiversity of vector-borne viruses and important
insights into their evolution. In this study, a broad-spectrum virus screening
system, based on the detection of long double-stranded RNA in inoculated cell
cultures, was used to investigate the presence of novel viruses in mosquito
populations of northern Australia. We detected and isolated a new virus
(tentatively named Parry's Lagoon virus, PLV) from Culex annulirostris, Culex
pullus, Mansonia uniformis and Aedes normanensis mosquitoes that shares genomic
sequence similarities to Corriparta virus (CORV), a member of the Orbivirus genus
of the family Reoviridae. Despite moderate to high (72.2% to 92.2%) amino acid
identity across all proteins when compared to CORV, and demonstration of
antigenic relatedness, PLV did not replicate in several vertebrate cell lines
that were permissive to CORV. This striking phenotypic difference suggests that
PLV has evolved to have a very restricted host range, indicative of a mosquito
only life cycle.
PMID- 27213427
TI - Porcine Circovirus Type 2 Activates CaMMKbeta to Initiate Autophagy in PK-15
Cells by Increasing Cytosolic Calcium.
AB - Porcine circovirus type 2 (PCV2) induces autophagy via the 5' adenosine
monophosphate-activated protein kinase (AMPK)/extracellular signal-regulated
kinase (ERK)/tuberous sclerosis complex 2 (TSC2)/mammalian target of rapamycin
(mTOR) pathway in pig kidney PK-15 cells. However, the underlying mechanisms of
AMPK activation in autophagy induction remain unknown. With specific inhibitors
and RNA interference (RNAi), we show that PCV2 infection upregulated
calcium/calmodulin-dependent protein kinase kinase-beta (CaMKKbeta) by increasing
cytosolic Ca(2+) via inositol 1,4,5-trisphosphate receptor (IP3R). Elevation of
cytosolic calcium ion (Ca(2+)) did not seem to involve inositol 1,4,5
trisphosphate (IP3) release from phosphatidylinositol 4,5-bisphosphate (PIP2) by
phosphoinositide phospholipase C-gamma (PLC-gamma). CaMKKbeta then activated both
AMPK and calcium/calmodulin-dependent protein kinase I (CaMKI). PCV2 employed
CaMKI and Trp-Asp (WD) repeat domain phosphoinositide-interacting protein 1
(WIPI1) as another pathway additional to AMPK signaling in autophagy initiation.
Our findings could help better understanding of the signaling pathways of
autophagy induction as part of PCV2 pathogenesis. Further research is warranted
to study if PCV2 interacts directly with IP3R or indirectly with the molecules
that antagonize IP3R activity responsible for increased cytosolic Ca(2+) both in
PK-15 cells and PCV2-targeted primary cells from pigs.
PMID- 27213428
TI - Mechanisms of Cellular Membrane Reorganization to Support Hepatitis C Virus
Replication.
AB - Like all positive-sense RNA viruses, hepatitis C virus (HCV) induces host
membrane alterations for its replication termed the membranous web (MW).
Assembling replication factors at a membranous structure might facilitate the
processes necessary for genome replication and packaging and shield viral
components from host innate immune defenses. The biogenesis of the HCV MW is a
complex process involving a concerted effort of HCV nonstructural proteins with a
growing list of host factors. Although a comprehensive understanding of MW
formation is still missing, a number of important viral and host determinants
have been identified. This review will summarize the recent studies that have led
to our current knowledge of the role of viral and host factors in the biogenesis
of the MWs and discuss how HCV uses this specialized membrane structure for its
replication.
PMID- 27213429
TI - The Rabies Virus L Protein Catalyzes mRNA Capping with GDP
Polyribonucleotidyltransferase Activity.
AB - The large (L) protein of rabies virus (RABV) plays multiple enzymatic roles in
viral RNA synthesis and processing. However, none of its putative enzymatic
activities have been directly demonstrated in vitro. In this study, we expressed
and purified a recombinant form of the RABV L protein and verified its guanosine
5'-triphosphatase and GDP polyribonucleotidyltransferase (PRNTase) activities,
which are essential for viral mRNA cap formation by the unconventional mechanism.
The RABV L protein capped 5'-triphosphorylated but not 5'-diphosphorylated RABV
mRNA-start sequences, 5'-AACA(C/U), with GDP to generate the 5'-terminal cap
structure G(5')ppp(5')A. The 5'-AAC sequence in the substrate RNAs was found to
be strictly essential for RNA capping with the RABV L protein. Furthermore, site
directed mutagenesis showed that some conserved amino acid residues (G1112,
T1170, W1201, H1241, R1242, F1285, and Q1286) in the PRNTase motifs A to E of the
RABV L protein are required for cap formation. These findings suggest that the
putative PRNTase domain in the RABV L protein catalyzes the rhabdovirus-specific
capping reaction involving covalent catalysis of the pRNA transfer to GDP, thus
offering this domain as a target for developing anti-viral agents.
PMID- 27213431
TI - Biological Characteristics of Experimental Genotype Mixtures of Cydia Pomonella
Granulovirus (CpGV): Ability to Control Susceptible and Resistant Pest
Populations.
AB - The detection of resistance in codling moth (Cydia pomonella) populations against
the Mexican isolate of its granulovirus (CpGV-M), raised questions on the
sustainability of the use of this biological insecticide. In resistant host
cells, CpGV-M is not able to complete its replication cycle because replication
is blocked at an early step. Virus isolates able to overcome this resistance have
been characterized-among them, the CpGV-R5 isolate. In mixed infections on
resistant insects, both CpGV-M and CpGV-R5 viruses replicate, while CpGV-M alone
does not induce mortality. Genetically heterogeneous virus populations,
containing 50% of each CpGV-M and CpGV-R5 appear to control resistant host
populations as well as CpGV-R5 alone at the same final concentration, even if the
concentration of CpGV-R5 is only half in the former. The use of mixed genotype
virus preparations instead of genotypically homogeneous populations may
constitute a better approach than traditional methods for the development of
baculovirus-based biological insecticides.
PMID- 27213430
TI - Early Bunyavirus-Host Cell Interactions.
AB - The Bunyaviridae is the largest family of RNA viruses, with over 350 members
worldwide. Several of these viruses cause severe diseases in livestock and
humans. With an increasing number and frequency of outbreaks, bunyaviruses
represent a growing threat to public health and agricultural productivity
globally. Yet, the receptors, cellular factors and endocytic pathways used by
these emerging pathogens to infect cells remain largely uncharacterized. The
focus of this review is on the early steps of bunyavirus infection, from virus
binding to penetration from endosomes. We address current knowledge and advances
for members from each genus in the Bunyaviridae family regarding virus receptors,
uptake, intracellular trafficking and fusion.
PMID- 27213432
TI - HACE1 Negatively Regulates Virus-Triggered Type I IFN Signaling by Impeding the
Formation of the MAVS-TRAF3 Complex.
AB - During virus infection, the cascade signaling pathway that leads to the
production of proinflammatory cytokines is controlled at multiple levels to avoid
detrimental overreaction. HACE1 has been characterized as an important tumor
suppressor. Here, we identified HACE1 as an important negative regulator of virus
triggered type I IFN signaling. Overexpression of HACE1 inhibited Sendai virus-
or poly (I:C)-induced signaling and resulted in reduced IFNB1 production and
enhanced virus replication. Knockdown of HACE1 expression exhibited the opposite
effects. Ubiquitin E3 ligase activity of the dead mutant HACE1/C876A had a
comparable inhibitory function as WT HACE1, suggesting that the suppressive
function of HACE1 on virus-induced signaling is independent of its E3 ligase
activity. Further study indicated that HACE1 acted downstream of MAVS and
upstream of TBK1. Mechanistic studies showed that HACE1 exerts its inhibitory
role on virus-induced signaling by disrupting the MAVS-TRAF3 complex. Therefore,
we uncovered a novel function of HACE1 in innate immunity regulation.
PMID- 27213433
TI - Use of Reporter Genes in the Generation of Vaccinia Virus-Derived Vectors.
AB - Vaccinia virus (VACV) is one of the most extensively-studied viruses of the
Poxviridae family. It is easy to genetically modify, so it has become a key tool
for many applications. In this context, reporter genes facilitate the study of
the role of foreign genes introduced into the genome of VACV. In this review, we
describe the type of reporter genes that have been used to generate reporter
expressing VACV and the applications of the recombinant viruses obtained.
Reporter-expressing VACV are currently employed in basic and immunology research,
in the development of vaccines and cancer treatment.
PMID- 27213434
TI - Nanomilling of Drugs for Bioavailability Enhancement: A Holistic Formulation
Process Perspective.
AB - Preparation of drug nanoparticles via wet media milling (nanomilling) is a very
versatile drug delivery platform and is suitable for oral, injectable, inhalable,
and buccal applications. Wet media milling followed by various drying processes
has become a well-established and proven formulation approach especially for
bioavailability enhancement of poorly water-soluble drugs. It has several
advantages such as organic solvent-free processing, tunable and relatively high
drug loading, and applicability to a multitude of poorly water-soluble drugs.
Although the physical stability of the wet-milled suspensions (nanosuspensions)
has attracted a lot of attention, fundamental understanding of the process has
been lacking until recently. The objective of this review paper is to present
fundamental insights from available published literature while summarizing the
recent advances and highlighting the gap areas that have not received adequate
attention. First, stabilization by conventionally used polymers/surfactants and
novel stabilizers is reviewed. Then, a fundamental understanding of the process
parameters, with a focus on wet stirred media milling, is revealed based on
microhydrodynamic models. This review is expected to bring a holistic formulation
process perspective to the nanomilling process and pave the way for robust
process development scale-up. Finally, challenges are indicated with a view to
shedding light on future opportunities.
PMID- 27213435
TI - Stabilizing Agents for Drug Nanocrystals: Effect on Bioavailability.
AB - Drug nanocrystals are a versatile option for drug delivery purposes, and while
the number of poorly soluble drug materials is all the time increasing, more
research in this area is performed. Drug nanocrystals have a simple structure-a
solid drug core is surrounded by a layer of stabilizing agent. However, despite
the considerably simple structure, the selection of an appropriate stabilizer for
a certain drug can be challenging. Mostly, the stabilizer selection is based
purely on the requirement of physical stability, e.g., maintaining the nanosized
particle size as long as possible after the formation of drug nanocrystals.
However, it is also worth taking into account that stabilizer can affect the
bioavailability in the final formulation via interactions with cells and cell
layers. In addition, formation of nanocrystals is only one process step, and for
the final formulation, more excipients are often added to the composition. The
role of the stabilizers in the final formulation can be more than only
stabilizing the nanocrystal particle size. A good example is the stabilizer's
role as cryoprotectant during freeze drying. In this review, the stabilizing
effect, role of stabilizers in final nanocrystalline formulations, challenges in
reaching in vitro-in vivo correlation with nanocrystalline products, and
stabilizers' effect on higher bioavailability are discussed.
PMID- 27213436
TI - Hormonal and Thirst Modulated Maintenance of Fluid Balance in Young Women with
Different Levels of Habitual Fluid Consumption.
AB - BACKGROUND: Surprisingly little is known about the physiological and perceptual
differences of women who consume different volumes of water each day. The
purposes of this investigation were to (a) analyze blood osmolality, arginine
vasopressin (AVP), and aldosterone; (b) assess the responses of physiological,
thirst, and hydration indices; and (c) compare the responses of individuals with
high and low total water intake (TWI; HIGH and LOW, respectively) when consuming
similar volumes of water each day and when their habitual total water intake was
modified. METHODS: In a single-blind controlled experiment, we measured the 24 h
total water intake (TWI; water + beverages + food moisture) of 120 young women.
Those who consumed the highest (HIGH, 3.2 +/- 0.6 L.day(-1), mean +/- SD) and the
lowest (LOW, 1.6 +/- 0.5 L.day(-1)) mean habitual TWI were identified and
compared. Outcome variables were measured during two ad libitum baseline days, a
four-day intervention of either decreased TWI (HIGH) or increased TWI (LOW), and
one ad libitum recovery day. RESULTS: During the four-day intervention, HIGH and
LOW experienced differences in thirst (p = 0.002); also, a statistically
significant change of AVP occurred (main effect of TWI and day, p < 0.001), with
no effect (TWI or day) on aldosterone and serum osmolality. Urine osmolality and
volume distinguished HIGH from LOW (p = 0.002) when they consumed similar 24 h
TWI.
PMID- 27213437
TI - Asiatic Acid Prevents the Deleterious Effects of Valproic Acid on Cognition and
Hippocampal Cell Proliferation and Survival.
AB - Valproic acid (VPA) is commonly prescribed as an anticonvulsant and mood
stabilizer used in the treatment of epilepsy and bipolar disorder. A recent study
has demonstrated that VPA reduces histone deacetylase (HDAC) activity, an action
which is believed to contribute to the effects of VPA on neural stem cell
proliferation and differentiation which may explain the cognitive impairments
produced in rodents and patients. Asiatic acid is a triterpenoid derived from the
medicinal plant Centella asiatica. Our previous study has shown that Asiatic acid
improves working spatial memory and increases cell proliferation in the sub
granular zone of the hippocampal dentate gyrus. In the present study we
investigate the effects of Asiatic acid in preventing the memory and cellular
effects of VPA. Male Spraque-Dawley rats were orally administered Asiatic acid
(30 mg/kg/day) for 28 days, while VPA-treated animals received injections of VPA
(300 mg/kg) twice a day from Day 15 to Day 28 for 14 days. Spatial memory was
determined using the novel object location (NOL) test and hippocampal cell
proliferation and survival was quantified by immuostaining for Ki-67 and
Bromodeoxyuridine (BrdU), respectively. The results showed that VPA-treated
animals were unable to discriminate between objects in familiar and novel
locations. Moreover, VPA significantly reduced numbers of Ki-67 and BrdU positive
cells. These results indicate that VPA treatment caused impairments of spatial
working memory, cell proliferation and survival in the subgranular zone (SGZ) of
the hippocampal dentate gyrus (DG). However, these abnormalities were restored to
control levels by co-treatment with Asiatic acid. These data demonstrate that
Asiatic acid could prevent the spatial memory and neurogenesis impairments caused
by VPA.
PMID- 27213438
TI - Dietary Patterns in Pregnancy in New Zealand-Influence of Maternal Socio
Demographic, Health and Lifestyle Factors.
AB - Exploration of dietary pattern associations within a multi-ethnic society context
has been limited. We aimed to describe dietary patterns of 5664 pregnant women
from the Growing Up in New Zealand study, and investigate associations between
these patterns and maternal socio-demographic, place of birth, health and
lifestyle factors. Participants completed a food frequency questionnaire prior to
the birth of their child. Principal components analysis was used to extract
dietary patterns and multivariable analyses used to determine associations. Four
dietary components were extracted. Higher scores on, 'Junk' and
'Traditional/White bread', were associated with decreasing age, lower educational
levels, being of Pacific or Maori ethnicity and smoking. Higher scores on,
'Health conscious' and 'Fusion/Protein', were associated with increasing age,
better self-rated health, lower pre-pregnancy body mass index (BMI) and not
smoking. Higher scores on 'Junk' and 'Health conscious' were associated with
being born in New Zealand (NZ), whereas higher scores on 'Fusion/Protein' was
associated with being born outside NZ and being of non-European ethnicity,
particularly Asian. High scores on the 'Health conscious' dietary pattern showed
the highest odds of adherence to the pregnancy dietary guidelines. In this cohort
of pregnant women different dietary patterns were associated with migration,
ethnicity, socio-demographic characteristics, health behaviors and adherence to
dietary guidelines.
PMID- 27213439
TI - Apigenin Ameliorates Dyslipidemia, Hepatic Steatosis and Insulin Resistance by
Modulating Metabolic and Transcriptional Profiles in the Liver of High-Fat Diet
Induced Obese Mice.
AB - Several in vitro and in vivo studies have reported the anti-inflammatory, anti
diabetic and anti-obesity effects of the flavonoid apigenin. However, the long
term supplementary effects of low-dose apigenin on obesity are unclear.
Therefore, we investigated the protective effects of apigenin against obesity and
related metabolic disturbances by exploring the metabolic and transcriptional
responses in high-fat diet (HFD)-induced obese mice. C57BL/6J mice were fed an
HFD or apigenin (0.005%, w/w)-supplemented HFD for 16 weeks. In HFD-fed mice,
apigenin lowered plasma levels of free fatty acid, total cholesterol,
apolipoprotein B and hepatic dysfunction markers and ameliorated hepatic
steatosis and hepatomegaly, without altering food intake and adiposity. These
effects were partly attributed to upregulated expression of genes regulating
fatty acid oxidation, tricarboxylic acid cycle, oxidative phosphorylation,
electron transport chain and cholesterol homeostasis, downregulated expression of
lipolytic and lipogenic genes and decreased activities of enzymes responsible for
triglyceride and cholesterol ester synthesis in the liver. Moreover, apigenin
lowered plasma levels of pro-inflammatory mediators and fasting blood glucose.
The anti-hyperglycemic effect of apigenin appeared to be related to decreased
insulin resistance, hyperinsulinemia and hepatic gluconeogenic enzymes
activities. Thus, apigenin can ameliorate HFD-induced comorbidities via metabolic
and transcriptional modulations in the liver.
PMID- 27213440
TI - The Effect of Gestational and Lactational Age on the Human Milk Metabolome.
AB - Human milk is the ideal nutrition source for healthy infants during the first six
months of life and a detailed characterisation of the composition of milk from
mothers that deliver prematurely (<37 weeks gestation), and of how human milk
changes during lactation, would benefit our understanding of the nutritional
requirements of premature infants. Individual milk samples from mothers
delivering prematurely and at term were collected. The human milk metabolome,
established by nuclear magnetic resonance (NMR) spectroscopy, was influenced by
gestational and lactation age. Metabolite profiling identified that levels of
valine, leucine, betaine, and creatinine were increased in colostrum from term
mothers compared with mature milk, while those of glutamate, caprylate, and
caprate were increased in mature term milk compared with colostrum. Levels of
oligosaccharides, citrate, and creatinine were increased in pre-term colostrum,
while those of caprylate, caprate, valine, leucine, glutamate, and pantothenate
increased with time postpartum. There were differences between pre-term and full
term milk in the levels of carnitine, caprylate, caprate, pantothenate, urea,
lactose, oligosaccharides, citrate, phosphocholine, choline, and formate. These
findings suggest that the metabolome of pre-term milk changes within 5-7 weeks
postpartum to resemble that of term milk, independent of time of gestation at pre
mature delivery.
PMID- 27213441
TI - Short-Term Preoperative Calorie and Protein Restriction Is Feasible in Healthy
Kidney Donors and Morbidly Obese Patients Scheduled for Surgery.
AB - INTRODUCTION: Surgery-induced oxidative stress increases the risk of
perioperative complications and delay in postoperative recovery. In mice, short
term preoperative dietary and protein restriction protect against oxidative
stress. We investigated the feasibility of a calorie- and protein-restricted diet
in two patient populations. METHODS: In this pilot study, 30 live kidney donors
and 38 morbidly obese patients awaiting surgery were randomized into three
groups: a restricted diet group, who received a synthetic liquid diet with 30%
fewer calories and 80% less protein for five consecutive days; a group who
received a synthetic diet containing the daily energy requirements (DER); and a
control group. Feasibility was assessed using self-reported discomfort, body
weight changes, and metabolic parameters in blood samples. RESULTS: Twenty
patients (71%) complied with the restricted and 13 (65%) with the DER-diet. In
total, 68% of the patients reported minor discomfort that resolved after normal
eating resumed. The mean weight loss on the restricted diet was significantly
greater (2.4 kg) than in the control group (0 kg, p = 0.002), but not in the DER
diet (1.5 kg). The restricted diet significantly reduced levels of serum urea and
plasma prealbumin (PAB) and retinol binding protein (RBP). CONCLUSIONS: A short
term preoperative calorie- and protein-restricted diet is feasible in kidney
donors and morbidly obese patients. Compliance is high and can be objectively
measured via changes in urea, PAB, and RBP levels. These results demonstrate that
this diet can be used to study the effects of dietary restriction on surgery
induced oxidative stress in a clinical setting.
PMID- 27213442
TI - Epigallocatechin Gallate Nanodelivery Systems for Cancer Therapy.
AB - Cancer is one of the leading causes of morbidity and mortality all over the
world. Conventional treatments, such as chemotherapy, are generally expensive,
highly toxic and lack efficiency. Cancer chemoprevention using phytochemicals is
emerging as a promising approach for the treatment of early carcinogenic
processes. (-)-Epigallocatechin-3-gallate (EGCG) is the major bioactive
constituent in green tea with numerous health benefits including anti-cancer
activity, which has been intensively studied. Besides its potential for
chemoprevention, EGCG has also been shown to synergize with common anti-cancer
agents, which makes it a suitable adjuvant in chemotherapy. However, limitations
in terms of stability and bioavailability have hampered its application in
clinical settings. Nanotechnology may have an important role in improving the
pharmacokinetic and pharmacodynamics of EGCG. Indeed, several studies have
already reported the use of nanoparticles as delivery vehicles of EGCG for cancer
therapy. The aim of this article is to discuss the EGCG molecule and its
associated health benefits, particularly its anti-cancer activity and provide an
overview of the studies that have employed nanotechnology strategies to enhance
EGCG's properties and potentiate its anti-tumoral activity.
PMID- 27213443
TI - The Association of Dietary l-Arginine Intake and Serum Nitric Oxide Metabolites
in Adults: A Population-Based Study.
AB - This study was conducted to investigate whether regular dietary intake of l
arginine is associated with serum nitrate + nitrite (NOx). In this cross
sectional study, 2771 men and women, who had participated in the third
examination of the Tehran Lipid and Glucose Study (2006-2008), were recruited.
Demographics, anthropometrics and biochemical variables were evaluated. Dietary
data were collected using a validated 168-food item semi-quantitative food
frequency questionnaire and dietary intake of l-arginine was calculated. To
determine any association between dietary l-arginine and serum NOx, linear
regression models with adjustment for potential confounders were used. Mean age
of participants (39.2% men) was 45.9 +/- 15.9 years. After adjustment for all
potential confounding variables, a significant positive association was observed
between l-arginine intake and serum NOx concentrations in the fourth quartile of
l-arginine (beta = 6.63, 95% CI = 4.14, 9.12, p for trend = 0.001), an
association stronger in women. Further analysis, stratified by age, body mass
index and hypertension status categories, showed a greater association in middle
aged and older adults (beta = 9.12, 95% CI = 3.99, 13.6 and beta = 12.1, 95% CI =
6.48, 17.7, respectively). l-arginine intakes were also strongly associated with
serum NOx levels in overweight and obese subjects in the upper quartile (beta =
10.7, 95% CI = 5.43, 16.0 and beta = 11.0, 95% CI = 4.29, 17.5); a greater
association was also observed between l-arginine intakes and serum NOx in non
hypertensive (HTN) compared to HTN subjects (beta = 2.65, 95% CI = 2.1-3.2 vs.
beta = 1.25, 95% CI = -1.64-4.15). Dietary l-arginine intakes were associated to
serum NOx and this association may be affected by sex, age, body mass index, and
hypertension status.
PMID- 27213444
TI - Does Maternal Vitamin D Deficiency Increase the Risk of Preterm Birth: A Meta
Analysis of Observational Studies.
AB - There are disagreements among researchers about the association between vitamin D
deficiency during pregnancy and preterm birth (PTB). Therefore, we conducted a
meta-analysis of observational studies to evaluate this association. We performed
a systematic literature search of PubMed, MEDLINE and the Cochrane Library
through August 2015 with the following keywords: "vitamin D" or "cholecalciferol"
or "25-hydroxyvitamin D" or "25(OH)D" in combination with "premature birth" or
"preterm birth" or "PTB" or "preterm delivery" or "PTD" or "prematurity". Our
meta-analysis of 10 studies included 10,098 participants and found that pregnant
women with vitamin D deficiency (maternal serum 25 (OH) D levels < 20 ng/mL)
experienced a significantly increased risk of PTB (odds ratio (OR) = 1.29, 95%
confidence intervals(CI): 1.16, 1.45) with low heterogeneity (I2 = 25%, p =
0.21). Sensitivity analysis showed that exclusion of any single study did not
materially alter the overall combined effect. In the subgroup analyses, we found
that heterogeneity was obvious in prospective cohort studies (I2 = 60%, p =
0.06). In conclusion, pregnant women with vitamin D deficiency during pregnancy
have an increasing risk of PTB.
PMID- 27213446
TI - Evaluating Crossbred Red Rice Variants for Postprandial Glucometabolic Responses:
A Comparison with Commercial Varieties.
AB - Consumption of white rice predisposes some Asian populations to increased risk of
type 2 diabetes. We compared the postprandial glucometabolic responses to three
newly-developed crossbred red rice variants (UKMRC9, UKMRC10, UKMRC11) against
three selected commercial rice types (Thai red, Basmati white, Jasmine white)
using 50-g carbohydrate equivalents provided to 12 normoglycaemic adults in a
crossover design. Venous blood was drawn fasted and postprandially for three
hours. Glycaemic (GI) and insulin (II) indices, incremental areas-under-the
curves for glucose and insulin (IAUCins), indices of insulin sensitivity and
secretion, lactate and peptide hormones (motilin, neuropeptide-Y, orexin-A) were
analyzed. The lowest to highest trends for GI and II were similar i.e., UKMRC9 <
Basmati < Thai red < UKMRC10 < UKMRC11 < Jasmine. Postprandial insulinaemia and
IAUCins of only UKMRC9 were significantly the lowest compared to Jasmine. Crude
protein and fiber content correlated negatively with the GI values of the test
rice. Although peptide hormones were not associated with GI and II
characteristics of test rice, early and late phases of prandial neuropeptide-Y
changes were negatively correlated with postprandial insulinaemia. This study
indicated that only UKMRC9 among the new rice crossbreeds could serve as an
alternative cereal option to improve diet quality of Asians with its lowest
glycaemic and insulinaemic burden.
PMID- 27213445
TI - The Possible Role of Flavonoids in the Prevention of Diabetic Complications.
AB - Type 2 diabetes mellitus is a disease that affects many metabolic pathways. It is
associated with insulin resistance, impaired insulin signaling, beta-cell
dysfunction, abnormal glucose levels, altered lipid metabolism, sub-clinical
inflammation and increased oxidative stress. These and other unknown mechanisms
lead to micro- and macro-complications, such as neuropathy, retinopathy,
nephropathy and cardiovascular disease. Based on several in vitro animal models
and some human studies, flavonoids appear to play a role in many of the metabolic
processes involved in type 2 diabetes mellitus. In this review, we seek to
highlight the most recent papers focusing on the relationship between flavonoids
and main diabetic complications.
PMID- 27213447
TI - A Randomised, Cross-Over Study to Estimate the Influence of Food on the 25
Hydroxyvitamin D3 Serum Level after Vitamin D3 Supplementation.
AB - Vitamin D3 is known to be liposoluble and its release could be a factor limiting
the rate of absorption. It was presumed that the presence of fat could favor
absorption of vitamin D3. However, as bioavailability is related not only to the
active molecules but also to the formulations and excipients used, the
optimization of the pharmaceutical form of vitamin D3 is also important. The
objective of this study was to evaluate if there is a food effect on absorption
when a high dose of vitamin D3 is completely solubilized in an oily solution. In
the present cross-over study, 88 subjects were randomized and received a single
dose of 50,000 IU of vitamin D3 in fasting state or with a standardized high-fat
breakfast. Assessment of serum concentrations of 25 hydroxyvitamin D3 (25(OH)D3)
was performed three, five, seven, 14, 30 and 60 days after supplementation. In
fed and fast conditions, the 25(OH)D3 serum concentrations were significantly
higher than the baseline value three days after administration and remained
significantly higher during the first month. No significant difference between
fasting vs. fed conditions was observed. It is therefore concluded that the
vitamin D3 absorption from an oily solution was not influenced by the presence or
absence of a meal.
PMID- 27213448
TI - A Correlation Study of DHA Dietary Intake and Plasma, Erythrocyte and Breast Milk
DHA Concentrations in Lactating Women from Coastland, Lakeland, and Inland Areas
of China.
AB - We aimed to assess the correlation between docosahexaenoic acid (DHA) dietary
intake and the plasma, erythrocyte and breast milk DHA concentrations in
lactating women residing in the coastland, lakeland and inland areas of China. A
total of 408 healthy lactating women (42 +/- 7 days postpartum) were recruited
from four hospitals located in Weihai (coastland), Yueyang (lakeland) and Baotou
(inland) city. The categories of food containing DHA, the average amount consumed
per time and the frequency of consumption in the past month were assessed by a
tailored DHA food frequency questionnaire, the DHA Intake Evaluation Tool (DIET).
DHA dietary intake (mg/day) was calculated according to the Chinese Food
Composition Table (Version 2009). In addition, fasting venous blood (5 mL) and
breast milk (10 mL) were collected from lactating women. DHA concentrations in
plasma, erythrocyte and breast milk were measured using capillary gas
chromatography, and were reported as absolute concentration (MUg/mL) and relative
concentration (weight percent of total fatty acids, wt. %). Spearman correlation
coefficients were used to assess the correlation between intakes of DHA and its
concentrations in biological specimens. The study showed that the breast milk,
plasma and erythrocyte DHA concentrations were positively correlated with DHA
dietary intake; corresponding correlation coefficients were 0.36, 0.36 and 0.24
for relative concentration and 0.33, 0.32, and 0.18 for absolute concentration (p
< 0.05). The median DHA dietary intake varied significantly across areas (p <
0.05), which was highest in the coastland (24.32 mg/day), followed by lakeland
(13.69 mg/day), and lowest in the inland (8.84 mg/day). The overall relative and
absolute DHA concentrations in breast milk were 0.36% +/- 0.23% and 141.49 +/-
107.41 MUg/mL; the concentrations were significantly lower in inland women than
those from coastland and lakeland. We conclude that DHA dietary intake is
positively correlated with DHA concentrations in blood and breast milk in Chinese
lactating women, suggesting that the tailored DHA food frequency questionnaire,
DIET, is a valid tool for the assessment of DHA dietary intake.
PMID- 27213449
TI - The Role of Avocados in Maternal Diets during the Periconceptional Period,
Pregnancy, and Lactation.
AB - Maternal nutrition plays a crucial role in influencing fertility, fetal
development, birth outcomes, and breast milk composition. During the critical
window of time from conception through the initiation of complementary feeding,
the nutrition of the mother is the nutrition of the offspring-and a mother's
dietary choices can affect both the early health status and lifelong disease risk
of the offspring. Most health expert recommendations and government-sponsored
dietary guidelines agree that a healthy diet for children and adults (including
those who are pregnant and/or lactating) should include an abundance of nutrient
rich foods such as fruits and vegetables. These foods should contain a variety of
essential nutrients as well as other compounds that are associated with lower
disease risk such as fiber and bioactives. However, the number and amounts of
nutrients varies considerably among fruits and vegetables, and not all fruit and
vegetable options are considered "nutrient-rich". Avocados are unique among
fruits and vegetables in that, by weight, they contain much higher amounts of the
key nutrients folate and potassium, which are normally under-consumed in maternal
diets. Avocados also contain higher amounts of several non-essential compounds,
such as fiber, monounsaturated fats, and lipid-soluble antioxidants, which have
all been linked to improvements in maternal health, birth outcomes and/or breast
milk quality. The objective of this report is to review the evidence that
avocados may be a unique nutrition source for pregnant and lactating women and,
thus, should be considered for inclusion in future dietary recommendations for
expecting and new mothers.
PMID- 27213450
TI - The Role of Avocados in Complementary and Transitional Feeding.
AB - Infant dietary patterns tend to be insufficient sources of fruits, vegetables,
and fiber, as well as excessive in salt, added sugars, and overall energy.
Despite the serious long-term health risks associated with suboptimal fruit and
vegetable intake, a large percentage of infants and toddlers in the U.S. do not
consume any fruits or vegetables on a daily basis. Since not all fruits and
vegetables are nutritionally similar, guidance on the optimal selection of fruits
and vegetables should emphasize those with the greatest potential for nutrition
and health benefits. A challenge is that the most popularly consumed fruits for
this age group (i.e., apples, pears, bananas, grapes, strawberries) do not
closely fit the current general recommendations since they tend to be overly
sweet and/or high in sugar. Unsaturated oil-containing fruits such as avocados
are nutritionally unique among fruits in that they are lower in sugar and higher
in fiber and monounsaturated fatty acids than most other fruits, and they also
have the proper consistency and texture for first foods with a neutral flavor
spectrum. Taken together, avocados show promise for helping to meet the dietary
needs of infants and toddlers, and should be considered for inclusion in future
dietary recommendations for complementary and transitional feeding.
PMID- 27213452
TI - Comparison of Droplet Digital PCR and qPCR for the Quantification of Shiga Toxin
Producing Escherichia coli in Bovine Feces.
AB - Cattle are considered to be the main reservoir for Shiga toxin-producing
Escherichia coli (STEC) and are often the direct or indirect source of STEC
outbreaks in humans. Accurate measurement of the concentration of shed STEC in
cattle feces could be a key answer to questions concerning transmission of STEC,
contamination sources and efficiency of treatments at farm level. Infected
animals can be identified and the contamination level quantified by real-time
quantitative PCR (qPCR), which has its specific limitations. Droplet digital PCR
(ddPCR) has been proposed as a method to overcome many of the drawbacks of qPCR.
This end-point amplification PCR is capable of absolute quantification
independent from any reference material and is less prone to PCR inhibition than
qPCR. In this study, the qPCR-based protocol described by Verstraete et al.
(2014) for Shiga toxin genes stx1 and stx2 and the intimin gene eae
quantification was optimized for ddPCR analysis. The properties of ddPCR and qPCR
using two different mastermixes (EMM: TaqMan((r)) Environmental Master Mix 2.0;
UMM: TaqMan((r)) Universal PCR Master Mix) were evaluated, using standard curves
and both artificial and natural contaminated cattle fecal samples. In addition,
the susceptibility of these assays to PCR-inhibitors was investigated. Evaluation
of the standard curves and both artificial and natural contaminated cattle fecal
samples suggested a very good agreement between qPCR using EMM and ddPCR.
Furthermore, similar sensitivities and no PCR inhibition were recorded for both
assays. On the other hand, qPCR using UMM was clearly prone to PCR inhibition. In
conclusion, the ddPCR technique shows potential for the accurate absolute
quantification of STEC on the farms, without relying on standardized reference
material.
PMID- 27213451
TI - Variation in the Oral Processing of Everyday Meals Is Associated with Fullness
and Meal Size; A Potential Nudge to Reduce Energy Intake?
AB - Laboratory studies have demonstrated that experimental manipulations of oral
processing can have a marked effect on energy intake. Here, we explored whether
variations in oral processing across a range of unmodified everyday meals could
affect post-meal fullness and meal size. In Study 1, female participants (N = 12)
attended the laboratory over 20 lunchtime sessions to consume a 400-kcal portion
of a different commercially available pre-packaged meal. Prior to consumption,
expected satiation was assessed. During each meal, oral processing was
characterised using: (i) video-recordings of the mouth and (ii) real-time
measures of plate weight. Hunger and fullness ratings were elicited pre- and post
consumption, and for a further three hours. Foods that were eaten slowly had
higher expected satiation and delivered more satiation and satiety. Building on
these findings, in Study 2 we selected two meals (identical energy density) from
Study 1 that were equally liked but maximised differences in oral processing. On
separate days, male and female participants (N = 24) consumed a 400-kcal portion
of either the "fast" or "slow" meal followed by an ad libitum meal (either the
same food or a dessert). When continuing with the same food, participants
consumed less of the slow meal. Further, differences in food intake during the ad
libitum meal were not compensated at a subsequent snacking opportunity an hour
later. Together, these findings suggest that variations in oral processing across
a range of unmodified everyday meals can affect fullness after consuming a fixed
portion and can also impact meal size. Modifying food form to encourage increased
oral processing (albeit to a lesser extent than in experimental manipulations)
might represent a viable target for food manufacturers to help to nudge consumers
to manage their weight.
PMID- 27213453
TI - Improved Tissue-Based Analytical Test Methods for Orellanine, a Biomarker of
Cortinarius Mushroom Intoxication.
AB - Orellanine (OR) toxin is produced by mushrooms of the genus Cortinarius which
grow in North America and in Europe. OR poisoning is characterized by severe
oliguric acute renal failure, with a mortality rate of 10%-30%. Diagnosis of OR
poisoning currently hinges on a history of ingestion of Cortinarius mushrooms and
histopathology of renal biopsies. A key step in the diagnostic approach is
analysis of tissues for OR. Currently, tissue-based analytical methods for OR are
nonspecific and lack sensitivity. The objectives of this study were: (1) to
develop definitive HPLC and LC-MS/MS tissue-based analytical methods for OR; and
(2) to investigate toxicological effects of OR in mice. The HPLC limit of
quantitation was 10 ug/g. For fortification levels of 15 ug/g to 50 ug/g OR in
kidney, the relative standard deviation was between 1.3% and 9.8%, and accuracy
was within 1.5% to 7.1%. A matrix-matched calibration curve was reproduced in
this range with a correlation coefficient (r) of 0.97-0.99. The limit of
detection was 20 ng/g for LC-MS/MS. In OR-injected mice, kidney OR concentrations
were 97 +/- 51 ug/g on Day 0 and 17 +/- 1 ug/g on termination Day 3. Splenic and
liver injuries were novel findings in this mouse model. The new tissue-based
analytical tests will improve diagnosis of OR poisoning, while the mouse model
has yielded new data advancing knowledge on OR-induced pathology. The new tissue
based analytical tests will improve diagnosis of OR poisoning, while the mouse
model has yielded new data advancing knowledge on OR-induced pathology.
PMID- 27213454
TI - MLK3 Signaling in Cancer Invasion.
AB - Mixed-lineage kinase 3 (MLK3) was first cloned in 1994; however, only in the past
decade has MLK3 become recognized as a player in oncogenic signaling. MLK3 is a
mitogen-activated protein kinase kinase kinase (MAP3K) that mediates signals from
several cell surface receptors including receptor tyrosine kinases (RTKs),
chemokine receptors, and cytokine receptors. Once activated, MLK3 transduces
signals to multiple downstream pathways, primarily to c-Jun terminal kinase (JNK)
MAPK, as well as to extracellular-signal-regulated kinase (ERK) MAPK, P38 MAPK,
and NF-kappaB, resulting in both transcriptional and post-translational
regulation of multiple effector proteins. In several types of cancer, MLK3
signaling is implicated in promoting cell proliferation, as well as driving cell
migration, invasion and metastasis.
PMID- 27213456
TI - Contrasting Plasticity in Ovariole Number Induced by A Dietary Effect of the Host
Plants between Cactophilic Drosophila Species.
AB - Under the preference-performance hypothesis, natural selection will favor females
that choose oviposition sites that optimize the fitness of their offspring. Such
a preference-performance relationship may entail important consequences mainly on
fitness-related traits. We used the well-characterized cactus-Drosophila system
to investigate the reproductive capacity in the pair of sibling species D.
buzzatii and D. koepferae reared in two alternative host plants. According to our
hypothesis, ovariole number (as a proxy of reproductive capacity) depends on host
plant selection. Our results indicate that the capacity of D. buzzatii showed to
be mild, only increasing the number of ovarioles by as much as 10% when reared in
its preferred host. In contrast, D. koepferae exhibited a similar reproductive
capacity across host cacti, even though it showed a preference for its primary
host cactus. Our study also revealed that D. buzzatii has a larger genetic
variation for phenotypic plasticity than its sibling, although ovariole number
did not show clear-cut differences between species. We will discuss the weak
preference-performance pattern observed in these cactophilic species in the light
of nutritional and toxicological differences found between the natural host
plants.
PMID- 27213455
TI - The Roles of NDR Protein Kinases in Hippo Signalling.
AB - The Hippo tumour suppressor pathway has emerged as a critical regulator of tissue
growth through controlling cellular processes such as cell proliferation, death,
differentiation and stemness. Traditionally, the core cassette of the Hippo
pathway includes the MST1/2 protein kinases, the LATS1/2 protein kinases, and the
MOB1 scaffold signal transducer, which together regulate the transcriptional co
activator functions of the proto-oncoproteins YAP and TAZ through LATS1/2
mediated phosphorylation of YAP/TAZ. Recent research has identified additional
kinases, such as NDR1/2 (also known as STK38/STK38L) and MAP4Ks, which should be
considered as novel members of the Hippo core cassette. While these efforts
helped to expand our understanding of Hippo core signalling, they also began to
provide insights into the complexity and redundancy of the Hippo signalling
network. Here, we focus on summarising our current knowledge of the regulation
and functions of mammalian NDR kinases, discussing parallels between the NDR
pathways in Drosophila and mammals. Initially, we provide a general overview of
the cellular functions of NDR kinases in cell cycle progression, centrosome
biology, apoptosis, autophagy, DNA damage signalling, immunology and
neurobiology. Finally, we put particular emphasis on discussing NDR1/2 as YAP
kinases downstream of MST1/2 and MOB1 signalling in Hippo signalling.
PMID- 27213457
TI - Antioxidant Efficacy of Litchi (Litchi chinensis Sonn.) Pericarp Extract in Sheep
Meat Nuggets.
AB - In the present study, the efficacy of litchi fruit pericarp (LFP) extract (0.5%,
1.0% and 1.5% concentration) in retarding lipid oxidation of cooked sheep meat
nuggets was evaluated and compared to butylated hydroxyl toluene (BHT, 100 ppm).
The total phenolic content and antioxidant potential of LFP extracts were
determined. The thiobarbituric acid reactive substance (TBARS) values were
evaluated to assess the potential of LFP extracts as natural antioxidants for
oxidative stability of cooked nuggets during 12 days of refrigerated storage.
Results show that total phenolics content in 10 mg LFP powder was comparable to
100 ppm BHT, but 15 mg LFP powder had significantly higher (p < 0.05) total
phenolics content and reducing power than the synthetic antioxidant. LFP extract
did not affect pH, cooking yield and the sensory attributes of cooked nuggets.
Non-treated control and nuggets with 1.0% LFP extract had significantly lower
total phenolics than nuggets with 1.5% extract and BHT. TBARS values were
significantly lower (p < 0.05) throughout the storage period in cooked meat
nuggets containing either LFP extract or BHT than in non-treated control. Results
indicate that LFP extracts are promising sources of natural antioxidants and can
potentially be used as functional food additives in meat products at 1.5% without
affecting products' acceptability.
PMID- 27213460
TI - Common Roadblocks for Biomaterials Metrologists.
AB - In this issue, Naylor et al. [1] report on the surface topography of prosthetic
phalanges, important research that is increasingly vital to researchers and
clinicians alike.[...].
PMID- 27213459
TI - Comparison of Intravenous Anesthetic Agents for the Treatment of Refractory
Status Epilepticus.
AB - Status epilepticus that cannot be controlled with first- and second-line agents
is called refractory status epilepticus (RSE), a condition that is associated
with significant morbidity and mortality. Most experts agree that treatment of
RSE necessitates the use of continuous infusion intravenous anesthetic drugs such
as midazolam, propofol, pentobarbital, thiopental, and ketamine, each of which
has its own unique characteristics. This review compares the various anesthetic
agents while providing an approach to their use in adult patients, along with
possible associated complications.
PMID- 27213458
TI - Entirely Carbohydrate-Based Vaccines: An Emerging Field for Specific and
Selective Immune Responses.
AB - Carbohydrates are regarded as promising targets for vaccine development against
infectious disease because cell surface glycans on many infectious agents are
attributed to playing an important role in pathogenesis. In addition, oncogenic
transformation of normal cells, in many cases, is associated with aberrant
glycosylation of the cell surface glycan generating tumor associated carbohydrate
antigens (TACAs). Technological advances in glycobiology have added a new
dimension to immunotherapy when considering carbohydrates as key targets in
developing safe and effective vaccines to combat cancer, bacterial infections,
viral infections, etc. Many consider effective vaccines induce T-cell dependent
immunity with satisfactory levels of immunological memory that preclude
recurrence. Unfortunately, carbohydrates alone are poorly immunogenic as they do
not bind strongly to the MHCII complex and thus fail to elicit T-cell immunity.
To increase immunogenicity, carbohydrates have been conjugated to carrier
proteins, which sometimes can impede carbohydrate specific immunity as peptide
based immune responses can negate antibodies directed at the targeted
carbohydrate antigens. To overcome many challenges in using carbohydrate-based
vaccine design and development approaches targeting cancer and other diseases,
zwitterionic polysaccharides (ZPSs), isolated from the capsule of commensal
anaerobic bacteria, will be discussed as promising carriers of carbohydrate
antigens to achieve desired immunological responses.
PMID- 27213461
TI - Keratoprosthesis: A Review of Recent Advances in the Field.
AB - Since its discovery in the years of the French Revolution, the field of
keratoprostheses has evolved significantly. However, the path towards its present
state has not always been an easy one. Initially discarded for its devastating
complications, the introduction of new materials and the discovery of antibiotics
in the last century gave new life to the field. Since then, the use of
keratoprostheses for severe ocular surface disorders and corneal opacities has
increased significantly, to the point that it has become a standard procedure for
corneal specialists worldwide. Although the rate of complications has
significantly been reduced, these can impede the long-term success, since some of
them can be visually devastating. In an attempt to overcome these complications,
researchers in the field have been recently working on improving the design of
the currently available devices, by introducing the use of new materials that are
more biocompatible with the eye. Here we present an update on the most recent
research in the field.
PMID- 27213463
TI - Four-times-daily Dosing of Rabeprazole with Sitafloxacin, High-Dose Amoxicillin,
or Both for Metronidazole-Resistant Infection with Helicobacter pylori in Japan.
AB - BACKGROUND: The bacterial resistance of Helicobacter pylori to antimicrobial
agents such as clarithromycin and metronidazole has been increasing worldwide,
leading to the failure of eradication treatment. Here, we present an eradication
regimen consisting of four-times-daily dosing (q.i.d.) of rabeprazole with potent
acid inhibition. AIM: To investigate the efficacy of eradication therapy with
rabeprazole q.i.d. and amoxicillin or sitafloxacin in Japanese infected with a
metronidazole-resistant strain. METHODS: We retrospectively investigated the
efficacy of eradication regimens with rabeprazole q.i.d. for 7 days in 111
Japanese pooled patients infected with a metronidazole-resistant strain of H.
pylori at Hamamatsu University School of Medicine Hospital or the Shiga
University of Medical Science Hospital: 1, with sitafloxacin 100 mg twice daily
(b.i.d.) (n = 82); 2, with amoxicillin 500 mg q.i.d. (n = 15); and 3, with
amoxicillin q.i.d. and sitafloxacin b.i.d.-combined regimen (n = 14). Eradication
status was assessed at 8 weeks via a 13 C-urea breath test. RESULTS: Eradication
rate on intention-to-treat analysis was 93.7% (95% confidence interval: 87.4
97.4%, 104/111), irrespective of the high prevalence of strains resistant to
clarithromycin (81.1%, 90/111) and levofloxacin (42.3%, 47/111). No significant
differences in eradication rates were observed among the different treatment
regimens (p = .408), eradication history (p = .096) and different CYP2C19
genotypes (p = .789). On multivariate analysis, no significant risk factor for
eradication failure by therapy with potent acid inhibition was seen. CONCLUSION:
In Japanese patients infected with metronidazole-resistant strains of H. pylori,
eradication rates exceeding 90% can be achieved using appropriate dosing of
antibiotic agents with strain susceptibility (amoxicillin q.i.d. and/or
sitafloxacin b.i.d.) together with acid inhibition for a full 24 h and
rabeprazole 10 mg q.i.d. These findings may be further evidence for dual therapy
with rabeprazole q.i.d. and an antibiotic agent (amoxicillin q.i.d. or
sitafloxacin b.i.d.) in Japanese patients with metronidazole-resistant strains.
PMID- 27213464
TI - Effective remediation of fish processing waste using mixed culture biofilms
capable of simultaneous nitrification and denitrification.
AB - Fish processing waste water causes pollution and eutrophication of water bodies
when released untreated. Use of bacteria capable of simultaneous nitrification
and denitrification (SND) as biofilms on carriers in a moving bed bioreactor
(MBBR) is a popular approach but seldom used for fish processing waste water
remediation. Here, we studied the variations in biofilm formation and application
activities by isolates Lysinibacillus sp. HT13, Alcaligenes sp. HT15 and Proteus
sp. HT37 previously reported by us. While HT13 and HT15 formed significantly
higher biofilms in polystyrene microtitre plates than on carriers, HT37 exhibited
highest on carriers. A consortium of the three selected bacteria grown as biofilm
on MBBR carriers exhibited better remediation of ammonia (200-600 ppm and 50 mM)
than the individual isolates on carriers. The mixed biofilm set on the carriers
was used for nitrogenous waste removal from fish processing waste water in 2 and
20 L setups. The total nitrogen estimated by elemental analysis showed complete
remediation from 250 ppm in both 2 and 20 L waste water systems within 48 h. The
usual toxic nitrogenous components-ammonia, nitrite and nitrate were also
remediated efficiently.
PMID- 27213467
TI - Editorial introduction.
PMID- 27213465
TI - High-precision iRT prediction in the targeted analysis of data-independent
acquisition and its impact on identification and quantitation.
AB - Targeted analysis of data-independent acquisition (DIA) data is a powerful mass
spectrometric approach for comprehensive, reproducible and precise proteome
quantitation. It requires a spectral library, which contains for all considered
peptide precursor ions empirically determined fragment ion intensities and their
predicted retention time (RT). RTs, however, are not comparable on an absolute
scale, especially if heterogeneous measurements are combined. Here, we present a
method for high-precision prediction of RT, which significantly improves the
quality of targeted DIA analysis compared to in silico RT prediction and the
state of the art indexed retention time (iRT) normalization approach. We describe
a high-precision normalized RT algorithm, which is implemented in the Spectronaut
software. We, furthermore, investigate the influence of nine different
experimental factors, such as chromatographic mobile and stationary phase, on iRT
precision. In summary, we show that using targeted analysis of DIA data with high
precision iRT significantly increases sensitivity and data quality. The iRT
values are generally transferable across a wide range of experimental conditions.
Best results, however, are achieved if library generation and analytical
measurements are performed on the same system.
PMID- 27213466
TI - Intramuscular nerve distribution of the hamstring muscles: Application to
treating spasticity.
AB - The aim of this article is to elucidate the ideal sites for botulinum toxin
injection by examining the intramuscular nerve distributions in the hamstring
muscles. The hamstring muscles, biceps femoris, semitendinosus, and
semimembranosus (10 specimens each) were stained by the modified Sihler method.
The locations of the muscle origins, nerve entry points, and intramuscular
arborized areas were recorded as percentages of the total distance from the line
crossing the medial and lateral tibial condyles (0%) to the ischial tuberosity
(100%). Intramuscular arborization patterns were observed at 15-30% and 50-60%
for the biceps femoris, 25-40% and 60-80% for the semitendinosus, and 20-40% for
the semimembranosus. This study suggests that botulinum toxin injection for
spasticity of the hamstring muscles should be targeted to specific areas. These
areas, where the arborization of intramuscular nerve branches is maximal, are
recommended as the most effective and safest points for injection. Clin. Anat.
29:746-751, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27213468
TI - Viral hepatitis and haemophilia: an introduction.
PMID- 27213462
TI - Cognition, Information Fields and Hologenomic Entanglement: Evolution in Light
and Shadow.
AB - As the prime unification of Darwinism and genetics, the Modern Synthesis
continues to epitomize mainstay evolutionary theory. Many decades after its
formulation, its anchor assumptions remain fixed: conflict between macro organic
organisms and selection at that level represent the near totality of any
evolutionary narrative. However, intervening research has revealed a less easily
appraised cellular and microbial focus for eukaryotic existence. It is now
established that all multicellular eukaryotic organisms are holobionts
representing complex collaborations between the co-aligned microbiome of each
eukaryote and its innate cells into extensive mixed cellular ecologies. Each of
these ecological constituents has demonstrated faculties consistent with basal
cognition. Consequently, an alternative hologenomic entanglement model is
proposed with cognition at its center and conceptualized as Pervasive Information
Fields within a quantum framework. Evolutionary development can then be
reconsidered as being continuously based upon communication between self
referential constituencies reiterated at every scope and scale. Immunological
reactions support and reinforce self-recognition juxtaposed against external
environmental stresses.
PMID- 27213469
TI - Hepatitis C virus genotypes in multi-transfused individuals.
PMID- 27213471
TI - Viral interference in haemophilia.
PMID- 27213470
TI - Hepatitis C virus and haemophilia: the natural history of HCV in haemophilic
patients.
PMID- 27213472
TI - Sexual transmission of hepatitis C virus.
PMID- 27213473
TI - Histopathology of hepatitis C and haemophilia.
PMID- 27213474
TI - Investigation of hepatitis C in haemophilia including liver biopsy.
PMID- 27213475
TI - Management of chronic hepatitis C.
PMID- 27213477
TI - Treatment of chronic hepatitis C infection in haemophilic patients: the Royal
Free and Royal London Hospital experience.
PMID- 27213476
TI - Treatment of hepatitis C infection in haemophiliacs: the Edinburgh experience.
PMID- 27213478
TI - Management: the view of the hepatologist.
PMID- 27213479
TI - Guidelines on the diagnosis and management of chronic liver disease in
haemophilia.
PMID- 27213480
TI - Thieno[3,4-c]phosphole-4,6-dione: A Versatile Building Block for Phosphorus
Containing Functional pi-Conjugated Systems.
AB - A versatile phosphorus-containing pi-conjugated building block, thieno[3,4
c]phosphole-4,6-dione (TPHODO), has been developed. The utility of this simple
but hitherto unknown building block has been demonstrated by preparing novel
functional organophosphorus compounds and bandgap-tunable conjugated polymers.
PMID- 27213481
TI - A systematic review and meta-analysis on the effects of exercise training versus
hypocaloric diet: distinct effects on body weight and visceral adipose tissue.
AB - Exercise training ('exercise') and hypocaloric diet ('diet') are frequently
prescribed for weight loss in obesity. Whilst body weight changes are commonly
used to evaluate lifestyle interventions, visceral adiposity (VAT) is a more
relevant and stronger predictor for morbidity and mortality. A meta-analysis was
performed to assess the effects of exercise or diet on VAT (quantified by
radiographic imaging). Relevant databases were searched through May 2014. One
hundred seventeen studies (n = 4,815) were included. We found that both exercise
and diet cause VAT loss (P < 0.0001). When comparing diet versus training, diet
caused a larger weight loss (P = 0.04). In contrast, a trend was observed towards
a larger VAT decrease in exercise (P = 0.08). Changes in weight and VAT showed a
strong correlation after diet (R(2) = 0.737, P < 0.001), and a modest
correlation after exercise (R(2) = 0.451, P < 0.001). In the absence of weight
loss, exercise is related to 6.1% decrease in VAT, whilst diet showed virtually
no change (1.1%). In conclusion, both exercise and diet reduce VAT. Despite a
larger effect of diet on total body weight loss, exercise tends to have superior
effects in reducing VAT. Finally, total body weight loss does not necessarily
reflect changes in VAT and may represent a poor marker when evaluating benefits
of lifestyle-interventions.
PMID- 27213482
TI - One-Pot N2C/C2C/N2N Ligation To Trap Weak Protein-Protein Interactions.
AB - Weak transient protein-protein interactions (PPIs) play an essential role in
cellular dynamics. However, it is challenging to obtain weak protein complexes
owing to their short lifetime. Herein we present a general and facile method for
trapping weak PPIs in an unbiased manner using proximity-induced ligations. To
expand the chemical ligation spectrum, we developed novel N2N (N-terminus to N
terminus) and C2C (C-terminus to C-terminus) ligation approaches. By using N2C (N
terminus to C-terminus), N2N, and C2C ligations in one pot, the interacting
proteins were linked. The weak Ypt1:GDI interaction drove C2C ligation with t1/2
of 4.8 min and near quantitative conversion. The Ypt1-GDI conjugate revealed that
binding of Ypt1 G-domain causes opening of the lipid-binding site of GDI, which
can accommodate one prenyl group, giving insights into Rab membrane recycling.
Moreover, we used this strategy to trap the KRas homodimer, which plays an
important role in Ras signaling.
PMID- 27213484
TI - Aluminium effects on mechanical properties of cell wall analogues.
AB - Aluminium (Al) toxicity adversely impacts plant productivity in acid soils by
restricting root growth and although several mechanisms are involved the
physiological basis of decreased root elongation remains unclear. Understanding
the primary mechanisms of Al rhizotoxicity is hindered due to the rapid effects
of soluble Al on root growth and the close proximity of many cellular components
within the cell wall, plasma membrane, cytosol and nucleus with which Al may
react. To overcome some of these difficulties, we report on a novel method for
investigating Al interactions with Komagataeibacter xylinus bacterial cellulose
(BC)-pectin composites as cell wall analogues. The growth of K. xylinus in the
presence of various plant cell wall polysaccharides, such as pectin, has provided
a unique in vitro model system with which to investigate the interactions of Al
with plant cell wall polysaccharides. The BC-pectin composites reacted in a
similar way with Al as do plant cell walls, providing insights into the effects
of Al on the mechanical properties of the BC-pectin composites as cell wall
analogues. Our findings indicated that there were no significant effects of Al (4
160 MUM) on the tensile stress, tensile strain or Young's modulus of the
composites. This finding was consistent with cellulose, not pectin, being the
major load bearing component in BC-pectin composites, as is also the case in
plant cell walls.
PMID- 27213483
TI - Young adult female cancer survivors' unmet information needs and reproductive
concerns contribute to decisional conflict regarding posttreatment fertility
preservation.
AB - BACKGROUND: Many young adult female cancer survivors (YAFCS) are at risk of
experiencing premature menopause. The current study characterized the
posttreatment fertility information needs, reproductive concerns, and decisional
conflict regarding future options for posttreatment fertility preservation (FP)
among YAFCS. METHODS: Participants completed a Web-based, anonymous survey
between February and March 2015. The survey included investigator-designed
questions of perceived information needs, the Reproductive Concerns After Cancer
Scale, and the Decisional Conflict Scale. Analyses included Pearson correlation
coefficients, independent-sample Student t tests, and multiple regression.
RESULTS: There was a total of 346 participants with an average age of 29.9 years
(SD = 4.1 years) who were 4.9 years from treatment (SD = 5.4 years [range, 0-27
years]). The main analyses focused on a subgroup of YAFCS with uncertain
fertility status who had not previously undergone/attempted FP and either wanted
future children or were unsure (179 women). Across fertility information topics,
43% to 62% of participants reported unmet information needs. The greatest
reproductive concerns were related to fertility potential and the health of
future offspring. The regression model controlled for a priori covariates
including current age, age at treatment completion, income, relationship status,
nulliparity, and prior fertility evaluation. Greater unmet information needs were
found to be related to greater decisional conflict (beta = .43; p<.001); greater
reproductive concerns were associated at the trend level (beta = .14, p = .08;
F[8,118] = 6.42, p<.001). CONCLUSIONS: YAFCS with limited awareness or knowledge
of their risk of experiencing premature menopause and FP options reported higher
levels of decisional conflict regarding future FP. Posttreatment survivorship
care should include comprehensive reproductive health counseling, including
posttreatment FP options and family-building alternatives. Cancer 2016;122:2101
9. (c) 2016 American Cancer Society.
PMID- 27213485
TI - Electrochemical Sensing of Bisphenol A by a Didodecyldimethylammonium Bromide
Modified Expanded Graphite Paste Electrode.
AB - An electrochemical and sensitive sensing of 2,2-bis(4-hydroxyphenyl) propane
[bisphenol A (BPA)] was developed based on a didodecyldimethylammonium bromide
modified expanded graphite paste electrode (DDAB-EGPE). The DDAB-EGPE was
prepared by suspending an EGPE in a DDAB aqueous solution, and allowing the DDAB
to form a hydrophobic film on the expanded graphite surface. Compared with the
EGPE, the DDAB-EGPE showed improved electrochemical response of BPA because of
the preconcentration of BPA in DDAB via hydrophobic interaction. Due to the
electrocatalytic activity of BPA, a sensor for BPA was constructed based on the
DDAB-EGPE. The DDAB-EGPE exhibited a wide linear response to BPA ranging from 6.0
* 10(-8) to 2.0 * 10(-5) mol/L with a detection limit of 7.1 nmol/L at S/N = 3.
The designed sensor showed good reproducibility and stability. The proposed
sensor was successfully applied to the determination of BPA in three types of
real plastic product samples. This sensor presented a simple, rapid, and
sensitive platform for the determination of BPA and could become a versatile and
powerful tool for food safety.
PMID- 27213487
TI - When meaning matters more: Media preferences across the adult life span.
AB - Two studies considered age differences in the roles of emotion and meaningfulness
in adults' media preferences. Socioemotional Selectivity Theory (SST) suggests
that with increasing age, positive emotions become more meaningful, and emotional
meaningfulness matters more for situation selection. Other developmental
descriptions suggest that negative affect may be meaningful and interesting in
youth. In Study 1, United States 18-86 year olds read descriptions of TV programs
that varied in levels of warmth, funniness, sadness, and fright; in Study 2,
United States and German 18-82 year olds watched film trailers that varied in
levels of gore and meaningfulness. Participants rated their anticipated emotions,
anticipated meaningfulness of the content, and their viewing interest. Consistent
with SST, in both studies, anticipated meaningfulness was a stronger predictor of
viewing interest for older adults relative to younger adults, and the indirect
path (Emotion -> Meaning -> Interest) was stronger for older relative to younger
adults. In Study 1, warmth (but not funniness) was more predictive of
meaningfulness for older relative to younger adults; sadness and fear were not
more predictive of meaningfulness for younger adults. In Study 2, there were age
differences in the effects of fright on interest, in part via effects on
anticipated fun and suspense (but not arousal). Overall, the results provide
limited evidence that positive or negative emotions are more meaningful or
interesting at different ages. However, they support the argument that emotional
meaningfulness matters more to older than to younger adults. (PsycINFO Database
Record
PMID- 27213488
TI - Refining Reporting Mechanisms in Oregon's Patient-Centered Primary Care Home
Program to Improve Performance.
AB - INTRODUCTION: As part of its strategy to achieve the Triple Aim, the Oregon
Health Authority implemented the Patient-Centered Primary Care Home (PCPCH)
Program in 2009. In 2014, the program recognized more than 500 primary care
practices and had become an essential component of Oregon's strategy for
transforming health services delivery. To assist the Oregon Health Authority with
evaluating practices' achievement of the PCPCH model along its 6 core attributes
(access, accountability, comprehensive care, continuity, coordination, and person
centered care), the research team developed an innovative scoring method.
OBJECTIVE: To develop a synthesized attribute scoring methodology and to apply it
to evaluate practices' performance overall and along individual attributes.
METHODS: The method builds on earlier studies of the medical home and draws on
data from Oregon's PCPCH recognition application and a survey of recognized
practices. Scores are reported for each practice on the program's core
attributes, with further analyses by geography, practice size, and ownership. The
method was pilot-tested in 30 practices recognized under the 2011 PCPCH standards
and adapted for potential use with the program's 2014 standards in 400 practices.
RESULTS: Initial results demonstrate that the scores are effective for reporting
performance to key program stakeholders, including provider practices. The method
enables stakeholders to compare results across similar practices and across the
model's core attributes. The scores help analyze practice transformation over
time, enabling practices and the Oregon Health Authority to identify
opportunities for improvement and technical assistance. CONCLUSIONS: The PCPCH
Program could be replicated in other states. This article offers insights on
implementation strategies, efficacy of the PCPCH model, and lessons learned.
PMID- 27213486
TI - Progranulin haploinsufficiency causes biphasic social dominance abnormalities in
the tube test.
AB - Loss-of-function mutations in progranulin (GRN) are a major autosomal dominant
cause of frontotemporal dementia (FTD), a neurodegenerative disorder in which
social behavior is disrupted. Progranulin-insufficient mice, both Grn(+/-) and
Grn(-/-) , are used as models of FTD due to GRN mutations, with Grn(+/-) mice
mimicking the progranulin haploinsufficiency of FTD patients with GRN mutations.
Grn(+/-) mice have increased social dominance in the tube test at 6 months of
age, although this phenotype has not been reported in Grn(-/-) mice. In this
study, we investigated how the tube test phenotype of progranulin-insufficient
mice changes with age, determined its robustness under several testing
conditions, and explored the associated cellular mechanisms. We observed biphasic
social dominance abnormalities in Grn(+/-) mice: at 6-8 months, Grn(+/-) mice
were more dominant than wild-type littermates, while after 9 months of age,
Grn(+/-) mice were less dominant. In contrast, Grn(-/-) mice did not exhibit
abnormal social dominance, suggesting that progranulin haploinsufficiency has
distinct effects from complete progranulin deficiency. The biphasic tube test
phenotype of Grn(+/-) mice was associated with abnormal cellular signaling and
neuronal morphology in the amygdala and prefrontal cortex. At 6-9 months, Grn(+/
) mice exhibited increased mTORC2/Akt signaling in the amygdala and enhanced
dendritic arbors in the basomedial amygdala, and at 9-16 months Grn(+/-) mice
exhibited diminished basal dendritic arbors in the prelimbic cortex. These data
show a progressive change in tube test dominance in Grn(+/-) mice and highlight
potential underlying mechanisms by which progranulin insufficiency may disrupt
social behavior.
PMID- 27213489
TI - Why Quantification Matters: Characterization of Phenotypes at the Drosophila
Larval Neuromuscular Junction.
AB - Most studies on morphogenesis rely on qualitative descriptions of how anatomical
traits are affected by the disruption of specific genes and genetic pathways.
Quantitative descriptions are rarely performed, although genetic manipulations
produce a range of phenotypic effects and variations are observed even among
individuals within control groups. Emerging evidence shows that morphology, size
and location of organelles play a previously underappreciated, yet fundamental
role in cell function and survival. Here we provide step-by-step instructions for
performing quantitative analyses of phenotypes at the Drosophila larval
neuromuscular junction (NMJ). We use several reliable immuno-histochemical
markers combined with bio-imaging techniques and morphometric analyses to examine
the effects of genetic mutations on specific cellular processes. In particular,
we focus on the quantitative analysis of phenotypes affecting morphology, size
and position of nuclei within the striated muscles of Drosophila larvae. The
Drosophila larval NMJ is a valuable experimental model to investigate the
molecular mechanisms underlying the structure and the function of the
neuromuscular system, both in health and disease. However, the methodologies we
describe here can be extended to other systems as well.
PMID- 27213490
TI - Calcineurin Inhibitor-Sparing Strategies in Renal Transplantation: Where Are We?
A Comprehensive Review of the Current Evidence.
AB - The introduction of the calcineurin inhibitors cyclosporine and tacrolimus in the
immunosuppressive regimens for kidney transplant has been associated with
substantial reductions in the incidence of acute rejection, with a subsequent
improvement in 1-year graft survival. However, this has not directly correlated
with improvements in long-term allograft survival. Immunosuppressive medications
are associated with toxicities related directly to immunosuppressive effects, and
these are similar among different agents. In addition, there are other toxicities
that are unique for each drug. Immunosuppressive minimization strategies have
attempted to address both of these toxicities. Calcineurin inhibitors have been
associated with chronic nephrotoxicity, and various calcineurin inhibitor-sparing
strategies have been used to address this issue with the aim of improving long
term outcomes. However, there has been a paradigm shift over the past 10 to 15
years, with the appreciation that calcineurin inhibitor nephrotoxicity is not the
major cause of late graft failure. Studies have now shown that chronic immune
injury mediated by donor-specific antibodies may account for most late graft
losses. Although some patients do benefit from calcineurin inhibitor-sparing
approaches, others may have late allograft loss from chronic and subacute immune
mediated injury. Unfortunately, the vast majority of calcineurin inhibitor
sparing studies have short-term follow-up and have not explored the change in the
donor-specific antibody profile. One of the biggest challenges that we face is
being able to distinguish among patients who will benefit from this strategy and
those who will not. In this study, we review the various strategies used to limit
or avoid the use of calcineurin inhibitors and address the benefits and pitfalls
associated in pursuing such strategies.
PMID- 27213491
TI - Does timing matter? Examining the impact of session timing on outcome.
AB - OBJECTIVE: First-line treatments for posttraumatic stress disorder (PTSD) are
often implemented twice per week in efficacy trials. However, there is
considerable variability in the frequency of treatment sessions (e.g., once per
week or twice per week) in clinical practice. Moreover, clients often cancel or
reschedule treatment sessions, leading to even greater variability in treatment
session timing. The goal of the current study is to investigate the impact of
PTSD treatment session frequency on treatment outcome. METHOD: One hundred thirty
six women (Mage = 32.16 [SD = 9.90]) with PTSD were randomized to receive
cognitive processing therapy or prolonged exposure. PTSD symptom outcome was
measured using the Clinician-Administered PTSD Scale, and session frequency and
consistency were measured using dates of treatment session attendance. Session
frequency was operationalized using average days between session, and consistency
was defined by the standard deviation of the number of days between treatment
sessions. RESULTS: Piecewise growth curve modeling revealed that higher average
days between sessions was associated with significantly smaller PTSD symptom
reduction, with more frequent sessions yielding greater PTSD symptom reduction (p
< .001, d = .82). Higher consistency was also associated with significantly
greater PTSD symptom reduction (p < .01, d = .48). The substantially larger
effect size for frequency suggests that average days between treatment sessions
impacts treatment outcome more than consistency. Follow-up analyses revealed a
longer time interval between Sessions 4 and 5 was associated with smaller
reductions in PTSD treatment outcome. CONCLUSIONS: More frequent scheduling of
sessions may maximize PTSD treatment outcomes. (PsycINFO Database Record
PMID- 27213493
TI - Improvement of the working alliance in one treatment session predicts improvement
of depressive symptoms by the next session.
AB - OBJECTIVE: Developments in working alliance theory posit that the therapist's
attention to fluctuations in the alliance throughout treatment is crucial.
Accordingly, researchers have begun studying the alliance as a time-varying
mechanism of change rather than as a static moderator. However, most studies to
date suffer from bias owing to the nonindependence of error term and predictors
(endogeneity). METHOD: Patients with major depressive disorder (N = 84) from a
randomized trial comparing cognitive-behavioral therapy with interpersonal
psychotherapy filled out the Beck Depression Inventory-II before each session.
After each session, patients and therapists filled out the Working Alliance
Inventory short forms. Data were analyzed using the generalized method of moments
for dynamic panel data, a method commonly applied in econometrics to eliminate
endogeneity bias. RESULTS: Improvement of the alliance predicted significant
reduction of depressive symptoms by the next session (patient rating: b = -4.35,
SE = 1.96, p = .026, 95% confidence interval [CI] [-8.19, -0.51]; therapist
rating: b = -4.92, SE = 1.84, p = .008, 95% CI [-8.53, -1.31]). In addition,
there was a significant delayed effect on the session after the next (patient
rating: b = -3.25, SE = 1.20, p = .007, 95% CI [-5.61, -0.89]; therapist rating:
b = -5.44, SE = 1.92, p = .005, 95% CI [-9.20, -1.68]). CONCLUSION: If the
quality of patient-therapist alliance is improved in a given treatment session,
depressive symptoms will likely decrease by the next session. The most important
limitation of this study is its relatively small sample size. (PsycINFO Database
Record
PMID- 27213494
TI - Radiation Therapy for the Management of Brain Metastases.
AB - Brain metastases are the most common malignant intracranial tumors and carry a
poor prognosis. The management of brain metastases may include a variety of
treatment modalities including surgical resection, radiation therapy, and/or
systemic therapy. The traditional treatment for brain metastasis involved whole
brain irradiation. However, improved systemic control of primary cancers has led
to longer survival for some groups of patients and there is increasing need to
consider the late effects of radiation to the entire brain. With advances in
imaging and radiation treatment planning and delivery stereotactic radiosurgery
has become more frequently utilized and may be delivered through Gamma Knife
Stereotactic Radiosurgery or linear accelerator-based systems. Furthermore,
experience in treating thousands of patients on clinical trials has led to
diagnosis-specific prognostic assessment systems that help guide our approach to
the management of this common clinical scenario. This review provides an overview
of the literature supporting radiotherapy for brain metastasis and an update on
current radiotherapeutic options that is tailored for the nonradiation
oncologist.
PMID- 27213492
TI - Efficacy of mindfulness-based addiction treatment (MBAT) for smoking cessation
and lapse recovery: A randomized clinical trial.
AB - OBJECTIVE: To compare the efficacy of Mindfulness-Based Addiction Treatment
(MBAT) to a Cognitive Behavioral Treatment (CBT) that matched MBAT on treatment
contact time, and a Usual Care (UC) condition that comprised brief individual
counseling. METHOD: Participants (N = 412) were 48.2% African American, 41.5% non
Latino White, 5.4% Latino, and 4.9% other, and 57.6% reported a total annual
household income < $30,000. The majority of participants were female (54.9%).
Mean cigarettes per day was 19.9 (SD = 10.1). Following the baseline visit,
participants were randomized to UC (n = 103), CBT (n = 155), or MBAT (n = 154).
All participants were given self-help materials and nicotine patch therapy. CBT
and MBAT groups received 8 2-hr in-person group counseling sessions. UC
participants received 4 brief individual counseling sessions. Biochemically
verified smoking abstinence was assessed 4 and 26 weeks after the quit date.
RESULTS: Logistic random effects model analyses over time indicated no overall
significant treatment effects (completers only: F(2, 236) = 0.29, p = .749;
intent-to-treat: F(2, 401) = 0.9, p = .407). Among participants classified as
smoking at the last treatment session, analyses examining the recovery of
abstinence revealed a significant overall treatment effect, F(2, 103) = 4.41, p =
.015 (MBAT vs. CBT: OR = 4.94, 95% CI: 1.47 to 16.59, p = .010, Effect Size =
.88; MBAT vs. UC: OR = 4.18, 95% CI: 1.04 to 16.75, p = .043, Effect Size = .79).
CONCLUSION: Although there were no overall significant effects of treatment on
abstinence, MBAT may be more effective than CBT or UC in promoting recovery from
lapses. (PsycINFO Database Record
PMID- 27213496
TI - Isolation and Characterization of a Head and Neck Squamous Cell Carcinoma
Subpopulation Having Stem Cell Characteristics.
AB - Despite advances in the understanding of head and neck squamous cell carcinomas
(HNSCC) progression, the five-year survival rate remains low due to local
recurrence and distant metastasis. One hypothesis to explain this recurrence is
the presence of cancer stem-like cells (CSCs) that present inherent chemo- and
radio-resistance. In order to develop new therapeutic strategies, it is necessary
to have experimental models that validate the effectiveness of targeted
treatments and therefore to have reliable methods for the identification and
isolation of CSCs. To this end, we present a protocol for the isolation of CSCs
from human HNSCC cell lines that relies on the combination of two successive cell
sortings performed by fluorescence activated cell sorting (FACS). The first one
is based on the property of CSCs to overexpress ATP-Binding Cassette (ABC)
transporter proteins and thus exclude, among others, vital DNA dyes such as
Hoechst 33342. The cells sorted with this method are identified as a "side
population" (SP). As the SP cells represent a low percentage (<5%) of parental
cells, a growing phase is necessary in order to increase their number before the
second cell sorting. The next step allows for the selection of cells that possess
two other HNSCC stem cell characteristics i.e. high expression level of the cell
surface marker CD44 (CD44(high)) and the over-expression of aldehyde
dehydrogenase (ALDH(high)). Since the use of a single marker has numerous
limitations and pitfalls for the isolation of CSCs, the combination of SP, CD44
and ALDH markers will provide a useful tool to isolate CSCs for further
analytical and functional assays requiring viable cells. The stem-like
characteristics of CSCs was finally validated in vitro by the formation of
tumorispheres and the expression of beta-catenin.
PMID- 27213495
TI - A Choroid Plexus Epithelial Cell-based Model of the Human Blood-Cerebrospinal
Fluid Barrier to Study Bacterial Infection from the Basolateral Side.
AB - The epithelial cells of the choroid plexus (CP), located in the ventricular
system of the brain, form the blood-cerebrospinal fluid barrier (BCSFB). The
BCSFB functions in separating the cerebrospinal fluid (CSF) from the blood and
restricting the molecular exchange to a minimum extent. An in vitro model of the
BCSFB is based on cells derived from a human choroid plexus papilloma (HIBCPP).
HIBCPP cells display typical barrier functions including formation of tight
junctions (TJs), development of a transepithelial electrical resistance (TEER),
as well as minor permeabilities for macromolecules. There are several pathogens
that can enter the central nervous system (CNS) via the BCSFB and subsequently
cause severe disease like meningitis. One of these pathogens is Neisseria
meningitidis (N. meningitidis), a human-specific bacterium. Employing the HIBCPP
cells in an inverted cell culture filter insert system enables to study
interactions of pathogens with cells of the BCSFB from the basolateral cell side,
which is relevant in vivo. In this article, we describe seeding and culturing of
HIBCPP cells on cell culture inserts. Further, infection of the cells with N.
meningitidis along with analysis of invaded and adhered bacteria via double
immunofluorescence is demonstrated. As the cells of the CP are also involved in
other diseases, including neurodegenerative disorders like Alzheimer's disease
and Multiple Sclerosis, as well as during the brain metastasis of tumor cells,
the model system can also be applied in other fields of research. It provides the
potential to decipher molecular mechanisms and to identify novel therapeutic
targets.
PMID- 27213497
TI - Telomerase in hematologic malignancies.
AB - PURPOSE OF REVIEW: The activation of telomere maintenance pathways has long been
regarded as a key hallmark of cancer and this has propelled the development of
novel inhibitors of telomerase. In this review, we detail the background biology
on telomere maintenance in health and disease, then concentrate on the recent
preclinical and clinical development behind targeting telomerase in blood
cancers. RECENT FINDINGS: Preclinical and clinical studies have shown that
imetelstat, a competitive inhibitor of telomerase, has activity in certain
hematologic malignancies, in particular the myeloproliferative neoplasms and
acute myeloid leukemia. SUMMARY: Telomerase inhibition has shown remarkable
efficacy in myeloid malignancies, and current and future preclinical and clinical
studies are necessary to comprehensively investigate its underlying mechanism of
action. Future work should identify the potential genetic susceptibilities to
telomerase inhibition therapy, and evaluate rational combinations of telomerase
inhibitors with chemotherapy and other novel agents. Robust preclinical
evaluation is essential to best translate these new agents successfully into our
clinical treatment algorithm for myeloid and other blood cancers.
PMID- 27213499
TI - Chemotherapy-sparing treatment strategies for follicular lymphoma: current
options and future directions.
AB - PURPOSE OF REVIEW: The accelerating development of targeted therapy offers the
possibility of avoiding the many toxic side-effects of cytotoxic chemotherapy
often experienced during treatment of patients with malignancies. As a currently
incurable disease that typically follows an indolent course, follicular lymphoma
is a disease for which chemotherapy-free treatment may offer substantial benefit.
RECENT FINDINGS: We review chemotherapy-free treatment regimens, including those
targeting cell-surface proteins and intracellular signaling pathways currently in
use for the treatment of follicular lymphoma, paying particular attention to the
unique toxicity profiles of these agents. Additionally, the safety profiles and
efficacy of selected novel-targeted therapies in earlier phase studies, including
immunotherapeutics, will be explored. SUMMARY: Novel-targeted therapies are
rapidly changing the landscape of follicular lymphoma and decreasing reliance
upon traditional chemotherapeutics. Although the toxicities of chemotherapy are
well known to clinicians, the unique adverse events associated with novel agents
may be less familiar, and requires attention to identification, management, and
prophylaxis of toxicity associated with emerging chemotherapy-sparing treatments.
PMID- 27213500
TI - Effects of Novel Supramaximal Interval Training Versus Continuous Training on
Performance in Preconditioned Collegiate, National, and International Class
Rowers.
AB - This investigation compared supramaximal oxygen uptake interval training with
continuous training in collegiate, national, and international class rowers. It
was hypothesized that 6 supramaximal intensity sessions over 11 days would
increase power on selected power measures. After 8 weeks of training for a new
season, 10 heavyweight and 6 lightweight rowers were randomized into 2 groups. A
ramp test to limit of tolerance to determine peak aerobic power (6 females: 25
W.min; 10 males: 30 W.min) and an all-out 3-minute test to determine peak power,
60-second power, critical power, and work above critical power (W') were
performed before and after training. A supramaximal training session consisted of
10 cycles of 10-second work (140% peak aerobic power):5-second recovery followed
by 8 minutes of active recovery, and repeated 6 times. The continuous group
performed predominantly moderate intensity (below lactate threshold) training.
All training was performed on rowing ergometers. Critical power increased pre-to
post supramaximal (Delta7%) and continuous training (Delta9%), respectively (336
+/- 59W to 360 +/- 59W; 290 +/- 73W to 316 +/- 74W; p <= 0.05), whereas the mean
power output from all performance measures increased only after supramaximal
training (Delta7%) (464 +/- 158W to 496 +/- 184W; p <= 0.05). Testing also
revealed decreased W' (Delta21%) and 60-second power (Delta4%) pre-to-post
continuous training only (p <= 0.05). No differences (p > 0.05) in peak aerobic
power or peak power were observed pre-to-post training in either group. In
conclusion, after an 8-week preconditioning period, supramaximal interval
training preserved anaerobic capacity compared with predominantly continuous
training and elicited similar increases in critical power in rowers.
PMID- 27213498
TI - Unperturbed vs. post-transplantation hematopoiesis: both in vivo but different.
AB - PURPOSE OF REVIEW: Hematopoietic stem cell (HSC) transplantation has yielded
tremendous information on experimental properties of HSCs. Yet, it remains
unclear whether transplantation reflects the physiology of hematopoiesis. A
limitation is the difficulty in accessing HSC functions without isolation, in
vitro manipulation and readout for potential. New genetic fate mapping and clonal
marking techniques now shed light on hematopoiesis under physiological
conditions. RECENT FINDINGS: Transposon-based genetic marks were introduced
across the entire hematopoietic system to follow the clonal dynamics of these
tags over time. A polyclonal source downstream from stem cells was found
responsible for the production of at least granulocytes. In independent
experiments, HSCs were genetically marked in adult mice, and the kinetics of
label emergence throughout the system was followed over time. These experiments
uncovered that during physiological steady-state hematopoiesis large numbers of
HSCs yield differentiated progeny. Individual HSCs were active only rarely,
indicating their very slow periodicity of differentiation rather than quiescence.
SUMMARY: Noninvasive genetic experiments in mice have identified a major role of
stem and progenitor cells downstream from HSCs as drivers of adult hematopoiesis,
and revealed that post-transplantation hematopoiesis differs quantitatively from
normal steady-state hematopoiesis.
PMID- 27213501
TI - Ru-Catalyzed Asymmetric Hydrogenative/Transfer Hydrogenative Desymmetrization of
Meso-Epoxy Diketones.
AB - Via a strategy of asymmetric reductive desymmetrization, chiral cis-epoxy
naphthoquinols with multiple contiguous stereocenters and functional groups were
synthesized with excellent enantioselectivities (96-99% ee) and
diastereoselectivities (8/1-15/1). A combined asymmetric hydrogenation/transfer
hydrogenation mechanism was proposed based on experimental results.
PMID- 27213502
TI - Intra-chain superexchange couplings in quasi-1D 3d transition-metal magnetic
compounds.
AB - The electronic structure and magnetic properties of the quasi-1D transition-metal
borates PbMBO4 (M = Ti, V, Cr, Mn, Fe, Co) have been investigated by density
functional theory, including electronic correlation. The results evidence PbCrBO4
and PbFeBO4 as antiferromagnetic (AFM) semiconductors (intra-chain AFM and inter
chain FM) and PbMnBO4 as a ferromagnetic (FM) semiconductor (both intra- and
inter-chain FM) in accordance with experimental observations. For non-synthesized
PbTiBO4, PbVBO4, and PbCoBO4, the ground-state magnetic structures are
paramagnetic, FM, and paramagnetic, respectively. In this series of compounds,
there are two kinds of superexchange couplings dominating their magnetic
properties, i.e. the direction M-M delocalization superexchange and indirect M-O
M correlation superexchange. For PbMBO4 with M (3+) d (n) , n ? 3 (M = V and
Cr), the main intra-chain spin coupling is the M-M t 2g-t 2g direct
delocalization superexchange, while for PbMBO4 with M (3+) d (n) , n > 3 (M =
Mn and Fe), the main intra-chain spin coupling is the near 90 degrees M-O-M e g
p-e g indirect correlation superexchange.
PMID- 27213503
TI - Dual Enzymatic Detection by Bulk Electrogenerated Chemiluminescence.
AB - The combination of enzymes, as recognition elements for specific analytes, and of
electrogenerated chemiluminescence (ECL) as a readout method has proven to be a
valuable strategy for sensitive and specific analytical detection. However, ECL
is intrinsically a 2D process which could potentially limit the analysis of
inhomogeneous samples. Here, we show how a bulk ECL signal, generated by
thousands of carbon microbeads remotely addressed via bipolar electrochemistry,
are implemented as a powerful tool for the concomitant ECL sensing and imaging of
two enzymatic substrates. We selected two enzymes (glucose dehydrogenase and
choline oxidase) that react with their respective model substrates and produce in
situ chemical species (beta-nicotinamide adenine dinucleotide (NADH) and H2O2)
acting as coreactants for the ECL emission of different luminophores
([Ru(bpy)3](2+) at lambda = 620 nm and luminol at lambda = 425 nm, respectively).
Both enzymes are spatially separated in the same capillary. We demonstrate thus
the simultaneous quantitative determination of both glucose and choline over a
wide concentration range. The originality of this remote approach is to provide a
global chemical view through one single ECL image of inhomogeneous samples such
as a biochemical concentration gradient in a capillary configuration. Finally, we
report the first proof-of-concept of dual biosensing based on this bulk ECL
method for the simultaneous imaging of both enzymatic analytes at distinct
wavelengths.
PMID- 27213504
TI - Genetic engineering of Clostridium thermocellum DSM1313 for enhanced ethanol
production.
AB - BACKGROUND: The twin problem of shortage in fossil fuel and increase in
environmental pollution can be partly addressed by blending of ethanol with
transport fuel. Increasing the ethanol production for this purpose without
affecting the food security of the countries would require the use of cellulosic
plant materials as substrate. Clostridium thermocellum is an anaerobic
thermophilic bacterium with cellulolytic property and the ability to produce
ethanol. But its application as biocatalyst for ethanol production is limited
because pyruvate ferredoxin oxidoreductase, which diverts pyruvate to ethanol
production pathway, has low affinity to the substrate. Therefore, the present
study was undertaken to genetically modify C. thermocellum for enhancing its
ethanol production capacity by transferring pyruvate carboxylase (pdc) and
alcohol dehydrogenase (adh) genes of the homoethanol pathway from Zymomonas
mobilis. RESULTS: The pdc and adh genes from Z. mobilis were cloned in pNW33N,
and transformed to Clostridium thermocellum DSM 1313 by electroporation to
generate recombinant CTH-pdc, CTH-adh and CTH-pdc-adh strains that carried
heterologous pdc, adh, and both genes, respectively. The plasmids were stably
maintained in the recombinant strains. Though both pdc and adh were functional in
C. thermocellum, the presence of adh severely limited the growth of the
recombinant strains, irrespective of the presence or absence of the pdc gene. The
recombinant CTH-pdc strain showed two-fold increase in pyruvate carboxylase
activity and ethanol production when compared with the wild type strain.
CONCLUSIONS: Pyruvate decarboxylase gene of the homoethanol pathway from Z
mobilis was functional in recombinant C. thermocellum strain and enhanced its
ability to produced ethanol. Strain improvement and bioprocess optimizations may
further increase the ethanol production from this recombinant strain.
PMID- 27213505
TI - Empathy differences by gender and specialty preference in medical students: a
study in Brazil.
AB - OBJECTIVE: We have conducted this study to assess medical students' empathy and
to examine empathy differences by students' socio-demographic characteristics,
including gender, and specialty preference. METHODS: We have conducted a cross
sectional and descriptive research. Among 595 medical students registered at the
Federal University of Santa Catarina (Brazil) in 2012, we have selected a sample
of 320 enrolled in the first, third, fifth, seventh, ninth, eleventh, and in the
last semester of the course. The response rate obtained was 70.6% (n=226). Data
was collected by using a self-report questionnaire, and the variables analyzed
included course semester, socio-demographic characteristics (such as age, gender,
household monthly income and parents level of education), students' specialty
preference, and empathy assessed by the Jefferson Scale of Empathy. We have used
descriptive statistics, 95% Confidence Interval for percentages, Student's t
test, and Analysis of Variance to analyze the data. RESULTS: Mean empathy among
students was (M=119.7, SD=9.9), with no difference by according to semester
(F=1.5, p=.2). Empathy means were higher among females (M=118.3, SD=10.6) than
among males (M=121.0, SD=9.3, t=-2.1, p=.032). Students who preferred a people
oriented specialty obtained significantly higher mean scores (M=121.5, SD=8.1) in
comparison to students who preferred technology-oriented specialties (M=118.0,
SD=11.3, t=2.4, p=.02). CONCLUSIONS: Our study has found consistently high scores
of empathy among medical students enrolled in all levels of training at the
Federal University of Santa Catarina, and higher empathy among women and students
who intend to pursue a people-oriented specialty. Conclusions on higher empathy
among medical students require further study.
PMID- 27213506
TI - CD200 Inhibits Inflammatory Response by Promoting KATP Channel Opening in
Microglia Cells in Parkinson's Disease.
AB - BACKGROUND As the second most common neurodegenerative disorder after Alzheimer's
disease (AD), Parkinson's disease (PD) principally impacts the motor system in
approximately 7 million patients worldwide. The present study aimed to explore
the effects of cluster of differentiation (CD200) on adenosine triphosphate
sensitive potassium (KATP) channels and inflammatory response in PD mice.
MATERIAL AND METHODS We created an in vivo PD model by intraperitoneal injection
of 30 mg/kg/day 1-Methyl-4-phenyl-1, 2, 3, 6-tetrahydropyridine hydrochloride
(MPTP. HCL) for 5 consecutive days, and we created an in vitro PD model by
injection of 100 MUM 1-methyl-4-phenylpyridinium ion (MPP+) in primary microglia
cells. Expression level of CD200/CD200R, inwardly rectifying potassium
(Kir6.1/6.2), and sulfonylurea receptor (Sur1/2) were detected by Western blot
(WB). Immunohistochemistry (IHC) was utilized to assess CD11b (microglia marker)
and tyrosine hydroxylase (TH, a marker reveals dopamine level in neurons)
expression levels. An in vitro PD model was applied to detect the influence of
CD200 on ATP and inflammatory factors released from microglia. Interferon (IFN)
gamma, tumor necrosis factor (TNF)-alpha, and interleukin (IL)-1beta mRNA levels
were explored by realtime quantitative polymerase chain reaction (RT-QPCR), and
their protein levels were identified by enzyme-linked immunosorbent assay
(ELISA). RESULTS WB exhibited time-dependent down-regulation of CD200/CD200R in
cerebra of PD mice compared to control mice, with Kir 6.1 and SUR 2 expressed
mainly in microglia. IHC showed that CD11b reached a peak at the 1st day after
MPTP treatment, followed by time-dependent reduction, and TH decreased noticeably
after MPTP induction. RT-QPCR demonstrated that compared with controls, IFN
gamma, TNF-alpha, and IL-1beta mRNA levels were significantly elevated at MPTP
1d, was reduced at MPTP-3d, and then returned to baseline at MPTP-7d. IHC showed
that MPP+ significantly elevated microglia release of ATP. Similar to the effect
of pinacidil (K+ channel opener), CD200 remarkably depressed MPP+-induced ATP
release. ELISA showed that MPP+ significantly increased IFN-gamma, TNF-alpha, and
IL-1beta release, and CD200 and pinacidil remarkably suppressed this elevation.
CONCLUSIONS Our results show a novel role of CD200 in promoting opening of the
KATP channel, inhibiting microglia activation and release of ATP, as well as
inflammatory factors, thus protecting dopaminergic (DA) neurons against damage
and alleviating PD.
PMID- 27213507
TI - (14)N Nuclear Quadrupole Coupling and Methyl Internal Rotation in N-tert
Butylacetamide As Observed by Microwave Spectroscopy.
AB - The rotational spectrum of N-tert-butylacetamide, CH3(C?O)(NH)C(CH3)3, was
measured in the frequency range from 2 to 26.5 GHz using a molecular beam Fourier
transform microwave spectrometer. Only one conformer with trans configuration and
Cs symmetry was observed. Torsional splittings up to 4.3 GHz occurred in the
spectrum due to the internal rotation of the acetyl methyl group CH3(C?O) with a
barrier height of approximately 65 cm(-1). Hyperfine structures arise from the
quadrupole coupling of the (14)N nucleus appeared for all rotation-torsional
transitions. The data set was reproduced with the programs XIAM and BELGI-C1
hyperfine, an extended version of the BELGI-C1 code that includes the effect of
the (14)N quadrupole coupling, to root-mean-square deviations of 16.9 and 3.0
kHz, respectively. Quantum chemical calculations were performed to complement the
experimental results. The BELGI-C1-hyperfine code was also used to refit the
recently published microwave data of N-ethylacetamide to measurement accuracy.
PMID- 27213508
TI - Functional limitations, body perceptions, and health outcomes among older African
American women.
AB - OBJECTIVES: African American women experience greater difficulties in physical
function and disproportionately higher rates of obesity compared to other racial
ethnic gender groups; however, positive body perceptions may buffer against
negative psychological and health-related outcomes associated with functional
decline. METHOD: Associations among satisfaction with and importance placed on
body shape and function, body mass index (BMI), physical function, general
health, pain, and emotional well-being were assessed among an urban-dwelling,
community-based sample of African American women ages 65 and older (n = 111).
RESULTS: Higher BMI was associated with worse health and physical function and
lower satisfaction with body shape and function. Body perceptions moderated the
association between physical function and 2 health-relevant outcomes: pain and
emotional well-being. Women who were functioning well and reported high
importance of body shape and function demonstrated the lowest levels of pain and
highest levels of emotional well-being, and women low in physical functioning who
were low in satisfaction with body shape and function had the highest levels of
pain. CONCLUSIONS: These findings provide evidence that there is significant
variation among African American women and risk for negative health outcomes,
particularly for women with varying perceptions of body functionality and body
satisfaction. (PsycINFO Database Record
PMID- 27213510
TI - Framing and source effects on White college students' reactions to racial
inequity information.
AB - OBJECTIVES: This study addressed: (a) Do professors' race/ethnicity and the race
related inequity information they present influence students' evaluations of the
professors, acknowledgment of racial inequity, or motivation to respond without
prejudice (MRWP)? (b) Do collective guilt and students' evaluations of professors
mediate these relationships? METHOD: White American undergraduate students (N =
614, 66.3% females, 64.7% first year, mean age of 19.3 years [age SD = 1.5])
completed an anonymous online survey. Students imagined they were taking a racial
diversity course with either a Black or a White male professor who presented
either White privilege or Black disadvantage statements. Participants then
completed surveys that assessed their evaluations of the professor, collective
guilt, beliefs regarding racial inequity, and MRWP. RESULTS: Students evaluated
White professors as having lower expertise, learning conduciveness, and
warmth/intelligence but rated Black professors as more biased. Consistent with
the inequality-framing model, intergroup sensitivity effect (ISE), and findings
from prejudice confrontation research, White professors induced greater
acknowledgment of racial inequity when they discussed White privilege rather than
Black disadvantage. But, Black professors induced more external MRWP when they
presented White privilege rather than Black disadvantage. Students' perceptions
of the professors' warmth/intelligence determined the effectiveness of the
inequity message while perceptions of the professors' expertise, judgmental, and
conduciveness to learning determined students' concerns about appearing
prejudiced. CONCLUSIONS: The presenters' race/ethnicity and how they frame racial
inequity information affect students' evaluation of the presenters, the message
effectiveness, and students' external MRWP. (PsycINFO Database Record
PMID- 27213509
TI - Ethnic differences in social support after initial receipt of an abnormal
mammogram.
AB - OBJECTIVES: We examine access to and type of social support after initial receipt
of an abnormal mammogram across non-Latina White (NLW), African American, and
Latina women. METHOD: This cross-sectional study used a mixed method design, with
quantitative and qualitative measures. Women were recruited through 2 community
advocates and 3 breast-health-related care organizations. RESULTS: With regard to
access, African American women were less likely to access social support relative
to NLW counterparts. Similar nonsignificant differences were found for Latinas.
Women did not discuss results with family and friends to avoid burdening social
networks and negative reactions. Networks' geographic constraints and medical
mistrust influenced Latina and African American women's decisions to discuss
results. With regard to type of social support, women reported emotional support
across ethnicity. Latina and African American women reported more instrumental
support, whereas NLW women reported more informational support in the context of
their well-being. CONCLUSIONS: There are shared and culturally unique aspects of
women's experiences with social support after initially receiving an abnormal
mammogram. Latina and African American women may particularly benefit from
informational support from health care professionals. Communitywide efforts to
mitigate mistrust and encourage active communication about cancer may improve
ethnic disparities in emotional well-being and diagnostic resolution during
initial receipt of an abnormal mammogram. (PsycINFO Database Record
PMID- 27213511
TI - Synthesis of Composition Tunable and Highly Luminescent Cesium Lead Halide
Nanowires through Anion-Exchange Reactions.
AB - Here, we demonstrate the successful synthesis of brightly emitting colloidal
cesium lead halide (CsPbX3, X = Cl, Br, I) nanowires (NWs) with uniform diameters
and tunable compositions. By using highly monodisperse CsPbBr3 NWs as templates,
the NW composition can be independently controlled through anion-exchange
reactions. CsPbX3 alloy NWs with a wide range of alloy compositions can be
achieved with well-preserved morphology and crystal structure. The NWs are highly
luminescent with photoluminescence quantum yields (PLQY) ranging from 20% to 80%.
The bright photoluminescence can be tuned over nearly the entire visible
spectrum. The high PLQYs together with charge transport measurements exemplify
the efficient alloying of the anionic sublattice in a one-dimensional CsPbX3
system. The wires increased functionality in the form of fast photoresponse rates
and the low defect density suggest CsPbX3 NWs as prospective materials for
optoelectronic applications.
PMID- 27213512
TI - Developmentally sensitive markers of personality functioning in adolescents: Age
specific and age-neutral expressions.
AB - Criterion D of the Diagnostic and Statistical Manual of Mental Disorders (5th
ed.; DSM-5; American Psychiatric Association [APA], 2013) refers to a possible
onset of personality disorders (PDs) in adolescence and in Section II the
development/course in adolescence is described by some typical characteristics
for several PDs. Yet, age-specific expressions of PDs are lacking in Section III.
We urgently need a developmentally sensitive assessment instrument that
differentiates developmental and contextual changes on the one hand from
expressions of personality pathology on the other hand. Therefore we investigated
which items of the Severity Indices for Personality Problems-118 (SIPP-118) were
developmentally sensitive throughout adolescence and adulthood and which could be
considered more age-specific markers requiring other content or thresholds over
age groups. Applying item response theory (IRT) we detected differential item
functioning (DIF) in 36% of the items in matched samples of 639 adolescents
versus 639 adults. The DIF across age groups mainly reflected a different degree
of symptom expressions for the same underlying level of functioning. The
threshold for exhibiting symptoms given a certain degree of personality
dysfunction was lower in adolescence for areas of personality functioning related
to the Self and Interpersonal domains. Some items also measured a latent
construct of personality functioning differently across adolescents and adults.
This suggests that several facets of the SIPP-118 do not solely measure aspects
of personality pathology in adolescents, but likely include more developmental
issues. (PsycINFO Database Record
PMID- 27213513
TI - Stress generation in adolescence: Contributions from five-factor model (FFM)
personality traits and childhood maltreatment.
AB - Youth with depression are theorized to generate stress in their lives because of
a complex interaction between their personal characteristics and their chronic
environmental context. Using a moderated regression approach, we provided a novel
test of this hypothesis by examining whether adolescent 5-factor model
personality traits moderate the associations between early emotional, physical,
and sexual maltreatment and life events experienced in the past 6 months.
Participants in this cross-sectional study were 110 adolescents (M = 16.24, SD =
1.53, age range = 13-17, 74.5% female) with major depressive disorder. The
relation of physical maltreatment to dependent interpersonal life events was
moderated by extraversion. Among physically maltreated youth, dependent
interpersonal events were positively associated with extraversion. Further, the
relation of sexual maltreatment to independent events were moderated by
extraversion and agreeableness. Among sexually maltreated youth, independent
events were negatively associated with extraversion and positively associated
with agreeableness. The observed vulnerability-risk interactions are discussed in
terms of their implications for understanding the role of stress generation
mechanisms in an integrated model of depression. (PsycINFO Database Record
PMID- 27213514
TI - Laboratory evaluation of electrostatic spray wet scrubber to control particulate
matter emissions from poultry facilities.
AB - Particulate matter (PM) is a major air pollutant from animal production with
significant impacts on human health and the environment. Abatement of PM
emissions is imperative and effective PM control technologies are strongly
needed. In this work, an electrostatic spray wet scrubber (ESWS) technology
designed for removal of PM (PM10 and PM2.5) emissions from poultry facilities was
evaluated under simulated laboratory conditions. Effects of primary operating
parameters - including charging voltage (0-14 kV), air speed (0.5-3.5 ms-1),
droplet diameter (100-300 um), and PM concentration (1-5 mg m-3) on the PM
removal performance of the ESWS - were investigated. Predictive empirical models
for PM10 and PM2.5 removal efficiencies were also developed. The preliminary
results showed that the ESWS technology reduced emissions of PM10 by 85-94% and
PM2.5 by 85-88% with a charging voltage of 7 kV, air speed of 0.5-0.75 m s-1, and
droplet diameter of 100-150 um. The water consumption rate was approximately 2.35
L min-1, while the total power consumed was 270 W. This study demonstrates that
the ESWS could be a potentially effective and feasible tool in controlling PM
emissions for commercial poultry facilities.
PMID- 27213515
TI - Long-Acting Reversible Contraceptives: An Important Approach to Reduce Unintended
Pregnancies.
PMID- 27213516
TI - Ionic Strength Is a Barrier to the Habitability of Mars.
AB - The thermodynamic availability of water (water activity) strictly limits
microbial propagation on Earth, particularly in hypersaline environments. A
considerable body of evidence indicates the existence of hypersaline surface
waters throughout the history of Mars; therefore it is assumed that, as on Earth,
water activity is a major limiting factor for martian habitability. However, the
differing geological histories of Earth and Mars have driven variations in their
respective aqueous geochemistry, with as-yet-unknown implications for
habitability. Using a microbial community enrichment approach, we investigated
microbial habitability for a suite of simulated martian brines. While the
habitability of some martian brines was consistent with predictions made from
water activity, others were uninhabitable even when the water activity was
biologically permissive. We demonstrate experimentally that high ionic strength,
driven to extremes on Mars by the ubiquitous occurrence of multivalent ions,
renders these environments uninhabitable despite the presence of biologically
available water. These findings show how the respective geological histories of
Earth and Mars, which have produced differences in the planets' dominant water
chemistries, have resulted in different physicochemical extremes which define the
boundary space for microbial habitability. KEY WORDS: Habitability-Mars-Salts
Water activity-Life in extreme environments. Astrobiology 16, 427-442.
PMID- 27213517
TI - Spontaneous mutations and the origin and maintenance of quantitative genetic
variation.
AB - Mutation and natural selection shape the genetic variation in natural
populations. Here, we directly estimated the spontaneous mutation rate by
sequencing new Drosophila mutation accumulation lines maintained with minimal
natural selection. We inferred strong stabilizing natural selection on
quantitative traits because genetic variation among wild-derived inbred lines was
much lower than predicted from a neutral model and the mutational effects were
much larger than allelic effects of standing polymorphisms. Stabilizing selection
could act directly on the traits, or indirectly from pleiotropic effects on
fitness. However, our data are not consistent with simple models of mutation
stabilizing selection balance; therefore, further empirical work is needed to
assess the balance of evolutionary forces responsible for quantitative genetic
variation.
PMID- 27213518
TI - The endoplasmic reticulum, not the pH gradient, drives calcium refilling of
lysosomes.
AB - Impaired homeostasis of lysosomal Ca(2+) causes lysosome dysfunction and
lysosomal storage diseases (LSDs), but the mechanisms by which lysosomes acquire
and refill Ca(2+) are not known. We developed a physiological assay to monitor
lysosomal Ca(2+) store refilling using specific activators of lysosomal Ca(2+)
channels to repeatedly induce lysosomal Ca(2+) release. In contrast to the
prevailing view that lysosomal acidification drives Ca(2+) into the lysosome,
inhibiting the V-ATPase H(+) pump did not prevent Ca(2+) refilling. Instead,
pharmacological depletion or chelation of Endoplasmic Reticulum (ER) Ca(2+)
prevented lysosomal Ca(2+) stores from refilling. More specifically, antagonists
of ER IP3 receptors (IP3Rs) rapidly and completely blocked Ca(2+) refilling of
lysosomes, but not in cells lacking IP3Rs. Furthermore, reducing ER Ca(2+) or
blocking IP3Rs caused a dramatic LSD-like lysosome storage phenotype. By closely
apposing each other, the ER may serve as a direct and primary source of Ca(2+)for
the lysosome.
PMID- 27213519
TI - Olfactory channels associated with the Drosophila maxillary palp mediate short-
and long-range attraction.
AB - The vinegar fly Drosophila melanogaster is equipped with two peripheral olfactory
organs, antenna and maxillary palp. The antenna is involved in finding food,
oviposition sites and mates. However, the functional significance of the
maxillary palp remained unknown. Here, we screened the olfactory sensory neurons
of the maxillary palp (MP-OSNs) using a large number of natural odor extracts to
identify novel ligands for each MP-OSN type. We found that each type is the sole
or the primary detector for a specific compound, and detects these compounds with
high sensitivity. We next dissected the contribution of MP-OSNs to behaviors
evoked by their key ligands and found that MP-OSNs mediate short- and long-range
attraction. Furthermore, the organization, detection and olfactory receptor (Or)
genes of MP-OSNs are conserved in the agricultural pest D. suzukii. The novel
short and long-range attractants could potentially be used in integrated pest
management (IPM) programs of this pest species.
PMID- 27213522
TI - Combined Recording of Mechanically Stimulated Afferent Output and Nerve Terminal
Labelling in Mouse Hair Follicle Lanceolate Endings.
AB - A novel dissection and recording technique is described for monitoring afferent
firing evoked by mechanical displacement of hairs in the mouse pinna. The
technique is very cost-effective and easily undertaken with materials commonly
found in most electrophysiology laboratories, or easily purchased. The dissection
is simple and fast, with the mechanical displacement provided by a generic
electroceramic wafer controlled by proprietary software. The same software also
records and analyses the electroneurogram output. The recording of the evoked
nerve activity is through a commercial differential amplifier connected to fire
polished standard glass microelectrodes. Helpful tips are given for improving the
quality of the preparation, the stimulation and the recording conditions to
optimize recording quality. The system is suitable for assaying the
electrophysiological and optical properties of lanceolate terminals of palisade
endings of hair follicles, as well as the outcomes from their pharmacological
and/or genetic manipulation. An example of combining electrical recording with
mechanical stimulation and labeling with a styryl pyridinium vital dye is given.
PMID- 27213523
TI - Solution-Grown ZnO Films toward Transparent and Smart Dual-Color Light-Emitting
Diode.
AB - An individual light-emitting diode (LED) capable of emitting different colors of
light under different bias conditions not only allows for compact device
integration but also extends the functionality of the LED beyond traditional
illumination and display. Herein, we report a color-switchable LED based on
solution-grown n-type ZnO on p-GaN/n-GaN heterojunction. The LED emits red light
with a peak centered at ~692 nm and a full width at half-maximum of ~90 nm under
forward bias, while it emits green light under reverse bias. These two lighting
colors can be switched repeatedly by reversing the bias polarity. The bias
polarity-switched dual-color LED enables independent control over the lighting
color and brightness of each emission with two-terminal operation. The results
offer a promising strategy toward transparent, miniaturized, and smart LEDs,
which hold great potential in optoelectronics and optical communication.
PMID- 27213524
TI - The Apex of Literature Reviews: Cochrane Org.
PMID- 27213521
TI - Functional synergy between the Munc13 C-terminal C1 and C2 domains.
AB - Neurotransmitter release requires SNARE complexes to bring membranes together,
NSF-SNAPs to recycle the SNAREs, Munc18-1 and Munc13s to orchestrate SNARE
complex assembly, and Synaptotagmin-1 to trigger fast Ca(2+)-dependent membrane
fusion. However, it is unclear whether Munc13s function upstream and/or
downstream of SNARE complex assembly, and how the actions of their multiple
domains are integrated. Reconstitution, liposome-clustering and
electrophysiological experiments now reveal a functional synergy between the C1,
C2B and C2C domains of Munc13-1, indicating that these domains help bridging the
vesicle and plasma membranes to facilitate stimulation of SNARE complex assembly
by the Munc13-1 MUN domain. Our reconstitution data also suggest that Munc18-1,
Munc13-1, NSF, alphaSNAP and the SNAREs are critical to form a 'primed' state
that does not fuse but is ready for fast fusion upon Ca(2+) influx. Overall, our
results support a model whereby the multiple domains of Munc13s cooperate to
coordinate synaptic vesicle docking, priming and fusion.
PMID- 27213525
TI - Letters to the Editor.
PMID- 27213520
TI - Time-resolved studies define the nature of toxic IAPP intermediates, providing
insight for anti-amyloidosis therapeutics.
AB - Islet amyloidosis by IAPP contributes to pancreatic beta-cell death in diabetes,
but the nature of toxic IAPP species remains elusive. Using concurrent time
resolved biophysical and biological measurements, we define the toxic species
produced during IAPP amyloid formation and link their properties to induction of
rat INS-1 beta-cell and murine islet toxicity. These globally flexible, low order
oligomers upregulate pro-inflammatory markers and induce reactive oxygen species.
They do not bind 1-anilnonaphthalene-8-sulphonic acid and lack extensive beta
sheet structure. Aromatic interactions modulate, but are not required for
toxicity. Not all IAPP oligomers are toxic; toxicity depends on their partially
structured conformational states. Some anti-amyloid agents paradoxically prolong
cytotoxicity by prolonging the lifetime of the toxic species. The data highlight
the distinguishing properties of toxic IAPP oligomers and the common features
that they share with toxic species reported for other amyloidogenic polypeptides,
providing information for rational drug design to treat IAPP induced beta-cell
death.
PMID- 27213526
TI - Our Senior Editorial Reviewers: A Centerpiece.
PMID- 27213527
TI - Enhanced Bone Bonding to Nanotextured Implant Surfaces at a Short Healing Period:
A Biomechanical Tensile Testing in the Rat Femur.
AB - PURPOSE: To compare the bone bonding capabilities of 2 different surface
treatments at an early healing period. Titanium alloy (Ti6Al4V) custom-made
rectangular plates (1.4 * 2.4 * 4 mm) were either dual acid etched (Ti6Al4V-DAE)
or DAE/nanotextured blasted (Ti6Al4V-NTB). MATERIALS AND METHODS: Implants were
placed in the distal femurs of 10 Wistar rats and were allowed to heal for 9
days. After euthanasia, the bone immediately proximal and distal to the implant
was removed to test the bone bonding force with a universal testing machine.
Ultrastructure of the bone/implant interface was assessed by scanning electron
microscopy. RESULTS: Ti6Al4V-NTB samples exhibited significantly greater bond
strength than Ti6Al4V-DAE samples. Morphologically, the Ti6Al4V-NTB surfaces
presented intimate interaction with bone, whereas little interaction between the
Ti6Al4V-DAE surface and bone was observed. CONCLUSION: The results of this study
indicated a significant increase in bone bonding for the DAE/nanotextured blasted
surface, which is suggested to be the outcome of the nanotexturing.
PMID- 27213528
TI - Effect of Different Abutments and Connections in Deformation Crestal Bone.
AB - STATEMENT OF PROBLEM: The use of Morse taper connections is increasing, but
little is known about the biomechanical use of abutments and their use in fixed
prostheses. PURPOSE: This study evaluated the transmission of load on the bone
implant-supported dentures, varying the type of prosthetic connection and
abutment. MATERIAL AND METHODS: Using 4 polyurethane models, 3 implants were
inserted into each block, establishing the following groups: (a) external hexagon
and Micro-Unit abutments; (b) external hexagon and UCLA abutment; (c) Morse taper
and Micro-Unit abutments; and (d) Morse taper and UCLA abutments. The prosthetic
structures were cast, and in a universal testing machine, load was applied midway
between the implants, with cantilever intervals of 5, 10, 15, 20, and 25 mm. Data
were analyzed by Mann-Whitney, Friedman, and Kruskal-Wallis tests (P < 0.05).
RESULTS: Regarding the prosthetic connection, there was no difference in the use
of hexagonal or Morse taper, but the use of Micro-Unit abutment showed lower
deformation values than UCLA for the 2 connections. CONCLUSIONS: The use of
intermediate abutments affects the distribution of masticatory loads: the greater
the length of the cantilever, the greater the surface deformation of the bone
around the distal implant.
PMID- 27213530
TI - Successful Surgical Protocols in the Treatment of Peri-Implantitis: A Narrative
Review of the Literature.
AB - BACKGROUND: The aim was to identify and evaluate those surgical protocols
reporting on positive clinical outcomes for treating peri-implantitis with 12 or
more months of follow-up. Method of surface decontamination (SDC) was evaluated
for any correlation with outcomes. RESULTS: A literature search was performed of
all articles published in English between January 1, 2001 and April 30, 2015. Of
the 639 identified, 26 satisfied the inclusion criteria. Outcomes reported on
included reductions in bleeding on probing (BoP) and probing depth (PD), mean
radiographic bone fill (RBF), and mean change in marginal soft tissue levels
(MR+/-). Methods of SDC included mechanical debridement (MD) with and without
saline use, MD plus laser or photodynamic therapy, MD with air powder abrasion,
MD with chemotherapeutic implant surface decontamination, and combination
approaches. The results suggested that various methods of SDC were effective.
Heterogeneity of the studies made it impossible to determine correlations between
clinical outcome and SDC method. Most studies over 12 months reporting better
treatment outcomes employed a bone replacement. Additionally, studies where
patients with periodontitis were treated before their peri-implantitis care also
had better outcomes. CONCLUSION: The current review failed to reveal any
correlation between any particular method for SDC or defect treatment protocol
and positive clinical outcomes. Further comparative studies are warranted to
determine the most appropriate approach for both of these topics.
PMID- 27213529
TI - Three-Dimensional Alveolar Bone Anatomy of the Maxillary First Molars: A Cone
Beam Computed Tomography Study With Implications for Immediate Implant Placement.
AB - PURPOSE: To retrospectively evaluate alveolar dimensions of healthy maxillary
first molars (MFMs), which have implications for (immediate) implant placement
and endodontic therapy. MATERIALS AND METHODS: Cone-beam computed tomographic
records of 95 patients (150 MFMs) were used [32 men and 63 men, aged 37.2 (14.9)
years]. The vertical distance from sinus floor (SF) and MFM apexes (mesio-buccal
[MB] and disto-buccal [DB]) and also distance between root apexes (spreading of
the roots, MB to palatal and DB to palatal, representing bone width) were
measured. RESULT: The mean (SD) thickness of buccal and palatal bony walls (2 mm
from crest) was 1.58 (0.6) mm and 1.34 (0.54) mm, respectively. The mesio-distal
and bucco-lingual socket sizes at crest were 7.3 (0.84) mm and 10.5 (0.90) mm,
respectively. The mean distance from SF to MFM furcation was 6.51 (2.94) mm. The
mean distance (95% confidence interval) between SF and MB, DB, and palatal apexes
was -0.36 mm (-0.91 to 0.19), 0.32 mm (-0.27 to 0.9), and -2.2 mm (-2.7 to -1.7),
retrospectively. Significant differences were found for root apex distances to SF
for MB versus palatal roots and DB roots versus palatal roots, but not for MB
versus DB roots (P > 0.05). CONCLUSION: The present findings on MFM dento
alveolar morphology, in particular high intrusion of palatal roots into SF, can
be used as a clinical risk management tool for immediate implant placement and
endodontic therapy.
PMID- 27213531
TI - Missing Friends.
PMID- 27213533
TI - Retraction: Gut Microbiota Is a Key Modulator of Insulin Resistance in TLR 2
Knockout Mice.
PMID- 27213532
TI - Who Has Mycobacterial Disease? A Cross Sectional Study in Agropastoral
Communities in Tanzania.
AB - OBJECTIVE: To determine and describe clinical symptoms, demographic
characteristics and environmental exposures as determinants of pulmonary
mycobacterial diseases among patients examined for tuberculosis in agropastoral
communities in Northern Tanzania. METHODS: This was a cross sectional study.
Sputum samples were collected from patients attending three hospitals in
Tanzania, and were investigated for pulmonary tuberculosis by microscopy between
November 2010 and June 2012. The patients were interviewed about background
information, and potential exposure to mycobacteria. RESULTS: We examined 1,711
presumptive tuberculosis cases where 936 (54.2%) were males and 775 (45.3%)
females. Of all the study participants, 277 (16%) were found to have sputum
samples positive for mycobacteria; 228 (13%) were smear positive, 123 (7%) were
culture positive and 74 (4%) were positive by both smear microscopy and culture.
Of the 123 mycobacterial culture positive, 15 (12.2%) had non-tuberculous
mycobacteria. Males were more likely than females to be positive for
mycobacteria. Factors associated with mycobacterial disease were loss of
appetite, age groups below 41 years, and being a male. Among HIV negative
patients, loss of appetite, age below 20 years and being a male were associated
with being mycobacterial positive. Among HIV positive patients, males and those
patients with a persistently coughing family member were more likely to harbor
mycobacteria. CONCLUSION: The findings in this study show that both M.
tuberculosis and non-tuberculous mycobacterial strains were prevalent in the
study community. Some risk factors were identified. Although the reported
predictors may improve screening for mycobacterial diseases, their use requires
some precaution.
PMID- 27213534
TI - Genome Sequence of Canine Herpesvirus.
AB - Canine herpesvirus is a widespread alphaherpesvirus that causes a fatal
haemorrhagic disease of neonatal puppies. We have used high-throughput methods to
determine the genome sequences of three viral strains (0194, V777 and V1154)
isolated in the United Kingdom between 1985 and 2000. The sequences are very
closely related to each other. The canine herpesvirus genome is estimated to be
125 kbp in size and consists of a unique long sequence (97.5 kbp) and a unique
short sequence (7.7 kbp) that are each flanked by terminal and internal inverted
repeats (38 bp and 10.0 kbp, respectively). The overall nucleotide composition is
31.6% G+C, which is the lowest among the completely sequenced alphaherpesviruses.
The genome contains 76 open reading frames predicted to encode functional
proteins, all of which have counterparts in other alphaherpesviruses. The
availability of the sequences will facilitate future research on the diagnosis
and treatment of canine herpesvirus-associated disease.
PMID- 27213535
TI - Frequent Occurrence of Tomato Leaf Curl New Delhi Virus in Cotton Leaf Curl
Disease Affected Cotton in Pakistan.
AB - Cotton leaf curl disease (CLCuD) is the major biotic constraint to cotton
production on the Indian subcontinent, and is caused by monopartite begomoviruses
accompanied by a specific DNA satellite, Cotton leaf curl Multan betasatellite
(CLCuMB). Since the breakdown of resistance against CLCuD in 2001/2002, only one
virus, the "Burewala" strain of Cotton leaf curl Kokhran virus (CLCuKoV-Bur), and
a recombinant form of CLCuMB have consistently been identified in cotton across
the major cotton growing areas of Pakistan. Unusually a bipartite isolate of the
begomovirus Tomato leaf curl virus was identified in CLCuD-affected cotton
recently. In the study described here we isolated the bipartite begomovirus
Tomato leaf curl New Delhi virus (ToLCNDV) from CLCuD-affected cotton. To assess
the frequency and geographic occurrence of ToLCNDV in cotton, CLCuD-symptomatic
cotton plants were collected from across the Punjab and Sindh provinces between
2013 and 2015. Analysis of the plants by diagnostic PCR showed the presence of
CLCuKoV-Bur in all 31 plants examined and ToLCNDV in 20 of the samples.
Additionally, a quantitative real-time PCR analysis of the levels of the two
viruses in co-infected plants suggests that coinfection of ToLCNDV with the
CLCuKoV-Bur/CLCuMB complex leads to an increase in the levels of CLCuMB, which
encodes the major pathogenicity (symptom) determinant of the complex. The
significance of these results are discussed.
PMID- 27213536
TI - Cutaneous Melanoma with Brain Metastasis: Report of 193 Patients with New
Observations.
AB - BACKGROUND: Brain metastasis is a common endpoint in patients suffering from
malignant melanoma. However, little is known about factors that predispose to
brain metastases. OBJECTIVE: We performed a retrospective clinical and
pathological investigation of melanoma patients with brain metastases in order to
better characterise this patient population. METHODS: 193 melanoma patients with
brain metastasis histologically diagnosed between 1990 and 2015 at the University
Hospital Zurich were retrospectively identified and further specified for sex,
age at diagnosis and detection of brain metastasis, and localisation. In
addition, data were extracted regarding the subtype of primary melanoma, Breslow
tumour thickness, Clark Level, mutation status, extent of metastatic spread and
history of a second melanoma. RESULTS: We found a significant male predominance
(n = 126/193; 65%; p < 0.001). Breslow tumour thickness showed a wide range from
0.2 to 12.0 mm (n = 99; median 2.3 mm). 14 of 101 melanomas (14%) were classified
as T1, thereof 11 (79%) were found in men. In 32 of 193 patients (17%), the
primary melanoma was unknown. CONCLUSIONS: Of special interest in our series is
the high incidence of male predominance (79%) in cases of thin metastasing
melanoma (14%), implicating genetic or epigenetic (hormonal) gender differences
underlying tumour progression. Additionally, the high percentage of unknown
primary melanoma (17%), at least partly representing completely regressed
melanomas, indicates the importance of immune surveillance in melanoma
progression.
PMID- 27213538
TI - Isolation and Flow Cytometric Characterization of Murine Small Intestinal
Lymphocytes.
AB - The intestines - which contain the largest number of immune cells of any organ in
the body - are constantly exposed to foreign antigens, both microbial and
dietary. Given an increasing understanding that these luminal antigens help shape
the immune response and that education of immune cells within the intestine is
critical for a number of systemic diseases, there has been increased interest in
characterizing the intestinal immune system. However, many published protocols
are arduous and time-consuming. We present here a simplified protocol for the
isolation of lymphocytes from the small-intestinal lamina propria,
intraepithelial layer, and Peyer's patches that is rapid, reproducible, and does
not require laborious Percoll gradients. Although the protocol focuses on the
small intestine, it is also suitable for analysis of the colon. Moreover, we
highlight some aspects that may need additional optimization depending on the
specific scientific question. This approach results in the isolation of large
numbers of viable lymphocytes that can subsequently be used for flow cytometric
analysis or alternate means of characterization.
PMID- 27213539
TI - Tandem Incorporation of Enantiomeric Residues Engenders Discrete Peptoid
Structures.
AB - A new peptoid design strategy entailing the concurrent inclusion of enantiomeric
side chains enabled the construction of several new structural motifs, including
a newly characterized "omega-strand". This new architectural technique
significantly expands peptoid structural and functional space and can potentially
be applied to other foldameric systems.
PMID- 27213537
TI - Effect of the IL-1 Receptor Antagonist Kineret(r) on Disease Phenotype in mdx
Mice.
AB - Duchenne muscular dystrophy (DMD) is an X-linked muscle disease caused by
mutations in the dystrophin gene. The pathology of DMD manifests in patients with
progressive muscle weakness, loss of ambulation and ultimately death. One of the
characteristics of DMD is muscle inflammation, and dystrophin-deficient skeletal
muscles produce higher levels of the pro-inflammatory cytokine interleukin 1beta
(IL-1beta) in response to toll like receptor (TLR) stimulation compared to
controls; therefore, blocking the IL-1beta pathway could improve the disease
phenotype in mdx mice, a mouse model of DMD. Kineret(r) or IL-1Ra is a
recombinant IL-1 receptor antagonist approved by the FDA for treating rheumatoid
arthritis. To determine the efficacy of IL-1Ra in a DMD model, we administered
subcutaneous injections of saline control or IL-1Ra (25 mg/kg/day) to mdx mice
daily for 45 days beginning at 5 weeks of age. Functional and histological
parameters were measured at the conclusion of the study. IL-1Ra only partially
inhibited this signaling pathway in this study; however, there were still
interesting observations to be noted. For example, although not significantly
changed, splenocytes from the IL-1Ra-treated group secreted less IL-1beta after
LPS stimulation compared to control mice indicating a blunted response and
incomplete inhibition of the pathway (37% decrease). In addition, normalized
forelimb grip strength was significantly increased in IL-1Ra-treated mice. There
were no changes in EDL muscle-specific force measurements, histological
parameters, or motor coordination assessments in the dystrophic mice after IL-1Ra
treatment. There was a significant 27% decrease in the movement time and total
distance traveled by the IL-1Ra treated mice, correlating with previous studies
examining effects of IL-1 on behavior. Our studies indicate partial blocking of
IL-1beta with IL-1Ra significantly altered only a few behavioral and strength
related disease parameters; however, treatment with inhibitors that completely
block IL-1beta, pathways upstream of IL-1beta production or combining various
inhibitors may produce more favorable outcomes.
PMID- 27213540
TI - The Impact of Price-cap Regulations on Exit by Generic Pharmaceutical Firms.
AB - BACKGROUND: In 1998, the Province of Ontario in Canada adopted price-cap "70/90"
regulations whereby the first generic entrant was required to be priced at <=70%
of the associated brand-name product and subsequent generics were priced at <=90%
of the first generic price. The price-caps were further lowered to 50% in 2006
and 25% in 2010. This study assessed the impact of such price-cap regulations on
exit by generic drug firms. METHODS: Formulary (2003-2012) listings of
prescription drugs covered under the Ontario Drug Benefit program were used. The
formulary tracks the "status" (on formulary, discontinued by manufacturer, and
delisted for other reasons) for each drug. Markets were defined based on unique
active ingredient and form within Ontario. Firm exit occurred when a manufacturer
discontinued all its generic drugs within a market. The exit rate was defined as
the number of generic firm-market exits divided by total generic firm-market
follow-up years. Poisson regression was used to compare the exit rates during the
3 policy periods ("25," "50," and "70/90"). RESULTS: A total of 1126 generic
manufacturers paired with 290 markets were identified. The exit rate ratio during
the 25% price-cap period compared with the 70%/90% period was 2.42 (95%
confidence interval, 1.56-3.77). A small manufacturer or a manufacturer in a
market with >=3 competitors or in an older market was more likely to exit.
CONCLUSIONS: Lowering the price-cap level is associated with a higher incidence
of generic firm exit from markets. Continuously reducing price-caps may have the
unintended consequence of forcing generic firms to exit.
PMID- 27213542
TI - Increased Risk of Burnout for Physicians and Nurses Involved in a Patient Safety
Incident.
AB - BACKGROUND: Human errors occur everywhere, including in health care. Not only the
patient, but also the involved health professional is affected (ie, the "second
victim"). OBJECTIVES: To investigate the prevalence of health care professionals
being personally involved in a patient safety incident (PSI), as well as the
relationship of involvement and degree of harm with problematic medication use,
excessive alcohol consumption, risk of burnout, work-home interference (WHI), and
turnover intentions. RESEARCH DESIGN: Multilevel path analyses were conducted to
analyze cross-sectional survey data from 37 Belgian hospitals. SUBJECTS: A total
of 5788 nurses (79.4%) and physicians (20.6%) in 26 acute and 11 psychiatric
hospitals were included. MEASURES: "Involvement in a patient safety incident
during the prior 6 months," "degree of harm," and 5 outcomes were measured using
self-report scales. RESULTS: Nine percent of the total sample had been involved
in a PSI during the prior 6 months. Involvement in a PSI was related to a greater
risk of burnout (beta=0.40, OR=2.07), to problematic medication use (beta=0.33,
OR=1.84), to greater WHI (beta=0.24), and to more turnover intentions
(beta=0.22). Harm to the patient was a predictor of problematic medication use
(beta=0.14, OR=1.56), risk of burnout (beta=0.16, OR=1.62), and WHI (beta=0.19).
CONCLUSIONS: Second victims experience significant negative outcomes in the
aftermath of a PSI. An appropriate organizational response should be provided to
mitigate the negative effects.
PMID- 27213543
TI - Influences of Socioeconomic Status on Costs of Asthma Under Universal Health
Coverage.
AB - BACKGROUND: Despite Canada's provision of universal health coverage,
socioeconomic barriers to good-quality health care remain. Asthma provides an
appropriate case study given its high prevalence, availability of effective
controller therapies, and known variations in care. OBJECTIVES: The aim of this
study is to examine the extent of differences in the economic burden of asthma
and indicators of guideline-based care across socioeconomic status (SES)
gradients. RESEARCH DESIGN: A total of 29,283 adults with moderate to severe
asthma were identified from British Columbia's administrative health data for the
year 1997-2013 and were matched to adults without asthma on the basis of sex and
age. Direct medical costs (in 2013 Canadian dollars, $) included all-cause
inpatient, outpatient, and pharmacy costs. SES was measured at individual
(receiving social assistance) and aggregate (median neighborhood household
income) levels. We assessed the impact of SES on excess direct costs of asthma
(the difference in all-cause direct medical costs between an asthma patient and
the matched individual), and on use of asthma controller versus reliever
medications. Associations were evaluated using generalized linear models.
RESULTS: Asthmatics receiving social assistance incurred $706 (95% confidence
interval, $302-$1014) higher annual excess costs than the rest of the sample.
Annual excess costs were $120 ($18-$168) higher in low-SES versus high-SES
neighborhoods. Low SES, at both individual and aggregate levels, was consistently
associated with lower expenses on controller medications and higher expenses on
reliever medications, indicators of suboptimal asthma care and control.
CONCLUSION: Even under universal health care, individuals in lower SES groups did
not receive guideline-based asthma care, potentially explaining their higher
costs.
PMID- 27213544
TI - Predicting Patients at Risk for 3-Day Postdischarge Readmissions, ED Visits, and
Deaths.
AB - BACKGROUND: Transitional care interventions can be utilized to reduce post
hospital discharge adverse events (AEs). However, no methodology exists to
effectively identify high-risk patients of any disease across multiple hospital
sites and patient populations for short-term postdischarge AEs. OBJECTIVES: To
develop and validate a 3-day (72 h) AEs prediction model using electronic health
records data available at the time of an indexed discharge. RESEARCH DESIGN:
Retrospective cohort study of admissions between June 2012 and June 2014.
SUBJECTS: All adult inpatient admissions (excluding in-hospital deaths) from a
large multicenter hospital system. MEASURES: All-cause 3-day unplanned
readmissions, emergency department (ED) visits, and deaths (REDD). The REDD model
was developed using clinical, administrative, and socioeconomic data, with data
preprocessing steps and stacked classification. Patients were divided randomly
into training (66.7%), and testing (33.3%) cohorts to avoid overfitting. RESULTS:
The derivation cohort comprised of 64,252 admissions, of which 2782 (4.3%)
admissions resulted in 3-day AEs and 13,372 (20.8%) in 30-day AEs. The c
statistic (also known as area under the receiver operating characteristic curve)
of 3-day REDD model was 0.671 and 0.664 for the derivation and validation cohort,
respectively. The c-statistic of 30-day REDD model was 0.713 and 0.711 for the
derivation and validation cohort, respectively. CONCLUSIONS: The 3-day REDD model
predicts high-risk patients with fair discriminative power. The discriminative
power of the 30-day REDD model is also better than the previously reported models
under similar settings. The 3-day REDD model has been implemented and is being
used to identify patients at risk for AEs.
PMID- 27213545
TI - Second Medical Opinion: Utilization Rates and Characteristics of Seekers in a
General Population.
AB - BACKGROUND: Second opinion (SO) is common in medical practice and can reduce
unnecessary risks and costs. To date, there is no population-based estimation of
how many people seek SOs and what the characteristics of second-opinion seekers
are. OBJECTIVES: To estimate how many people seek SOs, and what the
characteristics of second-opinion seekers are. METHODS: We conducted both a
medical records analysis (n=1,392,907) and a cross-sectional national telephone
survey with a representative sample of the general Israeli population (n=848,
response rate=62%). In the medical records analysis, we linked consultations with
specialists at community secondary care and private consultations using claims
data. We developed a time-sensitive algorithm that identified potential SO
instances. In both methods, we predicted the characteristics of second-opinion
seekers using multivariate logistic regressions. RESULTS: The medical records
analysis and the survey findings were highly consistent, and showed that about
sixth (14.9% in the medical records vs. 17.2% in the survey) of a general
population sought a SO, mostly from orthopedic surgeons. Women, native-born, and
established immigrants, people living in central urban areas or close to central
urban areas, people with chronic conditions, and those who perceived their health
status as not very good, were more likely to seek SOs than others. CONCLUSIONS: A
considerable amount of people sought a SO. Certain patient profiles tended to
seek SOs more than others. Such utilization patterns are important to devise
policy regarding SOs, due to their implications on expenditure, policy, clinical
outcomes, and patient satisfaction.
PMID- 27213546
TI - Race/Ethnicity and Health Care Communication: Does Patient-Provider Concordance
Matter?
AB - BACKGROUND: Although many minority patients would prefer a provider of their own
race/ethnicity, the influence of this relationship on patient-provider
communication remains unknown. This analysis examined the effect of patient
provider race/ethnicity concordance on patient-reported provider communication
quality using data from the Medical Expenditure Panel Survey years 2002-2012.
METHODS: Ordinary least squares regressions were executed on communication
rating, measured by the Consumer Assessment of Health Providers and Systems.
RESULTS: Only 13.8% of black, non-Hispanic patients reported their usual source
of care provider matched their race/ethnicity, compared with 94.4% of white, non
Hispanic patients and 43.8% of Hispanic patients. Differences in communication
ratings were driven by patient race, rather than provider race. Although black,
non-Hispanic patients rate their communication significantly higher than their
counterparts overall, there was no significant influence of patient-provider
racial concordance on ratings of communication when controlling for other
sociodemographic variables. CONCLUSIONS: Minorities may seek the services of
minority providers, but they are not more satisfied with patient-provider
communication experience than when in race-discordant provider arrangements.
PMID- 27213547
TI - Scope of Practice Laws and Anesthesia Complications: No Measurable Impact of
Certified Registered Nurse Anesthetist Expanded Scope of Practice on Anesthesia
related Complications.
AB - BACKGROUND: Scope of practice (SOP) laws governing Certified Registered Nurse
Anesthetists (CRNAs) vary by state and drive CRNA practice and reimbursement.
OBJECTIVE: To test whether the odds of an anesthesia complication vary by SOP and
delivery model (CRNA only, anesthesiologist only, or mixed anesthesiologist and
CRNAs team). METHODS: Anesthesia claims and related complications were identified
in a large commercial payor database, including inpatient and ambulatory
settings. Logit regression models were estimated by setting to determine the
impact of SOP and delivery model on the odds of an anesthesia-related
complication, while controlling for patient characteristics, patient
comorbidities, procedure and procedure complexity, and local area economic
factors. RESULTS: Overall, 8 in every 10,000 anesthesia-related procedures had a
complication. However, complications were 4 times more likely in the inpatient
setting (20 per 10,000) than the outpatient setting (4 per 10,000). In both
settings, the odds of a complication were found to differ significantly with
patient characteristics, patient comorbidities, and the procedures being
administered. The odds of an anesthesia-elated complication are particularly high
for procedures related to childbirth. However, complication odds were not found
to differ by SOP or delivery model. CONCLUSIONS: Our research results suggest
that there is strong evidence of differences in the likelihood of anesthesia
complications by patient characteristics, patient comorbidities, and the
procedures being administered, but virtually no evidence that the odds of a
complication differ by SOP or delivery model.
PMID- 27213548
TI - Predictors of Out-of-ACO Care in the Medicare Shared Savings Program.
AB - IMPORTANCE: Patients treated outside of their Medicare Shared Savings Program
(MSSP) accountable care organization (ACO) likely benefit less from the ACO's
integration of care. Consequently, the MSSP's open-network design may preclude
ACOs from improving value in care. OBJECTIVES: Quantify out-of-ACO care in a
single urban ACO and examine associations between patient-level predictors and
out-of-ACO expenditures. RESEARCH DESIGN: Secondary data analysis using Centers
for Medicare and Medicaid ACO Program Claim and Claim Line Feed dataset (dates of
service January 1, 2013-December 31, 2013). Two-part modeling was used to examine
associations between patient-level predictors and likelihood and level of out-of
ACO expenditures. SUBJECTS: Patients were included if they were prospectively
assigned to the MSSP in 2013. Patients were excluded if they declined to share
data with the ACO, were not retrospectively confirmed to be in the ACO, or had
missing data on covariates. Analytic sample included 11,922 patients. MEASURES:
Total out-of-ACO expenditures and out-of-ACO expenditures by place of service.
RESULTS: Of total expenditures, 32.9% were paid to out-of-ACO providers, and
89.8% of beneficiaries had out-of-ACO expenditures. The presence of almost all
medical comorbidities increased out-of-ACO expenditures ($800-$3000 per
comorbidity) across the study population. Racial/ethnic minority groups spent
between $1076 and $1422 less outside of the ACO than white patients, which was
driven by less out-of-ACO outpatient office expenditures ($417-$517 less for each
racial/ethnic minority group). CONCLUSIONS: Out-of-ACO expenditures represented a
significant portion of expenditures for the study population. Medically complex
patients spent more outside of the ACO and represent an important population to
study further.
PMID- 27213550
TI - Acute Hypoxic Test in Patients with Prediabetes.
AB - Shatylo, Valerii B., Tetiana V. Serebrovska, Anna V. Gavalko, Egor Egorov, and
Oleg V. Korkushko. Acute hypoxic test in patients with prediabetes. High Alt Med
Biol. 17:101-107, 2016.-Prediabetes is a state of impaired carbohydrate
metabolism when not all of the symptoms required to label a person as diabetic
are present, but blood glucose is higher than in healthy subjects. Recent
evidence suggests that intermittent hypoxia training (IHT) might provide a cost
effective strategy for improving metabolic functioning. One of the most important
aspects of the successful IHT application is individualized approach to hypoxic
dose and regimen prescription. To establish the relationships between indices of
carbohydrate metabolism and individual resistance to hypoxia, the acute hypoxic
test (AHT, breathing gas mixture with 12% O2 during 20 minutes) was performed in
33 healthy volunteers (mean age, 63.0, range, 44-76; fasting plasma glucose (FPG)
less than 5.6 mmol/L and 2 hours postoral glucose tolerance test (OGTT) glycemia
less than 7.8 mmol/L) and 30 patients with impaired glucose metabolism (mean age,
65.5, range, 44-75; FPG from 5.6 to 6.9 mmol/L and 2 hours post-OGTT glycemia
from 7.8 to 11 mmol/L). Negative correlation was found between the SaO2 level at
20th minute AHT and FPG (r = -0.83; p < 0.01) and insulin (r = -0.27; p < 0.05),
as well as 2 hours post-OGTT glucose and insulin levels (r = -0.75 and -0.40,
respectively). Longer recovery time and less effective functioning of respiratory
and cardiovascular systems were also registered in patients with prediabetes
showing that their cardiovascular resilience is impaired compared to
normoglycemic controls. These patterns of relationship must be considered when
assigning the individual modes of IHT.
PMID- 27213551
TI - Coexpression of CAV-1, AT1-R and FOXM1 in prostate and breast cancer and normal
cell lines and their influence on metastatic properties.
AB - The aim of this study was to evaluate the coexpression of caveolin-1 (CAV-1),
angiotensin II type 1 receptor (AT1-R) and forkhead box Ml (FOXM1) in prostate
and breast cancer cell lines, in comparison with normal cell lines. CAV-1, AT1-R
and FOXM1 expression was determined by reverse transcription-quantitative
polymerase chain reaction and western blot analysis in the prostate cancer cell
lines PC3, DU145 and LNCaP; prostate normal cell line PNT1A; breast cancer cell
lines MCF-7 and MDA-MB-231; and the normal breast cell line 184A1. A correlation
between the expression levels of the investigated genes and their metastatic
properties was determined by the Spearman's rank test (P<0.05) and Aspin-Welsch t
test, respectively. In prostate cell lines, a significant correlation was noted
between CAV-1 and AT1-R expression and between FOXM1 and CAV-1 expression. A
correlation between the expression levels of the investigated genes and their
metastatic potential was also observed, with relatively high expression of all
the investigated genes in the normal prostate cell line PNT1A. In comparison to
prostate cancer cell lines, an adverse dependency between CAV-1, AT1-R, FOXM1
expression and metastatic potential was observed in the breast cancer cell lines.
Relatively high expression of all tested genes was observed in the normal breast
cell line 184A1, which was decreasing respectively with increasing metastatic
potential of breast cancer cell lines. The results obtained here indicate that
CAV-1, FOXM1 and AT1-R may be potential markers of tumorigenesis in certain types
of cancer in vitro.
PMID- 27213549
TI - Early Impact of the Affordable Care Act on Uptake of Long-acting Reversible
Contraceptive Methods.
AB - BACKGROUND: The Affordable Care Act (ACA) required most private insurance plans
to cover contraceptive services without patient cost-sharing as of January 2013
for most plans. Whether the ACA's mandate has impacted long-acting reversible
contraceptives (LARC) use is unknown. OBJECTIVE: The aim of this article is to
assess trends in LARC cost-sharing and uptake before and one year after
implementation of the ACA's contraceptive mandate. DESIGN: A retrospective cohort
study using Truven Health MarketScan claims data from January 2010 to December
2013. SUBJECTS: Women aged 18-45 years with continuous insurance coverage with
claims for oral contraceptive pills, patches, rings, injections, or LARC during
2010-2013 (N=3,794,793). MEASURES: Descriptive statistics were used to assess
trends in LARC cost-sharing and uptake from 2010 through 2013. Interrupted time
series models were used to assess the association of time, ACA, and time after
the ACA on LARC cost-sharing and initiation rates, adjusting for patient and plan
characteristics. RESULTS: The proportion of claims with $0 cost-sharing for
intrauterine devices and implants, respectively, rose from 36.6% and 9.3% in 2010
to 87.6% and 80.5% in 2013. The ACA was associated with a significant increase in
these proportions and in their rate of increase (level and slope change both
P<0.001). LARC uptake increased over time with no significant change in level of
LARC use after ACA implementation in January 2013 (P=0.44) and a slightly slower
rate of growth post-ACA than previously reported (beta coefficient for trend,
0.004; P<0.001). CONCLUSIONS: The ACA has significantly decreased LARC cost
sharing, but during its first year had not yet increased LARC initiation rates.
PMID- 27213552
TI - The presence of Chlamydia phage PhiCPG1 capsid protein VP1 genes and antibodies
in patients infected with Chlamydia trachomatis.
AB - Chlamydia phage PhiCPG1 has been found in Chlamydia caviae in a guinea pig model
for inclusion conjunctivitis, raising the possibility that Chlamydia phage is
also present in patients infected with C. trachomatis (Ct). In the present study,
we assayed for presence of Chlamydia phage capsid protein VP1 genes and
antibodies in 84 non-Ct controls and 206 Ct patients using an enzyme-linked
immunoassay (ELISA), followed by verification with Western blot. None of the
subjects were exposed to an antibiotic treatment or had a C. pneumoniae
infection. The VP1 antibody test was positive in both, the ELISA and Western blot
assay, in 4 Ct patients. PCR amplification experiments revealed presence of the
VP1 gene in 5 Ct patients. The results suggest that Chlamydia phage capsid
protein VP1 may exist in some Ct patients.
PMID- 27213553
TI - Lack of Benefit of Early Intervention with Dietary Flax and Fish Oil and Soy
Protein in Orthologous Rodent Models of Human Hereditary Polycystic Kidney
Disease.
AB - Rationale for dietary advice in polycystic kidney disease (PKD) is based in part
on animal studies that have examined non-orthologous models with progressive
development of cystic disease. Since no model completely mimics human PKD, the
purpose of the current studies was to examine the effects of dietary soy protein
(compared to casein) or oils enriched in omega-3 fatty acids (fish or flax oil
compared to soy oil) on early disease progression in two orthologous models of
PKD. The models studied were Pkd2WS25/- mice as a model of autosomal dominant
PKD, and PCK rats as a model of autosomal recessive PKD. After 13 weeks of
feeding, dietary fish (but not flax) oil resulted in larger kidneys and greater
kidney water content in female Pkd2WS25/- compared to control mice. After 12
weeks of feeding male PCK compared to control rats, both fish and flax compared
to soy oil resulted in enlarged kidneys and livers, greater kidney water content
and higher kidney cyst area in diseased rats. Dietary soy protein compared to
casein had no effects in Pkd2WS25/- compared to control mice. In PCK rats, kidney
and liver histology were not improved, but lower proteinuria and higher urine pH
suggest that soy protein could be beneficial in the long term. Therefore, in
contrast to studies in non-orthologous models during the progressive development
phase, these studies in orthologous PKD models do not support dietary advice to
increase soy protein or oils enriched in omega-3 oils in early PKD.
PMID- 27213555
TI - Subtle Ligand Modification Inverts Guest Binding Hierarchy in M(II)8L6
Supramolecular Cubes.
AB - Zinc(II), a dimolybdenum(II) paddlewheel tetramine A, and 2-formylpyridine self
assembled to generate a cubic Zn(II)8(L(A))6 assembly. The paddlewheel faces of
this assembly exhibited two distinct conformations, whereas the analogous
Fe(II)8(L(A))6 framework displayed no such perturbation to its structure. This
variation in behavior is attributed to the subtle difference in ligand rotational
freedom between the Zn(II)- and Fe(II)-cornered cubes. The incorporation of a
fluorinated Mo(II)2 paddlewheel, B, into analogous Zn(II)8(L(B))6 and
Fe(II)8(L(B))6 structures resulted in changes to the rotational dynamics of the
ligands. These differing dynamics perturbed the energies of the frontier orbitals
of these structures, as determined through spectroscopic and electrochemical
methods. The result of these perturbations was an inversion of the halide binding
preference of the Zn(II)8(L(B))6 host as compared to its Zn(II)8(L(A))6 congener,
whereas the Fe(II)8(L(B))6 host maintained a similar binding hierarchy to
Fe(II)8(L(A))6.
PMID- 27213554
TI - Gibberellin Is Involved in Inhibition of Cucumber Growth and Nitrogen Uptake at
Suboptimal Root-Zone Temperatures.
AB - Suboptimal temperature stress often causes heavy yield losses of vegetables by
suppressing plant growth during winter and early spring. Gibberellin acid (GA)
has been reported to be involved in plant growth and acquisition of mineral
nutrients. However, no studies have evaluated the role of GA in the regulation of
growth and nutrient acquisition by vegetables under conditions of suboptimal
temperatures in greenhouse. Here, we investigated the roles of GA in the
regulation of growth and nitrate acquisition of cucumber (Cucumis sativus L.)
plants under conditions of short-term suboptimal root-zone temperatures (Tr).
Exposure of cucumber seedlings to a Tr of 16 degrees C led to a significant
reduction in root growth, and this inhibitory effect was reversed by exogenous
application of GA. Expression patterns of several genes encoding key enzymes in
GA metabolism were altered by suboptimal Tr treatment, and endogenous GA
concentrations in cucumber roots were significantly reduced by exposure of
cucumber plants to 16 degrees C Tr, suggesting that inhibition of root growth by
suboptimal Tr may result from disruption of endogenous GA homeostasis. To further
explore the mechanism underlying the GA-dependent cucumber growth under
suboptimal Tr, we studied the effect of suboptimal Tr and GA on nitrate uptake,
and found that exposure of cucumber seedlings to 16 degrees C Tr led to a
significant reduction in nitrate uptake rate, and exogenous application GA can
alleviate the down-regulation by up regulating the expression of genes associated
with nitrate uptake. Finally, we demonstrated that N accumulation in cucumber
seedlings under suboptimal Tr conditions was improved by exogenous application of
GA due probably to both enhanced root growth and nitrate absorption activity.
These results indicate that a reduction in endogenous GA concentrations in roots
due to down-regulation of GA biosynthesis at transcriptional level may be a key
event to underpin the suboptimal Tr-induced inhibition of root growth and nitrate
uptake. These findings may have important practical implications in effective
mitigation of suboptimal temperature-induced vegetable loss under greenhouse
conditions.
PMID- 27213556
TI - Computational analysis of atpB gene promoter from different Pakistani apple
varieties.
AB - Apple is the fourth most important fruit crop grown in temperate areas of the
world belongs to the family Rosaceae. In the present study, the promoter
(~1000bp) region of atpB gene was used to evaluate the genetic diversity and
phylogeny of six local apple varieties. atpB gene is one of the large
chloroplastic region which encodes beta-subunit of ATP synthase and previously it
had been used largely in phylogenetic studies. During the present study, atpB
promoter was amplified, sequenced and analyzed using various bioinformatics tools
including Place Signal Scan, MEGA6 and BLASTn. During the phylogenetic analysis,
obtained phylogram divided the studied varieties into two clusters revealing the
monophyletic origin of studied apple varieties. Pairwise distance revealed
moderate genetic diversity that ranges from 0.047-0.170 with an average of 0.101.
While identifying different cis-acting elements present in the atpB promoter
region, results exhibited the occurrence of 56 common and 20 unique cis
regulatory elements among studied varieties. The identified cis-acting regulatory
elements were mapped as well. It was observed that Kala Kulu has the highest
unique features with reference to the availability of cis-acting elements.
Moreover, the possible functions of all regulatory elements present on the
promoter sequence of atpB gene were predicted based on already reported
information regarding their in vivo role.
PMID- 27213557
TI - Murine Prostate Micro-dissection and Surgical Castration.
AB - Mouse models are used extensively to study prostate cancer and other diseases.
The mouse is an excellent model with which to study the prostate and has been
used as a surrogate for discoveries in human prostate development and disease.
Prostate micro-dissection allows consistent study of lobe-specific prostate
anatomy, histology, and cellular characteristics in the absence of contamination
of other tissues. Testosterone affects prostate development and disease. Androgen
deprivation therapy is a common treatment for prostate cancer patients, but many
prostate tumors become castration-resistant. Surgical castration of mouse models
allows for the study of castration resistance and other facets of hormonal
biology on the prostate. This procedure can be coupled with testosterone
reintroduction, or hormonal regeneration of the prostate, a powerful method to
study stem cell lineages in the prostate. Together, prostate micro-dissection and
surgical castration opens up a multitude of opportunities for robust and
consistent research of prostate development and disease. This manuscript
describes the protocols for prostate micro-dissection and surgical castration in
the laboratory mouse.
PMID- 27213558
TI - Barley Stripe Rust Resistance QTL: Development and Validation of SNP Markers for
Resistance to Puccinia striiformis f. sp. hordei.
AB - Quantitative trait loci (QTL) for barley stripe rust resistance were mapped in
recombinant inbred lines (RIL) from a 'Lenetah' * 'Grannelose Zweizeilige' (GZ)
cross. GZ is known for a major seedling resistance QTL on chromosome 4H but
linked markers suitable for marker-assisted selection have not been developed.
This study identified the 4H QTL (log of the likelihood [LOD] = 15.94 at 97.19
centimorgans [cM]), and additional QTL on chromosomes 4H and 6H (LOD = 5.39 at
72.7 cM and 4.24 at 34.46 cM, respectively). A QTL on chromosome 7H (LOD = 2.04
at 81.07 cM) was suggested. All resistance alleles were derived from GZ.
Evaluations of adult plant response in Corvallis, OR in 2013 and 2015 provided
evidence of QTL at the same positions. However, the minor QTL on 4H was not
statistically significant in either location/year, while the 7H QTL was
significant in both. The single-nucleotide polymorphism markers flanking the
resistance QTL were validated in RIL from a '95SR316A' * GZ cross for their
ability to predict seedling resistance. In 95SR316A * GZ, 91 to 92% of RIL with
GZ alleles at the major 4H QTL and at least one other were resistant to moderate
in reaction. In these populations, at least two QTL were required to transfer the
barley stripe rust resistance from GZ.
PMID- 27213559
TI - Modulation of spatial and response strategies by phase of the menstrual cycle in
women tested in a virtual navigation task.
AB - Different memory systems are employed to navigate an environment. It has been
consistently shown in rodents that estrogen impacts multiple memory system bias
such that low estradiol (E2) is associated with increased use of a striatal
mediated response strategy whereas high E2 increases use of a hippocampal
dependent spatial memory. Low E2 also enhances performance on a response-based
task whereas high E2 levels improve learning on a spatial task. The purpose of
the present cross-sectional study was to investigate navigational strategies in
young, healthy, naturally cycling women. Participants were split into either an
early follicular (i.e., when E2 levels are low), ovulatory (i.e., when E2 levels
are high) or mid/late luteal (i.e., end of the cycle, when E2 levels decrease and
progesterone levels rise) phase group, using self-reported date of the menstrual
cycle. Serum hormone level measurements (E2, progesterone, testosterone) were
used to confirm cycle phase assignment. Participants were administered a verbal
memory task as well as a virtual navigation task that can be solved by using
either a response or spatial strategy. Women tested in the ovulatory phase, under
high E2 conditions, performed better on a verbal memory task than women tested
during the other phases of the cycle. Interestingly, women tested in the mid/late
luteal phase, when progesterone is high, predominantly used a spatial strategy,
whereas the opposite pattern was observed in the early follicular and ovulatory
groups. Our data suggest that the specific memory system engaged differs
depending on the phase of the menstrual cycle and may be mediated by both E2 and
progesterone, rather than E2 alone.
PMID- 27213560
TI - Forensic timber identification: a case study of a CITES listed species,
Gonystylus bancanus (Thymelaeaceae).
AB - Illegal logging and smuggling of Gonystylus bancanus (Thymelaeaceae) poses a
serious threat to this fragile valuable peat swamp timber species. Using G.
bancanus as a case study, DNA markers were used to develop identification
databases at the species, population and individual level. The species level
database for Gonystylus comprised of an rDNA (ITS2) and two cpDNA (trnH-psbA and
trnL) markers based on a 20 Gonystylus species database. When concatenated,
taxonomic species recognition was achieved with a resolution of 90% (18 out of
the 20 species). In addition, based on 17 natural populations of G. bancanus
throughout West (Peninsular Malaysia) and East (Sabah and Sarawak) Malaysia,
population and individual identification databases were developed using cpDNA and
STR markers respectively. A haplotype distribution map for Malaysia was generated
using six cpDNA markers, resulting in 12 unique multilocus haplotypes, from 24
informative intraspecific variable sites. These unique haplotypes suggest a clear
genetic structuring of West and East regions. A simulation procedure based on the
composition of the samples was used to test whether a suspected sample conformed
to a given regional origin. Overall, the observed type I and II errors of the
databases showed good concordance with the predicted 5% threshold which indicates
that the databases were useful in revealing provenance and establishing
conformity of samples from West and East Malaysia. Sixteen STRs were used to
develop the DNA profiling databases for individual identification. Bayesian
clustering analyses divided the 17 populations into two main genetic clusters,
corresponding to the regions of West and East Malaysia. Population substructuring
(K=2) was observed within each region. After removal of bias resulting from
sampling effects and population subdivision, conservativeness tests showed that
the West and East Malaysia databases were conservative. This suggests that both
databases can be used independently for random match probability estimation
within respective regions. The reliability of the databases was further
determined by independent self-assignment tests based on the likelihood of each
individual's multilocus genotype occurring in each identified population, genetic
cluster and region with an average percentage of correctly assigned individuals
of 54.80%, 99.60% and 100% respectively. Thus, after appropriate validation, the
genetic identification databases developed for G. bancanus in this study could
support forensic applications and help safeguard this valuable species into the
future.
PMID- 27213561
TI - Evaluation of genetic toxicity caused by acid mine drainage of coal mines on fish
fauna of Simsang River, Garohills, Meghalaya, India.
AB - Fishery ecology of the Simsang River, Meghalaya is being threatened by large
scale environmental degradation due to acid mine drainage (AMD) of coal mines. In
the present paper, effort has been made to evaluate the genotoxicity caused due
to AMD of coal mines on Channa punctata under laboratory condition through comet
assay, micronucleus and chromosome aberration tests. Water samples were collected
seasonally from affected and unaffected sites of the River and physico-chemical
quality of water indicated low pH (4.6), high concentration of sulphates (270mgL(
1)) and iron (7.2mgL(-1)) beyond permissible limits. Polycyclic aromatic
hydrocarbon (PAH) showed highest concentration of 4-ring PAH and
Benzo[a]anthracene was the most important pollutant in the water collected from
affected sites. The highest and the lowest mean concentrations of PAHs were
estimated in monsoon and winter season, respectively. The index of DNA damage
assessed by comet assay, micronucleus and chromosome aberration tests
demonstrated significant differences season wise in different sampling sites.
Frequency of DNA-damaged cells was found highest in the water samples collected
from affected site in monsoon season.
PMID- 27213562
TI - Polyurethane foam loaded with sodium dodecylsulfate for the extraction of 'quat'
pesticides from aqueous medium: Optimization of loading conditions.
AB - The cationic herbicides paraquat, diquat and difenzoquat are largely used in
different cultures worldwide. With this, there is an intrinsic risk of
environmental contamination when these herbicides achieve natural waters. The
goal of this work was to propose a novel and low-cost sorbent for the removal of
the cited herbicides from aqueous medium. The proposed sorbent was prepared by
loading polyurethane foam with sodium dodecylsulfate. The influence of several
parameters (SDS concentration, HCl concentration and shaking time) on the loading
process was investigated. The results obtained in this work demonstrated that all
studied variables influenced the loading process, having significant effect on
the extraction efficiency of the resulted PUF-SDS. At optimized conditions, the
PUF was loaded by shaking 200mg of crushed foam with 200mL of a solution
containing 5.0*10(-3)molL(-1) SDS and 0.25molL(-1) HCl, for 30min. The obtained
PUF-SDS was efficient for removing the three herbicides from aqueous medium,
achieving extraction percentages higher than 90%. The sorption process followed a
pseudo second-order kinetics, which presented excellent predictive capacity of
the amount of herbicide retained with time.
PMID- 27213563
TI - Acute toxicity, biochemical and histopathological responses of endosulfan in
Chanos chanos.
AB - This study investigated 96h median lethal concentration of endosulfan (99%, pure
alpha: beta ratio of 7:3) by conducting static non-renewable acute toxicity bio
assay in Chanos chanos juvenile with average weight (110+/-5.65g). Further, the
effect of different definitive doses (18.5, 19.5, 20.5, 21.5 and 22.5ug/L) of
endosulfan on metabolic, heamato-immunoligcal and histopathological response were
probed. Anti-oxidative enzymes CAT, SOD and GST showed significant (p<0.01)
increase of activity in the liver, gill and brain during exposure to endosulfan
in a dose and time dependent manner. The brain AChE activity showed significant
(p<0.01) inhibition from 18.5 to 22.5ug/L exposure of endosulfan than the control
group. LDH and MDH activity gradually increased with consequent increasing dose
of endosulfan exposure in the liver, gill and brain. Similarly, ALT, AST and
G6PDH activities in both liver and gill increased with consequent increases in
the dose of endosulfan exposure. Immunological profile such as blood glucose and
serum cortisol level significantly enhanced while respiratory burst activity
declined with consequent increasing doses of endosulfan exposure.
Histopathological alteration in the gill demonstrated curling of secondary
lamellae, thickening of primary epithelium, shorting of secondary lamellae,
epithelial hyperplasia, fusion of secondary lamellae, aneurism, and collapsed
secondary lamellae due to dose dependent exposure of endosulfan. Liver histology
illustrated cloudy swelling and necrosis with pyknotic nuclei to the moderate
dose of endosulfan, whereas higher dose of endosulfan (21.5ug/L) displayed severe
necrosis of hepatic cells. Overall results clearly indicate that acute exposure
of endosulfan led to pronounced deleterious alterations on biochemical, heamato
immunological, and histopathological responses of C. chanos juvenile.
PMID- 27213565
TI - Effects of atrazine on growth and sex differentiation, in juveniles of the
freshwater crayfish Cherax quadricarinatus.
AB - The effect of the herbicide atrazine was assayed in early juveniles of the
redclaw crayfish Cherax quadricarinatus. Four cohorts of juveniles (a total of
280 animals) were exposed for 4 wk to each one of three atrazine concentrations
(0.1, 0.5 and 2.5mg/L) or a control (0mg/L), from a commercial formulation having
90% of active principle. At the end of the exposure, no significant (p>0.05)
differences in either mortality or molting were noted. However, the weight gain
and the protein content of abdominal muscle decreased significantly (p<0.05) in
the highest atrazine concentration as compared to control, indicating that
atrazine acted as a relevant stressor, although at a concentration higher than
those reported in the environment. Besides, the proportion of females increased
progressively as the atrazine concentration increases, being significantly
(p<0.05) higher than that of controls at the highest concentration assayed. Both
macroscopic and histological analysis revealed a normal architecture of gonopores
and gonads in both control and exposed animals. The obtained results strongly
suggest that atrazine could be causing an endocrine disruption on the hormonal
system responsible for the sexual differentiation of the studied species,
increasing the proportion of female proportion without disturbing the gonad
structure.
PMID- 27213564
TI - An integrated approach to safer plant production on metal contaminated soils
using species selection and chemical immobilization.
AB - In order to examine the species specific accumulation of heavy metals in
medicinal crops, seven different common medicinal plants were cultivated on a Cd
(55mgkg(-1)) and Pb (1283mgkg(-1)) contaminated soil. Subsequently, the effect of
various immobilizing agents, applied in isolation and in combination, on Cd and
Pb uptake by two medicinal plant species was examined. Cadmium and Pb root
concentrations in medicinal plants grown in the control soil varied between 0.5
and 2.6mgkg(-1) for Cd and 3.2 and 36.4mgkg(-1) for Pb. The highest accumulation
occurred in Osterici Radix (Ostericum koreanum) and Ginger (Zingiber officinale)
and the lowest in Yam (Dioscorea batatas). Application of immobilizing agents
significantly reduced both Cd and Pb concentrations in all medicinal plants
examined, where the most effective single immobilizing agent was lime fertilizer
(LF). Application of combination treatments involving sorption agents such as
compost together with lime further decreased Cd and Pb concentrations from 1.3
and 25.3mgkg(-1) to 0.2 and 4.3mgkg(-1), respectively, which was well below the
corresponding WHO guidelines. Thus appropriate immobilizing agents in combination
with species selection can be practically used for safer medicinal plant
production.
PMID- 27213566
TI - From Binding-Induced Dynamic Effects in SH3 Structures to Evolutionary Conserved
Sectors.
AB - Src Homology 3 domains are ubiquitous small interaction modules known to act as
docking sites and regulatory elements in a wide range of proteins. Prior
experimental NMR work on the SH3 domain of Src showed that ligand binding induces
long-range dynamic changes consistent with an induced fit mechanism. The
identification of the residues that participate in this mechanism produces a
chart that allows for the exploration of the regulatory role of such domains in
the activity of the encompassing protein. Here we show that a computational
approach focusing on the changes in side chain dynamics through ligand binding
identifies equivalent long-range effects in the Src SH3 domain. Mutation of a
subset of the predicted residues elicits long-range effects on the binding
energetics, emphasizing the relevance of these positions in the definition of
intramolecular cooperative networks of signal transduction in this domain. We
find further support for this mechanism through the analysis of seven other
publically available SH3 domain structures of which the sequences represent
diverse SH3 classes. By comparing the eight predictions, we find that, in
addition to a dynamic pathway that is relatively conserved throughout all SH3
domains, there are dynamic aspects specific to each domain and homologous
subgroups. Our work shows for the first time from a structural perspective, which
transduction mechanisms are common between a subset of closely related and distal
SH3 domains, while at the same time highlighting the differences in signal
transduction that make each family member unique. These results resolve the
missing link between structural predictions of dynamic changes and the domain
sectors recently identified for SH3 domains through sequence analysis.
PMID- 27213568
TI - The level of DNA damage in adult grasshoppers Chorthippus biguttulus (Orthoptera,
Acrididae) following dimethoate exposure is dependent on the insects' habitat.
AB - The comet assay was used to study the DNA damage that was induced by dimethoate
in the hemocyte cells of adult Chorthippus biguttulus grasshoppers (Insecta:
Orthoptera) that originated from two sites with varying levels of pollution. The
primary focus of the study was to examine whether continuous exposure to
environmental stress can modify the effect of pesticides on genome stability.
After three days of acclimation to laboratory conditions, the level of DNA damage
in the hemocytes of Bow-winged grasshoppers was within a similar range in the
insects from both areas. However, the level of DNA damage following dimethoate
treatment was significantly higher in the insects from the reference area
(Pogoria) than in the individuals from the heavily polluted location
(Szopienice). Four hours after pesticide treatment, the Tail DNA (TDNA) in the
hemocytes of the male and female specimens from Pogoria was as high as 75% and
50% respectively, whereas the values in males and females from Szopienice only
reached 30% and 20%, respectively. A rapid decrease in DNA damage was observed in
both populations 24 h after the pesticide application. The habitat of an insect
(site), the administration of the dimethoate (treatment), and the period
following the application of the pesticide (time), all significantly influenced
the levels of DNA damage. No interactions related to TDNA were observed between
the variables 'sex' and 'treatment'. Similarly, the variable 'sex', when analyzed
alongside 'treatment' and 'site' (the area from which the insects were
collected), or 'treatment' and 'time' had no influence on TL. Exposure to
dimethoate undoubtedly contributed to the formation of DNA damage in the
hemocytes of adult C. biguttulus. However, the level of damage was clearly
dependent on the place where the insects were captured.
PMID- 27213569
TI - Natural and anthropogenic radioactivity in the environment of Kopaonik mountain,
Serbia.
AB - To evaluate the state of the environment in Kopaonik, a mountain in Serbia, the
activity concentrations of (4) K, (226)Ra, (232)Th and (137)Cs in five different
types of environmental samples are determined by gamma ray spectrometry, and
radiological hazard due to terrestrial radionuclides is calculated. The mean
activity concentrations of natural radionuclides in the soil are higher than the
global average. However, with an exception of two sampling locations, the
external radiation hazard index is below one, implying an insignificant radiation
hazard. Apart from (40)K, content of the natural radionuclides is predominantly
below minimum detectable activities in grass and cow milk, but not in mosses.
Although (137)Cs is present in the soil, grass, mosses and herbal plants, its
specific activity in cow milk is below minimum detectable activity. Amongst the
investigated herbal plants, Vaccinium myrtillus L. shows accumulating properties,
as a high content of (137)Cs is detected therein. Therefore, moderation is
advised in consuming Vaccinium myrtillus L. tea.
PMID- 27213567
TI - Brain Potentials Highlight Stronger Implicit Food Memory for Taste than Health
and Context Associations.
AB - Increasingly consumption of healthy foods is advised to improve population
health. Reasons people give for choosing one food over another suggest that non
sensory features like health aspects are appreciated as of lower importance than
taste. However, many food choices are made in the absence of the actual
perception of a food's sensory properties, and therefore highly rely on previous
experiences of similar consumptions stored in memory. In this study we assessed
the differential strength of food associations implicitly stored in memory, using
an associative priming paradigm. Participants (N = 30) were exposed to a forced
choice picture-categorization task, in which the food or non-food target images
were primed with either non-sensory or sensory related words. We observed a
smaller N400 amplitude at the parietal electrodes when categorizing food as
compared to non-food images. While this effect was enhanced by the presentation
of a food-related word prime during food trials, the primes had no effect in the
non-food trials. More specifically, we found that sensory associations are
stronger implicitly represented in memory as compared to non-sensory
associations. Thus, this study highlights the neuronal mechanisms underlying
previous observations that sensory associations are important features of food
memory, and therefore a primary motive in food choice.
PMID- 27213570
TI - Indoor-outdoor relationships of PM2.5 in four residential dwellings in winter in
the Yangtze River Delta, China.
AB - Indoor and outdoor air PM2.5 concentrations in four residential dwellings
characterized with different building envelope air tightness levels and HVAC
filter configurations in Yangtze River Delta (YRD) were measured during winter
periods in 2014-2015. Steady-state models for indoor PM2.5 were developed for
each of the tested dwellings, based on mass balance equation. The indoor air
PM2.5 concentrations in the four tested apartments were significantly different.
The lowest geometric mean values of indoor air PM2.5 concentrations, I/O ratios,
and infiltration factor were observed in D3 with high air tightness and without
HVAC-filter system (26.0 MUg/m(3), 0.197, and 0.167, respectively), while the
highest geometric mean values of indoor air PM2.5 concentrations, I/O ratios, and
infiltration factor were observed in D1 (64.9 MUg/m(3), 0.876, and 0.867,
respectively). For apartment D1 with normal air tightness and without any HVAC
filter system, indoor air PM2.5 concentrations were significantly correlated with
outdoor PM2.5 concentrations, especially in severe ambient pollution days, when
closed windows can only play a very weak role on the decline of indoor PM2.5
concentrations. With the enhancement of building air tightness, the indoor air
PM2.5 concentrations can be decreased effectively and don't vary as much in
response to fluctuations in ambient concentrations. For buildings with normal air
tightness, the use of HVAC-filter combinations will decrease the indoor PM2.5
significantly. However, for buildings with enhanced air tightness, the only use
of fresh makeup air supply system with filter may increase the indoor PM2.5
concentrations. The improvement of filter efficiency for both fresh makeup air
and indoor recirculated air are very important. However, purifiers for indoor
recirculated air were highly recommended for all buildings.
PMID- 27213571
TI - Differential bioaccumulation and translocation patterns in three mangrove plants
experimentally exposed to iron. Consequences for environmental sensing.
AB - Avicennia schaueriana, Laguncularia racemosa and Rhizophora mangle were
experimentally exposed to increasing levels of iron (0, 10, 20 and 100 mg L(-1)
added Fe(II) in Hoagland's nutritive medium). The uptake and translocation of
iron from roots to stems and leaves, Fe-secretion through salt glands (Avicennia
schaueriana and Laguncularia racemosa) as well as anatomical and histochemical
changes in plant tissues were evaluated. The main goal of this work was to assess
the diverse capacity of these plants to detect mangroves at risk in an area
affected by iron pollution (Vitoria, Espirito Santo, Brazil). Results show that
plants have differential patterns with respect to bioaccumulation, translocation
and secretion of iron through salt glands. L. racemosa showed the best
environmental sensing capacity since the bioaccumulation of iron in both Fe
plaque and roots was higher and increased as the amount of added-iron rose. Fewer
changes in translocation factors throughout increasing added-iron were observed
in this species. Furthermore, the amount of iron secreted through salt glands of
L. racemosa was strongly inhibited when exposed to added-iron. Among three
studied species, A. schaueriana showed the highest levels of iron in stems and
leaves. On the other hand, Rhizophora mangle presented low values of iron in
these compartments. Even so, there was a significant drop in the translocation
factor between aerial parts with respect to roots, since the bioaccumulation in
plaque and roots of R. mangle increased as iron concentration rose. Moreover,
rhizophores of R. mangle did not show changes in bioaccumulation throughout the
studied concentrations. So far, we propose L. racemosa as the best species for
monitoring iron pollution in affected mangroves areas. To our knowledge, this is
the first detailed report on the response of these plants to increasing iron
concentration under controlled conditions, complementing existing data on the
behavior of the same plants under field exposure.
PMID- 27213572
TI - Comparison of formation of disinfection by-products by chlorination and ozonation
of wastewater effluents and their toxicity to Daphnia magna.
AB - This study compared the two most frequently used disinfectants (i.e., chlorine
and ozone) to understand their efficiency in wastewater effluents and the
ecotoxicity of disinfection by-products created during chlorination and
ozonation. Four trihalomethanes (THMs) and nine haloacetic acids (HAAs) were
measured from a chlorine-disinfected sample and two aldehydes (i.e.,
formaldehydes and acetaldehydes) were analyzed after ozonation. Chlorination was
effective for total coliform removal with Ct value in the range of 30-60 mg
min/L. Over 1.6 mg/L of ozone dose and 0.5 min of the contact time presented
sufficient disinfection efficiency. The concentration of THMs increased with
longer contact time (24 h), but that of HAAs showed little change with contact
time. The measured concentration of formaldehyde at the ozone dose of 1.6 mg/L
and the contact time of 9 min showed the greatest value in this study,
approximately 330 MUg L(-1), from which the corresponding ecotoxicity was
determined using an indicator species, Daphnia magna. The ecotoxicity results
were consistent with the toxicological features judged by occurrence,
genotoxicity, and carcinogenicity. Both the disinfection efficiency as well as
the DBP formation potential should therefore be considered to avoid harmful
impacts on aquatic environments when a disinfection method is used for wastewater
effluents.
PMID- 27213573
TI - Single versus repeated applications of CuO and Ag nanomaterials and their effect
on soil microflora.
AB - Nanomaterials enter the terrestrial environment via the repeated application of
sludge to soils over many years. The goal of this investigation was to compare
the effects of CuO and Ag nanomaterials on soil microorganisms after a single
application and after repeated applications ultimately resulting in the same test
concentrations. The effect on soil microorganisms was determined using the
ammonium oxidation (ISO 15685), enzymatic activity patterns (ISO 22939) and
MicroRespTM tests on days 28, 56 and 84. The comparability of single and repeated
applications of ion-releasing nanomaterials depended on the test endpoint and
duration. No significant differences between single and repeated applications
were observed when testing nitrifying microorganisms and exoenzymes, but
differences were observed in the substrate-induced respiration test. The three
test systems used together provide more comprehensive information about the
impact of different nanomaterials on the soil microflora and its diversity.
PMID- 27213574
TI - Parainfluenza 3-Induced Cough Hypersensitivity in the Guinea Pig Airways.
AB - The effect of respiratory tract viral infection on evoked cough in guinea pigs
was evaluated. Guinea pigs were inoculated intranasally with either parainfluenza
type 3 (PIV3) and cough was quantified in conscious animals. The guinea pigs
infected with PIV3 (day 4) coughed nearly three times more than those treated
with the viral growth medium in response to capsaicin, citric acid, and
bradykinin. Since capsaicin, citric acid, and bradykinin evoked coughing in
guinea pigs can be inhibited by drugs that antagonize the transient receptor
potential cation channel, subfamily V, member 1 (TRPV1), it was reasoned that the
virally-induced hypertussive state may involve alterations in TPRV1 activity.
PIV3 infection caused a phenotypic switch in tracheal nodose Adelta "cough
receptors" such that nearly 50% of neurons began to express, de novo, TRPV1 mRNA.
There was also an increase TRPV1 expression in jugular C-fiber neurons as
determined by qPCR. It has previously been reported that tracheal-specific nodose
neurons express the BDNF receptor TrkB and jugular neurons express the NGF
receptor TrkA. Jugular neurons also express the artemin receptor GFRalpha3. All
these neurotrophic factors have been associated with increases in TRPV1
expression. In an ex vivo perfused guinea pig tracheal preparation, we
demonstrated that within 8 h of PIV3 infusion there was no change in NGF mRNA
expression, but there was nearly a 10-fold increase in BDNF mRNA in the tissue,
and a small but significant elevation in the expression of artemin mRNA. In
summary, PIV3 infection leads to elevations in TRPV1 expression in the two key
cough evoking nerve subtypes in the guinea pig trachea, and this is associated
with a hypertussive state with respect to various TRPV1 activating stimuli.
PMID- 27213575
TI - Effects of Extracts from Thai Piperaceae Plants against Infection with Toxoplasma
gondii.
AB - Herbal medicines and natural herb extracts are widely used as alternative
treatments for various parasitic diseases, and such extracts may also have
potential to decrease the side effects of the standard regimen drugs used to
treat toxoplasmosis (sulfadiazine-pyrimethamine combination). We evaluated how
effective the Thai piperaceae plants Piper betle, P. nigrum and P. sarmentosum
are against Toxoplasma gondii infection in vitro and in vivo. Individually, we
extracted the piperaceae plants with ethanol, passed them through a rotary
evaporator and then lyophilized them to obtain crude extracts for each one. The
in vitro study indicated that the P. betle extract was the most effective extract
at inhibiting parasite growth in HFF cells (IC50 on RH-GFP: 23.2 MUg/mL, IC50 on
PLK-GFP: 21.4 MUg/mL). Furthermore, treatment of experimental mice with the P.
betle extract for 7 days after infection with 1,000 tachyzoites of the T. gondii
PLK strain increased their survival (survival rates: 100% in 400 mg/kg-treated,
83.3% in 100 mg/kg-treated, 33.3% in 25 mg/kg-treated, 33.3% in untreated mice).
Furthermore, treatment with 400 mg/kg of the P. betle extract resulted in 100%
mouse survival following infection with 100,000 tachyzoites. The present study
shows that P. betle extract has the potential to act as a medical plant for the
treatment of toxoplasmosis.
PMID- 27213576
TI - The effect of non-structural components and lignin on hemicellulose extraction.
AB - As the important structural component of corn stover, hemicellulose could be
converted into a variety of high value-added products. However, high quality
hemicellulose extraction is not an easy issue. The present study aims to
investigate the effects of non-structural components (NSCs) and lignin removal on
alkaline extraction of hemicellulose. Although NSCs were found to have a minimal
effect on hemicellulose dissolution, they affected the color values of the
hemicellulose extracts. The lignin limited the hemicellulose dissolution and
increased the color value by binding to hemicellulose molecules and forming
lignin-carbohydrate complexes. Sodium chlorite method can remove about 90% lignin
from corn stover, especially the lignin connected to hemicellulose through p
coumaric and ferulic acids. Which increased the hemicellulose dissolution ratio
to 93% and reduced the color value 14-28%, but the cost is about 20%
carbohydrates lost.
PMID- 27213577
TI - Enhancement of poly-3-hydroxybutyrate production in Synechocystis sp. PCC 6803 by
overexpression of its native biosynthetic genes.
AB - Synechocystis sp. PCC 6803 strains overexpressing pha genes were constructed and
characterized for poly-3-hydroxybutyrate (PHB) production. These pha
overexpressing strains showed slightly reduced growth rates. Under N-deprived
condition, the strains overexpressing (OE) phaAB, phaEC and phaABEC showed
significantly higher PHB contents than the wild type. The maximum PHB content, a
2.6-fold increase producing 26% PHB (dcw), was observed in OE phaAB cells grown
for 9days in N-deprived medium. Under this condition, these OE phaAB cells
increased PHB production to 35% PHB (dcw) upon addition of 0.4% (w/v) acetate.
Higher PHB granules in OE phaAB cells were clearly visualized by both Nile red
staining and TEM imaging. All OE strains under N-deficient condition had
increased glgX transcript levels. Overall results demonstrate an enhanced PHB
production in Synechocystis cells overexpressing pha genes, particularly phaA and
phaB, when grown in N-deprived medium containing 0.4% (w/v) acetate.
PMID- 27213578
TI - Enhancing enzymolysis and fermentation efficiency of sugarcane bagasse by
synergistic pretreatment of Fenton reaction and sodium hydroxide extraction.
AB - A study on the synergistic pretreatment of sugarcane bagasse (SCB) using Fenton
reaction and NaOH extraction was conducted. The optimized process conditions for
Fenton pretreatment were 10% (w/w) of H2O2, 20mM of Fe(2+), pH 2.5, pretreatment
time 6h, and pretreatment temperature 55 degrees C. Sequential pretreatments were
performed in combination with NaOH extraction (NaOH 1% (w/w), 80 degrees C, 5% of
solid loading, 1h). Among all the pretreatments, Fenton pretreatment followed by
NaOH extraction had the highest efficiency of 64.7% and 108.3% for enzymolysis
and simultaneous saccharification fermentation (SSF) with an ethanol
concentration of 17.44g/L. The analyses by the scanning electron microscopy, X
ray diffraction and confocal laser scanning microscopy revealed that Fenton
pretreatment disrupts the structure of SCB to facilitate the degradation of
lignin by NaOH. The overall data suggest that this combinatorial strategy is a
promising process for SCB pretreatment.
PMID- 27213579
TI - The impact of cooking methods on the nutritional quality and safety of chicken
breaded nuggets.
AB - The impact of cooking methods (industrial pre-frying, deep-fat frying and baking)
on the nutritional quality and safety of chicken breaded nugget samples from
supermarket and commercial brands was evaluated. The changes in the quality
characteristics (nutritional composition, fatty acids profile, cholesterol and
salt) of the fried food and frying oil, after ten consecutive frying operations,
were evaluated. The total fat content of nuggets varied between 10.9 and 22.7 g
per 100 g of edible portion and the salt content ranged from 0.873 to 1.63 g per
100 g. Taking into account one portion of nuggets, the daily intake of salt can
reach 49%, which can have a significant impact on the health of those who
regularly consume this type of food, especially considering the prevalence of
hypertension around the world. The analysed chicken breaded nuggets are rich in
unsaturated fatty acids, which have been related with potential health benefits,
namely regarding cardiovascular diseases. The cholesterol content of baked
samples was two times higher when compared with the fried ones. The trans fatty
acids and polar compounds contents of the frying oil used for frying
significantly increased, but the values were still away from the maximum
recommended by legal entities for its rejection. From a nutritional point of
view, it is possible to conclude that the applied cooking methods can
significantly influence the nutritional quality and safety of the analysed
chicken breaded nuggets. This study will contribute to important knowledge on how
the applied cooking methods can change the nutritional quality and safety of
foods, namely of chicken nuggets, and can be very useful for dietary
recommendations and nutritional assessment.
PMID- 27213580
TI - Murine Model of Intestinal Ischemia-reperfusion Injury.
AB - Intestinal ischemia is a life-threatening condition associated with a broad range
of clinical conditions including atherosclerosis, thrombosis, hypotension,
necrotizing enterocolitis, bowel transplantation, trauma and chronic
inflammation. Intestinal ischemia-reperfusion (IR) injury is a consequence of
acute mesenteric ischemia, caused by inadequate blood flow through the mesenteric
vessels, resulting in intestinal damage. Reperfusion following ischemia can
further exacerbate damage of the intestine. The mechanisms of IR injury are
complex and poorly understood. Therefore, experimental small animal models are
critical for understanding the pathophysiology of IR injury and the development
of novel therapies. Here we describe a mouse model of acute intestinal IR injury
that provides reproducible injury of the small intestine without mortality. This
is achieved by inducing ischemia in the region of the distal ileum by temporally
occluding the peripheral and terminal collateral branches of the superior
mesenteric artery for 60 min using microvascular clips. Reperfusion for 1 hr, or
2 hr after injury results in reproducible injury of the intestine examined by
histological analysis. Proper position of the microvascular clips is critical for
the procedure. Therefore the video clip provides a detailed visual step-by-step
description of this technique. This model of intestinal IR injury can be utilized
to study the cellular and molecular mechanisms of injury and regeneration.
PMID- 27213581
TI - ARF1 promotes prostate tumorigenesis via targeting oncogenic MAPK signaling.
AB - ADP-ribosylation factor 1 (ARF1) is a crucial regulator in vesicle-mediated
membrane trafficking and involved in the activation of signaling molecules.
However, virtually nothing is known about its function in prostate cancer. Here
we have demonstrated that ARF1 expression is significantly elevated in prostate
cancer cells and human tissues and that the expression levels of ARF1 correlate
with the activation of mitogen-activated protein kinases (MAPK) ERK1/2.
Furthermore, we have shown that overexpression and knockdown of ARF1 produce
opposing effects on prostate cancer cell proliferation, anchorage-independent
growth and tumor growth in mouse xenograft models and that ARF1-mediated cell
proliferation can be abolished by the Raf1 inhibitor GW5074 and the MEK
inhibitors U0126 and PD98059. Moreover, inhibition of ARF1 activation achieved by
mutating Thr48 abolishes ARF1's abilities to activate the ERK1/2 and to promote
cell proliferation. These data demonstrate that the aberrant MAPK signaling in
prostate cancer is, at least in part, under the control of ARF1 and that, similar
to Ras, ARF1 is a critical regulator in prostate cancer progression. These data
also suggest that ARF1 may represent a key molecular target for prostate cancer
therapeutics and diagnosis.
PMID- 27213582
TI - Novel role of cannabinoid receptor 2 in inhibiting EGF/EGFR and IGF-I/IGF-IR
pathways in breast cancer.
AB - Breast cancer is the second leading cause of cancer deaths among women.
Cannabinoid receptor 2 (CNR2 or CB2) is an integral part of the endocannabinoid
system. Although CNR2 is highly expressed in the breast cancer tissues as well as
breast cancer cell lines, its functional role in breast tumorigenesis is not well
understood. We observed that estrogen receptor-alpha negative (ERalpha-) breast
cancer cells highly express epidermal growth factor receptor (EGFR) as well as
insulin-like growth factor-I receptor (IGF-IR). We also observed IGF-IR
upregulation in ERalpha+ breast cancer cells. In addition, we found that higher
CNR2 expression correlates with better recurrence free survival in ERalpha- and
ERalpha+ breast cancer patients. Therefore, we analyzed the role of CNR2 specific
agonist (JWH-015) on EGF and/or IGF-I-induced tumorigenic events in ERalpha- and
ERalpha+ breast cancers. Our studies showed that CNR2 activation inhibited EGF
and IGF-I-induced migration and invasion of ERalpha+ and ERalpha- breast cancer
cells. At the molecular level, JWH-015 inhibited EGFR and IGF-IR activation and
their downstream targets STAT3, AKT, ERK, NF-kB and matrix metalloproteinases
(MMPs). In vivo studies showed that JWH-015 significantly reduced breast cancer
growth in ERalpha+ and ERalpha- breast cancer mouse models. Furthermore, we found
that the tumors derived from JWH-015-treated mice showed reduced activation of
EGFR and IGF-IR and their downstream targets. In conclusion, we show that CNR2
activation suppresses breast cancer through novel mechanisms by inhibiting
EGF/EGFR and IGF-I/IGF-IR signaling axes.
PMID- 27213583
TI - Up-regulation of SERPINA3 correlates with high mortality of melanoma patients and
increased migration and invasion of cancer cells.
AB - Serpin Peptidase Inhibitor, clade A member 3 (SERPINA3) was found to be
abnormally overexpressed in a subset of melanoma tissue biopsies. High SERPINA3
expression was also associated with poor patient survival. In this study, we set
out to test SERPINA3 protein's prognostic potential with a larger-sized and
independent patient cohort, and to explore SERPINA3's function in melanoma cells.
Tissue microarray-based immunohistochemistry analysis showed a significant
increase in SERPINA3 expression in invasive and metastatic melanomas compared to
normal nevi and melanoma-in-situ (P < 0.001, Chi-square test). In melanoma
patients, high SERPINA3 expression was strongly associated with worse overall and
disease specific survival at 5 years. Multivariate Cox regression analysis showed
that SERPINA3 expression is an independent prognostic factor to predict melanoma
patient clinical outcome. When SERPINA3 expression was selectively silenced using
small interfering RNA molecules (siRNA) in cultured melanoma cell lines, cell
migration and matrix invasion was significantly decreased, but no change in cell
proliferation was observed.This study confirms the prognostic potential of
SERPINA3 expression in human cutaneous melanoma and reveals the pro-migration and
pro-invasion functions of this protein on melanoma cells.
PMID- 27213584
TI - Survival of patients with symptom- and screening-detected colorectal cancer.
AB - BACKGROUND: An increasing proportion of colorectal cancer (CRC) patients are
diagnosed by screening rather than symptoms. AIMS: We aimed to assess and compare
prognosis of patients with screen-detected CRC and symptom-detected CRC. METHODS:
Overall and CRC specific mortality over a median follow-up of 4.8 years was
assessed according to mode of diagnosis (symptoms, screening colonoscopy, fecal
occult blood test [FOBT], other) in a multi-center cohort of 2,450 CRC patients
aged 50-79 years recruited in Germany in 2003-2010. RESULTS: 68%, 11% and 10%
were detected by symptoms, screening colonoscopy and FOBT, respectively. The
screen-detected cancers had a more favorable stage distribution than the symptom
detected cancers (68% versus 50% in stage I or II). Age- and sex adjusted hazard
ratios (HRs) of total mortality with 95% confidence intervals (95% CIs) compared
to symptom-detected cancers were 0.35 (0.24-0.50) and 0.36 (0.25-0.53) for
screening colonoscopy and FOBT detected CRCs, respectively. HRs were only
slightly attenuated and remained highly significant after adjustment for stage
and multiple other covariates (0.50 (0.34-0.73) and 0.54 (0.37-0.80),
respectively). Even stronger associations were seen for CRC specific mortality.
Patients with screen-detected stage III CRC had as good CRC specific survival as
patients with symptom-detected stage I or II CRC. CONCLUSIONS: Patients with
screen-detected CRC have a very good prognosis far beyond the level explained by
their more favorable stage distribution. Mode of detection is an important, easy
to-obtain proxy indicator for favorable diagnosis beyond earlier stage at
diagnosis and as such may be useful for risk stratification in treatment
decisions.
PMID- 27213585
TI - Microsatellite instability derived JAK1 frameshift mutations are associated with
tumor immune evasion in endometrioid endometrial cancer.
AB - JAK1 frameshift mutations may promote cancer cell immune evasion by impeding
upregulation of the antigen presentation pathway in microsatellite unstable
endometrial cancers (ECs). This study investigated the JAK1 mutation frequency,
its functional implication in immune evasion and its prognostic significance in
microsatellite unstable EC. Microsatellite instability and three microsatellite
repeats within JAK1 were analyzed in 181 ECs. Sixty-two (34%) ECs showed
microsatellite instability, of which 22 (35%) had a JAK1 mutation. LMP7, TAP1 and
HLA class I protein expression and the presence of CD8-positive T-cells were
analyzed in the microsatellite unstable ECs. JAK1 mutant microsatellite unstable
ECs showed impaired upregulation of LMP7 (P=0.074) and HLA class I (P<0.001),
validated using RNAseq data of the TCGA. TAP1 expression and presence of CD8
positive T-cells were not related to JAK1 mutations. In 198 additional
microsatellite unstable ECs, the JAK1 mutation frequency was confirmed but no
prognostic significance was found. For, JAK1 wildtype (n=135, 72%) and mutant
(n=52, 28%) ECs, 10-year recurrence free rates were 84% and 77% (P=0.301). These
observations show that JAK1 mutations are highly frequent in microsatellite
unstable EC, not associated with survival, but are associated with impaired
upregulation of LMP7 and HLA class I and may therefore facilitate immune escape.
PMID- 27213586
TI - Loss of adipose triglyceride lipase is associated with human cancer and induces
mouse pulmonary neoplasia.
AB - Metabolic reprogramming is a hallmark of cancer. Understanding cancer metabolism
is instrumental to devise innovative therapeutic approaches. Anabolic metabolism,
including the induction of lipogenic enzymes, is a key feature of proliferating
cells. Here, we report a novel tumor suppressive function for adipose
triglyceride lipase (ATGL), the rate limiting enzyme in the triglyceride
hydrolysis cascade.In immunohistochemical analysis, non-small cell lung cancers,
pancreatic adenocarcinoma as well as leiomyosarcoma showed significantly reduced
levels of ATGL protein compared to corresponding normal tissues. The ATGL gene
was frequently deleted in various forms of cancers. Low levels of ATGL mRNA
correlated with significantly reduced survival in patients with ovarian, breast,
gastric and non-small cell lung cancers. Remarkably, pulmonary neoplasia
including invasive adenocarcinoma developed spontaneously in mice lacking ATGL
pointing to an important role for this lipase in controlling tumor
development.Loss of ATGL, as detected in several forms of human cancer, induces
spontaneous development of pulmonary neoplasia in a mouse model. Our results,
therefore, suggest a novel tumor suppressor function for ATGL and contribute to
the understanding of cancer metabolism. We propose to evaluate loss of ATGL
protein expression for the diagnosis of malignant tumors. Finally, modulation of
the lipolytic pathway may represent a novel therapeutic approach in the treatment
of human cancer.
PMID- 27213587
TI - Plasma dynamic monitoring of soluble c-Met level for EGFR-TKI treatment in
advanced non-small cell lung cancer.
AB - BACKGROUND: The activation of c-Met has been associated with both primary and
acquired resistance to EGFR-TKI therapy in NSCLC patients. Thus, c-Met status
during EGFR-TKI therapy should receive much attention. RESULTS: Forty-nine
patients were selected as training cohort and 52 cases as validation cohort. With
disease progression, IHC results showed that 37 (75.5%) of the patients were
tissue c-Met-negative, and 12 (24.5%) were tissue c-Met-positive. There was a
statistically significant difference in the dynamic change in soluble c-Met
between the tissue c-Met-negative and c-Met-positive groups (P = 0.002). Patients
with a baseline soluble c-Met level >766 ng/ml showed inferior median progression
free survival (PFS; 10.2 vs. 14.0 months; P = 0.003) after EGFR-TKI treatment.
Multivariate Cox proportional hazards model analyses demonstrated that the
soluble c-Met level was an independent prognostic factor for PFS after EGFR-TKI
treatment (P = 0.009; hazard ratio: 3.583; 95% confidence interval: 1.379-9.312).
In the validation cohort, patients with soluble c-Met levels >766 ng/ml were also
determined to have significant short median PFS after EGFR-TKI treatment (6.8 vs.
14.5 months, P < 0.001). PATIENTS AND METHODS: We retrospectively investigated
the dynamic change in the soluble c-Met level in plasma and its relationship with
clinical outcomes of EGFR-TKI therapy in advanced NSCLC. Immunohistochemistry
(IHC) was used to assess the expression of c-Met in the resistant tissue. Plasma
c-Met levels were assayed in duplicate using a human soluble c-Met quantitative
enzyme-linked immunosorbent assay (ELISA) kit. CONCLUSIONS: Quantitatively
determining the soluble c-Met level in plasma by ELISA might provide a non
invasive and sensitive method to predict EGFR-TKI prognosis.
PMID- 27213588
TI - The impact of the MYB-NFIB fusion proto-oncogene in vivo.
AB - Recurrent fusion of the v-myb avian myelobastosis viral oncogene homolog (MYB)
and nuclear factor I/B (NFIB) generates the MYB-NFIB transcription factor, which
has been detected in a high percentage of individuals with adenoid cystic
carcinoma (ACC). To understand the functional role of this fusion protein in
carcinogenesis, we generated a conditional mutant transgenic mouse that expresses
MYB-NFIB along with p53 mutation in tissues that give rise to ACC: mammary
tissue, salivary glands, or systemically in the whole body. Expression of the
oncogene in mammary tissue resulted in hyperplastic glands that developed into
adenocarcinoma in 27.3% of animals. Systemic expression of the MYB-NFIB fusion
caused more rapid development of this breast phenotype, but mice died due to
abnormal proliferation in the glomerular compartment of the kidney, which led to
development of glomerulonephritis. These findings suggest the MYB-NFIB fusion is
oncogenic and treatments targeting this transcription factor may lead to
therapeutic responses in ACC patients.
PMID- 27213589
TI - Phase I study of pemetrexed with sorafenib in advanced solid tumors.
AB - PURPOSE: To determine if combination treatment with pemetrexed and sorafenib is
safe and tolerable in patients with advanced solid tumors. RESULTS: Thirty-seven
patients were enrolled and 36 patients were treated (24 in cohort A; 12 in cohort
B). The cohort A dose schedule resulted in problematic cumulative toxicity, while
the cohort B dose schedule was found to be more tolerable. The maximum tolerated
dose (MTD) was pemetrexed 750 mg/m2 every 14 days with oral sorafenib 400 mg
given twice daily on days 1-5. Because dosing delays and modifications were
associated with the MTD, the recommended phase II dose was declared to be
pemetrexed 500 mg/m2 every 14 days with oral sorafenib 400 mg given twice daily
on days 1-5. Thirty-three patients were evaluated for antitumor activity. One
complete response and 4 partial responses were observed (15% overall response
rate). Stable disease was seen in 15 patients (45%). Four patients had a
continued response at 6 months, including 2 of 5 patients with triple-negative
breast cancer. EXPERIMENTAL DESIGN: A phase I trial employing a standard 3 + 3
design was conducted in patients with advanced solid tumors. Cohort A involved a
novel dose escalation schema exploring doses of pemetrexed every 14 days with
continuous sorafenib. Cohort B involved a modified schedule of sorafenib dosing
on days 1-5 of each 14-day pemetrexed cycle. Radiographic assessments were
conducted every 8 weeks. CONCLUSIONS: Pemetrexed and intermittent sorafenib
therapy is a safe and tolerable combination for patients, with promising activity
seen in patients with breast cancer.
PMID- 27213590
TI - RhoE/ROCK2 regulates chemoresistance through NF-kappaB/IL-6/ STAT3 signaling in
hepatocellular carcinoma.
AB - Small Rho GTPase (Rho) and its immediate effector Rho kinase (ROCK) are reported
to regulate cell survival, but the detailed molecular mechanism remains largely
unknown. We had previously shown that Rho/ROCK signaling was highly activated in
hepatocellular carcinoma (HCC). In this study, we further demonstrated that
downregulation of RhoE, a RhoA antagonist, and upregulation of ROCK enhanced
resistance to chemotherapy in HCC in both in vitro cell and in vivo murine
xenograft models, whereas a ROCK inhibitor was able to profoundly sensitize HCC
tumors to cisplatin treatment. Specifically, the ROCK2 isoform but not ROCK1
maintained the chemoresistance in HCC cells. Mechanistically, we demonstrated
that activation of ROCK2 enhanced the phosphorylation of JAK2 and STAT3 through
increased expression of IL-6 and the IL-6 receptor complex. We also identified
IKKbeta as the direct downstream target of Rho/ROCK, and activation of ROCK2
significantly augmented NF-kappaB transcription activity and induced IL-6
expression. These data indicate that Rho/ROCK signaling activates a positive
feedback loop of IKKbeta/NF-kappaB/IL-6/STAT3 which confers chemoresistance to
HCC cells and is a potential molecular target for HCC therapy.
PMID- 27213591
TI - Hyperosmotic treatment synergistically boost efficiency of cell-permeable
peptides.
AB - Therapeutics delivery into cells has been hurdled due to the barrier of
cytoplasmic membrane. Although cell penetrating peptide (CPP) can potentially
serve as an intracellular drug delivery vehicle, the application of CPP-based
delivery is limited because the unsatisfactory delivery efficiency of CPP
conjugated potent cargos is challenging their applications in present. Thus, the
development of strategies for enhancing the penetrating efficiency of CPP would
therefore urgent need to be explored to increase the scope of potential
applications. We report here the effects of glucose, sucrose and manntiol
(abbreviated as GSM) combination facilitating the penetration efficiency of CPP
peptide alone or CPP-GFP (green fluorescence protein) conjugation in cultured
cell lines or primary cells. Moreover, osmoprotectants glycerol and glycine
supplementation help cells cope with the stress from GSM combination. Thus, our
present study suggests that GSM combination in the presence of osmoprotectant can
work as a new strategy for CPP penetration enhancement.
PMID- 27213592
TI - Relationship of smoking status to genomic profile, chemotherapy response and
clinical outcome in patients with advanced urothelial carcinoma.
AB - Smoking has been linked to urothelial carcinoma (UC), but the implications on
genomic profile and therapeutic response are poorly understood. To determine how
smoking history impacts genomic profile and chemotherapy response,
clinicopathologic data was collected for patients with metastatic UC (mUC) across
3 academic medical centers and comprehensive genomic profiling (CGP) was
performed through a CLIA-certified lab. Unsupervised hierarchical clustering
based on smoking status was used to categorize the frequency of genomic
alterations (GAs) amongst current smokers (CS), ex-smokers (ES) and non-smokers
(NS), and survival was compared in these subsets. Fisher's exact test identified
significant associations between GAs and smoking status. Amongst 83 patients,
23%, 55% and 22% were CS, ES, and NS, respectively, and 95% of patients had stage
IV disease. With a median follow up of 14.4 months, the median overall survival
(OS) was significantly higher in NS and ES (combined) as compared to CS (51.6 vs
15.6 months; P = 0.04). Of 315 cancer-related genes and 31 genes often related to
rearrangement tested, heatmaps show some variations amongst the subsets. GAs in
NSD1 were more frequent in CS as compared to other groups (P < 0.001). CS status
negatively impacts OS in patients with mUC and is associated with genomic
alterations that could have therapeutic implications.
PMID- 27213593
TI - Phase I dose escalation study of helical intensity-modulated radiotherapy-based
stereotactic body radiotherapy for hepatocellular carcinoma.
AB - BACKGROUND: Phase I trial was conducted to determine feasibility and toxicity of
helical intensity-modulated radiotherapy (IMRT)-based stereotactic body
radiotherapy (SBRT) for hepatocellular carcinoma (HCC). RESULTS: Eighteen
patients (22 lesions) were enrolled. With no DLT at 52 Gy (13 Gy/fraction),
protocol was amended for further escalation to 60 Gy (15 Gy/fraction). Radiologic
complete response rate was 88.9%. Two outfield intrahepatic, 2 distant, 4
concurrent local and outfield, and 1 concurrent local, outfield and distant
failures (no local failure at dose levels 3-4) occurred. The worst toxicity was
grade 3 hematologic in five patients, with no gastrointestinal toxicity > grade
1. At median follow-up of 28 months for living patients, 2-year local control,
progression-free (PFS), and overall survival rates were 71.3%, 49.4% and 69.3%,
respectively. Multi-segmental recurrences prior to SBRT was independent
prognostic factor for PFS (p = 0.033). MATERIALS AND METHODS: Eligible patients
had Child-Pugh's class A or B, unresectable HCC, <= 3 lesions, and cumulative
tumor diameter <= 6 cm. Starting at 36 Gy in four fractions, dose was escalated
with 2 Gy/fraction per dose-level. CTCAE v 3.0 >= grade 3 gastrointestinal
toxicity and radiation induced liver disease defined dose-limiting toxicity
(DLT). CONCLUSIONS: Helical IMRT-based SBRT was tolerable and showed encouraging
results. Confirmatory phase II trial is underway.
PMID- 27213594
TI - Autophagic flux is highly active in early mitosis and differentially regulated
throughout the cell cycle.
AB - Mitosis is a fast process that involves dramatic cellular remodeling and has a
high energy demand. Whether autophagy is active or inactive during the early
stages of mitosis in a naturally dividing cell is still debated. Here we aimed to
use multiple assays to resolve this apparent discrepancy. Although the LC3 puncta
number was reduced in mitosis, the four different cell lines we tested all have
active autophagic flux in both interphase and mitosis. In addition, the
autophagic flux was highly active in nocodazole-induced, double-thymidine
synchronization released as well as naturally occurring mitosis in HeLa cells.
Multiple autophagy proteins are upregulated in mitosis and the increased Beclin-1
level likely contributes to the active autophagic flux in early mitosis. It is
interesting that although the autophagic flux is active throughout the cell
cycle, early mitosis and S phase have relatively higher autophagic flux than G1
and late G2 phases, which might be helpful to degrade the damaged organelles and
provide energy during S phase and mitosis.
PMID- 27213595
TI - MEK inhibitor PD0325901 and vitamin C synergistically induce hypomethylation of
mouse embryonic stem cells.
AB - A rationally selected combination of small-molecule chemicals can affect cell
plasticity and fate, suggesting an open chemistry way to manipulate cells to
achieve a specific goal. Here we for the first time demonstrate that a
combination of vitamin C (Vc) and PD0325901 can achieve about 90% erasure of 5
methylcytosine (5mC) within 5 days (decreasing from 3.2 to ~ 0.3 5mC per 100 C)
in mouse embryonic stem cells (ESCs). The hypomethylated level is comparable to
that of gonadal primordial germ cells (PGCs), whose pluripotency is closely
associated with the global DNA hypomethylation. In contrast, Vc or PD0325901
alone only induces a moderately reduced level of global DNA methylation. Our
mechanistic study suggested that PD0325901 elevated expression of Prdm14, which
repressed de novo methyltransferase Dnmt3b and its cofactor Dnmt3l at levels of
protein, via the mode to eliminate 5mC from de novo synthesis. By further
addition of Vc, the oxidation of 5mC as catalyzed by Tet1/Tet2 dioxygenases was
significantly increased as manifested by the elevated level of 5
hydroxymethylcytosine. However, by the depletion of Tet1/Tet2, Vc failed to
enhance PD0325901-stimulated hypomethylation of ESCs' genomic DNA. Furthermore,
mouse ESCs in Vc/PD0325901-supplemented medium show great morphology and
pluripotency. Therefore, we demonstrate a novel and synergistic chemical approach
for promoting hypomethylation and sustaining pluripotency of ESCs.
PMID- 27213598
TI - [Not Available].
PMID- 27213597
TI - Exploiting macrophages as targeted carrier to guide nanoparticles into glioma.
AB - The restriction of anti-cancer drugs entry to tumor sites in the brain is a major
impediment to the development of new strategies for the treatment of glioma.
Based on the finding that macrophages possess an intrinsic homing property
enabling them to migrate to tumor sites across the endothelial barriers in
response to the excretion of cytokines/chemokines in the diseased tissues, we
exploited macrophages as 'Trojan horses' to carry drug-loading nanoparticles
(NPs), pass through barriers, and offload them into brain tumor sites. Anticancer
drugs were encapsulated in nanoparticles to avoid their damage to the cells. Drug
loading NPs was then incubated with RAW264.7 cells in vitro to prepare macrophage
NPs (M-NPs). The release of NPs from M-NPs was very slow in medium of DMEM and
10% FBS and significantly accelerated when LPS and IFN-gamma were added to mimic
tumor inflammation microenvironment. The viability of macrophages was not
affected when the concentration of doxorubicin lower than 25 MUg/ml. The
improvement of cellular uptake and penetration into the core of glioma spheroids
of M-NPs compared with NPs was verified in in vitro studies. The tumor-targeting
efficiency of NPs was also significantly enhanced after loading into macrophages
in nude mice bearing intracranial U87 glioma. Our results provided great
potential of macrophages as an active biocarrier to deliver anticancer drugs to
the tumor sites in the brain and improve therapeutic effects of glioma.
PMID- 27213599
TI - [Not Available].
PMID- 27213600
TI - [Patient blood management: Does the approach also make sense in children?].
AB - Patient blood management describes an interdisciplinary concept which aims at
rational and adequate use of blood products accompanied by strategies to prevent
and treat anemia, to reduce blood loss and to use alternatives to blood
transfusion. While patient blood management has been widely implemented in adult
medicine, concepts for such measures in the care of children are rare. The basic
principles of preoperative evaluation, optimization of blood volume,
perioperative minimizing of blood loss and a differentiated use of blood products
are effective both in adults and children. There are differences in the
physiology of hemoglobin and cardiovascular systems, particularly in the first
year of life. It can be difficult to determine impending anemic hypoxia in
children, so that indication for transfusion based on standardized hemoglobin
threshold values should always be supported by an individual risk-benefit
analysis based on clinical assessment.
PMID- 27213601
TI - [Hypernatremia - Diagnostics and therapy].
AB - Hypernatremia is a common electrolyte disorder that reflects an imbalance in the
water balance of the body, often resulting from an increased loss of free water
compared to sodium excretion. It is rarely based on excessive sodium intake. The
clinical presentation is often characterized by a central nervous system
dysfunction (confusion, coma) and pronounced thirst (in awake patients). In
addition to medical history, the volume status of the patient and the osmolality
of urine are leading in the differential diagnosis. Usually, the treatment of
hypernatremia - in addition to addressing the underlying cause - is replacing the
(absolute or relative) loss of free water by hypotonic infusions, or in case of
diabetes insipidus, by application of Desmopressin (Minirin). As rapid changes in
serum sodium concentration may have deleterious consequences (osmotic
demyelinsiation syndrome), preexisting hypernatremia (>48h) should not be reduced
by more than 8-10 mmol/l/day. Close laboratory controls are important. For acute
hypernatremia (< 24 hours), hemodialysis is an effective option to rapidly
normalize the serum sodium levels. To avoid a rapid drop in sodium concentration
that must also be considered when starting a renal replacement therapy in
patients with chronic hypernatremia.
PMID- 27213602
TI - [Case report: 40-year-old patient with massive bleeding undergoing cesarean
section].
AB - We report a case of a 40-year-old patient suffering major bleeding when
undergoing cesarean section for delivery with placenta previa. After surgery the
patient suffered from severe bleeding several times and again underwent surgery
with abdominal packing. After an abdominal compartment syndrome and an ARDS a
post transfusion purpura was found to be the cause of the repeated bleeding and
could successfully be treated with a cycle of plasmapheresis.
PMID- 27213603
TI - [Not Available].
PMID- 27213604
TI - [Not Available].
PMID- 27213605
TI - [Legal characteristics of expert opinions of anaesthetic cases of the North
German Arbitration Board].
AB - The Arbitration Board for Medical Liability Issues of the State Medical Councils
of Northern Germany in Hannover (North German Arbitration Board, NGAB) settles
about 100 cases in the area of anaesthesiology per year. In these proceedings the
patient carries the burden of proof. I. e. the patient has to prove that its
health damage was caused by a medical error. Nevertheless, for individual cases
the NGAB examines also whether facilitation of the burden of proof can be granted
to the patient. This article exemplifies cases, for which the NGAB recognized
such facilitation of the burden of proof. In each of these cases, the NGAB
asserted the damage claim.
PMID- 27213606
TI - [Selected medical closed claims in the field of regional anaesthesia].
AB - Legal malpractice cases in regional anaesthesia comprise a significant number of
all cases before the expert commissions and arbitration boards of the state
medical associations. One reason for this is the increasing use of neuraxial and
peripheral regional blocks in orthopaedics and traumatology. Only in about one
fourth of the reviewed cases could either a causal relationship between
substandard performance and patient injury or an inadequate obtaining of informed
consent be established. In the great majority of cases patients' claims were
unfounded, since the patients' injuries were adjudged to be unavoidable and
adequate consent had been abtained prior to performance of the blocks.
PMID- 27213607
TI - [Not Available].
PMID- 27213608
TI - [Not Available].
PMID- 27213609
TI - [Not Available].
PMID- 27213611
TI - Production of Insecticide Degradates in Juices: Implications for Risk Assessment.
AB - This study was designed to observe the production of degradates of two
organophosphorus insecticides and one pyrethroid insecticide in beverages.
Purified water, white grape juice, apple juice, and red grape juice were
fortified with 500 ng/g malathion, chlorpyrifos, and permethrin, and aliquots
were extracted for malathion dicarboxylic acid (MDA), 3,5,6-trichloro-2-pyridinol
(TCPy), and 3-phenoxybenzoic acid (3-PBA) several times over a 15 day period of
being stored in the dark at 2.5 degrees C. Overall, first-order kinetics were
observed for production of MDA, and statistically significant production of TCPy
was also observed. Statistically significant production of 3-phenoxybenzoic acid
was not observed. Results indicate that insecticides degrade in food and
beverages, and this degradation may lead to preexisting insecticide metabolites
in the beverages. Therefore, it is suggested that caution should be exercised
when using urinary insecticide metabolites to assess exposure and risk.
PMID- 27213610
TI - Perfusion Deficits and Functional Connectivity Alterations in Memory-Related
Regions of Patients with Post-Traumatic Stress Disorder.
AB - To explore the potential alterations in cerebral blood flow (CBF) and functional
connectivity of recent onset post-traumatic stress disorder (PTSD) induced by a
single prolonged trauma exposure, we recruited 20 survivors experiencing the same
coal mining flood disaster as the PTSD (n = 10) and non-PTSD (n = 10) group,
respectively. The pulsed arterial spin labeling (ASL) images were acquired with a
3.0T MRI scanner and the partial volume (PV) effect in the images was corrected
for better CBF estimation. Alterations in CBF were analyzed using both
uncorrected and PV-corrected CBF maps. By using altered CBF regions as regions-of
interest, seed-based functional connectivity analysis was then performed. While
only one CBF deficit in right corpus callosum of PTSD patients was detected using
uncorrected CBF, three more regions (bilateral frontal lobes and right superior
frontal gyrus) were identified using PV-corrected CBF. Furthermore, the regional
CBF of right superior frontal gyrus exhibited significantly negative correlation
with the symptom severity (r = -0.759, p = 0.018). The resting-state functional
connectivity analysis revealed increased connectivity between left frontal lobe
and right parietal lobe. The results indicated the symptom-specific perfusion
deficits and an aberrant connectivity in memory-related regions of PTSD patients
when using PV-corrected ASL data. It also suggested that PV-corrected CBF
exhibits more subtle changes that may be beneficial to perfusion and connectivity
analysis.
PMID- 27213612
TI - Design and assessment of an anatomical diagram for sinonasal malignant tumour
resection.
AB - BACKGROUND: The aim of our work was to design and assess the comprehensibility of
an anatomical diagram for recording surgical and pathological results after the
removal of sinonasal malignant tumours by endoscopic endonasal surgery.
METHODOLOGY: To create the first version of the diagram, we determined the
functional and technical specifications for its use. The anatomical structures
that appear on it were selected from the pathological reports of previous
interventions. The comprehensibility of the diagram was tested by two successive
multicentre labelling tests. Successive modifications led to the creation of a
definitive version of the diagram. RESULTS: A diagram of the sinonasal cavities
in exploded view was created from 47 selected anatomical structures. Labelling
tests led to modifying the diagram by the overall restructuring and removal of
the least recognized structures. In the labelling test of version 2, the mean
global identification rate was 97.1 plus/minus 4.9% for 36 participants and all
tested structures achieved a specific identification rate equal to or greater
than 75%. CONCLUSIONS: This diagram of the sinonasal cavities is a comprehensible
validated tool that allows the resection and invasion of sinonasal malignant
tumours to be recorded. This three-dimensional diagram facilitates the
understanding of the size, location and extensions of tumours. It may improve
case presentations and communication in multidisciplinary team meetings.
PMID- 27213613
TI - The Lancet Weight Determines Wheal Diameter in Response to Skin Prick Testing
with Histamine.
AB - BACKGROUND: Skin prick test (SPT) is a common test for diagnosing immunoglobulin
E-mediated allergies. In clinical routine, technicalities, human errors or
patient-related biases, occasionally results in suboptimal diagnosis of
sensitization. OBJECTIVE: Although not previously assessed qualitatively, lancet
weight is hypothesized to be important when performing SPT to minimize the
frequency of false positives, false negatives, and unwanted discomfort. METHODS:
Accurate weight-controlled SPT was performed on the volar forearms and backs of
20 healthy subjects. Four predetermined lancet weights were applied (25 g, 85 g,
135 g and 265 g) using two positive control histamine solutions (1 mg/mL and 10
mg/mL) and one negative control (saline). A total of 400 SPTs were conducted. The
outcome parameters were: wheal size, neurogenic inflammation (measured by
superficial blood perfusion), frequency of bleeding, and the lancet provoked pain
response. RESULTS: The mean wheal diameter increased significantly as higher
weights were applied to the SPT lancet, e.g. from 3.2 +/- 0.28 mm at 25 g to 5.4
+/- 1.7 mm at 265 g (p<0.01). Similarly, the frequency of bleeding, the provoked
pain, and the neurogenic inflammatory response increased significantly. At 265 g
saline evoked two wheal responses (/160 pricks) below 3 mm. CONCLUSION AND
CLINICAL RELEVANCE: The applied weight of the lancet during the SPT-procedure is
an important factor. Higher lancet weights precipitate significantly larger wheal
reactions with potential diagnostic implications. This warrants additional
research of the optimal lancet weight in relation to SPT-guidelines to improve
the specificity and sensitivity of the procedure.
PMID- 27213614
TI - Incidence and Mortality of Spontaneous Subarachnoid Hemorrhage in Martinique.
AB - BACKGROUND: Incidence of spontaneous subarachnoid hemorrhages (SAH) varies wildly
across the world and seems to be low in Central and South America (4.2 per 100
000 person-years; CI 95%; 3.1-5.7). The objective of our study was to describe
the characteristics of SAH and to estimate its incidence and severity in
Martinique, a small French island located in the Caribbean Sea. METHODS: Due to
its insular nature and small captive population, Martinique is ideal for the
setting up of population-based epidemiological studies with good exhaustiveness.
Our study, spanning a 7 year period (2007-2013), consisted of retrospective case
ascertainment with multiple overlapping methods. Crude incidence and 30 day case
fatality rates for SAH among the Martinican population were computed for the
study period. Incidence and disease severity was also analyzed according to age,
gender and aneurysm presence. World age-standardized incidence rates were also
calculated. RESULTS: A total of 121 patients had a SAH during the study period,
with a higher frequency of female cases (71.1% versus 28.9%, p<0.001). Patient
mean age was 57.1 years (median = 55 [46-66]). An aneurysmal origin was found in
96 SAH cases (79.3%). Crude annual incidence was 4.36 per 100 000 person-years
(CI 95% 2.30-6.42). World age-standardized incidence was 3.29 per 100 000 person
years (CI 95% 1.74-4.84). During the 30 days following SAH diagnosis, 29 patients
died (case fatality rate: 24% (CI 95% 16.4-31.6)). CONCLUSIONS: The incidence of
spontaneous subarachnoid hemorrhage in Martinique is much lower than in other
parts of the world and similar to countries in Central and South America. These
results are possibly related to environmental factors and most particularly to a
low rate of smoking in the Martinican population. Thirty-day case-fatality rate
is similar to what is observed in developed countries.
PMID- 27213615
TI - Regulation of the P450 Oxygenation Cascade Involved in Glycopeptide Antibiotic
Biosynthesis.
AB - Glycopeptide antibiotics (GPAs) are nonribosomal peptides rich in modifications
introduced by external enzymes. These enzymes act on the free peptide aglycone or
intermediates bound to the nonribosomal peptide synthetase (NRPS) assembly line.
In this process the terminal module of the NRPS plays a crucial role as it
contains a unique recruitment platform (X-domain) interacting with three to four
modifying Cytochrome P450 (P450) enzymes that are responsible for cyclizing bound
peptides. However, whether these enzymes share the same binding site on the X
domain and how the order of the cyclization steps is orchestrated has remained
elusive. In this study we investigate the first two reactions in teicoplanin
aglycone maturation catalyzed by the enzymes OxyBtei and OxyAtei. We demonstrate
that both enzymes interact with the X-domain via the identical interaction site
with similar affinities, irrespective of the peptide modification stage, while
their catalytic activity is restricted to the correctly cross-linked peptide. On
the basis of steady state kinetics of the OxyBtei-catalyzed reaction, we propose
a model for P450 recruitment and peptide modification that involves continuous
association/dissociation of the P450 enzymes with the NRPS, followed by specific
recognition of the peptide cyclization state by the P450 (scanning). This leads
to an induced conformational change that enhances the affinity of the
enzyme/substrate complex and initiates catalysis; product release then occurs,
with the product itself becoming the substrate for the second enzyme in the
pathway. This model rationalizes our experimental findings for this complex
enzyme cascade and provides insights into the orchestration of the sequential
peptide tailoring reactions on the terminal NRPS module in GPA biosynthesis.
PMID- 27213616
TI - Who sits behind the telephone? Interpersonal characteristics of volunteer
counselors in telephone emergency services.
AB - OBJECTIVE: Telephone emergency services (TES) play an important role in suicide
prevention across different health care systems around the world. However, little
is known about the telephone counselors who often volunteer to provide free and
confidential help for people in emotional crises. The current study aims to
examine the interpersonal values of volunteer counselor trainees and further
investigates their personality traits, life satisfaction and expectations
regarding their future counseling style. METHOD: For the current study, 261
counselor trainees were recruited within the German nationwide organization
TelefonSeelsorge at the beginning of their paraprofessional training.
Interpersonal values were described according to the interpersonal circumplex
(IPC) model with the structural summary method. RESULTS: Compared to a matched
nonclinical reference group, trainee counselors reported significantly higher
interpersonal values for the scales Harmony (LM) and Helpful Influence (NO). A
cluster analysis revealed 3 distinct groups of trainees, which can be described
as predominantly submissive-altruistic, helpful-influential, and friendly
harmonious. The 3 groups further differed in the Big Five personality traits
Extraversion, Neuroticism and Agreeableness, as well as in self-reported
mentalization, attachment anxiety, and avoidance, but not in life satisfaction.
Furthermore, the groups differed with regard to their expected future counseling
style. CONCLUSION: The results contribute to an understanding of interpersonal
goals and motives of volunteer counselor trainees in TES. (PsycINFO Database
Record
PMID- 27213617
TI - Systematic Construction and Calculation of Electronic Properties of Fullerene
Series Related by Rotational Symmetry: From Fullerenes to Bicapped Nanotubes.
AB - The results herein demonstrate that the methods of circumscribing and the facile
calculation of Huckel molecular orbital (HMO) eigenvalues by mirror-plane
fragmentation have a broad application in the construction of carbon cluster
series and the systematic study of trends in their electronic properties. In
comparing open-ended nanotubes and their isomeric elongated fullerenes (bicapped
nanotubes), we show that the former are more aromatic but the latter are more
conjugated and that progressive elongation increases aromaticity and conjugation
in both. Recursion equations that will allow one to obtain the eigenvalues to all
5-endcapped nanotubes are given.
PMID- 27213619
TI - Electrochemical Quantifying, Counting, and Sizing Supported Pt Nanoparticles in
Real Time.
AB - Knowledge about controlling the activity and catalyst degradation mechanisms of
platinum-based catalysts has been limited by technical impediments. Here we show
a facile in situ electrochemical procedure for the simultaneous assessment of the
mean size and number of Pt nanoparticles (Ptnano) from an evaluation of the
electrochemically surface area (ECSA) and the breakthrough in electrochemical
quantification of the Pt content. The electrochemical procedure enables in situ
characterization of the factors related to the catalytic activity and monitoring
of the changes in Pt content during an accelerated durability test. Surprisingly,
the ECSA loss was observed only from the growth of Ptnano mean size even without
any Pt loss over the potential range, 0.6-1.0 V vs RHE, at room temperature.
These results strongly support the long-standing debate that if the coarsening of
Ptnano from crystal migration and coalescence can occur in low temperature fuel
cells.
PMID- 27213618
TI - Platelet Transfusion Practices Among Very-Low-Birth-Weight Infants.
AB - IMPORTANCE: Thrombocytopenia and intraventricular hemorrhage (IVH) are common
among very-low-birth-weight (VLBW) infants. Survey results suggest that US
neonatologists frequently administer platelet transfusions to VLBW infants with
mild to moderate thrombocytopenia. OBJECTIVES: To characterize platelet
transfusion practices in US neonatal intensive care units (NICUs), to determine
whether severity of illness influences platelet transfusion decisions, and to
examine the association between platelet count (PCT) and the risk for IVH in the
first 7 days of life. DESIGN, SETTING, AND PARTICIPANTS: This multicenter,
retrospective cohort study included 972 VLBW infants treated in 6 US NICUs, with
admission dates from January 1, 2006, to December 31, 2007. Data were collected
from all infants until NICU discharge or death (last day of data collected,
December 4, 2008). Data were entered into the central database, cleaned, and
analyzed from May 1, 2009, to February 11, 2016. INTERVENTION: Platelet
transfusion. MAIN OUTCOMES AND MEASURES: Number of platelet transfusions and
incidence of IVH. RESULTS: Among the 972 VLBW infants (520 [53.5%] male; mean
[SD] gestational age, 28.2 [2.9] weeks), 231 received 1002 platelet transfusions
(mean [SD], 4.3 [6.0] per infant; range, 1-63 per infant). The pretransfusion PCT
was at least 50 000/MUL for 653 of 998 transfusions (65.4%) with this
information. Two hundred eighty-one transfusions (28.0%) were given during the
first 7 days of life. During that period, platelet transfusions were given on 35
of 53 days (66.0%) when the patient had a PCT less than 50 000/MUL and on 203 of
436 days (46.6%) when the patient had a PCT of 50 000/MUL to 99 000/MUL. At least
1 marker of severe illness was present on 198 of 212 patient-days (93.4%) with
thrombocytopenia (PCT, <100 000/MUL) when a platelet transfusion was given
compared with 113 of 190 patient-days (59.5%) with thrombocytopenia when no
platelet transfusion was given. Thrombocytopenia was a risk factor for
intraventricular hemorrhage during the first 7 days of life (hazard ratio, 2.17;
95% CI, 1.53-3.08; P < .001). However, no correlation was found between severity
of thrombocytopenia and risk for IVH. After controlling for significant clinical
factors and thrombocytopenia, platelet transfusions did not have a significant
effect on the incidence of IVH (hazard ratio, 0.92; 95% CI, 0.49-1.73; P = .80).
CONCLUSIONS AND RELEVANCE: A large proportion of platelet transfusions were given
to VLBW infants with PCT greater than 50 000/MUL. Severity of illness influenced
transfusion decisions. However, the severity of thrombocytopenia did not
correlate with the risk for IVH, and platelet transfusions did not reduce this
risk.
PMID- 27213620
TI - Corrosion of the Head-neck Junction After Total Hip Arthroplasty.
AB - Corrosion of the head-neck junction of implants used in total hip arthroplasty is
a complex problem. Clinical severity appears to be multifactorial, and the
predictive variables have yet to be consistently identified in the literature.
Corrosion should be considered in the differential diagnosis of hip pain
following total hip arthroplasty regardless of the type of bearing surface used.
The most common presentation, pain followed by instability, is similar to
complications associated with metal-on-metal articulations. The diagnosis of
implant corrosion of the head-neck junction can be challenging; an infection
workup should be performed along with analysis of serum metal ion levels and
cross-sectional imaging. In the short term, a well-fixed stem may be retained,
and the exchange of an isolated head with a ceramic femoral head seems to be a
promising option for certain implants. Further research with longer follow-up is
warranted, and high levels of evidence are needed to determine whether this
approach is generalizable.
PMID- 27213622
TI - JAAOS Research.
PMID- 27213621
TI - Fractures and Dislocations of the Tarsal Navicular.
AB - Fractures of the tarsal navicular are commonly the result of trauma or chronic
overload. Because of its complex anatomy and blood supply, the tarsal navicular
is susceptible to osteonecrosis, and injury to this bone can lead to
posttraumatic arthrosis of the surrounding joints. Diagnosis of the injury,
especially in patients with stress fractures, can require a high index of
suspicion and the use of advanced imaging. The treatment of stress fracture is
controversial and ranges from immobilization in a non-weight-bearing cast or boot
to internal fixation with or without bone grafting. Traumatic fractures are
treated with open reduction and internal fixation with or without external
fixation for medial and lateral column stabilization. To avoid a poor outcome,
concomitant injuries must be recognized and treated. Despite appropriate
treatment, patients may ultimately require fusion procedures to address ongoing
pain and disability.
PMID- 27213623
TI - The Epidemiology of Primary and Revision Total Hip Arthroplasty in Teaching and
Nonteaching Hospitals in the United States.
AB - INTRODUCTION: The purpose of this study was to examine the epidemiology of
primary and revision total hip arthroplasty (THA) in teaching and nonteaching
hospitals. METHODS: The Healthcare Cost and Utilization Project Nationwide
Inpatient Sample was queried from 2006 to 2010 to identify primary and revision
THAs at teaching and nonteaching hospitals. RESULTS: A total of 1,336,396 primary
and 223,520 revision procedures were identified. Forty-six percent of all primary
and 54% of all revision procedures were performed at teaching hospitals. Teaching
hospitals performed 17% of their THAs as revisions; nonteaching hospitals
performed 12% as revisions. For primary and revision THAs, teaching hospitals had
fewer patients aged >65 years, fewer Medicare patients, similar gender rates,
more nonwhite patients, and more patients in the highest income quartile compared
with nonteaching hospitals. Costs, length of stay, and Charlson Comorbidity Index
scores were similar; however, the mortality rate was lower at teaching hospitals.
CONCLUSIONS: This study found small but significant differences in key
epidemiologic and outcome variables in examining primary and revision THA at
teaching and nonteaching hospitals. LEVEL OF EVIDENCE: Level III.
PMID- 27213625
TI - The Effectiveness of Small-group Community-based Information Sessions on Clinical
Trial Recruitment for Secondary Prevention of Alzheimer's Disease.
AB - Effective and practical recruitment strategies are needed to ensure successful
recruitment into the Alzheimer disease clinical trials. To facilitate successful
recruitment for the NIH-sponsored A4 (Anti-Amyloid treatment in Asymptomatic
Alzheimer's disease, NCT02008357) trial for the secondary prevention of Alzheimer
disease, we developed a small-group community information session to attract and
recruit potential research participants. After a successful media campaign, 213
participants were screened through telephone for eligibility, identifying 127
potential participants. Participants were given the option of a traditional one
on-one recruitment session or a small-group session. One-on-one recruitment was
performed for 15 participants requesting this procedure, and yielded an overall
recruitment rate of 67% (n=10). Substantially more individuals (n=112, 88%)
requested small-group sessions to learn about the study. After attending the
small-group informational sessions, 98% of potential participants self-reported a
greater understanding of the study; and the recruitment rate from these sessions
was 90%. Small-group sessions not only improved recruitment success rates, but
also contributed to significantly shorter median time for consent processes (20
vs. 60 min) and reduced staff time spent on persons not recruited. Small-group
education programs are an effective strategy for enhancing recruitment success
and facilitating practical recruitment into clinical trials with high recruitment
demands.
PMID- 27213626
TI - The influence of the structural transition on magnetic fluctuations in NaFeAs.
AB - NaFeAs belongs to a class of Fe-based superconductors which have parent compounds
that show separated structural and magnetic transitions. Effects of the
structural transition on spin dynamics therefore can be investigated separately
from the magnetic transition. A plateau in dynamic spin response is observed in a
critical region around the structural transition temperature T S. It is
interpreted as being due to the stiffening of spin fluctuations along the in
plane magnetic hard axis due to the d xz and d yz orbital ordering. The
appearance of anisotropic spin dynamics in the critical region above the T S at T
(*) offers a dynamic magnetic scattering mechanism for anisotropic electronic
properties in the commonly referred 'nematic phase'.
PMID- 27213624
TI - Characterization and Functional Analysis of 4-Coumarate:CoA Ligase Genes in Mul
berry.
AB - A small, multigene family encodes 4-coumarate:CoA ligases (4CLs) that catalyze
the ligation of CoA to hydroxycinnamic acids, a branch point directing
metabolites to flavonoid or monolignol pathways. In this study, we characterized
four 4CL genes from M. notabilis Genome Database, and cloned four Ma4CL genes
from M. atropurpurea cv. Jialing No.40. A tissue-specific expression analysis
indicated that Ma4CL3 was expressed at higher levels than the other genes, and
that Ma4CL3 was strongly expressed in root bark, stem bark, and old leaves.
Additionally, the expression pattern of Ma4CL3 was similar to the trend of the
total flavonoid content throughout fruit development. A phylogenetic analysis
suggested that Mn4CL1, Mn4CL2, and Mn4CL4 belong to class I 4CLs, and Mn4CL3
belongs to class II 4CLs. Ma4CL genes responded differently to a series of
stresses. Ma4CL3 expression was higher than that of the other Ma4CL genes
following wounding, salicylic acid, and ultraviolet treatments. An in vitro
enzyme assay indicated that 4-coumarate acid was the best substrate among
cinnamic acid, 4-coumarate acid, and caffeate acid, but no catalytic activity to
sinapate acid and ferulate acid. The results of subcellular localization
experiments showed that Ma4CL3 localized to the cytomembrane, where it activated
transcription. We used different vectors and strategies to fuse Ma4CL3 with
stilbene synthase (STS) to construct four Ma4CL-MaSTS co-expression systems to
generate resveratrol. The results indicated that only a transcriptional fusion
vector, pET-Ma4CL3-T-MaSTS, which utilized a T7 promoter and lac operator for the
expression of MaSTS, could synthesize resveratrol.
PMID- 27213627
TI - Modulating cholesterol efflux capacity to improve cardiovascular disease.
AB - PURPOSE OF REVIEW: Low HDL-cholesterol (HDL-C) levels are predictive of incident
atherosclerotic cardiovascular disease events. However, the use of medication to
raise HDL-C levels has not consistently shown clinical benefit. As a result,
studies have shifted toward HDL function, specifically cholesterol efflux, which
has been inversely associated with prevalent subclinical atherosclerosis as well
as subsequent atherosclerotic cardiovascular disease events. The purpose of this
review is to summarize the effects of current medications and interventions on
cholesterol efflux capacity. RECENT FINDINGS: Medications for cardiovascular
health, including statins, fibrates, niacin, and novel therapeutics, are reviewed
for their effect on cholesterol efflux. Differences in population studied and
assay used are addressed appropriately. Lifestyle interventions, including diet
and exercise, are also included in the review. SUMMARY: The modification of
cholesterol efflux capacity (CEC) by current medications and interventions has
been investigated in both large randomized control trials and smaller
observational cohorts. This review serves to compile the results of these studies
and evaluate CEC modulation by commonly used medications. Altering CEC could be a
novel therapeutic approach to improving cardiovascular risk profiles.
PMID- 27213628
TI - Diabetic dyslipidaemia.
AB - PURPOSE OF REVIEW: The purpose is to discuss recent developments in the
understanding of lipoprotein metabolism in diabetes, the cardiovascular risk
associated with both type 1 and type 2 diabetes, recently published guidelines on
the management of this risk, concerns over the use of statin treatment in
diabetes, and other therapeutic options. RECENT FINDINGS: Diabetic dyslipidaemia
can be gross with massive hypertriglyceridemia, or subtle with a lipid profile
which would be regarded as normal in a nondiabetic patient, but which hides
underlying increases in atherogenic subfractions of LDL (e.g., small dense LDL,
glycated LDL) and remnant lipoproteins. Statins can decrease these without the
clinician being aware from routine biochemistry. In type 2 diabetes, HDL
cholesterol levels are often reduced, whereas in type 1, insulin can raise HDL,
but its antiatherogenic properties are compromised. Dyslipidaemia and
hypertension predate the onset of glycaemia of diabetic proportions (metabolic
syndrome). Obese people can thus die of diabetes before they develop it. Obesity
should be prevented and treated. Statins decrease the risk of cardiovascular
disease in diabetes or metabolic syndrome regardless of whether glycaemia
worsens. SUMMARY: One unassailable truth is that statin therapy is beneficial and
should rarely, if ever, be withheld.
PMID- 27213630
TI - Palmiwon attenuates hepatic lipid accumulation and hyperlipidemia in a menopausal
rat model: Erratum.
PMID- 27213629
TI - Lipoprotein(a) apheresis.
AB - PURPOSE OF REVIEW: Currently, different methods for extracorporeal elimination of
atherogenic apolipoprotein B100 containing lipoprotein particles are used in
clinical practice. Most of them effectively remove both lipoprotein(a) [Lp(a)]
and LDL. The aim of this review is to highlight research describing the clinical
advantages of specific Lp(a) immunosorption compared with other lipoprotein
apheresis systems. RECENT FINDINGS: Data on the utility of lipoprotein apheresis
in patients with elevated Lp(a) level are limited. However, several longitudinal
studies demonstrated improvement in cardiovascular outcomes when both Lp(a) and
LDL cholesterol levels were decreased with different apheresis systems. The main
limitation of these trials is the absence of a control group. First developed in
1991, studies on apheresis with a specific immunosorbent to Lp(a) were small and
noncontrolled before 2000s. The only prospective controlled clinical trial
utilising Lp(a) apheresis (Clinicaltrials.gov NCT02133807), demonstrated
regression of coronary and carotid atherosclerosis when Lp(a) was removed weekly
for 18 months. SUMMARY: Lipoprotein apheresis usually affects multiple
lipoproteins, and there are minimal data regarding the effect of specific removal
of Lp(a) alone. There is a need for randomized controlled trial with specific
Lp(a) apheresis to investigate its effect on cardiovascular outcomes.
PMID- 27213631
TI - A New Multicomponent Multicatalyst Reaction (MC)(2)R: Chemoselective
Cycloaddition and Latent Catalyst Activation for the Synthesis of Fully
Substituted 1,2,3-Triazoles.
AB - A multicomponent multicatalyst reaction (MC)(2)R for constructing fully
substituted 1,2,3-triazoles is reported. An application of chemoselectivity and
latent catalysis in a sequence of multicatalytic reactions confers control over a
number of undesired processes, where all of the reagents coexist in the same
reaction vessel. The sequence of a chemoselective copper-catalyzed azide alkyne
cycloaddition followed by a palladium/copper-catalyzed Sonogashira cross-coupling
afforded 1,2,3-triazoles regioselectively with good to high yields and a broad
scope.
PMID- 27213632
TI - Investing in Uncertainty: Young Adults with Life-Limiting Conditions Achieving
Their Developmental Goals.
AB - BACKGROUND: With improvements in pediatric care and technology, more young adults
(YAs) with life-limiting conditions (LLCs) are surviving into adulthood. However,
they have limited expectations to live beyond the first decade of adulthood. This
study describes the monumental efforts required for YAs with LLCs to achieve
their goals in an abbreviated life. OBJECTIVES: The experiences and aspirations
of YAs with LLCs to achieve their goals are relatively unknown. This report
focuses on their experiences of living with uncertainty and its impact on
achieving developmental goals. DESIGN: This study is one component of a larger
descriptive study using an innovative bulletin board focus group to examine life
experiences of YAs with LLCs. RESULTS: YAs with LLCs share the aspirations and
goals of all YAs. Some participants demonstrated a striking capacity to navigate
system barriers and achieve their goals, whereas others "got stuck" resulting in
lost opportunities. Successful personal life investments were possible if
resources were made available, coordinated, navigable, and responsive to new and
special requests. Transformative changes to health, social care, and community
services are necessary to support their YA ambitions. CONCLUSIONS: This study
gave voice to those who were previously unheard and demonstrates the monumental
hurdles YAs with LLCs face to achieve their goals. A palliative approach to care
can mitigate unnecessary hardships and support their goals.
PMID- 27213633
TI - [Individualisation of education: the gap between dream and deed].
PMID- 27213635
TI - [An exploratory study of 'blended' cognitive behavioural therapy (CBT) for
patients with a panic disorder: results and patients' experiences].
AB - BACKGROUND: Digital technology (e-health or 'blended' care), combined with
evidence-based face-to-face CBT, is becoming increasingly implemented into mental
health care and is expected to result in improved effectiveness and efficiency.
AIM: To explore the feasibility of blended CBT for patients with a panic
disorder. METHOD: Nine face-to-face sessions of blended CBT (n = 18),
supplemented with the digital support of a tabletcomputer and three e-mail
contacts, were compared with 12 weekly sessions of regular CBT (n = 18). Primary
outcomes were panic frequency and avoidance behaviour; the secondary outcome was
general functioning. Patients' experiences of the treatment were collected in the
form of a structured interview. RESULTS: The effect sizes found in both the
regular and the blended CBT were medium to high (Cohen's d 0.42-1.60). In both
types of treatment there was a significant reduction in patients' symptoms. There
were no big differences in patient satisfaction regarding the treatment received.
The therapists registered 39 face-to-face minutes in the blended treatment but
they registered in total 41 fewer face-to-face minutes; this represented a time
reduction of 4%. CONCLUSION: Blended CBT with help of a tablet computer seems to
be a suitable method for treating panic disorder psychologically, although the
time saved is only moderate. Much more research is needed to ascertain the
feasibility and the cost effectiveness of blended CBT.
PMID- 27213634
TI - [An evaluation of a new Dutch suicide prevention tool (KEHR); datadriven
evaluation and learning].
AB - BACKGROUND: Multidisciplinary evaluation of suicide cases effectively decreases
the suicide rate in mental health care. A new suicide prevention tool (KEHR) can
be used in this connection. KEHR has been developed on the basis of the Dutch
multidisciplinary practice guideline on the assessment and treatment of suicidal
behaviour. The guideline can serve as a frame of reference for the
multidisciplinary evaluation of suicide cases. KEHR aims to provide professionals
with a better method for preventing suicide. AIM: To describe and evaluate the
recently developed KEHR strategy for reducing the number of suicide cases in
mental health care. METHOD: Naturalistic and observational study. In the course
of a year 22 out of 23 suicide cases that had occurred in the pilot institution
were evaluated with the help of the KEHR system. Outcomes were discussed with
members of multidisciplinary teams. Quantitative and qualitative methods were
used in the evaluation process. RESULTS: Professionals from the main disciplines
involved were very willing to use the new tool and were prepared to reflect on
their views on the outcomes. The professionals were ready to learn from the
suicide cases. Data collected with the tool provided information that can be used
to improve guideline adherence. However, the use of KEHR did not lead
automatically to the formulation of adjustments and improvements relating to
suicidal patients. A specific procedure for improving individual and team
performance needs to be developed and tested thoroughly. CONCLUSION: KEHR is a
promising strategy for improving and enhancing the guideline on the diagnosis and
treatment of suicidal behaviour of patients in mental health care. Special
procedures need to be developed and studied in order to implement the
improvements deemed necessary as a result of the pilot study. The KEHR tool (in
the Dutch language) is accessible to mental health care workers after online
registration (www.mijnkehr.nl).
PMID- 27213636
TI - [Catatonia in childhood and adolescence: obstacles to diagnosis and treatment].
AB - BACKGROUND: Catatonia in children and adolescents is the same as it is for
adults; in other words it is a recognisable psychomotor syndrome that follows a
characteristic course and responds favourably to treatment with benzodiazepines
and/or ect. Therefore, one would not expect to encumber many obstacles to
diagnosis and treatment. In fact, the obstacles are fairly numerous. AIM: To
explore the obstacles that can hinder a simple approach to diagnosis and
treatment and to provide support for the clinicians involved. METHOD: We studied
the literature systematically using Limo and keywords. RESULTS: For several
decades, particularly in the literature, catatonia was defined as a subtype of
schizophrenia. This exclusive link to schizophrenia led to the under-diagnosis of
catatonia in patients with other psychiatric conditions and to delays in the
administration of the correct treatment. Not only this historical error but also
other important problems are complicating the approach to catatonia even today.
Among other factors hindering diagnosis and treatment are the belief that
catatonia is a rare illness, often denied by family members and some clinicians,
the use of neuroleptics and the stigmatisation of benzodiazepines and/or ect.
CONCLUSION: Controversy about catatonia continues. Although diagnosis and
treatment are clearly defined, catatonia is still putting professionals to the
test. In our essay we offer some practical guidance and advice.
PMID- 27213637
TI - [Risk factors and development course of conduct disorder in girls; a review].
AB - BACKGROUND: So far there have been relatively few studies of conduct disorder in
girls. It is very important that professionals engaged in preventing and treating
this disorder have a sound knowledge of the risk factors involved and of the
developmental course of the disorder. AIM: To provide an overview of what is
known about the risk factors and about the way in which conduct disorder develops
in girls. METHOD: We searched the Eric, PubMed and Medline databases for articles
on conduct disorder in girls. We reviewed 41 studies and we summarised the
results. RESULTS: Several risk factors contribute to the development of conduct
disorder in girls. Just like boys, girls too can display the life-course
persistent pathway of antisocial behavior. Such girls are often associated with
serious risk factors. Those with serious forms of antisocial behaviour have an
increased risk of experiencing adjustment problems in later life. CONCLUSION:
Future research in this area will have to concentrate on the creation of adequate
prevention and treatment programs.
PMID- 27213638
TI - [ROM measurements in mental health care: users need to be aware of the problems
and pitfalls].
AB - BACKGROUND: The weaknesses inherent in rom-data in mental health care are largely
ignored in Dutch discussions about the pros and cons of rom. AIM: To promote
awareness among users and potential users of rom with regard to the limitations
of rom data in mental health care. METHOD: We present a discussion of three types
of measurement problems connected with the use of rom data in mental health care:
(a) arbitrary calculation rules for identifying changes that are reliable and of
clinical significance, (b) arbitrary metrics and constructs and (c) forced
objectivation of subjective information. RESULTS: rom measurements are unreliable
for use in mental health care because they lack a stable basis. The problems with
these measurements are both psychometric and substantive. CONCLUSION: Anyone
using or planning to use rom measurements in mental health care should be aware
of fundamental measurement problems associated with rom.
PMID- 27213639
TI - [Alcohol-related cognitive impairment and the DSM-5].
AB - BACKGROUND: It is evident from the dsm-iv-tr that alcohol-related impairment is
extremely difficult to classify accurately. As a result, cognitive deficits can
easily be overlooked. The dsm-5, however, incorporates a new category, namely
'neurocognitive disorders', which may lead to significant improvements in
clinical practice. AIM: To compare the classification of alcohol-related
cognitive dysfunction in dsm-iv-tr and dsm-5 and to discuss the clinical
relevance of the revised classification in the dsm-5. METHOD: We compare the
chapters of the dsm-iv-tr and the dsm-5 concerning alcohol-related cognitive
impairment and describe the changes that have been made. RESULTS: The dsm-5 puts
greater emphasis on alcohol-related neurocognitive impairment. Not only does dsm
5 distinguish between the degree of severity (major or minor neurocognitive
disorder), it also distinguishes between the type of impairment (non-amnestic
type versus confabulating-amnestic type). It also makes a distinction between the
durations of impairment (behavioural and/or persistent disorders). CONCLUSION:
The dsm-5 gives a clearer description of alcohol-related neurocognitive
dysfunction than does dsm-iv-tr and it stresses the essential role of
neuropsychological assessment in the classification, diagnosis, and treatment of
neurocognitive disorders.
PMID- 27213640
TI - [Metabolic diseases in psychiatry].
AB - Metabolic diseases can be associated with psychiatric symptoms. We present two
case histories that demonstrate the importance of correctly diagnosing a
metabolic disease as being the cause of psychiatric symptoms. We also discuss
which symptoms or signals may indicate a metabolic disease.
PMID- 27213641
TI - [A disulfiram-alcohol reaction after inhalation of a salbutamol aerosol: a
plausible interaction?].
AB - An asthmatic patient (male, aged 47) being treated for his alcohol dependence
complained of experiencing mild symptoms of disulfiram-alcohol reaction after
using of pressurised metered-dose inhaler containing ethanol. It has been
reported in the literature that the disulfiram-alcohol reaction may occur after a
patient has been exposed to only minimal amounts of ethanol. This is why, in
daily practice, physicians are generally reluctant to prescribe preparations
containing ethanol and why they usually switch patients to an alternative.
However, close evaluation of the biopharmaceutical and pharmacokinetic aspects of
ethanol suggests that subjective disulfiram-alcohol reactions following the use
of inhalers containing ethanol cannot be explained rationally from a clinical
pharmacological perspective.
PMID- 27213642
TI - [Reaction on 'The attitude of the general public towards (discharged) psychiatric
patients: results from NEMESIS-2'].
PMID- 27213643
TI - [Reaction on 'Psyche, psychiatric and 'psycho-'' and 'A case report on catatonia:
a prevalent but under-recognised psychiatric illness'].
PMID- 27213645
TI - Far-ultraviolet spectroscopy of solid and liquid states: characteristics,
instrumentation, and applications.
AB - Recently, far-ultraviolet (FUV) spectroscopy, which is the spectroscopy of
wavelengths in the region 140-200 nm, of solid and liquid states has received
significant attention as a novel spectroscopic method. FUV spectroscopy provides
new possibilities for studying electronic structures and transitions in almost
all types of molecules, from water to polymers. It also shows great promise for a
variety of applications. It is well known that wavelengths below 200 nm are rich
in information regarding the electronic structure and states of molecules.
However, absorptivity is so high in the FUV region, that it has not been employed
to investigate solids and liquids. Another problem for FUV region analysis was
the instrumentation: FUV spectrometers required vacuum evacuation. Moreover, it
was difficult to find applications for FUV spectroscopy. Recently, we introduced
the attenuated total reflection (ATR) technique to FUV spectroscopy, which
overcomes these issues. ATR-FUV spectroscopy enables the measurement of FUV
spectra for solid and liquid samples, establishing a new spectroscopic research
area. Using ATR-FUV spectroscopy, electronic transitions that cannot be observed
with ordinary UV spectroscopy (200-380 nm) are accessible; Rydberg transitions
are just one example. FUV spectroscopy has been demonstrated to have unique and
versatile applications. A variety of extensive application studies are now in
progress, ranging from applications to fundamental science, such as studies of
hydrogen bonding, hydration, and adsorption of water and aqueous solutions, to
practical applications such as online, geochemical, environmental, and polymer
film analyses. This review provides an introduction to, and brief history of, FUV
spectroscopy, and describes the development of new FUV spectrometers, studies on
electronic structure and transitions, its various applications, and future
prospects.
PMID- 27213644
TI - The Shell of the Invasive Bivalve Species Dreissena polymorpha: Biochemical,
Elemental and Textural Investigations.
AB - The zebra mussel Dreissena polymorpha is a well-established invasive model
organism. Although extensively used in environmental sciences, virtually nothing
is known of the molecular process of its shell calcification. By describing the
microstructure, geochemistry and biochemistry/proteomics of the shell, the
present study aims at promoting this species as a model organism in
biomineralization studies, in order to establish a bridge with ecotoxicology,
while sketching evolutionary conclusions. The shell of D. polymorpha exhibits the
classical crossed-lamellar/complex crossed lamellar combination found in several
heterodont bivalves, in addition to an external thin layer, the characteristics
of which differ from what was described in earlier publication. We show that the
shell selectively concentrates some heavy metals, in particular uranium, which
predisposes D. polymorpha to local bioremediation of this pollutant. We establish
the biochemical signature of the shell matrix, demonstrating that it interacts
with the in vitro precipitation of calcium carbonate and inhibits calcium
carbonate crystal formation, but these two properties are not strongly expressed.
This matrix, although overall weakly glycosylated, contains a set of putatively
calcium-binding proteins and a set of acidic sulphated proteins. 2D-gels reveal
more than fifty proteins, twenty of which we identify by MS-MS analysis. We
tentatively link the shell protein profile of D. polymorpha and the peculiar
recent evolution of this invasive species of Ponto-Caspian origin, which has
spread all across Europe in the last three centuries.
PMID- 27213647
TI - [The Russian and international experience with the implementation of the programs
of universal audiological screening of the newborn infants].
AB - The problem of diagnostics of congenital hearing impairment has acquired special
importance in the light of new possibilities for the early rehabilitation of the
patients presenting with this condition. The implementation of the programs of
universal audiological screening into the clinical practice of Russia and many
other countries made it possible to significantly reducethe time necessary to
confirm congenital impairment of hearing and begin the rehabilitative treatment.
The present paper was designed to analyze the international experience with the
implementation of the programs of universal audiological screening of the newborn
infants as exemplified by such countries as Great Britain, USA, Germany, and
Poland. The main indicators of the quality and the efficiency of such programs
are considered taking into account the results of the epidemiological studies on
the prevalence of congenital hearing impairment. A total of 1.8 mln newborn
infants were examined in Russia during 2013. The first stage of screening
involved 96.7% of the children, and only 2.9% of them remained uncovered by the
examination. As many as 5,659 children were found to present with the congenital
loss of hearing,with the prevalence of this condition being 3 per 1.000 newborn
infants and the prevalence of deafness 0.6 per 1.000. The principal problem to be
resolved for the organization of the management of these patients, both in Russia
and other countries, remains the enhancement of the availability of comprehensive
diagnostic examination and the timelyreferral of the patients to such examination
(if appropriate based on the results of the screening). The successful solution
of this problem requires personalized recording of the screening data with the
use of the commonly accepted medical information systems.
PMID- 27213646
TI - Telephone-Based Cognitive Behavioral Therapy for Insomnia in Perimenopausal and
Postmenopausal Women With Vasomotor Symptoms: A MsFLASH Randomized Clinical
Trial.
AB - IMPORTANCE: Effective, practical, nonpharmacologic therapies are needed to treat
menopause-related insomnia symptoms in primary and women's specialty care
settings. OBJECTIVE: To evaluate the efficacy of telephone-based cognitive
behavioral therapy for insomnia (CBT-I) vs menopause education control (MEC).
DESIGN, SETTING, AND PARTICIPANTS: A single-site, randomized clinical trial was
conducted from September 1, 2013, to August 31, 2015, in western Washington State
among 106 perimenopausal or postmenopausal women aged 40 to 65 years with
moderate insomnia symptoms (Insomnia Severity Index [ISI] score, >=12) and 2 or
more daily hot flashes. Blinded assessments were conducted at baseline, 8, and 24
weeks postrandomization. An intent-to-treat analysis was conducted.
INTERVENTIONS: Six CBT-I or MEC telephone sessions in 8 weeks. Participants
submitted weekly electronic sleep diaries and received group-specific written
educational materials. The CBT-I sessions included sleep restriction, stimulus
control, sleep hygiene education, cognitive restructuring, and behavioral
homework; MEC sessions provided information about menopause and women's health.
MAIN OUTCOMES AND MEASURES: Primary outcome was scores on the ISI (score range, 0
28; scores >=15 indicate moderate to severe insomnia). Secondary outcome was
scores on the Pittsburgh Sleep Quality Index (score range, 0-21; higher scores
indicate worse sleep quality). Additional outcomes included sleep and hot flash
diary variables and hot flash interference. RESULTS: At 8 weeks, ISI scores had
decreased 9.9 points among 53 women receiving CBT-I (mean [SD] age, 55.0 [3.5]
years) and 4.7 points among 53 women receiving MEC (age, 54.7 [4.7] years), a
mean between-group difference of 5.2 points (95% CI, -6.1 to -3.3; P < .001).
Pittsburgh Sleep Quality Index scores decreased 4.0 points in women receiving CBT
I and 1.4 points in women receiving MEC, a mean between-group difference of 2.7
points (95% CI, -3.9 to -1.5; P < .001). Significant group differences were
sustained at 24 weeks. At 8 and 24 weeks, 33 of 47 women (70%) and 37 of 44 (84%)
in the CBT-I group, respectively, had ISI scores in the no-insomnia range
compared with 10 of 41 (24%) and 16 of 37 (43%) in the MEC group, respectively.
The CBT-I group also had greater improvements in diary-reported sleep latency,
wake time, and sleep efficiency. There were no between-group differences in
frequency of daily hot flashes, but hot flash interference was significantly
decreased at 8 weeks for the CBT-I group (-15.7; 95% CI, -20.4 to -11.0) compared
with the MEC group (-7.1; 95% CI, -14.6 to 0.4) (P = .03), differences that were
maintained at 24 weeks for the CBT-I group (-22.8; 95% CI, -28.6 to -16.9) and
MEC group (-11.6; 95% CI, -19.4 to -3.8) (P = .003). CONCLUSIONS AND RELEVANCE:
Telephone-based CBT-I improved sleep in perimenopausal and postmenopausal women
with insomnia and hot flashes. Results support further development and testing of
centralized CBT-I programs for treating menopausal insomnia. TRIAL REGISTRATION:
clinicaltrials.gov Identifier: NCT01936441.
PMID- 27213648
TI - [The results of primary audiological examination of the children presenting with
intrauterine growth retardation and normotrophics born after multifetal and
singleton pregnancies].
AB - The objective of the present work was the comparative study of the state of the
acoustic analayzer in the full-term and premature infants born after multifetal
(MP) or singleton (SP) pregnancies with the body weight at birth corresponding to
the gestational age (normotrophics) and presenting with intrauterine growth
retardation (IUGR). The method of distortion product otoacoustic emissions
(DPOAEs) was employed in the study. It has demonstrated that the normotrophic
babies born at the gestational age over 34 weeks after singleton pregnancy pass
the DPOAEstest significantly more frequently than normotrophics of the same
gestational age born after multifetal pregnancy. Moreover, the unilateral
impairment of hearing in the full-term infants born after multifetal pregnancy
occurs more frequently than in those born after singleton pregnancy.
PMID- 27213649
TI - [Validation of the Russian language version of the SSQ questionnaire].
AB - The Speech, Spatial and Qualities of Hearing Scale (SSQ) is applied worldwide as
a highly reliable tool for the characteristic of the quality of life and
subjective sensations of the patients presenting with hearing impairment as well
as for the estimation of the efficiency of hearing aids. The objective of the
present study was to validate and adapt the Russian language version of the SSQ
questionnaire (SSQrus) for its application in the audiological practice under
conditions of this country. After the cultural and language adaptation of SSQrus,
it was validated by means of repeat interviewing of three groups of the
respondents (a total of 93 subjects with normal, moderately and severely impaired
hearing). The results of the validation give evidence of the high reliability of
the modified questionnaire. Specifically, high reproducibility of the data
estimated from the results of the second interview has been demonstrated (r=0.85-
0.99). High sensitivity of SSQruswas confirmed by the agreement between the
results of the estimation (in scores) and the degree of the observed loss of
hearing. The estimates obtained by the application of the SSQrus questionnaire
are comparable with those reported by the foreign authors. It is concluded that
the SSQrus questionnaire can be used in routine audiological practice as an
additional diagnostic instrument for the estimation of hearing problems
experienced by a patient, monitoring efficiency of therapy and surgical treatment
of hearing impairment, improvement of hearing aids, and hearing dysfunction
research.
PMID- 27213650
TI - [Optimization of the approach to the spiral (Rosenthal's) canal of the cochlea in
the patients presenting with cochlear-vestibular abnormalities].
AB - The objective of the present study was to improve the effectiveness of cochlear
implantation (CI) in the patients presenting with cochlear-vestibular
abnormalities based on the development and practical application of the algorithm
for the insertion of an electrode arrayinto the spiral (Rosenthal's) canal of the
cochlea taking into consideration the specific anatomical features of the middle
and inner ears. The study included 25 patients with congenital malformations of
the inner ear and bilateral grade IV sensorineural loss of hearing or deafness
selected for CI. Indications for drilling a cochleostomy were the high
localizationof the jugular bulb and the absence of its bone wall (5 patients,
20%). In the remaining cases, it proved possible to identify the round window and
perform the transmembrane insertion of the active electrode. In 15 (69%)
patients, the surgical intervention provoked intraoperative leakage of the
cerebrospinal fluid that was successfully stopped by the careful tamponade of
either the cochleostoma or the round window niche with the use of an automuscular
flap. Taken together, good visualization of the round window and the
transmembrane insertion of the active electrode into the spiral (Rosenthal's)
canal of the cochlea in the patients presenting with cochlear-vestibular
abnormalities made it possible to reduce to a minimum the injury to the spiral
organ of the cochlea, control liquorrhea, and improve auditory performance in the
postoperative period.
PMID- 27213651
TI - [Paraganglioma of the middle ear. The retrospective analysis of the results of
the surgical treatment].
AB - The objective of the present study was to analyze the results of and to summarize
the experience with diagnostics and surgical treatment of the patients presenting
with paraganglioma of the middle ear (PME). A total of 5 patients were available
for the examination (all of the women) including two in whom the extension of the
tumour corresponded to class A paraganglioma (according to the classification of
U. Fisch) and three with class B paraganglioma (two having the tumour that
occupied mezo- and hypotympanum and one with the tumour extending into the
infralabyrinthine space). All the patients of the former group and 2 of the three
in the latter group were operated with the use of the retroauricular-endomeatal
approach. The remaining patient with class B paraganglioma extending into the
infralabyrinthine space and the suspected destruction of the outer wall of the
internal carotid artery by the tumour or its penetration into the channel was
treated with the use of the retroauricular-endomeata-transmastoidal approach. The
long-term postoperative catamnesticobservation of the thus treated patients has
demonstrated the absence of a recurrent tumour within at least 3 tears after
surgery. All the patientseported he preserved hearing function.
PMID- 27213652
TI - [The comparative analysis of the clinical and morphological picture of the
various forms of chronic suppurative otitis media].
AB - We present the results of the surgical treatment of 300 patients presenting with
chronic suppurative otitis media. The changes in the mucous membrane of the
tympanic cavity were revealed in 61% of the patients with mesotympanitis while
spreading cholesteatoma occurred in 48% of the cases of "dry" perforations. The
cholesteatomic process was documented in 64% of the patients with epitympanitis,
the remaining patients presented with the pyo-carious process. The efficiency of
the surgical intervention on the "dry" ear of the patients with the tubotympanic
form of chronic suppurative otitis media (CSOM) amounted to 91.4% compared with
85% in the case of the altered mucous membrane of the tympanic cavity, 85.5% and
92.9% in the patients with the pyo-carious and cholesteatomic forms of the
tympano-antral lesions, respectively. Residual cholesteatomas were found in 7.8%
of the patients suffering from epitympanitis during the three year follow-up
period. It is concluded that the results of clinical and morphological
observations taken together with intraoperative findings give reason to consider
mesotympanitis to be a prognosticallyunfavourable form of chronic suppurative
otitis media.
PMID- 27213653
TI - [The algorithm for the medical maintenance of the aircraft personnel suffering
from chronic sensorineural impairment of hearing].
AB - The present study was designed to systematize the causes underlying the
development of chronic sensorineural impairment of hearing in the aircraft
personnel engaged in commercial aviation of the Russian Federation. A detailed
clinical and audiological picture of chronic sensorineural loss of hearing in the
aircraft personnel is presented with special reference to the criteria accepted
in the civil aviationfor the evaluation of professional suitability and
occupational selection in terms of hearing conditions. The study has demonstrated
the paramount importance of the aviation medical expertise for the flight safety
control in civil aviation. We analyzed the results of the audiological
examination of the aircraft personnel suffering from chronic sensorineural
impairment of hearing and proposed the algorithm for the rehabilitation of such
subjects taking into consideration the stage of the chronic process.
PMID- 27213654
TI - [The post-streptococcal diseases in the clinical practice].
AB - The authors describe various clinical forms of streptococcal infections, the
pathogenetic mechanisms underlying these conditions, and peculiarities of their
clinical picture. Also considered are the modern methods employed for laboratory
diagnostics of streptococcal infections. The probability of the risk of
development of post-streptococcal diseases in the children is evaluated. Measures
for the prevention of these conditions are proposed.
PMID- 27213655
TI - [The use of the enzymes for the enhancement of the effectiveness of antimicrobial
photodynamic treatment of the patients presenting with chronic tonsillitis].
AB - The objective of the present study was to enhance the effectiveness of the
treatment of the patients presenting with various forms of chronic tonsillitis
(CT) by means of antimicrobial photodynamic therapy (APDT). The study included 48
patients at the age from 18 to 55 years divided into three groups; all of them
suffered from various forms of CT. Group 1 was comprised of 12 patients given a
course of traditional conservative therapy. Group 2 consisted of 17 patients
treated by APDT while group 3 included 19 patients in whom a course of
antimicrobial photodynamic therapy was preceded by the treatment of the mucous
membrane of the palatine amygdalae with a lidase solution. The microbiological
testing was performed on days 5, 12, and 24 after APDT and also within the next 6
9 months. The results of the microbiological and clinical studies give evidence
of the possibility to improve the effectiveness of the treatment of chronic
tonsillitis by means of antimicrobial photodynamic therapy with the use of the
preventive treatment of palatine tonsillar mucosa with a lidase solution. Such
treatment was found to facilitate degradation of theintercellular matrix of the
biofilm and reduced its resistance to the photodynamic impact.
PMID- 27213656
TI - [The influence of an isotonic solution containing benzalkonium chloride and a
hypertonic seawater solution on the function of ciliary epithelium from the nasal
cavity in vitro].
AB - The objective of the present study was to evaluate the influence of an isotonic
saline solution containing benzalconium chloride and of a hypertonic seawater
solution on the function of ciliary epithelium in the nasal cavity in vitro. To
this effect, we investigated the cytological material obtained from 35 children
presenting with adenoid tissue hypertrophy. The tissue samples were taken from
the nasal cavity by the standard method. A cellular biopsy obtained from each
patient was distributed between three tubes that contained isotonic saline
solution supplemented by benzalconium chloride (0.1 mg/ml), a hypertonic seawater
solution, and a standard physiological saline solution. It was shown that the
number of the viable cells in both isotonic solutions was statistically
comparable and significantly higher than in the hypertonic solution (p<0.05). The
ciliary beat frequency of the cells embedded in the two isotonic solutions was
not significantly different but considerably exceeded that in the hypertonic
seawater solution (p<0.05). Thus, the present study has demonstrated the absence
of the ciliotoxic influence of isotonic saline solution containing benzalconium
chloride at a concentration of 0.1 mg/ml and the strong ciliotoxic effect of the
hypertonic seawater solution. This finding gives reason to recommend isotonic
solutions for the regular application whereas hypertonic solutions can be
prescribed only during infectious and/or inflammatory ENT diseases.
PMID- 27213657
TI - [The treatment of exacerbations of chronic laryngitis in the vocal
professionals].
AB - The objective of the present study was to develop a method for the AIM:
comprehensive and uniform evaluation of the results of the treatment of vocal
professionals experiencing exacerbations of chronic laryngitis under effect of
physical factors. We propose the system of quantitative gradation of the main
clinical and functional characteristics that should be taken into consideration
for the assessment of the health status of the patients presenting with
exacerbations of chronic laryngitis. In addition, the aggregate health index was
calculated the dynamics of which can be used to estimate the results of the
treatment. The quantitative criteria were developed for the expert evaluation of
the temporary incapacity for work in the vocal professionals experiencing
exacerbations of chronic laryngitis. It is concluded that the proposed approach
can be employed by otorhinolaryngologists, phoniatrists, physiatrists, and
developers of new technologies for the treatment of exacerbations of chronic
laryngitis. Moreover, it is recommended for the application in out-patient and in
patient healthcare facilities, centresof restorative and rehabilitative medicine,
medical research and development institutions.
PMID- 27213658
TI - [The acoustic changes of the voice in the singing boys during the permutation
period].
AB - The present study was based on the assumption that the determination of the
fundamental frequency (Fo) of the speech by means of computer-assisted acoustic
analysis makes it possible to detect the onset of vocal mutation in the singing
boys. A total of 30 singing boys were available for the examination. They were
allocated to two groups. Group 1 was comprised of 15 boys at the age between 11
years 10 months and 12 years 4 months. Group 2 consisted of 15 boys aged between
12 years 10 months and 13 years 2 months. All the participants of the study
underwent an acoustic test in combination with indirect laryngoscopy. It was
shown that fundamental frequency of the speech in the boys of group 2 was
significantly lower than in group 1. The difference amounted to two half-tones
and could be regarded as the onset of vocal pre-mutation. It is concluded that
the acoustic analysis of the speech should be employed to determine the time of
vocal pre-mutation in the singing boys. The singing teachers can use this method
all by themselves.
PMID- 27213659
TI - [Balloon dilatation of the cartilaginous portion of the Eustachian tube in the
children presenting with relapsing exudative otitis media].
AB - The objective of the present study was to evaluate the effectiveness and the
safety of balloon dilatation of the cartilaginous portion of the Eustachian tube
in the children presenting with relapsing exudative otitis media. A total of 15
children (22 ears) at the age from 3 to 16 years suffering from relapsing
exudative otitis media over 18 months in duration were available for the
examination. Neither conservative nor surgical treatment produced any stable
beneficial effect in these patients. Acoustic impedancometry yielded type B
tympanograms. All the children were treated with the use of balloon dilatation of
the cartilaginous portion of the Eustachian tube under endotracheal anesthesia.
The follow-up examination carried out within 6--8 weeks after the treatment
revealed the complete recovery of the function of the middle ear (type A
tympanograms) in 11 (73.3%) children. Partial restoration of this function (as
evidenced by type C tympanogram) was documented in 4 children. These patients
underwent the second course of conservative therapy that resulted in the complete
restoration of the function of the middle ear. It is concluded that balloon
dilatation of the cartilaginous portion of the Eustachian tube in the children
presenting with relapsing exudative otitis media provides the efficient and safe
approach to the management of this condition. Being a minimally invasive method,
it has good prospects for the practical application and is worth further
investigation.
PMID- 27213660
TI - [Efficacy of Dioxidine antimicrobial preparation for the treatment of
inflammatory diseases of the external and middle ear].
AB - In this article, the comparative analysis of the clinical efficacy of drugs for
local therapy in patients with acute external otitis, acute purulent otitis media
and exacerbation of chronic suppurative otitis media is presented. The results of
the clinical study, dynamic bacteriological investigation confirmed the high
efficacy, safety and good tolerance of dioxidine in treatment of external and
middle ear infections.
PMID- 27213661
TI - [The long-term results of the application of the polypropylene mesh for the
plastic correction of extensive laryngo-tracheostomas].
AB - The objective of the present study was to estimate the long-term results of the
closure of large laryngeal and tracheal stomas with the use of the polypropylene
mesh. The study included 33 adult patients presenting with extensive laryngeal
and tracheal stomas. A total of 34 surgical interventions were performed for
alloplastic correction of these defects. The complete closure of the tracheal and
laryngeal defects with the incorporation of the prosthesis and restoration of
respiration was achieved in 88.2% of the alloplastic procedures. The long-term
follow-up of the treated patients (median: 75 months) failed to reveal any signs
of mesh rejection. Moreover, none of the patients reported an appreciable feeling
of discomfort at the site of mesh localization. Thus, the results of the study
indicate that the alloplastic correction of laryngeal and tracheal stomas with
the implantation of the modern mesh prostheses from polypropylene monofilaments
provides the safe and reliable alternative to autoplastic surgery. Low rigidity
of the modern prosthetic meshes ensures good immediate results of implant
survival in the absence of long-term complications associated with the durable
presence of the polypropylene mesh on the neck.
PMID- 27213662
TI - [Polypous rhinosinusitis in the children].
AB - We present an overview of the modern literature concerningpolypous rhinosinusitis
(PRS) in the children. The information thus derived is compared with the
available results of the clinical investigations involving the adults patients
with this pathology. Allergic diseases and mucoviscidosis appear to be the
pathological conditions most likely leading to the development of polyps in the
nasal cavity. The patients suffering from rhinosinusitis associated with the
disorders of arachidonic acid metabolismare very rarely encountered in the
pediatric practice unlike those among the adult population. Intranasal
glucocorticosteroids (INGCS), especially in the form of the mometasonefuroate
nasal spray, are considered to be the most promising medications for the
treatment of the children presenting with PRS. However, further clinical studies
are needed to confirm the effectiveness and safety of this therapeutic modality.
PMID- 27213664
TI - [A huge pyocele of the frontal sinus with extensive bone destruction in its
wall].
PMID- 27213663
TI - [The modern strategies for the treatment of allergic rhinitis].
AB - The present literature review had the objective to analyze the published data
concerning the effectiveness of intranasal administration of antihistamine
preparations and intranasal glucocorticoids for the treatment of allergic
rhinitis. Special emphasis is placed on the clinical significance and the further
prospects for the application of a fixed combination of these medications
including azelastineplusmometasonefuroateas the first choice therapy of
moderately severe and severe manifestations of allergic rhinitis.
PMID- 27213665
TI - [An unusual foreign body in the oesophagus of an adult subject].
PMID- 27213666
TI - (Nano)plastics in the environment - Sources, fates and effects.
PMID- 27213668
TI - Reducing impacts from ammunitions: A comparative life-cycle assessment of four
types of 9mm ammunitions.
AB - Increase of environmental awareness of the population has pressured research
activities in the defence area to cover environment and toxicity issues, where
have been considered appropriate manners to reduce the environmental and
toxicological impacts of ammunition. One of the adopted approaches to achieve
such goal involves the replacement of lead and other heavy metals by alternative
materials. However, the consequences of using alternative materials in
ammunitions manufacturing are uncertain for the other life-cycle phases and trade
offs can occur. The present paper describes the potential benefits from the
replacement of lead in the primer and in the projectile of a 9mm calibre
ammunition. For that purpose, it is assessed and compared the environmental and
toxicological impacts associated with the life-cycle of four ammunitions:
combination of two types of projectiles (steel jacket and lead core; copper and
nylon composite) with two types of primers (lead primer; non-lead primer). In
addition, some potential improvements for the environmental performance of small
calibre ammunition are also presented. To assess the impacts two Life-Cycle
Impact Assessment methods are applied: CML for six environmental categories and
USEtox to three toxicity categories. Results showed that the conclusion drawn for
environmental and toxicological impact categories are distinct. In fact,
ammunition production phase presents higher impacts for the environmental
categories, whilst the operation phase has a higher impact to the toxicity
categories. The substitution of lead in the primer and in the projectile provides
a suitable alternative from a toxicology perspective; however, the composite
projectile still presents some environmental concerns. The conclusions drawn are
important for the procurement (and design) of environmental responsible
ammunitions, in order to avoid (or decrease) the impacts for their manufacture
and the effects on human health (e.g. shooters) and ecosystems near shooting
ranges or hunting areas.
PMID- 27213667
TI - MALDI-TOF MS Imaging evidences spatial differences in the degradation of solid
polycaprolactone diol in water under aerobic and denitrifying conditions.
AB - Degradation of solid polymers in the aquatic environment encompasses a variety of
biotic and abiotic processes giving rise to heterogeneous patterns across the
surface of the material, which cannot be investigated using conventional Matrix
assisted laser desorption ionization time-of-flight mass spectrometry (MALDI-TOF
MS) that only renders an "average" picture of the sample. In that context, MALDI
TOF MS Imaging (MALDI MSI) provides a rapid and efficient tool to study 2D
spatial changes occurred in the chemical composition of the polymer surface.
Commercial polycaprolactone diol (average molecular weight of 1250Da) was
selected as test material because it had been previously known to be amenable to
biological degradation. The test oligomer probe was incubated under aerobic and
denitrifying conditions using synthetic water and denitrifying mixed liquor
obtained from a wastewater treatment plant respectively. After ca. seven days of
exposure the mass spectra obtained by MALDI MSI showed the occurrence of chemical
modifications in the sample surface. Observed heterogeneity across the probe's
surface indicated significant degradation and suggested the contribution of
biotic processes. The results were investigated using different image processing
tools. Major changes on the oligomer surface were observed when exposed to
denitrifying conditions.
PMID- 27213669
TI - Associations of persistent organic pollutants in serum and adipose tissue with
breast cancer prognostic markers.
AB - This study aimed to evaluate associations between exposure to a group of
persistent organic pollutants, measured in both adipose tissue and serum samples
from breast cancer patients, and a set of tumor prognostic markers. The study
population comprised 103 breast cancer patients recruited in Granada, Southern
Spain. Data for tumor prognostic markers were retrieved from hospital clinical
records and socio-demographic information was gathered by questionnaire.
Persistent organic pollutants were quantified by gas chromatography with electron
capture detection. Exposure levels were categorized in quartiles, and
associations were evaluated using unconditional logistic regression. Adipose
tissue HCB concentrations were associated positively with ER and PR expression (p
trends=0.044 and 0.005, respectively) and negatively with E-Cadherin and p53
expression (p-trends=0.012 and 0.027, respectively). PCB-180 adipose tissue
concentrations were positively associated with HER2 expression (p-trend=0.036).
Serum PCB-138 concentrations were positively associated with ER and PR expression
(p-trends=0.052 and 0.042, respectively). The risk of p53 expression was higher
among women in the lowest quartile of serum PCB-138 concentrations, but no
significant trend was observed (p-trend=0.161). These findings indicate that
human exposure to certain persistent organic pollutants might be related to
breast cancer aggressiveness. We also highlight the influence on exposure
assessment of the biological matrix selected, given that both serum and adipose
tissue might yield relevant information on breast cancer prognosis.
PMID- 27213670
TI - Adsorption and bioaccessibility of phenanthrene on carbon nanotubes in the in
vitro gastrointestinal system.
AB - Adsorption and bioaccessibility of phenanthrene on graphite and multiwalled
carbon nanotubes (CNTs) were investigated in simulated gastrointestinal fluid
using a passive dosing system. The saturated adsorption capacity of phenanthrene
on different adsorbents follows an order of hydroxylated CNTs (H
CNTs)>carboxylated CNTs (C-CNTs)>graphitized CNTs (G-CNTs)>graphite, consistent
with the order of their surface area and micropore volume. The change of
phenanthrene adsorption on the adsorbents is different with the presence of
pepsin (800mg/L) and bile salts (500mg/L and 5000mg/L, abbreviated as BS500 and
BS5000). Both solubilization of phenanthrene by pepsin and bile salts and their
competition with phenanthrene for the adsorption sites play a role. In addition,
the large increase of the maximum adsorption capacity in BS5000 solution
indicates an enhanced dispersion of CNTs or an exfoliation of graphite by bile
salts, which consequently increases the exposed surface area. The
bioaccessibility increases in pepsin and BS500 solution with a growing free
phenanthrene concentration. Although the bioaccessibility of phenanthrene stalls
or slightly decreases in the middle range of free phenanthrene concentration in
BS5000 solution, the bioaccessibility overall is much higher than that in pepsin
and BS500 solution at the same phenanthrene level. It is impossible to separate
the effect of competition from dispersion (or exfoliation) at this stage, but the
relative contribution of solubilization to phenanthrene desorption in pepsin and
BS500 solutions was quantified, which improves our understanding of the
mechanisms on bioaccessibility of adsorbed pollutants on CNTs.
PMID- 27213671
TI - Invasive predator snake induces oxidative stress responses in insular amphibian
species.
AB - The presence of predators induces physiological stress responses in preys to
avoid being captured. A stressful situation enhances reactive oxygen species
production with potential damage to macromolecules and alterations in oxidant
defences levels. The antioxidant enzyme response of the endemic Majorcan Midwife
toad (Alytes muletensis) and the Balearic green toad (Bufotes balearicus)
tadpoles against an invasive predator, the viperine snake (Natrix maura) was
investigated. Tadpoles were introduced in aquaria containing N. maura exudates
during 24h. Antioxidant enzyme activities - catalase (CAT), glutathione
peroxidase (GPx), glutathione reductase (GR), superoxide dismutase (SOD) - and
reduced glutathione (GSH) and malondialdehyde (MDA) concentrations were measured
in tadpoles. The presence of snake exudates induced a significant increase in CAT
and GR activities and in GSH levels (p<0.05) in A. muletensis tadpoles, whereas
no significant differences were reported in any of the parameters analysed in B.
balearicus tadpoles. In conclusion, the presence of N. maura exudates is capable
to induce an antipredatory response in the endemic A. muletensis tadpoles but not
in B. balearicus.
PMID- 27213672
TI - Summer depth distribution profiles of dissolved CO2 and O2 in shallow temperate
lakes reveal trophic state and lake type specific differences.
AB - Knowledge about dissolved oxygen (DO) and carbon dioxide (CO2) distribution in
lakes has increased considerably over the last decades. However, studies about
high resolution dynamics of dissolved CO2 in different types of lakes over daily
or weekly time scales are still very scarce. We measured summertime vertical DO
and CO2 profiles at sub-hourly intervals during one week in eight Estonian lakes
representing different lake types according to European Water Framework
Directive. The lakes showed considerable differences in thermal stratification
and vertical distribution of dissolved oxygen and CO2 as well as different
diurnal dynamics over the measurement period. We observed a continuous CO2
supersaturation in the upper mixed layer of the alkalitrophic (calcareous
groundwater-fed) lake and the dark soft-water lake showing them as CO2 emitting
"chimneys" although with different underlying mechanisms. In three lake types
strong undersaturation with CO2 occurred in the surface layer characterising them
as CO2 sinks for the measurement period while in another three types the surface
layer CO2 was mostly in equilibrium with the atmosphere. Factor analysis showed
that DO% in the surface layer and the strength of its relationship with CO2% were
positively related to alkalinity and negatively to trophic state and DOC
gradients, whereas deeper lakes were characterised by higher surface
concentration but smaller spatial and temporal variability of CO2. Multiple
regression analysis revealed lake area, maximum depth and the light attenuation
coefficient as variables affecting the largest number of gas regime indicators.
We conclude that the trophic status of lakes in combination with type specific
features such as morphometry, alkalinity and colour (DOC) determines the
distribution and dynamics of dissolved CO2 and DO, which therefore may indicate
functional differences in carbon cycling among lakes.
PMID- 27213673
TI - Simultaneous removal of As, Cd, Cr, Cu, Ni and Zn from stormwater using high
efficiency industrial sorbents: Effect of pH, contact time and humic acid.
AB - The effect of contact time, solution pH, and the presence of humic acid (HA) on
the combined removal of As, Cd, Cr, Cu, Ni and Zn is investigated in batch tests
using alumina, granulated activated carbon (GAC), and bauxsol coated sand (BCS)
as sorbents. It is found that the equilibrium time for Cd, Cu, Ni and Zn is about
4h, while no clear equilibrium is observed for As and Cr. It is also found that
increasing the pH until pH~8 enhanced Cd, Cu, Ni and Zn removal, but increasing
the pH above this point had no major effect. In the cases of As and Cr, higher pH
values (i.e. >7) decreased their removal. The presence of both 20 and 100mg/L HA
suppressed the heavy metal removal except for Cr, and the suppression was higher
at the higher HA concentration. Geochemical simulations suggest that this is due
to the formation of dissolved HA-metal complexes preventing effective metal
sorption. In the case of Cr, the presence of HA increased the removal when using
alumina or BCS, while hindering the removal when using GAC. The findings show
that the pH-value of the stormwater to be treated must be in the range of 6-7 in
order to achieve removal of the full spectrum of metals. The results also show
that natural organic matter may severely influence the removal efficiency, such
that, for most metals the removal was reduced to the half, while for Cr it was
increased to the double for alumina and BCS. Consequently, a properly working
filter set up may not work properly anymore when receiving high loads of natural
organic acids during the pollen season in spring or during defoliation in autumn
and early winter, and during mixing of runoff with snowmelt having a low pH.
PMID- 27213674
TI - Aqueous photodegradation of 4-tert-butylphenol: By-products, degradation pathway
and theoretical calculation assessment.
AB - 4-tert-butylphenol (4-t-BP), an endocrine disrupting chemical, is widely
distributed in natural bodies of water but is difficult to biodegrade. In this
study, we focused on the transformation of 4-t-BP in photo-initiated degradation
processes. The steady-state photolysis and laser flash photolysis (LFP)
experiments were conducted in order to elucidate its degradation mechanism.
Identification of products was performed using the GC-MS, LC-MS and theoretical
calculation techniques. The oxidation pathway of 4-t-BP by hydroxyl radical (HO)
was also studied and H2O2 was added to produce HO. 4-tert-butylcatechol and 4
tert-butylphenol dimer were produced in 4-t-BP direct photolysis. 4-tert
butylcatechol and hydroquinone were produced by the oxidation of HO. But the
formation mechanism of 4-tert-butylcatechol in the two processes was different.
The benzene ring was fractured in 4-t-BP oxidation process and 29% of TOC was
degraded after 16h irradiation.
PMID- 27213676
TI - A quantitative risk assessment for metals in surface water following the
application of biosolids to grassland.
AB - During episodic rainfall events, land application of treated municipal sludge
('biosolids') may give rise to surface runoff of metals, which may be potentially
harmful to human health if not fully treated in a water treatment plant (WTP).
This study used surface runoff water quality data generated from a field-scale
study in which three types of biosolids (anaerobically digested (AD), lime
stabilised (LS), and thermally dried (TD)) were spread on micro-plots of land and
subjected to three rainfall events at time intervals of 24, 48 and 360h following
application. Making the assumption that this water directly entered abstraction
waters for a WTP without any grassed buffer zone being present, accounting for
stream dilution, and modelling various performance scenarios within the WTP, the
aim of this research was to conduct a human health risk assessment of metals (Cu,
Ni, Pb, Zn, Cd and Cr), which may still be present in drinking water after the
WTP. Different dose-response relationships were characterised for the different
metals with reference to the lifetime average daily dose (LADD) and the Hazard
Quotient (HQ). The results for the LADD show that child exposure concentrations
were highest for Cu when the measured surface runoff concentrations from the LS
biosolids treatment were used as input into the model. The results for the HQ
showed that of all the scenarios considered, Cu had the highest HQ for children.
However, values were below the threshold value of risk (HQ<0.01 - no existing
risk). Under the conditions monitored, metal concentrations in the biosolids
applied to grassland were not considered to result in a risk to human health in
surface water systems.
PMID- 27213675
TI - Corticosterone levels in relation to trace element contamination along an
urbanization gradient in the common blackbird (Turdus merula).
AB - In a rapidly urbanizing world, trace element pollution may represent a threat to
human health and wildlife, and it is therefore crucial to assess both exposition
levels and associated effects of trace element contamination on urban
vertebrates. In this study, we investigated the impact of urbanization on trace
element contamination and stress physiology in a wild bird species, the common
blackbird (Turdus merula), along an urbanization gradient (from rural to
moderately urbanized areas). Specifically, we described the contamination levels
of blackbirds by 4 non-essential (Ag, Cd, Hg, Pb) and 9 essential trace elements
(As, Co, Cr, Cu, Fe, Mn, Ni, Se, Zn), and explored the putative disrupting
effects of the non-essential element contamination on corticosterone levels (a
hormonal proxy for environmental challenges). We found that non-essential trace
element burden (Cd and Pb specifically) increased with increasing urbanization,
indicating a significant trace element contamination even in medium sized cities
and suburban areas. Interestingly, the increased feather non-essential trace
element concentrations were also associated with elevated feather corticosterone
levels, suggesting that urbanization probably constrains birds and that this
effect may be mediated by trace element contamination. Future experimental
studies are now required to disentangle the influence of multiple urban-related
constraints on corticosterone levels and to specifically test the influence of
each of these trace elements on corticosterone secretion.
PMID- 27213677
TI - Measures required to reach the nitrate objectives in groundwater based on a long
term nitrate model for large river basins (Jucar, Spain).
PMID- 27213678
TI - Social complexity beliefs predict posttraumatic growth in survivors of a natural
disaster.
AB - OBJECTIVE: Most studies on posttraumatic growth (PTG) have focused on personal
characteristics, interpersonal resources, and the immediate environment. There
has been less attention on dynamic internal processes related to the development
of PTG and on how these processes are affected by the broader culture. Calhoun
and Tedeschi's (2006) model suggests a role of distal culture in PTG development,
but empirical investigations on that point are limited. The present study
investigated the role of social complexity-the generalized belief about changing
social environments and inconsistency of human behavior-as a predictor of PTG.
Social complexity was hypothesized to be associated with problem-solving
approaches that are likely to give rise to cognitive processes that promote PTG.
METHOD: A sample of 446 survivors of Typhoon Haiyan, 1 of the strongest typhoons
ever recorded at the time, answered self-report measures of social complexity,
cognitive processing of trauma, and PTG. RESULTS: Structural equation modeling
indicated a good fit between the data and the hypothesized model; belief in
social complexity predicted stronger PTG, mediated by cognitive processing.
CONCLUSION: The results provide evidence for how disaster survivors' beliefs
about the changing nature of social environments and their corresponding behavior
changes are predictors of PTG and suggest a psychological mechanism for how
distal culture can influence PTG. Thus, assessing social complexity beliefs
during early the phases of a postdisaster psychosocial intervention may provide
useful information on who is likely to experience PTG. Trauma workers might
consider culture-specific social themes related to social complexity in disaster
affected communities. (PsycINFO Database Record
PMID- 27213680
TI - Dissociative features in posttraumatic stress disorder: A latent profile
analysis.
AB - OBJECTIVE: The fifth edition of the Diagnostic and Statistical Manual of Mental
Disorders (DSM-5) characterizes the dissociative subtype of posttraumatic stress
disorder (PTSD) in terms of the individual meeting the criteria for PTSD and
additionally reporting symptoms of depersonalization and/or derealization. The
current study aimed to examine whether a dissociative PTSD profile may include
alternative features of dissociation and whether it could be differentiated from
a nondissociative PTSD profile on certain psychopathologies and demographics.
METHOD: Data from 309 trauma-exposed participants, collected through Amazon
Mechanical Turk, were subjected to latent profile analysis. Regression analyses
were used to examine the predictors of latent classes. RESULTS: Three discrete
profiles named Baseline, PTSD, and Dissociative profile were uncovered. All
examined features of dissociation were significantly elevated in the Dissociative
profile. Anxiety, male sex, being employed, and having a minority racial
background significantly predicted the Dissociative profile relative to the PTSD
profile. CONCLUSIONS: The study points to the importance of alternative symptoms
of dissociation in the dissociative PTSD subtype beyond the symptoms of
depersonalization and derealization. (PsycINFO Database Record
PMID- 27213679
TI - Screening for PTSD among detained adolescents: Implications of the changes in the
DSM-5.
AB - OBJECTIVE: Screening for posttraumatic stress disorder (PTSD) is highly relevant
for youth involved in the juvenile justice system given their high rates of
trauma exposure and posttraumatic stress symptoms. However, to date, no studies
have investigated the implications of the recent revisions to the Diagnostic and
Statistical Manual for Mental Disorders (5th ed., DSM-5; American Psychiatric
Association [APA], 2013) diagnostic criteria for PTSD for screening in this
population. To this end, the present study compared PTSD screening rates using
the Diagnostic and Statistical Manual of Mental Disorders (4th ed., text rev.,
DSM-IV-TR; APA, 2013) versus DSM-5 criteria in a group of detained adolescents.
METHOD: Participants included 209 youth (60 girls) aged 13-19 (M = 15.97, SD =
1.24). Youth completed measures of lifetime trauma exposure and past-month
posttraumatic stress symptoms. RESULTS: Over 95% of youth in the sample reported
exposure to at least 1 type of traumatic event. Approximately 19.60% of the
sample screened positive for PTSD according to the DSM-5 compared to 17.70%
according to the DSM-IV-TR. Girls were more likely than boys to screen positive
for PTSD according to the DSM-IV-TR compared to the DSM-5. CONCLUSION: The main
factors accounting for the differences in screening rates across the versions of
PTSD criteria involved the removal of Criterion A2 from the DSM-5, the separation
of avoidance symptoms (Criterion C) into their own cluster, the addition of a
cluster involving negative alterations in cognitions and mood (Criterion D), and
revisions to the cluster of arousal symptoms (Criterion E). Future research
should continue to investigate gender differences in PTSD symptoms in youth and
consider the implications of these diagnostic changes for the accurate diagnosis
and referral to treatment of adolescents who demonstrate posttraumatic stress
reactions. (PsycINFO Database Record
PMID- 27213681
TI - Modelling Virus and Antibody Dynamics during Dengue Virus Infection Suggests a
Role for Antibody in Virus Clearance.
AB - Dengue is an infection of increasing global importance, yet uncertainty remains
regarding critical aspects of its virology, immunology and epidemiology. One
unanswered question is how infection is controlled and cleared during a dengue
infection. Antibody is thought to play a role, but little past work has examined
the kinetics of both virus and antibody during natural infections. We present
data on multiple virus and antibody titres measurements recorded sequentially
during infection from 53 Vietnamese dengue patients. We fit mechanistic
mathematical models of the dynamics of viral replication and the host immune
response to these data. These models fit the data well. The model with antibody
removing virus fits the data best, but with a role suggested for ADCC or other
infected cell clearance mechanisms. Our analysis therefore shows that the
observed viral and antibody kinetics are consistent with antibody playing a key
role in controlling viral replication. This work gives quantitative insight into
the relationship between antibody levels and the efficiency of viral clearance.
It will inform the future development of mechanistic models of how vaccines and
antivirals might modify the course of natural dengue infection.
PMID- 27213682
TI - Income in Adult Survivors of Childhood Cancer.
AB - INTRODUCTION: Little is known about the impact of childhood cancer on the
personal income of survivors. We compared income between survivors and siblings,
and determined factors associated with income. METHODS: As part of the Swiss
Childhood Cancer Survivor Study (SCCSS), a questionnaire was sent to survivors,
aged >=18 years, registered in the Swiss Childhood Cancer Registry (SCCR),
diagnosed at age <21 years, who had survived >=5 years after diagnosis of the
primary tumor. Siblings were used as a comparison group. We asked questions about
education, profession and income and retrieved clinical data from the SCCR. We
used multivariable logistic regression to identify characteristics associated
with income. RESULTS: We analyzed data from 1'506 survivors and 598 siblings.
Survivors were less likely than siblings to have a high monthly income (>4'500
CHF), even after we adjusted for socio-demographic and educational factors (OR =
0.46, p<0.001). Older age, male sex, personal and parental education, and number
of working hours were associated with high income. Survivors of leukemia (OR =
0.40, p<0.001), lymphoma (OR = 0.63, p = 0.040), CNS tumors (OR = 0.22, p<0.001),
bone tumors (OR = 0.24, p = 0.003) had a lower income than siblings. Survivors
who had cranial irradiation, had a lower income than survivors who had no cranial
irradiation (OR = 0.48, p = 0.006). DISCUSSION: Even after adjusting for socio
demographic characteristics, education and working hours, survivors of various
diagnostic groups have lower incomes than siblings. Further research needs to
identify the underlying causes.
PMID- 27213683
TI - Robust Machine Learning-Based Correction on Automatic Segmentation of the
Cerebellum and Brainstem.
AB - Automated segmentation is a useful method for studying large brain structures
such as the cerebellum and brainstem. However, automated segmentation may lead to
inaccuracy and/or undesirable boundary. The goal of the present study was to
investigate whether SegAdapter, a machine learning-based method, is useful for
automatically correcting large segmentation errors and disagreement in anatomical
definition. We further assessed the robustness of the method in handling size of
training set, differences in head coil usage, and amount of brain atrophy. High
resolution T1-weighted images were acquired from 30 healthy controls scanned with
either an 8-channel or 32-channel head coil. Ten patients, who suffered from
brain atrophy because of fragile X-associated tremor/ataxia syndrome, were
scanned using the 32-channel head coil. The initial segmentations of the
cerebellum and brainstem were generated automatically using Freesurfer.
Subsequently, Freesurfer's segmentations were both manually corrected to serve as
the gold standard and automatically corrected by SegAdapter. Using only 5 scans
in the training set, spatial overlap with manual segmentation in Dice coefficient
improved significantly from 0.956 (for Freesurfer segmentation) to 0.978 (for
SegAdapter-corrected segmentation) for the cerebellum and from 0.821 to 0.954 for
the brainstem. Reducing the training set size to 2 scans only decreased the Dice
coefficient <=0.002 for the cerebellum and <= 0.005 for the brainstem compared to
the use of training set size of 5 scans in corrective learning. The method was
also robust in handling differences between the training set and the test set in
head coil usage and the amount of brain atrophy, which reduced spatial overlap
only by <0.01. These results suggest that the combination of automated
segmentation and corrective learning provides a valuable method for accurate and
efficient segmentation of the cerebellum and brainstem, particularly in large
scale neuroimaging studies, and potentially for segmenting other neural regions
as well.
PMID- 27213684
TI - Over-expression of a NAC 67 transcription factor from finger millet (Eleusine
coracana L.) confers tolerance against salinity and drought stress in rice.
AB - BACKGROUND: NAC proteins (NAM (No apical meristem), ATAF (Arabidopsis
transcription activation factor) and CUC (cup-shaped cotyledon)) are plant
specific transcription factors reported to be involved in regulating growth,
development and stress responses. Salinity responsive transcriptome profiling in
a set of contrasting finger millet genotypes through RNA-sequencing resulted in
the identification of a NAC homolog (EcNAC 67) exhibiting differential salinity
responsive expression pattern. METHODS: Full length cDNA of EcNAC67 was isolated,
characterized and validated for its role in abiotic stress tolerance through
agrobacterium mediated genetic transformation in a rice cultivar ASD16. RESULTS:
Bioinformatics analysis of putative NAC transcription factor (TF) isolated from a
salinity tolerant finger millet showed its genetic relatedness to NAC67 family
TFs in related cereals. Putative transgenic lines of rice over-expressing EcNAC67
were generated through Agrobacterium mediated transformation and
presence/integration of transgene was confirmed through PCR and southern
hybridization analysis. Transgenic rice plants harboring EcNAC67 showed enhanced
tolerance against drought and salinity under greenhouse conditions. Transgenic
rice plants were found to possess higher root and shoot biomass during stress and
showed better revival ability upon relief from salinity stress. Upon drought
stress, transgenic lines were found to maintain higher relative water content and
lesser reduction in grain yield when compared to non-transgenic ASD16 plants.
Drought induced spikelet sterility was found to be much lower in the transgenic
lines than the non-transgenic ASD16. CONCLUSION: Results revealed the significant
role of EcNAC67 in modulating responses against dehydration stress in rice. No
detectable abnormalities in the phenotypic traits were observed in the transgenic
plants under normal growth conditions. Results indicate that EcNAC67 can be used
as a novel source for engineering tolerance against drought and salinity stress
in rice and other crop plants.
PMID- 27213685
TI - Replacement of Thymidine by a Modified Base in the Escherichia coli Genome.
AB - Prokaryotic and eukaryotic genomic DNA is comprised of the four building blocks
A, G, C, and T. We have begun to explore the consequences of replacing a large
fraction or all of a nucleoside in genomic DNA with a modified nucleoside. As a
first step we have investigated the possibility of replacement of T by 2'-deoxy-5
(hydroxymethyl)uridine (5hmU) in the genomic DNA of Escherichia coli. Metabolic
engineering with phage genes followed by random mutagenesis enabled us to achieve
approximately 75% replacement of T by 5hmU in the E. coli genome and in plasmids.
PMID- 27213686
TI - Rapid and simple identification of Beijing genotype strain of Mycobacterium
tuberculosis using a loop-mediated isothermal amplification assay.
AB - Beijing genotype strains of Mycobacterium tuberculosis are geographically
widespread and pose a notorious public health problem, these strains causing
outbreaks of multidrug-resistant tuberculosis (TB); some studies have reported an
association with drug resistance. Because the prevalence of Beijing strain has a
substantial impact on TB control programs, the availability of a rapid and
reliable method for detecting these strains is important for epidemiological
monitoring of their circulation. The main methods currently used to identify
Beijing genotype strains are IS6110 DNA fingerprinting, spoligotyping and PCR to
detect specific deletions such as region of difference (RD)207. More recently,
multiplex PCR assay using a Beijing-specific single nucleotide polymorphism (SNP)
has been developed for detecting Beijing lineage strains. However, these methods
are time-consuming and technically demanding. In the present study, a loop
mediated isothermal amplification (LAMP) assay that allows specific
identification of Beijing genotype strain was developed. This Beijing genotype
strain-identifying LAMP assay was performed 214 clinical isolates and the results
compared with those of conventional PCR that targeted RD207 and Rv0679c
targreting multiplex PCR for Beijing lineage identification. LAMP assay showed
100% sensitivity and specificity compared with RD207-PCR. Furthermore, the
sensitivity and specificity were 99.3% and 100%, respectively, compared with
Rv0679c-multiplex PCR. This LAMP assay could be used routinely in local
laboratories to monitor the prevalence of the Beijing genotype strain and thereby
used to help control the spread of these potentially highly virulent and drug
resistant strains.
PMID- 27213687
TI - Nationwide reduction in the number of corneal transplantations for keratoconus
following the implementation of cross-linking.
AB - PURPOSE: Keratoconus is characterized by corneal ectasia and irregular
astigmatism, which can lead to diminished vision and corneal scarring.
Approximately 10-20% of patients with keratoconus eventually require a corneal
transplant. Corneal cross-linking (CXL) is a relatively new treatment that may
help prevent the need for corneal transplantation. Here, we investigated whether
the introduction of CXL has reduced the number of corneal transplants performed
annually. METHODS: Data regarding the transplantation procedures performed in
patients under the age of 50 years were extracted from the Dutch National Organ
Transplant Registry. The number of corneal transplants performed prior to (i.e.
in 2005 through 2007) and following the introduction of CXL (i.e. in 2012 through
2014) were compared. Furthermore, a trend analysis on annual keratoplasties over
time was performed. RESULTS: Approximately 25% fewer corneal transplants were
performed in the 3-year period following the introduction of CXL compared to the
3-year period prior to the introduction of CXL (201 versus 269 transplants,
respectively; p = 0.005). Age, gender and visual acuity were similar between the
patient groups in the two time periods. Trend analysis also demonstrated a
significant decrease in the amount of corneal transplants (p = 0.001).
CONCLUSION: Significantly fewer corneal transplants were performed for treating
keratoconus following the nationwide introduction of CXL. This reduction suggests
that corneal cross-linking can significantly reduce the need for corneal
transplantation.
PMID- 27213688
TI - Large-Scale Synthesis of Highly Luminescent Perovskite-Related CsPb2 Br5
Nanoplatelets and Their Fast Anion Exchange.
AB - All-inorganic cesium lead-halide perovskite nanocrystals have emerged as
attractive optoelectronic nanomaterials owing to their stabilities and highly
efficient photoluminescence. Herein we report a new type of highly luminescent
perovskite-related CsPb2 Br5 nanoplatelets synthesized by a facile precipitation
reaction. The layered crystal structure of CsPb2 Br5 promoted an anisotropic two
dimensional (2D) crystal growth during the precipitation process, thus enabling
the large-scale synthesis of CsPb2 Br5 nanoplatelets. Fast anion exchange has
also been demonstrated in as-synthesized CsPb2 Br5 nanoplatelets to extend their
photoluminescence spectra to the entire visible spectral region. The large-scale
synthesis and optical tunability of CsPb2 Br5 nanoplatelets will be advantageous
in future applications of optoelectronic devices.
PMID- 27213689
TI - Inhibition of T cell receptor signaling by cholesterol sulfate, a naturally
occurring derivative of membrane cholesterol.
AB - Most adaptive immune responses require the activation of specific T cells through
the T cell antigen receptor (TCR)-CD3 complex. Here we show that cholesterol
sulfate (CS), a naturally occurring analog of cholesterol, inhibits CD3 ITAM
phosphorylation, a crucial first step in T cell activation. In biochemical
studies, CS disrupted TCR multimers, apparently by displacing cholesterol, which
is known to bind TCRbeta. Moreover, CS-deficient mice showed heightened
sensitivity to a self-antigen, whereas increasing CS content by intrathymic
injection inhibited thymic selection, indicating that this molecule is an
intrinsic regulator of thymocyte development. These results reveal a regulatory
role for CS in TCR signaling and thymic selection, highlighting the importance of
the membrane microenvironment in modulating cell surface receptor activation.
PMID- 27213690
TI - CIS is a potent checkpoint in NK cell-mediated tumor immunity.
AB - The detection of aberrant cells by natural killer (NK) cells is controlled by the
integration of signals from activating and inhibitory ligands and from cytokines
such as IL-15. We identified cytokine-inducible SH2-containing protein (CIS,
encoded by Cish) as a critical negative regulator of IL-15 signaling in NK cells.
Cish was rapidly induced in response to IL-15, and deletion of Cish rendered NK
cells hypersensitive to IL-15, as evidenced by enhanced proliferation, survival,
IFN-gamma production and cytotoxicity toward tumors. This was associated with
increased JAK-STAT signaling in NK cells in which Cish was deleted.
Correspondingly, CIS interacted with the tyrosine kinase JAK1, inhibiting its
enzymatic activity and targeting JAK for proteasomal degradation. Cish(-/-) mice
were resistant to melanoma, prostate and breast cancer metastasis in vivo, and
this was intrinsic to NK cell activity. Our data uncover a potent intracellular
checkpoint in NK cell-mediated tumor immunity and suggest possibilities for new
cancer immunotherapies directed at blocking CIS function.
PMID- 27213691
TI - Id2 reinforces TH1 differentiation and inhibits E2A to repress TFH
differentiation.
AB - The differentiation of helper T cells into effector subsets is critical to host
protection. Transcription factors of the E-protein and Id families are important
arbiters of T cell development, but their role in the differentiation of the TH1
and TFH subsets of helper T cells is not well understood. Here, TH1 cells showed
more robust Id2 expression than that of TFH cells, and depletion of Id2 via RNA
mediated interference increased the frequency of TFH cells. Furthermore, TH1
differentiation was blocked by Id2 deficiency, which led to E-protein-dependent
accumulation of effector cells with mixed characteristics during viral infection
and severely impaired the generation of TH1 cells following infection with
Toxoplasma gondii. The TFH cell-defining transcriptional repressor Bcl6 bound the
Id2 locus, which provides a mechanism for the bimodal Id2 expression and
reciprocal development of TH1 cells and TFH cells.
PMID- 27213692
TI - Transcriptional Analysis of PRRSV-Infected Porcine Dendritic Cell Response to
Streptococcus suis Infection Reveals Up-Regulation of Inflammatory-Related Genes
Expression.
AB - The porcine reproductive and respiratory syndrome virus (PRRSV) is one of the
most important swine pathogens and often serves as an entry door for other viral
or bacterial pathogens, of which Streptococcus suis is one of the most common.
Pre-infection with PRRSV leads to exacerbated disease caused by S. suis
infection. Very few studies have assessed the immunological mechanisms underlying
this higher susceptibility. Since antigen presenting cells play a major role in
the initiation of the immune response, the in vitro transcriptional response of
bone marrow-derived dendritic cells (BMDCs) and monocytes in the context of PRRSV
and S. suis co-infection was investigated. BMDCs were found to be more permissive
than monocytes to PRRSV infection; S. suis phagocytosis by PRRSV-infected BMDCs
was found to be impaired, whereas no effect was found on bacterial intracellular
survival. Transcription profile analysis, with a major focus on inflammatory
genes, following S. suis infection, with and without pre-infection with PRRSV,
was then performed. While PRRSV pre-infection had little effect on monocytes
response to S. suis infection, a significant expression of several pro
inflammatory molecules was observed in BMDCs pre-infected with PRRSV after a
subsequent infection with S. suis. While an additive effect could be observed for
CCL4, CCL14, CCL20, and IL-15, a distinct synergistic up-regulatory effect was
observed for IL-6, CCL5 and TNF-alpha after co-infection. This increased pro
inflammatory response by DCs could participate in the exacerbation of the disease
observed during PRRSV and S. suis co-infection.
PMID- 27213694
TI - Results of a Prospective Observational Study on Mountaineering Emergencies in
Western Alps: Mind Your Head.
AB - Brustia, Raffaele, Giulia Enrione, Bruna Catuzzo, Luca Cavoretto, Massimo Pesenti
Compagnoni, Enrico Visetti, Emmanuel Cauchy, Stefanie Ziegler, and Guido
Giardini. Results of a prospective observational study on mountaineering
emergencies in Western Alps: mind your head. High Alt Med Biol. 17:116-121, 2016.
BACKGROUND: In the northern Italian district Valle d'Aosta district during
winter and summer season the population can increase up to 170% of the resident
population. A prospective monocentric observational registry was held to obtain a
precise picture of seasonal mountain-related medical and traumatic major events.
METHODS: From 1st January 2012 to 31st December 2013 all patients admitted to the
Aosta Regional Hospital Emergency Room for any event occurred above 2500 m were
screened: all those affected by High Altitude Illness, Acute Illness in High
Altitude, Trauma, or Cold disease were prospectively included. Activity incidence
rate is expressed as the occurrence of mountain-related events per 1000 hours of
mountain activity. Event Incidence rate is expressed as the occurrence of new
cases per 1000 hours of mountain activity. RESULTS: Two hundred two patients were
included during the study period. Trauma (65.1% vs. 24.6%, p < 0.001) and head
commotive injury (48.1% vs. 15.1%, p < 0.001) were more frequent during winter
compared to the summer season. High altitude illness (36.9% vs. 9.3%, p < 0.001)
and cold pathologies (15.1% vs. 0.1%, p < 0.001) occurred more frequently in
summer than in winter. Patients (51.4%) were immediately discharged from
emergency room, 8.4% after a 24-hour observation period, and 30.6% required
hospitalization. During summer, the event risk is 0.013 per person and 1000 hours
of mountain activity, while in winter, event risk amounts to 0.005 per person and
1000 hours of mountain activity. COMMENTS: High altitude medical events or trauma
represent <1% of pathologies observed in the emergency room department of a
mountain district in the western Alps. Head commotive injury is the most observed
mountain-related event in high altitude, in winter and during ski practice. High
altitude illness and cold injuries are observed more frequently in summer, during
trekking or climbing activities.
PMID- 27213695
TI - Magnetic Resonance Imaging-Detected Features of Inflammation and Erosions in
Symptom-Free Persons From the General Population.
AB - OBJECTIVE: The use of magnetic resonance imaging (MRI)-detected inflammation and
joint damage in the diagnosis of rheumatoid arthritis is recommended by a
European League Against Rheumatism imaging task force. This recommendation is
based on the sensitivity of MRI and not on specificity. Knowledge of the
prevalence of MRI-detected features in symptom-free persons, however, is pivotal
when considering MRI for diagnostic purposes. METHODS: From November 2013 to
December 2014, 196 symptom-free persons of different ages were recruited from the
general population. Inclusion criteria were no history of inflammatory arthritis,
no joint symptoms during the previous month, and no clinically detectable
arthritis on physical examination. Contrast-enhanced MRIs of the dominant
metacarpophalangeal (MCP), wrist, and metatarsophalangeal (MTP) joints were
obtained using a 1.5T scanner and scored by 2 readers for synovitis, bone marrow
edema, tenosynovitis, and erosions. For analyses at the joint level, MRI-detected
inflammation was considered present if both readers scored the image as positive.
RESULTS: Of 193 persons scanned (ages 19-89 years), only 28% had no single
inflammatory feature and 22% had no erosions. Primarily low-grade features were
observed. All MRI features were positively correlated with age (P < 0.001).
Preferential locations for synovitis were MCP2, MCP3, the wrists, and MTP1. Bone
marrow edema was frequently present in MCP3, the scaphoid, and MTP1.
Tenosynovitis was infrequent, except for in the extensor carpi ulnaris.
Preferential locations for erosions were MCP2, MCP3, MCP5, the distal ulna, MTP1,
and MTP5. Tables with age-, location-, and inflammation type-dependent
frequencies were constructed. Simultaneous colocalized presence of synovitis,
bone marrow edema, tenosynovitis, or erosions occurred. CONCLUSION: MRI-detected
inflammation and erosions are prevalent in symptom-free persons from the general
population, especially at older ages and at preferential locations.
PMID- 27213697
TI - Using RAD-seq to recognize sex-specific markers and sex chromosome systems.
AB - Next-generation sequencing methods have initiated a revolution in molecular
ecology and evolution (Tautz et al. ). Among the most impressive of these
sequencing innovations is restriction site-associated DNA sequencing or RAD-seq
(Baird et al. ; Andrews et al. ). RAD-seq uses the Illumina sequencing platform
to sequence fragments of DNA cut by a specific restriction enzyme and can
generate tens of thousands of molecular genetic markers for analysis. One of the
many uses of RAD-seq data has been to identify sex-specific genetic markers,
markers found in one sex but not the other (Baxter et al. ; Gamble & Zarkower ).
Sex-specific markers are a powerful tool for biologists. At their most basic,
they can be used to identify the sex of an individual via PCR. This is useful in
cases where a species lacks obvious sexual dimorphism at some or all life history
stages. For example, such tests have been important for studying sex differences
in life history (Sheldon ; Mossman & Waser ), the management and breeding of
endangered species (Taberlet et al. ; Griffiths & Tiwari ; Robertson et al. ) and
sexing embryonic material (Hacker et al. ; Smith et al. ). Furthermore, sex
specific markers allow recognition of the sex chromosome system in cases where
standard cytogenetic methods fail (Charlesworth & Mank ; Gamble & Zarkower ).
Thus, species with male-specific markers have male heterogamety (XY) while
species with female-specific markers have female heterogamety (ZW). In this
issue, Fowler & Buonaccorsi () illustrate the ease by which RAD-seq data can
generate sex-specific genetic markers in rockfish (Sebastes). Moreover, by
examining RAD-seq data from two closely related rockfish species, Sebastes
chrysomelas and Sebastes carnatus (Fig. ), Fowler & Buonaccorsi () uncover shared
sex-specific markers and a conserved sex chromosome system.
PMID- 27213693
TI - C5a induces caspase-dependent apoptosis in brain vascular endothelial cells in
experimental lupus.
AB - Blood-brain barrier (BBB) dysfunction complicates central nervous system lupus,
an important aspect of systemic lupus erythematosus. To gain insight into the
underlying mechanism, vascular corrosion casts of brain were generated from the
lupus mouse model, MRL/lpr mice and the MRL/MpJ congenic controls. Scanning
electron microscopy of the casts showed loss of vascular endothelial cells in
lupus mice compared with controls. Immunostaining revealed a significant increase
in caspase 3 expression in the brain vascular endothelial cells, which suggests
that apoptosis could be an important mechanism causing cell loss, and thereby
loss of BBB integrity. Complement activation occurs in lupus resulting in
increased generation of circulating C5a, which caused the endothelial layer to
become 'leaky'. In this study, we show that C5a and lupus serum induced apoptosis
in cultured human brain microvascular endothelial cells (HBMVECs), whereas
selective C5a receptor 1 (C5aR1) antagonist reduced apoptosis in these cells,
demonstrating C5a/C5aR1-dependence. Gene expression of initiator caspases,
caspase 1 and caspase 8, and pro-apoptotic proteins death-associated protein
kinase 1, Fas-associated protein (FADD), cell death-inducing DNA fragmentation
factor 45 000 MW subunit A-like effector B (CIDEB) and BCL2-associated X protein
were increased in HBMVECs treated with lupus serum or C5a, indicating that both
the intrinsic and extrinsic apoptotic pathways could be critical mediators of
brain endothelial cell apoptosis in this setting. Overall, our findings suggest
that C5a/C5aR1 signalling induces apoptosis through activation of FADD, caspase
8/3 and CIDEB in brain endothelial cells in lupus. Further elucidation of the
underlying apoptotic mechanisms mediating the reduced endothelial cell number is
important in establishing the potential therapeutic effectiveness of C5aR1
inhibition that could prevent and/or reduce BBB alterations and preserve the
physiological function of BBB in central nervous system lupus.
PMID- 27213696
TI - Inversions and the origin of behavioral differences in cod.
AB - How does adaptation manage to occur in the face of overwhelming gene flow? One
popular idea is that the suppression of recombination, for example the fixation
of a chromosomal inversion, can maintain linkage disequilibrium between groups of
locally adapted alleles that would otherwise be degraded by gene flow. This idea
has captured the imagination of many geneticists and evolutionary biologists, but
we still have only a basic understanding of its general importance. In this issue
of Molecular Ecology, Kirubakaran et al. () examine the role of recombination
suppression in a particularly fascinating example of adaptation in the face of
gene flow: the evolution of migratory differences between interbreeding
populations of cod. Along the north coast of Norway, two types of cod breed in
the near-shore waters: a 'stationary' form that lives near the coast year round,
and a 'migratory' form that lives far offshore and only returns to the coast to
breed. Using a combination of approaches, Kirubakaran et al. () deftly
demonstrate that the migratory form has completely fixed two adjacent inversions
containing a suite of genes closely connected to migratory behaviour and feeding
differences. This work provides an excellent example of how recombination
suppression can facilitate adaptive divergence, and helps us understand the
geographic and temporal scales over which genomic structural variation evolves.
PMID- 27213698
TI - Carbon sequestration through urban ecosystem services: A case study from Finland.
AB - Plants and soil are natural regulators of atmospheric CO2. Whereas plants
sequester atmospheric carbon, soils deposit it for decades. As cities become
increasingly more densely built, the available land area for such ecosystem
services may decrease. We studied seven different housing areas in the Finnish
city of Espoo to ascertain the extent to which site efficiency affects to the
ecosystem services if the full life-cycle GHG emissions of these areas are taken
into account. The results show that the impact of CO2 uptake through carbon sinks
in growing plants and the uptake of soil organic carbon vary greatly. Its share
of all emissions varied from a marginal value of 1.2% to a more considerable
value of 11.9%. The highest potential was calculated for a detached house located
on a large site, while the weakest was calculated for compact apartment blocks.
The study revealed that in order to quantify this potential more accurately,
several knowledge gaps must first be addressed. These include impartial growth
algorithms for Nordic wood species, missing accumulation factors for soil organic
carbon in cold climates and statistical maintenance scenarios for gardens.
PMID- 27213699
TI - Commentary on the use of thyroglobulin as a biomarker for iodine status in
adults.
PMID- 27213700
TI - pH-Dependent DNA Distortion and Repression of Gene Expression by Pectobacterium
atrosepticum PecS.
AB - Transcriptional activity is exquisitely sensitive to changes in promoter DNA
topology. Transcription factors may therefore control gene activity by modulating
the relative positioning of -10 and -35 promoter elements. The plant pathogen
Pectobacterium atrosepticum, which causes soft rot in potatoes, must alter gene
expression patterns to ensure growth in planta. In the related soft-rot
enterobacterium Dickeya dadantii, PecS functions as a master regulator of
virulence gene expression. Here, we report that P. atrosepticum PecS controls
gene activity by altering promoter DNA topology in response to pH. While PecS
binds the pecS promoter with high affinity regardless of pH, it induces
significant DNA distortion only at neutral pH, the pH at which the pecS promoter
is repressed in vivo. At pH ~8, DNA distortions are attenuated, and PecS no
longer represses the pecS promoter. A specific histidine (H142) located in a
crevice between the dimerization- and DNA-binding regions is required for pH
dependent changes in DNA distortion and repression of gene activity, and mutation
of this histidine renders the mutant protein incapable of repressing the pecS
promoter. We propose that protonated PecS induces a DNA conformation at neutral
pH in which -10 and -35 promoter elements are suboptimally positioned for RNA
polymerase binding; on deprotonation of PecS, binding is no longer associated
with significant changes in DNA conformation, allowing gene expression. We
suggest that this mode of gene regulation leads to differential expression of the
PecS regulon in response to alkalinization of the plant apoplast.
PMID- 27213702
TI - Introduction.
PMID- 27213701
TI - Hydrogen Peroxide Induced Changes in Energy Status and Respiration Metabolism of
Harvested Longan Fruit in Relation to Pericarp Browning.
AB - Energy status and respiration metabolism of "Fuyan" longan fruit treated by
hydrogen peroxide (H2O2) and their relationship to pericarp browning were
studied. The results displayed that H2O2 significantly increased the respiration
rate, increased activities of respiratory terminal oxidases like cytochrome C
oxidase (CCO) and ascorbic acid oxidase (AAO), decreased NAD kinase activity,
maintained lower contents of NADP and NADPH as well as higher amounts of NAD and
NADH, and accelerated the decrease of energy charge. These results gave
convincing evidence that the treatment of H2O2 for accelerating longan pericarp
browning was due to an increase of energy deficiency, an increase of respiratory
metabolic pathways of Embden-Meyerhof pathway (EMP) and tricarboxylic acid (TCA)
cycle, a decrease of pentose phosphate pathway (PPP) of respiratory pathway, and
an increase of activities of respiratory terminal oxidases like CCO and AAO.
PMID- 27213703
TI - Coagulation factor IX concentrate: historical perspective.
PMID- 27213704
TI - Development and characterization of the high-purity factor IX, Replenine.
PMID- 27213705
TI - Thrombogenicity of factor IX concentrates.
PMID- 27213706
TI - Virological safety of the purified factor IX concentrate, Replenine.
PMID- 27213707
TI - Pharmacokinetic studies on a new purified factor IX concentrate.
PMID- 27213708
TI - The thrombogenicity of prothrombin complex concentrates and factor IX
concentrates in vivo.
PMID- 27213710
TI - Future developments in the treatment of haemophilia B.
PMID- 27213709
TI - Clinical experience with a purified factor IX concentrate (Replenine).
PMID- 27213712
TI - The Chemistry and Biological Activities of Mimosine: A Review.
AB - Mimosine [beta-[N-(3-hydroxy-4-oxypyridyl)]-alpha-aminopropionic acid] is a non
protein amino acid found in the members of Mimosoideae family. There are a
considerable number of reports available on the chemistry, methods for
estimation, biosynthesis, regulation, and degradation of this secondary
metabolite. On the other hand, over the past years of active research, mimosine
has been found to have various biological activities such as anti-cancer,
antiinflammation, anti-fibrosis, anti-influenza, anti-virus, herbicidal and
insecticidal activities, and others. Mimosine is a leading compound of interest
for use in the development of RAC/CDC42-activated kinase 1 (PAK1)-specific
inhibitors for the treatment of various diseases/disorders, because PAK1 is not
essential for the growth of normal cells. Interestingly, the new roles of
mimosine in malignant glioma treatment, regenerative dentistry, and
phytoremediation are being emerged. These identified properties indicate an
exciting future for this amino acid. The present review is focused on the
chemistry and recognized biological activities of mimosine in an attempt to draw
a link between these two characteristics. Copyright (c) 2016 John Wiley & Sons,
Ltd.
PMID- 27213711
TI - Intravenous Endotoxin Challenge in Healthy Humans: An Experimental Platform to
Investigate and Modulate Systemic Inflammation.
AB - Activation of inflammatory pathways represents a central mechanism in multiple
disease states both acute and chronic. Triggered via either pathogen or tissue
damage-associated molecular motifs, common biochemical pathways lead to conserved
yet variable physiological and immunological alterations. Dissection and
delineation of the determinants and mechanisms underlying phenotypic variance in
response is expected to yield novel therapeutic advances. Intravenous (IV)
administration of endotoxin (gram-negative bacterial lipopolysaccharide), a
specific Toll-like receptor 4 agonist, represents an in vivo model of systemic
inflammation in man. National Institutes for Health Clinical Center Reference
Endotoxin (CCRE, Escherichia coli O:113:H10:K negative) is employed to reliably
and reproducibly generate vascular, hematological, endocrine, immunological and
organ-specific functional effects that parallel, to varying degrees, those seen
in the early stages of pathological states. Alteration of dose (0.06 - 4 ng/kg)
and time-scale of exposure (bolus vs. infusion) allows replication of either
acute or chronic inflammation and a range of severity to be elicited, with higher
doses (2 - 4 ng/kg) frequently being used to create a 'sepsis-like' state.
Established and novel medicinal compounds may additionally be administered prior
to or post endotoxin exposure to appreciate their effect on the inflammatory
cascade. Despite limitations in scope and generalizability, human IV endotoxin
challenge offers a unique platform to gain mechanistic insights into inducible
physiological responses and inflammatory pathways. Rationally employed it may aid
translation of this knowledge into therapeutic innovations.
PMID- 27213713
TI - Risk of Myocardial Infarction and Stroke in Patients With Granulomatosis With
Polyangiitis (Wegener's): A Population-Based Study.
AB - OBJECTIVE: To assess the relative risk of myocardial infarction (MI) and ischemic
stroke in patients with newly diagnosed granulomatosis with polyangiitis
(Wegener's) (GPA) compared with that in controls from the general population.
METHODS: Using a population-based database from the province of British Columbia,
Canada, we conducted a matched cohort study in which each patient with incident
GPA was matched for age, sex, and entry time with up to 10 individuals from the
general population. Patients in the GPA cohort were required to have received at
least 1 prescription for oral glucocorticoids, methotrexate, cyclophosphamide,
leflunomide, azathioprine, cyclosporine, mycophenolate mofetil, or rituximab
within 1 month before or 6 months after the index date. We compared the incidence
rates of MI and ischemic stroke between the 2 groups and calculated hazard ratios
(HRs), adjusting for confounders. RESULTS: Among 504 patients with incident GPA
(53.4% female, mean age 57.4 years), MI developed in 23 patients, and ischemic
stroke developed in 18 patients (incidence rates of 11.7 per 1,000 person-years
and 8.9 per 1,000 person-years, respectively). The incidence rates among 5,222
subjects without GPA were 5.2 per 1,000 person-years and 4.3 per 1,000 person
years, respectively. The multivariable HRs among GPA patients were 1.86 (95%
confidence interval [95% CI] 1.05-3.31) for MI and 1.50 (95% CI 0.78-2.89) for
ischemic stroke. The age-, sex-, and entry time-matched HR for cardiovascular
disease (composite outcome of MI or stroke) was highest during the first year
after GPA diagnosis (HR 2.88, 95% CI 1.37-6.08). CONCLUSION: Patients with GPA
have a significantly increased risk of MI and a non-statistically significant
trend toward an increased risk of ischemic stroke. Monitoring for this
complication and vigilance in modifying risk factors are particularly warranted
in this patient population, especially early after the diagnosis of GPA.
PMID- 27213714
TI - Myostatin in black Muscovy duck (Cairina moschata): full-length cDNA cloning and
age-dependent mRNA expression compared with IGF-I.
AB - Insulin-like growth factor-I (IGF-I) and myostatin (MSTN) are a pair of critical
positive and negative growth regulators. The aim of the current study was to
examine the age-dependent and muscle-specific expression of IGF-I and MSTN mRNAs
in black Muscovy ducks in order to understand their roles in regulating the
postnatal muscle growth of domestic ducks. The full-length cDNA of the black
Muscovy duck MSTN gene was cloned and the age-dependent mRNA expression profile
was compared with that of the IGF-I mRNA in skeletal muscles. The cDNA sequence
of the MSTN gene was 1128 bp in length and encodes 375 amino acids, with more
than 94.9% homology with poultry MSTN genes, and 83.0-92.0% homology with that of
human and mammals (accession: KR006339.1). The IGF-I and MSTN mRNA expression
exhibited opposite trends in age-dependency and in different muscles: IGF-I mRNA
level was high in the early postnatal stage and low in the late mature stage,
corresponding positively to growth; while the MSTN mRNA was low in the early
stage, increased gradually and reached the highest level in mature muscles, and
was negatively related to muscle growth. In the breast muscles, IGF-I mRNA was
much higher than in the leg muscles; the opposite effect was seen in MSTN mRNA.
These data suggest that the relative expression levels of IGF-I and MSTN are
essential determinants in the temporal and muscle-specific regulation of
postnatal skeletal muscle growth in Muscovy duck and possibly in other poultry
species as well.
PMID- 27213715
TI - Single dose intravenous paracetamol or intravenous propacetamol for postoperative
pain.
AB - BACKGROUND: This is an updated version of the original Cochrane review published
in Issue 10, 2011. Paracetamol (acetaminophen) is the most commonly prescribed
analgesic for the treatment of acute pain. It may be administered orally,
rectally, or intravenously. The efficacy and safety of intravenous (IV)
formulations of paracetamol, IV paracetamol, and IV propacetamol (a prodrug that
is metabolized to paracetamol), compared with placebo and other analgesics, is
unclear. OBJECTIVES: To assess the efficacy and safety of IV formulations of
paracetamol for the treatment of postoperative pain in both adults and children.
SEARCH METHODS: We ran the search for the previous review in May 2010. For this
update, we searched the Cochrane Central Register of Controlled Trials (CENTRAL
2016, Issue 1), MEDLINE (May 2010 to 16 February 2016), EMBASE (May 2010 to 16
February 2016), LILACS (2010 to 2016), a clinical trials registry, and reference
lists of reviews for randomized controlled trials (RCTs) in any language and we
retrieved articles. SELECTION CRITERIA: Randomized, double-blind, placebo- or
active-controlled single dose clinical trials of IV paracetamol or IV
propacetamol for acute postoperative pain in adults or children. DATA COLLECTION
AND ANALYSIS: Two review authors independently extracted data, which included
demographic variables, type of surgery, interventions, efficacy, and adverse
events. We contacted study authors for additional information. We graded each
included study for methodological quality by assessing risk of bias and employed
the GRADE approach to assess the overall quality of the evidence. MAIN RESULTS:
We included 75 studies (36 from the original review and 39 from our updated
review) enrolling a total of 7200 participants.Among primary outcomes, 36% of
participants receiving IV paracetamol/propacetamol experienced at least 50% pain
relief over four hours compared with 16% of those receiving placebo (number
needed to treat to benefit (NNT) = 5; 95% confidence interval (CI) 3.7 to 5.6,
high quality evidence). The proportion of participants in IV
paracetamol/propacetamol groups experiencing at least 50% pain relief diminished
over six hours, as reflected in a higher NNT of 6 (4.6 to 7.1, moderate quality
evidence). Mean pain intensity at four hours was similar when comparing IV
paracetamol and placebo, but was seven points lower on a 0 to 100 visual analog
scale (0 = no pain, 100 = worst pain imaginable, 95% CI -9 to -6, low quality
evidence) in those receiving paracetamol at six hours.For secondary outcomes,
participants receiving IV paracetamol/propacetamol required 26% less opioid over
four hours and 16% less over six hours (moderate quality evidence) than those
receiving placebo. However, this did not translate to a clinically meaningful
reduction in opioid-induced adverse events.Meta-analysis of efficacy comparisons
between IV paracetamol/propacetamol and active comparators (e.g., opioids or
nonsteroidal anti-inflammatory drugs) were either not statistically significant,
not clinically significant, or both.Adverse events occurred at similar rates with
IV paracetamol or IV propacetamol and placebo. However, pain on infusion occurred
more frequently in those receiving IV propacetamol versus placebo (23% versus
1%). Meta-analysis did not demonstrate clinically meaningful differences between
IV paracetamol/propacetamol and active comparators for any adverse event.
AUTHORS' CONCLUSIONS: Since the last version of this review, we have found 39 new
studies providing additional information. Most included studies evaluated adults
only. We reanalyzed the data but the results did not substantially alter any of
our previously published conclusions. This review provides high quality evidence
that a single dose of either IV paracetamol or IV propacetamol provides around
four hours of effective analgesia for about 36% of patients with acute
postoperative pain. Low to very low quality evidence demonstrates that both
formulations are associated with few adverse events, although patients receiving
IV propacetamol have a higher incidence of pain on infusion than both placebo and
IV paracetamol.
PMID- 27213716
TI - Bridging across OECD 308 and 309 Data in Search of a Robust Biotransformation
Indicator.
AB - The OECD guidelines 308 and 309 define simulation tests aimed at assessing
biotransformation of chemicals in water-sediment systems. They should serve the
estimation of persistence indicators for hazard assessment and half-lives for
exposure modeling. Although dissipation half-lives of the parent compound are
directly extractable from OECD 308 data, they are system-specific and mix up
phase transfer with biotransformation. In contrast, aerobic biotransformation
half-lives should be easier to extract from OECD 309 experiments with suspended
sediments. Therefore, there is scope for OECD 309 tests with suspended sediment
to serve as a proxy for degradation in the aerobic phase of the more complicated
OECD 308 test, but that correspondence has remained untested so far. Our aim was
to find a way to extract biotransformation rate constants that are universally
valid across variants of water-sediment systems and, hence, provide a more
general description of the compound's behavior in the environment. We developed a
unified model that was able to simulate four experimental types (two variants of
OECD 308 and two variants of OECD 309) for three compounds by using a biomass
corrected, generalized aerobic biotransformation parameter (k'bio). We used
Bayesian calibration and uncertainty assessment to calibrate the models for
individual experimental types separately and for combinations of experimental
types. The results suggested that k'bio was a generally valid parameter for
quantifying biotransformation across systems. However, its uncertainty remained
significant when calibrated on individual systems alone. Using at least two
different experimental types for the calibration of k'bio increased its
robustness by clearly separating degradation from the phase-transfer processes
taking place in the individual systems. Overall, k'bio has the potential to serve
as a system-independent descriptor of aerobic biotransformation at the water
sediment interface that is equally and consistently applicable for both
persistence and exposure assessment purposes.
PMID- 27213717
TI - Disulfide-bridging PEGylation during refolding for the more efficient production
of modified proteins.
AB - Proteins that are modified by chemical conjugation require at least two separate
purification processes. First the bulk protein is purified, and then after
chemical conjugation, a second purification process is required to obtain the
modified protein. In an effort to develop new enabling technologies to integrate
bioprocessing and protein modification, we describe the use of disulfide-bridging
conjugation to conduct PEGylation during protein refolding. Preliminary
experiments using a PEG-mono-sulfone reagent with partially unfolded leptin and
unfolded RNAse T1 indicated that the cysteine thiols underwent disulfide-bridging
conjugation to give the PEGylated proteins. Interferon-beta1b (IFN-beta1b) was
then expressed in E.coli as inclusion bodies and found to undergo disulfide
bridging-conjugation during refolding. The PEG-IFN-beta1b was isolated by ion
exchange chromatography and displayed in vitro biological activity. In the
absence of the PEGylation reagent, IFN-beta1b refolding was less efficient and
yielded protein aggregates. No PEGylation was observed if the cysteines on IFN
beta1b were first modified with iodoacetamide prior to refolding. Our results
demonstrate that the simultaneous refolding and disulfide bridging PEGylation of
proteins could be a useful strategy in the development of affordable modified
protein therapeutics.
PMID- 27213718
TI - Detection of Severe Acute Respiratory Syndrome-Like, Middle East Respiratory
Syndrome-Like Bat Coronaviruses and Group H Rotavirus in Faeces of Korean Bats.
AB - Bat species around the world have recently been recognized as major reservoirs of
several zoonotic viruses, such as severe acute respiratory syndrome coronavirus
(SARS-CoV), Middle East respiratory syndrome coronavirus (MERS-CoV), Nipah virus
and Hendra virus. In this study, consensus primer-based reverse transcriptase
polymerase chain reactions (RT-PCRs) and high-throughput sequencing were
performed to investigate viruses in bat faecal samples collected at 11 natural
bat habitat sites from July to December 2015 in Korea. Diverse coronaviruses were
first detected in Korean bat faeces, including alphacoronaviruses, SARS-CoV-like
and MERS-CoV-like betacoronaviruses. In addition, we identified a novel bat
rotavirus belonging to group H rotavirus which has only been described in human
and pigs until now. Therefore, our results suggest the need for continuing
surveillance and additional virological studies in domestic bat.
PMID- 27213720
TI - Life cycles of traumatized teeth: long-term observations from a cohort of dental
trauma victims - series 2.
AB - In this the second of a series of life cycles of dental trauma victims, the short
and particularly long-term responses of four survivors of either multiple
luxation injuries or avulsions have been documented over periods varying up to 41
years. The development of ankylosis, either in the short or longer term post
trauma, proved a common feature in the series and management strategies have been
outlined. External invasive resorption was also identified as a complicating
response for which the topical application of trichloracetic acid, intracanal
dressing and root canal obturation proved effective in resorption management.
Long-term observations indicate that some compromised teeth can be functionally
and aesthetically retained for extended periods, but follow-up examinations are
important so that treatment interventions can be implemented if adverse responses
are diagnosed.
PMID- 27213719
TI - Design, Synthesis, and Preclinical Evaluation of 4-Substituted-5-methyl-furo[2,3
d]pyrimidines as Microtubule Targeting Agents That Are Effective against
Multidrug Resistant Cancer Cells.
AB - The design, synthesis, and biological evaluations of eight 4-substituted 5-methyl
furo[2,3-d]pyrimidines are reported. Synthesis involved N(4)-alkylation of N-aryl
5-methylfuro[2,3-d]pyrimidin-4-amines, obtained from Ullmann coupling of 4-amino
5-methylfuro[2,3-d]pyrimidine and appropriate aryl iodides. Compounds 3, 4, and 9
showed potent microtubule depolymerizing activities, while compounds 6-8 had
slightly lower potency. Compounds 4, 6, 7, and 9 inhibited tubulin assembly with
IC50 values comparable to that of combretastatin A-4 (CA-4). Compounds 3, 4, and
6-9 circumvented Pgp and betaIII-tubulin mediated drug resistance, mechanisms
that can limit the efficacy of paclitaxel, docetaxel, and the vinca alkaloids. In
the NCI 60-cell line panel, compound 3 exhibited GI50 values less than 10 nM in
47 of the cell lines. In an MDA-MB-435 xenograft model, compound 3 had
statistically significant antitumor effects. The biological effects of 3 identify
it as a novel, potent microtubule depolymerizing agent with antitumor activity.
PMID- 27213721
TI - Clinical implications of serum galactomannan measurement in patients with acute
invasive fungal rhinosinusitis.
AB - BACKGROUND: Acute invasive fungal rhinosinusitis (AIFR) is an aggressive
opportunistic infection with a high mortality rate. Recently, non-invasive
techniques have been introduced for diagnosis of invasive fungal disease. The
purpose of this study is to evaluate the diagnostic significance of serum
galactomannan measurement in patients with AIFR. METHODOLOGY: We conducted a
retrospective case-control study of 28 patients with AIFR and 36 fungus ball (FB)
patients. We evaluated clinical, laboratory, and pathologic findings along with
disease course. RESULTS: In 28 patients with AIFR, there were 21 cases of
invasive aspergillosis (IA) and 7 cases of invasive mucormycosis (IM). The
control group was comprised of 36 patients with FB. The three-group analysis
showed a statistically significant difference among the groups. At the cut-off
value of 0.48, the sensitivity and specificity were 71.4% and 93.0%,
respectively. Comparison of mean serum galactomannan levels in 5 non-survivors
and 9 survivors at initial measurement showed no significant difference, but that
became significantly different 1 week later. Statistical analysis showed that the
levels of serum galactomannan decreased significantly according to the
measurement-point in within survivor-group analysis. The difference in between
survivor-groups analysis was also significant. CONCLUSION: Serum galactomannan
measurement seems useful for early diagnosis and discrimination of fungal species
in patients with AIFR. In addition, clinical outcomes may be related to the
levels and patterns of serum galactomannan, especially in IA. The appropriate
measurement of galactomannan might be helpful in treating the patients at high
risk for AIFR.
PMID- 27213722
TI - ACCOMMODATION AND TREATMENT OF ANXIOUS YOUTH.
AB - BACKGROUND: Parental accommodation refers to the ways in which a parent modifies
their behavior to avoid or reduce the distress their child experiences. Parents
of youth with anxiety disorders have been found to accommodate their child's
anxiety in a variety of ways that contribute to the maintenance of the disorder.
The current study evaluated the relationship between parental accommodation and
the outcome of treatment for youth with anxiety. METHODS: Sixty-two youth (age 6
17) and their parents were evaluated for youth anxiety and parental accommodation
before and after treatment. All youth received individual cognitive behavioral
therapy (CBT). RESULTS: Parental accommodation was significantly reduced from
before to after treatment. Reduction in parent-rated accommodation was
significantly associated with the severity of youth's posttreatment anxiety, even
when controlling for pretreatment youth anxiety. Level of pretreatment
accommodation was significantly associated with treatment response. CONCLUSIONS:
Findings indicate that parental accommodation is significantly reduced after
individual youth CBT, and suggest that accommodation may be an important
treatment focus. Clinical implications and future directions are discussed.
PMID- 27213724
TI - Fear generalization gradients in visuospatial attention.
AB - Fear learning can be adaptively advantageous, but only if the learning is
integrated with higher-order cognitive processes that impact goal-directed
behaviors. Recent work has demonstrated generalization (i.e., transfer) of
conditioned fear across perceptual dimensions and conceptual categories, but it
is not clear how fear generalization influences other cognitive processes. The
current study investigated how associative fear learning impacts higher-order
visuospatial attention, specifically in terms of attentional bias toward
generalized threats (i.e., the heightened assessment of potentially dangerous
stimuli). We combined discriminative fear conditioning of color stimuli with a
subsequent visual search task, in which targets and distractors were presented
inside colored circles that varied in perceptual similarity to the fear
conditioned color. Skin conductance responses validated the fear-conditioning
manipulation. Search response times indicated that attention was preferentially
deployed not just to the specific fear-conditioned color, but also to similar
colors that were never paired with the aversive shock. Furthermore, this
attentional bias decreased continuously and symmetrically from the fear
conditioned value along the color spectrum, indicating a generalization gradient
based on perceptual similarity. These results support functional accounts of fear
learning that promote broad, defensive generalization of attentional bias toward
threat. (PsycINFO Database Record
PMID- 27213723
TI - Vinegar as a functional ingredient to improve postprandial glycemic control-human
intervention findings and molecular mechanisms.
AB - Type 2 diabetes prevalence worldwide is increasing and the burden is particularly
high in Asian countries. Identification of functional food ingredients to curb
the rise of diabetes among various Asian population groups is warranted. Vinegar
is widely consumed throughout Asia, where the principle bioactive component is
acetic acid. This review has collated data from human intervention trials to show
that vinegar consumption seems more effective in modulating glycemic control in
normal glucose-tolerant individuals than in either type 2 diabetics or in those
with impaired glucose tolerance. The molecular mechanisms by which vinegar can
improve glycemic control have been presented using human, animal and cell culture
data. These mechanisms include (i) activation of the free fatty acid receptor 2
(FFAR2) receptors localized in the enteroendocrine L-cells of the intestinal
lumen, leading to increased glucagon like peptide 1 (GLP-1) secretion, (ii)
increased 5'adenosine monophosphate-activated protein kinase (AMPK) activation,
leading to increased fatty acid oxidation and decreased hepatic gluconeogenesis,
(iii) lowering of free fatty acid in circulation, potentially leading to improved
insulin sensitivity, (iv) increased blood flow to the peripheral tissues and (v)
increased satiety, leading to lower food intake. The review also discusses why
these mechanisms appear more effective in nondiabetics than in diabetics.
PMID- 27213725
TI - Perceptions of variability in facial emotion influence beliefs about the
stability of psychological characteristics.
AB - Beliefs about the malleability versus stability of traits (incremental vs. entity
lay theories) have a profound impact on social cognition and self-regulation,
shaping phenomena that range from the fundamental attribution error and group
based stereotyping to academic motivation and achievement. Less is known about
the causes than the effects of these lay theories, and in the current work the
authors examine the perception of facial emotion as a causal influence on lay
theories. Specifically, they hypothesized that (a) within-person variability in
facial emotion signals within-person variability in traits and (b) social
environments replete with within-person variability in facial emotion encourage
perceivers to endorse incremental lay theories. Consistent with Hypothesis 1,
Study 1 participants were more likely to attribute dynamic (vs. stable) traits to
a person who exhibited several different facial emotions than to a person who
exhibited a single facial emotion across multiple images. Hypothesis 2 suggests
that social environments support incremental lay theories to the extent that they
include many people who exhibit within-person variability in facial emotion.
Consistent with Hypothesis 2, participants in Studies 2-4 were more likely to
endorse incremental theories of personality, intelligence, and morality after
exposure to multiple individuals exhibiting within-person variability in facial
emotion than after exposure to multiple individuals exhibiting a single emotion
several times. Perceptions of within-person variability in facial emotion-rather
than perceptions of simple diversity in facial emotion-were responsible for these
effects. Discussion focuses on how social ecologies shape lay theories. (PsycINFO
Database Record
PMID- 27213726
TI - Emotional aftereffects: When emotion impairs subsequent picture recognition.
AB - Emotional stimuli induce a state of natural selective attention and receive
preferential processing by the brain. While this enables the organism to detect
and respond swiftly to life-threatening or-sustaining stimuli, research using
variants of the attentional blink paradigm has revealed that this advantage may
come at the cost of processing other stimuli in a picture stream. In these
studies, participants have to actively search for a target within the stream.
However, it has also been shown that the active task set may exert a considerable
influence on the outcome in an attentional blink scenario. Accordingly, the
present series of studies was designed to test whether proactive emotional cost
effects occur in an experimental context that does not implement an active search
task set and in which all viewed stimuli are of equal relevance. Toward this end,
a recognition memory paradigm was utilized in which participants viewed rapidly
presented sequences of emotional and neutral images. Immediately afterward, they
had to decide whether a probe stimulus had occurred in the sequence or not.
Across 3 studies, images were better remembered when they had been presented
after neutral as compared with emotional images. This was the case after both
positive and negative emotional images and regardless of whether participants had
to memorize all or only nonemotional stimuli. These findings speak to the
robustness of proactive emotional cost effects and link recent research examining
emotion-induced blindness to classic observations regarding emotional
interference in memory tasks. (PsycINFO Database Record
PMID- 27213727
TI - Happy all the time? Affect, resources, and time use.
AB - When examined at the level of activities, people spend more time in activities
associated with more negative affect (NA), suggesting that affect may not
influence time use. However, when the normal time frames of activities such as
work or eating are considered, people may spend relatively more time in
activities they find more enjoyable. The present study examined time use between
and within activities, using multilevel models, to further explain time use.
Working women (N = 98) reported on time use, affect, and resources associated
with 18 different activities using the day reconstruction method. Across
activities, higher NA was associated with more time spent in that activity, an
effect driven partially by work. However, within activities, higher NA but
especially higher positive affect and more resource growth was associated with
more time spent in that activity by a particular woman. Individuals who derive
more affective and resource value from an activity devote more time to it.
(PsycINFO Database Record
PMID- 27213728
TI - (De)coupling of our eyes and our mind's eye: A dynamic process perspective on
attentional bias.
AB - We aimed to illuminate the theorized, yet empirically elusive, connection between
covert and overt attentional processes subserving attentional biases (AB). We
found that covert and overt attentional processes were each expressed
dynamically, fluctuating from moment-to-moment between phases of (over)engagement
and phases of avoidance of threat stimuli. The key features of the temporal
dynamics of covert and overt attentional processes were significantly correlated.
Moreover, the real-time, dynamic expressions of overt and covert attentional
processes were significantly coupled from trial-to-trial; and voluntary
inhibition of overt attention decoupled their connection in time. In contrast to
this dynamic process perspective on AB, when quantified through the decades-old
paradigm conceptualizing AB as a static trait-like phenomenon, covert and overt
attentional processes demonstrated (seemingly) no association and poor
psychometrics. We discuss the implications of the findings for better
understanding the nature of AB, its measurement, bio-psycho-behavioral
correlates, and clinical modification. (PsycINFO Database Record
PMID- 27213729
TI - Early social fear predicts kindergarteners' socially anxious behaviors: Direct
associations, moderation by inhibitory control, and differences from nonsocial
fear.
AB - Although social and nonsocial fear are discernable as early as preschool, little
is known about their distinct associations with developmental outcomes. For
example, fear has been identified as a predictor of social anxiety problems, but
no work has examined whether social and nonsocial fear make independent
contributions to risk. We investigated the extent to which early social and
nonsocial fear were associated with socially anxious behaviors during
kindergarten. To do this, we identified distinct trajectories of social and
nonsocial fear across toddlerhood and preschool. Only social fear was associated
with socially anxious behaviors at ages 2 and 5. Because the ability to regulate
fear contributes to the degree to which fearful children are at risk for anxiety
problems, we also tested whether an early developing aspect of self-regulation
modulated associations between early fear and kindergarten socially anxious
behaviors. Specifically, we tested whether inhibitory control differentially
modulated associations between early levels of social and nonsocial fear and
socially anxious behaviors during kindergarten. Associations between trajectories
of early social fear and age 5 socially anxious behaviors were moderated by
individual differences in inhibitory control. Consistent with previous research
showing associations between overcontrol and anxiety symptoms, more negative
outcomes were observed when stable, high levels of social fear across childhood
were coupled with high levels of inhibitory control. Results suggest that the
combination of social fear and overcontrol reflect a profile of early risk for
the development of social inhibition and social anxiety problems. (PsycINFO
Database Record
PMID- 27213730
TI - Interpersonal emotional behaviors and physical health: A 20-year longitudinal
study of long-term married couples.
AB - Objectively coded interpersonal emotional behaviors that emerged during a 15-min
marital conflict interaction predicted the development of physical symptoms in a
20-year longitudinal study of long-term marriages. Dyadic latent growth curve
modeling showed that anger behavior predicted increases in cardiovascular
symptoms and stonewalling behavior predicted increases in musculoskeletal
symptoms. Both associations were found for husbands (although cross-lagged path
models also showed some support for wives) and were controlled for
sociodemographic characteristics (age, education) and behaviors (i.e., exercise,
smoking, alcohol consumption, caffeine consumption) known to influence health.
Both associations did not exist at the start of the study, but only emerged over
the ensuing 20 years. There was some support for the specificity of these
relationships (i.e., stonewalling behavior did not predict cardiovascular
symptoms; anger behavior did not predict musculoskeletal symptoms; neither
symptom was predicted by fear nor sadness behavior), with the anger
cardiovascular relationship emerging as most robust. Using cross-lagged path
models to probe directionality of these associations, emotional behaviors
predicted physical health symptoms over time (with some reverse associations
found as well). These findings illuminate longstanding theoretical and applied
issues concerning the association between interpersonal emotional behaviors and
physical health and suggest opportunities for preventive interventions focused on
specific emotions to help address major public health problems. (PsycINFO
Database Record
PMID- 27213732
TI - VPS13C-Another Hint at Mitochondrial Dysfunction in Familial Parkinson's Disease.
PMID- 27213731
TI - Effect of Health Comparisons on Functional Health and Depressive Symptoms -
Results of a Population-Based Longitudinal Study of Older Adults in Germany.
AB - OBJECTIVE: To investigate the effect of health comparisons on functional health
and depressive symptoms in a longitudinal approach. Gender differences were
examined. METHODS: The German Ageing Survey (DEAS) is a nationwide,
representative longitudinal study of community dwelling individuals living in
Germany aged 40 and older. The surveys in 2008 and 2011 were used, with n = 3,983
respondents taking part in both waves. Health comparisons were quantified by the
question "How would you rate your health compared with other people your age"
(Much better; somewhat better; the same; somewhat worse, much worse). Functional
health was assessed by the subscale "physical functioning" of the 36-Item Short
Form Health Survey (SF-36) and depressive symptoms were measured by the Center
for Epidemiologic Studies Depression Scale (CES-D). RESULTS: Adjusting for
sociodemographic factors, self-assessed health, social network, self-efficacy and
optimism, and morbidity, fixed effects regressions revealed that functional
health decreased significantly and considerably with negative health comparisons
in the total sample (transitions from 'the same' to 'much worse': beta = -11.8),
predominantly in men. The effects of negative health comparisons (transitions
from 'the same' to 'much worse': beta = 4.8) on depressive symptoms were
comparable (in terms of significance) to the effects on functional health, with
stronger effects in women. Positive comparisons did not affect functional health
and depressive symptoms. CONCLUSION: Our findings underline the relevance of
negative health comparisons on functional health (men) and depressive symptoms
(women). Comparison effects are asymmetric and mostly upwards.
PMID- 27213733
TI - Does Dehiscence of the Facial Nerve Canal Affect Tympanoplasty Results?
AB - This study aimed to evaluate the prevalence of facial nerve canal dehiscence in
tympanoplasty patients and its influence on the need for revision surgery and on
hearing results and anatomical outcomes. Patients who underwent tympanoplasty
with/without mastoidectomy at Ankara University Otolaryngology Department from
2006 through 2013 with a minimum follow-up period of 6 months were reviewed
retrospectively in this original study. Patients were divided into those with and
without cholesteatoma. Numbers and frequencies of dehiscence were recorded
according to disease type, the need for revision surgery, and hearing results and
anatomical outcomes. Study subjects included 206 patients, of whom 15 (7.3%) had
dehiscence. The prevalence of dehiscence was significantly high in the patients
with cholesteatoma (13/50 patients) compared with those without (2/156 patients).
The dehiscence frequency was significantly high in cholesteatoma (42.8%), as well
as overall (14.7%), revision-surgery patients. Hearing results (P < 0.05) and
anatomical outcomes were better in patients without dehiscence. Dehiscence is
more common in patients with than without cholesteatoma and negatively affects
tympanoplasty outcomes, including hearing results, anatomical outcomes, and the
need for revision surgery.
PMID- 27213734
TI - Laryngeal Chondroma: An Unusual Complication Endotracheal Entubation.
AB - INTRODUCTION: Laryngeal cartilaginous framework tumors are very rare. Chondroma
and chondrosarcoma are the most common types of these tumors. PATIENT
PRESENTATION: A 27-year-old man with a history of intubation presented with
exercise-induced dyspnea. A computed tomography scan of larynx showed a rounded
and circumscribed mass without infiltration of the adjacent structures which
obstructs 75% of airway. Histopathological investigation of the mass revealed the
chondroma of the larynx. The patients' history of intubation trauma with the
subsequent progressive onset of clinical symptoms demonstrates the relationship
between these 2 entities. CONCLUSION: Clinicians should consider laryngeal
chondroma in the differential diagnosis of dyspnea after endotracheal intubation.
PMID- 27213735
TI - Two Different Concentrations of Ketofol for Procedural Sedation and Analgesia in
Closed Reduction of Nasal Fracture.
AB - OBJECTIVES: Ketofol is a mixture of propofol and ketamine in various
concentrations. It is accepted as a suitable combination in procedural sedation
and analgesia. The mixture of propofol and ketamine with different respiratory
and hemodynamic effects may result in fewer dose-related side effects and a
probable synergistic effect. This study was designed to compare the adverse
effects and quality of analgesia of 2 different intravenous concentrations of
ketofol in patients undergoing closed reduction of the nose. METHODS: This
randomized double-blinded study was conducted on 100 patients who underwent
closed reduction of nose. The patients were divided into 2 groups of 50, and
received either a combination of propofol/ketamine (1:1) (Group I) or
propofol/ketamine (3:1) (Group II). Hemodynamic changes, including systolic blood
pressure, diastolic blood pressure, heart rate and O2sat, and side effects such
as hallucination, vomiting, coughing and apnea, were recorded. RESULTS: Data
analysis showed that the demographic characteristics (age, height, and weight)
were similar in 2 groups, and there were no significant differences between the 2
groups. There was no significant hemodynamic change between both groups. However,
there was a decrease in hallucination and vomiting in the group that received
higher concentration of ketofol (ketamine/propofol of 1:3). CONCLUSION: There was
no significant hemodynamic change between both groups that received
concentrations of 1:1 and 3:1 propofol /ketamine. However, there was a reduction
in hallucination, vomiting, and recovery duration in the group that received
higher concentration of propofol. In conclusion, increasing the concentration of
propofol can be useful with fewer side effects and lower duration of recovery.
PMID- 27213736
TI - A Double-Lobe Flap Design Combined Nasolabial Advancement and Infraorbital
Rotation for Reconstruction of Infraorbital Defect.
AB - Various adjacent flaps have been designed to close infraorbital defect, and each
of them is trying to get an aesthetic outcome and meanwhile circumvent eyelid
retraction, ectropion, and functional disability. Here, the authors report an
adjacent double-lobe flap, which took advantage of nasolabial advancement and
infraorbital rotation of the 2 lobes, combinatorially closed a pentagon
infraorbital defect by removal of 2 small skin paddles as donor sites, and
finally yielded an acceptable aesthetic and functional outcome. This flap may be
a new option for closure of polygon infraorbital defects.
PMID- 27213737
TI - Novel Rigid External Distraction Device Improves Stability and Controls the
Vector During Midfacial Advancement.
AB - The major limitation of the rigid external devices currently used for midfacial
distraction after subcranial Le Fort III osteotomies is the ductile wire that
connects the midface to the device, which makes it difficult to control the
vector and force during distraction. The authors describe a novel external
appliance that addresses this and other problems of contemporary devices, and
application of a custom cranial template that facilitates precise placement of
the device to achieve the planned vector of distraction.
PMID- 27213738
TI - Delayed Facial Nerve Palsy After Open Reduction of an Isolated
Zygomaticomaxillary Complex Fracture.
AB - Facial nerve paralysis is a devastating complication which can occur after a
variety of otolaryngic procedures, including facial trauma repair. The frontal
and marginal branches are most often placed at risk. However, facial nerve
paralysis is not typically described as a risk in most uncomplicated facial
trauma repairs of the zygomaticomaxillary complex (ZMC). In particular, buccal
branch injury has not been described in a delayed setting following repair of the
ZMC. The authors present a patient of delayed buccal branch paralysis following a
simple ZMC repair which has not been previously reported.The diagnosis, clinical
course, and management strategies for delayed facial nerve paralysis in the
setting of a ZMC repair are discussed. This rare complication after facial trauma
repair should be discussed with patients as a possible complication.
PMID- 27213739
TI - Endoscopic Repair of the Injured Internal Carotid Artery Utilizing Oxidized
Regenerated Cellulose and a Free Fascia Lata Graft.
AB - OBJECTIVE: To introduce appropriate surgical procedures for the endoscopic repair
of the internal carotid artery (ICA) injury. METHODS: Two patients with ICA
injury during the endoscopic endonasal approach surgery were reviewed. RESULTS:
Internal carotid artery injury during the endonasal skull-base approach was a
rare complication. Once ICA injury occurred, 2 large bore suctions were placed
immediately for drainage and the bleeding point was located. Then, an oxidized
regenerated cellulose was quickly pressed onto the bleeding point and was held
there to stop the bleeding. Afterward, a free graft of fascia lata was inserted
and the free fat graft was compressed for repair. Absorbable packing materials
were used for nasal packing. CONCLUSIONS: Endoscopic repair utilizing oxidized
regenerated cellulose and a free fascia lata graft is a safe and feasible
surgical option for ICA injury.
PMID- 27213741
TI - Guided Self-Generation of Vascularized Neo-Bone for Autologous Reconstruction of
Large Mandibular Defects.
AB - Reconstruction of large mandibular defects is complex and challenging. The
authors aimed to individually self-generate a large vascularized bone construct
for autologous transplantation without the use of exogenous additives based on
the concept of guided self-generation. Using computer-aided design and
manufacturing a large size goat mandibular bone was reconstructed in 3
dimensions. Its negative mold printed from hydroxylapatite was temporarily
embedded into the costal periosteum along with a contralateral demineralized bone
matrix scaffold as control. After 3 months, a mandibular bone construct was
obtained and used for autologous transplantation. Osteogenesis and angiogenesis
were assessed by real-time imaging, histology, and biomechanical tests during neo
bone formation and up to 6 months after transplantation surgery. A total of 20
animals received implantation of a mandibular bone negative mold along with a
contralateral demineralized bone matrix scaffold. Resulting negative mold
mandibular bone constructs showed anatomically, histologically, and functionally
similar characteristics compared with native controls. Only 1 goat presented
partial fibrosis during construct generation with subsequent absorbtion after
reconstruction. The absence of exogenous cells, growth factors, and scaffolds
facilitated direct translation of this novel concept into clinical application.
Further studies are needed to determine functional long-term outcomes and
possible extensions to other tissues and organs.
PMID- 27213742
TI - Preliminary Study on Composition and Microstructure of Calcification in
Craniopharyngiomas.
AB - To analyze the element composition and microstructure of calcification in
craniopharyngiomas and to explore the differences among differing degrees of
calcification, 50 consecutive patients with craniopharyngioma were selected. X
ray diffraction analysis and energy-dispersive X-ray spectroscopy analysis were
performed on the calcified plaques isolated from the tumor specimens. All
calcified plaques were constituted of hydroxyapatite crystals and some amorphous
materials. The main elements for the analysis were calcium, phosphate, carbon,
and oxygen. There were significant differences among groups of differing degrees
of calcification in the percentage composition of calcium, phosphorus, and carbon
(P < 0.05), in which the element content of calcium and phosphorus had a positive
correlation with the extent of calcification (rp = 0.745 and 0.778, respectively,
P < 0.01), while the element content of carbon had a negative correlation with
the extent of calcification (rp =-0.526, P <0.01). The calcium, phosphorus, and
carbon content are different in calcified plaques with different extents of
calcification. The element content of calcium, phosphorus, and carbon influences
the degree of calcification.
PMID- 27213743
TI - Headache Attributed to Fibrous Dysplasia of the Ethmoid Bone Mimicking Menstrual
Migraine Without Aura.
AB - The authors experienced a patient of fibrous dysplasia originating from the
ethmoid bone which presented with severe headache with some features suggestive
of menstrual migraine without aura. Fibrous dysplasia originating from the
ethmoid bone is a rare disease entity, but may cause severe headache that can be
misdiagnosed as "menstrual migraine" because of similar symptoms in female
patients. Because the primary objective of surgery is symptomatic relief,
conservative transnasal endoscopic approach may be considered an alternative to
more invasive external surgical techniques in carefully selected patients,
especially originating from the nasal cavity and paranasal sinuses.
PMID- 27213744
TI - Outcomes and Complications of Endoscopic Versus Microscopic Transsphenoidal
Surgery in Pituitary Adenoma.
AB - This retrospective study aimed to define outcomes and complications of endoscopic
versus microscopic transsphenoidal surgery in pituitary adenoma. Data of 94
patients who underwent transsphenoidal endoscopic (n = 45) or microscopic surgery
(n = 49) between June 2000 and June 2014 for pituitary adenoma, performed at
Katip Celebi University Hospital, were retrospectively analyzed. The patients'
symptomatology, type of adenoma, radiologic findings, surgical outcomes, and
preoperative and postoperative complications were investigated. The total
subtotal resection rate was 73.4% (69 patients) and partial resection rate was
26.6% (25 patients). Total subtotal resection rate was 77.6% (38 patients) in the
microscopic group and 68.9% (31 patients) in the endoscopic group. Total
resection was seen more often in the endoscopic group than in the microscopic
group, with no significant difference between the groups. Four patients (8.2%) in
the microscopic group had postoperative cerebrospinal fluid leak compared with 3
patients (6.7%) in the endoscopic group. Two patients (2.1%) had hematoma, with 1
patient each in the endoscopic and microscopic group. Panhypopituitarism
development rate was higher in the endoscopic group (no significant difference
between the 2 groups). One patient (2%) developed blindness in the microscopic
group and 1 (2.2%) had meningitis in the endoscopic group. Based on this study,
the total resection rate was higher in the microscopic group than in the
endoscopic group. However, outcomes and complication rate did not differ
significantly between the 2 surgical techniques. Both techniques have advantages
and disadvantages. Prospective randomized controlled trials should be conducted
to compare the 2 surgical methods.
PMID- 27213745
TI - Aplasia Cutis Congenita Associated With Aplasia of the Superficial Temporal
Artery.
AB - Aplasia cutis congenita with or without congenital anomalies is a rare congenital
disorder most commonly involving the skin of the scalp, as well as the skull and
dura.The etiology is uncertain, and several theories, including vascular accident
intrauterine period, vascular anomaly, intrauterine infection, teratogen, and
aminiotic adhesion, have been proposed. One theory is that lesions of the scalp
are usually caused by vascular anomalies.The authors report on a patient with
aplasia cutis congenita presenting with a huge skin and skull defect combined
with aplasia of the superficial temporal artery, which was thought to be the
etiology.
PMID- 27213746
TI - The Use of Submental Artery Perforator Island Flap Without Including Digastric
Muscle in the Reconstruction of Lower Face and Intraoral Defects.
AB - PURPOSE: For reconstruction of intraoral and lower face defects, it is important
to use flaps that prevent reliable and pliable soft tissue and it is possible to
use aesthetically most compatible with recipient site. In this study, the authors
aimed to present their clinical experiences and results of lower face and
intraoral defects reconstructions with submental artery island perforator flap
without including the digastric muscle to have a thinner and useful flap.
METHODS: Six patients with lower face and intraoral defects that were
reconstructed using the submental artery island flap between November 2013 and
February 2015 were retrospectively analyzed. Patient demographics, age, sex,
defect etiologies, and complications were assessed. SURGICAL TECHNIQUE: Hand
Doppler examination was performed and submental artery marked preoperatively. The
superior border of the flap was designed at least 1 cm away from the mandibular
border to avoid injury to the marginal mandibular nerve and prevent lip eversion.
After the identification of the submental artery via the retrograde dissection,
the anterior belly of the digastric muscle was not included the flap to prevent a
thinner flap. After the dissection, the island flap was transferred to the defect
site through a subcutaneous tunnel. The donor sites were closed primarily.
RESULTS: A partially flap necrosis was occurred in 1 patient due to hematome in
the tunnel around the pedicle. The wound healed uneventfully with conservative
management. In the remaining patients there were no complications. CONCLUSION:
The submental artery perforator island flap without including the digastric
muscle is a reliable and suitable option for the medium-sized defects in the
lower face and intraoral defects.
PMID- 27213748
TI - Definition, inheritance, clinical features and diagnosis of Von Willebrand
Disease.
PMID- 27213749
TI - Treatment and management of Von Willebrand disease.
PMID- 27213747
TI - Pseudoaneurysm in the Internal Maxillary Artery Occurring After Endoscopic Sinus
Surgery.
AB - Pseudoaneurysm is defined as blood leaking out of a vessel that does not have
true 3 arterial walls like a true aneurysm, and is susceptible to rupture. Only 4
patients of pseudoaneurysm after endoscopic sinus surgery have been reported so
far in English literature. Recently, the authors encountered a pseudoaneurysm in
the internal maxillary artery after endoscopic sinus surgery, which was
immediately and successfully managed with endovascular embolization. There was no
bleeding or complications 6 months after the embolization.
PMID- 27213750
TI - Appendix I: Multimeric analysis of Von Willebrand factor and classification of
Von Willebrand disease.
PMID- 27213751
TI - Appendix II: A revised classification of Von Willebrand disease*: For The
Subcommittee on Von Willebrand Factor of the Scientific and Standardization
Committee of the International Society on Thrombosis and Haemostasis.
AB - A simplified phenotypic classification of Von Willebrand disease is proposed that
is based on differences in pathophysiology. Quantitative defects are divided into
partial deficiency (type 1) and severe deficiency (type 3). Qualitative defects
(type 2) are divided into four subcategories. Type 2A refers to variants with
decreased platelet-dependent function associated with the loss of high-molecular
weight Von Willeband factor multimers. Type 2B refers to variants with increased
affinity for platelet glycoprotein Ib. Type 2M refers to qualitatively abnormal
variants with decreased platelet-dependent function not associated with the loss
of high-molecular weight multimers. Type 2N refers to variants with decreased
affinity for factor VIII. When recognized, mixed phenotypes caused by compound
heterozygosity are indicated by separate classification of each allele. Standard
amino acid and nucleotide numbering schemes are recommended for the description
of mutations.
PMID- 27213752
TI - Appendix III: The molecular genetics of Von Willebrand disease.
PMID- 27213753
TI - Appendix IV: Questions and answers for patients and parents with Von Willebrand
disease.
PMID- 27213754
TI - United Kingdom Haemophilia Centre Directors' Organization Membership of Von
Willebrand Working Party.
PMID- 27213755
TI - Introduction.
PMID- 27213756
TI - Giant Resistive Switching via Control of Ferroelectric Charged Domain Walls.
AB - Controlled switching of resistivity in ferroelectric thin films is demonstrated
by writing and erasing stable, nanoscale, strongly charged domain walls using an
in situ transmission electron microscopy technique. The resistance can be read
nondestructively and presents the largest off/on ratio (~10(5) ) ever reported in
room-temperature ferroelectric devices, opening new avenues for engineering
ferroelectric thin-film devices.
PMID- 27213759
TI - Fourier Analysis Method for Analyzing Highly Congested Mass Spectra of Ion
Populations with Repeated Subunits.
AB - Highly heterogeneous samples that are difficult to resolve chromatographically
arise in many contexts, including hetero-oligomeric protein assemblies, chaperone
target and protein-lipid assemblies, and long-chain polymers. Native mass
spectrometry has emerged as a powerful tool to probe the stoichiometry and
structure of biomolecular ion complexes, including megadalton-sized assemblies
and assemblies with dozens of subunits. However, mass spectra of these ions are
often highly congested, obfuscating determination of charge state, total mass, or
subunit mass with conventional analysis methods. Here, we present a fast Fourier
transform-based algorithm that can be used to deconvolve highly congested mass
spectra for heterogeneous ion populations with repeated subunits. The method is
parameter-free and requires no initial guesses of charge states, total mass, or
subunit mass. To demonstrate a range of applications, the method is applied to
ubiquitin with multiple adductions of sodium and potassium, single and mixed
polymers, and self-assembled native protein-lipid complexes (Nanodiscs). The
algorithm facilitates identification of the charge states, subunit mass, and
charge-state specific total mass distribution present in the ion population.
Results from application of the algorithm to these analytes include the first
reported mass spectra and lipid stoichiometries of intact Nanodiscs containing
lipid-raft associated sphingomyelin. Advantages to using this method with ion
assemblies that have undergone minimal gas-phase collisional "clean-up" to retain
native-like stoichiometries are discussed.
PMID- 27213758
TI - Tolerance to chitosan by Trichoderma species is associated with low membrane
fluidity.
AB - The effect of chitosan on growth of Trichoderma spp., a cosmopolitan genus widely
exploited for their biocontrol properties was evaluated. Based on genotypic (ITS
of 18S rDNA) characters, four isolates of Trichoderma were identified as T.
pseudokoningii FLM16, T. citrinoviride FLM17, T. harzianum EZG47, and T.
koningiopsis VSL185. Chitosan reduces radial growth of Trichoderma isolates in
concentration-wise manner. T. koningiopsis VSL185 was the most chitosan tolerant
isolate in all culture media amended with chitosan (0.5-2.0 mg ml(-1) ). Minimal
Inhibitory Concentration (MIC) and Minimal Fungicidal Concentration (MFC) were
determined showing that T. koningiopsis VSL185 displays higher chitosan tolerance
with MIC value >2000 MUg ml(-1) while for other Trichoderma isolates MIC values
were around 10 MUg ml(-1) . Finally, free fatty acid composition reveals that T.
koningiopsis VSL185, chitosan tolerant isolate, displays lower linolenic acid
(C18:3) content than chitosan sensitive Trichoderma isolates. Our findings
suggest that low membrane fluidity is associated with chitosan tolerance in
Trichoderma spp.
PMID- 27213757
TI - Changes in the Adult GluN2B Associated Proteome following Adolescent Intermittent
Ethanol Exposure.
AB - Adolescent alcohol use is the strongest predictor for alcohol use disorders. In
rodents, adolescents have distinct responses to acute ethanol, and prolonged
alcohol exposure during adolescence can maintain these phenotypes into adulthood.
One brain region that is particularly sensitive to the effects of both acute and
chronic ethanol exposure is the hippocampus. Adolescent intermittent ethanol
exposure (AIE) produces long lasting changes in hippocampal synaptic plasticity
and dendritic morphology, as well as in the susceptibility to acute ethanol
induced spatial memory impairment. Given the pattern of changes in hippocampal
structure and function, one potential target for these effects is the ethanol
sensitive GluN2B subunit of the NMDA receptor, which is known to be involved in
synaptic plasticity and dendritic morphology. Thus we sought to determine if
there were persistent changes in hippocampal GluN2B signaling cascades following
AIE. We employed a previously validated GluN2B-targeted proteomic strategy that
was used to identify novel signaling mechanisms altered by chronic ethanol
exposure in the adult hippocampus. We collected adult hippocampal tissue (P70)
from rats that had been given 2 weeks of AIE from P30-45. Tissue extracts were
fractionated into synaptic and non-synaptic pools, immuno-precipitated for
GluN2B, and then analyzed using proteomic methods. We detected a large number of
proteins associated with GluN2B. AIE produced significant changes in the
association of many proteins with GluN2B in both synaptic and non-synaptic
fractions. Intriguingly the number of proteins changed in the non-synaptic
fraction was double that found in the synaptic fraction. Some of these proteins
include those involved in glutamate signaling cytoskeleton rearrangement, calcium
signaling, and plasticity. Disruptions in these pathways may contribute to the
persistent cellular and behavioral changes found in the adult hippocampus
following AIE. Further, the robust change in non-synaptic proteins suggests that
AIE may prime this signaling pathway for future ethanol exposures in adulthood.
PMID- 27213760
TI - Cytokeratin (CK5, CK8, CK14) expression and presence of progenitor stem cells in
human fetal thymuses.
AB - The aim of the current study was to observe the expression of cytokeratins in
human fetal thymuses. Specific cytokeratin markers in adult humans and mice have
been well described but there has been little similar work on human fetuses. We
also aimed to see whether progenitor stem cells that could be harvested to treat
various immunodeficiency disorders are present in fetal thymic tissue. Thymuses
obtained from 30 aborted human fetuses (12 to 31 weeks) were examined
immunohistochemically to investigate changes in cytokeratin expression in the
epithelial cells (TEC) at various gestational ages. Before 16 weeks of gestation,
cortical (cTEC) and medullary (mTEC) TEC exhibited homogenous staining for
cytokeratins CK8 and CK5. After 16 weeks there was differential staining, with
cTEC positive for CK8 and mTEC for CK5 and CK14. Interestingly, both CK5 + CK8+
progenitor stem cells were present in the fetal thymic cortex at all gestational
ages, with a relatively high number from 12 to 16 weeks. Cytokeratin expression
in fetal thymuses was quite different from that in the adult thymus owing to the
presence of undifferentiated progenitor stem cells in fetal thymic stroma along
with differentiated TEC. The best time to harvest these progenitor stem cells
from fetal thymic stroma in order to treat various immune deficiency disorders
appears to be 12-16 weeks. Clin. Anat. 29:711-717, 2016. (c) 2016 Wiley
Periodicals, Inc.
PMID- 27213761
TI - Inhaled Nitric Oxide Use in the Neonatal Intensive Care Unit: Rising Costs and
the Need for a New Research Paradigm.
PMID- 27213763
TI - 24-hour consultant labour ward cover should be mandatory in tertiary obstetric
hospitals: FOR: The presence of a fully trained obstetrician should be mandatory
in tertiary obstetric hospitals.
PMID- 27213762
TI - Gelatin-Hyaluronic Acid Hydrogels with Tuned Stiffness to Counterbalance Cellular
Forces and Promote Cell Differentiation.
AB - Cells interact mechanically with their environment, exerting mechanical forces
that probe the extracellular matrix (ECM). The mechanical properties of the ECM
determine cell behavior and control cell differentiation both in 2D and 3D
environments. Gelatin (Gel) is a soft hydrogel into which cells can be embedded.
This study shows significant 3D Gel shrinking due to the high traction cellular
forces exerted by the cells on the matrix, which prevents cell differentiation.
To modulate this process, Gel with hyaluronic acid (HA) has been combined in an
injectable crosslinked hydrogel with controlled Gel-HA ratio. HA increases matrix
stiffness. The addition of small amounts of HA leads to a significant reduction
in hydrogel shrinking after cell encapsulation (C2C12 myoblasts). We show that
hydrogel stiffness counterbalanced traction forces of cells and this was decisive
in promoting cell differentiation and myotube formation of C2C12 encapsulated in
the hybrid hydrogels.
PMID- 27213764
TI - Stem cell homing using local delivery of plerixafor and stromal derived growth
factor-1alpha for improved bone regeneration around Ti-implants.
AB - Triggering of the early healing events, including the recruitment of progenitor
cells, has been suggested to promote bone regeneration. In implantology, local
drug release technologies could provide an attractive approach to promote tissue
regeneration. In this study, we targeted the chemotactic SDF-1alpha/CXCR4 axis
that is responsible e.g. for the homing of stem cells to trauma sites. This was
achieved by local delivery of plerixafor, an antagonist to CXCR4, and/or SDF
1alpha, from titanium implants coated with mesoporous titania thin films with a
pore size of 7.5 nm. In vitro drug delivery experiments demonstrated that the
mesoporous coating provided a high drug loading capacity and controlled release.
The subsequent in vivo study in rat tibia showed beneficial effects with respect
to bone-implant anchorage and bone-formation along the surface of the implants
when plerixafor and SDF-1alpha were delivered locally. The effect was most
prominent by the finding that the combination of the drugs significantly improved
the mechanical bone anchorage. These observations suggest that titanium implants
with local delivery of drugs for enhanced local recruitment of progenitor cells
have the ability to promote osseointegration. This approach may provide a
potential strategy for the development of novel implant treatments. (c) 2016
Wiley Periodicals, Inc. J Biomed Mater Res Part A: 104A: 2466-2475, 2016.
PMID- 27213765
TI - Prospective Study of Eye Disease in Timor-Leste: The East Timor Eye Program.
AB - PURPOSE: This study aimed to present the methodology of the East Timor Eye
Program and report the prevalence of eye disease seen at the National Eye Centre
during a 3-month sample period between June and August 2012. DESIGN: Two hundred
ninety-three new patients aged 17 years or older were assessed at the National
Eye Centre in Dili, Timor-Leste. METHODS: All participants received a
comprehensive dilated eye examination that included distance visual acuity
measurements, indirect fundoscopy, and a complete slit lamp assessment including
gonioscopy and intraocular pressure measurement. Each patient completed an
interview-administered general questionnaire, and information on general health,
ocular history, and medication was obtained. Anthropometric measurements were
also taken and recorded. RESULTS: A total of 293 patients, 183 males (62.5%) and
110 females (37.5%), aged between 17 and 88 years (mean, 47.66 years) were
recruited and examined. The 3 most common clinical eye presentations were
conjunctival disorders (60.41%), followed by lens disorders (48.12%) and scleral,
corneal, iris, and ciliary body disorders (46.42%). The 3 most common conditions
causing blindness (visual acuity less than 3/60 as defined by the World Health
Organization) were lens disorders (45.9%), choroidal and retinal disorders
(18.9%), and other disorders of the eye and adnexa (13.5%). CONCLUSIONS: The East
Timor Eye Program is an effective program that has enabled the management and
treatment of various eye conditions in residents of Timor-Leste. The program set
high standards for stringent and accurate data collection and ophthalmic
diagnoses in a low-resource setting. Lens disorders and choroidal and retinal
disorders were the most common conditions causing blindness.
PMID- 27213766
TI - Patient Perspectives on Acquiring Spectacles: A Cambodian Experience.
AB - PURPOSE: To assess the perspectives of patients who acquired spectacles from an
eye unit/vision center in Cambodia. DESIGN: A sample (n = 62) of patients was
selected across 4 provinces: Prey Veng, Siem Reap, Battambang, and Takeo.
METHODS: The Patient Spectacle Satisfaction Survey covering demographic and
semistructured questions regarding patient satisfaction, style, and costs
incurred was used to collect data. Information was transcribed and translated
into English and analyzed by thematic coding using NVivo. RESULTS: Although there
were more women seeking eye health care treatment, there was no significant age
difference. Patient satisfaction levels were high although the patients had to
pay for transportation, registration, and the glasses themselves. A total of 60
patients (96.7%) stated they would recommend the refractive service center to
others. Despite a high level of awareness of eye disease such as cataract, only 2
in 10 people could accurately identify cataract as a major cause of poor vision
or blindness. Most of the people (52%) blamed bad vision or blindness on dust or
other foreign objects getting into the eye, old age (31%), or poor hygiene (16%).
CONCLUSIONS: Most people will pay eye care costs once barriers to seeking
treatment have been broken via education and encouragement. Satisfaction of
wearing spectacles was associated with improved vision; style, color, and fit of
the spectacles; and protection from sunlight and dust. The proximity of and easy
access to health facilities influenced patient desire to seek treatment.
PMID- 27213768
TI - The Genetics and Pathophysiology of IC3D Category 1 Corneal Dystrophies: A
Review.
AB - Corneal dystrophies are a group of inherited disorders affecting the cornea, many
of which lead to visual impairment. The International Committee for
Classification of Corneal Dystrophies has established criteria to clarify the
status of the various corneal dystrophies, which include the knowledge of the
underlying genetics. In this review, we discuss the International Committee for
Classification of Corneal Dystrophies category 1 (second edition) corneal
dystrophies, for which a clear genetic link has been established. We highlight
the various mechanisms underlying corneal dystrophy pathology, including
structural disorganization, instability or maladhesion, aberrant protein
stability and deposition, abnormal cellular proliferation or apoptosis, and
dysfunction of normal enzymatic processes. Understanding these genetic mechanisms
is essential for designing targets for therapeutic intervention, especially in
the age of gene therapy and gene editing.
PMID- 27213769
TI - Infrared properties of heavy fermions: evolution from weak to strong
hybridizations.
AB - In this article, we review the charge excitations of heavy fermion compounds
probed by infrared spectroscopy. The article is not meant to be a comprehensive
survey of experimental investigations. Rather it focuses on the dependence of
charge excitations on the hybridization strength. In this context, the infrared
properties of the Ce m M n In3m+2n family are discussed in detail since the
hybridization strengths differ dramatically in different members despite their
similar lattice structures. Investigations on some mixed valent compounds are
also presented, aiming to elucidate the generic trend of the evolution. In
particular, we address the scaling between hybridization energy gap [Formula: see
text] and hybridization strength [Formula: see text]([Formula: see text]) in a
wide range of heavy fermion compounds, which demonstrates that the periodic
Anderson model can generally and quantitatively describe the low-energy charge
excitations.
PMID- 27213770
TI - Silver colloids as plasmonic substrates for direct label-free surface-enhanced
Raman scattering analysis of DNA.
AB - Ultrasensitive direct SERS analysis offers a powerful analytical tool for the
structural characterization and classification of nucleic acids. However,
acquisition of reliable spectral fingerprints of such complex biomolecules poses
important challenges. In recent years, many efforts have been devoted to overcome
these limitations, mainly implementing silver colloids as plasmonic substrates.
However, a reliable cross-comparison of results reported in the recent literature
is extremely hard to achieve, mostly due to the broad set of different surface
properties of the plasmonic nanoparticles. Herein, we perform a thorough
investigation of the role played by the metal/liquid interface composition of
silver colloids in the direct label-free SERS analysis of DNA. Target molecules
of increasing complexity, from short homopolymeric strands to long genomic
duplexes, were used as probes. We demonstrate how apparently subtle changes in
the colloidal surface chemistry can dramatically modify the affinity and the
final SERS spectral profile of DNA. This has significant implications for the
future design of new analytical strategies for the detection of DNA using SERS
without labels.
PMID- 27213771
TI - Preparation of 3D Collagen Gels and Microchannels for the Study of 3D
Interactions In Vivo.
AB - Historically, most cellular processes have been studied in only 2 dimensions.
While these studies have been informative about general cell signaling
mechanisms, they neglect important cellular cues received from the structural and
mechanical properties of the local microenvironment and extracellular matrix
(ECM). To understand how cells interact within a physiological ECM, it is
important to study them in the context of 3 dimensional assays. Cell migration,
cell differentiation, and cell proliferation are only a few processes that have
been shown to be impacted by local changes in the mechanical properties of a 3
dimensional ECM. Collagen I, a core fibrillar component of the ECM, is more than
a simple structural element of a tissue. Under normal conditions, mechanical cues
from the collagen network direct morphogenesis and maintain cellular structures.
In diseased microenvironments, such as the tumor microenvironment, the collagen
network is often dramatically remodeled, demonstrating altered composition,
enhanced deposition and altered fiber organization. In breast cancer, the degree
of fiber alignment is important, as an increase in aligned fibers perpendicular
to the tumor boundary has been correlated to poorer patient prognosis(1). Aligned
collagen matrices result in increased dissemination of tumor cells via persistent
migration(2,3). The following is a simple protocol for embedding cells within a 3
dimensional, fibrillar collagen hydrogel. This protocol is readily adaptable to
many platforms, and can reproducibly generate both aligned and random collagen
matrices for investigation of cell migration, cell division, and other cellular
processes in a tunable, 3-dimensional, physiological microenvironment.
PMID- 27213772
TI - Evaluation of Techniques for Measuring Microbial Hazards in Bathing Waters: A
Comparative Study.
AB - Recreational water quality is commonly monitored by means of culture based faecal
indicator organism (FIOs) assays. However, these methods are costly and time
consuming; a serious disadvantage when combined with issues such as non
specificity and user bias. New culture and molecular methods have been developed
to counter these drawbacks. This study compared industry-standard IDEXX methods
(Colilert and Enterolert) with three alternative approaches: 1) TECTATM system
for E. coli and enterococci; 2) US EPA's 1611 method (qPCR based enterococci
enumeration); and 3) Next Generation Sequencing (NGS). Water samples (233) were
collected from riverine, estuarine and marine environments over the 2014-2015
summer period and analysed by the four methods. The results demonstrated that E.
coli and coliform densities, inferred by the IDEXX system, correlated strongly
with the TECTATM system. The TECTATM system had further advantages in faster
turnaround times (~12 hrs from sample receipt to result compared to 24 hrs); no
staff time required for interpretation and less user bias (results are
automatically calculated, compared to subjective colorimetric decisions). The US
EPA Method 1611 qPCR method also showed significant correlation with the IDEXX
enterococci method; but had significant disadvantages such as highly technical
analysis and higher operational costs (330% of IDEXX). The NGS method
demonstrated statistically significant correlations between IDEXX and the
proportions of sequences belonging to FIOs, Enterobacteriaceae, and
Enterococcaceae. While costs (3,000% of IDEXX) and analysis time (300% of IDEXX)
were found to be significant drawbacks of NGS, rapid technological advances in
this field will soon see it widely adopted.
PMID- 27213775
TI - A Statewide RN-BSN Consortium Use of the Electronic Portfolio to Demonstrate
Student Competency.
PMID- 27213773
TI - Cognitive interventions in Alzheimer's and Parkinson's diseases: emerging
mechanisms and role of imaging.
AB - PURPOSE OF REVIEW: There has been recent debate about the lack of compelling
scientific evidence on the efficacy of cognitive interventions. The goal of this
study is to review the current state of cognitive interventions in Alzheimer's
disease and Parkinson's disease, present emerging mechanisms, and discuss the
role of imaging in designing effective intervention strategies. RECENT FINDINGS:
Cognitive interventions appear to be promising in Alzheimer's disease and
Parkinson's disease. Although feasibility has been shown in mild cognitive
impairment, early Alzheimer's disease, and mild to moderate Parkinson's disease,
studies to investigate long-term efficacy and mechanisms underlying these
interventions are still needed. SUMMARY: There is a need to conduct
scientifically rigorous studies to validate the efficacy of cognitive
intervention trials. Future studies will greatly benefit from including
longitudinal imaging in their study design. Imaging can be used to demonstrate
the efficacy and mechanisms by measuring brain changes over the intervention
period. Imaging can also be used to determine biological and disease-related
factors that may influence the treatment response, that is, the effect modifiers.
Consideration of effect modifiers will allow us to measure the treatment response
in biomarkers and cognition with greater sensitivity and also aid in designing
trials that will lead to better patient outcomes.
PMID- 27213774
TI - Real-time functional magnetic resonance imaging neurofeedback in motor
neurorehabilitation.
AB - PURPOSE OF REVIEW: Recent developments in functional magnetic resonance imaging
(fMRI) have catalyzed a new field of translational neuroscience. Using fMRI to
monitor the aspects of task-related changes in neural activation or brain
connectivity, investigators can offer feedback of simple or complex neural
signals/patterns back to the participant on a quasireal-time basis [real-time
fMRI-based neurofeedback (rt-fMRI-NF)]. Here, we introduce some background
methodology of the new developments in this field and give a perspective on how
they may be used in neurorehabilitation in the future. RECENT FINDINGS: The
development of rt-fMRI-NF has been used to promote self-regulation of activity in
several brain regions and networks. In addition, and unlike other noninvasive
techniques, rt-fMRI-NF can access specific subcortical regions and in principle
any region that can be monitored using fMRI including the cerebellum, brainstem
and spinal cord. In Parkinson's disease and stroke, rt-fMRI-NF has been
demonstrated to alter neural activity after the self-regulation training was
completed and to modify specific behaviours. SUMMARY: Future exploitation of rt
fMRI-NF could be used to induce neuroplasticity in brain networks that are
involved in certain neurological conditions. However, currently, the use of rt
fMRI-NF in randomized, controlled clinical trials is in its infancy.
PMID- 27213776
TI - Xerostomia in the Geriatric Patient: Causes, Oral Manifestations, and Treatment.
AB - Xerostomia, or dry mouth, is common among elderly people and is typically
associated with decreased salivary gland function. Causes of xerostomia in the
geriatric population have been attributed to the use of medications, chronic
disorders, and radiation therapy to the head and neck region. Patients with
chronic xerostomia may have multiple oral and dental consequences such as dental
caries, periodontal disease, fungal infections, ill-fitting dentures, and taste
alterations. Xerostomia can seriously impact quality of life and may alter
speech, eating, and swallowing. Current therapeutics for the management of
xerostomia are grouped as local and systemic salivary stimulation. This article
reviews the main reasons for xerostomia and the complications it causes in the
oral cavity. It also discusses the pharmacologic and nonpharmacologic agents used
to treat this condition.
PMID- 27213777
TI - Autonomic Nervous System Trial: Control and Resolve for Sleep-Breathing
Disorders.
AB - Conventional approaches to sleep dentistry concentrate on apneas/ hypopneas that
cause periodic desaturations and fragmentations, a strategy that may be leading
healthcare professionals to overlook many patients in dental practices with
breathing-disturbed sleep. Recent studies have explored a new chronic "stress"
paradigm rather than the apnea/hypopnea model to explain damage from sleep
breathing disorders and the progressive nature of this problem. This article will
discuss the shortcomings of traditional approaches for dealing with obstructive
sleep apnea and other sleep-breathing conditions and provide a protocol, which
involved the autonomic nervous system trial, for improving control and management
with mandibular advancement appliances. Most importantly, an algorithm for
interdisciplinary resolution strategies is presented.
PMID- 27213778
TI - Long-Term Provisional Bonded Composite Restorations Make Full-Mouth
Rehabilitation Possible.
AB - Full-mouth rehabilitation cases frequently require an extended period to
complete. In this case involving a patient who presented with a significant
amount of lost tooth structure, treatment featured laboratory-fabricated
composite provisional restorations aimed at stabilizing the dentition and
enabling definitive treatment to be completed in segments. The approach taken
allowed occlusal and esthetic issues to be resolved through use of the
provisionals while minimizing tooth preparation. The technique provided immediate
improvement in esthetics, function, and comfort.
PMID- 27213779
TI - Use of Narrow-Diameter Implants in Treatment of Severely Atrophic Maxillary
Anterior Region With Implant-Supported Fixed Restorations.
AB - The edentulous anterior atrophic maxilla represents a challenge for the surgeon
and restorative dentist. Soft- and hard-tissue augmentation procedures are often
required prior to, or simultaneously with, implant placement. A well-planned
treatment protocol, patient compliance, and collaboration between the treating
clinicians and the laboratory are requirements in achieving predictable long-term
outcomes that satisfy patient expectations. Avoiding transmucosal loading and
movement of the graft during the healing phase are crucial factors in achieving
lasting success. In this case report, a fixed provisional restoration supported
by four immediately loaded narrow-diameter implants (NDIs) was used to enable
function during healing and protect the grafted site. Two of the NDIs, along with
three conventional-diameter implants, were subsequently used to support the final
restoration. NDIs with diameters of less than 3 mm can achieve excellent long
term osseointegration and may be used together with conventional implants for
definitive prosthodontic treatment as demonstrated by the 11-year follow-up
reported in this case.
PMID- 27213780
TI - Two Similarity Metrics for Medical Subject Headings (MeSH): An Aid to Biomedical
Text Mining and Author Name Disambiguation.
AB - In the present paper, we have created and characterized several similarity
metrics for relating any two Medical Subject Headings (MeSH terms) to each other.
The article-based metric measures the tendency of two MeSH terms to appear in the
MEDLINE record of the same article. The author-based metric measures the tendency
of two MeSH terms to appear in the body of articles written by the same
individual (using the 2009 Author-ity author name disambiguation dataset as a
gold standard). The two metrics are only modestly correlated with each other (r =
0.50), indicating that they capture different aspects of term usage. The article
based metric provides a measure of semantic relatedness, and MeSH term pairs that
co-occur more often than expected by chance may reflect relations between the two
terms. In contrast, the author metric is indicative of how individuals practice
science, and may have value for author name disambiguation and studies of
scientific discovery. We have calculated article metrics for all MeSH terms
appearing in at least 25 articles in MEDLINE (as of 2014) and author metrics for
MeSH terms published as of 2009. The dataset is freely available for download and
can be queried at
http://arrowsmith.psych.uic.edu/arrowsmith_uic/mesh_pair_metrics.html. Handling
editor: Elizabeth Workman, MLIS, PhD.
PMID- 27213781
TI - Comparison of EMG activity on abdominal muscles during plank exercise with
unilateral and bilateral additional isometric hip adduction.
AB - The aim of this study was to investigate the effects of additional isometric hip
adduction during the plank exercise on the abdominal muscles. Twenty healthy
young men participated in this study. Surface electromyography (EMG) was used to
monitor the activity of the bilateral rectus abdominis (RA), the internal oblique
(IO), and the external oblique (EO) muscles. The participants performed three
types of plank exercise; the standard plank exercise, the plank exercise with
bilateral isometric hip adduction, and the plank exercise with unilateral
isometric hip adduction. All abdominal muscle activity was significantly
increased during the plank exercise combined with the bilateral and unilateral
isometric hip adduction compared with the standard plank exercise (p<0.05).
Bilateral IO, EO, and left RA muscle activity was significantly increased during
the unilateral isometric hip adduction compared with the bilateral isometric hip
adduction (p<0.05). These findings suggest that additional isometric hip
adduction during the plank exercise could be a useful method to enhance abdominal
muscle activity. In particular, the unilateral isometric hip adduction is a more
beneficial exercise than the bilateral isometric hip adduction.
PMID- 27213783
TI - Congenital GnRH deficiency: a complex and genetically heterogeneous disease
affecting human fertility and sexual development.
PMID- 27213782
TI - Meta-Analysis of Dengue Severity during Infection by Different Dengue Virus
Serotypes in Primary and Secondary Infections.
AB - INTRODUCTION: Dengue virus (DENV) infection is currently a major cause of
morbidity and mortality in the world; it has become more common and virulent over
the past half-century and has gained much attention. Thus, this review compared
the percentage of severe cases of both primary and secondary infections with
different serotypes of dengue virus. METHODS: Data related to the number of cases
involving dengue fever (DF), dengue hemorrhagic fever (DHF), dengue shock
syndrome (DSS) or severe dengue infections caused by different serotypes of
dengue virus were obtained by using the SCOPUS, the PUBMED and the OVID search
engines with the keywords "(dengue* OR dengue virus*) AND (severe dengue* OR
severity of illness index* OR severity* OR DF* OR DHF* OR DSS*) AND (serotypes*
OR serogroup*)", according to the MESH terms suggested by PUBMED and OVID.
RESULTS: Approximately 31 studies encompassing 15,741 cases reporting on the
dengue serotypes together with their severity were obtained, and meta-analysis
was carried out to analyze the data. This study found that DENV-3 from the
Southeast Asia (SEA) region displayed the greatest percentage of severe cases in
primary infection (95% confidence interval (CI), 31.22-53.67, 9 studies, n = 598,
I2 = 71.53%), whereas DENV-2, DENV-3, and DENV-4 from the SEA region, as well as
DENV-2 and DENV-3 from non-SEA regions, exhibited the greatest percentage of
severe cases in secondary infection (95% CI, 11.64-80.89, 4-14 studies, n = 668
3,149, I2 = 14.77-96.20%). Moreover, DENV-2 and DENV-4 from the SEA region had
been found to be more highly associated with dengue shock syndrome (DSS) (95% CI,
10.47-40.24, 5-8 studies, n = 642-2,530, I2 = 76.93-97.70%), while DENV-3 and
DENV-4 from the SEA region were found to be more highly associated with dengue
hemorrhagic fever (DHF) (95% CI, 31.86-54.58, 9 studies, n = 674-2,278, I2 =
55.74-88.47%), according to the 1997 WHO dengue classification. Finally, DENV-2
and DENV-4 from the SEA region were discovered to be more highly associated with
secondary infection compared to other serotypes (95% CI, 72.01-96.32, 9-12
studies, n = 671-2,863, I2 = 25.01-96.75%). CONCLUSION: This study provides
evidence that the presence of certain serotypes, including primary infection with
DENV-3 from the SEA region and secondary infection with DENV-2, DENV-3, and DENV
4 also from the SEA region, as well as DENV-2 and DENV-3 from non SEA regions,
increased the risk of severe dengue infections. Thus, these serotypes are worthy
of special consideration when making clinical predictions upon the severity of
the infection. SYSTEMATIC REVIEW REGISTRATION: PROSPERO CRD42015026093
(http://www.crd.york.ac.uk/PROSPERO).
PMID- 27213784
TI - Congenital hypogonadotropic hypogonadism: implications of absent mini-puberty.
AB - The phenomenon known as "mini-puberty" refers to activation of the neonatal
hypothalamo-pituitary axis causing serum concentrations of gonadotrophins and
testosterone (T) to approach adult male levels. This early neonatal period is a
key proliferative window for testicular germ cells and immature Sertoli cells.
Although failure to spontaneously initiate (adolescent) puberty is the most
evident consequence of a defective gonadotropin-releasing hormone (GnRH)
neurosecretory network, absent mini-puberty is also likely to have a major impact
on the reproductive phenotype of men with congenital hypogonadotrophic
hypogonadism (CHH). Furthermore, the phase of male mini-puberty represents a key
window-of-opportunity to identify congenital GnRH deficiency (either isolated
CHH, or as part of combined pituitary hormone deficiency) in childhood. Among
male neonates exhibiting "red flag" indicators for CHH (i.e. maldescended testes
with or without cryptorchidism) a single serum sample (between 4-8 weeks of life)
can pinpoint congenital GnRH deficiency far more rapidly and with much greater
accuracy than dynamic tests performed in later childhood or adolescence.
Potential consequences for missing absent mini-puberty in a male neonate include
the lack of monitoring of pubertal progression/lack of progression, and the
missed opportunity for early therapeutic intervention. This article will review
our current understanding of the mechanisms and clinical consequences of mini
puberty. Furthermore, evidence for the optimal clinical management of patients
with absent mini-puberty will be discussed.
PMID- 27213785
TI - Early Versus Late Cholecystectomy After Clearance of Common Bile Duct Stones by
Endoscopic Retrograde Cholangiopancreatography: A Prospective Randomized Study.
AB - INTRODUCTION: The time interval between endoscopic retrograde
cholangiopancreatography (ERCP) and laparoscopic cholecystectomy (LC) is a matter
of debate. This study was planned to compare early LC versus late LC. PATIENTS
AND METHODS: This is a prospective randomized study on patients who are presented
with concomitant gallbladder and common bile duct stone. The study population was
divided into two groups; group (A) managed by early LC within three days after
ERCP; and group (B) managed by late LC one month after ERCP. RESULTS: No
significant difference between both groups as regards the conversion rate, the
degree of adhesion, cystic duct diameter, and intraoperative common bile duct
injury or bleeding. Recurrent biliary symptoms were significantly more in delayed
LC group in 7 (12.71%) patient versus 1 patient in early LC (P=0.03).
CONCLUSIONS: No significant difference between both groups as regards the
conversion rate. Recurrent biliary symptoms were significantly more in delayed LC
while waiting LC. Morbidity was significantly more in delayed LC.
PMID- 27213786
TI - A Pooled Analysis of Robotic Versus Laparoscopic Surgery for Total Mesorectal
Excision for Rectal Cancer.
AB - OBJECTIVE: We conducted the meta-analysis to evaluate the safety and efficacy of
robotic total mesorectal excision (RTME) compared with laparoscopic total
mesorectal excision (LTME) in treatment of rectal cancer. MATERIALS AND METHODS:
A systematic search of Medline, Embase databases, and the Cochrane Library was
performed to identify studies that compared RTME versus LTME for rectal cancer
and were published up to July 2014. The methodological quality of the selected
studies was assessed. Depending on statistical heterogeneity, the fixed or random
effect model was used for the meta-analysis. Outcomes of interest and related
outcomes were evaluated. RESULTS: Eight studies were included in the meta
analysis. These studies involved a total of 1229 patients, 554 of whom underwent
RTME and 675 of whom underwent LTME. The meta-analysis showed that RTME had lower
conversion rate and positive rate of circumferential resection margins, and
lesser incidence of erectile dysfunction. CONCLUSIONS: Our study suggests that
RTME for rectal cancer appears to be a safe, feasible, and minimally invasive
alternative to its laparoscopic counterpart. But the long-term outcomes between
the 2 techniques need to be further examined.
PMID- 27213788
TI - Is There a Cosmetic Advantage to Single-Incision Laparoscopic Surgical Techniques
Over Standard Laparoscopic Surgery? A Systematic Review and Meta-analysis.
AB - Single-incision laparoscopic surgery represents an evolution of minimally
invasive techniques, but has been a controversial development. A cosmetic
advantage is stated by many authors, but has not been found to be universally
present or even of considerable importance by patients. This systematic review
and meta-analysis demonstrates that there is a cosmetic advantage of the
technique regardless of the operation type. The treatment effect in terms of
cosmetic improvement is of the order of 0.63.
PMID- 27213787
TI - Comparison of Endoscopic Mucosal Resection With Circumferential Incision and
Endoscopic Submucosal Dissection for Rectal Carcinoid Tumor.
AB - BACKGROUND AND AIMS: Endoscopic submucosal dissection (ESD) has been a valuable
treatment of choice for rectal carcinoid tumors that are endoscopically treatable
because of its satisfactory resection rate and low recurrence rate. For treatment
of small rectal carcinoids, endoscopic mucosal resection (EMR) is more often
chosen by endoscopists because of its safety and time efficiency. We applied
circumferential incision and endoscopic mucosal resection (CI-EMR), which is a
modification of EMR, to treat rectal carcinoid tumors and compared their efficacy
and safety. METHODS: Between January 2008 and December 2013, we enrolled 66
patients (30 in the ESD group and 36 in the CI-EMR group) at Shengjing Hospital
who were pathologically diagnosed with rectal carcinoid tumors <15 mm in
diameter. We retrospectively analyzed en bloc resection rates, pathologic
complete resection rates, incidences of complications, follow-up outcomes, and
procedure times. RESULTS: The en bloc resection rate and the histologic complete
resection rate were similar, but the procedure time was longer in the ESD group
than in the CI-EMR group. In cases that were incompletely resected, neither local
recurrence nor distant metastasis was detected during follow-up. Similarly minor
bleeding occurred in both groups, and no perforation occurred after either
procedure. CONCLUSIONS: CI-EMR showed comparable en bloc resection and
histologically complete resection rates and is technically simpler and minimally
invasive compared with ESD. Because of its easier performance and shorter
procedure time, CI-EMR may be preferable to ESD for resection of rectal carcinoid
tumors <15 mm in diameter without invasion or distant metastases.
PMID- 27213789
TI - Laparoscopic Adjustable Gastric Banding (LAGB) Plus Anterior Fundoplication
Versus LAGB Alone: A Prospective Comparative Study.
AB - BACKGROUND: Laparoscopic adjustable gastric banding (LAGB) is a well-established
and effective bariatric procedure, whose outcomes can be compromised by the
slippage and the following removal of the band; the association between LAGB and
gastric plication has recently been proved as a successful treatment for morbid
obesity. The aim of this prospective randomized study was to compare weight loss
and slippage rate between LAGB and LAGB plus anterior fundoplication. METHODS:
From January 2012 to May 2012, a total of 40 patients were allocated to undergo
either LAGB (group A, n=20) or LAGB plus anterior fundoplication (group B, n=20).
Data on the operative time, complications, hospital stay, total weight loss
percentage (%TWL), percentage of excess weight loss (%EWL), and improvement of
comorbidities were collected at 6, 12, and 36 months of follow-up. RESULTS: All
procedures were completed laparoscopically, and no significant difference of mean
operative time was found between the 2 groups. The mean hospital stay was longer
in group B than in group A (2.3+/-0.8 vs. 1.4+/-0.7 d, P<0.005). Greater weight
loss was found in group B rather than in group A at 6, 12, and 36 months. Obesity
related comorbidities improved in both groups. Number of band regulations and
slippage rate were lower in group B. CONCLUSIONS: Our experience shows that 36
months after surgery LAGB plus anterior fundoplication increases weight loss and
reduces the slippage rate.
PMID- 27213790
TI - [Size-based classification of choroidal melanoma and its role in treatment
decision-making].
AB - AIM: To specify indications for brachytherapy (BT) in large choroidal melanoma
(CM) so that tumor size and vital prognosis were considered. MATERIAL AND
METHODS: We retrospectively analyzed data from 161 CM patients who were treated
with BT and followed-up at either the Ophthalmological Clinical Hospital or some
other Moscow medical facility and also registered by the City Cancer Registry.
RESULTS: Patient age at the time of starting the treatment lied within the range
of 17 to 84 years and averaged 56.89+/-1.93 years. During the follow-up period
(12-275 months, 95.65+/-8.4 months on average) hematogenous metastases were found
in 23 (14.29%) patients. Liver involvement was diagnosed in 8 patients within the
average of 23.13 months after treatment. Their average survival time was 11
months. A total of 142 patients were followed up for more than 36 months (104.87
months on average). Of them, 15 patients were diagnosed with metastatic CM within
37-167 months after BT (80.27 months on average). Despite metastatic disease they
generally survived 2.8 time longer than the aforementioned patients (30.8
months). The cases were then divided into 3 groups according to J. Shields
classification of CM. Small melanoma patients did not develop metastases within
99.96+/-12.47 months of follow-up. In medium melanomas, as many as 13.35% of
cases were metastatic (with the average survival time of 20.66 months); in large
melanomas - 19.51% (with the average survival time of 13.5 months). CONCLUSION:
Treatment modality and follow-up periods being the same (7-8 years after BT),
larger choroidal melanomas has been shown to be associated with higher risk of
hematogenous metastases. For local treatment to be successive, the maximal
diameter of the tumor should not exceed 10 mm. Every fifth patient of those with
CM larger than 15 mm is likely to develop hematogenous metastases. The results
obtained indicate the necessity of decreasing the size thresholds for choroidal
melanomas, small and medium in the first place.
PMID- 27213791
TI - [Cytokine gene polymorphisms in patients with age-related macular degeneration].
AB - AIM: To establish possible association between age-related macular degeneration
(AMD) and cytokine genotype polymorphisms; for that promoter regions of a number
of cytokine genes were studied, namely, TNF-A863C, TNF-A308G, TNF-A238G, IL1beta
C-31T, IL4-C590T, IL6-C174G, and IL10A-1082G. MATERIAL AND METHODS: A total of
102 AMD and 100 non-AMD participants in the same age range were examined at the
Novosibirsk branch of the Academician S.N. Fyodorov IRTC "Eye Microsurgery". In
all cases restriction fragment length polymorphism analysis was performed.
RESULTS: The frequency of TNF-308 GA and IL10-1082 GG genotypes was higher in AMD
patients than in the controls, while the TNF-308 AA minor genotype has proved
protective against the disease. Moreover, AMD has been found to be positively
related to 48 genotypic combinations and negatively - to 32 (with specificity as
high as 98-99%). The odds ratio was also higher in combination analysis as
compared to monogenotypes. CONCLUSION: Complex genetic analysis of cytokines
gives an idea of the balance of proinflammatory and anti-inflammatory cytokines
in AMD and, therefore, plays an important role in studying the disease
pathogenesis and exploring therapeutic options.
PMID- 27213793
TI - [Foveolar effects of dexamethasone intravitreal implant in central retinal vein
occlusion].
AB - AIM: To evaluate functional and morphometric parameters of the central retina in
patients with postocclusive macular edema treated with dexamethasone intravitreal
implant injection. MATERIAL AND METHODS: We examined 5 patients (5 eyes) with
newly diagnosed central retinal vein occlusion complicated by macular edema,
including 4 men and 1 woman aged 55.8+/-3.65 years (experimental group). All the
patients received a single injection of dexamethasone intravitreal implant. The
maximum follow-up period was 12 months. The control group consisted of 5
presbiopic patients (10 eyes) aged 59.14+/-3.14 years. RESULTS: One month after
injection, the best corrected visual acuity (BCVA) and central retinal light
sensitivity improved (from 0.09+/-0.03 to 0.19+/-0.05 and from 3.18+/-0.19 to
11.07+/-0.97 dB, correspondingly), while foveolar thickness decreased from
425.36+/-57.87 to 273.75+/-36.65 um. One year after the treatment, BCVA remained
high and averaged 0.21+/-0.14. The total light sensitivity also remained higher
than that at baseline, however, decreased down to 4.8+/-0.76 dB. Optical
coherence tomography showed some flatness of the fovea. Foveolar thickness
appeared 1.5 times higher than that in the control group and 1.2 times higher
than that at the 1-month follow-up after dexamethasone intravitreal implant
injection. Over the whole follow-up period, IOP has never significantly exceeded
the baseline, optical media remained clear. CONCLUSION: 1. Dexamethasone
intravitreal implant has been shown effective in resolving postocclusive macular
edema, improving visual functions, and increasing central retinal light
sensitivity within the first month after injection. 2. Positive changes in
morphometric parameters of the central retina induced by the injection involve
inner segments of photoreceptors as well as the outer nuclear, outer plexiform
and inner nuclear layers. The morphofunctional effect persists for no less than
12 months after injection. 3. Over the 1-year follow-up period, there has been no
negative influence of the implant on either intraocular pressure level, or lens
transparency.
PMID- 27213792
TI - [Functional outcomes of idiopathic macular hole surgeries].
AB - AIM: To study the dynamics of visual status and its correlation with
morphological changes in patients operated for idiopathic macular hole (IMH).
MATERIAL AND METHODS: The study enrolled 78 patients (82 eyes) whose ocular media
remained transparent throughout the whole follow-up period that started 1 month
after a successful IMH surgery and lasted for no less than a year. Two equivalent
subgroups, 29 patients (30 eyes) each, were then formed and designated as
"transparent media" (pseudophakic patients or those who remained free of cataract
over the follow-up period) and "comparison". RESULTS: Only patients from the
"transparent media" subgroup have consistently improved their visual functions by
the end of the first year after surgery. Positive correlation has been found
between an increase in best corrected visual acuity (BCVA) and a decrease in the
size of the hyporeflective defect within the so called ellipsoid zone
(correlation coefficient r=-0.50, p<0.01). The correlation was the strongest at
months 3 and 12 (r=-0.57, p<0.01). It was also noticed that the lower BCVA at
baseline the greater the treatment effect (ETDRS chart; r=-0.93, p<0.000). As a
result, at the end of the follow-up period, BCVA varied little, reaching 0.5--1.0
in 76 cases and 0.3--0.4 in the remaining 6 cases. CONCLUSION: Modern IMH surgery
ensures restoration of the outer retinal structure and high visual functions in
most patients over a long term (more than a year of follow-up) on the only
condition of transparent ocular media. Thus, the prediction of postoperative VA
is of low significance in these patients.
PMID- 27213795
TI - [Centrally acting cholinomimetics in the complex therapy of progressive
glaucomatous optic neuropathy].
AB - Many factors exist that are associated with higher risk of glaucoma progression.
Arterial hypotension, low perfusion pressure, vasospastic syndrome, diabetes
mellitus, myopia, etc. increase the need for neuroprotective therapy, which is
aimed at stabilizing the pathological process and creating favorable conditions
for maintaining visual functions. The aim of this study was to assess the
therapeutic efficacy of Gliatilin as part of the complex treatment of progressive
glaucomatous optic neuropathy. MATERIAL AND METHODS: A total of 240 patients were
randomly selected and divided into 2 groups, 120 patients each. Both groups were
matched for age, somatic comorbidity, and the gravity of the glaucomatous
process. Patient age averaged 71.3+/-1.6 years. Advanced glaucoma prevailed in
both groups: 70.0 and 76.6% correspondingly. Neuroprotective therapy included
drugs from different pharmacological classes so that different aspects of
pathogenesis were addressed. Apart from that, patients from Group I first
received intravenous Gliatilin (1000 mg/4ml, 12--15 doses) and then switched to
oral (1 capsule b.i.d. for 4 months). All patients underwent standard ophthalmic
examination and static perimetry. RESULTS: No adverse effects were observed over
the first two weeks of Gliatilin course, during which the patients stayed in the
hospital. IOP level was normal and stable. Although neuroprotective therapy does
not directly affect IOP, stability of the latter describes the dynamics of the
glaucomatous process. When assessing changes in visual functions, particular
attention was paid to the central visual field, foveolar and total light
sensitivity, peripheral visual field, and MD and PSD indices. All mean values
showed a tendency toward improvement, more pronounced in the Gliatilin group.
CONCLUSION: A complex therapy cannot be limited to a single drug only, and to
make better decisions, one should consider not only ocular, but also general
condition of the patient. Adjuvant Gliatilin in the complex therapy of
progressive glaucoma is appropriate and efficient, especially in case of systemic
atherosclerosis and cerebrovascular insufficiency. The frequency of stabilization
therapy depends on the efficacy of the latest course and clinical manifestations
of the glaucomatous process.
PMID- 27213794
TI - [Gold weight implants for lagophthalmos correction in chronic facial nerve
paralysis (late results)].
AB - Upper eyelid weigh gold implant is the widely accepted standard for the treatment
of paralytic lagophthalmos (PL). AIM: To evaluate late outcomes of PL correction
with chain gold implants. MATERIAL AND METHODS: Chain gold implants were inserted
in the upper eyelids of 70 patients with lagophthalmos due to chronic facial
paralysis. A comprehensive ophthalmic examination was performed prior to surgery
and then at months 1, 3, 6, 12, 24, and 36. RESULTS: The results obtained prove
the method highly effective. None of the patients developed any severe
complications. Cases of implant removal were few. Some of the studied biometric
parameters decreased significantly after surgery, while the upper eyelid
excursion increased. The implant had no effect on the inner surface of the cornea
and its peripheral thickness. CONCLUSION: Efficacy of the proposed eyelid implant
has been convincingly demonstrated; late complications have been analyzed.
PMID- 27213796
TI - [Comparative evaluation of different corneal cross-linking techniques with
respect to biomechanical stability of the cornea].
AB - AIM: To perform a comparative analysis of the following cross-linking techniques:
standard cross-linking (SCXL), local transepithelial femto cross-linking (LTF)
and transepithelial intrastromal femto cross-linking with MyoRing implantation
(TIF+MyoRing) in experimental animals and patients with progressive stage II--III
keratoconus (KC), paying particular attention to the changes in biomechanical
stability of the cornea. MATERIAL AND METHODS: The experimental series was
performed on 20 eyes of 10 rabbits. The animals were divided into 4 groups of 5.
Group 1 served as the control, group 2 consisted of animals that underwent SCXL,
group 3 -- of those after LTF, and group 4 -- of those after TIF+MyoRing. Femto
step was performed using the IntraLase FS 60 kHz femtosecond laser, cross-linking
- with the Evolution machine. The follow-up period was 1 month. Clinical study
enrolled 48 patients (45 eyes) with stage II-III KC. Depending on the surgical
technique all the patients were divided into 3 groups. In group I (14 eyes, 9
patients), TIF+MyoRing was performed, in group II (16 eyes, 20 patients) --SCXL,
in group III (15 eyes, 19 patients) -- LTF. The follow-up period was 6 months.
RESULTS: The experiment showed a significant increase in rupture resistance of
the cornea in all three groups. Six months after surgery, patients from group I
demonstrated a 1.0+/-0.22 mmHg higher corneal resistance factor (CRF) and a 1.0+/
0.12 mmHg higher corneal hysteresis (CH). In group II, the said parameters
increased by 0.8+/-0.10 mmHg and 0.6+/-0.16 mmHg, respectively; in group III --
by 0.8+/-0.25 mmHg and 0.6+/-0.26 mmHg, respectively. In neither group the
density of endothelial cells has reduced significantly over the follow-up period.
C-ONCLUSION: All three methods (LTF, TIF and SCXL) equally increase the
biomechanical strength of the cornea and are safe. In patients with progressive
stage II-III KC, TIF+MyoRing surgery has yielded an even higher improvement of
biomechanical parameters in a 6-month follow-up period, which is due to the
combination of mechanical and chemical mechanisms of corneal reinforcement.
PMID- 27213797
TI - [Significance of laser confocal tomography in diagnosis and monitoring of
keratoconjunctivitis sicca].
AB - Laser confocal tomography of the cornea enables studying ultrathin sections of
corneal layers, which provides additional reliable information on tissue changes
in keratoconjunctivitis sicca (KCS). AIM: To assess the significance of laser
confocal tomography of the cornea in the diagnosis and monitoring of KCS.
MATERIAL AND METHODS: We investigated 38 eyes of 30 patients with severe KCS. The
patients were divided into two groups. Group 1 (15 patients, 19 eyes) was
prescribed cyclosporine A 0.05% instillations 2 times daily, artificial tears,
and soft contact lenses. Group 2 (15 patients, 19 eyes) received only
instillations of cyclosporine A 0.05% 2 times daily and artificial tears. Besides
standard ophthalmic examination, additional tests were performed, namely
Schirmer's test, tear break-up time test, fluorescein eye stain test, tear
osmolarity test (TearLab System, USA), and Heidelberg retinal tomography of the
cornea (HRT, Heidelberg Engineering GmbH, Germany). RESULTS: HRT findings
revealed a 3 times shorter epithelization period and faster recovery of corneal
transparency in group 1 as compared to group 2 (1.5 and 4.5 months,
respectively). There was also an evident reduction in the number of immune cells
in the cornea, most pronounced in group 1 at 3 months, which is indicative of
inflammation termination. CONCLUSION: The use of HRT of the cornea in KCS
patients allows real-time cellular level observation of corneal changes, which
together with clinical findings and diagnostic tests not only confirms the
diagnosis but also determines treatment effectiveness. It has been also found
that soft contact lenses accelerate epithelization of the cornea and relieve
inflammation of the ocular surface in KCS patients under cyclosporine A 0.05%
instillation therapy. Transparency of financial activity: the authors have no
financial interest in the submitted materials and methods.
PMID- 27213798
TI - [Assessing resveratrol effect on ocular blood flow in experiment].
AB - AIM: To study the effect of resveratrol on ocular blood flow in vivo in healthy
rats and those that underwent retinal ischemia/reperfusion. MATERIAL AND METHODS:
The experimental study was performed on 40 Wistar rats (40 eyes). For ocular
blood flow evaluation, color Doppler imaging (CDI), power Doppler (PD), and
pulsed-wave spectral Doppler ultrasonography were performed using the Voluson E8
Expert ultrasonic diagnostic system (GE Healthcare). All rats were given
resveratrol per os for 2 months of the study. Retinal ischemia/reperfusion injury
was induced by a subconjunctival injection of endothelin-1. The control group
included 10 intact animals. RESULTS: Signs of ischemic damage of the anterior and
posterior eye segments were less pronounced in rats that were given resveratrol
during both pre-ischemic (30 days) and post-ischemic (30 days) periods of follow
up. There was also a statistically significant increase in the peak systolic and
end diastolic velocity of blood flow as well as a decrease in the resistive index
of retrobulbar arteries in those rats that underwent ischemia/reperfusion as
compared to the controls. CONCLUSION: Long-term resveratrol use (2 months) has
proved effective in improving ocular blood flow in a rat model of retinal
ischemia/reperfusion injury.
PMID- 27213799
TI - [Surgical treatment of mature intumescent cataract].
AB - AIM: To improve phacoemulsification technique of mature intumescent cataract and
to study mechanisms of possible uncontrolled tearing of the anterior lens capsule
during creation of the anterior capsulorhexis. MATERIAL AND METHODS: Two groups
were formed. Group 1 consisted of 52 patients with mature intumescent cataract,
in whom a two-stage capsulorhexis was performed with lenticular masses removed
from the anterior and posterior capsular bag compartments in between the two
stages. Group 2 consisted of 55 patients with mature intumescent cataract, whose
capsulorhexis was standard. RESULTS: We have specified appropriate methods for
diagnosing intumescent cataract and thoroughly investigated the mechanism of
uncontrolled tearing of the anterior capsule that can occur during creation of
the anterior capsulorhexis. Five structural variants of the swollen lens have
been described. As to surgical complications, there were 2 cases (3.8%) of small
anterior capsulorhexis tearing in group 1 that were managed by converting the
capsulorhexis into the a bigger one and, thus, did not affect surgical outcomes.
In group 2, there were 7 cases of uncontrolled tearing of the anterior capsule
during creation of the anterior capsulorhexis, in 4 of them (7,3%) the posterior
capsule got also involved. CONCLUSION: 1. It has been found that on ultrasound
biomicroscopy, mature intumescent cataract is notable for a 10--15 degrees wider
equatorial angle as compared to the fellow eye, which can be regarded as
spherophakia. 2. Five different structural variants of the swollen opaque lens
have been described. 3. The mechanism of uncontrolled tearing of the anterior
capsule during creation of the anterior capsulorhexis has been studied and the
expediency of two-stage capsulorhexis with lenticular mass removal from capsular
bag compartments proved.
PMID- 27213800
TI - [Temporary biokeratoprostheses in total destruction of the cornea].
AB - The paper presents an original method for temporary biokeratoprothetics in total
destruction of the cornea, which consists of the following. In the operating room
a 12-mm disc is cut out of patient's conchal cartilage by a trephine and then
thinned down to 1 mm with a blade. The prepared autograft is placed in front of
the iris completely overlapping corneal defect and sutured to the sclera with 10-
12 U-shaped interrupted stitches using a 7/0 suture. Between the stitches 0.2-
0.3 ml of viscoelastic are injected into the anterior chamber. Temporary
blepharorrhaphy is done within the temporal one-third of the eyelids with a U
shaped suture 6/0. The authors present an own clinical observation of patient D.,
aged 46, with purulent corneal ulcer and total destruction of the cornea. In
ophthalmic emergency, if no donor cornea is available, the described method
allows to save the eyeball from its anatomical and functional loss. Further
routine keratoplasty or optical keratoprosthetics may bring some functional
improvement.
PMID- 27213801
TI - [Analysis of choline alfoscerate effectiveness in chronic ocular ischemic
syndrome].
AB - AIM: To investigate the effectiveness of choline alphoscerate in patients with
chronic ocular ischemic syndrome (OIS) and coexisting cerebrovascular disease.
MATERIAL AND METHODS: We performed a comprehensive examination of 51 patients
aged 46--72 years (57.8+/-6.82 years on average) and diagnosed with OIS. Patients
were divided into two groups. In group 1 (main group, 26 patients) the standard
therapy was supplemented with choline alphoscerate. Group 2 (controls, 25
patients) received the standard therapy only. RESULTS: Clinical and functional
examinations revealed a more rapid and stable improvement of visual acuity in the
choline alphoscerate group. CONCLUSION: Development and application of an
adequate combination therapy for patients with ocular ischemic syndrome has
yielded an increase in visual acuity, visual fields, and the mean light
sensitivity of the retina as well as an improvement of ocular hemodynamics.
PMID- 27213802
TI - [Extraocular muscles involvement in patients with thyroid-associated
ophthalmopathy].
AB - AIM: To study morphological features of extraocular muscles in patients with
endocrine myopathy taking into account the duration of the disease. MATERIAL AND
METHODS: A total of 16 patients with thyroid eye disease were included in the
study. After a routine ophthalmic examination, they all underwent extraocular
muscle surgery and orbital decompression. The biopsy material obtained at surgery
was studied histopathologically. RESULTS: Particular morphological changes have
been found in muscles of endocrine myopathy patients. Their relationship to
disease duration has been also established. CONCLUSION: Considering endocrine
related morphological changes in extraocular muscles, the favorable period for
surgical correction has been defined.
PMID- 27213803
TI - [Combination surgery for wet age-related macular degeneration and chronic
peripheral uveitis].
AB - AIM: To develop a combination surgery for wet age-related macular degeneration
and concurrent chronic peripheral uveitis that would include intravitreal
injection of Lucentis and cryocerclage of the peripheral retina. MATERIAL AND
METHODS: A total of 75 patients were examined and divided into 2 groups: the main
group (37 patients) and the controls (38 patients). Patients from the main group
underwent the new combination surgery, while the controls received intravitreal
Lucentis alone (peripheral uveitis was managed therapeutically). RESULTS: It has
been found that the new combination method provides a significant and stable
improvement in visual acuity (by a factor of 10) and a decrease in the area of
central scotoma (by a factor of 2.95) in the postoperative period. The period
needed for recovery in the central retinal thickness is also 1.6 times shorter.
CONCLUSION: The new combination surgery for wet age-related macular degeneration
and concurrent chronic peripheral uveitis provides rapid reduction of
inflammation in the extreme periphery of the fundus and a 1.5 times faster (as
compared to traditional methods) primary restoration of topographic anatomy of
the retina in the macular region.
PMID- 27213805
TI - Intracranial Pressure Increases During Rewarming Period After Mild Therapeutic
Hypothermia in Postcardiac Arrest Patients.
AB - Elevation of intracranial pressure (ICP) may worsen brain injury and neurological
outcome. Studies on the use of therapeutic hypothermia (TH) for traumatic brain
injury suggests that rapid rewarming from TH is associated with elevated ICP and
poorer outcomes. However, few studies describe the time course of ICP changes
during TH/rewarming after cardiac arrest (CA). In this study, we observed the
changes in ICP during mild TH and rewarming after CA. Secondarily, we examined
whether ICP is related to outcome. We studied comatose patients resuscitated from
CA, who were treated with TH and who had ICP monitored. Target core temperature
was 34 degrees C for 24 h and target rewarming rate was 0.25 degrees C/h. ICP and
cerebral perfusion pressure (CPP) were monitored during the period. Outcome was
rated as cerebral performance category. In nine patients, ICP increased during TH
and rewarming (6.0 [4.0-9.0] mmHg to 16.0 [12.0-26.0] mmHg, p = 0.008). CPP did
not change during the period (83.3 [80.1-91.0] mmHg to 74.3 [52.0-87.3] mmHg).
Higher ICP was associated with worse outcomes (p = 0.009). All the cases with ICP
>25 mmHg or CPP <40 mmHg died. Major ICP increment was observed during the
rewarming period, although, some increase of ICP occurred even during the mild
TH. ICP increment was higher in patients with worse outcomes.
PMID- 27213804
TI - Exome sequencing of extreme clopidogrel response phenotypes identifies B4GALT2 as
a determinant of on-treatment platelet reactivity.
AB - Interindividual variability in platelet aggregation is common among patients
treated with clopidogrel and both high on-treatment platelet reactivity (HTPR)
and low on-treatment platelet reactivity (LTPR) increase risks for adverse
clinical outcomes. CYP2C19 influences clopidogrel response but only accounts for
~12% of the variability in platelet reactivity. To identify novel variants
implicated in on-treatment platelet reactivity, patients with coronary artery
disease (CAD) with extreme pharmacodynamic responses to clopidogrel and wild-type
CYP2C19 were subjected to exome sequencing. Candidate variants that clustered in
the LTPR subgroup subsequently were genotyped across the discovery cohort (n =
636). Importantly, carriers of B4GALT2 c.909C>T had lower on-treatment P2Y12
reaction units (PRUs; P = 0.0077) and residual platelet aggregation (P = 0.0008)
compared with noncarriers, which remained significant after adjusting for CYP2C19
and other clinical variables in both the discovery (P = 0.0298) and replication
(n = 160; PRU: P = 0.0001) cohorts. B4GALT2 is a platelet-expressed
galactosyltransferase, indicating that B4GALT2 c.909C>T may influence clopidogrel
sensitivity through atypical cell-surface glycoprotein processing and platelet
adhesion.
PMID- 27213806
TI - I Wish Someone Had Told Us the Risks and Benefits of Replacing My Father's
Defibrillator.
PMID- 27213808
TI - Design and fabrication of an in situ gamma radioactivity measurement system for
marine environment and its calibration with Monte Carlo method.
AB - Simulation, design and fabrication of a sealing enclosure is carried out for a
NaI(Tl) 2"*2" detector, to be used as in situ gamma radioactivity measurement
system in marine environment. Effect of sealing enclosure on performance of the
system in laboratory and marine environment (distinct tank with 10m(3) volume)
were studied using point sources. The marine volumetric efficiency for radiation
with 1461keV energy (from (40)K) is measured with KCl volumetric liquid source
diluted in distinct tank. The experimental and simulated efficiency values agreed
well. Marine volumetric efficiency calibration curve is calculated for 60keV to
1461keV energy with Monte Carlo method. This curve indicates that efficiency
increasing rapidly up to 140.5keV but then drops exponentially.
PMID- 27213807
TI - In situ gamma-ray spectrometry in the marine environment using full spectrum
analysis for natural radionuclides.
AB - The Full Spectrum Analysis approach was applied to obtain activity concentration
estimations for in situ measurements in the marine environment. The 'standard
spectra' were reproduced using the MCNP-CP code. In order to extract the activity
concentrations, chi(2) minimization calculations were performed by implementing
the MINUIT code. The method was applied to estimate the activity concentrations
for measurements in the marine environment in three different test cases. The
estimated activity concentrations were in good agreement with the experimentally
derived ones within uncertainties.
PMID- 27213809
TI - Performance of the MTR core with MOX fuel using the MCNP4C2 code.
AB - The MCNP4C2 code was used to simulate the MTR-22 MW research reactor and perform
the neutronic analysis for a new fuel namely: a MOX (U3O8&PuO2) fuel dispersed in
an Al matrix for One Neutronic Trap (ONT) and Three Neutronic Traps (TNTs) in its
core. Its new characteristics were compared to its original characteristics based
on the U3O8-Al fuel. Experimental data for the neutronic parameters including
criticality relative to the MTR-22 MW reactor for the original U3O8-Al fuel at
nominal power were used to validate the calculated values and were found
acceptable. The achieved results seem to confirm that the use of MOX fuel in the
MTR-22 MW will not degrade the safe operational conditions of the reactor. In
addition, the use of MOX fuel in the MTR-22 MW core leads to reduce the uranium
fuel enrichment with (235)U and the amount of loaded (235)U in the core by about
34.84% and 15.21% for the ONT and TNTs cases, respectively.
PMID- 27213811
TI - Establishment of a Conditionally Immortalized Wilms Tumor Cell Line with a
Homozygous WT1 Deletion within a Heterozygous 11p13 Deletion and UPD Limited to
11p15.
AB - We describe a stromal predominant Wilms tumor with focal anaplasia and a complex,
tumor specific chromosome 11 aberration: a homozygous deletion of the entire WT1
gene within a heterozygous 11p13 deletion and an additional region of uniparental
disomy (UPD) limited to 11p15.5-p15.2 including the IGF2 gene. The tumor carried
a heterozygous p.T41A mutation in CTNNB1. Cells established from the tumor
carried the same chromosome 11 aberration, but a different, homozygous p.S45Delta
CTNNB1 mutation. Uniparental disomy (UPD) 3p21.3pter lead to the homozygous
CTNNB1 mutation. The tumor cell line was immortalized using the catalytic subunit
of human telomerase (hTERT) in conjunction with a novel thermolabile mutant
(U19dl89-97tsA58) of SV40 large T antigen (LT). This cell line is cytogenetically
stable and can be grown indefinitely representing a valuable tool to study the
effect of a complete lack of WT1 in tumor cells. The origin/fate of Wilms tumors
with WT1 mutations is currently poorly defined. Here we studied the expression of
several genes expressed in early kidney development, e.g. FOXD1, PAX3, SIX1,
OSR1, OSR2 and MEIS1 and show that these are expressed at similar levels in the
parental and the immortalized Wilms10 cells. In addition the limited potential
for muscle/ osteogenic/ adipogenic differentiation similar to all other WT1
mutant cell lines is also observed in the Wilms10 tumor cell line and this is
retained in the immortalized cells. In summary these Wilms10 cells are a valuable
model system for functional studies of WT1 mutant cells.
PMID- 27213812
TI - Near-Bottom Hypoxia Impacts Dynamics of Bacterioplankton Assemblage throughout
Water Column of the Gulf of Finland (Baltic Sea).
AB - Over the past century the spread of hypoxia in the Baltic Sea has been drastic,
reaching its 'arm' into the easternmost sub-basin, the Gulf of Finland. The
hydrographic and climatological properties of the gulf offer a broad suite of
discrete niches for microbial communities. The current study explores
spatiotemporal dynamics of bacterioplankton community in the Gulf of Finland
using massively parallel sequencing of 16S rRNA fragments obtained by amplifying
community DNA from spring to autumn period. The presence of redoxcline and
drastic seasonal changes make spatiotemporal dynamics of bacterioplankton
community composition (BCC) and abundances in such estuary remarkably complex. To
the best of our knowledge, this is the first study that analyses spatiotemporal
dynamics of BCC in relation to phytoplankton bloom throughout the water column
(and redoxcline), not only at the surface layer. We conclude that capability to
survive (or benefit from) shifts between oxic and hypoxic conditions is vital
adaptation for bacteria to thrive in such environments. Our results contribute to
the understanding of emerging patterns in BCCs that occupy hydrographically
similar estuaries dispersed all over the world, and we suggest the presence of a
global redox- and salinity-driven metacommunity. These results have important
implications for understanding long-term ecological and biogeochemical impacts of
hypoxia expansion in the Baltic Sea (and similar ecosystems), as well as global
biogeography of bacteria specialized inhabiting similar ecosystems.
PMID- 27213813
TI - A mutated cholera toxin without the ADP-ribosyltransferase activity induces
cytokine production and inhibits apoptosis of splenocytes in mice possibly via
toll-like receptor-4 signaling.
AB - Native cholera toxin (CT) and its mutated form (CT-2*) without ADP
ribosyltransferase activity differ in their immunomodulatory effects on host
cells, and the mechanisms of these differences are poorly understood. In this
study, we demonstrated that CT-2* induced higher levels of cytokine production
and down-regulated ex-vivo apoptosis of splenocytes from C57BL/6 mice. After
exposure of the splenocytes ex-vivo to CT or CT-2* (2MUg/ml) for 48h, CT-2*
stimulated expression of the toll-like receptor (TLR-4) gene was much higher and
the cells produced increased levels of interleukin (IL)-12, interferon (IFN)
gamma, and tumor necrosis factor (TNF)-alpha, compared to splenocytes of mice
exposed to native CT. We confirmed these findings by observing that CT-2*,
induced much lower levels of IL-12, IFN-gamma, and TNF-alpha in a TLR-4 knockout
macrophage cell line derived from C57BL/6 mice. In addition, while CT is known to
stimulate apoptosis in splenocytes, we observed that CT-2* significantly down
regulated apoptosis (4.2%), compared to splenocytes exposed to CT (18.7%) or PBS
(negative control, 8.5%). On the contrary, we noted both native CT and CT-2* to
exhibit similar levels of apoptosis in TLR-4(-/-) cell line. Overall, the
evidence supports the conclusion that CT-2* modulated cytokine production and
apoptosis in splenocytes of mice possibly through the TLR-4 signaling pathway.
PMID- 27213814
TI - VNAR single-domain antibodies specific for BAFF inhibit B cell development by
molecular mimicry.
AB - B cell-activating factor (BAFF) plays a dominant role in the B cell homeostasis.
However, excessive BAFF promotes the development of autoreactive B-cells and
several antibodies have been developed to block its activity. Bispecific
antibodies with added functionality represent the next wave of biologics that may
be more effective in the treatment of complex autoimmune disease. The single
variable domain from the immunoglobulin new antigen receptor (VNAR) is one of the
smallest antibody recognition units that could be combined with monospecific
antibodies to develop bispecific agents. We isolated a panel of BAFF-binding
VNARs with low nM potency from a semi-synthetic phage display library and
examined their functional activity. The anti-BAFF VNARs blocked the binding of
BAFF to all three of its receptors (BR3, TACI and BCMA) and the presence of the
conserved DXL receptor motif found in the CDR3 regions suggests molecular mimicry
as the mechanism of antagonism. One clone was formatted as an Fc fusion for
functional testing and it was found to inhibit both mouse and human BAFF with
equal potency ex vivo in a splenocyte proliferation assay. In mice, subchronic
administration reduced the number of immature and transitional intermediates B
cells and mature B cell subsets. These results indicate that VNAR single domain
antibodies function as selective B-cell inhibitors and offer an alternative
molecular format for targeting B-cell disorders.
PMID- 27213810
TI - Spike-Based Bayesian-Hebbian Learning of Temporal Sequences.
AB - Many cognitive and motor functions are enabled by the temporal representation and
processing of stimuli, but it remains an open issue how neocortical microcircuits
can reliably encode and replay such sequences of information. To better
understand this, a modular attractor memory network is proposed in which meta
stable sequential attractor transitions are learned through changes to synaptic
weights and intrinsic excitabilities via the spike-based Bayesian Confidence
Propagation Neural Network (BCPNN) learning rule. We find that the formation of
distributed memories, embodied by increased periods of firing in pools of
excitatory neurons, together with asymmetrical associations between these
distinct network states, can be acquired through plasticity. The model's
feasibility is demonstrated using simulations of adaptive exponential integrate
and-fire model neurons (AdEx). We show that the learning and speed of sequence
replay depends on a confluence of biophysically relevant parameters including
stimulus duration, level of background noise, ratio of synaptic currents, and
strengths of short-term depression and adaptation. Moreover, sequence elements
are shown to flexibly participate multiple times in the sequence, suggesting that
spiking attractor networks of this type can support an efficient combinatorial
code. The model provides a principled approach towards understanding how multiple
interacting plasticity mechanisms can coordinate hetero-associative learning in
unison.
PMID- 27213815
TI - A MYC-aurora kinase A protein complex represents an actionable drug target in p53
altered liver cancer.
AB - MYC oncoproteins are involved in the genesis and maintenance of the majority of
human tumors but are considered undruggable. By using a direct in vivo shRNA
screen, we show that liver cancer cells that have mutations in the gene encoding
the tumor suppressor protein p53 (Trp53 in mice and TP53 in humans) and that are
driven by the oncoprotein NRAS become addicted to MYC stabilization via a
mechanism mediated by aurora kinase A (AURKA). This MYC stabilization enables the
tumor cells to overcome a latent G2/M cell cycle arrest that is mediated by AURKA
and the tumor suppressor protein p19(ARF). MYC directly binds to AURKA, and
inhibition of this protein-protein interaction by conformation-changing AURKA
inhibitors results in subsequent MYC degradation and cell death. These
conformation-changing AURKA inhibitors, with one of them currently being tested
in early clinical trials, suppressed tumor growth and prolonged survival in mice
bearing Trp53-deficient, NRAS-driven MYC-expressing hepatocellular carcinomas
(HCCs). TP53-mutated human HCCs revealed increased AURKA expression and a
positive correlation between AURKA and MYC expression. In xenograft models, mice
bearing TP53-mutated or TP53-deleted human HCCs were hypersensitive to treatment
with conformation-changing AURKA inhibitors, thus suggesting a therapeutic
strategy for this subgroup of human HCCs.
PMID- 27213816
TI - Central injection of fibroblast growth factor 1 induces sustained remission of
diabetic hyperglycemia in rodents.
AB - Type 2 diabetes (T2D) is among the most common and costly disorders worldwide.
The goal of current medical management for T2D is to transiently ameliorate
hyperglycemia through daily dosing of one or more antidiabetic drugs.
Hypoglycemia and weight gain are common side effects of therapy, and sustained
disease remission is not obtainable with nonsurgical approaches. On the basis of
the potent glucose-lowering response elicited by activation of brain fibroblast
growth factor (FGF) receptors, we explored the antidiabetic efficacy of centrally
administered FGF1, which, unlike other FGF peptides, activates all FGF receptor
subtypes. We report that a single intracerebroventricular injection of FGF1 at a
dose one-tenth of that needed for antidiabetic efficacy following peripheral
injection induces sustained diabetes remission in both mouse and rat models of
T2D. This antidiabetic effect is not secondary to weight loss, does not increase
the risk of hypoglycemia, and involves a novel and incompletely understood
mechanism for increasing glucose clearance from the bloodstream. We conclude that
the brain has an inherent potential to induce diabetes remission and that brain
FGF receptors are potential pharmacological targets for achieving this goal.
PMID- 27213817
TI - A humanized bone marrow ossicle xenotransplantation model enables improved
engraftment of healthy and leukemic human hematopoietic cells.
AB - Xenotransplantation models represent powerful tools for the investigation of
healthy and malignant human hematopoiesis. However, current models do not fully
mimic the components of the human bone marrow (BM) microenvironment, and they
enable only limited engraftment of samples from some human malignancies. Here we
show that a xenotransplantation model bearing subcutaneous humanized ossicles
with an accessible BM microenvironment, formed by in situ differentiation of
human BM-derived mesenchymal stromal cells, enables the robust engraftment of
healthy human hematopoietic stem and progenitor cells, as well as primary acute
myeloid leukemia (AML) samples, at levels much greater than those in
unmanipulated mice. Direct intraossicle transplantation accelerated engraftment
and resulted in the detection of substantially higher leukemia-initiating cell
(LIC) frequencies. We also observed robust engraftment of acute promyelocytic
leukemia (APL) and myelofibrosis (MF) samples, and identified LICs in these
malignancies. This humanized ossicle xenotransplantation approach provides a
system for modeling a wide variety of human hematological diseases.
PMID- 27213818
TI - Spilanthol from Acmella Oleracea Lowers the Intracellular Levels of cAMP
Impairing NKCC2 Phosphorylation and Water Channel AQP2 Membrane Expression in
Mouse Kidney.
AB - Acmella oleracea is well recognized in Brazilian traditional medicine as
diuretic, although few scientific data have been published to support this
effect. Aim of this study was to determine the molecular effect of Acmella
oleracea extract and its main alkylamide spilanthol on two major processes
involved in the urine concentrating mechanism: Na-K-2Cl symporter (NKCC2)
activity in the thick ascending limb and water channel aquaporin 2 accumulation
at the apical plasma membrane of collecting duct cells. Phosphorylation of NKCC2
was evaluated as index of its activation by Western blotting. Rate of aquaporin 2
apical expression was analyzed by confocal laser microscopy. Spilanthol-induced
intracellular signalling events were dissected by video-imaging experiments.
Exposure to spilanthol reduced the basal phosphorylation level of NKCC2 both in
freshly isolated mouse kidney slices and in NKCC2-expresing HEK293 cells. In
addition, exposure to spilanthol strongly reduced both desmopressin and low Cl-
dependent increase in NKCC2 phosphorylation in mouse kidney slices and NKCC2
expressing HEK293 cells, respectively. Similarly, spilanthol reduced both
desmopressin- and forskolin-stimulated aquaporin 2 accumulation at the apical
plasma membrane of collecting duct in mouse kidney slice and MCD4 cells,
respectively. Of note, when orally administered, spilanthol induced a significant
increase in both urine output and salt urinary excretion associated with a
markedly reduced urine osmolality compared with control mice. Finally, at
cellular level, spilanthol rapidly reduced or reversed basal and agonist
increased cAMP levels through a mechanism involving increases in intracellular
[Ca2+]. In conclusion, spilanthol-induced inhibition of cAMP production
negatively modulates urine-concentrating mechanisms thus holding great promise
for its use as diuretic.
PMID- 27213819
TI - Design, Synthesis, and Evaluation of in Vitro and in Vivo Anticancer Activity of
4-Substituted Coumarins: A Novel Class of Potent Tubulin Polymerization
Inhibitors.
AB - In this paper, a series of novel 4-substituted coumarin derivatives were
synthesized. Among these compounds 34, 39, 40, 43, 62, 65, and 67 exhibited
significant antiproliferative activity toward a panel of tumor cell lines at
subnanomolar IC50 values. Compound 65 showed potent antiproliferative ability
(IC50 values of 7-47 nM) and retained full activity in multidrug resistant cancer
cells. Compound 65 caused G2/M phase arrest and interacted with the colchicine
binding site in tubulin, as confirmed by immune-fluorescence staining,
microtubule dynamics assays, and competition assays with N,N'-ethylene
bis(iodoacetamide). Compound 65 reduced the cell migration and disrupted
capillary-like tube formation in HUVEC cells. Importantly, compound 65
significantly and dose-dependently reduced tumor growth in four xenografts models
including paclitaxel sensitive and resistant ovarian tumors (A2780s and A2780/T),
adrmicycin sensitive and resistant breast tumors (MCF-7 and MCF-7/ADR),
suggesting that compound 65 is a promising novel antimitotic compound for the
potential treatment of cancer.
PMID- 27213820
TI - The toxicity of picaridin containing insect repellent reported to the National
Poison Data System.
AB - CONTEXT: While low toxicity is reported, there are sparse data on the safety of
acute picaridin (icaridin) exposures in humans. OBJECTIVE: The purpose of this
study was to review National Poison Data System (NPDS) data regarding ingestion
of insect repellents containing picaridin and compare those to insect repellents
containing DEET and other insect repellents not containing DEET. METHODS: NPDS
was queried for single agent human insect repellent ingestions reported between 1
January 2000 and 31 May 2015 using the American Association of Poison Control
Center generic categories 201048 (Insect Repellents with DEET) and 201049 (Insect
Repellents without DEET). Picaridin-containing product exposures were assessed
using Poisindex((r)) product ID 6744589. Insect repellents of unknown type were
not included. RESULTS: 68,429 exposures occurred; 24% were non-DEET-containing
products, of which 2% were picaridin-containing products. Among picaridin
exposures, 92.9% were managed outside of a health-care facility; there were no
reported cases of major effect or death, and only one case of moderate effect.
Primary symptoms across all insect repellent exposures included ocular
irritation/pain, vomiting, red eye/conjunctivitis, and oral irritation. Treatment
primarily included dilution/irrigation/wash. CONCLUSION: Unintentional ingestion
of picaridin-containing and other insect repellents was associated only with
minor toxicity and was generally managed outside of a health-care facility.
PMID- 27213821
TI - Effects of Turmeric (Curcuma longa) on Skin Health: A Systematic Review of the
Clinical Evidence.
AB - Turmeric (Curcuma longa), a commonly used spice throughout the world, has been
shown to exhibit antiinflammatory, antimicrobial, antioxidant, and anti
neoplastic properties. Growing evidence shows that an active component of
turmeric, curcumin, may be used medically to treat a variety of dermatologic
diseases. This systematic review was conducted to examine the evidence for the
use of both topical and ingested turmeric/curcumin to modulate skin health and
function. The PubMed and Embase databases were systematically searched for
clinical studies involving humans that examined the relationship between products
containing turmeric, curcumin, and skin health. A total of 234 articles were
uncovered, and a total of 18 studies met inclusion criteria. Nine studies
evaluated the effects of ingestion, eight studies evaluated the effects of
topical, and one study evaluated the effects of both ingested and topical
application of turmeric/curcumin. Skin conditions examined include acne,
alopecia, atopic dermatitis, facial photoaging, oral lichen planus, pruritus,
psoriasis, radiodermatitis, and vitiligo. Ten studies noted statistically
significant improvement in skin disease severity in the turmeric/curcumin
treatment groups compared with control groups. Overall, there is early evidence
that turmeric/curcumin products and supplements, both oral and topical, may
provide therapeutic benefits for skin health. However, currently published
studies are limited and further studies will be essential to better evaluate
efficacy and the mechanisms involved. Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27213822
TI - Does ACTH improve the diagnostic performance of adrenal vein sampling for
subtyping primary aldosteronism?
AB - OBJECTIVE: Adrenal vein sampling (AVS) is used for determining treatment options
for primary aldosteronism (PA), but is a difficult procedure. Adrenocorticotropic
hormone (ACTH) infusion or bolus has been reported to improve AVS success rates
by increasing cortisol secretion, but effects on lateralization are
controversial. We therefore assessed the effects of ACTH in regard to AVS success
and lateralization in our unit, after a change in protocol to ACTH-stimulated
AVS. SETTING: AVS was performed after overnight recumbency in patients with PA
confirmed by fludrocortisone suppression testing. Bilateral sequential sampling
was performed before and after an intravenous bolus of 250 mcg of ACTH.
Lateralization was defined as an aldosterone/cortisol ratio in one adrenal vein
at least twice peripheral, combined with a contralateral adrenal ratio no higher
than peripheral (contralateral suppression). RESULTS: In 47 AVS procedures, the
median adrenal/peripheral cortisol gradient increased on the left (11.6 vs 18.2
MUg/100 ml, P < 0.001) and right (15.6 vs 31.5 MUg/100 ml, P < 0.001) after ACTH.
A total of 34 of 47 studies were diagnostic pre-ACTH (six failing because of low
aldosterone levels bilaterally and seven failing to cannulate one or both sides)
vs 44 of 47 (P = 0.011) studies diagnostic post-ACTH (failure to cannulate one or
both sides in 3). Concordance between diagnostic studies pre- and post-ACTH was
91%, but two bilateral cases became unilateral after ACTH and one unilateral case
before ACTH was bilateral afterwards. CONCLUSIONS: ACTH improved cortisol
gradients and aldosterone secretion, resulting in a reduction in the proportion
of nondiagnostic studies. There was a low proportion of discordance between pre-
and post-ACTH diagnoses, the significance of which is unclear.
PMID- 27213823
TI - Polyphenols from Silybum marianum inhibit in vitro the oxidant response of equine
neutrophils and myeloperoxidase activity.
AB - A recent study showed that silymarin, a standardized extract of S. marianum might
be used in the prevention of equine laminitis. We investigated the effects of
quercetin and some compounds found in silymarin (silybin, taxifolin and
dehydrosilybin) on reactive oxygen species (ROS) production and myeloperoxidase
(MPO) release by stimulated equine neutrophils (PMNs) and on MPO activity. All
compounds (tested between 100 nm and 100 MUm) inhibited superoxide anion
production by stimulated PMNs in a dose-dependent manner. Dehydrosilybin and
quercetin inhibited superoxide production and MPO release from 10 MUm. Classical
MPO assay showed quercetin as the most potent inhibitor, followed by taxifolin,
dehydrosilybin and silybin. SIEFED MPO assay highlighting the binding of tested
compounds to MPO showed that only quercetin and taxifolin maintained an efficient
inhibition above 90% at 10 MUm. Altogether, our results showed a strong
inhibition of PMN activation by planar compounds such as quercetin and
dehydrosilybin and a strong inhibition of MPO activity by the smallest molecules,
quercetin and taxifolin. In conclusion, the compounds from silymarin may be
useful for modulating the oxidative response of PMNs, involved in the
pathogenesis of laminitis, but further in vivo studies are needed.
PMID- 27213824
TI - C-Glycosylflavones Alleviate Tau Phosphorylation and Amyloid Neurotoxicity
through GSK3beta Inhibition.
AB - Alzheimer's disease (AD) is the most common brain disorder worldwide. Aberrant
tau hyperphosphorylation and accumulation play critical roles in the formation of
neurofibrillary tangles highly associated with neuronal dysfunction and cognitive
impairment in AD pathogenesis. Glycogen synthase kinase-3beta (GSK3beta) is a key
kinase responsible for tau hyperphosphorylation. Selective inhibition of GSK3beta
is a promising strategy in AD therapy. Corn silks (CS, Zea mays L.) have been
traditionally used as a medicinal herb and recently noted for their potentially
cognitive benefits. However, the neuroprotective components of CS and their
molecular mechanism have received little attention to date. As part of our effort
screening phytochemicals against a broad panel of kinases targeting AD tauopathy,
we found inhibition of GSK3beta by CS extracts. Subsequent bioassay-guided
fractionation led to the isolation and identification of two 6-C
glycosylflavones, isoorientin (1) and 3'-methoxymaysin (2), with selective
inhibition against GSK3beta in vitro. Enzyme kinetics and molecular docking
studies demonstrated that 1 specifically inhibited GSK3beta via an ATP
noncompetitive mechanism, acting as a substrate competitive inhibitor of
GSK3beta. Further in vitro cellular studies demonstrated that 1 effectively
attenuated tau phosphorylation mediated by GSK3beta and was neuroprotective
against beta-amyloid-induced tau hyperphosphorylation and neurotoxicity in SH
SY5Y cells. The C-glycosylflavones represent new lead candidates with a novel
mechanism of action for the development of AD phytopharmaceuticals.
PMID- 27213829
TI - A Thiamine-Dependent Enzyme Utilizes an Active Tetrahedral Intermediate in
Vitamin K Biosynthesis.
AB - Enamine is a well-known reactive intermediate mediating essential thiamine
dependent catalysis in central metabolic pathways. However, this intermediate is
not found in the thiamine-dependent catalysis of the vitamin K biosynthetic
enzyme MenD. Instead, an active tetrahedral post-decarboxylation intermediate is
stably formed in the enzyme and was structurally determined at 1.34 A resolution
in crystal. This intermediate takes a unique conformation that allows only one
proton between its tetrahedral reaction center and the exo-ring nitrogen atom of
the aminopyrimidine moiety in the cofactor with a short distance of 3.0 A. It is
readily convertible to the final product of the enzymic reaction with a solvent
exchangeable proton at its reaction center. These results show that the thiamine
dependent enzyme utilizes a tetrahedral intermediate in a mechanism distinct from
the enamine catalytic chemistry.
PMID- 27213825
TI - Synthesis of Five-Porphyrin Nanorings by Using Ferrocene and Corannulene
Templates.
AB - The smallest and most strained member of a family of pi-conjugated cyclic
porphyrin oligomers was synthesized by using pentapyridyl templates based on
ferrocene and corannulene. Both templates are effective for directing the
synthesis of the butadiyne-linked cyclic pentamer, despite the fact that the
radii of their N5 donor sets are too small by 0.5 A and 0.9 A, respectively (from
DFT calculations). The five-porphyrin nanoring exhibits a structured absorption
spectrum and its fluorescence extends to 1200 nm, reflecting strong pi
conjugation and Herzberg-Teller vibronic coupling.
PMID- 27213831
TI - The Potential Role for Myoinositol in the Prevention of Gestational Diabetes
Mellitus.
AB - More than 9% of overweight and obese women develop gestational diabetes mellitus
(GDM) during pregnancy. Myoinositol is a promising supplement that may represent
the first GDM-risk modifier that could be implemented on a population-level. It
has been shown in animal and small human trials to prevent GDM through its
insulin-mimetic properties. In animal studies, it also appears to decrease intra
abdominal adiposity and protect against diabetic embryopathies. In humans, four
small randomized trials have demonstrated that myoinositol supplementation can
lead to more than a 50% rate reduction in GDM compared with placebo. We now need
a large, multicentered randomized controlled trial to demonstrate whether
myoinositol has not only the promised impact on GDM rates, but also an effect on
important secondary outcomes intricately linked to GDM, such as birth weight and
neonatal intensive care unit admission.
PMID- 27213833
TI - Category-based guidance of spatial attention during visual search for feature
conjunctions.
AB - The question whether alphanumerical category is involved in the control of
attentional target selection during visual search remains a contentious issue. We
tested whether category-based attentional mechanisms would guide the allocation
of attention under conditions where targets were defined by a combination of
alphanumerical category and a basic visual feature, and search displays could
contain both targets and partially matching distractor objects. The N2pc
component was used as an electrophysiological marker of attentional object
selection in tasks where target objects were defined by a conjunction of color
and category (Experiment 1) or shape and category (Experiment 2). Some search
displays contained the target or a nontarget object that matched either the
target color/shape or its category among 3 nonmatching distractors. In other
displays, the target and a partially matching nontarget object appeared together.
N2pc components were elicited not only by targets and by color- or shape-matching
nontargets, but also by category-matching nontarget objects, even on trials where
a target was present in the same display. On these trials, the summed N2pc
components to the 2 types of partially matching nontargets were initially equal
in size to the target N2pc, suggesting that attention was allocated
simultaneously and independently to all objects with target-matching features
during the early phase of attentional processing. Results demonstrate that
alphanumerical category is a genuine guiding feature that can operate in parallel
with color or shape information to control the deployment of attention during
visual search. (PsycINFO Database Record
PMID- 27213832
TI - Influence of maternal hypercholesterolemia and phytosterol intervention during
gestation and lactation on dyslipidemia and hepatic lipid metabolism in offspring
of Syrian golden hamsters.
AB - SCOPE: Although there is a normal physiological rise in maternal lipids during
pregnancy, excessive maternal hyperlipidemia during pregnancy increases
cardiovascular disease risk for both the mother and offspring. There are limited
safe lipid-lowering treatment options for use during pregnancy, therefore, we
evaluated the influence of maternal phytosterol (PS) supplementation on lipid and
lipoprotein metabolism in mothers and progeny. METHODS AND RESULTS: Female Syrian
golden hamsters were randomly assigned to three diets throughout prepregnancy,
gestation, and lactation (n = 6/group): (i) Chow (Chow), (ii) chow with 0.5%
cholesterol (CH), and (iii) chow with 0.5% CH and 2% PS (CH/PS). Compared with
newly weaned pups from Chow dams, pups from dams fed the CH-enriched diet
demonstrated increases (p < 0.05) in total-C, LDL-C, HDL-C, and total LDL and
VLDL particle number. Pups from CH-fed mothers also exhibited higher hepatic CH
concentration and differential mRNA expression pattern of CH regulatory genes.
Pups from PS-supplemented dams demonstrated reductions (p < 0.05) in serum total
C, non-HDL-C, and LDL-C but also increased triglycerides compared with pups from
CH-fed dams. Maternal PS supplementation reduced (p < 0.05) hepatic CH and
increased the abundance of HMG-CoAr and LDLr protein in newly weaned pups
compared with the CH group. CONCLUSION: Results suggest that maternal PS
supplementation is largely effective in normalizing CH in pups born to mothers
with hypercholesterolemia, however, the cause and long-term influence of
increased triglyceride is not known.
PMID- 27213834
TI - Flexible Total Synthesis of (+/-)-Aureothin, a Potent Antiproliferative Agent.
AB - Amenable to late-stage preparation of analogues, a flexible and convergent total
synthesis of (+/-)-aureothin is presented. The strategy was based on a
desymmetrization of alpha,alpha'-dimethoxy-gamma-pyrone by a process combining
1,4-addition and alkylation of vinylogous enolate to stereoselectively reach the
backbone of the target. Palladium-catalyzed cyanation of an elaborated and
isomerizable E,Z dienyl motif followed by Pinner cyclization enabled the
construction of the tetrahydrofuran motif while a first approach based on a late
stage oxidation was unsuccessful.
PMID- 27213830
TI - The Phenotype and Genotype of Mevalonate Kinase Deficiency: A Series of 114 Cases
From the Eurofever Registry.
AB - OBJECTIVE: Mevalonate kinase deficiency (MKD) is a rare metabolic disease
characterized by recurrent inflammatory episodes. This study was undertaken to
describe the genotype, phenotype, and response to treatment in an international
cohort of MKD patients. METHODS: All MKD cases were extracted from the Eurofever
registry (Executive Agency for Health and Consumers project no. 2007332), an
international, multicenter registry that retrospectively collects data on
children and adults with autoinflammatory diseases. RESULTS: The study included
114 MKD patients. The median age at onset was 0.5 years. Patients had on average
12 episodes per year. Most patients had gastrointestinal symptoms (n = 112),
mucocutaneous involvement (n = 99), lymphadenopathy (n = 102), or musculoskeletal
symptoms (n = 89). Neurologic symptoms included headache (n = 43), cerebellar
syndrome (n = 2), and mental retardation (n = 4). AA amyloidosis was noted in 5
patients, almost twice as many as expected from findings in previous cohorts.
Macrophage activation syndrome occurred in 1 patient. Patients were generally
well between attacks, but 10-20% of the patients had constitutional symptoms,
such as fatigue, between fever episodes. Patients with p.V377I/p.I268T compound
heterozygosity had AA amyloidosis significantly more often. Patients without a
p.V377I mutation more often had severe musculoskeletal involvement. Treatment
with nonsteroidal antiinflammatory drugs relieved symptoms. Steroids given during
attacks, anakinra, and etanercept appeared to improve symptoms and could induce
complete remission in patients with MKD. CONCLUSION: We describe the clinical and
genetic characteristics of 114 MKD patients, which is the largest cohort studied
so far. The clinical manifestations confirm earlier reports. However, the
prevalence of AA amyloidosis is far higher than expected.
PMID- 27213835
TI - Free Maillard Reaction Products in Milk Reflect Nutritional Intake of Glycated
Proteins and Can Be Used to Distinguish "Organic" and "Conventionally" Produced
Milk.
AB - Using LC-MS/MS and isotopically labeled standard substances, quantitation of free
Maillard reaction products (MRPs), namely, N(epsilon)-(carboxymethyl)lysine
(CML), 5-(hydroxymethyl)-1H-pyrrole-2-carbaldehyde (pyrraline, PYR), N(delta)-(5
hydro-5-methyl-4-imidazolon-2-yl)-ornithine (MG-H), and N(epsilon)
fructosyllysine (FL), in bovine milk was achieved. Considerable variations in the
amounts of the individual MRPs were found, most likely as a consequence of the
nutritional uptake of glycated proteins. When comparing commercial milk samples
labeled as originating from "organic" or "conventional" farming, respectively,
significant differences in the content of free PYR (organic milk, 20-300 pmol/mL;
conventional milk, 400-1000 pmol/mL) were observed. An analysis of feed samples
indicated that rapeseed and sugar beet are the main sources for MRPs in
conventional farming. Furthermore, milk of different dairy animals (cow, buffalo,
donkey, goat, ewe, mare, camel) as well as for the first time human milk was
analyzed for free MRPs. The distribution of their concentrations, with FL and PYR
as the most abundant in human milk and with a high individual variability, also
points to a nutritional influence. As the components of concentrated feed do not
belong to the natural food sources of ruminants and equidae, free MRPs in milk
might serve as indicators for an adequate animal feeding in near-natural farming
and can be suitable parameters to distinguish between an "organic" and
"conventional" production method of milk.
PMID- 27213836
TI - The other side of the brain: The politics of split-brain research in the 1970s
1980s.
AB - In the course of the 1970s and 1980s, theories derived from neuropsychological
research on the bisected brain came rapidly to achieve the status of common sense
in the United States and Canada, inflecting all manner of popular and academic
discussion. These theories often posited that the right hemisphere was the seat
of creative expression, whereas the left hemisphere housed rationality and
language. This article analyzes the political and cultural implications of
theories about the split brain. Gender relations, educational reform, management
theory, race relations, and countercultural concepts about self-expression all
quickly came to be viewed through the lens of left-brain/right-brain
neuropsychological research. Yet these theories were often contradictory. On the
one hand, some psychophysiological experiments premised that the brain was
inherently plastic in nature, and thus self-improvement techniques (like
mindfulness meditation) could be practiced to unfurl the right hemisphere's
intuitive potentialities. On the other hand, other psychophysiological
experiments concluded that Native Americans as well as African Americans and
persons from "the East" appeared inherently to possess more highly developed
right-brain talents, and therefore suffered in the context of a left-hemisphere
dominated Western society. In both instances, psychologists put neuroscientific
research to political and social use. This article thus connects a story from the
annals of the neurosciences to the history of psychological experimentation. It
analyzes the critical impact that speculative ideas about the split brain were to
have not only on the post-1960s history of psychology but also on what soon
emerged after the 1990s as the social neuroscience revolution. (PsycINFO Database
Record
PMID- 27213838
TI - Trends and emerging patterns of practice in vitreoretinal surgery.
PMID- 27213837
TI - Can dental panoramic radiographic findings serve as indicators for the
development of medication-related osteonecrosis of the jaw?
AB - OBJECTIVES: The purpose of this case-control study was to find a correlation
between certain imaging findings in dental panoramic radiographs and the risk for
developing a medication-related osteonecrosis of the jaw (MRONJ) in patients
taking antiresorptive therapy (AT). METHODS: Randomized and blinded dental
panoramic radiographs of 60 patients undergoing antiresorptive drug treatment (36
patients with MRONJ, 24 patients without MRONJ) and of 60 patients without AT
were analyzed by 3 experts for the following signs: sequestrum, osteosclerosis,
difference in sclerosing of alveolar process and body of mandible, visible
alveolar socket, enhancement and loss of lamina dura, enhancement of the oblique
ridge, enhancement of the mandibular canal, proliferative periostitis and
osteolytic processes at the cortex. RESULTS: Signs were seen significantly more
often in patients undergoing AT than in the control group (CG) (osteosclerosis p
value = 0.019, visible alveolar socket p-value = 0.001, enhancement of lamina
dura p-value < 0.001, enhancement of the mandibular canal p-value = 0.025,
proliferative periostitis p-value = 0.05 and osteolytic processes at the cortex p
value < 0.001). While there is no significant difference between the CG and the
group of patients with AT without manifest MRONJ for any sign, the significance
increases when taking the group of patients under AT with manifest MRONJ into
consideration. In addition, if medication was administered for malignant reasons,
the signs visible alveolar socket, enhancement of the lamina dura and the
enhancement of the mandibular canal were seen significantly more often.
CONCLUSIONS: The radiographic findings mentioned above are not indicators for the
development of MRONJ, as they are seen only in patients with manifest
osteonecrosis. However, these findings could be important to assess the dimension
and potency of a MRONJ.
PMID- 27213839
TI - The impact of facility relocation on patients' perceptions of ward atmosphere and
quality of received forensic psychiatric care.
AB - In recent years, large groups of forensic psychiatric patients have been
relocated into new medium- and maximum-security forensic psychiatric facilities
in Sweden, where a psychosocial care approach is embedded. From this perspective
and on the assumption that physical structures affect the therapeutic
environment, a prospective longitudinal study was designed to investigate the
impact of the facility relocation of three forensic psychiatric hospitals on
patients' perceptions of ward atmosphere and quality of received forensic
psychiatric care. Participants were patients over 18 years of age sentenced to
compulsory forensic psychiatric treatment. Data were obtained by validated
questionnaires. Overall, 58 patients (78%) answered the questionnaires at
baseline with a total of 25 patients (34%) completing follow-up 1 at six months
and 11 patients (15%) completing follow-up 2, one year after relocation.
Approximately two-thirds of the participants at all time-points were men and
their age range varied from 18 to 69. The results of this study showed that poor
physical environment features can have a severe impact on care quality and can
reduce the possibilities for person-centered care. Furthermore, the study
provides evidence that the patients' perceptions of person-centered care in
forensic psychiatric clinics are highly susceptible to factors in the physical
and psychosocial environment. Future work will explore the staff's perception of
ward atmosphere and the possibilities to adapt a person-centered approach in
forensic psychiatric care after facility relocation.
PMID- 27213840
TI - Sudden death following AV node ablation in a man with Fabry disease mimicking
hypertrophic cardiomyopathy.
AB - We present a case of Fabry disease with an uncommon pattern of asymmetrical
hypertrophy with septal prominence resulting in an erroneous diagnosis of
hypertrophic cardilmyopathy clinically. The deceased presented for a medicolegal
autopsy following his sudden death after an AV node ablation. Fabry disease
continues to be an important misdiagnosis of hypertrophic cardiomyopathy in a
clinical setting. Early diagnosis of Fabry disease is essential so that early
treatment can be instituted.
PMID- 27213841
TI - Forensic medicine and science applications in Islamic law.
PMID- 27213843
TI - Laser-assisted Microdissection (LAM) as a Tool for Transcriptional Profiling of
Individual Cell Types.
AB - The understanding of developmental processes at the molecular level requires
insights into transcriptional regulation, and thus the transcriptome, at the
level of individual cell types. While the methods described here are generally
applicable to a wide range of species and cell types, our research focuses on
plant reproduction. Plant cultivation and seed production is of crucial
importance for human and animal nutrition. A detailed understanding of the
regulatory networks that govern the formation of the reproductive lineage
(germline) and ultimately of seeds is a precondition for the targeted
manipulation of plant reproduction. In particular, the engineering of apomixis
(asexual reproduction through seeds) into crop plants promises great
improvements, as it leads to the formation of clonal seeds that are genetically
identical to the mother plant. Consequently, the cell types of the female
germline are of major importance for the understanding and engineering of
apomixis. However, as the corresponding cells are deeply embedded within the
floral tissues, they are very difficult to access for experimental analyses,
including cell-type specific transcriptomics. To overcome this limitation,
sections of individual cells can be isolated by laser-assisted microdissection
(LAM). While LAM in combination with transcriptional profiling allows the
identification of genes and pathways active in any cell type with high
specificity, establishing a suitable protocol can be challenging. Specifically,
the quality of RNA obtained after LAM can be compromised, especially when small,
single cells are targeted. To circumvent this problem, we have established a
workflow for LAM that reproducibly results in high RNA quality that is well
suitable for transcriptomics, as exemplified here by the isolation of cells of
the female germline in apomictic Boechera. In this protocol, procedures are
described for tissue preparation and LAM, also with regard to RNA extraction and
quality control.
PMID- 27213845
TI - The spatiotemporal characteristics of environmental hazards caused by offshore
oil and gas operations in the Gulf of Mexico.
AB - Marine ecosystems are home to a host of numerous species ranging from tiny
planktonic organisms, fishes, and birds, to large mammals such as the whales,
manatees, and seals. However, human activities such as offshore oil and gas
operations increasingly threaten marine and coastal ecosystems, for which there
has been little exploration into the spatial and temporal risks of offshore oil
operations. Using the Gulf of Mexico, one of the world's hottest spots of
offshore oil and gas mining, as the study area, we propose a spatiotemporal
approach that integrates spatial statistics and geostatistics in a geographic
information system environment to provide insight to environmental management and
decision making for oil and gas operators, coastal communities, local
governments, and the federal government. We use the records from 1995 to 2015 of
twelve types of hazards caused by offshore oil and gas operations, and analyze
them spatially over a five year period. The spatial clusters of these hazards are
analyzed and mapped using Getis-Ord Gi and local Moran's I statistics. We then
design a spatial correlation coefficient matrix for multivariate spatial
correlation, which is the ratio of the cross variogram of two types of hazards to
the product of the variograms of the two hazards, showing a primary understanding
of the degrees of spatial correlation among the twelve types hazards. To the best
of our knowledge, it is the first application of spatiotemporal analysis methods
to environmental hazards caused by offshore oil and gas operations; the proposed
methods can be applied to other regions for the management and monitoring of
environmental hazards caused by offshore oil operations.
PMID- 27213844
TI - CRISPR-based genome editing and expression control systems in Clostridium
acetobutylicum and Clostridium beijerinckii.
AB - Solventogenic clostridia are important industrial microorganisms that produce
various chemicals and fuels. Effective genetic tools would facilitate
physiological studies aimed both at improving our understanding of metabolism and
optimizing solvent productivity through metabolic engineering. Here we have
developed an all-in-one, CRISPR-based genome editing plasmid, pNICKclos, that can
be used to achieve successive rounds of gene editing in Clostridium
acetobutylicum ATCC 824 and Clostridium beijerinckii NCIMB 8052 with efficiencies
varying from 6.7% to 100% and 18.8% to 100%, respectively. The plasmid specifies
the requisite target-specific guide RNA, the gene encoding the Streptococcus
pyogenes Cas9 nickase and the genome editing template encompassing the gene
specific homology arms. It can be used to create single target mutants within
three days, with a further two days required for the curing of the pNICKclos
plasmid ready for a second round of mutagenesis. A S. pyogenes dCas9-mediated
gene regulation control system, pdCASclos, was also developed and used in a
CRISPRi strategy to successfully repress the expression of spo0A in C.
acetobutylicum and C. beijerinckii. The combined application of the established
high efficiency CRISPR-Cas9 based genome editing and regulation control systems
will greatly accelerate future progress in the understanding and manipulation of
metabolism in solventogenic clostridia.
PMID- 27213846
TI - Effect of operating conditions in soil aquifer treatment on the removals of
pharmaceuticals and personal care products.
AB - Soil aquifer treatment (SAT) is an alternative advanced treatment for wastewater
reclamation, and it has the potential to control micropollutants including
pharmaceuticals and personal care products (PPCPs). However, the relationship of
operating conditions in SAT and removals of micropollutants was not clear. In
this study, the effects of operating conditions on the removals of PPCPs were
evaluated by using lab-scale columns and plant pilot-scale reactors under
different operating conditions. Firstly, weathered granite soil (WGS), standard
sand (SAND) and Toyoura standard sand (TS) have different soil characteristics
such as total organic carbon (TOC) and cation exchange capacity (CEC). In the
columns with these packing materials, the removals of carboxylic analgesics and
antilipidemics were effective regardless packing materials. The removals of
antibiotics were more effective in WGS than in TS and SAND, indicating high TOC
and CEC enhance the sorption in SAT. Secondly, with the extension of hydraulic
retention time (HRT), the removals of sulfamethoxazole, acetaminophen,
crotamiton, and antipyrine were improved in WGS columns, and adaptable
biodegradation for moderately removable PPCPs was formed. Thirdly, the removal
efficiencies of sulfamethoxazole and crotamiton were higher in the WGS column
under vadose condition than in the WGS column under saturated condition, because
of aerobic condition in WGS column under vadose condition. Though long HRT and
vadose condition had positive influence on the removals of several PPCPs such as
sulfamethoxazole, WGS column with an HRT of 7days under saturated condition
removed most PPCPs.
PMID- 27213842
TI - Strategies for designing synthetic immune agonists.
AB - Enhancing the immune system is a validated strategy to combat infectious disease,
cancer and allergy. Nevertheless, the development of immune adjuvants has been
hampered by safety concerns. Agents that can stimulate the immune system often
bear structural similarities with pathogen-associated molecular patterns found in
bacteria or viruses and are recognized by pattern recognition receptors (PRRs).
Activation of these PRRs results in the immediate release of inflammatory
cytokines, up-regulation of co-stimulatory molecules, and recruitment of innate
immune cells. The distribution and duration of these early inflammatory events
are crucial in the development of antigen-specific adaptive immunity in the forms
of antibody and/or T cells capable of searching for and destroying the infectious
pathogens or cancer cells. However, systemic activation of these PRRs is often
poorly tolerated. Hence, different strategies have been employed to modify or
deliver immune agonists in an attempt to control the early innate receptor
activation through temporal or spatial restriction. These approaches include
physicochemical manipulation, covalent conjugation, formulation and conditional
activation/deactivation. This review will describe recent examples of discovery
and optimization of synthetic immune agonists towards clinical application.
PMID- 27213847
TI - Towards international consensus on patient harm: perspectives on pressure injury
policy.
AB - AIMS: To analyse influential policies that inform practice related to pressure
injury management in Australia, England, Hong Kong, New Zealand, Scotland and the
United States of America. BACKGROUND: Pressure injuries are associated with
significant harm to patients, and carry economic consequences for the health
sector. Internationally, preventing and managing pressure injuries is a key
nursing activity and quality indicator. EVALUATION: Comparative review and
synthesis of pressure injury policies that inform practice. KEY ISSUES: The
predominant focus of policy is on patient risk assessment, compliance with
documentation and pressure relief. Financial penalty for institutions is emerging
as a strategy where pressure injuries occur. Comparisons of prevalence rates are
hampered by the lack of consensus on data collection and reporting. To date there
has been little evaluation of policy implementation and implemented policy
strategies, associated guidelines remain founded upon expert opinion and low
level evidence. IMPLICATIONS FOR NURSING MANAGEMENT: The pressure injury policy
agenda has fostered a discourse of attention to incidents, compliance and penalty
(sanctions). Prevention and intervention strategies are informed by technical and
biomedical interpretations of patient risk and harm, with little attention given
to the nature or design of nursing work. Considerable challenges remain if this
policy agenda is successfully to eliminate pressure injury as a source of patient
harm.
PMID- 27213848
TI - Opera-Glass Hand in a Patient With Rheumatoid Arthritis.
PMID- 27213849
TI - One American Perspective on the Rights of Accused: An Initial Survey of Miranda
Rights in a Broader Context.
AB - In the wake of countless police dramas, commonly held misperceptions endure that
the American public knows both Miranda warnings and concomitant rights. Past
research has tested public knowledge of Miranda per se, without evaluating
additional misconceptions. The current investigation utilizes the European
Union's much more all-encompassing safeguards, as delineated in the EU's 2012
Directive and Letter of Rights. Besides knowledge of Miranda, the advisability of
these enhanced rights and protections was also assessed. In order to obtain a
cross-section of the community, 619 participants were recruited from actual jury
pools. Interestingly, they believed that Miranda afforded arrestees many more
protections than it actually does. In general, nearly all (>90%) agreed that the
accused should be given accurate information (e.g., charges and alleged criminal
acts) coupled with an absence of police deception. The potential implications of
these findings are discussed as they relate to police practices and due process.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27213851
TI - Cardiovascular disease in pregnancy: the South African perspective.
PMID- 27213850
TI - Neural Stem Cells Derived from Human Parthenogenetic Stem Cells Engraft and
Promote Recovery in a Nonhuman Primate Model of Parkinson's Disease.
AB - Cell therapy has attracted considerable interest as a promising therapeutic
alternative for patients with Parkinson's disease (PD). Clinical studies have
shown that grafted fetal neural tissue can achieve considerable biochemical and
clinical improvements in PD. However, the source of fetal tissue grafts is
limited and ethically controversial. Human parthenogenetic stem cells offer a
good alternative because they are derived from unfertilized oocytes without
destroying potentially viable human embryos and can be used to generate an
unlimited supply of neural cells for transplantation. We have previously reported
that human parthenogenetic stem cell-derived neural stem cells (hpNSCs)
successfully engraft, survive long term, and increase brain dopamine (DA) levels
in rodent and nonhuman primate models of PD. Here we report the results of a 12
month transplantation study of hpNSCs in 1-methyl-4-phenyl-1,2,3,6
tetrahydropyridine (MPTP)-lesioned African green monkeys with moderate to severe
clinical parkinsonian symptoms. The hpNSCs manufactured under current good
manufacturing practice (cGMP) conditions were injected bilaterally into the
striatum and substantia nigra of immunosuppressed monkeys. Transplantation of
hpNSCs was safe and well tolerated by the animals with no dyskinesia, tumors,
ectopic tissue formation, or other test article-related serious adverse events.
We observed that hpNSCs promoted behavioral recovery; increased striatal DA
concentration, fiber innervation, and number of dopaminergic neurons; and induced
the expression of genes and pathways downregulated in PD compared to vehicle
control animals. These results provide further evidence for the clinical
translation of hpNSCs and support the approval of the world's first pluripotent
stem cell-based phase I/IIa study for the treatment of PD (Clinical Trial
Identifier NCT02452723).
PMID- 27213852
TI - Electrocardiographic predictors of peripartum cardiomyopathy.
AB - OBJECTIVE: To identify potential electrocardiographic predictors of peripartum
cardiomyopathy (PPCM). METHODS: This was a case-control study carried out in
three hospitals in Kano, Nigeria. Logistic regression models and a risk score
were developed to determine electrocardiographic predictors of PPCM. RESULTS: A
total of 54 PPCM and 77 controls were consecutively recruited after satisfying
the inclusion criteria. After controlling for confounding variables, a rise in
heart rate of one beat/minute increased the risk of PPCM by 6.4% (p = 0.001),
while the presence of ST-T-wave changes increased the odds of PPCM 12.06-fold (p
< 0.001). In the patients, QRS duration modestly correlated (r = 0.4; p < 0.003)
with left ventricular dimensions and end-systolic volume index, and was
responsible for 19.9% of the variability of the latter (R(2) = 0.199; p = 0.003).
A risk score of >= 2, developed by scoring 1 for each of the three ECG
disturbances (tachycardia, ST-T-wave abnormalities and QRS duration), had a
sensitivity of 85.2%, specificity of 64.9%, negative predictive value of 86.2%
and area under the curve of 83.8% (p < 0.0001) for potentially predicting PPCM.
CONCLUSION: In postpartum women, using the risk score could help to streamline
the diagnosis of PPCM with significant accuracy, prior to confirmatory
investigations.
PMID- 27213854
TI - Pre-conception counselling for key cardiovascular conditions in Africa:
optimising pregnancy outcomes.
AB - The World Health Organisation (WHO) supports pre-conception care (PCC) towards
improving health and pregnancy outcomes. PPC entails a continuum of promotive,
preventative and curative health and social interventions. PPC identifies current
and potential medical problems of women of childbearing age towards strategising
optimal pregnancy outcomes, whereas antenatal care constitutes the care provided
during pregnancy. Optimised PPC and antenatal care would improve civil society
and maternal, child and public health. Multiple factors bar most African women
from receiving antenatal care. Additionally, PPC is rarely available as a
standard of care in many African settings, despite the high maternal mortality
rate throughout Africa. African women and healthcare facilitators must cooperate
to strategise cost-effective and cost-efficient PPC. This should streamline their
limited resources within their socio-cultural preferences, towards short- and
long-term improvement of pregnancy outcomes. This review discusses the relevance
of and need for PPC in resource-challenged African settings, and emphasises
preventative and curative health interventions for congenital and acquired heart
disease. We also consider two additional conditions, HIV/AIDS and hypertension,
as these are two of the most important co-morbidities encountered in Africa, with
significant burden of disease. Finally we advocate strongly for PPC to be
considered as a key intervention for reducing maternal mortality rates on the
African continent.
PMID- 27213855
TI - Medical disease as a cause of maternal mortality: the pre-imminence of
cardiovascular pathology.
AB - Maternal mortality ratio in low- to middle-income countries (LMIC) is 14 times
higher than in high-income countries. This is partially due to lack of antenatal
care, unmet needs for family planning and education, as well as low rates of
birth managed by skilled attendants. While direct causes of maternal death such
as complications of hypertension, obstetric haemorrhage and sepsis remain the
largest cause of maternal death in LMICs, cardiovascular disease emerges as an
important contributor to maternal mortality in both developing countries and the
developed world, hampering the achievement of the millennium development goal 5,
which aimed at reducing by three-quarters the maternal mortality ratio until the
end of 2015. Systematic search for cardiac disease is usually not performed
during pregnancy in LMICs despite hypertensive disease, rheumatic heart disease
and cardiomyopathies being recognised as major health problems in these settings.
New concern has been rising due to both the HIV/AIDS epidemic and the
introduction of highly active antiretroviral therapy. Undetected or untreated
congenital heart defects, undiagnosed pulmonary hypertension, uncontrolled heart
failure and complications of sickle cell disease may also be important
challenges. This article discusses issues related to the role of cardiovascular
disease in determining a substantial portion of maternal morbidity and mortality.
It also presents an algorhitm to be used for suspected and previously known
cardiac disease in pregnancy in the context of LIMCs.
PMID- 27213856
TI - Physiological changes in pregnancy.
AB - Physiological changes occur in pregnancy to nurture the developing foetus and
prepare the mother for labour and delivery. Some of these changes influence
normal biochemical values while others may mimic symptoms of medical disease. It
is important to differentiate between normal physiological changes and disease
pathology. This review highlights the important changes that take place during
normal pregnancy.
PMID- 27213853
TI - Pre-eclampsia: its pathogenesis and pathophysiolgy.
AB - Pre-eclampsia is a pregnancy-specific disorder that has a worldwide prevalence of
5-8%. It is one of the main causes of maternal and perinatal morbidity and
mortality globally and accounts for 50 000-60 00 deaths annually, with a
predominance in the low- and middle-income countries. It is a multi-systemic
disorder however its aetiology, pathogenesis and pathophysiology are poorly
understood. Recently it has been postulated that it is a two-stage disease with
an imbalance between angiogenic and anti-antigenic factors. This review covers
the latest thoughts on the pathogenesis and pathology of pre-eclampsia. The
central hypothesis is that pre-eclampsia results from defective spiral artery
remodelling, leading to cellular ischaemia in the placenta, which in turn results
in an imbalance between anti-angiogenic and pro-angiogenic factors. This
imbalance in favour of anti-angiogenic factors leads to widespread endothelial
dysfunction, affecting all the maternal organ systems. In addition, there is
foetal growth restriction (FGR). The exact aetiology remains elusive.
PMID- 27213857
TI - Diagnosing cardiac disease during pregnancy: imaging modalities.
AB - Pregnant women with known or suspected cardiovascular disease (CVD) often require
cardiovascular imaging during pregnancy. The accepted maximum limit of ionising
radiation exposure to the foetus during pregnancy is a cumulative dose of 5 rad.
Concerns related to imaging modalities that involve ionising radiation include
teratogenesis, mutagenesis and childhood malignancy. Importantly, no single
imaging study approaches this cautionary dose of 5 rad (50 mSv or 50 mGy).
Diagnostic imaging procedures that may be used in pregnancy include chest
radiography, fluoroscopy, echocardiography, invasive angiography, cardiovascular
computed tomography, computed tomographic pulmonary angiography, cardiovascular
magnetic resonance (CMR) and nuclear techniques. Echocardiography and CMR appear
to be completely safe in pregnancy and are not associated with any adverse foetal
effects, provided there are no general contra-indications to MR imaging. Concerns
related to safety of imaging tests must be balanced against the importance of
accurate diagnosis and thorough assessment of the pathological condition.
Decisions about imaging in pregnancy are premised on understanding the physiology
of pregnancy, understanding basic concepts of ionising radiation, the clinical
manifestations of existent CVD in pregnancy and features of new CVD. The
cardiologist/physician must understand the indications for and limitations of,
and the potential harmful effects of each test during pregnancy. Current evidence
suggests that a single cardiovascular radiological study during pregnancy is safe
and should be undertaken at all times when clinically justified. In this article,
the different imaging modalities are reviewed in terms of how they work, how safe
they are and what their clinical utility in pregnancy is. Furthermore, the safety
of contrast agents in pregnancy is also reviewed.
PMID- 27213858
TI - Hypertensive disorders of pregnancy: what the physician needs to know.
AB - Hypertension developing during pregnancy may be caused by a variety of different
pathophysiological mechanisms. The occurrence of proteinuric hypertension during
the second half of pregnancy identifies a group of women whose hypertensive
disorder is most likely to be caused by the pregnancy itself and for whom the
risk of complications, including maternal mortality, is highest. Physicians
identifying patients with hypertension in pregnancy need to discriminate between
pre-eclampsia and other forms of hypertensive disease. Pre-eclamptic disease
requires obstetric intervention before it will resolve and it must be managed in
a multidisciplinary environment. The principles of diagnosis and management of
these different entities are outlined in this review.
PMID- 27213859
TI - Valvular heart disease in pregnancy.
AB - Valvular heart disease may be a pre-existing complication of pregnancy or it may
be diagnosed for the first time during pregnancy. Accurate diagnosis, tailored
therapy and an understanding of the physiology and pathophysiology of pregnancy
are necessary components of management, best achieved through the use of
multidisciplinary clinics. This review outlines the management of specific
lesions, with particular reference to post-rheumatic valvular heart disease.
PMID- 27213860
TI - Assessing perinatal depression as an indicator of risk for pregnancy-associated
cardiovascular disease.
AB - Cardiovascular conditions associated with pregnancy are serious complications. In
general, depression is a well-known risk indicator for cardiovascular disease
(CVD). Mental distress and depression are associated with physiological responses
such as inflammation and oxidative stress. Both inflammation and oxidative stress
have been implicated in the pathophysiology of CVDs associated with pregnancy.
This article discusses whether depression could represent a risk indicator for
CVDs in pregnancy, in particular in pre-eclampsia and peripartum cardiomyopathy
(PPCM).
PMID- 27213861
TI - Cell compatible encapsulation of filaments into 3D hydrogels.
AB - Tissue engineering scaffolds for nerve regeneration, or artificial nerve
conduits, are particularly challenging due to the high level of complexity the
structure of the nerve presents. The list of requirements for artificial nerve
conduits is long and includes the ability to physically guide nerve growth using
physical and chemical cues as well as electrical stimulation. Combining these
characteristics into a conduit, while maintaining biocompatibility and
biodegradability, has not been satisfactorily achieved by currently employed
fabrication techniques. Here we present a method combining pultrusion and wet
spinning techniques facilitating incorporation of pre-formed filaments into
ionically crosslinkable hydrogels. This new biofabrication technique allows the
incorporation of conducting or drug-laden filaments, controlled guidance channels
and living cells into hydrogels, creating new improved conduit designs.
PMID- 27213862
TI - Heterogeneous photocatalytic degradation of sulfamethoxazole in water using a
biochar-supported TiO2 photocatalyst.
AB - The present study reports an effective heterogeneous photocatalytic degradation
of sulfamethoxazole (SMX) in water using a biochar-supported TiO2 (biochar/TiO2).
The biochar was used as a low cost and effective support for TiO2 to lower the
recombination rate of electrons and electron holes during photocatalysis, allow
efficient attachment of TiO2, increase adsorption capacity and help easy
separation of the photocatalyst after use. The biochar/TiO2 showed much higher
adsorption of SMX than the commercial TiO2 powder due to the hydrophobic
interaction between the biochar and SMX. Particularly this study focused on the
effects of water quality and operating conditions on the photocatalytic oxidation
of SMX. The addition of low concentration of bicarbonate made drastic enhancement
in SMX removal and mineralization while the final effluent showed high
biotoxicity. On the contrary, the presence of nitrate exhibited slight
enhancement in SMX removal efficiency. The photocatalyst loading and UV
irradiation time also played their important roles in enhancement of SMX removal
and mineralization. In overall the photocatalytic oxidation of SMX using the
biochar/TiO2 at the selected catalyst loading and irradiation time (5 g biochar
supported TiO2 L(-1), 6 h) resulted in the high removal and mineralization of SMX
and negligible toxicity.
PMID- 27213863
TI - Performance and surface clogging in intermittently loaded and slow sand filters
containing novel media.
AB - Slow sand filers are commonly used in water purification processes. However, with
the emergence of new contaminants and concern over removing precursors to
disinfection by-products, as well as traditional contaminants, there has recently
been a focus on technology improvements to result in more effective and targeted
filtration systems. The use of new media has attracted attention in terms of
contaminant removal, but there have been limited investigations on the key issue
of clogging. The filters constructed for this study contained stratified layers
comprising combinations of Bayer residue, zeolite, fly ash, granular activated
carbon, or sand, dosed with a variety of contaminants (total organic carbon
(TOC), aluminium (Al), ammonium (NH4(+)-N), nitrate (NO3(-)-N) and turbidity).
Their performance and clogging mechanisms were compared to sand filters, which
were also operated under two different loading regimes (continuous and
intermittently loaded). The study showed that the novel filter configurations
achieved up to 97% Al removal, 71% TOC removal, and 88% NH4(+)-N removal in the
best-performing configuration, although they were not as effective as sand in
terms of permeability. Deconstruction of the filters revealed that the main
clogging mechanism was organic matter build-up at the uppermost layer of the
filters. The clogging layer formed more quickly on the surface of the novel media
when compared to the sand filters, but extended further into the sand filters,
the extent dependent on the loading regime. The study shows the potential for an
alternative filtration configuration, harnessing the adsorption potential of
industrial waste products and natural media.
PMID- 27213864
TI - The influence of fluvial dynamics and North Atlantic swells on the beach habitat
of leatherback turtles at Grande Riviere Trinidad.
AB - Grande Riviere beach, located on the north coast of Trinidad, West Indies, is
internationally recognised as a critical habitat/nesting ground for the
endangered leatherback turtles (Dermochelys coriacea). Episodic extreme flooding
of the Grande Riviere River led to the shifting of the river mouth and resulted
in backshore beach erosion, with the most recent recorded event occurring in
2012. Following this event, the construction of a sand dam to arrest further
erosion which threatened coastal infrastructure, precipitated a host of new
problems ranging from beach instability to public health threats. In January
2013, high energy swell waves naturally in-filled the erosion channel, and the
beach recovery continued over the successive months, thereby rendering the
intervention in the previous year questionable. This paper presents a
geomorphological analysis of beach dynamics for Grande Riviere, within the
context of this erosion event. Data on beach profiles, sediment and coastal
processes were collected using standard geomorphological techniques. Beach
topographic analysis and water quality tests on impounded water in the erosion
channel were conducted. Results indicate that the event created an erosion
channel of 4843.42 m(3) over a contiguous area of 2794.25 m(2). While swell waves
were able to naturally infill the channel, they also eroded 17,762 m(3) of sand
overall across the beach. Water quality tests revealed that the impounded water
was classified as a pollutant, and created challenges for remediation. Hydrologic
and coastal geomorphologic interplay is responsible for the existence and
sustainability of this coastal system. It is also evident that the beach system
is able to recover naturally following extreme events. Our results demonstrate
that effective and integrated management of such critical habitats remains
dependent upon continuous monitoring data which should be used to inform policy
and decision making.
PMID- 27213865
TI - Ranking of industrial forest plantations in terms of sustainability: A
multicriteria approach.
AB - As forest managers and owners must have precise assessments of sustainability, in
this study we have proposed a methodology based on multi-criteria techniques for
assessing sustainability in industrial forest plantations and establishing a
ranking of these plantations in terms of sustainability. First, we identified and
have briefly described a set of sustainability indicators (economic,
environmental and social). Next, we developed a statistical procedure to
determine if a linear relationship existed between the indicators. With this
analysis, the final set of indicators was defined and normalized. Then, we
formulated four goal programming models, by which to aggregate the different
indicators. In these models, we introduced the preferences of the decision makers
for each indicator, using a survey with questions formulated in a pairwise
comparison format. The procedure was applied to 30 Eucalyptus globulus Labill.
plantations in northwestern Spain and 11 indicators were selected in order to
define the sustainability. The results showed several rankings under each goal
programming model. Although the results may not be the same in the different
models, some plantations are always the most sustainable, while others are always
the worst in terms of sustainability. The combination of initial values of
indicators, goal programming models and preferences of stakeholders (preferential
weights and targets) influence the results, and it cannot be predicted a priori
which plantation is the best/worst in terms of sustainability. In our case study,
we show how changes in preferential weights and targets substantially modify the
results obtained.
PMID- 27213866
TI - Dairy shed effluent treatment and recycling: Effluent characteristics and
performance.
AB - Dairy farm milking operations produce considerable amounts of carbon- and
nutrient-rich effluent that can be a vital source of nutrients for pasture and
crops. The study aim was to characterise dairy shed effluent from a commercial
farm and examine the changes produced by treatment, storage and recycling of the
effluent through a two-stage stabilisation pond system. The data and insights
from the study are broadly applicable to passive pond systems servicing intensive
dairy and other livestock operations. Raw effluent contained mostly poorly
biodegradable particulate organic material and organically bound nutrients, as
well as a large fraction of fixed solids due to effluent recycling. The anaerobic
pond provided effective sedimentation and biological treatment, but hydrolysis of
organic material occurred predominantly in the sludge and continually added to
effluent soluble COD, nutrients and cations. Sludge digestion also suppressed pH
in the pond and increased salt levels through formation of alkalinity. High
sludge levels significantly impaired pond treatment performance. In the
facultative pond, BOD5 concentrations were halved; however smaller reductions in
COD showed the refractory nature of incoming organic material. Reductions in
soluble N and P were proportional to reductions in respective particulate forms,
suggesting that respective removal mechanisms were not independent. Conditions in
the ponds were unlikely to support biological nutrient removal. Recycling caused
conservative inert constituents to accumulate within the pond system. Material
leaving the system was mostly soluble (86% TS) and inert (65% TS), but salt
concentrations remained below thresholds for safe land application.
PMID- 27213867
TI - Modelling the impacts of agricultural management practices on river water quality
in Eastern England.
AB - Agricultural diffuse water pollution remains a notable global pressure on water
quality, posing risks to aquatic ecosystems, human health and water resources and
as a result legislation has been introduced in many parts of the world to protect
water bodies. Due to their efficiency and cost-effectiveness, water quality
models have been increasingly applied to catchments as Decision Support Tools
(DSTs) to identify mitigation options that can be introduced to reduce
agricultural diffuse water pollution and improve water quality. In this study,
the Soil and Water Assessment Tool (SWAT) was applied to the River Wensum
catchment in eastern England with the aim of quantifying the long-term impacts of
potential changes to agricultural management practices on river water quality.
Calibration and validation were successfully performed at a daily time-step
against observations of discharge, nitrate and total phosphorus obtained from
high-frequency water quality monitoring within the Blackwater sub-catchment,
covering an area of 19.6 km(2). A variety of mitigation options were identified
and modelled, both singly and in combination, and their long-term effects on
nitrate and total phosphorus losses were quantified together with the 95%
uncertainty range of model predictions. Results showed that introducing a red
clover cover crop to the crop rotation scheme applied within the catchment
reduced nitrate losses by 19.6%. Buffer strips of 2 m and 6 m width represented
the most effective options to reduce total phosphorus losses, achieving
reductions of 12.2% and 16.9%, respectively. This is one of the first studies to
quantify the impacts of agricultural mitigation options on long-term water
quality for nitrate and total phosphorus at a daily resolution, in addition to
providing an estimate of the uncertainties of those impacts. The results
highlighted the need to consider multiple pollutants, the degree of uncertainty
associated with model predictions and the risk of unintended pollutant impacts
when evaluating the effectiveness of mitigation options, and showed that high
frequency water quality datasets can be applied to robustly calibrate water
quality models, creating DSTs that are more effective and reliable.
PMID- 27213868
TI - Neonatal Mortality, Vesicular Lesions and Lameness Associated with Senecavirus A
in a U.S. Sow Farm.
AB - A 300-sow farrow-to-finish swine operation in the United States experienced a
sudden and severe increase in mortality in neonatal piglets with high morbidity
followed by vesicular lesions on the snout and feet of adult females and males.
Affected live piglets were submitted for diagnostic investigation. Samples tested
polymerase chain reaction (PCR) negative for foot-and-mouth disease virus,
porcine delta coronavirus, porcine epidemic diarrhoea virus, porcine rotavirus
types A, B and C, transmissible gastroenteritis virus, and porcine reproductive
and respiratory syndrome virus. Senecavirus A (SV-A) formerly known as Seneca
Valley virus was detected by real-time reverse-transcription polymerase chain
reaction (rRT-PCR) from serum, skin and faeces of piglets and from serum and
faeces of sows. SV-A was isolated in cell culture from piglet samples. SV-A VP1
gene region sequencing from piglet tissues was also successful. A biosecurity and
disease entry evaluation was conducted and identified potential biosecurity risks
factors for the entry of new pathogens into the operation. This is the first case
report in the United States associating SV-A with a clinical course of severe but
transient neonatal morbidity and mortality followed by vesicular lesions in
breeding stock animals. Veterinarians and animal caretakers must remain vigilant
for vesicular foreign animal diseases and report suspicious clinical signs and
lesions to state animal health authorities for diagnostic testing and further
investigation.
PMID- 27213869
TI - Editorial Foreword.
PMID- 27213870
TI - Chairman's Introduction.
PMID- 27213871
TI - Workshop I: The role of porcine factor VIII: Decision-making in congenital and
acquired haemophilia therapy.
PMID- 27213873
TI - Workshop III: Porcine factor VIII: Continuous infusion, immune tolerance
induction and prophylaxis.
PMID- 27213872
TI - Workshop II: Efficacy, safety and treatment cost considerations.
PMID- 27213874
TI - Chairman's Synthesis and closing remarks.
PMID- 27213875
TI - Epilogue.
PMID- 27213876
TI - Appendix A.
PMID- 27213877
TI - What is an Emergency Ethics Consultation?
PMID- 27213878
TI - Process Matters: Notes on Bioethics Consultation.
PMID- 27213879
TI - Consequences for Patients and Their Loved Ones When Physicians Refuse to
Participate in Ethics Consultation Processes.
PMID- 27213880
TI - Roles of Student Ethics Committees in Preparing Future Physicians.
PMID- 27213881
TI - Ethics for Ethicists? The Professionalization of Clinical Ethics Consultation.
PMID- 27213882
TI - Health Care Ethics Consultation via Telemedicine: Linking Expert Clinical
Ethicists and Local Consultants.
PMID- 27213883
TI - International Access to Clinical Ethics Consultation via Telemedicine.
PMID- 27213884
TI - Strategies to Improve Health Care Ethics Consultation: Bridging the Knowledge
Gap.
PMID- 27213885
TI - Health Care Ethics Committees as Mediators of Social Values and the Culture of
Medicine.
PMID- 27213886
TI - Understanding and Utilizing the Convening Power of Ethics Consultation.
PMID- 27213887
TI - Why Did Hospital Ethics Committees Emerge in the US?
PMID- 27213888
TI - Hospital Ethics Committees, Consultants, and Courts.
PMID- 27213889
TI - Photoresponsive Cyanostilbene Bent-Core Liquid Crystals as New Materials with
Light-Driven Modulated Polarization.
AB - Two isomeric cyanostilbene photoswitchable bent-core mesogens with polar liquid
crystal phases in which macroscopic polarization and luminescence can be light
modulated are introduced. Z/E isomerization or [2+2] cycloaddition photochemical
processes occur depending on the chemical structure, which make the compounds
very innovative multifunctional advanced materials.
PMID- 27213890
TI - Anti-GAPDH Autoantibodies as a Pathogenic Determinant and Potential Biomarker of
Neuropsychiatric Diseases.
AB - OBJECTIVE: To investigate the potential role of circulating autoantibodies
specific to neuronal cell surface antigens in the pathophysiology of
neuropsychiatric disorders. METHODS: Two different kinds of immunoscreening
approaches were used to identify autoantigens associated with neuropsychiatric
disorders in the serum of patients with schizophrenia. The presence of
autoantibodies specific to the identified autoantigens was then tested in
patients with various psychiatric disorders and in patients with systemic lupus
erythematosus (SLE) and concomitant neuropsychiatric manifestations. Furthermore,
the potential pathogenic role of these autoantibodies was assessed both in vitro
and in vivo. RESULTS: GAPDH was identified as a novel autoantigen associated with
neuropsychiatric disorders. Serum anti-GAPDH IgG was detected in the serum of 51%
of patients with schizophrenia and 50% of patients with major depression.
Moreover, SLE patients with comorbid psychiatric manifestations presented
significantly higher serum levels of anti-GAPDH antibodies than did SLE patients
without psychiatric manifestations (P = 0.004 by chi-square test). Of note, a
significant positive correlation (R = 0.48, P = 0.0049, by Spearman's rank
correlation test) was found between the levels of serum anti-GAPDH antibodies and
cognitive dysfunction in patients with SLE. In vitro analysis of the effects of
purified human anti-GAPDH autoantibodies on SH-SY5Y cells showed an immediate
neurite retraction. Finally, in vivo administration of anti-GAPDH autoantibodies
in the right cerebral ventricle of C57BL/6J mice resulted in specific behavioral
changes associated with a detrimental cognitive and emotional profile.
CONCLUSION: Overall, these data suggest that anti-GAPDH autoantibodies play a
role in the pathogenesis of neuropsychiatric disorders, thus representing a
potentially promising tool for the screening of individual vulnerability to these
disabling conditions.
PMID- 27213891
TI - Genome-Wide Comparative Analysis of the Phospholipase D Gene Families among
Allotetraploid Cotton and Its Diploid Progenitors.
AB - In this study, 40 phospholipase D (PLD) genes were identified from allotetraploid
cotton Gossypium hirsutum, and 20 PLD genes were examined in diploid cotton
Gossypium raimondii. Combining with 19 previously identified Gossypium arboreum
PLD genes, a comparative analysis was performed among the PLD gene families among
allotetraploid and two diploid cottons. Based on the orthologous relationships,
we found that almost each G. hirsutum PLD had a corresponding homolog in the G.
arboreum and G. raimondii genomes, except for GhPLDbeta3A, whose homolog
GaPLDbeta3 may have been lost during the evolution of G. arboreum after the
interspecific hybridization. Phylogenetic analysis showed that all of the cotton
PLDs were unevenly classified into six numbered subgroups: alpha, beta/gamma,
delta, epsilon, zeta and phi. An N-terminal C2 domain was found in the alpha,
beta/gamma, delta and epsilon subgroups, while phox homology (PX) and pleckstrin
homology (PH) domains were identified in the zeta subgroup. The subgroup phi
possessed a single peptide instead of a functional domain. In each phylogenetic
subgroup, the PLDs showed high conservation in gene structure and amino acid
sequences in functional domains. The expansion of GhPLD and GrPLD gene families
were mainly attributed to segmental duplication and partly attributed to tandem
duplication. Furthermore, purifying selection played a critical role in the
evolution of PLD genes in cotton. Quantitative RT-PCR documented that
allotetraploid cotton PLD genes were broadly expressed and each had a unique
spatial and developmental expression pattern, indicating their functional
diversification in cotton growth and development. Further analysis of cis
regulatory elements elucidated transcriptional regulations and potential
functions. Our comparative analysis provided valuable information for
understanding the putative functions of the PLD genes in cotton fiber.
PMID- 27213892
TI - Psychosis associated with high dose of Zoletil.
PMID- 27213894
TI - Suppression of charcoal rot in soybean by moderately halotolerant Pseudomonas
aeruginosa GS-33 under saline conditions.
AB - Charcoal rot severely limits the soybean crop yield under saline conditions. The
present studies focus on biocontrol and plant growth promoting potential of
phenazine producing moderately halotolerant Pseudomonas aeruginosa (GS-33) in
soybean under saline soil conditions. A marine isolate; GS-33 was identified as
P. aeruginosa based on polyphasic characterization. This strain showed potent in
vitro biocontrol activity against charcoal rot causing fungus Macrophomina
phaseolina. It was capable of producing phenazine-1-carboxylic acid even at
elevated salt concentrations. Moreover, GS-33 possessed other biocontrol traits
like production of siderophores, HCN and protease under saline conditions.
Multiple traits for plant growth promotion such as synthesis of IAA, NH3 , and
solubilization of phosphate were also exhibited by GS-33. Plant growth promoting
and biocontrol control potentials of GS-33 were evaluated by pot assay under
saline soil conditions. Higher biomass and chlorophyll content were observed in
GS-33 treated seedlings. A greater reduction in charcoal rot caused by fungal
pathogens under both normal and saline soil conditions in GS-33 treated seedlings
was observed. In a nut shell, phenazine producing halotolerant strain GS-33 could
mitigate saline soil conditions (abiotic stress) and infestation of M. phaseolina
(biotic stress) in soybean.
PMID- 27213893
TI - SH3-like motif-containing C-terminal domain of staphylococcal teichoic acid
transporter suggests possible function.
AB - The negatively charged bacterial polysaccharides-wall teichoic acids (WTAs) are
synthesized intracellularly and exported by a two-component transporter, TagGH,
comprising a transmembrane subunit TagG and an ATPase subunit TagH. We determined
the crystal structure of the C-terminal domain of TagH (TagH-C) to investigate
its function. The structure shows an N-terminal SH3-like subdomain wrapped by a C
terminal subdomain with an anti-parallel beta-sheet and an outer shell of alpha
helices. A stretch of positively charged surface across the subdomain interface
is flanked by two negatively charged regions, suggesting a potential binding site
for negatively charged polymers, such as WTAs or acidic peptide chains. Proteins
2016; 84:1328-1332. (c) 2016 Wiley Periodicals, Inc.
PMID- 27213895
TI - Mass Spectrometric Collisional Activation and Product Ion Mobility of Human Serum
Neutral Lipid Extracts.
AB - A novel method for lipid analysis called CTS (collisional activation and
traveling wave mass spectrometry), involving tandem mass spectrometry of all
precursor ions with ion mobility determinations of all product ions, was applied
to a sample of human serum. The resulting four-dimensional data set (precursor
ion, product ion, ion mobility value, and intensity) was found to be useful for
characterization of lipids as classes as well as for identification of specific
species. Utilization of ion mobility measurements of the product ions is a novel
approach for lipid analysis. The trends and patterns of product mobility values
when visually displayed yield information on lipid classes and specific species
independent of mass determination. Collection of a comprehensive set of data that
incorporates all precursor-product relationships, combined with ion mobility
measurements of all products, enables data analysis where different molecular
properties can be juxtaposed and analyzed to assist with class and species
identification. Overall, CTS is a powerful, specific, and comprehensive method
for lipid analysis.
PMID- 27213897
TI - HCV counselling in haemophilia care.
AB - The many areas of uncertainty about HCV make counselling patients with
haemophilia and HCV a challenge. In this review a brief summary is made of the
current understanding of the natural history of hepatitis C infection, the modes
of transmission, diagnostic techniques, and treatment options available. This
forms a necessary background to counselling patients and their contacts. Some
difficulties are highlighted and counselling guidelines about the disease with
patients are suggested.
PMID- 27213896
TI - Solanum tuberosum and Lycopersicon esculentum Leaf Extracts and Single
Metabolites Affect Development and Reproduction of Drosophila melanogaster.
AB - Glycoalkaloids are secondary metabolites commonly found in Solanaceae plants.
They have anti-bacterial, anti-fungal and insecticidal activities. In the present
study we examine the effects of potato and tomato leaf extracts and their main
components, the glycoalkaloids alpha-solanine, alpha-chaconine and alpha
tomatine, on development and reproduction of Drosophila melanogaster wild-type
flies at different stages. Parental generation was exposed to five different
concentrations of tested substances. The effects were examined also on the next,
non-exposed generation. In the first (exposed) generation, addition of each
extract reduced the number of organisms reaching the pupal and imaginal stages.
Parent insects exposed to extracts and metabolites individually applied showed
faster development. However, the effect was weaker in case of single metabolites
than in case of exposure to extracts. An increase of developmental rate was also
observed in the next, non-exposed generation. The imagoes of both generations
exposed to extracts and pure metabolites showed some anomalies in body size and
malformations, such as deformed wings and abdomens, smaller black abdominal zone.
Our results further support the current idea that Solanaceae can be an impressive
source of molecules, which could efficaciously be used in crop protection, as
natural extract or in formulation of single pure metabolites in sustainable
agriculture.
PMID- 27213898
TI - Counselling challenges in haemophilia and HIV infection/AIDS.
AB - The advent of AIDS has had such a deep-reaching effect on the international
haemophilia community that one can make a reasonable distinction between a pre
AIDS and a post-AIDS era in haemophilia management. In the context of
counselling, however, talking about a 'before' and an 'after' in haemophilia does
not (and, in our opinion, should not) necessarily imply a separation of the past
from the present. Dealing with the psycho-social implications of haemophilia and
HIV infection does not mean focusing exclusively on HIV-generated problems at the
expense of haemophilia-related issues. Since the HIV crisis, counselling has
posed the multiple challenge of: (a) assessing and alleviating the more immediate
emotional effects of HIV infection; (b) paying due attention to the underlying
influence of haemophilia on reaction, defence and coping; (c) formulating a
flexible approach that is based on close cooperation with the medical staff and
effective interpersonal communication with the counsellees.* In practice, the
flexibility and effectiveness of the counselling model are promoted by means of:
(a) ongoing counselling, (b) multiple counselling sites (i.e. the Haemophilia
Centre and other appropriate locations), (c) interdisciplinary team-work, (d)
respect for individual/ ethnic values, (e) maintenance of exo-empathy (i.e.
neutrality), and (f) transfer of coping skills. The above framework can help
maximize the effectiveness of counselling sessions through a personalized rapport
of mutual trust and confidence between the counselling team and the counsellees.
PMID- 27213899
TI - Current strategy for genetic analysis of haemophilia A families.
AB - Carrier detection and prenatal diagnosis of haemophilia A, which was based in the
last decade mainly on linkage polymorphism analysis, has been greatly facilitated
by the recent discovery that two types of inversion disrupting the factor VIII
gene are common mutations observed in 42-48% of severe haemophilia A cases. In
this study DNA analysis was performed in 64 unrelated severe haemophilia A
patients and 173 women belonging to their families, and in four women from a
family with a deceased haemophilic relative whose DNA was unavailable (a total of
177 females from 65 unrelated families). Factor VIII gene inversions were found
in 32 out of the 65 families (49%), 29 involving recombination with the distal A
gene and three with the proximal A gene. Definitive information regarding
carriership of haemophilia was provided to all 81 women belonging to the 32
inversion-positive families, among them one woman previously uninformative for
any of the polymorphisms examined, five women who were informative only for
extragenic polymorphisms, and four suspected carriers who were relatives of the
deceased haemophiliac. In 33 inversion-negative families, 96 females were
examined by analysis of the BclI restriction fragment length polymorphism (RFLP)
in intron 18 and of the multiallelic dinucleotide repeats in introns 13 and 22,
followed by analysis of other intragenic polymorphisms. This procedure yielded an
informativity rate of almost 100%. Of the 96 females examined by linkage
polymorphism analysis, 78 belonged to 25 families with more than one haemophiliac
and 29 of them were obligate carriers. In 47 of the 49 suspected carriers linkage
polymorphism analysis enabled definition of carriership based on intragenic
polymorphisms. 18 of the 96 females belonged to eight families with sporadic
haemophilia cases and only eight of the 18 suspected carriers could be diagnosed
by exclusion. In nine pregnant women carrying factor VIII gene inversions, mRNA
extracted from chorionic villus samples (CVS) was analysed for factor VIII gene
inversion by reverse transcription/polymerase chain reaction (RT/PCR). This
procedure enabled rapid prenatal diagnoses in six male fetuses. Taken together,
our data indicate that a high rate of informativity and carrier definition is
possible by the strategy of first screening for factor VIII gene inversions, and,
if none are found, sequential use of highly informative intragenic polymorphisms,
followed by less informative intragenic and extragenic polymorphisms.
PMID- 27213900
TI - Analysis of factor VIII gene inversion mutations in 166 unrelated haemophilia A
families: frequency and utility in genetic counselling.
AB - Haemophilia A is an X-linked recessive bleeding disorder of variable severity
that is caused by a deficiency of coagulation factor VIII (FVIII). The disease
results from mutations in the FVIII gene which are heterogenous both in type and
position within the gene. Recently, however, inversion mutations were found to be
common to patients with severe disease (Lakich et al., 1993). These mutations
result from intrachromosomal recombinations between DNA sequences in the A gene
(located in intron 22 of the FVIII gene) and one of two A genes upstream to the
FVIII gene. To determine the frequency of these inversions we performed Southern
blot analysis on banked DNA from 166 consecutive, unrelated haemophilia A
families previously referred for carrier or prenatal testing. In 57/166 (34%)
families an inversion or other unique mutation was detected. The distal and
proximal A genes lying upstream to the FVIII gene were involved in 79% and 18% of
the mutations, respectively, but in 3% of the families the sequences involved in
the mutation have not been identified. In 20/38 (53%) families with severe
disease a mutation was detected. Interestingly, the relative risk of developing
inhibitors in patients with FVIII gene inversions or other 3 degrees mutations
detected by this assay, as compared to patients with no detectable mutation by
this assay, was 3.8. In families for which a mutation is detected, direct DNA
testing is an accurate and inexpensive alternative to linkage analysis for
prenatal or haemophilia A carrier testing.
PMID- 27213901
TI - Factor IX gene mutations in haemophilia B: a New Zealand population-based study.
AB - Haemophilia B (Christmas disease) is an X-linked bleeding disorder resulting from
an inherited deficiency of coagulation factor IX activity. Due to the
heterogeneity of mutations within the factor IX gene there is a marked clinical
variability in disease severity. By applying techniques of mutational analysis
and direct sequencing of PCR products it is now potentially possible to determine
the pathogenic gene defect in entire haemophilia B populations. We report here
characterization of the factor IX gene defect in all the haemophilia B patients
in New Zealand as part of a nationwide approach towards providing efficient and
cost-effective haemophilia B genetic counselling services for these families.
Twenty-six different mutations were identified in 32 unrelated haemophilia B
families. Three defects at nucleotide positions +8,6659 and 17696 are novel
mutations which have not been reported by other laboratories. A PCR-based
diagnostic screening test for direct mutational analysis could be performed in
most cases; 17 of the 26 mutations altered a restriction enzyme recognition
sequence and, with the exception of the total gene deletion, base changes not
affecting a restriction enzyme site could be detected by allele-specific PCR.
PMID- 27213902
TI - Prevalence of factor IX inhibitors among patients with haemophilia B: results of
a large-scale North American survey.
AB - This survey provides new information on the severity of factor IX deficiencies
among patients being treated for haemophilia B and on the prevalence of factor IX
inhibitors in this population. A questionnaire was sent to 150 haemophilia
treatment centres in the United States and Canada. 82 centres responded and
provided data on 1967 patients with haemophilia B. 37% of these patients had
severe haemophilia B (<1% of the normal level of factor IX), 33% had moderate
haemophilia B (1-5% of the normal level of factor IX), and 30% had mild
haemophilia B (>5% of the normal level of factor IX). Only 29 (1.5%) of the
patients had factor IX inhibitors; 28 of these patients (96.6%) had severe
haemophilia B, and one of these patients (3.4%) had moderate haemophilia B.
Factor IX inhibitor titres were 0.6-1 Bethesda unit (BU) in seven patients, > 1-5
BU in four patients, > 5-10 BU in one patient, and > 10 BU in 17 patients. Factor
IX inhibitors are much less common in patients with haemophilia B than in
patients with haemophilia A.
PMID- 27213903
TI - A post-marketing safety and efficacy assessment of a monoclonal antibody purified
high-purity factor VIII concentrate.
AB - The identification of infrequent side-effects of clotting factor concentrates,
undetected by clinical trials, is facilitated by post-marketing surveillance. We
present a post-marketing surveillance study in which 97 patients with haemophilia
A, attending three haemophilia centres, were treated over a median follow-up
period of 284 days (range 1-1074), and a total follow-up period of 30,080 days,
with a pasteurized immunoaffinity purified factor VIII concentrate (Monoclate-P,
Armour, Collegeville, USA). 5216 infusions, using 10,527,000 units of Monoclate
P, were carried out, mostly for routine haemarthroses or prophylaxis. No new
inhibitors were observed during the study. At the start of the study 60/97 were
HIV seropositive, 67/97 HBs antibody positive, 12 HbsAb negative and the
remainder HBsAb positive before the study period. 13/14 tested were HAV
seropositive at the beginning of the study. One patient became HAV seropositive
during the study period, an infection thought to be community acquired. No other
seroconversions were observed. Only one mild transfusion reaction was observed.
This study confirms the safety and efficacy of Monoclate-P. Post-marketing
surveillance or nationally organized pharmaco-vigilance should be practiced more
widely to enable identification of low-frequency side-effects of treatment.
PMID- 27213904
TI - Long-term immunogenicity and safety of an inactivated hepatitis A vaccine in
haemophilic patients.
AB - As a consequence of recent outbreaks of HAV infection by blood products, 91
patients, haemophiliacs and subjects with bleeding disorders (10 of whom were
also anti-HIV positive) susceptible to HAV infection received a formalin
inactivated hepatitis A vaccine (HAVRIX 720 Elisa Units, SmithKline Beecham).
Subcutaneous injections were given in the deltoid region at 0, 1 and 6 months.
The seroconversion rates and litres, expressed in GMT IU/1, were determined at 1,
2, 6, 7, 12, 18 and 24 months. No adverse reactions to the vaccine were observed.
The highest percentage of responders observed was 98.7% in anti-HIV negative and
71.4% in anti-HIV positive patients. The anti-HAV GMT titres were higher in anti
HIV negative than in anti-HIV positive patients. The inactivated hepatitis A
vaccine is safe, clinically well tolerated, and provides long-term protection
against HAV infection.
PMID- 27213905
TI - Development of a World Federation of Hemophilia External Quality Assessment
Scheme: results of a pilot study.
AB - A World Federation of Hemophilia External Quality Assessment Scheme has been
established to promote high standards of laboratory performance in haemophilia
centres worldwide. Twenty-two International Haemophilia Training Centres (IHTCs)
participated in a pilot study designed to assess between-laboratory agreement and
to establish target values for the prothrombin time, activated partial
thromboplastin time, factor VIII:C, IX:C and von Willebrand factor assays.
Although variations in results and clinical interpretations were observed between
the centres, median results and assay precision were comparable to that seen in
the United Kingdom National External Quality Assessment Scheme. IHTC-generated
median results were therefore considered appropriate target values against which
to compare the performance of haemophilia centres in developing countries.
PMID- 27213906
TI - The fixed flexed and subluxed knee in the haemophilic child: what should be done?
AB - Knee haemarthroses are very common in the haemophiliac and often, despite
infusion of the missing coagulation factor, synovitis develops. The warm swollen
joint is maintained in the most comfortable position for the haemophiliac:
flexion. Ambulation is achieved by planterflexion of the ankle joint and
toewalking. As the chronic synovitis persists, the range of movement of the knee
is affected, with loss of full extension. Development of radiological
degenerative signs develop. The quadriceps muscle usually weaken due to disuse,
but the hamstrings are active in maintaining the flexion of the joint. As the
process continues, the tibia subluxes posteriorly on the condyles of the femur.
The posterior capsule of the knee joint soon contracts, permanently limiting knee
extension. A case is described on whom an Ilizarov device was used to gradually
return the limb to a function position. This will allow the patient to complete
his growth prior to a definitive orthopaedic procedure.
PMID- 27213907
TI - Association of severe haemophilia A and factor V Leiden: report of three cases.
AB - The clinical severity of haemophilia is usually related to residual clotting
factor activity. Reports of factor V deficiency or protein C have been described
in haemophilic patients and both are involved in the activated protein C pathway.
Recently, the poor response to activated protein C due to factor V Leiden (FQ506)
has been associated with an increased risk of thrombosis and its incidence in the
normal population is given as 2-7%. We describe three cases of severe haemophilia
A heterozygous for factor V Leiden and its influence on phenotype.
PMID- 27213908
TI - Third Musculoskeletal Congress of the World Federation of Hemophilia, Herzilya,
Israel, 17-20 June 1995: a review of the scientific programme.
PMID- 27213909
TI - Emile Remigy and the discovery of anti-haemophilic activity in cryoprecipitate.
PMID- 27213911
TI - Ocular findings in HIV-infected haemophiliacs.
PMID- 27213912
TI - Efficacy of high-temperature dry heat in inactivating parvovirus.
PMID- 27213913
TI - Adaptive contrast weighted learning for multi-stage multi-treatment decision
making.
AB - Dynamic treatment regimes (DTRs) are sequential decision rules that focus
simultaneously on treatment individualization and adaptation over time. To
directly identify the optimal DTR in a multi-stage multi-treatment setting, we
propose a dynamic statistical learning method, adaptive contrast weighted
learning. We develop semiparametric regression-based contrasts with the
adaptation of treatment effect ordering for each patient at each stage, and the
adaptive contrasts simplify the problem of optimization with multiple treatment
comparisons to a weighted classification problem that can be solved by existing
machine learning techniques. The algorithm is implemented recursively using
backward induction. By combining doubly robust semiparametric regression
estimators with machine learning algorithms, the proposed method is robust and
efficient for the identification of the optimal DTR, as shown in the simulation
studies. We illustrate our method using observational data on esophageal cancer.
PMID- 27213914
TI - Implementing MACRA: Implications for Physicians and for Physician Leadership.
PMID- 27213915
TI - A novel blood incubation system for the in-vitro assessment of interactions
between platelets and biomaterial surfaces under dynamic flow conditions: The
Hemocoater.
AB - Hemocompatibility evaluation of biomaterials necessitates the use of blood
incubation systems which simulate physiological flow conditions. However, most of
the current systems have various limitations, especially restricted material
variability, poor access to the test surface or damage of blood cells due to the
use of a pump. In this paper, we combined the advantages of existent setups and
developed a new planar shaped incubation test bench to lift those restrictions
and mimic the pulsatile in-vivo situation. The adjustable flow conditions at the
tested material surface were defined and corresponded to those in blood vessels.
Platelet/material-interaction, as major aspect of hemocompatibility, was
investigated for four common polymeric materials (polyoxymethylene,
polypropylene, polyethylene and silicone elastomer) with platelet deprivation and
platelet adhesion tests. Highly significant differences in the adhesion of
platelets onto the tested material surfaces were measured. The number of adhered
platelets on the most hydrophobic sample (silicone elastomer) was four-times
higher than on the most hydrophilic sample (polyoxymethylene). These findings
were confirmed with a scanning microscopic analysis and demonstrated the
suitability of the testing device for the evaluation of platelet/material
interactions. Moreover, hemolysis measurements demonstrated that the system did
not provoke blood damage. (c) 2016 Wiley Periodicals, Inc. J Biomed Mater Res
Part A: 104A: 2430-2440, 2016.
PMID- 27213916
TI - The descending branch of the lateral circumflex femoral artery as an alternative
conduit for coronary artery bypass grafting: Experience from an anatomical,
radiological and histological study.
AB - The descending branch of the lateral circumflex femoral artery (DBLCFA) has been
suggested as an option for use in coronary artery bypass grafting (CABG). Our aim
was to combine radiological examination, surgical and anatomical preparation, and
histological assessment of the DBLCFA to map its variability and to assess the
benefits of this conduit in cardiac surgery. The pelvic and femoral arteries were
examined by CT angiography (CTA) in 100 patients (aged 68.3 +/- 9.3 years) to
assess the variability of the DBLCFA. Anatomical dissections were performed on 20
cadavers. In 15 patients, an autologous DBLCFA was implanted during CABG. In 35
samples, possible atherosclerotic lesions were examined histologically. The
length of the potential DBLCFA conduits measured by CTA was 9.3 +/- 2.9 cm,
without correlating with the length of the thigh. Anatomical variations that
would prevent the DBLCFA from being used in CABG were found in 27 out of 100
patients. Except for focal thickening of the intima, eccentric hypertrophy of the
intima was found in three out of 35 samples. No inflammatory infiltration, foam
cells, atheroma, or calcifications were found histologically. The DBLCFA is not
to be used routinely or in preference to other grafts of choice. However, owing
to its moderate variability, sufficient length, caliber, and rare
atherosclerosis, it can be used in the absence of other suitable grafts as an
alternative conduit implanted as a composite Y-graft end-to-side to the internal
thoracic artery in patients without diabetic angiopathy, neuropathy or peripheral
artery disease who are undergoing extensive or repeat coronary revascularization.
Clin. Anat. 29:779-788, 2016. (c) 2016 Wiley Periodicals, Inc.
PMID- 27213917
TI - Enhanced Photoelectrocatalytic Decomplexation of Cu-EDTA and Cu Recovery by
Persulfate Activated by UV and Cathodic Reduction.
AB - In order to enhance Cu-EDTA decomplexation and copper cathodic recovery via the
photoelectrocatalytic (PEC) process, S2O8(2-) was introduced into the PEC system
with a TiO2/Ti photoanode. At a current density of 0.2 mA/cm(2) and initial
solution pH of 3.0, the decomplexation ratio of Cu complexes was increased from
47.5% in the PEC process to 98.4% with 5 mM S2O8(2-) addition into the PEC
process (PEC/S2O8(2-)). Correspondently, recovery percentage of Cu was increased
to 98.3% from 47.4% within 60 min. It was observed that nearly no copper recovery
occurred within the initial reaction period of 10 min. Combined with the analysis
of ESR and electrochemical LSV curves, it was concluded that activation of S2O8(2
) into SO4(.-) radicals by cathodic reduction occurred, which was prior to the
reduction of liberated Cu(2+) ions. UV irradiation of S2O8(2-) also led to the
production of SO4(.-). The generated SO4(.-) radicals enhanced the oxidation of
Cu-EDTA. After the consumption of S2O8(2-), the Cu recovery via cathodic
reduction proceeded quickly. Acidification induced by the transformation of SO4(.
) to OH. favored the copper cathodic recovery. The combined PEC/S2O8(2-) process
was also efficient for the TOC removal from a real electroplating wastewater with
the Cu recovery efficiency higher than 80%.
PMID- 27213918
TI - Cullin 3 targets methionine adenosyltransferase IIalpha for ubiquitylation
mediated degradation and regulates colorectal cancer cell proliferation.
AB - Cullin 3 (CUL3) serves as a scaffold protein and assembles a large number of
ubiquitin ligase complexes. It is involved in multiple cellular processes and
plays a potential role in tumor development and progression. In this study, we
demonstrate that CUL3 targets methionine adenosyltransferase IIalpha (MAT
IIalpha) and promotes its proteasomal degradation through the ubiquitylation
mediated pathway. MAT IIalpha is a key enzyme in methionine metabolism and is
associated with uncontrolled cell proliferation in cancer. We presently found
that CUL3 down-regulation could rescue folate deprivation-induced MAT IIalpha
exhaustion and growth arrest in colorectal cancer (CRC) cells. Further results
from human CRC samples display an inverse correlation between CUL3 and MAT
IIalpha protein levels. Our observations reveal a novel role of CUL3 in
regulating cell proliferation by controlling the stability of MAT IIalpha.
PMID- 27213920
TI - Prediction of soft tissue deformations after CMF surgery with incremental kernel
ridge regression.
AB - Facial soft tissue deformation following osteotomy is associated with the
corresponding biomechanical characteristics of bone and soft tissues. However,
none of the methods devised to predict soft tissue deformation after osteotomy
incorporates population-based statistical data. The aim of this study is to
establish a statistical model to describe the relationship between biomechanical
characteristics and soft tissue deformation after osteotomy. We proposed an
incremental kernel ridge regression (IKRR) model to accomplish this goal. The
input of the model is the biomechanical information computed by the Finite
Element Method (FEM). The output is the soft tissue deformation generated from
the paired pre-operative and post-operative 3D images. The model is adjusted
incrementally with each new patient's biomechanical information. Therefore, the
IKRR model enables us to predict potential soft tissue deformations for new
patient by using both biomechanical and statistical information. The integration
of these two types of data is critically important for accurate simulations of
soft-tissue changes after surgery. The proposed method was evaluated by leave-one
out cross-validation using data from 11 patients. The average prediction error of
our model (0.9103mm) was lower than some state-of-the-art algorithms. This model
is promising as a reliable way to prevent the risk of facial distortion after
craniomaxillofacial surgery.
PMID- 27213919
TI - Larval development rates of Chrysomya rufifacies Macquart, 1842 (Diptera:
Calliphoridae) within its native range in South-East Asia.
AB - Chrysomya rufifacies represents an important indicator species in forensic
entomology that is often used to estimate the minimum postmortem interval
(PMImin) in crime scene investigation. However, developmental rates differ
locally, so that estimates should be based on regionally generated development
data. Therefore, we determined the developmental rates of C. rufifacies within
its native range in Thailand under nine constant temperature regimes: 15, 18, 21,
24, 27, 30, 33, 36 and 39 degrees C. Developmental times from egg to adult varied
among the temperatures and were longest at 15 degrees C (618h) and shortest at 33
degrees C (168h). No pupae emerged at 39 degrees C. We used linear regression
models to estimate the minimum development threshold temperatures for each life
stage: egg stage=9.5 degrees C, first to second instar=10.8 degrees C, second to
third instar=11.5 degrees C, third instar to pupariation=11.4 degrees C,
pupariation to adults=5.0 degrees C; the minimum threshold to complete all larvae
stages was 11.1 degrees C and to complete all life stages from eggs to adult was
9.5 degrees C. We further generated isomorphen and isomegalen diagrams that can
be used to quickly estimate the PMImin for forensic applications.
PMID- 27213921
TI - Single Defect Light-Emitting Diode in a van der Waals Heterostructure.
AB - Single defects in monolayer WSe2 have been shown to be a new class of single
photon emitters and have potential applications in quantum technologies. Whereas
previous work relied on optical excitation of single defects in isolated WSe2
monolayers, in this work we demonstrate electrically driven single defect light
emission by using both vertical and lateral van der Waals heterostructure
devices. In both device geometries, we use few layer graphene as the source and
drain and hexagonal boron nitride as the dielectric spacer layers for engineered
tunneling contacts. In addition, the lateral devices utilize a split back gate
design to realize an electrostatically defined p-i-n junction. At low current
densities and low temperatures (~5 K), we observe narrow spectral lines in the
electroluminescence (EL) whose properties are consistent with optically excited
defect bound excitons. We show that the emission originates from spatially
localized regions of the sample, and the EL spectrum from single defects has a
doublet with the characteristic exchange splitting and linearly polarized
selection rules. All are consistent with previously reported single photon
emitters in optical measurements. Our results pave the way for on-chip and
electrically driven single photon sources in two-dimensional semiconductors for
quantum technology applications.
PMID- 27213924
TI - A review of the current practice in diagnosis and management of visual complaints
associated with concussion and postconcussion syndrome.
AB - PURPOSE OF REVIEW: Concussions and their related sequelae have received
significant attention given the high-profile media coverage from professional
sports and recreational leagues. A better understanding of the diagnosis and
symptom management may limit the long-term impact these injuries have on the
affected individual. The aim of this review is to provide updated information for
both diagnosis and ongoing management for visual symptoms of concussions. RECENT
FINDINGS: New testing including a brief vestibular/ocular motor screening
assessment and the importance of near point of convergence measurements may prove
beneficial to the diagnosis and identification of patients at greater risk for
developing postconcussion syndrome. Additionally, the development of
postconcussion syndrome is more likely when symptom burden is greater upon
presentation. SUMMARY: Currently, there is not a single testing method that can
universally identify all individuals with concussion. Current management of
concussion focuses on targeted treatment based upon symptoms and signs present at
onset to decrease disease burden and help restore baseline functioning as soon as
possible.
PMID- 27213922
TI - Light-Responsive Biodegradable Nanomedicine Overcomes Multidrug Resistance via NO
Enhanced Chemosensitization.
AB - Multidrug resistance (MDR) is responsible for the relatively low effectiveness of
chemotherapeutics. Herein, a nitric oxide (NO) gas-enhanced chemosensitization
strategy is proposed to overcome MDR by construction of a biodegradable
nanomedicine formula based on BNN6/DOX coloaded monomethoxy(polyethylene glycol)
poly(lactic-co-glycolic acid) (mPEG-PLGA). On one hand, the nanomedicine features
high biocompatibility due to the high density of PEG and biodegradable PLGA. On
the other hand, the nanoformula exhibits excellent stability under physiological
conditions but exhibits stimuli-responsive decomposition of BNN6 for NO gas
release upon ultraviolet-visible irradiation. More importantly, after NO release
is triggered, gas molecules are generated that break the nanoparticle shell and
lead to the release of doxorubicin. Furthermore, NO was demonstrated to reverse
the MDR of tumor cells and enhance the chemosensitization for doxorubicin
therapy.
PMID- 27213923
TI - Through the Looking Glass: Time-lapse Microscopy and Longitudinal Tracking of
Single Cells to Study Anti-cancer Therapeutics.
AB - The response of single cells to anti-cancer drugs contributes significantly in
determining the population response, and therefore is a major contributing factor
in the overall outcome. Immunoblotting, flow cytometry and fixed cell experiments
are often used to study how cells respond to anti-cancer drugs. These methods are
important, but they have several shortcomings. Variability in drug responses
between cancer and normal cells, and between cells of different cancer origin,
and transient and rare responses are difficult to understand using population
averaging assays and without being able to directly track and analyze them
longitudinally. The microscope is particularly well suited to image live cells.
Advancements in technology enable us to routinely image cells at a resolution
that enables not only cell tracking, but also the observation of a variety of
cellular responses. We describe an approach in detail that allows for the
continuous time-lapse imaging of cells during the drug response for essentially
as long as desired, typically up to 96 hr. Using variations of the approach,
cells can be monitored for weeks. With the employment of genetically encoded
fluorescent biosensors numerous processes, pathways and responses can be
followed. We show examples that include tracking and quantification of cell
growth and cell cycle progression, chromosome dynamics, DNA damage, and cell
death. We also discuss variations of the technique and its flexibility, and
highlight some common pitfalls.
PMID- 27213925
TI - Orbital sarcomas in retinoblastoma patients: recommendations for screening and
treatment guidelines.
AB - PURPOSE OF REVIEW: Retinoblastoma is the most common primary ocular malignancy in
children. Although currently retinoblastoma has an excellent survival rate in
developed countries, hereditary retinoblastoma survivors as well as those with a
history of radiation therapy as children are at an increased risk for second
primary tumors (SPTs), and specifically, for orbital sarcomas. Despite the known
increased risk for SPTs in retinoblastoma survivors and the associated morbidity
and mortality, no screening or treatment guidelines exist. RECENT FINDINGS:
Understanding of retinoblastoma tumorigenesis and genomic expression has expanded
significantly, and treatment has evolved with a shift away from radiotherapy.
Until the last two decades, however, radiation was the therapy of choice for
patients with bilateral disease. Because both hereditary retinoblastoma and
radiation are independent risk factors for the development of SPTs such as
sarcomas and these SPTs are often fatal, appropriate surveillance for
retinoblastoma survivors is crucial. SUMMARY: As a result of the excellent
survival rates for retinoblastoma patients, it is important to: recognize the
risk of sarcoma, particularly in patients with hereditary retinoblastoma and/or
prior radiation therapy; establish a screening protocol, such as the one
proposed, to maximize early detection; and discuss and develop treatment
guidelines for high-risk patients. Future directions of research for these
patients will involve the development of molecularly targeted agents and the use
of proton radiotherapy.
PMID- 27213926
TI - Management of epiblepharon: state of the art.
AB - PURPOSE OF REVIEW: Epiblepharon is prevalent in East-Asian children. As the
population in Asia is increasing, so is the demand for epiblepharon surgery.
Surgeons should be familiar with the standards of beauty and needs of Asian
people for epiblepharon management. This review provides a comprehensive summary
of the published studies on the clinical manifestations, pathophysiology, and
management of epiblepharon. RECENT FINDINGS: Astigmatism is prevalent in
epiblepharon patients, which can contribute to amblyopia. Early surgery and
visual rehabilitation is important for epiblepharon patients with a high degree
of astigmatism and amblyopia. Various etiologic factors play a role in the
pathophysiology of epiblepharon. Surgical procedures focusing on creation of a
lower eyelid crease have been popular for treating epiblepharon in Western
textbooks; however, this is not appropriate for Asian patients in whom, a crease
may be undesirable. In selecting surgical methods, it is important to resect a
minimal amount of skin-muscle to avoid the adverse effects of ectropion and
eyelid retraction. SUMMARY: Although epiblepharon may resolve with facial growth,
surgical correction is needed for cases in which there is significant corneal
injury from the lash touch. Surgical management should focus on techniques that
are effective, with little chance of complication, and do not create a lower
eyelid crease.
PMID- 27213928
TI - Relaxation and physical aging in network glasses: a review.
AB - Recent progress in the description of glassy relaxation and aging are reviewed
for the wide class of network-forming materials such as GeO2, Ge x Se1-x ,
silicates (SiO2-Na2O) or borates (B2O3-Li2O), all of which have an important
usefulness in domestic, geological or optoelectronic applications. A brief
introduction of the glass transition phenomenology is given, together with the
salient features that are revealed both from theory and experiments. Standard
experimental methods used for the characterization of the slowing down of the
dynamics are reviewed. We then discuss the important role played by aspects of
network topology and rigidity for the understanding of the relaxation of the
glass transition, while also permitting analytical predictions of glass
properties from simple and insightful models based on the network structure. We
also emphasize the great utility of computer simulations which probe the dynamics
at the molecular level, and permit the calculation of various structure-related
functions in connection with glassy relaxation and the physics of aging which
reveal the non-equilibrium nature of glasses. We discuss the notion of spatial
variations of structure which leads to the concept of 'dynamic heterogeneities',
and recent results in relation to this important topic for network glasses are
also reviewed.
PMID- 27213927
TI - The evolving role of the oculoplastic surgeon in skull base surgery.
AB - PURPOSE OF REVIEW: Classical orbital approaches in skull base surgery have
involved large incisions with extensive bone removal resulting in prolonged
recovery with associated morbidity and mortality. The purpose of this review is
to explore recent advances in skull base surgery that are applicable to the
orbital surgeon. RECENT FINDINGS: Transnasal endoscopic surgery provides access
to the medial 180 degrees of the orbit. Access to the lateral 180 degrees may be
obtained using transmaxillary and transcranial techniques. Transorbital
approaches and multiport techniques further expand the reach of the skull base
surgeon. These minimally invasive techniques are supplanting the classical
pterional, frontotemporal, frontotemporal orbitozygomatic, frontal, and
subfrontal approaches. SUMMARY: The role of the orbital surgeon in skull base
surgery is changing. Transnasal and transcranial approaches to orbital disorders
using minimally invasive techniques are becoming more common. In addition,
transorbital access to the skull base, paranasal sinuses, and anterior and middle
cranial fossa is offering new opportunities for the orbital surgeon.
PMID- 27213929
TI - Comparison of the Quality of Life for Thyroid Cancer Survivors Who Had Open
Versus Robotic Thyroidectomy.
AB - OBJECTIVES: The purpose of this study was to compare the quality of life (QoL)
between conventional open and robotic total thyroidectomy in papillary thyroid
carcinoma. MATERIALS AND METHODS: From January 2011 to July 2013, 229 patients
(112 robot and 117 open) were randomly selected. QoL, including overall
satisfaction, cosmetic results, voice/sensory change, postoperative pain and
impairment of swallowing, neck/shoulder movement, and physical/psychological
activity, was recorded. RESULTS: The follow-up period was 32.3 +/- 6.3 months.
The satisfaction levels of the overall and cosmetic results were 8.95 +/- 1.23,
8.40 +/- 1.20 and 3.86 +/- 0.04, 3.21 +/- 0.10 for robotic and open surgery,
respectively; these differences were statistically significant (P = .006, <.001).
The sensory change might be more noticeable with robotic thyroidectomy (P =
.064). There were no differences in the results concerning other variables among
the two groups. CONCLUSION: Robotic thyroidectomy shows comparable results to
conventional open thyroidectomy in terms of the postoperative long-term QoL.
PMID- 27213930
TI - 24-hour consultant labour ward cover should be mandatory in tertiary obstetric
hospitals: AGAINST: 24-hour consultant presence doesn't enhance training and
supervision of trainees.
PMID- 27213931
TI - Long-term effects of electrotactile sensory substitution therapy on balance
disorders.
AB - This clinical research investigated whether a new type of rehabilitation therapy
involving the use of a vestibular substitution tongue device (VSTD) is effective
for severe balance disorders caused by unilateral vestibular loss. Sixteen
patients with postural imbalances because of unilateral vestibular loss underwent
training with VSTD. The VSTD transmits information on the head position to the
brain through the tongue as substitutes for the lost vestibular information. The
device's electrode array was placed on the tongue and participants were trained
to maintain a centered body position by ensuring the electrical signals in the
center of their tongue. All participants completed 10 min training sessions 2-3
times per day for 8 weeks. Functional gait assessments and the dizziness handicap
inventory were, respectively, used to the evaluate participants' dynamic gait
function and their severity of balance problems before and after the training
period. All examined parameters improved after the 8-week training period. These
changes were maintained for up to 2 years after the termination of the training
program. Short-term training with VSTD had beneficial carry-over effects. VSTD
training might represent a useful rehabilitation therapy in individuals with
persistent balance disorders and might lead to long-term improvements in their
balance performance and ability to perform daily and social activities.
PMID- 27213932
TI - Colocalization of insulin-like growth factor-1 receptor and T type Cav3.2 channel
in dorsal root ganglia in chronic inflammatory pain mouse model.
AB - Insulin-like growth factor-1 (IGF-1) is a neurotrophic factor and plays important
roles in the nervous system. Increasing evidence supports that IGF-1 contributes
to pain hypersensitivity through its insulin-like growth factor-1 receptor (IGF
1R) by activating IGF-1R/Akt or MAPK signaling pathways, whereas T-type Cav3.2
channel can facilitate and amplify pain signals originating from the sensory
periphery. A recent study showed that activated IGF-1R can increase T-type Cav3.2
channel currents and further activate the G protein-dependent PKCalpha pathway to
contribute to inflammatory pain sensitivity. However, the colocalization of IGF
1R and Cav3.2 in mouse dorsal root ganglion (DRG) under chronic inflammatory pain
conditions remains elusive. In this study, we investigated changes in the
expression of IGF-1R and the Cav3.2 channel, and their colocalization in mouse
DRGs in chronic inflammatory pain condition (induced by complete Freund's
adjuvant intraplanter injection) using real-time RT-PCR and immunohistochemistry
approaches to confirm that Cav3.2 channel can mediate pain facilitation following
IGF-1/IGF-1R signaling. We found that IGF-1R was expressed extensively in DRG
neurons including small-, medium-, and large-sized neurons, whereas Cav3.2
channel was expressed exclusively in small-sized DRG neurons of naive mice.
Expression of Cav3.2, but not IGF-1R, and colocalization of Cav3.2 and IGF-1R
were increased in lumbar (L)4-L6 primary sensory neurons in DRGs of mice in
chronic inflammatory pain. Moreover, the increased colocalization of IGF-1R and
Cav3.2 is exclusively localized in small- and medium-sized primary sensory
neurons. Our findings provided morphological evidence that T-type Cav3.2 channel,
at least partially, mediates the pain facilitation of IGF-1/IGF-1R signaling in
chronic inflammatory pain condition.
PMID- 27213933
TI - Prolonged hippocampal cell death following closed-head traumatic brain injury in
rats.
AB - Traumatic brain injury (TBI) leads to enduring cognitive disorders. Although
recent evidence has shown that controlled cortical impact in a rodent may induce
memory deficits with prolonged cell death in the dentate gyrus (DG) of the
hippocampus, few studies have reported long-term chronic hippocampal cell death
following 'closed-head' TBI (cTBI), the predominant form of human TBI. Therefore,
the aim of this study was to quantify terminal deoxynucleotidyl transferase dUTP
nick end labeling (TUNEL)(+) apoptotic hippocampal cells as well as hippocampal
cells with hallmark morphological features of degenerating cells in a chronic
setting of cTBI in rats. TUNEL assays and Cresyl violet staining were performed
using 6-month post-TBI fixed hippocampal sections. Evidence of prolonged
hippocampal cell death was shown by the presence of a significantly increased
number of TUNEL(+) cells in the cornu ammonis 1-3 (CA1-CA3) and DG of the
hippocampus compared with intact controls. In addition, Cresyl violet staining
indicated a significantly elevated number of cells with the degenerative
morphological features in all hippocampal subregions (CA1-CA3, hilus, and DG).
These results suggest that prolonged cell death may occur in multiple regions of
the hippocampus following cTBI.
PMID- 27213934
TI - Nitrogen Addition Altered the Effect of Belowground C Allocation on Soil
Respiration in a Subtropical Forest.
AB - The availabilities of carbon (C) and nitrogen (N) in soil play an important role
in soil carbon dioxide (CO2) emission. However, the variation in the soil
respiration (Rs) and response of microbial community to the combined changes in
belowground C and N inputs in forest ecosystems are not yet fully understood.
Stem girdling and N addition were performed in this study to evaluate the effects
of C supply and N availability on Rs and soil microbial community in a
subtropical forest. The trees were girdled on 1 July 2012. Rs was monitored from
July 2012 to November 2013, and soil microbial community composition was also
examined by phospholipid fatty acids (PLFAs) 1 year after girdling. Results
showed that Rs decreased by 40.5% with girdling alone, but N addition only did
not change Rs. Interestingly, Rs decreased by 62.7% under the girdling with N
addition treatment. The reducing effect of girdling and N addition on Rs differed
between dormant and growing seasons. Girdling alone reduced Rs by 33.9% in the
dormant season and 54.8% in the growing season compared with the control. By
contrast, girdling with N addition decreased Rs by 59.5% in the dormant season
and 65.4% in the growing season. Girdling and N addition significantly decreased
the total and bacterial PLFAs. Moreover, the effect of N addition was greater
than girdling. Both girdling and N addition treatments separated the microbial
groups on the basis of the first principal component through principal component
analysis compared with control. This indicated that girdling and N addition
changed the soil microbial community composition. However, the effect of girdling
with N addition treatment separated the microbial groups on the basis of the
second principal component compared to N addition treatment, which suggested N
addition altered the effect of girdling on soil microbial community composition.
These results suggest that the increase in soil N availability by N deposition
alters the effect of belowground C allocation on the decomposition of soil
organic matter by altering the composition of the soil microbial community.
PMID- 27213935
TI - Try-in Pastes Versus Resin Cements: A Color Comparison.
AB - This study aimed to compare the color of ceramic veneer restorations using
different shades of try-in pastes and resin cement. Researchers found no
differences between try-in pastes and resin cements after cementation.
PMID- 27213936
TI - Comparing the pH Change of Local Anesthetic Solutions Using Two Chairside
Buffering Techniques.
AB - Researchers compared two methods-hand mixing and a commercial system-for
buffering local anesthesia in seven commercially available dental preparations.
PMID- 27213937
TI - Experimental Assessment of Mouse Sociability Using an Automated Image Processing
Approach.
AB - Mouse is the preferred model organism for testing drugs designed to increase
sociability. We present a method to quantify mouse sociability in which the test
mouse is placed in a standardized apparatus and relevant behaviors are assessed
in three different sessions (called session I, II, and III). The apparatus has
three compartments (see Figure 1), the left and right compartments contain an
inverted cup which can house a mouse (called "stimulus mouse"). In session I, the
test mouse is placed in the cage and its mobility is characterized by the number
of transitions made between compartments. In session II, a stimulus mouse is
placed under one of the inverted cups and the sociability of the test mouse is
quantified by the amounts of time it spends near the cup containing the enclosed
stimulus mouse vs. the empty inverted cup. In session III, the inverted cups are
removed and both mice interact freely. The sociability of the test mouse in
session III is quantified by the number of social approaches it makes toward the
stimulus mouse and by the number of times it avoids a social approach by the
stimulus mouse. The automated evaluation of the movie detects the nose of the
test mouse, which allows the determination of all described sociability measures
in session I and II (in session III, approaches are identified automatically but
classified manually). To find the nose, the image of an empty cage is digitally
subtracted from each frame of the movie and the resulting image is binarized to
identify the mouse pixels. The mouse tail is automatically removed and the two
most distant points of the remaining mouse are determined; these are close to
nose and base of tail. By analyzing the motion of the mouse and using continuity
arguments, the nose is identified. Figure 1. Assessment of Sociability During 3
sessions. Session I (top): Acclimation of test mouse to the cage. Session II
(middle): Test mouse moving freely in the cage while the stimulus mouse is
enclosed in an inverted cup. Session III (bottom): Both test mouse and stimulus
mouse are allowed to move freely and interact with each other.
PMID- 27213938
TI - Clinical Outcome of Cervical Laminoplasty and Postoperative Radiological Change
for Cervical Myelopathy With Degenerative Spondylolisthesis.
AB - STUDY DESIGN: A retrospective cohort study with prospectively collected data.
OBJECTIVE: The aim of this study was to investigate the clinical and radiological
outcome of cervical laminoplasty for cervical myelopathy with degenerative
spondylolisthesis. SUMMARY OF BACKGROUND DATA: The presence of spondylolisthesis
is thought to represent segmental instability in spine. Cervical laminoplasty is
a common decompression surgery for cervical myelopathy, but its clinical result
for cervical spondylolisthesis has not been well studied. METHODS: One hundred
seventeen patients who underwent cervical laminoplasty for degenerative cervical
myelopathy were included. Japanese Orthopaedic Association score (JOA score) and
visual analog scale of neck pain, upper arm pain and numbness were evaluated
before surgery, and at scheduled time points after surgery. Spondylolisthesis was
defined as more than 2 mm slip on plain radiograph, and the clinical results were
compared between the patients with spondylolisthesis (group S) and without
spondylolisthesis (group C). In the patients with spondylolisthesis, the slip
distance and translational motion between flexion and extension was examined on
plain lateral radiograph before surgery and 2 years after surgery. RESULTS:
Degenerative cervical spondylolisthesis was found in 49 levels of 33 patients
(28.2%), and the average age of group S was significantly higher than group C.
JOA score and each VAS score was significantly improved after surgery in both
groups. Average JOA score of group S was significantly lower than group C at
every time points, but the recovery rate was similar between the two groups. In
the level of spondylolisthesis, average slip distance did not changed, but
average translational motion was significantly decreased in 2years after surgery.
CONCLUSION: Cervical spondylolisthesis was common in elderly patients. The
clinical outcome in group S was comparable with group C, and the level with
spondylolisthesis has been stabilized after surgery. Thus, laminoplasty can be a
treatment option even for cervical myelopathy with degenerative
spondylolisthesis. LEVEL OF EVIDENCE: 3.
PMID- 27213939
TI - Reduction of Inpatient Hospital Length of Stay in Lumbar Fusion Patients With
Implementation of an Evidence-Based Clinical Care Pathway.
AB - STUDY DESIGN: Quality improvement with before and after evaluation of the
intervention. OBJECTIVE: To improve lumbar spine postoperative care and quality
outcomes through a series of Lean quality improvement events designed to address
root causes of error and variation. SUMMARY OF BACKGROUND DATA: Lumbar spine
fusion procedures are common, but highly variable in process of care, outcomes,
and cost. METHODS: We implemented a standardized lumbar spine fusion clinical
care pathway through a series of Lean quality improvement events. The pathway
included an evidence-based electronic order set; a patient visual tool; and
multidisciplinary communication, and was designed to delineate expectations for
patients, staff, and providers. To evaluate the effectiveness of the
intervention, we performed a quality improvement study with before and after
evaluation of consecutive patients from January 2012 to September 2014. Outcomes
were hospital length of stay and quality measures before and after the April 1,
2013 intervention. Data were analyzed with chi-square and t tests for before and
after comparisons, and were explored graphically for temporal trends with
statistical process control charts. RESULTS: Our study population was 458
patients (mean 65 years, 65% women). Length of stay decreased from 3.9 to 3.4
days, a difference of 0.5 days (CI 0.3, 0.8, P < 0.001). Discharge disposition
also improved with 75% (183/244) being discharged to home postintervention versus
64% (136/214) preintervention (P = 0.002). Urinary catheter removal also improved
(P = 0.003). Patient satisfaction scores were not significantly changed.
CONCLUSION: Applying Lean methods to produce standardized clinical pathways is an
effective way of improving quality and reducing waste for lumbar spine fusion
patients. We believe that quality improvements of this type are valuable for all
spine patients, to provide best care outcomes at lowest cost. LEVEL OF EVIDENCE:
4.
PMID- 27213940
TI - Impact of Living With Scoliosis: A utility Outcome Score Assessment.
AB - STUDY DESIGN: Survey. OBJECTIVE: The aim of this study was to objectify the
burden of adolescent idiopathic scoliosis (AIS) to better advocate for scoliosis
care in the future. SUMMARY OF BACKGROUND DATA: AIS is a common spinal deformity
that can affect individuals on many levels. Patients with big curves usually seek
medical advice for surgical correction of their deformity. METHODS: Participants
completed an online questionnaire to help measure the health burden of AIS. Three
utility outcome measures were then calculated. These included the visual analog
scale, time trade off, and standard gamble. Student t test and linear regression
were used for statistical analysis. RESULTS: One hundred and ten participants
were included in the analysis. The mean visual analog scale, time trade off, and
standard gamble scores for AIS were 0.77 +/- 0.16, 0.90 +/- 0.11, and 0.91 +/-
0.13, respectively. Factors such as age, sex, income, and level of education were
dependent predictors of utility scores for AIS. CONCLUSION: Our participants
demonstrated a significant perceived burden of AIS. If faced with AIS,
participants were willing to sacrifice 3.6 years of their lives and undergo a
procedure with 9% mortality rate to gain perfect health. Such findings can guide
future allocation of resources for better scoliosis care and management. LEVEL OF
EVIDENCE: 4.
PMID- 27213941
TI - [The new technologies of kinesiotherapy for the rehabilitation of the patients
suffering from the post-stroke locomotor disorders].
AB - This paper was designed to report the results of the application of two
therapeutic modalities for the rehabilitation of 44 patients presenting with post
stroke locomotor disorders in the form of spastic hemiparesis. The patients
allocated to the main group were treated with the use of the new
kinesiotherapeutic methods including cryomassage and the Armeo robotic complex.
The patients of the control group had to perform traditional therapeutic physical
exercises in combination with classical massage and remedial gymnastics. It is
concluded that the application of the combination of the modern
kinesiotherapeutic factors exerting the positive corrective influence on
different aspects of the locomotor deficiency in the upper extremities and the
psychoemotional status of the patients has advantages over traditional physical
exercise therapy in terms of clinical efficiency because it enhances the
rehabilitative potential for these patients with serious locomotor problems.
PMID- 27213942
TI - [The influence of low-intensity laser radiation on the functional activity of
neutrophils in the patients presenting with community-acquired pneumonia].
AB - AIM: The objective of the present study was to elucidate the influence of low
intensity laser radiation on the results of the nitroblue tetrazolium (NBT) test
in the patients presenting with community-acquired pneumonia. PATIENTS AND
METHODS: A total of 100 patients with community-acquired pneumonia were available
for the examination of whom 70 were treated with intravenous low-intensity laser
irradiation of blood (ILIB) by means of the ILIB-405 technique during 7 days. The
functional activity of neutrophils was estimated from their ability to reduce
nitroblue tetrazolium in both spontaneous and stimulated NBT-tests. RESULTS: The
analysis of the data obtained in the study has demonstrated the significant
improvement of the results of the NBT tests in the group of patients receiving
the ILIB treatments regardless of whether its content was originally elevated or
reduced. CONCLUSION: The inclusion of intravenous low-intensity laser irradiation
of blood in the combined treatment of the patients with community-acquired
pneumonia appreciably promotes normalization of the bactericidal activity of
neutrophils.
PMID- 27213943
TI - [The influence of chronomagnetic therapy on the parameters of the quality of life
in the patients presenting with the diseases of the musculoskeletal system at the
balneological and health resort-based stage of the rehabilitative treatment].
AB - The methods for the rehabilitative treatment play an important role in the
management of the patients presenting with the diseases of the musculoskeletal
system. One of these techniques is chronomagnetic therapy. AIM: The objective of
the present study was to evaluate the influence of chronomagnetic therapy on the
patient's quality of life. PATIENTS AND METHODS: The patients of the main group
included 30 ones with osteoarthrosis, 30 with rheumatoid arthritis, 20 with
ankylosing spondylitis, 6 with podagric arthritis, 6 with psoriatic arthritis,
and 6 others with reactive arthritis. Each of these patients every day underwent
10 sessions of chronomagnetic therapy with the use of the Multimag apparatus
("Kasimov Instrumental Plant", Ryazan). The patients of the comparison group (20
ones presenting with osteoarthrosis, 27 with rheumatoid arthritis, 15 with
ankylosing spondylitis, 4 with podagric arthritis, 4 with psoriatic arthritis,
and 4 subjects with reactive arthritis) received the identical
chronomagnetotherapeutic treatment under the conditions of the "Vulan"
balneological health resort located at Gelendzhik, Krasnodar Region. RESULTS: The
patients of the main group presenting with osteoarthrosis were characterized by
the most pronounced manifestations of the role physical and social behaviour and
the associated enhancement of pain intensity. The patients with rheumatoid
arthritis exhibited well apparent manifestation of role and emotional functioning
as well as enhanced vitality. Positive dynamics of these characteristics (role
physical and emotional functioning, vital activity) was documented in the
patients of the comparison group presenting with osteoarthrosis, ankylosing
spondylitis, and rheumatoid arthritis. In this group, the patients with
osteoarthrosis, ankylosing spondylitis, and rheumatoid arthritis experienced the
improvement of the major parameters of the quality of life characterizing the
physical and mental components of the health status. CONCLUSION: The
chronomagnetotherapeutic treatment at the stage of rehabilitation of the patients
suffering from the diseases of the musculoskeletal system markedly improves the
characteristics of physical health the disturbances of which may lead to the
development of psycho-somatic disorders. Chronomagnetic therapy of the patients
with the diseases of the musculoskeletal system under the conditions of a
balneological health resort proved to be more effective in terms of improvement
of the parameters of physical and mental health. The result of the subjective
evaluation of the health status in such patients can be used as a marker of the
effectiveness of chronomagnetic therapy and an indicator of remission of the
disease.
PMID- 27213944
TI - [The effectiveness of correction of the postural problems in the patients
presenting with juvenile cerebral palsy].
AB - We have studied stabilographic characteristics and their dynamics in the healthy
children (n=30) and the patients suffering from juvenile cerebral palsy (JCP) in
the form of spastic diplegia (n=99) after they had undergone the combined
rehabilitation treatment with the use of various methods of electrical
stimulation. The mean age of the children was 7.0+/-1.7 years. The patients with
JCP included in the main group (n=45) received therapy with the application of
electrical stimulation based on the AKorD apparatus while the patients with JCP
comprising the group of comparison were treated with the use of the Mioritm 040
apparatus. Vertical stability of the schoolchildren was evaluated using the
Stabilan-01-2 hardware system, once in the healthy children and twice (before and
after the termination of rehabilitation) in the patients with JCP. A course of
the rehabilitative treatment of the patients with JCP included in the main group
resulted in a 24.6% and 15.8% reduction (p<0.05) of the statokinesiogram area in
the tests with the open and closed eyes respectively. The visual control
coefficient increased significantly. The patients of the comparison group
experienced a marked reduction of the area of statokinesiogram in the tests with
the open eyes (by 15,5% (r<0,05)) while the remaining characteristics of interest
remained unaltered. It is concluded that the treatment of the children presenting
with juvenile cerebral palsy with the use of the AKorD apparatus for electrical
stimulation is more efficient for the maintenance of the vertical posture in
comparison with the treatment based on the use of the Mioritm 040 apparatus.
PMID- 27213945
TI - [Deformations of the vertebral column in the visually impaired schoolchildren
presenting with complicated high myopia and the possibilities for its
correction].
AB - Complicated high myopia is one of the leading causes responsible for the
disablement in the children associated with visual impairment especially when it
is combined with other pathological conditions and abnormalities among which are
disorders of the musculoskeletal system. In the present study, we for the first
time examined visually impaired schoolchildren (n=44) who suffered from high
myopia complications making use of the computed optical topographer for the
evaluation of the state of their vertebral column. The control group consisted of
60 children attending a secondary school. The study revealed various deformations
of the musculoskeletal system including scoliosis, misalignment of the pelvis,
kyphosis, hyperlordosis, torsion, platypodia, deformation of the lower
extremities and the chest. These deformations were more pronounced in the
visually impaired schoolchildren in comparison with the children of the same age
comprising the control group (p<0,05). It is concluded that the assessment of the
state of the vertebral column with the use of the apparatus yields an important
information for the elaboration and application of a series of measures for the
timely provision of medical assistance needed for the comprehensive
rehabilitation of the visually impaired schoolchildren presenting with high
myopia complications.
PMID- 27213946
TI - [The application of the emulsified turpentine baths for the correction of the
functional state of the cross-country skiers].
AB - The baths with emulsified turpentine find the wide application in balneotherapy.
They produce especially pronounced beneficial prophylactic effects in the
patients presenting with microtrombosis and microvascular stasis. Moreover, these
baths may be prescribed to improve microcirculation, increase the functional
reserves and physical capacity in the athletes. At the same time, the current
literature appears to contain no scientific publications on the application of
emulsified turpentine baths for the restoration of the physical capacity of the
professional ski runners. The lack of relevant information motivated the study
reported in the present article. The main objective of the study involving 10
subjects was to evaluate the effectiveness of the modified emulsified turpentine
baths as a method by which to restore and enhance the physical capacity of the
professional cross-country skiers. The physical capacity of the athletes was
evaluated from the results of the bicycle ergometer exercise test with the use of
the "Oxycon Pro" system. The data obtained suggest that a course of the
emulsified turpentine baths increases the activity of the cardiorespiratory
system, improves the physical capacity, and enhances the functional reserves of
the body in the anaerobic zone.
PMID- 27213947
TI - [The influence of continuous low-intensity laser radiation at the red (635 nm)
and green (525 nm) wavelengths on the human mesenchymal stem cells in vitro: a
review of the literature and original investigations].
AB - Low-intensity laser radiation can be used as one of the methods for the non
specific regulation of the human mesenchymal stem cell (MSC) activity at the
preliminary stage of their in vitro cultivation. The objective of the present
study was to estimate the influence of the limiting regimes of continuous low
intensity laser radiation (CLIR) of red (635 nm) and green (525 nm) spectra.
MATERIAL AND METHODS: The adhesive culture of human mesenchymal stem cells
obtained from a donor's umbilical cord tissue was used in the experiments
(following 4 passages). They were irradiated using a Lazmik-VLOK laser
therapeutic device equipped with the KLO-635-40 (635 nm, 4,9 mW/cm(2)) and KLO
525-50 (525 nm, 5,4 mW/cm(2)) laser diode emitting heads operating in a
continuous mode. A special nozzle (jar) for laser and vacuum massage (KB-5, 35 cm
in diameter) was employed to fix the heads. The exposure time in all the
irradiation regimes was 5 minutes. CONCLUSION: The study has demonstrated that
neither the morphological features nor the viability of mesenchymal stem cells
was altered under the influence of laser irradiation at the aforementioned energy
and time parameters. The data obtained indicate that laser irradiation with the
limiting levels of the chosen energy parameters produces no positive effect on
the cell proliferative activity; more than that, it may cause its inhibition.
PMID- 27213948
TI - [The prospects for the further development of the Belokurikha spa and health
resort territory in the Altai Krai (region)].
AB - We undertook a balneological survey of the Belokurikha spa and health resort
territory with the purpose of distinguishing and identifying the potential health
improvement areas most promising for the extension and optimization of the
therapeutic, tourist and recreational activities. The assessment was focused on
the characteristic of the landscape and climatic conditions of the territory, the
possibilities for the development of the existing resources of mineral waters and
therapeutic muds as well as for the discovery of the potential new ones. The
recommendations are proposed to promote the development of different forms of
tourism with special reference to its medical and health-improvement aspects. It
is suggested that the territory of the "Belokurikha" resort", "Belokurikha-2" and
"Belokurikha-3" health-improvement areas should be integrated into a single spa
and-health resort district of federal importance.
PMID- 27213949
TI - Bupropion and naltrexone for smoking cessation: A double-blind randomized placebo
controlled clinical trial.
AB - Combination of non-nicotine pharmacotherapies has been underexamined for
cigarette smoking cessation. A randomized, double-blind, parallel-group double
dummy study evaluated two medications, bupropion (BUP) and naltrexone (NTX), in
treatment-seeking cigarette smokers (N = 121) over a 7-week treatment
intervention with 6-month follow-up. Smokers were randomized to either BUP (300
mg/day) + placebo (PBO) or BUP (300 mg/day) + NTX (50 mg/day). The primary
outcome was biochemically verified (saliva cotinine, carbon monoxide) 7-day,
point-prevalence abstinence. BUP + NTX was associated with significantly higher
point-prevalence abstinence rates after 7-weeks of treatment (BUP + NTX, 54.1%;
BUP + PBO, 33.3%), P = 0.0210, but not at 6-month follow-up (BUP + NTX, 27.9%;
BUP + PBO, 15.0%), P = 0.09. Continuous abstinence rates did not differ, P =
0.0740 (BUP + NTX, 26.2%; BUP + PBO, 13.3%). Those receiving BUP + NTX reported
reduced nicotine withdrawal, P = 0.0364. The BUP + NTX combination was associated
with elevated rates of some side effects, but with no significant difference in
retention between the groups.
PMID- 27213951
TI - Letter to the Editor Regarding the Article "(124)I PET/CT in Patients with
Differentiated Thyroid Cancer: Clinical and Quantitative Image Analysis".
PMID- 27213950
TI - RNA Sequencing of Trigeminal Ganglia in Rattus Norvegicus after Glyceryl
Trinitrate Infusion with Relevance to Migraine.
AB - INTRODUCTION: Infusion of glyceryl trinitrate (GTN), a donor of nitric oxide,
induces immediate headache in humans that in migraineurs is followed by a delayed
migraine attack. In order to achieve increased knowledge of mechanisms activated
during GTN-infusion this present study aims to investigate transcriptional
responses to GTN-infusion in the rat trigeminal ganglia. METHODS: Rats were
infused with GTN or vehicle and trigeminal ganglia were isolated either 30 or 90
minutes post infusion. RNA sequencing was used to investigate transcriptomic
changes in response to the treatment. Furthermore, we developed a novel method
for Gene Set Analysis Of Variance (GSANOVA) to identify gene sets associated with
transcriptional changes across time. RESULTS: 15 genes displayed significant
changes in transcription levels in response to GTN-infusion. Ten of these genes
showed either sustained up- or down-regulation in the 90-minute period after
infusion. The GSANOVA analysis demonstrate enrichment of pathways pointing
towards an increase in immune response, signal transduction, and neuroplasticity
in response to GTN-infusion. Future functional in-depth studies of these
mechanisms are expected to increase our understanding of migraine pathogenesis.
PMID- 27213952
TI - A Young Man With Progressive Vision and Hearing Loss.
AB - A 37-year-old man with a history of progressive bilateral sensorineural hearing
loss presented to a neuro-ophthalmology clinic with an acute left homonymous
hemianopsia. In this article, we discuss the clinical approach and differential
diagnosis of progressive combined vision and hearing loss and guide the reader to
discover the patient's ultimate diagnosis.
PMID- 27213953
TI - Application of self-organising maps towards segmentation of soybean samples by
determination of amino acids concentration.
AB - Soybeans are widely used both for human nutrition and animal feed, since they are
an important source of protein, and they also provide components such as
phytosterols, isoflavones, and amino acids. In this study, were determined the
concentrations of the amino acids lysine, histidine, arginine, asparagine,
glutamic acid, glycine, alanine, valine, isoleucine, leucine, tyrosine,
phenylalanine present in 14 samples of conventional soybeans and 6 transgenic,
cultivated in two cities of the state of Parana, Londrina and Ponta Grossa. The
results were tabulated and presented to a self-organising map for segmentation
according planting regions and conventional or transgenic varieties. A network
with 7000 training epochs and a 10 * 10 topology was used, and it proved
appropriate in the segmentation of the samples using the data analysed. The
weight maps provided by the network, showed that all the amino acids were
important in targeting the samples, especially isoleucine. Three clusters were
formed, one with only Ponta Grossa samples (including transgenic (PGT) and common
(PGC)), a second group with Londrina transgenic (LT) samples and the third with
Londrina common (LC) samples.
PMID- 27213954
TI - Functional characterization of a Mg(2+)-dependent O-methyltransferase with
coumarin as preferred substrate from the liverwort Plagiochasma appendiculatum.
AB - Coumarins (1,2-benzopyrones), which originate via the phenylpropanoid pathway,
are found ubiquitously in plants and make an essential contribution to the health
of the plant. Some natural coumarins have been used as human therapeutics.
However, the details of their biosynthesis are still largely unknown. Scopoletin
is derived from either esculetin or feruloyl CoA according to the plant species
involved. Here, a gene encoding a O-methyltransferase (PaOMT2) was isolated from
the liverwort species Plagiochasma appendiculatum (Aytoniaceae) through
transcriptome sequencing. The purified recombinant enzyme catalyzed the
methylation of esculetin, generating scopoletin and isoscopoletin. Kinetic
analysis shows that the construct from the second Met in PaOMT2 had a catalytic
efficiency for esculetin (Kcat/Km) of about half that of the full length PaOMT2,
while the Kms of two enzymes were similar. The catalytic capacities of the
studied protein suggest that two routes to scopoletin might co-exist in
liverworts in that the enzyme involved in the methylation process participates in
both paths, but especially the route from esculetin. The transient expression of
a PaOMT2-GFP fusion in tobacco demonstrated that PaOMT2 is directed to the
cytoplasm.
PMID- 27213955
TI - Reversible optical switching of highly confined phonon-polaritons with an
ultrathin phase-change material.
AB - Surface phonon-polaritons (SPhPs), collective excitations of photons coupled with
phonons in polar crystals, enable strong light-matter interaction and numerous
infrared nanophotonic applications. However, as the lattice vibrations are
determined by the crystal structure, the dynamical control of SPhPs remains
challenging. Here, we realize the all-optical, non-volatile, and reversible
switching of SPhPs by controlling the structural phase of a phase-change material
(PCM) employed as a switchable dielectric environment. We experimentally
demonstrate optical switching of an ultrathin PCM film (down to 7 nm,
70k0, k0 = 2pi/lambda) in quartz. Our proof of concept
allows the preparation of all-dielectric, rewritable SPhP resonators without the
need for complex fabrication methods. With optimized materials and parallelized
optical addressing we foresee application potential for switchable infrared
nanophotonic elements, for example, imaging elements such as superlenses and
hyperlenses, as well as reconfigurable metasurfaces and sensors.
PMID- 27213956
TI - Biomimetic proteolipid vesicles for targeting inflamed tissues.
AB - A multitude of micro- and nanoparticles have been developed to improve the
delivery of systemically administered pharmaceuticals, which are subject to a
number of biological barriers that limit their optimal biodistribution.
Bioinspired drug-delivery carriers formulated by bottom-up or top-down strategies
have emerged as an alternative approach to evade the mononuclear phagocytic
system and facilitate transport across the endothelial vessel wall. Here, we
describe a method that leverages the advantages of bottom-up and top-down
strategies to incorporate proteins derived from the leukocyte plasma membrane
into lipid nanoparticles. The resulting proteolipid vesicles-which we refer to as
leukosomes-retained the versatility and physicochemical properties typical of
liposomal formulations, preferentially targeted inflamed vasculature, enabled the
selective and effective delivery of dexamethasone to inflamed tissues, and
reduced phlogosis in a localized model of inflammation.
PMID- 27213957
TI - The Pilin N-terminal Domain Maintains Neisseria gonorrhoeae Transformation
Competence during Pilus Phase Variation.
AB - The obligate human pathogen Neisseria gonorrhoeae is the sole aetiologic agent of
the sexually transmitted infection, gonorrhea. Required for gonococcal infection,
Type IV pili (Tfp) mediate many functions including adherence, twitching
motility, defense against neutrophil killing, and natural transformation.
Critical for immune escape, the gonococcal Tfp undergoes antigenic variation, a
recombination event at the pilE locus that varies the surface exposed residues of
the major pilus subunit PilE (pilin) in the pilus fiber. This programmed
recombination system has the potential to produce thousands of pilin variants and
can produce strains with unproductive pilin molecules that are completely unable
to form Tfp. Saturating mutagenesis of the 3' third of the pilE gene identified
68 unique single nucleotide mutations that each resulted in an underpiliated
colony morphology. Notably, all isolates, including those with undetectable
levels of pilin protein and no observable surface-exposed pili, retained an
intermediate level of transformation competence not exhibited in DeltapilE
strains. Site-directed, nonsense mutations revealed that only the first 38 amino
acids of the mature pilin N-terminus (the N-terminal domain or Ntd) are required
for transformation competence, and microscopy, ELISAs and pilus purification
demonstrate that extended Tfp are not required for competence. Transformation in
strains producing only the pilin Ntd has the same genetic determinants as wild
type transformation. The Ntd corresponds to the alternative product of S-pilin
cleavage, a specific proteolysis unique to pathogenic Neisseria. Mutation of the
S-pilin cleavage site demonstrated that S-pilin cleavage mediated release of the
Ntd is required for competence when a strain produces unproductive pilin
molecules that cannot assemble into a Tfp through mutation or antigenic
variation. We conclude that S-pilin cleavage evolved as a mechanism to maintain
competence in nonpiliated antigenic variants and suggest there are alternate
forms of the Tfp assembly apparatus that mediate various functions including
transformation.
PMID- 27213958
TI - Imidazopyridine and Pyrazolopiperidine Derivatives as Novel Inhibitors of Serine
Palmitoyl Transferase.
AB - To develop novel treatments for type 2 diabetes and dyslipidemia, we pursued
inhibitors of serine palmitoyl transferase (SPT). To this end compounds 1 and 2
were developed as potent SPT inhibitors in vitro. 1 and 2 reduce plasma ceramides
in rodents, have a slight trend toward enhanced insulin sensitization in DIO
mice, and reduce triglycerides and raise HDL in cholesterol/cholic acid fed rats.
Unfortunately these molecules cause a gastric enteropathy after chronic dosing in
rats.
PMID- 27213960
TI - Analysis and clinical findings of cases positive for the novel synthetic
cannabinoid receptor agonist MDMB-CHMICA.
AB - CONTEXT: MDMB-CHMICA is a synthetic cannabinoid receptor agonist which has caused
concern due to its presence in cases of adverse reaction and death. METHOD: 43
cases of suspected synthetic cannabinoid ingestion were identified from patients
presenting at an Emergency Department and from post-mortem casework. These were
subjected to liquid-liquid extraction using tertiary-butyl methyl ether and
quantitatively analysed by Electrospray Ionisation Liquid Chromatography-tandem
Mass Spectrometry. For positive samples, case and clinical details were sought
and interrogated. RESULTS: 11 samples were found positive for MDMB-CHMICA.
Concentrations found ranged from <1 to 22 ng/mL (mean: 6 ng/mL, median: 3 ng/mL).
The age range was 15-44 years (mean: 26 years, median: 21 years), with the
majority (82%) of positive results found in males. Clinical presentations
included hypothermia, hypoglycaemia, syncope, recurrent vomiting, altered mental
state and serotonin toxicity, with corresponding concentrations of MDMB-CHMICA as
low as <1 ng/mL. Duration of hospitalisation ranged from 3 to 24 h (mean: 12 h,
median: 8 h). DISCUSSION: The concentration range presented in this case series
is indicative of MDMB-CHMICA having a high potency, as is known to be the case
for other synthetic cannabinoid receptor agonists. The age range and gender
representation were consistent with that reported for users of other drugs of
this type. The clinical presentations observed were typical of synthetic
cannabinoid receptor agonists and show the difficulties in identifying reactions
potentially associated with drugs of this type. CONCLUSION: The range of MDMB
CHMICA concentrations in Emergency Department presentations (n = 9) and post
mortem cases (n = 2) was reported. No correlation between the concentration of
this drug and clinical presentation or cause of death was reported in this
sample. However, the potential for harm associated with low concentrations of
MDMB-CHMICA and the symptoms of toxicity being non-specific were highlighted.
PMID- 27213959
TI - RmtA, a Putative Arginine Methyltransferase, Regulates Secondary Metabolism and
Development in Aspergillus flavus.
AB - Aspergillus flavus colonizes numerous oil seed crops such as corn, peanuts,
treenuts and cotton worldwide, contaminating them with aflatoxin and other
harmful potent toxins. In the phylogenetically related model fungus Aspergillus
nidulans, the methyltransferase, RmtA, has been described to be involved in
epigenetics regulation through histone modification. Epigenetics regulation
affects a variety of cellular processes, including morphogenesis and secondary
metabolism. Our study shows that deletion of rmtA in A. flavus results in
hyperconidiating colonies, indicating that rmtA is a repressor of asexual
development in this fungus. The increase in conidiation in the absence of rmtA
coincides with greater expression of brlA, abaA, and wetA compared to that in the
wild type. Additionally, the rmtA deletion mutant presents a drastic reduction or
loss of sclerotial production, while forced expression of this gene increased the
ability of this fungus to generate these resistant structures, revealing rmtA as
a positive regulator of sclerotial formation. Importantly, rmtA is also required
for the production of aflatoxin B1 in A. flavus, affecting the expression of
aflJ. Furthermore, biosynthesis of additional metabolites is also controlled by
rmtA, indicating a broad regulatory output in the control of secondary
metabolism. This study also revealed that rmtA positively regulates the
expression of the global regulatory gene veA, which could contribute to mediate
the effects of rmtA on development and secondary metabolism in this relevant
opportunistic plant pathogen.
PMID- 27213963
TI - Indications of a Scarring Effect of Sickness Absence Periods in a Cohort of
Higher Educated Self-Employed.
AB - OBJECTIVES: Little is known regarding incidence and recurrence of sickness
absence in self-employed. The primary aim of this study was to evaluate the
influence of the number of prior episodes of sickness absence on the risk of
subsequent periods of sickness absence in higher educated self-employed. METHODS:
In a historic register study based on the files of a Dutch private disability
insurance company all sickness absence periods of 30 days or more were analysed.
RESULTS: A total of 15,868 insured persons contributed 141,188 person years to
the study. In total, 5608 periods of sickness absence occurred during follow-up.
The hazard of experiencing a new period of sickness absence increased with every
previous period, ranging from a hazard ratio of 2.83 in case of one previous
period of sickness absence to a hazard ratio of 6.72 in case of four previous
periods. This effect was found for both men and women and for all diagnostic
categories of the first period of sickness absence. CONCLUSIONS: Our study shows
that for all diagnostic categories the hazard of experiencing a recurrence of
sickness absence is appreciably higher than for experiencing a first episode.
This suggests that this increased hazard may be related to the occurrence of
sickness absence itself rather than related to characteristics of the insured
person or of the medical condition. These findings could indicate that sickness
absence periods may have a scarring effect on the self-employed person
experiencing the sickness absence.
PMID- 27213964
TI - Enhanced paromomycin efficacy by solid lipid nanoparticle formulation against
Leishmania in mice model.
AB - Leishmaniasis caused by protozoan parasites of the genus Leishmania.
Intracellular infections treatment such as leishmaniasis is frequently hampered
by limited access of drugs to infected cells. Moreover, most of the current drugs
are confined to some toxic compounds, and there are increasing incidences of
development of drug resistance. Hence, production of a new antileishmanial
compound is crucial. Paromomycin sulphate (PM) is a promising antileishmanial
drug. One strategy to improve drug effectiveness is to use appropriate delivery
systems. Solid lipid nanoparticle (SLN) is as an excellent substitute delivery
system to other colloidal carrier. In the present study, PM was loaded in solid
lipid nanoparticles (PM-SLN) and the in vivo efficacy was studied against
Leishmania (L.) major-infected BALB/c mice. For this reason, the footpad swelling
was measured and real-time PCR was performed to quantify the parasite load after
infectious challenge. The level of cytokines including interleukin-4 (IL-4) and
gamma interferon (IFN-gamma) and nitric oxide was evaluated. Altogether, this
study showed that the PM-SLN formulation is a safe compound and SLN in PM-SLN
compound is effective for treatment of leishmaniasis by improving the
effectiveness of PM in killing the parasite and switching towards Th1 response.
PMID- 27213961
TI - Glycogen Repletion in Brown Adipose Tissue upon Refeeding Is Primarily Driven by
Phosphorylation-Independent Mechanisms.
AB - Glycogen storage in brown adipose tissue (BAT) is generally thought to take place
through passive, substrate-driven activation of glycogenesis rather than
programmatic shifts favoring or opposing the storage and/or retention of
glycogen. This perception exists despite a growing body of evidence suggesting
that BAT glycogen storage is actively regulated by covalent modification of key
glycogen-metabolic enzymes, protein turnover, and endocrine hormone signaling.
Members of one such class of covalent-modification regulators, glycogen-binding
Phosphoprotein Phosphatase-1 (PP1)-regulatory subunits (PPP1Rs), targeting PP1 to
glycogen-metabolic enzymes, were dynamically regulated in response to 24 hr of
starvation and/or 24 hr of starvation followed by ad libitum refeeding. Over
expression of the PPP1R Protein Targeting to Glycogen (PTG), under the control of
the aP2 promoter in mice, inactivated glycogen phosphorylase (GP) and enhanced
basal- and starvation-state glycogen storage. Total interscapular BAT glycogen
synthase and the constitutive activity of GS were conditionally affected. During
starvation, glucose-6-phosphate (G-6-P) levels and the relative phosphorylation
of Akt (p-Ser-473-Akt) were both increased in PTG-overexpressing (Tg) mice,
suggesting that elevated glycogen storage during starvation modifies broader
cellular metabolic pathways. During refeeding, Tg and WT mice reaccumulated
glycogen similarly despite altered GS and GP activities. All observations during
refeeding suggest that the phosphorylation states of GS and GP are not
physiologically rate-controlling, despite there being a clear balance of
endogenous kinase- and phosphatase activities. The studies presented here reveal
IBAT glycogen storage to be a tightly-regulated process at all levels, with
potential effects on nutrient sensing in vivo.
PMID- 27213965
TI - Endoscopic resection and colonic stoma: endoscopic submucosal dissection at the
hepatic flexure using an upper gastrointestinal scope and endoscopic mucosal
resection by hand at the everted edge of the stoma.
PMID- 27213962
TI - Antiobesity efficacy of GLP-1 receptor agonist liraglutide is associated with
peripheral tissue-specific modulation of lipid metabolic regulators.
AB - To investigate the role of glucagon-like-peptide-1 receptor (GLP-1R) in
peripheral lipid metabolism. Both lean and high-fat diet (HFD)-induced obesity
(DIO) rats were used to compare the peripheral effects of the subcutaneous and
repeated administration of the GLP-1R agonist liraglutide on the expression of
key regulators involved in lipid metabolism, beta-oxidation and thermogenesis in
liver, abdominal muscle, and epididymal white adipose tissue (eWAT). We observed
that liraglutide reduced caloric intake, body weight, and plasma levels of
triglycerides and VLDL in a diet-independent manner. However, changes in liver
fat content and the expression of lipid metabolism regulators were produced in a
diet and tissue-dependent manner. In lean rats, liraglutide increased the
gene/protein expression of elements involved in lipogenesis (ChREBP, Acaca/ACC,
Fasn/FAS, Scd1/SCD1, PPARalpha/gamma), beta-oxidation (CPT1b), and thermogenesis
(Cox4i1, Ucp1/UCP1) in eWAT and muscle, which suggest an increase in fatty-acid
flux and utilization to activate energy expenditure. Regarding DIO rats, the
specific reduction of liver lipid content by liraglutide was associated with a
decreased expression of main elements involved in lipogenesis (phospho-ACC),
peroxisomal beta-oxidation (ACOX1), and lipid flux/storage (Ppargamma/PPARgamma)
in liver, which suggest a recovery of lipid homeostasis. Interestingly, the
muscle of DIO rats treated with liraglutide showed a decreased expression of
PPARgamma and the thermogenic factor UCP1. These results help us to better
understand the peripheral mechanisms regulating lipid metabolism that underlay
the effectiveness of GLP-1 analogues for the treatment of diabetes and obesity.
(c) 2016 BioFactors, 42(6):600-611, 2016.
PMID- 27213966
TI - Use of a long, stiff, overtube placed by a colonoscope to facilitate the POEM
procedure for a 36-year history of achalasia with 13-cm esophageal dilation.
PMID- 27213968
TI - Subacute food bolus obstruction secondary to a migrated Overstitch suture from a
previous esophageal perforation repair.
PMID- 27213967
TI - Utilization of diabolo-shaped covered biliary stents in a refractory esophagus
colonic anastomotic stricture.
PMID- 27213969
TI - Endoscopic removal of buried lumen-apposing metal stents used for
cystogastrostomy and cholecystogastrostomy.
PMID- 27213970
TI - Salvaging a malpositioned fully covered self-expanding metal stent for pancreatic
fluid collection drainage.
PMID- 27213971
TI - Liver mispuncture during percutaneous endoscopic gastrostomy in a patient with a
partial gastrectomy.
PMID- 27213972
TI - Traction with snare during endoscopic submucosal dissection of a gastrointestinal
stromal tumor in the gastric fundus.
PMID- 27213973
TI - Mediastinitis, pseudo-aneurysm formation, aortic bleed, and death from endoscopic
botulinum toxin injection.
PMID- 27213975
TI - A novel strategy for complete duodenal endoscopic submucosal dissection involving
prophylactic defect closure with over-the-scope clips.
PMID- 27213974
TI - Endoscopic ultrasound-guided histological diagnosis of a mucinous non-neoplastic
pancreatic cyst using a specially designed through-the-needle microforceps.
PMID- 27213976
TI - The Short-Term Effect of Integrated Complementary and Alternative Medicine
Treatment in Inpatients Diagnosed with Lumbar Intervertebral Disc Herniation: A
Prospective Observational Study.
AB - OBJECTIVES: This study aimed to investigate the short-term effect of hospital
based intensive nonsurgical treatment in lumbar intervertebral disc herniation
(IDH) inpatients admitted to an integrated hospital that offers both
complementary and alternative medicine (CAM) and conventional medicine treatment.
DESIGN: A prospective observational study. SETTINGS: A private Korean medicine
hospital inpatient setting in Korea. PATIENTS: A total of 524 inpatients
diagnosed with lumbar IDH admitted from June 1, 2012, to May 31, 2013.
INTERVENTIONS: The participants received treatment according to a CAM treatment
protocol (herbal medicine, acupuncture, bee venom pharmacopuncture, and Chuna
manipulation) and conventional medicine treatment as needed. OUTCOME MEASURES:
Numeric rating scale (NRS) of low back pain (LBP) and leg pain, Oswestry
Disability Index (ODI), and patient global impression of change. The study also
assessed whether improvement was obtained over minimal clinically important
difference (MCID) in LBP or leg pain. RESULTS: The average hospital stay was 24.4
+/- 13.2 days. The majority of patients received CAM treatment and a few selected
conventional medicine, such as pain killers (22.7%; 4.2 +/- 3.0 administrations)
or nerve blocks (14.1%; 1.4 +/- 0.7 sessions). At discharge, the average
reduction in NRS was 3.18 +/- 2.29 (95% confidence interval [CI], 2.99-3.38) for
LBP and 2.61 +/- 2.60 (95% CI, 2.38-2.83) for leg pain the average reduction in
ODI was 19.45 +/- 19.53 (95% CI, 17.77-21.12). Two-hundred and seventy patients
(51.5%) showed improvement over MCID in both NRS and ODI, 150 (28.6%) in either
NRS or ODI, and 104 (19.8%) in neither. CONCLUSIONS: Integrated CAM treatment
during hospitalization was effective for patients with lumbar IDH who had severe
LBP and disability. However, these results must be investigated further to assess
whether the effects surpass those seen with placebo and are cost-effective.
PMID- 27213977
TI - Effect of Ethephon as an Ethylene-Releasing Compound on the Metabolic Profile of
Chlorella vulgaris.
AB - In this study, Chlorella vulgaris (C. vulgaris) was treated with ethephon at low
(50 MUM) and high (200 MUM) concentrations in medium and harvested at 0, 7, and
14 days, respectively. The presence of ethephon led to significant metabolic
changes in C. vulgaris, with significantly higher levels of alpha-tocopherol,
gamma-aminobutyric acid (GABA), asparagine, and proline, but lower levels of
glycine, citrate, and galactose relative to control. Ethephon induced increases
in saturated fatty acids but decreases in unsaturated fatty acids. The levels of
highly saturated sulfoquinovosyldiacylglycerol species and palmitic acid bound
phospholipids were increased on day 7 of ethephon treatment. Among the
metabolites, the productivities of alpha-tocopherol (0.70 MUg/L/day) and GABA
(1.90 MUg/L/day) were highest for 50 and 200 MUM ethephon on day 7, respectively.
We propose that ethephon treatment involves various metabolic processes in C.
vulgaris and can be an efficient way to enrich the contents of alpha-tocopherol
and GABA.
PMID- 27213978
TI - Long Noncoding RNAs Regulate Cell Growth, Proliferation, and Apoptosis.
AB - The revolutionary findings in nonprotein-coding part of human genome analysis
have revealed a large number of RNA transcripts longer than 200 nucleotides that
lack coding protein function, termed long noncoding RNAs (lncRNAs). Recently,
accumulating shreds of evidence suggest that lncRNAs are widely distributed in
human genome and deeply involved in cellular activities such as cell growth,
proliferation, and apoptosis. Generally, lncRNAs regulate cell behaviors by
targeting cell cycle-associated cyclins, cyclin-dependent kinases (CDKs), and/or
CDK inhibitors. Specifically, lncRNAs serve as scaffolds or guides for chromatin
modifying complexes and act as signals in response to DNA damage. In addition,
lncRNAs function as protein decoys and microRNA decoys, as well as interveners in
cell division by modulating oncogenes and/or tumor suppressors. In this review,
we mainly focus on the current understanding of the molecular mechanisms, how
lncRNAs influence cellular processes and cancer progression. Finally, we also
prospect the limitations of lncRNAs in cell behaviors and the novel roles of
lncRNAs in epigenetic regulations.
PMID- 27213979
TI - A taxonomy of path-related goodness-of-fit indices and recommended criterion
values.
AB - Almost all goodness-of-fit indexes (GFIs) for latent variable structural equation
models are global GFIs that simultaneously assess the fits of the measurement and
structural portions of the model. In one sense, this is an elegant feature of
overall model GFIs, but in another sense, it is unfortunate as the fits of the 2
different portions of the model cannot be assessed independently. We (a) review
the developing literature on this issue, (b) propose 6 new GFIs that are designed
to evaluate the structural portion of latent variable models independently of the
measurement model, (c) that are couched within a general taxonomy of James,
Mulaik, and Brett's (1982) Conditions 9 and 10 for causal inference from
nonexperimental data, (d) conduct a Monte Carlo simulation of the usefulness of
these 6 new GFIs for model selection, and (e) on the basis of simulation results
provide recommended criteria for 4 of them. Supplemental analyses also compare 2
of the new GFIs to 2 other structural model selection strategies currently in
use. (PsycINFO Database Record
PMID- 27213980
TI - A primer on theory-driven web scraping: Automatic extraction of big data from the
Internet for use in psychological research.
AB - The term big data encompasses a wide range of approaches of collecting and
analyzing data in ways that were not possible before the era of modern personal
computing. One approach to big data of great potential to psychologists is web
scraping, which involves the automated collection of information from webpages.
Although web scraping can create massive big datasets with tens of thousands of
variables, it can also be used to create modestly sized, more manageable datasets
with tens of variables but hundreds of thousands of cases, well within the
skillset of most psychologists to analyze, in a matter of hours. In this article,
we demystify web scraping methods as currently used to examine research questions
of interest to psychologists. First, we introduce an approach called theory
driven web scraping in which the choice to use web-based big data must follow
substantive theory. Second, we introduce data source theories, a term used to
describe the assumptions a researcher must make about a prospective big data
source in order to meaningfully scrape data from it. Critically, researchers must
derive specific hypotheses to be tested based upon their data source theory, and
if these hypotheses are not empirically supported, plans to use that data source
should be changed or eliminated. Third, we provide a case study and sample code
in Python demonstrating how web scraping can be conducted to collect big data
along with links to a web tutorial designed for psychologists. Fourth, we
describe a 4-step process to be followed in web scraping projects. Fifth and
finally, we discuss legal, practical and ethical concerns faced when conducting
web scraping projects. (PsycINFO Database Record
PMID- 27213981
TI - Testing measurement invariance in longitudinal data with ordered-categorical
measures.
AB - A goal of developmental research is to examine individual changes in constructs
over time. The accuracy of the models answering such research questions hinges on
the assumption of longitudinal measurement invariance: The repeatedly measured
variables need to represent the same construct in the same metric over time.
Measurement invariance can be studied through factor models examining the
relations between the observed indicators and the latent constructs. In
longitudinal research, ordered-categorical indicators such as self- or observer
report Likert scales are commonly used, and these measures often do not
approximate continuous normal distributions. The present didactic article extends
previous work on measurement invariance to the longitudinal case for ordered
categorical indicators. We address a number of problems that commonly arise in
testing measurement invariance with longitudinal data, including model
identification and interpretation, sparse data, missing data, and estimation
issues. We also develop a procedure and associated R program for gauging the
practical significance of the violations of invariance. We illustrate these
issues with an empirical example using a subscale from the Mexican American
Cultural Values scale. Finally, we provide comparisons of the current
capabilities of 3 major latent variable programs (lavaan, Mplus, OpenMx) and
computer scripts for addressing longitudinal measurement invariance. (PsycINFO
Database Record
PMID- 27213982
TI - Comparing the Pearson and Spearman correlation coefficients across distributions
and sample sizes: A tutorial using simulations and empirical data.
AB - The Pearson product-moment correlation coefficient (rp) and the Spearman rank
correlation coefficient (rs) are widely used in psychological research. We
compare rp and rs on 3 criteria: variability, bias with respect to the population
value, and robustness to an outlier. Using simulations across low (N = 5) to high
(N = 1,000) sample sizes we show that, for normally distributed variables, rp and
rs have similar expected values but rs is more variable, especially when the
correlation is strong. However, when the variables have high kurtosis, rp is more
variable than rs. Next, we conducted a sampling study of a psychometric dataset
featuring symmetrically distributed data with light tails, and of 2 Likert-type
survey datasets, 1 with light-tailed and the other with heavy-tailed
distributions. Consistent with the simulations, rp had lower variability than rs
in the psychometric dataset. In the survey datasets with heavy-tailed variables
in particular, rs had lower variability than rp, and often corresponded more
accurately to the population Pearson correlation coefficient (Rp) than rp did.
The simulations and the sampling studies showed that variability in terms of
standard deviations can be reduced by about 20% by choosing rs instead of rp. In
comparison, increasing the sample size by a factor of 2 results in a 41%
reduction of the standard deviations of rs and rp. In conclusion, rp is suitable
for light-tailed distributions, whereas rs is preferable when variables feature
heavy-tailed distributions or when outliers are present, as is often the case in
psychological research.
PMID- 27213983
TI - Single-Pass Catalytic Conversion of Syngas into Olefins via Methanol.
AB - All together now: Combination in a single reactor of the catalysts for converting
syngas into methanol and methanol into olefins was recently reported by Cheng et
al. This approach considerably simplifies the catalytic conversion of natural
gas.
PMID- 27213984
TI - Visual outcomes after cataract surgery in adults with presumed amblyopia and
anisomyopia.
PMID- 27213985
TI - Editorial: Axial Spondyloarthritis: The Recurrence Plot Thickens.
PMID- 27213988
TI - Palladium-Catalyzed Carbonylation of beta-Arylethylamide Directed by Oxalyl Amide
in the Presence of Carbon Monoxide.
AB - Pd-catalyzed regioselective coupling of beta-C(sp(2))-H bonds in aromatic amines
protected by oxalyl amide with carbon monoxide is reported. The reaction could
tolerate various functional groups and could afford good to excellent yields of
the corresponding 3,4-dihydroisoquinolinone derivatives. Remarkably, it could
also tolerate beta-arylethylamino acid and thiopheneethylamine derivatives, thus
showing their potential for producing several important units for bioactive
compound synthesis.
PMID- 27213987
TI - Using a Laminating Technique to Perform Confocal Microscopy of the Human Sclera.
AB - The sclera is a dense connective tissue that covers and protects the eye. It
mainly consists of dense collagen bundles (types I, III, IV, V, VI, and VII). Due
to its autofluorescence, opaqueness, and thickness, it has not been found
suitable for confocal microscopy. An alternative approach to the one presented
here, which uses formalin-fixed sclera embedded in paraffin for
immunohistochemistry, has technical challenges, especially when preheating the
tissue for antigen retrieval. Since the sclera is relatively poor in both cells
and vessels, the use of larger tissue samples was explored to help prevent
overlooking cells and to understand their localization in relation to vessels and
other anatomical sites. To allow for the analysis of larger tissue samples under
the confocal microscope, a laminating technique was performed to create thin
layers from the sclera. Following the analysis of results of CD31 blood vessels
and lymphatic vessel endothelial hyaluronan receptor 1 (LYVE1) positive cells,
for which approval for scientific examination was obtained, the advantages and
limitations of this method are discussed.
PMID- 27213986
TI - Durable Antibacterial and Nonfouling Cotton Textiles with Enhanced Comfort via
Zwitterionic Sulfopropylbetaine Coating.
AB - A rapid, environment-friendly, and cost-effective finishing method has been
developed for cotton textiles by using zwitterionic NCO-sulfopropylbetaine as the
antibacterial finishing agent through covalent bond. The sulfopropylbetaine
finished cotton textile exhibits durable broad-spectrum antibacterial and
nonfouling activity, improved mechanical properties, and enhanced comfort.
PMID- 27213989
TI - Protective mechanisms of resveratrol against methotrexate-induced renal damage
may involve BCRP/ABCG2.
AB - Resveratrol (RES) is a well-known polyphenol antioxidant. We have previously
shown that testicular protective effect of RES against the anticancer drug
methotrexate (MTX)-induced toxicity involves transporter-mediated mechanisms.
Here, we investigated the effect of RES on MTX-induced nephrotoxicity. Rats were
administered RES (10 mg/kg/day) for 8 days, with or without a single MTX dose (20
mg/kg i.p.) at day 4 of the experiment. MTX induced nephrotoxicity, as evidenced
by a significant increase in serum blood urea nitrogen and creatinine compared to
the control, as well as distortion of kidney microscopic structure. MTX also
significantly increased renal nitric oxide level along with inducible nitric
oxide synthase, fas ligand, and caspase 3 expressions. Administering RES prior to
MTX significantly improved kidney function and microscopic picture and also
significantly decreased nitrosative and apoptotic markers compared to MTX alone.
RES, but not MTX, caused a significant increase in expression of breast cancer
resistance protein (BCRP), an apical efflux renal transporter that participates
in urinary elimination of both MTX and RES. Interestingly, concomitant MTX and
RES caused further upregulation of renal BCRP compared to RES alone. Using Human
BCRP ATPase assay, both RES and MTX exhibited a dose-dependent increase in ATPase
activity, with Km values of 0.52 +/- 0.03 and 30.9 +/- 4.2 MUm, respectively.
Furthermore, combined RES and MTX caused ATPase activity which was significantly
less than maximum ATPase activity attained by the positive control, sulfasalazine
(12.5 MUm). In conclusion, RES exerted nephroprotection against MTX-induced
toxicity through antinitrosative and anti-apoptotic effects, as well as via
upregulation of renal BCRP.
PMID- 27213990
TI - Coating Strategies Using Layer-by-layer Deposition for Cell Encapsulation.
AB - The layer-by-layer (LbL) deposition technique is widely used to develop
multilayered films based on the directed assembly of complementary materials. In
the last decade, thin multilayers prepared by LbL deposition have been applied in
biological fields, namely, for cellular encapsulation, due to their versatile
processing and tunable properties. Their use was suggested as an alternative
approach to overcome the drawbacks of bulk hydrogels, for endocrine cells
transplantation or tissue engineering approaches, as effective cytoprotective
agents, or as a way to control cell division. Nanostructured multilayered
materials are currently used in the nanomodification of the surfaces of single
cells and cell aggregates, and are also suitable as coatings for cell-laden
hydrogels or other biomaterials, which may later be transformed to highly
permeable hollow capsules. In this Focus Review, we discuss the applications of
LbL cell encapsulation in distinct fields, including cell therapy, regenerative
medicine, and biotechnological applications. Insights regarding practical aspects
required to employ LbL for cell encapsulation are also provided.
PMID- 27213992
TI - Genes under weaker stabilizing selection increase network evolvability and rapid
regulatory adaptation to an environmental shift.
AB - Regulatory networks play a central role in the modulation of gene expression, the
control of cellular differentiation, and the emergence of complex phenotypes.
Regulatory networks could constrain or facilitate evolutionary adaptation in gene
expression levels. Here, we model the adaptation of regulatory networks and gene
expression levels to a shift in the environment that alters the optimal
expression level of a single gene. Our analyses show signatures of natural
selection on regulatory networks that both constrain and facilitate rapid
evolution of gene expression level towards new optima. The analyses are
interpreted from the standpoint of neutral expectations and illustrate the
challenge to making inferences about network adaptation. Furthermore, we examine
the consequence of variable stabilizing selection across genes on the strength
and direction of interactions in regulatory networks and in their subsequent
adaptation. We observe that directional selection on a highly constrained gene
previously under strong stabilizing selection was more efficient when the gene
was embedded within a network of partners under relaxed stabilizing selection
pressure. The observation leads to the expectation that evolutionarily resilient
regulatory networks will contain optimal ratios of genes whose expression is
under weak and strong stabilizing selection. Altogether, our results suggest that
the variable strengths of stabilizing selection across genes within regulatory
networks might itself contribute to the long-term adaptation of complex
phenotypes.
PMID- 27213991
TI - Pattern of distribution of serotonergic fibers to the amygdala and extended
amygdala in the rat.
AB - As is well recognized, serotonergic (5-HT) fibers distribute widely throughout
the forebrain, including the amygdala. Although a few reports have examined the 5
HT innervation of select nuclei of the amygdala in the rat, no previous report
has described overall 5-HT projections to the amygdala in the rat. Using
immunostaining for the serotonin transporter, SERT, we describe the complete
pattern of distribution of 5-HT fibers to the amygdala (proper) and to the
extended amygdala in the rat. Based on its ontogenetic origins, the amygdala was
subdivided into two major parts, pallial and subpallial components, with the
pallial component further divided into superficial and deep nuclei (Olucha
Bordonau et al. 2015). SERT+ fibers were shown to distributed moderately to
densely to the deep and cortical pallial nuclei, but, by contrast, lightly to the
subpallial nuclei. Specifically, 1) of the deep pallial nuclei, the lateral,
basolateral, and basomedial nuclei contained a very dense concentration of 5-HT
fibers; 2) of the cortical pallial nuclei, the anterior cortical and amygdala
cortical transition zone rostrally and the posteromedial and posterolateral
nuclei caudally contained a moderate concentration of 5-HT fibers; and 3) of the
subpallial nuclei, the anterior nuclei and the rostral part of the medial (Me)
nuclei contained a moderate concentration of 5-HT fibers, whereas caudal regions
of Me as well as the central nuclei and the intercalated nuclei contained a
sparse/light concentration of 5-HT fibers. With regard to the extended amygdala
(primarily the bed nucleus of stria terminalis; BST), on the whole, the BST
contained moderate numbers of 5-HT fibers, spread fairly uniformly throughout
BST. The findings are discussed with respect to a critical serotonergic influence
on the amygdala, particularly on the basal complex, and on the extended amygdala
in the control of states of fear and anxiety. J. Comp. Neurol. 525:116-139, 2017.
(c) 2016 Wiley Periodicals, Inc.
PMID- 27213993
TI - An evaluation of factors which can affect the implementation of a health
promotion programme under the Schools for Health in Europe framework.
AB - The Health Promoting Schools concept helps schools to promote health in a
sustainable and long-term fashion. However, developing the capacity to promote
health in this way can be challenging when a busy teaching curriculum must be
fulfilled. This study aimed to identify factors which affect the acceptability of
health promotion programmes to the everyday school environment. Semi-structured
qualitative interviews were audio-taped with primary school teachers in one Irish
county and transcribed verbatim. The resulting transcripts were analysed using
content analysis. Thirty-one teachers were interviewed. The factors which may
adversely affect the acceptability of health promotion programmes include the:
attitude of teachers towards an additional extra-curricular workload; lack of
confidence amongst teachers to lead health promotion; and different
organisational cultures between schools. When health promotion programmes under
the Health Promoting Schools concept are being implemented, it's important to
consider: the readiness for change amongst teachers; the resources available to
increase staff capacity to promote health; and the ability of a programme to
adapt to the different organisational cultures between schools.
PMID- 27213994
TI - A strategy for monitoring and evaluating massive open online courses.
AB - We argue that the complex, innovative and adaptive nature of Massive Open Online
Course (MOOC) initiatives poses particular challenges to monitoring and
evaluation, in that any evaluation strategy will need to follow a systems
approach. This article aims to guide organizations implementing MOOCs through a
series of steps to assist them in developing a strategy to monitor, improve, and
judge the merit of their initiatives. We describe how we operationalise our
strategy by first defining the different layers of interacting agents in a given
MOOC system. We then tailor our approach to these different layers. Specifically,
a two-pronged approach was developed, where we suggest that individual projects
be assessed through performance monitoring; assessment criteria for which would
be defined at the outset to include coverage, participation, quality and student
achievement. In contrast, the success of an overall initiative should be
considered within a more adaptive, emergent evaluation inquiry framework. We
present the inquiry framework we developed for MOOC initiatives, and show how
this framework might be used to develop evaluation questions and an assessment
methodology. We also define the more fixed indicators and measures for project
performance monitoring. Our strategy is described as it was developed to inform
the evaluation of a MOOC initiative at the University of Cape Town (UCT), South
Africa.
PMID- 27213995
TI - Engineered cardiac micromodules for the in vitro fabrication of 3D endogenous
macro-tissues.
AB - The in vitro fabrication of an endogenous cardiac muscle would have a high impact
for both in vitro studies concerning cardiac tissue physiology and pathology, as
well as in vivo application to potentially repair infarcted myocardium. To reach
this aim, we engineered a new class of cardiac tissue precursor (CTP),
specifically conceived in order to promote the synthesis and the assembly of a
cardiac extracellular matrix (ECM). The CTPs were obtained by culturing a mixed
cardiac cell population, composed of myocyte and non-myocyte cells, into porous
gelatin microspheres in a dynamic bioreactor. By engineering the culture
conditions, the CTP developed both beating properties and an endogenous immature
cardiac ECM. By following a bottom-up approach, a macrotissue was fabricated by
molding and packing the engineered tissue precursor in a maturation chamber.
During the macrotissue formation, the tissue precursors acted as cardiac tissue
depots by promoting the formation of an endogenous and interconnected cardiac
network embedding the cells and the microbeads. The myocytes cell fraction pulled
on ECM network and induced its compaction against the internal posts represented
by the initial porous microbeads. This reciprocal interplay induced ECM
consolidation without the use of external biophysical stimuli by leading to the
formation of a beating and endogenous macrotissue. We have thus engineered a new
class of cardiac micromodules and show its potential for the fabrication of
endogenous cardiac tissue models useful for in vitro studies that involve the
cardiac tissue remodeling.
PMID- 27213997
TI - A survey on the medication adherence to methotrexate among rheumatoid arthritis
patients treated with self-administered biologic drugs.
AB - OBJECTIVES: Methotrexate (MTX) is the most widely used co-therapy among
rheumatoid arthritis (RA) patients using biological disease-modifying anti
rheumatic drugs (bDMARDs). However, adherence to MTX treatment remains a concern
with estimates of adherence ranging from 59 to 63%. The objective of this study
was to assess the self-reported use and adherence to MTX among RA patients
treated with self-administered bDMARDs. METHODS: An electronic questionnaire
survey was conducted in 68 community pharmacies in Finland. To be included in the
present study patients had to be at least 18 years old, be currently using a self
administered bDMARD and be diagnosed with RA. The results are presented as
medians with their respective interquartile ranges (IQR) or percentages. RESULTS:
Of the 158 pharmacy customers asked to participate, 135 (85%) consented to
complete the questionnaire. The included respondents were predominantly female
(72%) with a median age of 55 (IQR 44-65) and rheumatic activity of 3 out of 10
(IQR 2-6.5). The majority (91%) of the included respondents were using TNF
inhibitors and 27% of all patients were on biologic monotherapy. MTX was
currently used by 45% of the respondents while 50% were past users. Of the
current MTX users, 6.8% identified themselves moderately non-adherent to the
treatment. MTX-related adverse events were important factors associated with
nonadherence and discontinuation of the treatment. CONCLUSIONS: Only 45% of the
respondents were currently using MTX co-therapy, but the ones who did were
adherent to their treatment. Self-reported adherence may however be subject to
social desirability bias and recall bias.
PMID- 27213998
TI - Dynamic Triboelectrification-Induced Electroluminescence and its Use in
Visualized Sensing.
AB - Triboelectrification-induced electroluminescence converts dynamic motion into
light emission. Tribocharges resulting from the relative mechanical interactions
between two dissimilar materials can abruptly and significantly alter the
surrounding electric potential, exciting the electroluminescence of phosphor
along the motion trajectory. The position, trajectory, and contour profile of a
moving object can be visualized in high resolution, demonstrating applications in
sensing.
PMID- 27214000
TI - Activation of peroxymonosulfate by graphitic carbon nitride loaded on activated
carbon for organic pollutants degradation.
AB - Graphitic carbon nitride supported on activated carbon (g-C3N4/AC) was prepared
through an in situ thermal approach and used as a metal free catalyst for
pollutants degradation in the presence of peroxymonosulfate (PMS) without light
irradiation. It was found that g-C3N4 was highly dispersed on the surface of AC
with the increase of surface area and the exposition of more edges and defects.
The much easier oxidation of C species in g-C3N4 to CO was also observed from XPS
spectra. Acid Orange 7 (AO7) and other organic pollutants could be completely
degraded by the g-C3N4/AC catalyst within 20min with PMS, while g-C3N4+PMS and
AC+PMS showed no significant activity for the reaction. The performance of the
catalyst was significantly influenced by the amount of g-C3N4 loaded on AC; but
was nearly not affected by the initial solution pH and reaction temperature. In
addition, the catalysts presented good stability. A nonradical mechanism
accompanied by radical generation (HO and SO4(-)) in AO7 oxidation was proposed
in the system. The CO groups play a key role in the process; while the exposure
of more N-(C)3 group can further increase its electron density and basicity. This
study can contribute to the development of green materials for sustainable
remediation of aqueous organic pollutants.
PMID- 27213999
TI - Interrater Reliability of the 6-Minute Walk Test in Women With Hip Fracture.
AB - BACKGROUND AND PURPOSE: The 6-minute walk test (6MWT) is widely used as a
clinical outcome measure. However, the reliability of the 6MWT is unknown in
individuals who have recently experienced a hip fracture. The aim of this study
was to evaluate the relative and absolute interrater reliability of the 6MWT in
individuals with hip fracture. METHODS: Two senior physical therapy students
independently examined a convenience sample of 20 participants in a randomized
order. Their assessments were separated by 2 days and followed the guidelines of
the American Thoracic Society. Hip fracture-related pain was assessed with the
Verbal Ranking Scale. RESULTS: Participants (all women) with a mean (standard
deviation) age of 78.1 (5.9) years performed the test at a mean of 31.5 (5.8)
days postsurgery. Of the participants, 10 had a cervical fracture and 10 had a
trochanteric fracture. Excellent interrater reliability (intraclass correlation
coefficient [ICC2.1] = 0.92; 95% confidence interval, 0.81-0.97) was found, and
the standard error of measurement and smallest real difference were calculated to
be 21.4 and 59.4 m, respectively. Bland-Altman plots revealed no significant
difference (mean of 3.2 [31.5] m, P = .83) between the 2 raters, and no
heteroscedasticity was observed (r = -0.196, P = .41). By contrast, participants
walked an average of 21.7 (22.5) m longer during the second trial (P = .002).
Participants with moderate hip fracture-related pain walked a shorter distance
than those with no or light pain during the first test (P = .04), but this was
not the case during the second test (P = .25). CONCLUSION: The interrater
reliability of the 6MWT is excellent, and changes of more than 21.4 m (group
level) and 59.4 m (individual participants with hip fracture) indicate a real
change in the 6MWT. Measuring hip fracture-related pain during testing is
recommended for individuals with hip fracture who undergo the 6MWT.
PMID- 27214001
TI - Visual detection of 2,4,6-trinitrotolune by molecularly imprinted colloidal array
photonic crystal.
AB - We developed a photonic crystal (PhC) sensor for the quantification of 2,4,6
trinitrotoluene (TNT) in solution. Monodisperse (210nm in diameter) molecularly
imprinted colloidal particles (MICs) for TNT were prepared by the emulsion
polymerization of methyl methacrylate and acrylamide in the presence of TNT as a
template. The MICs were then self-assembled into close-packed opal PhC films. The
adsorption capacity of the MICs for TNT was 64mg TNT/g. The diffraction from the
PhC depended on the TNT concentration in a methanol/water (3/2, v/v) potassium
dihydrogen phosphate buffer solution (pH=7.0, 30mM). The limit of detection (LOD)
of the sensor was 1.03MUg. The color of the molecularly imprinted colloidal array
(MICA) changed from green to red with an 84nm diffraction red shift when the TNT
concentration increased to 20mM. The sensor response time was 3min. The PhC
sensor was selective for TNT compared to similar compounds such as 2,4,6
trinitrophenol, 2,4-dinitrotoluene, 2,6-dinitrotoluene, 2-nitromesitylene, 4
nitrotoluene, 2-nitrotoluene, 1,3-dinitrobenzene, methylbenzene, 4-nitrophenol, 2
nitroaniline, 3-aminophenol and 3-nitroaniline. The sensor showed high stability
with little response change after three years storage. This sensor technology
might be useful for the visual determination of TNT.
PMID- 27214002
TI - Calcification-carbonation method for red mud processing.
AB - Red mud, the Bayer process residue, is generated from alumina industry and causes
environmental problem. In this paper, a novel calcification-carbonation method
that utilized a large amount of the Bayer process residue is proposed. Using this
method, the red mud was calcified with lime to transform the silicon phase into
hydrogarnet, and the alkali in red mud was recovered. Then, the resulting
hydrogarnet was decomposed by CO2 carbonation, affording calcium silicate,
calcium carbonate, and aluminum hydroxide. Alumina was recovered using an
alkaline solution at a low temperature. The effects of the new process were
analyzed by thermodynamics analysis and experiments. The extraction efficiency of
the alumina and soda obtained from the red mud reached 49.4% and 96.8%,
respectively. The new red mud with <0.3% alkali can be used in cement production.
Using a combination of this method and cement production, the Bayer process red
mud can be completely utilized.
PMID- 27214003
TI - Kinetic modeling of antimony(III) oxidation and sorption in soils.
AB - Kinetic batch and saturated column experiments were performed to study the
oxidation, adsorption and transport of Sb(III) in two soils with contrasting
properties. Kinetic and column experiment results clearly demonstrated the
extensive oxidation of Sb(III) in soils, and this can in return influence the
adsorption and transport of Sb. Both sorption capacity and kinetic oxidation rate
were much higher in calcareous Huanjiang soil than in acid red Yingtan soil. The
results indicate that soil serve as a catalyst in promoting oxidation of Sb(III)
even under anaerobic conditions. A PHREEQC model with kinetic formulations was
developed to simulate the oxidation, sorption and transport of Sb(III) in soils.
The model successfully described Sb(III) oxidation and sorption data in kinetic
batch experiment. It was less successful in simulating the reactive transport of
Sb(III) in soil columns. Additional processes such as colloid facilitated
transport need to be quantified and considered in the model.
PMID- 27214004
TI - Pica associated with initiation of atypical antipsychotic drugs: Report of two
cases.
PMID- 27214005
TI - Low oxygen tension reveals distinct HOX codes in human cord blood-derived stromal
cells associated with specific endochondral ossification capacities in vitro and
in vivo.
AB - Effects of oxygen tension on the generation, expansion, proliferation and
differentiation of stromal cell types is widely described in the literature.
However, data on the internal heterogeneity of applied cell populations at
different O2 levels and possible impacts on differentiation potentials are
controversial. Here, the expression of 39 human HOX genes was determined in
neonatal cord blood stromal cells and linked to differentiation-associated
signatures. In cord blood, unrestricted somatic stromal cells (USSCs), lacking
HOX gene expression, and cord blood-derived multipotent stromal cells (CB-MSCs),
expressing about 20 HOX genes, are distinguished by their specific HOX code.
Interestingly, 74% of the clones generated at 21% O2 were HOX-negative USSCs,
whereas 73% of upcoming clones at 3% O2 were HOX-positive CB-MSCs. In order to
better categorize distinct cell lines generated at 3% O2 , the expression of all
39 HOX genes within HOX clusters A, B, C and D were tested and new subtypes
defined: cells negative in all four HOX clusters (USSCs); cells positive in all
four clusters (CB-MSCsABCD ); and subpopulations missing a single cluster (CB
MSCsACD and CB-MSCsBCD ). Comprehensive qPCR analyses of established chondro
osteomarkers revealed subtype-specific signatures verifiably associated with in
vitro and in vivo differentiation capacity. The data presented here underline the
necessity of better characterizing distinct cell populations at a clonal level,
taking advantage of the inherent specific HOX code as a distinguishing feature
between individual subtypes. Moreover, the correlation of subtype-specific
molecular signatures with in vitro and in vivo bone formation is discussed.
Copyright (c) 2016 John Wiley & Sons, Ltd.
PMID- 27214007
TI - alpha-Cationic Arsines: Synthesis, Structure, Reactivity, and Applications.
AB - A series of structurally differentiated cationic arsines containing imidazolium,
cyclopropenium, formamidinium, and pyridinium substituents have been synthesized
through short and scalable routes. Evaluation of the donor properties of these
compounds by IR spectroscopy and DFT calculations reveals similar sigma-electron
releasing abilities for all of them; however, their pi-acceptor properties are
strongly influenced by the nature of the positively charged group. We describe
the coordination chemistry of the newly prepared alpha-cationic arsines toward
different metal centers and their reactivity in the presence of strong oxidants
to afford cationic As(V) species. Their unique electronic properties have been
exploited in Pt(II) catalysis to develop a new catalyst with remarkable activity
in the cycloisomerization of enynes to trisubstituted cyclopropanes. To the best
of our knowledge, this is the first report on the use of alpha-cationic arsine
ligands in catalysis.
PMID- 27214006
TI - Deletion of the genes waaC, waaF, or waaG in Escherichia coli W3110 disables the
flagella biosynthesis.
AB - Flagella assembly was investigated in the Escherichia coli W3110 wild-type strain
and DeltawaaF, DeltawaaC, and DeltawaaG mutant strains that only synthesize
lipopolysaccharide with different lengths, using transmission electron microscopy
and whole genome transcriptome profiling. Under the electron microscope, the
flagella were observed on the cell surface of the W3110 strain but not the
DeltawaaC, DeltawaaF, or DeltawaaG strains. Transcriptional profiling showed that
1382 genes in DeltawaaC, 526 genes in DeltawaaF, and 965genes in DeltawaaG were
significantly regulated compared to the control W3110 strain. These genes were
further analyzed by gene ontology and KEGG pathway. Although there were
significant transcriptional differences among the DeltawaaC, DeltawaaF, and
DeltawaaG strains, genes related to flagella assembly and bacterial chemotaxis
(the linkage between the flagella and the environment) were significantly down
regulated in all three strains. The data demonstrated that flagella assembly in
E. coli depends on the length of lipopolysaccharide.
PMID- 27214010
TI - Abnormal immune parameters in HIV-seronegative haemophilic patients.
AB - In HIV-seronegative haemophiliac patients abnormal immune parameters have been
demonstrated. In this review data on these abnormalities, their aetiology and
clinical consequences are summarized and discussed. The data reviewed show
abnormalities at different levels of the adaptive immune system. Most of the
reported abnormalities regard lymphocyte subsets and their function, both in vivo
and in vitro testing. Strong evidence has not been found for a causal relation
between abnormalities and the consumption of factor VIII concentrates nor the
purity of the concentrates. It seems likely that certain contaminants in the
factor VIII concentrates have an inhibiting effect on lymphocytes and monocytes.
Two clinical consequences of the abnormalities have been suggested: a higher
susceptibility for infections and a greater risk to develop malignancies. Data on
these consequences, however, are contradicting and not in agreement with the good
results of long-term treatment of HIV-seronegative haemophiliac patients with
factor VIII concentrates. The studies reviewed give no convincing evidence that
more pure concentrates are advantageous in HIV-negative haemophiliacs.
PMID- 27214008
TI - Fe(2+) binding on amyloid beta-peptide promotes aggregation.
AB - The metal ions Zn(2+) , Cu(2+) , and Fe(2+) play a significant role in the
aggregation mechanism of Abeta peptides. However, the nature of binding between
metal and peptide has remained elusive; the detailed information on this from the
experimental study is very difficult. Density functional theory (dft) (M06-2X/6
311++G (2df,2pd) +LANL2DZ) has employed to determine the force field resulting
due to metal and histidine interaction. We performed 200 ns molecular dynamics
(MD) simulation on Abeta1-42 -Zn(2+) , Abeta1-42 -Cu(2+) , and Abeta1-42 -Fe(2+)
systems in explicit water with different combination of coordinating residues
including the three Histidine residues in the N-terminal. The present
investigation, the Abeta1-42 -Zn(2+) system possess three turn conformations
separated by coil structure. Zn(2+) binding caused the loss of the helical
structure of N-terminal residues which transformed into the S-shaped
conformation. Zn(2+) has reduced the coil and increases the turn content of the
peptide compared with experimental study. On the other hand, the Cu(2+) binds
with peptide, beta sheet formation is observed at the N-terminal residues of the
peptide. Fe(2+) binding is to promote the formation of Glu22-Lys28 salt-bridge
which stabilized the turn conformation in the Phe19-Gly25 residues, subsequently
beta sheets were observed at His13-Lys18 and Gly29-Gly37 residues. The turn
conformation facilitates the beta sheets are arranged in parallel by enhancing
the hydrophobic contact between Gly25 and Met35, Lys16 and Met35, Leu17 and
Leu34, Val18 and Leu34 residues. The Fe(2+) binding reduced the helix structure
and increases the beta sheet content in the peptide, which suggested, Fe(2+)
promotes the oligomerization by enhancing the peptide-peptide interaction.
Proteins 2016; 84:1257-1274. (c) 2016 Wiley Periodicals, Inc.
PMID- 27214011
TI - Desmopressin and type II B von Willebrand disease.
AB - Type II B von Willebrand disease (vWD) is a rare subtype of vWD characterized by
the presence of an abnormal von Willebrand factor (vWF) with enhanced affinity
for the platelet membrane receptor glycoprotein Ib. The phenotypic hallmarks of
the disease are represented by heightened ristocetin-induced platelet
aggregation, occurring at very low ristocetin concentration, and the lack of high
molecular-weight vWF multimers in plasma. When infused with desmopressin, a
variable degree of thrombocytopenia usually occurs in these patients, resulting
from in vivo platelet aggregation caused by the release of abnormal vWF multimers
from endogenous stores. We have reviewed the available literature data concerning
the biological and clinical effects of desmopressin in the few cases so far
reported. Despite the fear of thrombotic or haemorrhagic events, no significant
side-effects have been reported also in the cases (70%) with severe
thrombocytopenia. Moreover, the few clinical reports with the use of desmopressin
in type II B patients showed a favourable effect in the prevention of bleeding
during surgery or dental extraction. Thus, it appears that desmopressin could be
safely used in selected clinical situations in patients with type II B vWD.
PMID- 27214012
TI - The use of intermediate-purity clotting factor concentrates and HIV disease
progression in men with haemophilia.
AB - On the basis of evidence from the immune systems of patients with haemophilia
infected with HIV, patients in the UK have been switched to high-purity clotting
factor concentrates. However, there is very little information currently
available on the effect of intermediate-purity clotting factor concentrates on
progression of HIV disease. Among 99 HIV-positive men with severe factor VIII
deficiency registered at The Royal Free Hospital Haemophilia Centre, a 100 IU kg(
1) increase in the yearly amount of concentrate received did not appear to be
associated with more rapid progression to AIDS, death or to a low CD4 count.
However, the use of total concentrate usage may mask a more subtle effect of
specific concentrate contaminants on the progression of HIV disease.
PMID- 27214013
TI - The impact of a very high-purity factor VIII concentrate on the immune system of
HIV-infected haemophiliacs: a randomized, two-year comparison with a high-purity
concentrate.
AB - Randomized and cohort studies have provided evidence confirming the hypothesis,
based on in-vitro observations, that the use of very high-purity factor VIII
(FVIII) concentrates, either immuoaffinity chromatography purified or produced by
recombinant DNA technology, may slow immunological deterioration in human
immunodeficiency virus (HIV)-infected haemophiliacs, while high-purity
concentrates, produced by ion-exchange chromatography, did not produce a benefit.
Even though these data clearly indicate that very high-purity concentrates should
be preferred for the replacement therapy of HIV-positive haemophiliacs, there are
little data, based on direct comparison, supporting the use of very high-purity
concentrates rather than high-purity preparations, which are less expensive. In
an attempt to address this issue, we prospectively compared CD4 cell counts and
changes of clinical status in 18 HIV-positive haemophiliacs, randomly assigned
either to receive the treatment with a very high-purity FVIII concentrate,
purified by immunoaffinity chromatography, or a high-purity product, produced by
ion-exchange chromatography. All patients had CD4 lymphocyte counts below 300
MUL(-1) , were negative for the hepatitis B surface antigen and the HIV p24
antigen, and were receiving antiretroviral treatment with Zidovudine for at least
6 months. There were no significant changes of CD4 cell counts over the 96-week
follow-up period or between the two groups. No signficant differences between the
two groups were detected in the occurrence of AIDS-defining diagnoses (one in
each group). On the whole, no striking benefit is conferred to the immune status
of asymptomatic HIV-positive haemophiliacs by using either of these high-purity
and very high-purity FVIII concentrates for 96 weeks. Larger prospective
randomized trials are needed to establish definitely whether it is necessary to
resort to very high-purity concentrates or it is sufficient to use high-purity
concentrates to slow the fall of CD4 cell counts that occurs in HIV-positive
haemophiliacs. Randomized trials, based on clinical end-points, are also needed
to demonstrate whether slowing the fall in CD4 cells results in clinical
benefits, delaying the occurrence of AIDS.
PMID- 27214014
TI - Detection and IgG subclass analysis of antibodies to factor VIII in
multitransfused haemophiliacs and healthy individuals.
AB - Using a binding assay to immobilized factor VIII (F VIII) (ELISA) we measured the
amount of IgG with binding capacity to FVIII, in the plasma of patients with an
inhibitor to F VIII, in multitransfused haemophiliacs without inhibitor and in a
control group of blood donors. It was shown that the amount of IgG bound to VIII
was elevated in patients with an inhibitor although a weak correlation could be
established between the inhibitor titre (BU) and the amount of bound IgG. In all
haemophiliacs without inhibitor, IgG bound to F VIII were present. Although the
mean value of IgG bound to F VIII was significantly lower than the amount
detected in patients with F VIII inhibitors, a group of patients developed an
equal amount of IgG recognizing the F VIII molecules to the amount of IgG
measured in inhibitor patients. These results indicate that the presence of an
inhibitor is not related to the amount of specific IgG bound to F VIII but more
likely to the position of epitopes recognized by specific IgG. The presence of
IgG bound to F VIII was detected in 92% of control blood donors and an inhibitor
to F VIII ranging from 0.5 to 1.3 BU mL(-1) in 17% of them. The isotypes of bound
immunoglobins were identified in patients and controls: IgG4 subclass was
predominant only in patients with an inhibitor and usually associated with
antibodies of one or more of the other subclasses. In noninhibitor patients, very
few had antibodies of IgG4 subclass with binding capacity to F VIII. These
results raised the question of the clinical significance of these antibodies in
multitransfused patients. The study indicates that binding assay is a
complementary test to be used in multitransfused patients but cannot be used
instead of the coagulation tests for detection of inhibitors.
PMID- 27214015
TI - Variation in factor VIII inhibitor reactivity with different commercial factor
VIII preparations.
AB - During treatment of a haemophilia A patient with a high-responding inhibitor
against factor VIII coagulant activity (VIII:C), we observed a difference in
recovery of VIII:C depending upon which factor concentrate was infused. Inhibitor
plasma samples or IgG fraction from seven patients were tested against a panel of
seven different commercially available factor VIII concentrates of which five
were plasma-derived and two recombinant. In two of the plasma samples, inhibitor
titres manifested a wide range of values depending upon which concentrate was
used in the test system. Thus, inhibitor neutralization was less and VIII:C
recovery greater when factor VIII concentrates containing large amounts of von
Willebrand factor were used than when highly purified concentrates containing no
von Willebrand factor or only trace amounts were used. In both of these two
patients the inhibitor was directed against the light chain of factor VIII, and
it is possible that the epitope of the light chain with which the inhibitor
reacts is partly blocked by the von Willebrand factor. We conclude that
inhibitors may differ in their reactivity with factor VIII molecules contained in
clotting factor concentrates, and that there is factor VIII epitope variation
between different concentrates. These findings have implications for the
selection of concentrates for the treatment of inhibitor patients and the
haemostatic effect may be improved if a concentrate giving the lowest inhibitor
titre is chosen. Thus, in vitro testing of inhibitor reactivity with a panel of
concentrates is recommended when treatment of inhibitor patients with factor VIII
concentrates is considered.
PMID- 27214016
TI - Hepatitis C seropositivity in HIV-negative children with severe haemophilia.
AB - With the advent of new viral inactivation and purification methods for factor
concentrates in the 1980s, transmission of both HIV-1 and hepatitis viruses has
been significantly decreased. However, on routine annual testing of the
paediatric population at the New England Hemophilia Center (NEHC), several
children were noted to be hepatitis C (HCV) seropositive. Thus, a retrospective
review of children with severe haemophilia was undertaken. Twenty-six children
(median age: 7.5 years) under the age of 12 were identified. All were HIV-1
seronegative and had received hepatitis B immunization. Of these, 22 had received
factor concentrate. Four children had no documented HCV serostatus, and seven
were HCV seropositive using a second-generation ELISA. Transfusion products were
reviewed and stored serum samples were evaluated using a second-generation ELISA
to identify the approximate date of seroconversion with positive tests confirmed
by RIBA analysis. Three children became seropositive before 1989 using factor
concentrates with early viral attenuation procedures. Two children who
seroconverted after 1991 received only monoclonal affinity purified factor
concentrate that was either pasteurized or solvent/detergent treated. There was
no evidence of horizontal or nosocomial viral transmission. We are unable to
prove causality with the factor concentrates used by these children. Continued
surveillance with sensitive measures for detection of HCV in persons with
haemophilia using plasma-derived factor concentrate is necessary.
PMID- 27214017
TI - Beta interferon therapy for chronic hepatitis C in patients with haemophilia and
other haemorrhagic disorders.
AB - Beta interferon therapy was given to seven chronic hepatitis C patients with
haemophilia or other haemorrhagic disorders who had received clotting factor
replacement therapy. Serum alanine aminotransferase (ALT) levels ranged from 82
to 275 UL(-1) and hepatitis C virus (HCV)-RNA ranged from 10(6) to 10(9) copies
mL(-1) . HCV-genotypes were I+II in one patient, II in one, II+III in four and IV
in one. Patients received 6 mega units (MU) daily of natural type beta interferon
by intravenous infusion for 6 weeks. In three of seven patients, the protocol was
modified to intermittent administration because neutrocytopenia (under 500 *
10(6) L(-1) ) developed in two patients and thrombocytopenia (under 50 * 10(9) L(
1) ) was observed in one during treatment. No modification was necessary with
regard to daily and total dose. All patients received administration without any
haemorrhagic complications. Six of seven patients showed improvement in serum ALT
levels, and one of the patients showed normalization of ALT levels for 6 months
after treatment. HCV-RNA disappeared in four patients by the end of treatment,
although no one remained negative 6 months after treatment. The results of our
study were similar to those reported in previous papers which described the use
of alpha interferon in haemophiliacs. The reason none of the patients showed
sustained loss of HCV-RNA after therapy might be associated with high HCV-RNA
levels, characteristics of the HCV-genotype and prolonged duration of the
disease.
PMID- 27214018
TI - Counselling for HIV-positive haemophiliacs in Japan.
AB - This paper describes the current status of counselling for HIV-positive
haemophiliacs at a haemophilia centre in Japan. Clinical experience with 23 HIV
infected haemophiliacs (all male, age range 16-56, mean 29.9 years), 22 family
members (eight couples of parents, one grandmother, five siblings) and nine
sexual partners (five wives and four girlfriends) between April 1990 and February
1994 is detailed. Methods of counselling consisted of individual counselling in
32, couple counselling in eight and family counselling in three cases.
Indications for counselling consisted of fear of or shame of HIV infection in 37,
concern for the family in 21, risk of transmitting HIV to sexual partners in 12,
fear of death and dying in 12, future career and family life in seven, interest
in treatment options in five, daily life style in 10, parents' feeling of guilt
over the infection in five cases, lack of knowledge of haemophilia, HIV infection
and AIDS in seven, and bereavement in two cases. A total of 200 counselling hours
were provided. Consequently, pending disclosure of HIV infection was successfully
completed in five, disclosure of HIV infection to the sexual partner by the
patient was facilitated in two, the patient-family relationship improved in nine,
and smooth transition of care to the adult in-hospital unit was achieved in two
patients. Our experience in Japan clearly verifies the importance of
psychoeducational counselling for HIV-positive haemophiliacs and their family as
well as sexual partners.
PMID- 27214019
TI - A lesson from persistently elevated aspartate transaminases (AST) in a patient
with severe haemophilia A.
AB - Prior to the availability of test for hepatitis 'C' in 1989, any elevated AST in
a pooled blood product recipient was presumed to be from non-A-non-B hepatitis.
We report a patient who received pooled factor VIII in 1984 and had persistently
elevated AST which proved to be of nonhepatic origin.
PMID- 27214021
TI - An elective orthopaedic surgical repair: a reminiscence.
PMID- 27214020
TI - Disarticulation of a knee joint in a haemophiliac with high inhibitor titre.
AB - Disarticulation of a knee joint in an 8-year-old haemophilia A patient with high
inhibitor of 3450 Bethesda units (BU) is described. He had an infected compound
fracture of the tibia and fibula. Surgery was successfully performed after
extensive plasma exchange; administration of immunosuppressive agents such as
cyclophosphamide, methylprednisolone, intravenous immunoglobulin and cyclosporine
were combined with a loading dose of 100 units kg(-1) of factor VIII concentrate,
followed by continuous infusion of 16 units kg(-1) h(-1) of factor VIII in the
form of factor VIII concentrate and cryoprecipitate for 7 days and decreased to 8
units kg(-1) h(-1) in the form of cryoprecipitate for 19 more days. During the
1st to 7th post-operative days, the lowest factor VIII inhibitor was 18 BU and
the factor VIII level ranged from < 1-2.1 IU dL(-1) . On the 9th and 13th post
operative day, although the inhibitor rose to 330 and 2700 BU, respectively,
there was no serious bleeding. The suture was removed on the 21st post-operative
day. The inhibitor spontaneously decreased to 550, 232 and 14 BU at 1, 7 and 10
months, respectively.
PMID- 27214023
TI - A random effect model for reconstruction of spatial chromatin structure.
AB - A gene may be controlled by distal enhancers and repressors, not merely by
regulatory elements in its promoter. Spatial organization of chromosomes is the
mechanism that brings genes and their distal regulatory elements into close
proximity. Recent molecular techniques, coupled with Next Generation Sequencing
(NGS) technology, enable genome-wide detection of physical contacts between
distant genomic loci. In particular, Hi-C is an NGS-aided assay for the study of
genome-wide spatial interactions. The availability of such data makes it possible
to reconstruct the underlying three-dimensional (3D) spatial chromatin structure.
In this article, we present the Poisson Random effect Architecture Model (PRAM)
for such an inference. The main feature of PRAM that separates it from previous
methods is that it addresses the issue of over-dispersion and takes correlations
among contact counts into consideration, thereby achieving greater consistency
with observed data. PRAM was applied to Hi-C data to illustrate its performance
and to compare the predicted distances with those measured by a Fluorescence In
Situ Hybridization (FISH) validation experiment. Further, PRAM was compared to
other methods in the literature based on both real and simulated data.
PMID- 27214025
TI - Executive Function and Ambiguous Sentence Comprehension.
AB - PURPOSE: Sentence comprehension is a critical skill in today's literate society.
Recent evidence suggests that processing and comprehending language taps
cognitive as well as linguistic abilities, a finding that has critical import for
clinicians who have clients with language disorders. To promote awareness of the
impact of cognition, especially executive function (EF) and working memory (WM),
this opinion article presents current views of how sentences are processed and
links the various steps of the process to specific EF and WM subcomponents.
METHOD: The article focuses on ambiguous sentences, pointing out the similar
types of processing needed when resolving an ambiguity and performing EF tasks.
RESULTS: We discuss the potential overlap between the neurobiology of sentence
processing and EF and the evidence supporting a link between EF and sentence
processes. CONCLUSION: Awareness of the potential role of EF and WM in sentence
comprehension will help clinicians be more aware of potential cognitive
linguistic deficits in their clients. Future research will help to clarify the
link between EF and sentence comprehension.
PMID- 27214024
TI - PRISM, a Novel Visual Metaphor Measuring Personally Salient Appraisals, Attitudes
and Decision-Making: Qualitative Evidence Synthesis.
AB - BACKGROUND: PRISM (the Pictorial Representation of Illness and Self Measure) is a
novel, simple visual instrument. Its utility was initially discovered
serendipitously, but has been validated as a quantitative measure of suffering.
Recently, new applications for different purposes, even in non-health settings,
have encouraged further exploration of how PRISM works, and how it might be
applied. This review will summarise the results to date from applications of
PRISM and propose a generic conceptualisation of how PRISM works which is
consistent with all these applications. METHODS: A systematic review, in the form
of a qualitative evidence synthesis, was carried out of all available published
data on PRISM. RESULTS: Fifty-two publications were identified, with a total of
8254 participants. Facilitated by simple instructions, PRISM has been used with
patient groups in a variety of settings and cultures. As a measure of suffering,
PRISM has, with few exceptions, behaved as expected according to Eric Cassell's
seminal conceptualisation of suffering. PRISM has also been used to assess
beliefs about or attitudes to stressful working conditions, interpersonal
relations, alcohol consumption, and suicide, amongst others. DISCUSSION: This
review supports PRISM behaving as a visual metaphor of the relationship of
objects (eg 'my illness') to a subject (eg 'myself') in a defined context (eg 'my
life at the moment'). As a visual metaphor, it is quick to complete and yields
personally salient information. PRISM is likely to have wide applications in
assessing beliefs, attitudes, and decision-making, because of its properties, and
because it yields both quantitative and qualitative data. In medicine, it can
serve as a generic patient-reported outcome measure. It can serve as a tool for
representational guidance, can be applied to developing strategies visually, and
is likely to have applications in coaching, psychological assessment and
therapeutic interventions.
PMID- 27214027
TI - Computational Insights into the Stability and Folding Pathways of Human Telomeric
DNA G-Quadruplexes.
AB - G-quadruplex is a noncanonical yet crucial secondary structure of nucleic acids,
which has proven its importance in cell aging, anticancer therapies, gene
expression, and genome stability. In this study, the stability and folding
dynamics of human telomeric DNA G-quadruplexes were investigated via enhanced
sampling techniques. First, temperature-replica exchange MD (REMD) simulations
were employed to compare the thermal stabilities among the five established
folding topologies. The hybrid-2 type adopted by extended human telomeric
sequence is revealed to be the most stable conformation in our simulations. Next,
the free energy landscapes and folding intermediates of the hybrid-1 and -2 types
were investigated with parallel tempering metadynamics simulations in the well
tempered ensemble. It was observed that the N-glycosidic conformations of
guanines can flip over to accommodate into the cyclic Hoogsteen H-bonding on G
tetrads in which they were not originally involved. Furthermore, a hairpin and a
triplex intermediate were identified for the folding of the hybrid-1 type
conformation, whereas for the hybrid-2 type, there were no folding intermediates
observed from its free energy surface. However, the energy barrier from its
native topology to the transition structure is found to be extremely high
compared to that of the hybrid-1 type, which is consistent with our stability
predictions from the REMD simulations. We hope the insights presented in this
work can help to complement current understanding on the stability and dynamics
of G-quadruplexes, which is necessary not only to stabilize the structures but
also to intervene their formation in genome.
PMID- 27214026
TI - Interferon-alpha Revisited: Individualized Treatment Management Eased the
Selective Pressure of Tyrosine Kinase Inhibitors on BCR-ABL1 Mutations Resulting
in a Molecular Response in High-Risk CML Patients.
AB - Bone marrow transplantation or ponatinib treatment are currently recommended
strategies for management of patients with chronic myeloid leukemia (CML)
harboring the T315I mutation and compound or polyclonal mutations. However, in
some individual cases, these treatment scenarios cannot be applied. We used an
alternative treatment strategy with interferon-alpha (IFN-alpha) given solo,
sequentially or together with TKI in a group of 6 cases of high risk CML
patients, assuming that the TKI-independent mechanism of action may lead to
mutant clone repression. IFN-alpha based individualized therapy decreases of
T315I or compound mutations to undetectable levels as assessed by next-generation
deep sequencing, which was associated with a molecular response in 4/6 patients.
Based on the observed results from immune profiling, we assumed that the
principal mechanism leading to the success of the treatment was the immune
activation induced with dasatinib pre-treatment followed by restoration of
immunological surveillance after application of IFN-alpha therapy. Moreover, we
showed that sensitive measurement of mutated BCR-ABL1 transcript levels augments
the safety of this individualized treatment strategy.
PMID- 27214028
TI - Higher plasma orexin A levels in children with Prader-Willi syndrome compared
with healthy unrelated sibling controls.
AB - Prader-Willi syndrome (PWS) is a rare genetic neurodevelopmental disorder
associated with maladaptive social behavior, hyperphagia, and morbid obesity.
Orexin A is a hypothalamic neuropeptide important as a homeostatic regulator of
feeding behavior and in energy metabolism through actions in the lateral
hypothalamus. Dysregulation of orexin signaling may contribute to behavioral
problems and hyperphagia seen in PWS and we sought to assess orexin A levels in
PWS relative to controls children. Morning fasting plasma orexin A levels were
analyzed in 23 children (aged 5-11 years) with genetically confirmed PWS and 18
age and gender matched healthy unrelated siblings without PWS. Multiplex immune
assays utilized the Milliplex Human Neuropeptide Magnetic panel and the Luminex
platform. Natural log-transformed orexin A data were analyzed using general
linear model adjusting for diagnosis, gender, age, total body fat and body mass
index (BMI). Plasma orexin A levels were significantly higher (P < 0.006) in
children with PWS (average +/-SD = 1028 pg/ml +/- 358) compared with unrelated
siblings (average +/-SD = 609 pg/ml +/- 351; P < 0.001). Orexin A levels
correlated with age in females and were significantly elevated in PWS even after
these effects were controlled. These findings support the hypothesis that
dysregulation of orexin signaling may contribute to behavioral problems and
hyperphagia in PWS. Further studies are warranted to better understand the
complex relationship between orexin A levels and the problematic behaviors
consistently found in individuals with PWS. (c) 2016 Wiley Periodicals, Inc.
PMID- 27214029
TI - Total Value of Phosphorus Recovery.
AB - Phosphorus (P) is a critical, geographically concentrated, nonrenewable resource
necessary to support global food production. In excess (e.g., due to runoff or
wastewater discharges), P is also a primary cause of eutrophication. To reconcile
the simultaneous shortage and overabundance of P, lost P flows must be recovered
and reused, alongside improvements in P-use efficiency. While this motivation is
increasingly being recognized, little P recovery is practiced today, as recovered
P generally cannot compete with the relatively low cost of mined P. Therefore, P
is often captured to prevent its release into the environment without beneficial
recovery and reuse. However, additional incentives for P recovery emerge when
accounting for the total value of P recovery. This article provides a
comprehensive overview of the range of benefits of recovering P from waste
streams, i.e., the total value of recovering P. This approach accounts for P
products, as well as other assets that are associated with P and can be recovered
in parallel, such as energy, nitrogen, metals and minerals, and water.
Additionally, P recovery provides valuable services to society and the
environment by protecting and improving environmental quality, enhancing
efficiency of waste treatment facilities, and improving food security and social
equity. The needs to make P recovery a reality are also discussed, including
business models, bottlenecks, and policy and education strategies.
PMID- 27214030
TI - Crop connectivity under climate change: future environmental and geographic risks
of potato late blight in Scotland.
AB - The impact of climate change on dispersal processes is largely ignored in risk
assessments for crop diseases, as inoculum is generally assumed to be ubiquitous
and nonlimiting. We suggest that consideration of the impact of climate change on
the connectivity of crops for inoculum transmission may provide additional
explanatory and predictive power in disease risk assessments, leading to improved
recommendations for agricultural adaptation to climate change. In this study, a
crop-growth model was combined with aerobiological models and a newly developed
infection risk model to provide a framework for quantifying the impact of future
climates on the risk of disease occurrence and spread. The integrated model uses
standard meteorological variables and can be easily adapted to various crop
pathosystems characterized by airborne inoculum. In a case study, the framework
was used with data defining the spatial distribution of potato crops in Scotland
and spatially coherent, probabilistic climate change data to project the future
connectivity of crop distributions for Phytophthora infestans (causal agent of
potato late blight) inoculum and the subsequent risk of infection. Projections
and control recommendations are provided for multiple combinations of potato
cultivar and CO2 emissions scenario, and temporal and spatial averaging schemes.
Overall, we found that relative to current climatic conditions, the risk of late
blight will increase in Scotland during the first half of the potato growing
season and decrease during the second half. To guide adaptation strategies, we
also investigated the potential impact of climate change-driven shifts in the
cropping season. Advancing the start of the potato growing season by 1 month
proved to be an effective strategy from both an agronomic and late blight
management perspective.
PMID- 27214031
TI - Comments regarding "the impact of body worlds on adult visitors' knowledge on
human anatomy".
PMID- 27214032
TI - Measuring What Works: An Impact Evaluation of Women's Groups on Maternal Health
Uptake in Rural Nepal.
AB - BACKGROUND: There is a need for studies evaluating maternal health interventions
in low-income countries. This paper evaluates one such intervention designed to
promote maternal health among rural women in Nepal. METHODS AND RESULTS: This was
a five-year controlled, non-randomised, repeated cross-sectional study (2007,
2010, 2012) of a participatory community-based maternal health promotion
intervention focusing on women's groups to improve maternal health services
uptake. In total 1,236 women of childbearing age, who had their last child <= two
years ago, were interviewed. Difference-in-Difference estimation assessed the
effects of the intervention on selected outcome variables while controlling for a
constructed wealth index and women's characteristics. In the first three years
(from 2007 to the 2010), the intervention increased women's likelihood of
attending for antenatal care at least once during pregnancy by seven times [OR =
7.0, 95%CI (2.3; 21.4)], of taking iron and folic acid by three times [OR = 3.0,
95%CI (1.2; 7.8)], and of seeking four or more antenatal care visits of two
times, although not significantly [OR = 2.2, 95%CI (1.0; 4.7)]. Over five years,
women were more likely to seek antenatal care at least once [OR = 3.0, 95%CI
(1.5; 5.2)], to take iron/folic acid [OR = 1.9, [95% CI (1.1; 3.2)], and to
attend postnatal care [OR = 1.5, [95% CI (1.1; 2.2)]. No improvement was found on
attending antenatal care in the first trimester, birthing at an institution or
with a skilled birth attendant. CONCLUSION: Community-based health promotion has
a much stronger effect on the uptake of antenatal care and less on delivery care.
Other factors not easily resolved through health promotion interventions may
influence these outcomes, such as costs or geographical constraints. The
evaluation has implications for policy and practice in public health, especially
maternal health promotion.
PMID- 27214034
TI - Evaluation of Non-Laboratory and Laboratory Prediction Models for Current and
Future Diabetes Mellitus: A Cross-Sectional and Retrospective Cohort Study.
AB - BACKGROUND: Various diabetes risk scores composed of non-laboratory parameters
have been developed, but only a few studies performed cross-validation of these
scores and a comparison with laboratory parameters. We evaluated the performance
of diabetes risk scores composed of non-laboratory parameters, including a
recently published Korean risk score (KRS), and compared them with laboratory
parameters. METHODS: The data of 26,675 individuals who visited the Seoul
National University Hospital Healthcare System Gangnam Center for a health
screening program were reviewed for cross-sectional validation. The data of 3,029
individuals with a mean of 6.2 years of follow-up were reviewed for longitudinal
validation. The KRS and 16 other risk scores were evaluated and compared with a
laboratory prediction model developed by logistic regression analysis. RESULTS:
For the screening of undiagnosed diabetes, the KRS exhibited a sensitivity of
81%, a specificity of 58%, and an area under the receiver operating
characteristic curve (AROC) of 0.754. Other scores showed AROCs that ranged from
0.697 to 0.782. For the prediction of future diabetes, the KRS exhibited a
sensitivity of 74%, a specificity of 54%, and an AROC of 0.696. Other scores had
AROCs ranging from 0.630 to 0.721. The laboratory prediction model composed of
fasting plasma glucose and hemoglobin A1c levels showed a significantly higher
AROC (0.838, P < 0.001) than the KRS. The addition of the KRS to the laboratory
prediction model increased the AROC (0.849, P = 0.016) without a significant
improvement in the risk classification (net reclassification index: 4.6%, P =
0.264). CONCLUSIONS: The non-laboratory risk scores, including KRS, are useful to
estimate the risk of undiagnosed diabetes but are inferior to the laboratory
parameters for predicting future diabetes.
PMID- 27214033
TI - Mechanistic Approaches to Improve Correction of the Most Common Disease-Causing
Mutation in Cystic Fibrosis.
AB - The most common mutation in the cystic fibrosis transmembrane conductance
regulator (CFTR) gene leads to deletion of the phenylalanine at position 508
(DeltaF508) in the CFTR protein and causes multiple folding and functional
defects. Contrary to large-scale efforts by industry and academia, no significant
therapeutic benefit has been achieved with a single "corrector". Therefore,
investigations concentrate on drug combinations. Orkambi (Vertex
Pharmaceuticals), the first FDA-approved drug for treatment of cystic fibrosis
(CF) caused by this mutation, is a combination of a corrector (VX-809) that
facilitates DeltaF508 CFTR biogenesis and a potentiator (VX-770), which improves
its function. Yet, clinical trials utilizing this combination showed only modest
therapeutic benefit. The low efficacy Orkambi has been attributed to VX-770
mediated destabilization of VX-809-rescued DeltaF508 CFTR. Here we report that
the negative effects of VX-770 can be reversed by increasing the half-life of the
endoplasmic reticulum (ER) form (band B) of DeltaF508 CFTR with another corrector
(Corr-4a.) Although Corr-4a alone has only minimal effects on DeltaF508 CFTR
rescue, it increases the half-life of DeltaF508 CFTR band B when it is present
during half-life measurements. Our data shows that stabilization of band B
DeltaF508 CFTR with Corr-4a and simultaneous rescue with VX-809, leads to a >2
fold increase in cAMP-activated, CFTRinh-172-inhibited currents compared to VX
809 alone, or VX-809+VX-770. The negative effects of VX-770 and the Corr-4a
protection are specific to the native I507-ATT DeltaF508 CFTR without affecting
the inherently more stable, synonymous variant I507-ATC DeltaF508 CFTR. Our
studies emphasize that stabilization of DeltaF508 CFTR band B in the ER might
improve its functional rescue by Orkambi.
PMID- 27214035
TI - Plasma factor XIII level variations during menstrual cycle.
AB - Factor XIII (FXIII) has an important role in the control of bleeding through
fibrin cross-linking; however, its effect within the menstrual cycle is not fully
understood. The aim of this study was to examine changes in FXIII activity during
the normal menstrual cycle and correlate FXIII activity with menstrual blood
loss. A total of 32 healthy normal women of reproductive age were recruited.
Menstrual blood loss was measured using the pictorial blood-assessment chart
(PBAC). A bleeding score questionnaire was also completed. Blood samples were
taken during the menstrual, proliferative, periovulatory, secretory and
premenstrual phase for assessment of FXIII level. The mean +/- SD FXIII level was
lowest during menstrual and periovulatory phases (114 +/- 23 and 114 +/- 21
IU/dl, respectively). Mean FXIII level during the secretory and premenstrual
phases were higher than the menstrual phase (P = 0.036). Mean secretory phase
FXIII was also significantly higher compared with the periovulatory phase (P =
0.02). There was no significant correlation between FXIII level during the
menstrual phase and age (P = 0.53) or PBAC score (P = 0.53). There were no
significant differences in FXIII level during the menstrual phase between women
with PBAC scores of at least 100 (n = 14; mean 116 IU/dl) and women with PBAC
scores less than 100 (n = 18; mean 113 IU/dl). There was no correlation between
FXIII level and bleeding score. FXIII activity was lower during menstrual and
periovulatory phases of the cycle. However, the small difference between mean
values (8 IU/dl) would be unlikely to have a significant impact on diagnosis of
FXIII deficiency and clinical management.
PMID- 27214036
TI - Early onset of abdominal venous thrombosis in a newborn with homozygous type II
heparin-binding site antithrombin deficiency.
AB - : The overall incidence of thromboembolic events in the neonatal period is 5 per
100 000 births, wherein more than 40% of all such manifestations are symptomatic
renal vein thromboses. We describe the case of a newborn female who developed
extensive thrombosis, which filled the inferior vena cava and renal vein and was
diagnosed in the first weeks of life. A homozygous type II heparin-binding site
antithrombin deficiency (c. 391C>T, p. Leu131Phe) was detected in the background.
Despite the timely diagnosis and appropriate treatment, clinical signs of renal
insufficiency, because of left kidney atrophy and arterial hypertension, were
observed. Our case demonstrates the seriousness of the consequences arising after
early onset of venous thrombosis caused by homozygous type II heparin-binding
site antithrombin deficiency. In addition to prompt diagnosis, of huge importance
is the determination of inherited thrombophilia, as it significantly affects
therapeutic treatment and indicates that long-term follow-up is mandatory.
PMID- 27214037
TI - Acute ischemic stroke after cardiac catheterization: the protamine low-dose
recombinant tissue plasminogen activator pathway.
AB - : Intravenous thrombolysis is the preferred treatment for acute ischemic stroke;
however, it remains unestablished in the area of cardiac catheterization. We
report three patients with acute ischemic stroke after cardiac catheterization.
After reversing the anticoagulant effect of unfractionated heparin with
protamine, all of the patients were successfully off-label thrombolyzed with
reduced doses of intravenous recombinant tissue plasminogen activator (0.6
mg/kg). This dose was preferred to reduce the risk of symptomatic cerebral or
systemic bleeding. The sequential pathway of protamine recombinant tissue
plasminogen activator at reduced doses may be safer for reducing intracranial or
systemic bleeding events, whereas remaining efficacious for the treatment of
acute ischemic stroke after cardiac catheterization.
PMID- 27214038
TI - Transcriptional Response of Multiple ESBL Genes Within Escherichia coli Under
Oxyimino-Cephalosporin Stress.
AB - The expression of extended-spectrum beta-lactamases directly interferes with the
treatment options in a clinical setting. It is not clearly defined why bacteria
acquire multiple beta-lactamases and how they are being expressed in antibiotic
stress. With this key question, the study was designed to understand the
transcriptional response in Escherichia coli harboring multiple blaESBLs against
different oxyimino-cephalosporin stress. A total of 169 consecutive, nonduplicate
oxyimino-cephalosporin-resistant isolates of E. coli were screened and were ESBL
positive. Among them six isolates were found to harbor multiple beta-lactamase
genes and we, as per our objective, selected them for this study. Molecular
characterization was done by multiplex polymerase chain reaction (PCR) assay.
Minimum inhibitory concentration, transcriptional expression, transferability,
and plasmid incompatibility typing of multiple blaESBLs were carried out. Plasmid
stability and antibiotic susceptibility of donor and transconjugants were
performed. A total of six isolates were found to be harboring multiple ESBL genes
and MIC above the breakpoint level against all the tested antibiotics.
Quantitative real-time PCR showed that in basal level without antibiotic stress,
SHV-148 expressed more, but with ceftriaxone stressed, expression of CTX-M-15 and
SHV-148 was high. In case of PER-1, expression was high with ceftazidime stress.
blaESBLs were horizontally transferable and originated through multiple inc
types. Plasmids were stable till 115 serial passages. Pulsed-field gel
electrophoresis results showed that multiple ESBL genes were spread through six
pulsotypes. Our study concludes that acquisition of multiple ESBL genes in E.
coli was a specific adaptation for survival against multiple oxyimino
cephalosporin stress in this clinical setting.
PMID- 27214039
TI - TLR1 Variant H305L Associated with Protection from Pulmonary Tuberculosis.
AB - Toll like receptors (TLR) are key elements of the innate immune response and
involved in the recognition of pathogens. To test common and rare TLR variants
involved in susceptibility or resistance to infection with Mycobacterium
tuberculosis we screened the exons of the genes encoding TLR 1, 2, 4, and the
adaptor molecule TIRAP in more than 4500 tuberculosis (TB) cases and controls
from Ghana. The analysis yielded 109 variants with possible functional impact,
including 101 non-synonymous variants, three stop-variants, and five indels.
Association analyses yielded a significant result for the TLR1 variant rs3923647,
conferring strong protection against TB (Odds ratio [OR] 0.21, CI confidence
interval [CI] 0.05-0.6, Pnominal 1 x 10-3) when applying a recessive model of
inheritance. Replication analyses with an additional 3370 Ghanaian cases and
control samples, and with data from a recent TB study of 533 African-Americans
confirmed the protective effect and resulted in a combined OR of 0.19, with a
nominal P value of 2.2 x 10-5, and a corrected P value of 4.1 x 10-4. The SNP is
located near the binding pocket of TLR1 and causes an amino acid exchange from
histidine to leucine at position 305. The observed effect may, therefore, be
attributable to structural changes in the recognition site of the TLR1 molecule,
allowing to bind those mycobacterial ligands which preferentially may induce a
protective immune response. This is supported by the analysis of BCG-stimulated
peripheral blood mononuclear cells, showing increased induction of the
proinflammatory cytokine IFN-gamma in carriers of the mutant TLR1 rs3923647 TT
genotype, compared to the IFN-gamma levels of individuals with the AT and AA
genotypes.
PMID- 27214042
TI - A single loop is essential for the octamerization of vanillyl alcohol oxidase.
AB - The VAO/PCMH family of flavoenzymes is a family of structurally related proteins
that catalyse a wide range of oxidation reactions. It contains a subfamily of
enzymes that catalyse the oxidation of para-substituted phenols using covalently
bound FAD cofactors (the 4PO subfamily). This subfamily is composed of two
oxidases, vanillyl alcohol oxidase (VAO) and eugenol oxidase (EUGO), and two
flavocytochrome dehydrogenases, para-cresol methylhydroxylase (PCMH) and eugenol
hydroxylase (EUGH). Although they catalyse similar reactions, these enzymes
differ in terms of their electron acceptor preference and oligomerization state.
For example, VAO forms homo-octamers that can be described as tetramers of stable
dimers, whereas EUGO is exclusively dimeric in solution. A possible explanation
for this difference is the presence of a loop at the dimer-dimer interface in VAO
that is not present in EUGO. Here, the role played by this loop in determining
the quaternary structure of these enzymes is investigated. A VAO variant where
the loop was deleted, loopless VAO, exclusively formed dimers. However,
introduction of the loop into EUGO was not sufficient to induce its
octamerization. Neither variant displayed major changes in its catalytic
properties as compared to the wild-type enzyme. Bioinformatic analysis revealed
that the presence of the loop is conserved within putative fungal oxidases of the
4PO subgroup, but it is never found in putative bacterial oxidases or
dehydrogenases. Our results shed light on the molecular mechanism of homo
oligomerization of VAO and the importance of oligomerization for its enzymatic
function. ENZYMES: p-cresol methylhydroxylase (4-methylphenol:acceptor
oxidoreductase (methyl-hydroxylating), EC 1.17.99.1); vanillyl alcohol oxidase
(vanillyl alcohol:oxygen oxidoreductase, EC 1.1.3.38).
PMID- 27214041
TI - Why We Need More Nature at Work: Effects of Natural Elements and Sunlight on
Employee Mental Health and Work Attitudes.
AB - This study investigated the effects of natural elements and direct and indirect
sunlight exposure on employee mental health and work attitudes. We recruited
participants via an online panel from the United States and India, and analyzed
data from 444 employees. Natural elements and sunlight exposure related
positively to job satisfaction and organizational commitment, and negatively to
depressed mood and anxiety. Direct sunlight was a dominant predictor of anxiety;
indirect sunlight was a dominant predictor of depressed mood, job satisfaction,
and organizational commitment. Natural elements buffered the relationship between
role stressors and job satisfaction, depressed mood, and anxiety. We also found
that depressed mood partially mediated the relationship between natural elements
and job satisfaction. We discuss scientific and policy implications of these
findings.
PMID- 27214040
TI - A Minimal Threshold of c-di-GMP Is Essential for Fruiting Body Formation and
Sporulation in Myxococcus xanthus.
AB - Generally, the second messenger bis-(3'-5')-cyclic dimeric GMP (c-di-GMP)
regulates the switch between motile and sessile lifestyles in bacteria. Here, we
show that c-di-GMP is an essential regulator of multicellular development in the
social bacterium Myxococcus xanthus. In response to starvation, M. xanthus
initiates a developmental program that culminates in formation of spore-filled
fruiting bodies. We show that c-di-GMP accumulates at elevated levels during
development and that this increase is essential for completion of development
whereas excess c-di-GMP does not interfere with development. MXAN3735 (renamed
DmxB) is identified as a diguanylate cyclase that only functions during
development and is responsible for this increased c-di-GMP accumulation. DmxB
synthesis is induced in response to starvation, thereby restricting DmxB activity
to development. DmxB is essential for development and functions downstream of the
Dif chemosensory system to stimulate exopolysaccharide accumulation by inducing
transcription of a subset of the genes encoding proteins involved in
exopolysaccharide synthesis. The developmental defects in the dmxB mutant are non
cell autonomous and rescued by co-development with a strain proficient in
exopolysaccharide synthesis, suggesting reduced exopolysaccharide accumulation as
the causative defect in this mutant. The NtrC-like transcriptional regulator
EpsI/Nla24, which is required for exopolysaccharide accumulation, is identified
as a c-di-GMP receptor, and thus a putative target for DmxB generated c-di-GMP.
Because DmxB can be-at least partially-functionally replaced by a heterologous
diguanylate cyclase, these results altogether suggest a model in which a minimum
threshold level of c-di-GMP is essential for the successful completion of
multicellular development in M. xanthus.
PMID- 27214043
TI - Design, Synthesis, and Characterization of Cyclic Peptidomimetics of the
Inducible Nitric Oxide Synthase Binding Epitope That Disrupt the Protein-Protein
Interaction Involving SPRY Domain-Containing Suppressor of Cytokine Signaling Box
Protein (SPSB) 2 and Inducible Nitric Oxide Synthase.
AB - SPRY domain-containing suppressor of cytokine signaling box protein (SPSB) 2
deficient macrophages have been found to exhibit prolonged expression of
inducible nitric oxide synthase (iNOS) and enhanced killing of persistent
pathogens, suggesting that inhibitors of the SPSB2-iNOS interaction have
potential as novel anti-infectives. In this study, we describe the design,
synthesis, and characterization of cyclic peptidomimetic inhibitors of the SPSB2
iNOS interaction constrained by organic linkers to improve stability and
druggability. SPR, ITC, and (19)F NMR analyses revealed that the most potent
cyclic peptidomimetic bound to the iNOS binding site of SPSB2 with low nanomolar
affinity (KD 29 nM), a 10-fold improvement over that of the linear peptide DINNN
(KD 318 nM), and showed strong inhibition of SPSB2-iNOS interaction in macrophage
cell lysates. This study exemplifies a novel approach to cyclize a Type II beta
turn linear peptide and provides a foundation for future development of this
group of inhibitors as new anti-infectives.
PMID- 27214044
TI - Cell Churches and Stem Cell Marketing in South Korea and the United States.
AB - The commercial provision of putative stem cell-based medical interventions in the
absence of conclusive evidence of safety and efficacy has formed the basis of an
unregulated industry for more than a decade. Many clinics offering such supposed
stem cell treatments include statements about the 'ethical' nature of somatic
(often colloquially referred to as 'adult' stem cells) stem cells, in specific
contrast to human embryonic stem cells (hESCs), which have been the subject of
intensive political, legal, and religious controversy since their first
derivation in 1998. Christian groups-both Roman Catholic and evangelical
Protestant-in many countries have explicitly promoted the medical potential and
current-day successes in the clinical application of somatic stem cells, lending
indirect support to the activities of businesses marketing stem cells ahead of
evidence. In this article, I make a preliminary examination of how the structures
and belief systems of certain churches in South Korea and the United States, both
of which are home to significant stem cell marketing industries, has complemented
other factors, including national biomedical funding initiatives, international
economic rivalries, permissive legal structures, which have lent impetus to a
problematic and often exploitative sector of biomedical commerce.
PMID- 27214045
TI - Binding mode of dihydroquinazolinones with lysozyme and its antifungal activity
against Aspergillus species.
AB - Aspergillosis is one of the infectious fungal diseases affecting mainly the
immunocompromised patients. The scarcity of the antifungal targets has identified
the importance of N-myristoyl transferase (NMT) in the regulation of fungal
pathway. The dihydroquinazolinone molecules were designed on the basis of
fragments responsible for binding with the target enzyme. The aryl halide, 1(a
g), aryl boronic acid and potassium carbonate were heated together in water and
dioxane mixture to yield new CC bond formation in dihydroquinazolinone. The
bis(triphenylphosphine)palladium(II) dichloride was used as catalyst for the CC
bond formation. The synthesized series were screened for their in vitro
antifungal activity against Aspergillus niger and Aspergillus fumigatus. The
binding interactions of the active compound with lysozyme were explored using
multiple spectroscopic studies. Molecular docking study of dihydroquinazolinones
with the enzyme revealed the information regarding various binding forces
involved in the interaction.
PMID- 27214046
TI - Changes in Ultrasonographic Vascularity Upon Initiation of Adalimumab Combination
Therapy in Rheumatoid Arthritis Patients With an Inadequate Response to
Methotrexate.
AB - OBJECTIVE: To assess joint disease activity by ultrasound (US) in patients with
rheumatoid arthritis (RA) initiating treatment with adalimumab (ADA) plus
methotrexate (MTX). METHODS: Data for this post hoc analysis originated from the
MUSICA trial (ClinicalTrials.gov identifier: NCT01185288), which evaluated the
efficacy of initiating ADA (40 mg every other week) plus 7.5 or 20 mg/week MTX in
309 patients with RA with an inadequate response to MTX. Synovial vascularization
over 24 weeks was assessed bilaterally at metacarpophalangeal joint 2 (MCP2),
MCP3, MCP5, metatarsophalangeal joint 5, and the wrists by power Doppler US
(PDUS). A semiquantitative 4-grade scale was used. Disease activity was assessed
using the Disease Activity Score in 28 joints using the C-reactive protein level
(DAS28-CRP) and Simplified Disease Activity Index (SDAI). The correlation between
continuous variables was assessed using Pearson's correlation coefficient.
RESULTS: After 24 weeks of treatment with ADA plus MTX, rapid improvements in the
mean synovial vascularity score were observed; the greatest improvements were in
MCP2 (-0.5), MCP3 (-0.4), and the wrist (-0.4). At week 24, patients with the
lowest DAS28-CRP (<2.6) had the lowest mean 5-joint and 3-joint composite
synovial vascularity scores. The 5-joint and 3-joint scores were strongly
correlated (rho > 0.9). Synovial vascularity scores correlated poorly with DAS28,
swollen joint count in 66 joints (SJC66), SJC28, tender joint count in 68 joints
(TJC68), TJC28, Clinical Disease Activity Index (CDAI), SDAI, physician's global
assessment, patient's global assessment of pain, and disease duration (rho <
0.2). Thirty-two (70%) of 46 patients with a DAS28-CRP of <2.6, and 11 (58%) of
19 patients with an SDAI indicating remission had at least 1 joint with a
synovial vascularity score of >=1. CONCLUSION: PDUS detects changes in synovial
vascularity in RA patients treated with ADA plus MTX, and residual synovial
vascularity in patients in whom clinical disease control has been achieved.
PMID- 27214047
TI - DADA2: High-resolution sample inference from Illumina amplicon data.
AB - We present the open-source software package DADA2 for modeling and correcting
Illumina-sequenced amplicon errors (https://github.com/benjjneb/dada2). DADA2
infers sample sequences exactly and resolves differences of as little as 1
nucleotide. In several mock communities, DADA2 identified more real variants and
output fewer spurious sequences than other methods. We applied DADA2 to vaginal
samples from a cohort of pregnant women, revealing a diversity of previously
undetected Lactobacillus crispatus variants.
PMID- 27214049
TI - Dark stars: a review.
AB - Dark stars are stellar objects made (almost entirely) of hydrogen and helium, but
powered by the heat from dark matter annihilation, rather than by fusion. They
are in hydrostatic and thermal equilibrium, but with an unusual power source.
Weakly interacting massive particles (WIMPs), among the best candidates for dark
matter, can be their own antimatter and can annihilate inside the star, thereby
providing a heat source. Although dark matter constitutes only [Formula: see
text]0.1% of the stellar mass, this amount is sufficient to power the star for
millions to billions of years. Thus, the first phase of stellar evolution in the
history of the Universe may have been dark stars. We review how dark stars come
into existence, how they grow as long as dark matter fuel persists, and their
stellar structure and evolution. The studies were done in two different ways,
first assuming polytropic interiors and more recently using the MESA stellar
evolution code; the basic results are the same. Dark stars are giant, puffy (~10
AU) and cool (surface temperatures ~10 000 K) objects. We follow the evolution
of dark stars from their inception at ~[Formula: see text] as they accrete mass
from their surroundings to become supermassive stars, some even reaching masses
>[Formula: see text] and luminosities >[Formula: see text], making them
detectable with the upcoming James Webb Space Telescope. Once the dark matter
runs out and the dark star dies, it may collapse to a black hole; thus dark stars
may provide seeds for the supermassive black holes observed throughout the
Universe and at early times. Other sites for dark star formation may exist in the
Universe today in regions of high dark matter density such as the centers of
galaxies. The current review briefly discusses dark stars existing today, but
focuses on the early generation of dark stars.
PMID- 27214048
TI - Comparison of Cas9 activators in multiple species.
AB - Several programmable transcription factors exist based on the versatile Cas9
protein, yet their relative potency and effectiveness across various cell types
and species remain unexplored. Here, we compare Cas9 activator systems and
examine their ability to induce robust gene expression in several human, mouse,
and fly cell lines. We also explore the potential for improved activation through
the combination of the most potent activator systems, and we assess the role of
cooperativity in maximizing gene expression.
PMID- 27214051
TI - Asymmetric Arylation of Imines Catalyzed by Heterogeneous Chiral Rhodium
Nanoparticles.
AB - Asymmetric arylation of aldimines catalyzed by heterogeneous chiral rhodium
nanoparticles has been developed. The reaction proceeded in aqueous media without
significant decomposition of the imines by hydrolysis to afford chiral
(diarylmethyl)amines in high yields with outstanding enantioselectivities. This
catalyst system exhibited the highest turnover number (700) in heterogeneous
catalysts reported to date for these reactions. The reusability of the catalyst
was also demonstrated.
PMID- 27214050
TI - Construction of Cell-based Neurotransmitter Fluorescent Engineered Reporters
(CNiFERs) for Optical Detection of Neurotransmitters In Vivo.
AB - Cell-based neurotransmitter fluorescent engineered reporters (CNiFERs) provide a
new tool for neuroscientists to optically detect the release of neurotransmitters
in the brain in vivo. A specific CNiFER is created from a human embryonic kidney
cell that stably expresses a specific G protein-coupled receptor, which couples
to Gq/11 G proteins, and a FRET-based Ca(2+)-detector, TN-XXL. Activation of the
receptor leads to an increase in the FRET signal. CNiFERs have nM sensitivity and
a temporal response of seconds because a CNiFER clone utilizes the native
receptor for a particular neurotransmitter, e.g., D2R for dopamine. CNiFERs are
directly implanted into the brain, enabling them to sense neurotransmitter
release with a spatial resolution of less than one hundred um, making them ideal
to measure volume transmission in vivo. CNiFERs can also be used to screen other
drugs for potential cross-reactivity in vivo. We recently expanded the family of
CNiFERs to include GPCRs that couple to Gi/o G proteins. CNiFERs are available
for detecting acetylcholine (ACh), dopamine (DA) and norepinephrine (NE). Given
that any GPCR can be used to create a novel CNiFER and that there are
approximately 800 GPCRs in the human genome, we describe here the general
procedure to design, realize, and test any type of CNiFER.
PMID- 27214052
TI - Susceptible Ferroelectric/Antiferroelectric Phase Transition near the Surface of
Nb-Doped Lead Zirconate Stannate Titanate from Surface Processing.
AB - This work systematically investigated the structure and property of the near
surface and bulk regions of Pb0.99(Nb0.02Zr0.73Sn0.21Ti0.04)O3 ceramics using a
combination of X-ray and neutron diffraction, piezoresponse force microscopy, and
conventional ferroelectric/piezoelectric characterization. It is found that
mechanical force can induce an antiferroelectric/ferroelectric phase transition
within micrometers of the surface. Such a phase transition is strongly dependent
on the processing scenario, leading to differences from the bulk region. This
work provides crucial insights into the sensitivity of this class of AFE
materials. Clearly, surface processing conditions must be taken into account for
both accurate structural determination and practical applications.
PMID- 27214053
TI - Magnetic solid-phase extraction of polycyclic aromatic hydrocarbons in water
samples by Fe3 O4 @polypyrrole/carbon nanotubes.
AB - A magnetic solid-phase extraction method coupled with gas chromatography was
proposed for the determination of polycyclic aromatic hydrocarbons in the
environmental water samples. The magnetic adsorbent was prepared by incorporating
Fe3 O4 nanoparticles, multi-walled carbon nanotubes, and polypyrrole. The main
factors affecting the extraction efficiency including the amount of the sorbents,
desorption conditions, extraction time, salt concentration, and sample solution
pH were investigated and optimized. Under the optimum conditions, good linearity
was obtained within the range of 0.03-100 ng/mL for all analytes, with
correlation coefficients ranging from 0.9942 to 0.9973. The method detection
limits (S/N = 3) were in the range of 0.01-0.04 ng/mL and the limits of
quantification (S/N = 10) were 0.03-0.1 ng/mL. Repeatability of the method was
assessed through five consecutive extractions of independently prepared solutions
at concentrations of 0.1, 10, and 100 ng/mL of the compounds. The observed
repeatability ranged 3.4-10.9% depending of the compound considered. The proposed
method was successfully applied in the analysis of PAHs in environmental samples
(tap, well, river, and wastewater). The recoveries of the method ranged between
93.4 and 99.0%. The procedure proved to be efficient and environmentally
friendly.
PMID- 27214054
TI - Is educational differentiation associated with smoking and smoking inequalities
in adolescence? A multilevel analysis across 27 European and North American
countries.
AB - This study aims to determine whether educational differentiation (i.e. early and
long tracking to different school types) relate to socioeconomic inequalities in
adolescent smoking. Data were collected from the WHO-Collaborative 'Health
Behaviour in School-aged Children (HBSC)' study 2005/2006, which included 48,025
15-year-old students (Nboys = 23,008, Ngirls = 25,017) from 27 European and North
American countries. Socioeconomic position was measured using the HBSC family
affluence scale. Educational differentiation was determined by the number of
different school types, age of selection, and length of differentiated curriculum
at the country-level. We used multilevel logistic regression to assess the
association of daily smoking and early smoking initiation predicted by family
affluence, educational differentiation, and their interactions. Socioeconomic
inequalities in both smoking outcomes were larger in countries that are
characterised by a lower degree of educational differentiation (e.g. Canada,
Scandinavia and the United Kingdom) than in countries with higher levels of
educational differentiation (e.g. Austria, Belgium, Hungary and The Netherlands).
This study found that high educational differentiation does not relate to greater
relative inequalities in smoking. Features of educational systems are important
to consider as they are related to overall prevalence in smoking and smoking
inequalities in adolescence.
PMID- 27214056
TI - Fe-N-Doped Carbon Capsules with Outstanding Electrochemical Performance and
Stability for the Oxygen Reduction Reaction in Both Acid and Alkaline Conditions.
AB - High surface area N-doped mesoporous carbon capsules with iron traces exhibit
outstanding electrocatalytic activity for the oxygen reduction reaction in both
alkaline and acidic media. In alkaline conditions, they exhibit more positive
onset (0.94 V vs RHE) and half-wave potentials (0.83 V vs RHE) than commercial
Pt/C, while in acidic media the onset potential is comparable to that of
commercial Pt/C with a peroxide yield lower than 10%. The Fe-N-doped carbon
catalyst combines high catalytic activity with remarkable performance stability
(3500 cycles between 0.6 and 1.0 V vs RHE), which stems from the fact that iron
is coordinated to nitrogen. Additionally, the newly developed electrocatalyst is
unaffected by the methanol crossover effect in both acid and basic media,
contrary to commercial Pt/C. The excellent catalytic behavior of the Fe-N-doped
carbon, even in the more relevant acid medium, is attributable to the combination
of chemical functions (N-pyridinic, N-quaternary, and Fe-N coordination sites)
and structural properties (large surface area, open mesoporous structure, and
short diffusion paths), which guarantees a large number of highly active and
fully accessible catalytic sites and rapid mass-transfer kinetics. Thus, this
catalyst represents an important step forward toward replacing Pt catalysts with
cheaper alternatives. In this regard, an alkaline anion exchange membrane fuel
cell was assembled with Fe-N-doped mesoporous carbon capsules as the cathode
catalyst to provide current and power densities matching those of a commercial
Pt/C, which indicates the practical applicability of the Fe-N-carbon catalyst.
PMID- 27214057
TI - Back to Basics-Vital Sign and Blood Glucose Abnormalities and Outcome in
Childhood Arterial Ischemic Stroke.
PMID- 27214055
TI - Guided Imagery for Total Knee Replacement: A Randomized, Placebo-Controlled Pilot
Study.
AB - OBJECTIVES: To determine the effect of guided imagery (GI) on functional outcomes
of total knee replacement (TKR), explore psychological and neuroimmune mediators,
and assess feasibility of study implementation. DESIGN: Investigator-blinded,
randomized, placebo-controlled pilot study. SETTINGS: Hospital, surgeon's office,
participant's home. PARTICIPANTS: 82 persons undergoing TKR. INTERVENTIONS:
Audiorecordings of TKR-specific GI scripts or placebo-control audiorecordings of
audiobook segments. OUTCOME MEASURES: Gait velocity and Western Ontario and
McMaster Universities Arthritis Index (WOMAC) Function scale. RESULTS: Outcomes
for 58 participants (29 receiving GI and 29 controls) were analyzed at 6 months
after surgery. The most frequent reason for noncompletion was protocol-driven
exclusion at 6 months for having the contralateral knee replaced before the study
endpoint (n = 15). With imaging ability as a moderator, gait velocity, but not
WOMAC Function score, was significantly improved at 6 months in the GI group.
Participants in the GI group, but not the control group, had lower WOMAC Pain
scores at 3 weeks after surgery than at baseline. Hair cortisol concentration was
significantly lower at 6 months after surgery than at baseline in the GI group
but not the control group. GI group participants had lower treatment adherence
but greater treatment credibility than the control group. CONCLUSION: Randomized
controlled trials of GI in the TKR population are feasible, but
inclusion/exclusion criteria influence attrition. Further studies are needed to
elaborate this study's findings, which suggest that guided imagery improves
objective, but not patient-reported, outcomes of TKR. Hair cortisol concentration
results suggest that engagement in a time-limited guided imagery intervention may
contribute to stress reduction even after the intervention is terminated. Further
investigation into optimal content and dosing of GI is needed.
PMID- 27214058
TI - Determination of total and unbound propofol in patients during intensive care
sedation by ultrafiltration and LC-MS/MS.
AB - For the quantification of propofol total and unbound drug concentrations a
sensitive and specific liquid chromatography-tandem mass spectrometry (LC-MS/MS)
method was developed and validated. To separate unbound propofol an
ultrafiltration step before sample preparation was performed. Both the
ultrafiltrate and plasma samples were extracted with solid-phase extraction and
substituted with deuterated propofol as an internal standard. Separation was
performed by gradient elution using UPLC-like system and analyzed by MS/MS
consisting of an electrospray ionization source. To detect low and high
concentration levels of propofol two calibration curves were identified and
showed linearity within the range of 1-50ng/ml and 50-20000ng/ml. The lower limit
of quantification was 1ng/ml. Intra- and interassay precision and accuracy did
not exceed +/-15%. The method was applied to a clinical study during intensive
care treatment of patients after coronary artery bypass grafting.